diff --git a/CPE212/Project 1.1/DateType.cpp b/CPE212/Project 1.1/DateType.cpp new file mode 100644 index 0000000..1af449c --- /dev/null +++ b/CPE212/Project 1.1/DateType.cpp @@ -0,0 +1,36 @@ +#include +#include "DateType.h" + +using namespace std; +void DateType::Initialize (int newMonth, int newDay, int newYear) +{ + year=newYear; + month=newMonth; + day=newDay; +} + +int DateType::GetMonth() const +{ + return month; +} + +int DateType::GetYear() const +{ + return year; +} + +int DateType::GetDay() const +{ + return day; +} + +int main() +{ + DateType today; + DateType anotherDay; + today.Initialize(9,24,2003); + anotherDay.Initialize(9,25,2003); + + cout << "Today is " << today.GetMonth() << "/" << today.GetDay() << "/" << today.GetYear()<< endl; + cout << "Another date is " << anotherDay.GetMonth() << "/" << anotherDay.GetDay() << "/" << anotherDay.GetYear() << endl; +} \ No newline at end of file diff --git a/CPE212/Project 1.1/DateType.exe b/CPE212/Project 1.1/DateType.exe new file mode 100755 index 0000000..98ea767 Binary files /dev/null and b/CPE212/Project 1.1/DateType.exe differ diff --git a/CPE212/Project 1.1/DateType.h b/CPE212/Project 1.1/DateType.h new file mode 100644 index 0000000..883524d --- /dev/null +++ b/CPE212/Project 1.1/DateType.h @@ -0,0 +1,12 @@ +class DateType +{ +private: + int year; + int month; + int day; +public: + void Initialize(int newMonth, int newDay, int newYear); + int GetYear() const; + int GetMonth() const; + int GetDay() const; +}; \ No newline at end of file diff --git a/CPE212/Project 1.1/DateType.o b/CPE212/Project 1.1/DateType.o new file mode 100644 index 0000000..5cd1853 Binary files /dev/null and b/CPE212/Project 1.1/DateType.o differ diff --git a/CPE212/Project 1.1/Project1.1a.png b/CPE212/Project 1.1/Project1.1a.png new file mode 100644 index 0000000..d594b00 Binary files /dev/null and b/CPE212/Project 1.1/Project1.1a.png differ diff --git a/CPE212/Project 1.1/Project1.1b.png b/CPE212/Project 1.1/Project1.1b.png new file mode 100644 index 0000000..73f6131 Binary files /dev/null and b/CPE212/Project 1.1/Project1.1b.png differ diff --git a/CPE212/Project_01/main.cpp b/CPE212/Project_01/main.cpp new file mode 100644 index 0000000..ab4ac2d --- /dev/null +++ b/CPE212/Project_01/main.cpp @@ -0,0 +1,173 @@ +// +// main.cpp -- Project01, CPE212 Fall 2010 -- C++ Review Project +// +// Driver program for Image Processing Program which is used to test each +// image processing operation. +// +// DO NOT SUBMIT OR SUBMIT THIS FILE +// + +// List of allowed include files appear below +#include +#include +#include + +using namespace std; // Global using declaration + +// Global Constants -- you may use these global constants in your code +const int MAXROWS = 10; // Maximum number of rows in image +const int MAXCOLS = 10; // Maximum number of columns in image + +// Function Prototypes for functions included at the end of main.cpp +void Print(const int image[MAXROWS][MAXCOLS]); +void Bars(); + +// Function Prototypes for the functions you must implement in project01.cpp +void LoadImage(const string imagefile, int image[MAXROWS][MAXCOLS]); +void FlipHorizontal(int image[MAXROWS][MAXCOLS]); +void FlipVertical(int image[MAXROWS][MAXCOLS]); +void RotateCW(int image[MAXROWS][MAXCOLS]); +void RotateCCW(int image[MAXROWS][MAXCOLS]); +void Transpose(int image[MAXROWS][MAXCOLS]); + + +// Start of main() function + +int main (int argc, char * const argv[]) // Command-line arguments (more on this later) +{ + ifstream inputs; // Input file stream variable for test file + char op, ch; // Hold operation and optional char input from test file + string comment; // Holds comment string input from test file + int image[MAXROWS][MAXCOLS]; // Array of integers representing image + string imagefile; // Name of image file + + + // Output usage message if test input file name is not provided + if (argc != 2) + { + cout << "Usage:\n project01 \n"; + return 1; + } + + // Attempt to open test input file -- terminate if file does not open + inputs.open(argv[1]); + if (!inputs) + { + cout << "Error - unable to open input file" << endl; + return 1; + } + + // Process comment line from input file + getline(inputs, comment); // Input file header comment + cout << endl << comment << endl << endl; // Output file header comment + + + // Below is primary loop that processes each operation appearing within the test file. + // Starts with an initial priming read of first operation + + inputs >> op; // Attempt to input first test operation from file + + while (inputs) // While Not-EOF + { + switch (op) // Process operation input from test file + { + case '#': // Test file comment + getline(inputs, comment); // Input and echo the comment appearing in the test file + cout << '#' << comment << endl; + break; + + case 'p': // Print Grid + Print(image); // Function definition appears at the end of this file + break; + + case 'b': // Print Bars + Bars(); // Function definition appears at the end of this file + break; + + case 'i': // Load Image + inputs >> imagefile; // Input name of imagefile from test file + LoadImage(imagefile, image); // Implement this function in project01.cpp + break; + + case '+': // Rotate Image Clockwise 90 Degrees + RotateCW(image); // Implement this function in project01.cpp + break; + + case '-': // Rotate Image Counterclockwise 90 Degrees + RotateCCW(image); // Implement this function in project01.cpp + break; + + case 'v': // Flip Image Vertical + FlipVertical(image); // Implement this function in project01.cpp + break; + + case 'h': // Flip Image Horizontal + FlipHorizontal(image); // Implement this function in project01.cpp + break; + + case 't': // Transpose Image Across Major Diagonal + Transpose(image); // Implement this function in project01.cpp + break; + + default: // Error + cout << "Error - unrecognized operation '" << op << "'" << endl; + cout << "Terminating now..." << endl; + return 1; + break; + } + cout << endl; + + inputs >> op; // Attempt to input next command + } + + + return 0; +} + +/************** Implementation of Print() and Bars() functions ********************/ + +// DO NOT MODIFY THIS CODE + +void Print(const int image[MAXROWS][MAXCOLS]) +// Print() -- outputs image row-by-row in desired format substituting +// * for 1 and - for 0. +{ + for(int r=0; r < MAXROWS; r++) // Loop to visit each row in image + { + for(int c=0; c < MAXCOLS; c++) // Loop to output every element on crrent row + { + if (image[r][c] == 1) // Output appropriate symbol + { + cout << '*'; + } + else if (image[r][c] == 0) + { + cout << '-'; + } + else + { + cout << 'X'; + } + } + cout << endl; + } + +} // End Print() + +void Bars() +// Bars() -- prints two horizontal rows of bars +{ + cout << "#################################################################" << endl; + cout << "#################################################################" << endl; +} // End Bars() + +/************** DO NOT DELETE *************/ +// The preprocessor directive below will import the function definitions +// from the file project01.cpp and place them at the end of this file creating an +// Expanded Source File which is forwarded to the compiler for compilation. + +#include "project01.cpp" + +/************** End of main.cpp ***************/ + + diff --git a/CPE212/Project_01/makefile b/CPE212/Project_01/makefile new file mode 100644 index 0000000..5b33648 --- /dev/null +++ b/CPE212/Project_01/makefile @@ -0,0 +1,9 @@ +# Project01 -- Fall 2010 CPE212-01 + +project01: project01.cpp main.cpp + g++ main.cpp -o project01 + +clean: + rm project01 + + diff --git a/CPE212/Project_01/p01image1.txt b/CPE212/Project_01/p01image1.txt new file mode 100644 index 0000000..1a2fda4 --- /dev/null +++ b/CPE212/Project_01/p01image1.txt @@ -0,0 +1,13 @@ +# p01image1.txt -- Sample Image #1 + +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 1 1 0 0 0 0 +0 0 0 0 1 1 0 0 0 0 +0 0 1 1 1 1 0 0 0 0 +0 0 1 1 1 1 0 0 0 0 +0 0 0 0 0 0 1 1 0 0 +0 0 0 0 0 0 1 1 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 + diff --git a/CPE212/Project_01/p01image2.txt b/CPE212/Project_01/p01image2.txt new file mode 100644 index 0000000..968a314 --- /dev/null +++ b/CPE212/Project_01/p01image2.txt @@ -0,0 +1,13 @@ +# p01image2.txt -- Sample Image #2 + +0 1 1 1 0 1 0 0 0 1 +0 0 0 0 0 0 0 0 0 0 +0 0 0 1 1 0 0 0 0 1 +0 0 0 1 1 0 0 0 0 0 +0 0 0 1 1 1 1 0 0 1 +1 0 0 1 1 1 1 0 0 0 +1 0 0 1 1 0 0 0 0 0 +0 0 0 1 1 0 0 0 0 0 +1 0 0 0 0 0 0 0 0 0 +1 0 0 0 0 1 1 1 1 0 + diff --git a/CPE212/Project_01/p01image3.txt b/CPE212/Project_01/p01image3.txt new file mode 100644 index 0000000..e85aa58 --- /dev/null +++ b/CPE212/Project_01/p01image3.txt @@ -0,0 +1,13 @@ +# p01image3.txt -- Sample Image #3 + +0 0 0 0 0 0 0 0 0 1 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 1 0 0 0 0 0 0 0 +0 1 1 0 0 0 0 0 0 0 +1 1 1 0 0 0 0 0 0 0 + diff --git a/CPE212/Project_01/p01input1.txt b/CPE212/Project_01/p01input1.txt new file mode 100644 index 0000000..1ff0f42 --- /dev/null +++ b/CPE212/Project_01/p01input1.txt @@ -0,0 +1,77 @@ +# p01input1.txt -- Test of Transpose Image + +# Draw Bars +b + +# Load Image1 +i p01image1.txt + +# Print Image1 +p + +# Transpose Image1 +t + +# Print Image1 +p + +# Transpose Image1 +t + +# Print Image1 +p + + +# Draw Bars +b + + +# Load Image2 +i p01image2.txt + +# Print Image2 +p + +# Transpose Image2 +t + +# Print Image2 +p + +# Transpose Image2 +t + +# Print Image2 +p + + +# Draw Bars +b + + +# Load Image3 +i p01image3.txt + +# Print Image3 +p + +# Transpose Image3 +t + +# Print Image3 +p + +# Transpose Image3 +t + +# Print Image3 +p + + +# Draw Bars +b + + + + + diff --git a/CPE212/Project_01/p01input2.txt b/CPE212/Project_01/p01input2.txt new file mode 100644 index 0000000..a92102c --- /dev/null +++ b/CPE212/Project_01/p01input2.txt @@ -0,0 +1,74 @@ +# p01input2.txt -- Test of Horizontal Flip + +# Draw Bars +b + + +# Load Image1 +i p01image1.txt + +# Print Image1 +p + +# Horizontal Flip Image1 +h + +# Print Flipped Image1 +p + +# Horizontal Flip Image1 +h + +# Print Flipped Image1 +p + + +# Draw Bars +b + + +# Load Image2 +i p01image2.txt + +# Print Image2 +p + +# Horizontal Flip Image2 +h + +# Print Flipped Image2 +p + +# Horizontal Flip Image2 +h + +# Print Flipped Image2 +p + + +# Draw Bars +b + +# Load Image3 +i p01image3.txt + +# Print Image3 +p + +# Horizontal Flip Image3 +h + +# Print Flipped Image3 +p + +# Horizontal Flip Image3 +h + +# Print Flipped Image3 +p + + +# Draw Bars +b + + diff --git a/CPE212/Project_01/p01input3.txt b/CPE212/Project_01/p01input3.txt new file mode 100644 index 0000000..f707198 --- /dev/null +++ b/CPE212/Project_01/p01input3.txt @@ -0,0 +1,74 @@ +# p01input3.txt -- Test of Vertical Flip + +# Draw Bars +b + + +# Load Image1 +i p01image1.txt + +# Print Image1 +p + +# Vertical Flip Image1 +v + +# Print Flipped Image1 +p + +# Vertical Flip Image1 +v + +# Print Flipped Image1 +p + + +# Draw Bars +b + + +# Load Image2 +i p01image2.txt + +# Print Image2 +p + +# Vertical Flip Image2 +v + +# Print Flipped Image2 +p + +# Vertical Flip Image2 +v + +# Print Flipped Image2 +p + + +# Draw Bars +b + + +# Load Image3 +i p01image3.txt + +# Print Image3 +p + +# Vertical Flip Image3 +v + +# Print Flipped Image3 +p + +# Vertical Flip Image3 +v + +# Print Flipped Image3 +p + +# Draw Bars +b + + diff --git a/CPE212/Project_01/p01input4.txt b/CPE212/Project_01/p01input4.txt new file mode 100644 index 0000000..1f2a3f9 --- /dev/null +++ b/CPE212/Project_01/p01input4.txt @@ -0,0 +1,117 @@ +# p01input4.txt -- Test of Rotate Clockwise + +# Draw Bars +b + + +# Load Image1 +i p01image1.txt + +# Print Image1 +p + +# Rotate Clockwise 90 Degrees Image1 ++ + +# Print Rotated Image1 +p + +# Rotate Clockwise 90 Degrees Image1 ++ + +# Print Rotated Image1 +p + +# Rotate Clockwise 90 Degrees Image1 ++ + +# Print Rotated Image1 +p + +# Rotate Clockwise 90 Degrees Image1 ++ + +# Print Rotated Image1 +p + + +# Draw Bars +b + + +# Load Image2 +i p01image2.txt + +# Print Image2 +p + +# Rotate Clockwise 90 Degrees Image2 ++ + +# Print Rotated Image2 +p + +# Rotate Clockwise 90 Degrees Image2 ++ + +# Print Rotated Image2 +p + +# Rotate Clockwise 90 Degrees Image2 ++ + +# Print Rotated Image2 +p + +# Rotate Clockwise 90 Degrees Image2 ++ + +# Print Rotated Image2 +p + + +# Draw Bars +b + + + +# Load Image3 +i p01image3.txt + +# Print Image3 +p + +# Rotate Clockwise 90 Degrees Image3 ++ + +# Print Rotated Image3 +p + +# Rotate Clockwise 90 Degrees Image3 ++ + +# Print Rotated Image3 +p + +# Rotate Clockwise 90 Degrees Image3 ++ + +# Print Rotated Image3 +p + +# Rotate Clockwise 90 Degrees Image3 ++ + +# Print Rotated Image3 +p + + +# Draw Bars +b + + + + + + + diff --git a/CPE212/Project_01/p01input5.txt b/CPE212/Project_01/p01input5.txt new file mode 100644 index 0000000..4c4b9f5 --- /dev/null +++ b/CPE212/Project_01/p01input5.txt @@ -0,0 +1,117 @@ +# p01input5.txt -- Test of Rotate Counter Clockwise + + +# Draw Bars +b + + +# Load Image1 +i p01image1.txt + +# Print Image1 +p + +# Rotate Counter Clockwise 90 Degrees Image1 +- + +# Print Rotated Image1 +p + +# Rotate Counter Clockwise 90 Degrees Image1 +- + +# Print Rotated Image1 +p + +# Rotate Counter Clockwise 90 Degrees Image1 +- + +# Print Rotated Image1 +p + +# Rotate Counter Clockwise 90 Degrees Image1 +- + +# Print Rotated Image1 +p + + +# Draw Bars +b + + +# Load Image2 +i p01image2.txt + +# Print Image2 +p + +# Rotate Counter Clockwise 90 Degrees Image2 +- + +# Print Rotated Image2 +p + +# Rotate Counter Clockwise 90 Degrees Image2 +- + +# Print Rotated Image2 +p + +# Rotate Counter Clockwise 90 Degrees Image2 +- + +# Print Rotated Image2 +p + +# Rotate Counter Clockwise 90 Degrees Image2 +- + +# Print Rotated Image2 +p + + +# Draw Bars +b + + +# Load Image3 +i p01image3.txt + +# Print Image3 +p + +# Rotate Counter Clockwise 90 Degrees Image3 +- + +# Print Rotated Image3 +p + +# Rotate Counter Clockwise 90 Degrees Image3 +- + +# Print Rotated Image3 +p + +# Rotate Counter Clockwise 90 Degrees Image3 +- + +# Print Rotated Image3 +p + +# Rotate Counter Clockwise 90 Degrees Image3 +- + +# Print Rotated Image3 +p + + +# Draw Bars +b + + + + + + + diff --git a/CPE212/Project_01/project01 b/CPE212/Project_01/project01 new file mode 100644 index 0000000..50d4f1a Binary files /dev/null and b/CPE212/Project_01/project01 differ diff --git a/CPE212/Project_01/project01.cpp b/CPE212/Project_01/project01.cpp new file mode 100644 index 0000000..fdb6469 --- /dev/null +++ b/CPE212/Project_01/project01.cpp @@ -0,0 +1,155 @@ +/* +Noah Woodlee +CPE212-01 +Project 1, due Sunday, Febuary 21, 2020 +*/ +#include +#include +#include + +// LoadImage defintion +void LoadImage(const string imagefile, int image[MAXROWS][MAXCOLS]) +{ + ifstream input; + input.open(imagefile.c_str()); + if (!input) + { + cout << "Error - File unable to be opened." << endl; + return; + } + int rows, cols, nums; + string str; + getline(input, str); + //cout << str; + for (int r = 0; r < MAXROWS ; r++) // iterate number of rows + { + for (int c = 0; c < MAXCOLS; c++) // iterate number of columns + { + input >> nums; + image[r][c]=nums; + } + } + +} // End of LoadImage + +// Flip Horozontal defintion +void FlipHorizontal(int image[MAXROWS][MAXCOLS]) +{ + int temp; + for (int r = 0; r < MAXROWS; r++) // loop for columns 1 & 10 + { + temp=image[r][0]; + image[r][0]=image[r][MAXCOLS-1]; + image[r][MAXCOLS-1]=temp; + } + for (int r = 0; r < MAXROWS; r++) // loop for columns 2 & 9 + { + temp=image[r][1]; + image[r][1]=image[r][MAXCOLS-2]; + image[r][MAXCOLS-2]=temp; + } + for (int r = 0; r < MAXROWS; r++) // loop for columns 3 & 8 + { + temp=image[r][2]; + image[r][2]=image[r][MAXCOLS-3]; + image[r][MAXCOLS-3]=temp; + } + for (int r = 0; r < MAXROWS; r++) // loop for column 4 & 7 + { + temp=image[r][3]; + image[r][3]=image[r][MAXCOLS-4]; + image[r][MAXCOLS-4]=temp; + } + for (int r = 0; r < MAXROWS; r++) // loop for column 5 & 6 + { + temp=image[r][4]; + image[r][4]=image[r][MAXCOLS-5]; + image[r][MAXCOLS-5]=temp; + } +} // End of FlipHorizontal function + +// FlipVertical defintion +void FlipVertical(int image[MAXROWS][MAXCOLS]) +{ + int temp; + for (int c = 0; c < MAXCOLS; c++) // loop for rows 1 & 10 + { + temp = image[0][c]; + image[0][c] = image[MAXROWS-1][c]; + image[MAXROWS-1][c] = temp; + } + for (int c = 0; c < MAXCOLS; c++) // loop for rows 2 & 9 + { + temp = image[1][c]; + image[1][c] = image[MAXROWS-2][c]; + image[MAXCOLS-2][c] = temp; + } + for (int c = 0; c < MAXCOLS; c++) // loop for rows 3 & 8 + { + temp = image[2][c]; + image[2][c] = image[MAXROWS-3][c]; + image[MAXCOLS-3][c]=temp; + } + for (int c = 0; c < MAXCOLS; c++) // loop for rows 4 & 7 + { + temp = image[3][c]; + image[3][c] = image[MAXROWS-4][c]; + image[MAXCOLS-4][c] = temp; + } + for (int c = 0; c < MAXCOLS; c++) // loop for rows 5 & 6 + { + temp = image[4][c]; + image[4][c] = image[MAXROWS-5][c]; + image[MAXCOLS-5][c] = temp; + } +} + +// RotateCW defintion +void RotateCW(int image[MAXROWS][MAXCOLS]) +{ + int n = MAXCOLS; + for (int i = 0; i < n/2; i++) + { + for (int j = i; j < n-i-1; j++) + { + int temp = image[i][j]; + image[i][j]=image[n-1-j][i]; + image[n - 1 - j][i] = image[n - 1 - i][n - 1 - j]; + image[n - 1 - i][n - 1 - j] = image[j][n - 1 - i]; + image[j][n - 1 - i] = temp; + } + + } + +} + +// RotateCCW defintion +void RotateCCW(int image[MAXROWS][MAXCOLS]) +{ + int n=MAXROWS; + for(int i=0;i +#include +#include "card.h" + +Card::Card() +// Default Constructor: Initializes value to 0, color to "unknown", and suit to 'U' +{ + value=0; + color = "Unknown"; + suit = 'U'; +} + + +Card::Card(int v) +// Parameterized Constructor: Initializes value to v, color to "unknown", and suit to 'U' +{ + value=v; + color = "Unknown"; + suit = 'U'; +} + + +int Card::GetValue() const +// Returns variable value +{ + return value; +} + + +string Card::GetColor() const +// Returns variable color +{ + return color; +} + + +char Card::GetSuit() const +// Returns variable suit +{ + return suit; +} + + +void Card::SetValue(int v) +// Sets value to v +{ + value = v; +} + + +void Card::SetColor(string c) +// Sets color to c +{ + color = c; +} + + +void Card::SetSuit(char s) +// Sets suit to s +{ + suit = s; +} + + +string Card::Description() const +// Outputs card characteristics - value as a string +// DO NOT MODIFY THIS METHOD !!!! +{ + string d = "Value = "; // temporary variable used to accumulate result + + switch (value) // Append card value to variable's value + { + case 2: d = d + "2"; break; // Number cards + case 3: d = d + "3"; break; + case 4: d = d + "4"; break; + case 5: d = d + "5"; break; + case 6: d = d + "6"; break; + case 7: d = d + "7"; break; + case 8: d = d + "8"; break; + case 9: d = d + "9"; break; + case 10: d = d + "10"; break; + case 11: d = d + "J"; break; // Face cards + case 12: d = d + "Q"; break; + case 13: d = d + "K"; break; + case 14: d = d + "A"; break; + + default: d = d + "?"; break; // Unknown card + } + + return d; // Return string describing card value +} + diff --git a/CPE212/Project_02/card.h b/CPE212/Project_02/card.h new file mode 100644 index 0000000..51f64f1 --- /dev/null +++ b/CPE212/Project_02/card.h @@ -0,0 +1,48 @@ +// +// card.h -- CPE 212-01, Fall 2010 -- Project02 -- Classes +// +// Add the missing statements to complete the class declaration below +// and SUBMIT this file for grading !!! +// + +#include +using namespace std; + +#ifndef CARD_H +#define CARD_H + +class Card // Class modeling Card ADT +{ + private: + int value; // Card value: 2-10 for number cards, 11-14 for JQKA; 0 for unknown + string color; // Card color: "red", "black", or "unknown" + char suit; // Card suit: 'H' for hearts, 'D' for diamonds, 'C' for clubs, 'S' for spades or 'U' for unknown + + public: + //******** Add Constructor Prototypes Below *********// + Card(); // Default constructor prototype: creates card with value v, color unknown, and suit U + + Card(int v); // Parameterized constructor prototype: creates card with value v, color unknown, and suit U + + //******** Add Transformer Prototypes Below *********// + void SetValue(int v); // SetValue prototype: Sets card value equal to v + + void SetColor(string c); // SetColor prototype: Sets color value equal to c + + void SetSuit(char s); // SetSuit prototype: Sets suit value equal to s + + //******** Add Observer Prototypes Below *********// + int GetValue() const; // GetValue prototype: Returns current value of value + + string GetColor() const; // GetColor prototype: Returns current value of color + + char GetSuit() const; // GetSuit prototype: Returns current value of suit + + virtual string Description() const; // Description prototype: Polymorphic Function!!! + // Outputs card characteristics - value as a string (see sample output from p01input1.txt) +}; + + +#endif + + diff --git a/CPE212/Project_02/card.o b/CPE212/Project_02/card.o new file mode 100644 index 0000000..9cd7a6b Binary files /dev/null and b/CPE212/Project_02/card.o differ diff --git a/CPE212/Project_02/club.cpp b/CPE212/Project_02/club.cpp new file mode 100644 index 0000000..2406276 --- /dev/null +++ b/CPE212/Project_02/club.cpp @@ -0,0 +1,51 @@ +// club inherits from blackcard +#include "club.h" + +Club::Club(int v) : BlackCard::BlackCard(v) // Parameterized constructor that creates a black card with value v and unknown suit +{ + Club::SetSuit('C'); // sets suit to C + BlackCard::SetColor("black"); +} + +string Club::Description() const // Outputs card characteristics - value and color and suit as a string +{ + int Cval = BlackCard::GetValue(); + string Ccol = BlackCard::GetColor(); + char Cst = BlackCard::GetSuit(); + string d = "Value = "; // temporary variable used to accumulate result + + switch (Cval) // Append card value to variable's value + { + case 2: d = d + "2"; break; // Number cards + case 3: d = d + "3"; break; + case 4: d = d + "4"; break; + case 5: d = d + "5"; break; + case 6: d = d + "6"; break; + case 7: d = d + "7"; break; + case 8: d = d + "8"; break; + case 9: d = d + "9"; break; + case 10: d = d + "10"; break; + + case 11: d = d + "J"; break; // Face cards + case 12: d = d + "Q"; break; + case 13: d = d + "K"; break; + case 14: d = d + "A"; break; + + default: d = d + "?"; break; // Unknown card + } + d = d + ", Color = "; // adds color to output string + if (Ccol=="black") d = d + "black"; + if (Ccol=="red") d = d + "red"; + else d=+ "unknown"; + // appends suit to output string + d =+ ", Suit = "; + switch (Cst) + { + case 'H': d =+ "H"; break; + case 'C': d =+ 'C'; break; + case 'D': d =+ 'D'; break; + case 'U': d =+ 'U'; break; + } + + return d; // Return string describing card value +} \ No newline at end of file diff --git a/CPE212/Project_02/club.h b/CPE212/Project_02/club.h new file mode 100644 index 0000000..ab5a32f --- /dev/null +++ b/CPE212/Project_02/club.h @@ -0,0 +1,27 @@ +// +// club.h -- CPE 212-01, Fall 2010 -- Project02 -- Classes +// +// DO NOT MODIFY OR SUBMIT THIS FILE!!! +// + +#ifndef CLUB_H +#define CLUB_H + +#include "blackcard.h" + +class Club : public BlackCard +{ + private: + // No additional private members + + public: + // Constructors + Club(int v); // Creates a black club card with value v + + string Description() const; // Outputs card characteristics - value, color, suit + // Hint: use base class Description method to generate part of + // the description and append the suit information at the end +}; + +#endif + diff --git a/CPE212/Project_02/club.o b/CPE212/Project_02/club.o new file mode 100644 index 0000000..6a1e264 Binary files /dev/null and b/CPE212/Project_02/club.o differ diff --git a/CPE212/Project_02/diamond.cpp b/CPE212/Project_02/diamond.cpp new file mode 100644 index 0000000..ea52f55 --- /dev/null +++ b/CPE212/Project_02/diamond.cpp @@ -0,0 +1,51 @@ +// Diamond inherits from redcard +#include "diamond.h" + +Diamond::Diamond(int v) : RedCard::RedCard(v) // Parameterized constructor that creates a black card with value v and suit s +{ + Card::SetSuit('D'); // sets suit to D +} +RedCard::Card di; // allows access to functions in Card + +string Diamond::Description() const // Outputs card characteristics - value and color and suit as a string +{ + int Dval = Card::GetValue(); + string Dcol = Card::GetColor(); + char Dst = Card::GetSuit(); + string d = "Value = "; // temporary variable used to accumulate result + + switch (Dval) // Append card value to variable's value + { + case 2: d = d + "2"; break; // Number cards + case 3: d = d + "3"; break; + case 4: d = d + "4"; break; + case 5: d = d + "5"; break; + case 6: d = d + "6"; break; + case 7: d = d + "7"; break; + case 8: d = d + "8"; break; + case 9: d = d + "9"; break; + case 10: d = d + "10"; break; + + case 11: d = d + "J"; break; // Face cards + case 12: d = d + "Q"; break; + case 13: d = d + "K"; break; + case 14: d = d + "A"; break; + + default: d = d + "?"; break; // Unknown card + } + d = d + ", Color = "; // adds color to output string + if (Dcol=="black") d = d + "black"; + if (Dcol=="red") d = d + "red"; + else d=+ "unknown"; + // appends suit to output string + d =+ ", Suit = "; + switch (Dst) + { + case 'H': d =+ "H"; break; + case 'C': d =+ 'C'; break; + case 'D': d =+ 'D'; break; + case 'U': d =+ 'U'; break; + } + + return d; // Return string describing card value +} \ No newline at end of file diff --git a/CPE212/Project_02/diamond.h b/CPE212/Project_02/diamond.h new file mode 100644 index 0000000..7e68ef2 --- /dev/null +++ b/CPE212/Project_02/diamond.h @@ -0,0 +1,27 @@ +// +// diamond.h -- CPE 212-01, Fall 2010 -- Project02 -- Classes +// +// DO NOT MODIFY OR SUBMIT THIS FILE!!! +// + +#ifndef DIAMOND_H +#define DIAMOND_H + +#include "redcard.h" + +class Diamond : public RedCard +{ + private: + // No additional private members + + public: + // Constructors + Diamond(int v); // Creates a red diamond card with value v + + string Description() const; // Outputs card characteristics - value, color, suit + // Hint: use base class Description method to generate part of + // the description and append the suit information at the end +}; + +#endif + diff --git a/CPE212/Project_02/diamond.o b/CPE212/Project_02/diamond.o new file mode 100644 index 0000000..800ab58 Binary files /dev/null and b/CPE212/Project_02/diamond.o differ diff --git a/CPE212/Project_02/heart.cpp b/CPE212/Project_02/heart.cpp new file mode 100644 index 0000000..05551cb --- /dev/null +++ b/CPE212/Project_02/heart.cpp @@ -0,0 +1,51 @@ +// heart inherits from redcard +#include "heart.h" + +Heart::Heart(int v) : RedCard::RedCard(v) // Parameterized constructor that creates a black card with value v and unknown suit +{ + Card::SetSuit('H'); // sets suit to H +} +RedCard::Card ht; // allows access to functions in Card + +string Heart::Description() const // Outputs card characteristics - value and color and suit as a string +{ + int Hval = Card::GetValue(); + string Hcol = Card::GetColor(); + char Hst = Card::GetSuit(); + string d = "Value = "; // temporary variable used to accumulate result + + switch (Hval) // Append card value to variable's value + { + case 2: d = d + "2"; break; // Number cards + case 3: d = d + "3"; break; + case 4: d = d + "4"; break; + case 5: d = d + "5"; break; + case 6: d = d + "6"; break; + case 7: d = d + "7"; break; + case 8: d = d + "8"; break; + case 9: d = d + "9"; break; + case 10: d = d + "10"; break; + + case 11: d = d + "J"; break; // Face cards + case 12: d = d + "Q"; break; + case 13: d = d + "K"; break; + case 14: d = d + "A"; break; + + default: d = d + "?"; break; // Unknown card + } + d = d + ", Color = "; // adds color to output string + if (Hcol=="black") d = d + "black"; + if (Hcol=="red") d = d + "red"; + else d=+ "unknown"; + // appends suit to output string + d =+ ", Suit = "; + switch (Hst) + { + case 'H': d =+ "H"; break; + case 'C': d =+ 'C'; break; + case 'D': d =+ 'D'; break; + case 'U': d =+ 'U'; break; + } + + return d; // Return string describing card value +} \ No newline at end of file diff --git a/CPE212/Project_02/heart.h b/CPE212/Project_02/heart.h new file mode 100644 index 0000000..d367f6b --- /dev/null +++ b/CPE212/Project_02/heart.h @@ -0,0 +1,28 @@ +// +// heart.h -- CPE 212-01, Fall 2010 -- Project02 -- Classes +// +// DO NOT MODIFY OR SUBMIT THIS FILE!!! +// + +#ifndef HEART_H +#define HEART_H + +#include "redcard.h" + +class Heart : public RedCard +{ + private: + // No additional private members + + public: + // Constructors + Heart(int v); // Creates a red heart card with value v + + string Description() const; // Outputs card characteristics - value, color, suit + // Hint: use base class Description method to generate part of + // the description and append the suit information at the end +}; + +#endif + + diff --git a/CPE212/Project_02/heart.o b/CPE212/Project_02/heart.o new file mode 100644 index 0000000..25115f8 Binary files /dev/null and b/CPE212/Project_02/heart.o differ diff --git a/CPE212/Project_02/main.cpp b/CPE212/Project_02/main.cpp new file mode 100644 index 0000000..850a127 --- /dev/null +++ b/CPE212/Project_02/main.cpp @@ -0,0 +1,148 @@ +// +// main.cpp -- Project02, CPE212 Fall 2010 -- Classes +// +// Driver program for Card program which is used to test each +// class member function. +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// + +// List of allowed include files appear below +#include +#include +#include +#include "card.h" +#include "heart.h" +#include "diamond.h" +#include "club.h" +#include "spade.h" + + +using namespace std; // Global using declaration + +void Bar(); // Prototype for Bar function +void Print(Card* someCard); // Prototype for Print function + + + +// Start of main() function + +int main (int argc, char * const argv[]) // Command-line arguments (more on this later) +{ + ifstream inputs; // Input file stream variable for test file + char op, ch; // Hold operation and optional char input from test file + string comment; // Holds comment string input from test file + Card* ptr = NULL; // Pointer to the current card + int v; // Holds card value input from test file + + + // Output usage message if test input file name is not provided + if (argc != 2) + { + cout << "Usage:\n project02 \n"; + return 1; + } + + // Attempt to open test input file -- terminate if file does not open + inputs.open(argv[1]); + if (!inputs) + { + cout << "Error - unable to open input file" << endl; + return 1; + } + + // Process comment line from input file + getline(inputs, comment); // Input file header comment + cout << endl << comment << endl << endl; // Output file header comment + + + // Below is the primary loop that processes each operation appearing within the test file. + // Starts with an initial priming read of first operation + + inputs >> op; // Attempt to input first test operation from file + + while (inputs) // While Not-EOF + { + switch (op) // Process operation input from test file + { + case '#': // Test file comment + getline(inputs, comment); // Input and echo the comment appearing in the test file + cout << '#' << comment << endl; + break; + + case 'p': // Print Card + Print(ptr); + cout << endl; + break; + + case 'b': // Print Bar + Bar(); // Function definition appears at the end of this file + break; + + case '+': // Constructor + inputs >> op; // Input card type into op + + try + { + switch (op) // Invoke requested constructor with argument as required + { + case 'h': inputs >> v; cout << "Heart(" << v << ") -- "; ptr = new Heart(v); break; + case 'd': inputs >> v; cout << "Diamond(" << v << ") -- "; ptr = new Diamond(v); break; + case 'c': inputs >> v; cout << "Club(" << v << ") -- "; ptr = new Club(v); break; + case 's': inputs >> v; cout << "Spade(" << v << ") -- "; ptr = new Spade(v); break; + case 'b': inputs >> v; cout << "BlackCard(" << v << ") -- "; ptr = new BlackCard(v); break; + case 'r': inputs >> v; cout << "RedCard(" << v << ") -- "; ptr = new RedCard(v); break; + case 'x': inputs >> v; cout << "Card(" << v << ") -- "; ptr = new Card(v); break; + case 'z': cout << "Card() -- "; ptr = new Card; break; + default: cout << "Error: Unknown Card Type"; ptr = NULL; break; + } + cout << "Successful"; + } + catch ( ... ) // Catch any exception thrown above + { + cout << "Failed"; + } + + cout << endl; + break; + + case '-': // Destructor + delete ptr; // Deallocate card + ptr = NULL; // Make sure that ptr is not a dangling pointer + break; + + default: // Error + cout << "Error - unrecognized operation '" << op << "'" << endl; + cout << "Terminating now..." << endl; + return 1; + break; + } + //cout << endl; + + inputs >> op; // Attempt to input next command + } + + + return 0; +} + +/************** Implementation of Print() and Bar() functions ********************/ + +// DO NOT MODIFY THIS CODE + +void Bar() +// Bar() -- prints horizontal bar +{ + cout << "#################################################################" << endl; +} // End Bar() + + +void Print(Card* someCard) +// Writes description of card to stdout +{ + cout << someCard->Description(); // Hint: Polymorphic function +} + +/************** End of main.cpp ***************/ + + diff --git a/CPE212/Project_02/main.o b/CPE212/Project_02/main.o new file mode 100644 index 0000000..a1e12ec Binary files /dev/null and b/CPE212/Project_02/main.o differ diff --git a/CPE212/Project_02/makefile b/CPE212/Project_02/makefile new file mode 100644 index 0000000..2ebd2f3 --- /dev/null +++ b/CPE212/Project_02/makefile @@ -0,0 +1,43 @@ +# Project02 Makefile -- CPE 212-01, Fall 2010 + +project02: main.o card.o redcard.o blackcard.o heart.o diamond.o club.o spade.o + g++ main.o card.o redcard.o blackcard.o heart.o diamond.o club.o spade.o -o project02 + + +main.o: main.cpp card.h redcard.h blackcard.h heart.h diamond.h club.h spade.h + g++ -c main.cpp + + +card.o: card.cpp card.h + g++ -c card.cpp + + +redcard.o: redcard.cpp redcard.h card.h + g++ -c redcard.cpp + + +blackcard.o: blackcard.cpp blackcard.h card.h + g++ -c blackcard.cpp + + +heart.o: heart.cpp heart.h redcard.h card.h + g++ -c heart.cpp + + +diamond.o: diamond.cpp diamond.h redcard.h card.h + g++ -c diamond.cpp + + +club.o: club.cpp club.h blackcard.h card.h + g++ -c club.cpp + + +spade.o: spade.cpp spade.h blackcard.h card.h + g++ -c spade.cpp + + +# Remove executable and all object files if they exist +clean: + rm *.o project02 + + diff --git a/CPE212/Project_02/p02input1.txt b/CPE212/Project_02/p02input1.txt new file mode 100644 index 0000000..6864575 --- /dev/null +++ b/CPE212/Project_02/p02input1.txt @@ -0,0 +1,67 @@ +# p02input1.txt - Test Card Class + +b + +# Test of default constructor ++ z +p +- + +b + +# Test of parameterized constructor ++ x 2 +p +- + +b + +# Test of parameterized constructor ++ x 9 +p +- + +b + +# Test of parameterized constructor ++ x 10 +p +- + +b + +# Test of parameterized constructor ++ x 11 +p +- + +b + +# Test of parameterized constructor ++ x 12 +p +- + +b + +# Test of parameterized constructor ++ x 13 +p +- + +b + +# Test of parameterized constructor ++ x 14 +p +- + +b + +# Test of parameterized constructor ++ x 15 +p +- + +b + diff --git a/CPE212/Project_02/p02input2.txt b/CPE212/Project_02/p02input2.txt new file mode 100644 index 0000000..b27a346 --- /dev/null +++ b/CPE212/Project_02/p02input2.txt @@ -0,0 +1,67 @@ +# p02input2.txt - Test RedCard Class + +b + +# Test of parameterized constructor ++ r 1 +p +- + +b + +# Test of parameterized constructor ++ r 2 +p +- + +b + +# Test of parameterized constructor ++ r 9 +p +- + +b + +# Test of parameterized constructor ++ r 10 +p +- + +b + +# Test of parameterized constructor ++ r 11 +p +- + +b + +# Test of parameterized constructor ++ r 12 +p +- + +b + +# Test of parameterized constructor ++ r 13 +p +- + +b + +# Test of parameterized constructor ++ r 14 +p +- + +b + +# Test of parameterized constructor ++ r 15 +p +- + +b + diff --git a/CPE212/Project_02/p02input3.txt b/CPE212/Project_02/p02input3.txt new file mode 100644 index 0000000..c09fccb --- /dev/null +++ b/CPE212/Project_02/p02input3.txt @@ -0,0 +1,68 @@ +# p02input3.txt - Test BlackCard Class + +b + +# Test of parameterized constructor ++ b 1 +p +- + +b + +# Test of parameterized constructor ++ b 2 +p +- + +b + +# Test of parameterized constructor ++ b 9 +p +- + +b + +# Test of parameterized constructor ++ b 10 +p +- + +b + +# Test of parameterized constructor ++ b 11 +p +- + +b + +# Test of parameterized constructor ++ b 12 +p +- + +b + +# Test of parameterized constructor ++ b 13 +p +- + +b + +# Test of parameterized constructor ++ b 14 +p +- + +b + +# Test of parameterized constructor ++ b 15 +p +- + +b + + diff --git a/CPE212/Project_02/p02input4.txt b/CPE212/Project_02/p02input4.txt new file mode 100644 index 0000000..f4d3cfb --- /dev/null +++ b/CPE212/Project_02/p02input4.txt @@ -0,0 +1,141 @@ +# p02input4.txt - Test Heart and Diamond Classes + +b + +# Test of Heart Class + +b + +# Test of parameterized constructor ++ h 1 +p +- + +b + +# Test of parameterized constructor ++ h 2 +p +- + +b + +# Test of parameterized constructor ++ h 9 +p +- + +b + +# Test of parameterized constructor ++ h 10 +p +- + +b + +# Test of parameterized constructor ++ h 11 +p +- + +b + +# Test of parameterized constructor ++ h 12 +p +- + +b + +# Test of parameterized constructor ++ h 13 +p +- + +b + +# Test of parameterized constructor ++ h 14 +p +- + +b + +# Test of parameterized constructor ++ h 15 +p +- + +b +b + + +# Test of Diamond Class + +b + +# Test of parameterized constructor ++ d 1 +p +- + +b + +# Test of parameterized constructor ++ d 2 +p +- + +b + +# Test of parameterized constructor ++ d 9 +p +- + +b + +# Test of parameterized constructor ++ d 10 +p +- + +b + +# Test of parameterized constructor ++ d 11 +p +- + +b + +# Test of parameterized constructor ++ d 12 +p +- + +b + +# Test of parameterized constructor ++ d 13 +p +- + +b + +# Test of parameterized constructor ++ d 14 +p +- + +b + +# Test of parameterized constructor ++ d 15 +p +- + +b + + diff --git a/CPE212/Project_02/p02input5.txt b/CPE212/Project_02/p02input5.txt new file mode 100644 index 0000000..359615a --- /dev/null +++ b/CPE212/Project_02/p02input5.txt @@ -0,0 +1,141 @@ +# p02input5.txt - Test Club and Spade Classes + +b + +# Test of Club Class + +b + +# Test of parameterized constructor ++ c 1 +p +- + +b + +# Test of parameterized constructor ++ c 2 +p +- + +b + +# Test of parameterized constructor ++ c 9 +p +- + +b + +# Test of parameterized constructor ++ c 10 +p +- + +b + +# Test of parameterized constructor ++ c 11 +p +- + +b + +# Test of parameterized constructor ++ c 12 +p +- + +b + +# Test of parameterized constructor ++ c 13 +p +- + +b + +# Test of parameterized constructor ++ c 14 +p +- + +b + +# Test of parameterized constructor ++ c 15 +p +- + +b +b + + +# Test of Spade Class + +b + +# Test of parameterized constructor ++ s 1 +p +- + +b + +# Test of parameterized constructor ++ s 2 +p +- + +b + +# Test of parameterized constructor ++ s 9 +p +- + +b + +# Test of parameterized constructor ++ s 10 +p +- + +b + +# Test of parameterized constructor ++ s 11 +p +- + +b + +# Test of parameterized constructor ++ s 12 +p +- + +b + +# Test of parameterized constructor ++ s 13 +p +- + +b + +# Test of parameterized constructor ++ s 14 +p +- + +b + +# Test of parameterized constructor ++ s 15 +p +- + +b + + diff --git a/CPE212/Project_02/project02 b/CPE212/Project_02/project02 new file mode 100644 index 0000000..0e096aa Binary files /dev/null and b/CPE212/Project_02/project02 differ diff --git a/CPE212/Project_02/redcard.cpp b/CPE212/Project_02/redcard.cpp new file mode 100644 index 0000000..9f91ef7 --- /dev/null +++ b/CPE212/Project_02/redcard.cpp @@ -0,0 +1,40 @@ +// redcard inherits from card +#include "redcard.h" + +RedCard::RedCard(int v) : Card::Card(v) // Parameterized constructor that creates a red card with value v and unknown suit +{ + Card::SetColor("red"); +} + + + +string RedCard::Description() const // Outputs card characteristics - value and color as a string +{ + int Rval = Card::GetValue(); + string Rcol = Card::GetColor(); + string d = "Value = "; // temporary variable used to accumulate result + + switch (Rval) // Append card value to variable's value + { + case 2: d = d + "2"; break; // Number cards + case 3: d = d + "3"; break; + case 4: d = d + "4"; break; + case 5: d = d + "5"; break; + case 6: d = d + "6"; break; + case 7: d = d + "7"; break; + case 8: d = d + "8"; break; + case 9: d = d + "9"; break; + case 10: d = d + "10"; break; + case 11: d = d + "J"; break; // Face cards + case 12: d = d + "Q"; break; + case 13: d = d + "K"; break; + case 14: d = d + "A"; break; + + default: d = d + "?"; break; // Unknown card + } + d = d + ", Color = "; // adds color to output string + if (Rcol=="black") d = d + "black"; + if (Rcol=="red") d = d + "red"; + else d=+ "unknown"; + return d; // Return string describing card value +} \ No newline at end of file diff --git a/CPE212/Project_02/redcard.h b/CPE212/Project_02/redcard.h new file mode 100644 index 0000000..6e7e523 --- /dev/null +++ b/CPE212/Project_02/redcard.h @@ -0,0 +1,27 @@ +// +// redcards.h -- CPE 212-01, Fall 2010 -- Project02 -- Classes +// +// DO NOT MODIFY OR SUBMIT THIS FILE!!! +// + +#ifndef REDCARD_H +#define REDCARD_H + +#include "card.h" + +class RedCard : public Card +{ + private: + // No additional private members + + public: + // Constructors + RedCard(int v); // Creates a red card with value v and unknown suit + + string Description() const; // Outputs card characteristics - value and color as a string + // Hint: use base class Description method to generate part of + // the description and append the color information at the end +}; + +#endif + diff --git a/CPE212/Project_02/redcard.o b/CPE212/Project_02/redcard.o new file mode 100644 index 0000000..0bb425e Binary files /dev/null and b/CPE212/Project_02/redcard.o differ diff --git a/CPE212/Project_02/spade.cpp b/CPE212/Project_02/spade.cpp new file mode 100644 index 0000000..1b3d3d6 --- /dev/null +++ b/CPE212/Project_02/spade.cpp @@ -0,0 +1,52 @@ +// spade inherits from blackcard +#include "spade.h" + +Spade::Spade(int v) : BlackCard::BlackCard(v) // Parameterized constructor that creates a black card with value v and unknown suit +{ + Card::SetSuit('S'); // sets suit to S + +} +Spade::Card sp; // allows access to functions in Card + +string Spade::Description() const // Outputs card characteristics - value and color and suit as a string +{ + int Sval = Card::GetValue(); + string Scol = Card::GetColor(); + char Sst = Card::GetSuit(); + string d = "Value = "; // temporary variable used to accumulate result + + switch (Sval) // Append card value to variable's value + { + case 2: d = d + "2"; break; // Number cards + case 3: d = d + "3"; break; + case 4: d = d + "4"; break; + case 5: d = d + "5"; break; + case 6: d = d + "6"; break; + case 7: d = d + "7"; break; + case 8: d = d + "8"; break; + case 9: d = d + "9"; break; + case 10: d = d + "10"; break; + + case 11: d = d + "J"; break; // Face cards + case 12: d = d + "Q"; break; + case 13: d = d + "K"; break; + case 14: d = d + "A"; break; + + default: d = d + "?"; break; // Unknown card + } + d = d + ", Color = "; // adds color to output string + if (Scol=="black") d = d + "black"; + if (Scol=="red") d = d + "red"; + else d=+ "unknown"; + // appends suit to output string + d =+ ", Suit = "; + switch (Sst) + { + case 'H': d =+ "H"; break; + case 'C': d =+ 'C'; break; + case 'D': d =+ 'D'; break; + case 'U': d =+ 'U'; break; + } + + return d; // Return string describing card value +} \ No newline at end of file diff --git a/CPE212/Project_02/spade.h b/CPE212/Project_02/spade.h new file mode 100644 index 0000000..9867e6e --- /dev/null +++ b/CPE212/Project_02/spade.h @@ -0,0 +1,26 @@ +// +// spade.h -- CPE 212-01, Fall 2010 -- Project02 -- Classes +// +// DO NOT MODIFY OR SUBMIT THIS FILE!!! +// + +#ifndef SPADE_H +#define SPADE_H + +#include "blackcard.h" + +class Spade : public BlackCard +{ + private: + // No additional private members + + public: + // Constructors + Spade(int v); // Creates a black spade card with value v + + string Description() const; // Outputs card characteristics - value, color, suit + // Hint: use base class Description method to generate part of + // the description and append the suit information at the end +}; + +#endif \ No newline at end of file diff --git a/CPE212/Project_02/spade.o b/CPE212/Project_02/spade.o new file mode 100644 index 0000000..c862011 Binary files /dev/null and b/CPE212/Project_02/spade.o differ diff --git a/CPE212/Project_03/main.cpp b/CPE212/Project_03/main.cpp new file mode 100644 index 0000000..03daaf6 --- /dev/null +++ b/CPE212/Project_03/main.cpp @@ -0,0 +1,212 @@ +// +// main.cpp -- 2010 Fall -- Project03 -- Stacks +// +// Driver program for Stack ADT -- The text files (read by this code) contain a series of commands +// that will help you test your Stack ADT code by triggering various Stack class methods. +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// +#include +#include +#include +#include +#include "stack.h" + +using namespace std; + +int main(int argc, char* argv[]) +{ + ifstream inputs; // Input file for commands + char op; // Hold operation and optional char input + int value; // Value input from file + string comment; // Holds comment from file + Stack* sPtr = NULL; // Will point to TemplateQ object + + // Output usage message if one input file name is not provided + if (argc != 2) + { + cout << "Usage:\n project03 \n"; + return 1; + } + + // Attempt to open input file -- terminate if file does not open + inputs.open(argv[1]); + if (!inputs) + { + cout << "Error - unable to open input file" << endl; + return 1; + } + + // Input and echo header comment from file + getline(inputs, comment); + cout << endl << '#' << comment << endl; + + // Process commands from input file + inputs >> op; // Attempt to input first command + while (inputs) + { + switch (op) // Process operation input from file + { + case '#': // Test file comment + getline(inputs, comment); // Input and echo the comment appearing in the test file + cout << '#' << comment << endl; + break; + + case 'c': // Parameterized Constructor + inputs >> value; + cout << endl << "Stack(" << value << ")"; + try + { + sPtr = new Stack(value); // Attempt to create a stack object with array size value + cout << " -- Successful" << endl; + } + catch ( std::bad_alloc ) + { + cout << "Failed : Terminating now..." << endl; + return 1; + } + break; + + case '+': // Push + inputs >> value; + cout << "Push(" << value << ")"; + try + { + sPtr->Push(value); + cout << " -- successful"; + } + catch (StackFull) + { + cout << " -- Failed Full Stack"; + } + cout << endl; + break; + + case '-': // Pop + cout << "Pop() -- "; + try + { + sPtr->Pop(); + cout << "successful"; + } + catch (StackEmpty) + { + cout << "Failed Empty Stack"; + } + cout << endl; + break; + + case 'f': // IsFull + cout << "IsFull() -- "; + try + { + if (sPtr->IsFull()) + cout << "true"; + else + cout << "false"; + } + catch ( ... ) + { + cout << "operation failed"; + } + cout << endl; + break; + + case 'e': // IsEmpty + cout << "IsEmpty() -- "; + try + { + if (sPtr->IsEmpty()) + cout << "true"; + else + cout << "false"; + } + catch ( ... ) + { + cout << "operation failed"; + } + cout << endl; + break; + + case 'm': // Make Empty + sPtr->MakeEmpty(); + cout << "MakeEmpty()" << endl; + break; + + case 'p': // Print Stack + cout << "Print() -- "; + sPtr->Print(); + break; + + case 't': // Top of Stack + try + { + cout << "Top() -- " << sPtr->Top() << endl; + } + catch (StackEmpty) + { + cout << "Top() -- Failed Empty Stack" << endl; + } + break; + + case '>': // Max value within Stack + try + { + cout << "Max() -- " << sPtr->Max() << endl; + } + catch (StackEmpty) + { + cout << "Max() -- Failed Empty Stack" << endl; + } + break; + + case '<': // Min value within Stack + try + { + cout << "Min() -- " << sPtr->Min() << endl; + } + catch (StackEmpty) + { + cout << "Min() -- Failed Empty Stack" << endl; + } + break; + + case '?': // Peek(n) Stack + inputs >> value; + try + { + cout << "Peek(" << value << ") -- " << sPtr->Peek(value) << endl; + } + catch (StackInvalidPeek) + { + cout << "Peek(" << value << ") -- Failed Invalid Peek" << endl; + } + break; + + case 's': // Size of Stack + cout << "Size() -- " << sPtr->Size() << endl; + break; + + case 'z': // Capacity of Stack + cout << "Capacity() -- " << sPtr->Capacity() << endl; + break; + + case 'd': // Destructor + delete sPtr; + sPtr = NULL; + cout << "~Stack()" << endl << endl; + break; + + default: // Error + cout << "Error - unrecognized operation '" << op << "'" << endl; + cout << "Terminating now..." << endl; + return 1; + break; + } + + inputs >> op; // Attempt to input next command + } + + return 0; +} // End main() + diff --git a/CPE212/Project_03/main.o b/CPE212/Project_03/main.o new file mode 100644 index 0000000..002f0cf Binary files /dev/null and b/CPE212/Project_03/main.o differ diff --git a/CPE212/Project_03/makefile b/CPE212/Project_03/makefile new file mode 100644 index 0000000..12a233d --- /dev/null +++ b/CPE212/Project_03/makefile @@ -0,0 +1,15 @@ +# Project03 makefile + +project03: stack.o main.o + g++ stack.o main.o -o project03 + +stack.o: stack.h stack.cpp + g++ -c stack.cpp + +main.o: stack.h main.cpp + g++ -c main.cpp + +clean: + rm *.o project03 + + diff --git a/CPE212/Project_03/p03input1.txt b/CPE212/Project_03/p03input1.txt new file mode 100644 index 0000000..1d40456 --- /dev/null +++ b/CPE212/Project_03/p03input1.txt @@ -0,0 +1,43 @@ +# p03input1.txt -- Test: Stack(), Push(), Pop(), and Top() + +c 8 +p +t ++ 5 ++ 10 ++ 15 +p +t ++ 20 ++ 25 ++ 30 +p +t +- +- +p ++ 35 ++ 40 +t ++ 45 +t +p +- +- +- +- +- +- +- +- +p +t +d + + +c 4 +p +- +t +d + diff --git a/CPE212/Project_03/p03input2.txt b/CPE212/Project_03/p03input2.txt new file mode 100644 index 0000000..ad369f6 --- /dev/null +++ b/CPE212/Project_03/p03input2.txt @@ -0,0 +1,38 @@ +# p03input2.txt -- Test IsFull(), IsEmpty(), and MakeEmpty() + +c 8 +e +f ++ 5 ++ 10 ++ 15 ++ 20 ++ 25 ++ 30 +p +e +f +m +p +e +f ++ 35 ++ 40 ++ 45 ++ 50 +p +e +f +- +- +- +p +e +f +m +p +e +f +d + + diff --git a/CPE212/Project_03/p03input3.txt b/CPE212/Project_03/p03input3.txt new file mode 100644 index 0000000..a0b02f0 --- /dev/null +++ b/CPE212/Project_03/p03input3.txt @@ -0,0 +1,58 @@ +# p03input3.txt -- Test Size(), Capacity() + +# Test Size() +c 6 +p +s ++ 5 ++ 10 +p +s ++ 15 ++ 20 +p +s ++ 25 ++ 30 +p +s +- +- +- +p +s +- +- +- +p +s +d + +# Test Capacity() +c 2 +z ++ 5 +z ++ 10 +z ++ 15 +z ++ 20 +z ++ 25 +z ++ 30 +z +p +- +- +- +z +p +- +- +- +z +p +d + diff --git a/CPE212/Project_03/p03input4.txt b/CPE212/Project_03/p03input4.txt new file mode 100644 index 0000000..2aa5486 --- /dev/null +++ b/CPE212/Project_03/p03input4.txt @@ -0,0 +1,34 @@ +# p03input4.txt -- Test Min() and Max() + +c 8 +< +> ++ 5 ++ 30 ++ 15 ++ 20 ++ 50 ++ 10 +p +< +> ++ 20 ++ 50 ++ 5 +p +< +> +- +- +- +p +< +> +- +- +- +p +< +> +d + diff --git a/CPE212/Project_03/p03input5.txt b/CPE212/Project_03/p03input5.txt new file mode 100644 index 0000000..20d99ea --- /dev/null +++ b/CPE212/Project_03/p03input5.txt @@ -0,0 +1,51 @@ +# p03input5.txt -- Test Peek(n) + +c 8 +? 0 ++ 5 ++ 30 ++ 15 ++ 20 ++ 50 ++ 10 +p +? 0 +? 1 +? 2 +? 3 +? 4 +? 5 +? 6 +p +- +- +- +p +? 0 +? 1 +? 2 +? 5 +p +- +- +- +p +? 0 +? 1 +? 2 +? 3 +? 4 +? 5 ++ 25 ++ 9 ++ 8 +p +? 0 +? 1 +? 2 +? 3 +? 4 +? 5 +d + + diff --git a/CPE212/Project_03/project03 b/CPE212/Project_03/project03 new file mode 100644 index 0000000..2eb512f Binary files /dev/null and b/CPE212/Project_03/project03 differ diff --git a/CPE212/Project_03/stack.cpp b/CPE212/Project_03/stack.cpp new file mode 100644 index 0000000..3478769 --- /dev/null +++ b/CPE212/Project_03/stack.cpp @@ -0,0 +1,157 @@ +#include +#include +#include "stack.h" + + +int Max_Stack; // Global variable for stack size +Stack::Stack(int n) // Parameterized constructor dynamically allocates an empty stack array +{ // that may hold no more than n elements and initializes other private variables + Max_Stack=n; + array = new int[n]; + top=-1; + num=n; +} + +void Stack::Resize(int n) // Attempts to increase size of stack array to 2*num and then push n onto stack +{ // If unable to resize, throw StackFull exception + try + { + int largerArry[2*Max_Stack]; + for (int i = 0; i < num; i++) + { + largerArry[i]=array[i]; + } + delete [] array; + num= num * 2; // num to twice original size + Max_Stack=num; + array = largerArry; + array[top]=n; + //top++; + } + catch( ... ) + { + throw StackFull(); + //return; + } +} + +Stack::~Stack() +{ + delete [] array; +} + +void Stack::Push(int n) +{ + bool full = IsFull(); + if (full) + { + Resize(n); + array[top]=n; + } + //array[top]=n; + top++; + array[top]=n; +} + +void Stack::Pop() +{ + bool empty=IsEmpty(); + if (empty) + { + throw StackEmpty(); + } + top--; +} + +bool Stack::IsEmpty() const +{ + return (top == -1); +} + +bool Stack::IsFull() const +{ + return (top == Max_Stack); +} + +void Stack::MakeEmpty() +{ + top = -1; +} + +int Stack::Top() const +{ + bool empty=IsEmpty(); + if (empty) + { + throw StackEmpty(); + //return; + } + return array[top]; +} + +int Stack::Size() const // Returns number of items on stack WITHOUT modifying the stack +{ + return top; +} + +int Stack::Max() const // Returns value of largest integer on stack WITHOUT modifying the stack +{ // If stack is empty, throws StackEmpty + bool empty=IsEmpty(); + if (empty) + { + throw StackEmpty(); + } + + int i; + + // Initialize maximum element + int max = array[0]; + + // Traverse array elements + // from second and compare + // every element with current max + for (i = 1; i < top; i++) + { + if (array[i] > max) + max = array[i]; + } + return max; +} + +int Stack::Min() const // Returns value of smallest integer on stack WITHOUT modifying the stack +{ // If stack is empty, throws StackEmpty + bool empty=IsEmpty(); + if (empty) + { + throw StackEmpty(); + } + + int i; + + // Initialize minimum element + int min = array[0]; + + // Traverse array elements + // from second and compare + // every element with current min + for (i = 1; i < top; i++) + { + if (array[i] < min) + min = array[i]; + } + return min; +} + +int Stack::Peek(unsigned int n) const // Returns stack value n levels down from top of stack. Peek(0) = Top() +{ // If position n does not exist, throws StackInvalidPeek + + if(!array[top-n]) + throw StackInvalidPeek(); + int st=array[top-n]; + return st; +} + +int Stack::Capacity() const // Returns total num of elements that maybe stored in stack array +{ + return Max_Stack; +} \ No newline at end of file diff --git a/CPE212/Project_03/stack.h b/CPE212/Project_03/stack.h new file mode 100644 index 0000000..1d2dc2f --- /dev/null +++ b/CPE212/Project_03/stack.h @@ -0,0 +1,103 @@ +// +// stack.h 2010 Fall CPE 212 -- Project03 -- Stacks +// +// The comments have been updated, but there have been no changes to the code. +// +// Specification file for Stack class, a stack of integers implemented +// as a linked list of nodes. +// +// ***** DO NOT MODIFY OR SUBMIT THIS FILE ***** +// +#include +using namespace std; + + +#ifndef STACK_H +#define STACK_H + +class StackEmpty +{ + // Exception class - throw an object of this type when stack is empty + // Hint: there is no code for exception classes +}; + + +class StackFull +{ + // Exception class - throw an object of this type when stack is full +}; + +class StackInvalidPeek +{ + // Exception class - throw an object of this type when invalid peek position is used +}; + + +class Stack // Models stack of integers ADT implemented as a dynamically allocated array +{ + private: + int* array; // Points to the stack array + int num; // Holds max number of elements that may be stored in stack array + int top; // Holds the index of the top data value stored on the stack + void Resize(int n); // Attempts to increase size of stack array to 2*num and then push n onto stack + // If unable to resize, throw StackFull exception + + public: + Stack(int n); // Parameterized constructor dynamically allocates an empty stack array + // that may hold no more than n elements and initializes other private variables + + + ~Stack(); // Destructor deallocates all dynamically-allocated memory + // associated with the object + + void Push(int n); // Pushes integer n onto top of stack. If stack is full, attempts to + // resize stack and then push n. If unable to resize, throws StackFull exception. + + void Pop(); // Removes top integer from stack + // If stack is empty, throws StackEmpty exception + + bool IsEmpty() const; // Returns true if stack is empty; false otherwise + + bool IsFull() const; // Returns true if stack is full; false otherwise + + void MakeEmpty(); // Removes all items from stack leaving an empty, but usable stack with capacity num + // If stack is already empty, MakeEmpty() does nothing + + int Top() const; // Returns value of top integer on stack WITHOUT modifying the stack + // If stack is empty, throws StackEmpty exception + + int Size() const; // Returns number of items on stack WITHOUT modifying the stack + + + int Max() const; // Returns value of largest integer on stack WITHOUT modifying the stack + // If stack is empty, throws StackEmpty + + int Min() const; // Returns value of smallest integer on stack WITHOUT modifying the stack + // If stack is empty, throws StackEmpty + + int Peek(unsigned int n) const; // Returns stack value n levels down from top of stack. Peek(0) = Top() + // If position n does not exist, throws StackInvalidPeek + + int Capacity() const; // Returns total num of elements that maybe stored in stack array + + /******* DO NOT MODIFY ANY OF THE CODE FOR PRINT() *******/ + /****** DO NOT PLACE A COPY OF PRINT() CODE IN STACK.CPP!!! *******/ + + void Print() const // Writes stack contents to stdout, separated by a space, followed by endl + { + int index = top; + cout << "Top { "; + + while (index != -1) // Loop to output any values stored on stack + { + cout << array[index] << " "; + index--; + } + cout << "} Bottom" << endl; + } // End Print() + +}; // End Class Stack + +#endif + + diff --git a/CPE212/Project_03/stack.o b/CPE212/Project_03/stack.o new file mode 100644 index 0000000..2b29799 Binary files /dev/null and b/CPE212/Project_03/stack.o differ diff --git a/CPE212/Project_04/list.cpp b/CPE212/Project_04/list.cpp new file mode 100644 index 0000000..152526c --- /dev/null +++ b/CPE212/Project_04/list.cpp @@ -0,0 +1,154 @@ +#include +#include +#include "list.h" + + +Node list; +List::List() +{ + head = NULL; + num=0; +} + +List::~List() +{ + delete head; +} + +void List::Append(string newword) +{ + Node* tempPtr=new Node; + tempPtr->word= newword; + tempPtr->next= NULL; + //num++; + if (head==NULL) + { + head=tempPtr; + num++; + return; + //head->next=NULL; + } + else + { + Node *current=head; + while(current ->next) current=current->next; + current->next = tempPtr; + } + + num++; +} + +void List::InsertAt(int pos, string newword) +{ + Node* prev = new Node(); + Node* curr = new Node(); + Node* newNode = new Node(); + newNode->word = newword; + + int tempPos = 0; // Traverses through the list + + curr = head; // Initialize current to head; + if(head != NULL) + { + while(curr->next != NULL && tempPos != pos) + { + prev = curr; + curr = curr->next; + tempPos++; + } + if(pos==0) + { + + } + else if(curr->next == NULL && pos == tempPos+1) + { + Append(newword); + // Call function to append + } + else if(pos > tempPos+1 || pos>num || pos<0) throw ListBadPosition(); + //Position not valid + else + { + prev->next = newNode; + newNode->next = curr; + } + } + else + { + head = newNode; + newNode->next=NULL; + } +} + + +void List::Delete(string someword) +{ + Node *prev=head; + Node *current=head->next; + int wordNotFound=0; + while (current != NULL) + { + // If current word is in list, break out of loop + if(current->word == someword) break; + else + { + prev=current; + prev=current->next; + } + } + if(current==NULL) throw ListNotFound(); + // Error handling: if current word is not in list, throw ListNotFound + else + { + prev->next = current ->next; + delete current; + } + +} + +void List::Replace(string oldword, string newword) +{ + Node *current=head; + int oldWordNotFound=0; + while (current != NULL) + { + // if oldword is found in list, replace oldword with newword + if(current->word==oldword) + { + current->word = newword; + return; + } + // if oldword not= to current word, increment wordNotFound + oldWordNotFound++; + current = current -> next; + if(oldWordNotFound==num) + break; + } + // if oldWordNotFound==num, throw ListNotFound + + throw ListNotFound(); +} + +int List::Length() const +{ + return num; +} + +bool List::Find(string someword) const +{ + Node *current=head; + int wordNotFound=0; + while (current != NULL) + { + // if current word does not equal someword, increment wordNotFound + if(current->word != someword) + { + wordNotFound++; + } + // If current word is in list, return true + if(current->word == someword) return true; + current = current->next; + } + // if wordNotFound == num, return false + if(wordNotFound == num) return false; +} \ No newline at end of file diff --git a/CPE212/Project_04/list.h b/CPE212/Project_04/list.h new file mode 100644 index 0000000..af82e54 --- /dev/null +++ b/CPE212/Project_04/list.h @@ -0,0 +1,101 @@ +// +// list.h -- 2010 Fall CPE 212 -- Project04 -- Lists +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// + +#ifndef LIST_H +#define LIST_H + +#include +using namespace std; + +class ListEmpty { /*** Empty Error Class ***/ }; +class ListFull { /*** Empty Error Class ***/ }; +class ListNotFound { /*** Empty Error Class ***/ }; +class ListBadPosition { /*** Empty Error Class ***/ }; + +struct Node +{ + string word; // A word in a phrase + Node* next; // Pointer to next node in sequence +}; + +class List // List ADT used to store words in a phrase +{ + private: + Node* head; // Pointer to head of word list + int num; // Number of words stored in list + + public: + /***** Constructor and Destructor *****/ + List(); + // Description of List() + // Constructor sets state of list to empty + + ~List(); + // Description of ~List() + // Destructor must deallocate all list nodes + + + /***** Transformer Methods *****/ + void Append(string newword); + // Description of Append(...) + // Appends newword to the end of the current phrase + // Error Handling: If list is full, throw ListFull object. + + void InsertAt(int pos, string newword); + // Description of InsertAt(...) + // Inserts newword at position pos. + // Positions numbered starting with zero (0 <= pos <= num). + // Hint: pos == num ==> append + // Word previously at pos should now be at position pos+1 + // Error Handling: If list is full, throws ListFull object + // If pos out of range, throws ListBadPosition object + + void Delete(string someword); + // Description of Delete(...) + // Deletes the first instance of someword if it is present. + // Error Handling: If someword is not in list, throws ListNotFound object + + void Replace(string oldword, string newword); + // Description of Replace(...) + // Replaces the first instance of oldword with newword. + // Error Handling: If oldword not found, throws ListNotFound object + + + /***** Observer Methods *****/ + int Length() const; + // Description of Length() + // Returns number of words in the list + // Error Handling: none + + bool Find(string someword) const; + // Description of Find(...) + // Returns true if someword is in list, false otherwise + // Error Handling: none + + + // DO NOT MODIFY OR SUBMIT Print() + void Print() const + // Description of Print() + // Prints the entire phrase to stdout + { + Node* temp = head; // Temp variable for scanning through list starting at head + + cout << "Head {"; // Output open brace + while (temp != NULL) // While there are words that must be printed... + { + cout << ' ' << temp->word; // ... print the next word + temp = temp->next; // ... and advance to the next node. + } + + cout << " }"; // Output close brace + } +}; + +#endif + + + + diff --git a/CPE212/Project_04/list.o b/CPE212/Project_04/list.o new file mode 100644 index 0000000..2caa695 Binary files /dev/null and b/CPE212/Project_04/list.o differ diff --git a/CPE212/Project_04/main.cpp b/CPE212/Project_04/main.cpp new file mode 100644 index 0000000..d74c0b3 --- /dev/null +++ b/CPE212/Project_04/main.cpp @@ -0,0 +1,168 @@ +// +// main.cpp -- 2010 Fall -- Project04 -- Lists +// +// Driver program for List ADT -- The text files (read by this code) contain a series of commands +// that will help you test your List ADT code by triggering various List class methods. +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// +#include +#include +#include +#include +#include "list.h" + +using namespace std; + +int main(int argc, char* argv[]) +{ + ifstream inputs; // Input file for commands + char op; // Hold operation and optional char input + string value, value2; // Values input from file + string comment; // Holds comment from file + int pos; // Holds position value from file + List* ptr = NULL; // Will point to List object + + // Output usage message if one input file name is not provided + if (argc != 2) + { + cout << "Usage:\n project04 \n"; + return 1; + } + + // Attempt to open input file -- terminate if file does not open + inputs.open(argv[1]); + if (!inputs) + { + cout << "Error - unable to open input file" << endl; + return 1; + } + + // Input and echo header comment from file + getline(inputs, comment); // Input and echo the comment appearing in the test file + cout << endl << '#' << comment << endl << endl; + + // Process commands from input file + inputs >> op; // Attempt to input first command + while (inputs) + { + switch (op) // Process operation input from file + { + case '#': // Test file comment + getline(inputs, comment); // Input and echo the comment appearing in the test file + cout << '#' << comment << endl; + break; + + case 'c': // Parameterized Constructor + cout << endl << "List()"; + try + { + ptr = new List(); // Attempt to create a List object + cout << " -- Successful" << endl; + } + catch ( std::bad_alloc ) + { + cout << "Failed : Terminating now..." << endl; + return 1; + } + break; + + case 'a': // Append + inputs >> value; + cout << "Append(" << value << ")"; + try + { + ptr->Append(value); + cout << " -- successful"; + } + catch (ListFull) + { + cout << " -- Failed Full List"; + } + cout << endl; + break; + + case '-': // Delete word + inputs >> value; + cout << "Delete(" << value << ") -- "; + try + { + ptr->Delete(value); + cout << "successful"; + } + catch (ListNotFound) + { + cout << "Failed Not In List"; + } + cout << endl; + break; + + case '+': // Insert at position + inputs >> pos >> value; + cout << "InsertAt(" << pos << ", " << value << ") -- "; + try + { + ptr->InsertAt(pos, value); + cout << "Successful" << endl; + } + catch (ListBadPosition) + { + cout << "Failed Bad Position" << endl; + } + break; + + case 'p': // Print List + cout << "Print() -- "; + ptr->Print(); + cout << endl; + break; + + case 'r': // Replace word + inputs >> value >> value2; + try + { + cout << "Replace(" << value << ", " << value2 << ") -- "; + ptr->Replace(value, value2); + cout << "Successful" << endl; + } + catch (ListNotFound) + { + cout << "Failed Not Found in List" << endl; + } + break; + + case '?': // Find in List + inputs >> value; + if ( ptr->Find(value) ) + { + cout << "Find(" << value << ") -- True" << endl; + } + else + { + cout << "Find(" << value << ") -- False" << endl; + } + break; + + case 'l': // Length of List + cout << "Length() -- " << ptr->Length() << endl; + break; + + case 'd': // Destructor + delete ptr; + ptr = NULL; + cout << "~List()" << endl << endl; + break; + + default: // Error + cout << "Error - unrecognized operation '" << op << "'" << endl; + cout << "Terminating now..." << endl; + return 1; + break; + } + + inputs >> op; // Attempt to input next command + } + + return 0; +} // End main() + diff --git a/CPE212/Project_04/main.o b/CPE212/Project_04/main.o new file mode 100644 index 0000000..5bf51d3 Binary files /dev/null and b/CPE212/Project_04/main.o differ diff --git a/CPE212/Project_04/makefile b/CPE212/Project_04/makefile new file mode 100644 index 0000000..6e5d338 --- /dev/null +++ b/CPE212/Project_04/makefile @@ -0,0 +1,15 @@ +# Project04 makefile + +project04: list.o main.o + g++ list.o main.o -o project04 + +list.o: list.h list.cpp + g++ -c list.cpp + +main.o: list.h main.cpp + g++ -c main.cpp + +clean: + rm *.o project04 + + diff --git a/CPE212/Project_04/p04input1.txt b/CPE212/Project_04/p04input1.txt new file mode 100644 index 0000000..87b38e0 --- /dev/null +++ b/CPE212/Project_04/p04input1.txt @@ -0,0 +1,38 @@ +# p04input1.txt -- Test List(), ~List(), Length(), Append() + +# Test Append() +c +p +a See +p +a Spot +p +a run +p +d + + +# Test Length() +c +l +p +a Observe +l +p +a Spot +l +p +a running +l +p +a swiftly +l +p +a away +l +p +d + + + + diff --git a/CPE212/Project_04/p04input2.txt b/CPE212/Project_04/p04input2.txt new file mode 100644 index 0000000..3e12c99 --- /dev/null +++ b/CPE212/Project_04/p04input2.txt @@ -0,0 +1,44 @@ +# p04input2.txt -- Test List(), ~List(), Append(), Find() + +# Test successful find +c +p +a See +p +a Spot +p +a run +p +? See +p +? Spot +p +? run +p +d + + +# Test unsuccessful find +c +p +a Observe +p +a Spot +p +a running +p +a swiftly +p +a away +p +? slowly +p +? run +p +? spot +p +d + + + + diff --git a/CPE212/Project_04/p04input3.txt b/CPE212/Project_04/p04input3.txt new file mode 100644 index 0000000..9559f97 --- /dev/null +++ b/CPE212/Project_04/p04input3.txt @@ -0,0 +1,51 @@ +# p04input3.txt -- Test List(), ~List(), Delete() + +# Test successful delete +c +p +a See +p +a Spot +p +a run +p +a swiftly +p +a away +p +- run +p +- Spot +p +- See +p +- away +p +- swiftly +p +d + + + +# Test unsuccessful delete +c +p +a See +p +a Spot +p +a run +p +a slowly +p +a away +p +- Observe +p +- spot +p +d + + + + diff --git a/CPE212/Project_04/p04input4.txt b/CPE212/Project_04/p04input4.txt new file mode 100644 index 0000000..6e72cbe --- /dev/null +++ b/CPE212/Project_04/p04input4.txt @@ -0,0 +1,38 @@ +# p04input4.txt -- Test Replace() + +# Test successful replace +c +p +a See +p +a Spot +p +a run +p +p +r run walk +p +r See Observe +p +r Spot Dot +p +d + + +# Test unsuccessful replace +c +a See +a Spot +a run +a swiftly +a away +p +r spot goat +p +r goat boat +p +d + + + + diff --git a/CPE212/Project_04/p04input5.txt b/CPE212/Project_04/p04input5.txt new file mode 100644 index 0000000..3067034 --- /dev/null +++ b/CPE212/Project_04/p04input5.txt @@ -0,0 +1,31 @@ +# p04input5.txt -- Test InsertAt() + +# Test successful InsertAt +c +a See +a Spot +a run +p ++ 0 Zero +p ++ 1 One +p ++ 4 Four +p ++ 5 Five +p ++ 7 Seven +p + +# Test unsuccessful InsertAt ++ -1 Bad +p ++ 10 Ten +p ++ 20 Twenty +p +d + + + + diff --git a/CPE212/Project_04/project04 b/CPE212/Project_04/project04 new file mode 100644 index 0000000..3a3039a Binary files /dev/null and b/CPE212/Project_04/project04 differ diff --git a/CPE212/Project_04/project04_materials.zip b/CPE212/Project_04/project04_materials.zip new file mode 100644 index 0000000..a1db0ca Binary files /dev/null and b/CPE212/Project_04/project04_materials.zip differ diff --git a/CPE212/Project_05/bstree.cpp b/CPE212/Project_05/bstree.cpp new file mode 100644 index 0000000..df2301e --- /dev/null +++ b/CPE212/Project_05/bstree.cpp @@ -0,0 +1,329 @@ +#include +#include +#include "bstree.h" + + +template +BSTree::BSTree() +{ + BSTreeNode* rootPtr = NULL; +} + +template +// start of Delete(...) +// Recursive function that traverses the tree starting at treePtr to locate the data value to be removed +// Once located, DeleteNode is invoked to remove the value from the tree +// If tree is not empty and item is NOT present, throw NotFoundBSTree +void BSTree::Delete(BSTreeNode*& treePtr, SomeType& item) +{ + if(item < treePtr->data) + Delete(treePtr->leftPtr, item); // Look in left subtree + else if(item > treePtr->data) + Delete(treePtr->rightPtr, item); // Look in right subtree + else if (treePtr->data == item) + DeleteNode(treePtr); // Node found; call DeleteNode + else + NotFoundBSTree(); +} + + +template +void BSTree::DeleteNode(BSTreeNode*& treePtr) +// start of DeleteNode() +// Removes the node pointed to by treePtr from the tree +// Hint: calls GetPredecessor and Delete +{ + SomeType data; + BSTreeNode* tempPtr; + + if (treePtr->leftPtr==NULL) + { + treePtr=treePtr->rightPtr; + delete tempPtr; + } + else if (treePtr->rightPtr==NULL) + { + treePtr = treePtr->leftPtr; + delete tempPtr; + } + else + { + GetPredecessor(treePtr->leftPtr); + treePtr->data = data; + Delete(treePtr->leftPtr, data); + } +} // end of DeleteNode(...) + +template +void BSTree::Insert(BSTreeNode*& ptr, SomeType item) +// Start of Insert(...) +// Recursive function that finds the correct position of item and adds it to the tree +// Throws FoundInBSTree if item is already in the tree +{ + if (ptr==NULL) + { + ptr = new BSTreeNode; + ptr->rightPtr = NULL; + ptr->leftPtr = NULL; + ptr->data = item; + } + else if (item < ptr->data) + Insert(ptr->leftPtr, item); + else + Insert(ptr->rightPtr, item); + //else if (ptr->data == item) FoundInBSTree(); +} + +template +void BSTree::Destroy(BSTreeNode*& ptr) +// Destroy() +// Recursively deallocates every node in the tree pointed to by ptr +{ + if (&ptr==NULL) + { + Destroy(ptr->leftPtr); + Destroy(ptr->rightPtr); + delete ptr; + } +} // end of Destroy() + +template +void BSTree::CopyTree(BSTreeNode*& copy, const BSTreeNode* originalTree) +// CopyTree() +// Recursively copies all data from original tree into copy +{ + if (originalTree == NULL) + copy = NULL; + else + { + copy = new BSTreeNode; + copy->data = originalTree->data; + CopyTree(copy->leftPtr, originalTree->leftPtr); + CopyTree(copy->rightPtr, originalTree->rightPtr); + } +} + +template +SomeType BSTree::GetPredecessor(BSTreeNode* treePtr) const +// GetPredecessor() +// Finds the largest data value in the tree pointed to by treePtr and returns that data value +// as the functions return value +{ + while (treePtr->rightPtr != NULL) + treePtr = treePtr->rightPtr; + return (treePtr->data); +} + +template +int BSTree::CountNodes(BSTreeNode* treePtr) const +// CountNodes() +// Recursive function that counts every node in the tree pointed to by treePtr and returns the +// count as the function return value +{ + if (&treePtr == NULL) + return 0; + else + return CountNodes(treePtr->leftPtr) + CountNodes(treePtr->rightPtr) + 1; +} + +template +int BSTree::LevelCount(BSTreeNode* treePtr) const +// LevelCount() +// Recursive function that traverses the entire tree to determine the total number of levels in the tree +{ + if(treePtr->leftPtr == NULL && treePtr->rightPtr == NULL) + return 0; + + int left = 0; + if (treePtr->leftPtr != NULL) + left = LevelCount(treePtr->leftPtr); + + int right = 0; + if (treePtr->rightPtr != NULL) + right = LevelCount(treePtr->rightPtr); + + return (max(left, right) + 1); +} + +template +int BSTree::FindLevel(BSTreeNode* treePtr, SomeType item) const +// FindLevel() +// Recursive function that traverses the tree looking for item and returns the level where +// item was found +{ + int static level = 0; + // if (treePtr == NULL) + // return 0; + + // int left = 0; + // if (treePtr->leftPtr != NULL && item != treePtr->data) + // left = LevelCount(treePtr->leftPtr); + + // int right = 0; + // if (treePtr->rightPtr != NULL) + // right = LevelCount(treePtr->rightPtr); + + // return (max(left, right)); +} + + + +template +//start of BSTree(...) +BSTree::BSTree(const BSTree& someTree) +// BSTree() +// Copy constructor for BSTree +// Hint: calls CopyTree +{ + if (someTree.rootPtr==NULL) + { + rootPtr=NULL; + } + else + CopyTree(this->rootPtr, someTree.rootPtr); +} + +template +void BSTree::operator=(const BSTree& originalTree) +// operator=() +// Overloaded assignment operator for BSTree. +// Hint: calls CopyTree +{ + if (&originalTree == this) + return; + + Destroy(rootPtr); + CopyTree(rootPtr, originalTree.rootPtr); +} + +template +BSTree::~BSTree() + // ~BSTree() + // Destructor deallocates all tree nodes + // Hint: calls the private helper function Destroy +{ + Destroy(rootPtr); +} + +template +void BSTree::InsertItem(SomeType item) +// InsertItem() +// Inserts item into BSTree; if tree already full, throws FullBSTree exception +// If item is already in BSTree, throw FoundInBSTree exception +// Hint: calls the private helper function Insert +{ + Insert(rootPtr,item); +} + +template +SomeType BSTree::DeleteItem(SomeType item) +// DeleteItem() +// Deletes item from BSTree if item is present AND returns object via function return value +// If tree is empty, throw the EmptyBSTree exception +// If tree is not empty and item is NOT present, throw NotFoundBSTree +// Hint: calls the private helper function Delete +{ + //if (&rootPtr == NULL) EmptyBSTree(); + if (IsEmpty()) + throw EmptyBSTree(); + else + SomeType itemCopy = item; // Make an copy for item + Delete(rootPtr, item); // Delete item in tree + // return itemCopy; +} + + +template +void BSTree::MakeEmpty() +// MakeEmpty() +// Deallocates all BSTree nodes and sets root pointer to NULL +// Hint: calls the private helper function Destroy +{ + Destroy(rootPtr); + rootPtr=NULL; +} + +template +int BSTree::Size() const +// Size() +// Returns total number of data values stored in tree +{ + return CountNodes(rootPtr); +} + +template +bool BSTree::IsFull() const +{ + BSTreeNode* location; + try + { + location = new BSTreeNode; + delete location; + return false; + } + catch( bad_alloc ) + { + return true; + } +} + +template +bool BSTree::IsEmpty() const +{ + if (rootPtr == NULL) return true; + return false; +} + +template +SomeType BSTree::Min() const +{ + // if (rootPtr == NULL) EmptyBSTree(); + + + // BSTreeNode* current; + // ¤t = rootPtr; + // while (current > current->data != NULL) + // { + // current = current->leftPtr; + // } + // return(current->leftPtr); +} + +template +SomeType BSTree::Max() const +{ + // if (rootPtr == NULL) EmptyBSTree(); + + // BSTreeNode* current; + // current = rootPtr; + // while (current > current->data != NULL) + // { + // current = current->rightPtr; + // } + // return(¤t->rightPtr); +} + +template +int BSTree::TotalLevels() const +// TotalLevels() +// Returns the maximum level value for current tree contents +// Levels are numbered 0, 1, ..., N-1. This function returns N +// Throws EmptyBSTree if empty +// Hint: calls the private helper function LevelCount +{ + if (&rootPtr == NULL) EmptyBSTree(); + int level = LevelCount(rootPtr); + return level+1; +} + +template +int BSTree::Level(SomeType item) const +// Level() +// Returns the level within the BSTree at which the value item is found +// If tree is empty, throws EmptyBSTree +// If tree is not empty and item is not found, throws NotFoundBSTree +// Hint: calls the private helper funtion FindLevel +{ + if (rootPtr == NULL) EmptyBSTree(); + return FindLevel(rootPtr, item); +} \ No newline at end of file diff --git a/CPE212/Project_05/bstree.cpp.bak b/CPE212/Project_05/bstree.cpp.bak new file mode 100644 index 0000000..8453f07 --- /dev/null +++ b/CPE212/Project_05/bstree.cpp.bak @@ -0,0 +1,316 @@ +#include +#include +#include "bstree.h" + + +template +// start of Delete(...) +// Recursive function that traverses the tree starting at treePtr to locate the data value to be removed +// Once located, DeleteNode is invoked to remove the value from the tree +// If tree is not empty and item is NOT present, throw NotFoundBSTree +void BSTree::Delete(BSTreeNode*& treePtr, SomeType& item) +{ + if(item < treePtr->data) + Delete(treePtr->leftPtr, item); // Look in left subtree + else if(item > treePtr->data) + Delete(treePtr->rightPtr, item); // Look in right subtree + else if (treePtr == item) + DeleteNode(treePtr); // Node found; call DeleteNode + else + NotFoundBSTree(); +} + + +template +void BSTree::DeleteNode(BSTreeNode*& treePtr) +// start of DeleteNode() +// Removes the node pointed to by treePtr from the tree +// Hint: calls GetPredecessor and Delete +{ + SomeType data; + BSTreeNode* tempPtr; + + if (treePtr->leftPtr==NULL) + { + treePtr=treePtr->rightPtr; + delete tempPtr; + } + else if (treePtr->rightPtr==NULL) + { + treePtr = treePtr->leftPtr; + delete tempPtr; + } + else + { + GetPredecessor(treePtr->leftPtr, data); + treePtr->data = data; + Delete(treePtr->leftPtr, data); + } +} // end of DeleteNode(...) + +template +void BSTree::Insert(BSTreeNode*& ptr, SomeType item) +// Start of Insert(...) +// Recursive function that finds the correct position of item and adds it to the tree +// Throws FoundInBSTree if item is already in the tree +{ + if (ptr == item) FoundInBSTree(); + if (ptr==NULL) + { + ptr = new BSTreeNode; + ptr->rightPtr = NULL; + ptr->leftPtr = NULL; + ptr->data = item; + } + else if (item < ptr->data) + Insert(ptr->leftPtr, item); + else + Insert(item->rightptr, item); + if (ptr ==item) FoundInBSTree(); +} + +template +void BSTree::Destroy(BSTreeNode*& ptr) +// Destroy() +// Recursively deallocates every node in the tree pointed to by ptr +{ + if (ptr==NULL) + { + Destroy(ptr->leftPtr); + Destroy(ptr->rightPtr); + delete ptr; + } +} // end of Destroy() + +template +void BSTree::CopyTree(BSTreeNode*& copy, const BSTreeNode* originalTree) +// CopyTree() +// Recursively copies all data from original tree into copy +{ + if (originalTree == NULL) + copy = NULL; + else + { + copy = new BSTreeNode; + copy->data = originalTree->data; + CopyTree(copy->leftPtr, originalTree->leftPtr); + CopyTree(copy->rightPtr, originalTree->rightPtr); + } +} + +template +SomeType BSTree::GetPredecessor(BSTreeNode* treePtr) const +// GetPredecessor() +// Finds the largest data value in the tree pointed to by treePtr and returns that data value +// as the functions return value +{ + while (treePtr->rightPtr != NULL) + treePtr = treePtr->rightPtr; + data = treePtr->data; +} + +template +int BSTree::CountNodes(BSTreeNode* treePtr) const +// CountNodes() +// Recursive function that counts every node in the tree pointed to by treePtr and returns the +// count as the function return value +{ + if (treePtr == NULL) + return 0; + else + return CountNodes(treePtr->leftPtr) + CountNodes(treePtr->rightPtr) + 1; +} + +template +int BSTree::LevelCount(BSTreeNode* treePtr) const +// LevelCount() +// Recursive function that traverses the entire tree to determine the total number of levels in the tree +{ + if(treePtr->leftPtr == NULL && treePtr->rightPtr == NULL) + return 0; + + int left = 0; + if (treePtr->leftPtr != NULL) + left = LevelCount(treePtr->leftPtr); + + int right = 0; + if (treePtr->rightPtr != NULL) + right = LevelCount(treePtr->rightPtr); + + return (max(left, right) + 1); +} + +template +int BSTree::FindLevel(BSTreeNode* treePtr, SomeType item) const +// FindLevel() +// Recursive function that traverses the tree looking for item and returns the level where +// item was found +{ + int static level = 0; + if (treePtr == NULL) + return 0; + + int left = 0; + if (treePtr->leftPtr != NULL && treePtr->data != item) + left = LevelCount(treePtr->leftPtr); + + int right = 0; + if (treePtr->rightPtr != NULL) + right = LevelCount(treePtr->rightPtr); + + return (max(left, right)); +} + +template +BSTree::BSTree() +{ + rootPtr=NULL; +} + + +template +//start of BSTree(...) +BSTree::BSTree(const BSTree& someTree) +// BSTree() +// Copy constructor for BSTree +// Hint: calls CopyTree +{ + CopyTree(someTree); +} + +template +void BSTree::operator=(const BSTree& originalTree) +// operator=() +// Overloaded assignment operator for BSTree. +// Hint: calls CopyTree +{ + if (originalTree==this) + return; + + Destroy(rootPtr); + CopyTree(originalTree); +} + +template +BSTree::~BSTree() + // ~BSTree() + // Destructor deallocates all tree nodes + // Hint: calls the private helper function Destroy +{ + Destroy(rootPtr); +} + +template +void BSTree::InsertItem(SomeType item) +// InsertItem() +// Inserts item into BSTree; if tree already full, throws FullBSTree exception +// If item is already in BSTree, throw FoundInBSTree exception +// Hint: calls the private helper function Insert +{ + Insert(item); +} + +template +SomeType BSTree::DeleteItem(SomeType item) +// DeleteItem() +// Deletes item from BSTree if item is present AND returns object via function return value +// If tree is empty, throw the EmptyBSTree exception +// If tree is not empty and item is NOT present, throw NotFoundBSTree +// Hint: calls the private helper function Delete +{ + if (rootPtr == NULL) EmptyBSTree(); + Delete(item); +} + +template +void BSTree::MakeEmpty() +// MakeEmpty() +// Deallocates all BSTree nodes and sets root pointer to NULL +// Hint: calls the private helper function Destroy +{ + Delete(rootPtr); + rootPtr=NULL; +} + +template +int BSTree::Size() const +// Size() +// Returns total number of data values stored in tree +{ + return CountNodes(rootPtr); +} + +template +bool BSTree::IsFull() const +{ + BSTreeNode* location; + try + { + location = new BSTreeNode; + delete location; + return false; + } + catch( bad_alloc ) + { + return true; + } +} + +template +bool BSTree::IsEmpty() const +{ + if (rootPtr == NULL) return true; + return false; +} + +template +SomeType BSTree::Min() const +{ + if (rootPtr == NULL) EmptyBSTree(); + + int res = rootPtr->data; + BSTreeNode* current; + while (current->leftPtr != NULL) + { + current = current->leftPtr; + } + return(current->leftPtr); +} + +template +SomeType BSTree::Max() const +{ + if (rootPtr == NULL) EmptyBSTree(); + + BSTreeNode* current; + while (current->rightPtr != NULL) + { + current = current->rightPtr; + } + return(current->rightPtr); +} + +template +int BSTree::TotalLevels() const +// TotalLevels() +// Returns the maximum level value for current tree contents +// Levels are numbered 0, 1, ..., N-1. This function returns N +// Throws EmptyBSTree if empty +// Hint: calls the private helper function LevelCount +{ + if (rootPtr == NULL) EmptyBSTree(); + int level = LevelCount(rootPtr); + return level+1; +} + +template +int BSTree::Level(SomeType item) const +// Level() +// Returns the level within the BSTree at which the value item is found +// If tree is empty, throws EmptyBSTree +// If tree is not empty and item is not found, throws NotFoundBSTree +// Hint: calls the private helper funtion FindLevel +{ + if (rootPtr == NULL) EmptyBSTree(); + return FindLevel(rootPtr, item); +} \ No newline at end of file diff --git a/CPE212/Project_05/bstree.h b/CPE212/Project_05/bstree.h new file mode 100644 index 0000000..22a623e --- /dev/null +++ b/CPE212/Project_05/bstree.h @@ -0,0 +1,187 @@ +// +// bstree.h 2010 Fall CPE 212 - Project05 - Binary Search Tree Template +// +// Provides a declaration of the BSTree class template. +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// + +#ifndef BSTREE_H +#define BSTREE_H + +#include +#include +#include + +using namespace std; + +class FullBSTree // Exception class models full BSTree condition +{ + /* No code here */ +}; + +class EmptyBSTree // Exception class models empty BSTree condition +{ + /* No code here */ +}; + +class NotFoundBSTree // Exception class models not found in BSTree condition +{ + /* No code here */ +}; + +class FoundInBSTree // Exception class models found in BSTree condition +{ + /* No code here */ +}; + +template +struct BSTreeNode // Node of BSTree +{ + SomeType data; // Data stored in node + BSTreeNode* leftPtr; // Pointer to left subtree + BSTreeNode* rightPtr; // Pointer to right subtree +}; + +template +class BSTree // BSTree Abstract Data Type +{ +private: + BSTreeNode* rootPtr; // Pointer to root of BSTree + + /************** Start of Private Helper Functions You Must Implement ****************/ + void Delete(BSTreeNode*& treePtr, SomeType& item); + // Delete() + // Recursive function that traverses the tree starting at treePtr to locate the data value to be removed + // Once located, DeleteNode is invoked to remove the value from the tree + // If tree is not empty and item is NOT present, throw NotFoundBSTree + + void DeleteNode(BSTreeNode*& treePtr); + // DeleteNode() + // Removes the node pointed to by treePtr from the tree + // Hint: calls GetPredecessor and Delete + + void Insert(BSTreeNode*& ptr, SomeType item); + // Insert() + // Recursive function that finds the correct position of item and adds it to the tree + // Throws FoundInBSTree if item is already in the tree + + void Destroy(BSTreeNode*& ptr); + // Destroy() + // Recursively deallocates every node in the tree pointed to by ptr + + void CopyTree(BSTreeNode*& copy, const BSTreeNode* originalTree); + // CopyTree() + // Recursively copies all data from original tree into copy + + SomeType GetPredecessor(BSTreeNode* treePtr) const; + // GetPredecessor() + // Finds the largest data value in the tree pointed to by treePtr and returns that data value + // as the functions return value + + int CountNodes(BSTreeNode* treePtr) const; + // CountNodes() + // Recursive function that counts every node in the tree pointed to by treePtr and returns the + // count as the function return value + + int LevelCount(BSTreeNode* treePtr) const; + // LevelCount() + // Recursive function that traverses the entire tree to determine the total number of levels in the tree + + int FindLevel(BSTreeNode* treePtr, SomeType item) const; + // FindLevel() + // Recursive function that traverses the tree looking for item and returns the level where + // item was found + + + /************** End of Private Helper Functions You Must Implement ****************/ + +public: + + /************** Start of Public Interface Functions You Must Implement ****************/ + + BSTree(); + // BSTree() + // Default constructor initializes root pointer to NULL + + BSTree(const BSTree& someTree); + // BSTree() + // Copy constructor for BSTree + // Hint: calls CopyTree + + void operator=(const BSTree& originalTree); + // operator=() + // Overloaded assignment operator for BSTree. + // Hint: calls CopyTree + + ~BSTree(); + // ~BSTree() + // Destructor deallocates all tree nodes + // Hint: calls the private helper function Destroy + + void InsertItem(SomeType item); + // InsertItem() + // Inserts item into BSTree; if tree already full, throws FullBSTree exception + // If item is already in BSTree, throw FoundInBSTree exception + // Hint: calls the private helper function Insert + + SomeType DeleteItem(SomeType item); + // DeleteItem() + // Deletes item from BSTree if item is present AND returns object via function return value + // If tree is empty, throw the EmptyBSTree exception + // If tree is not empty and item is NOT present, throw NotFoundBSTree + // Hint: calls the private helper function Delete + + void MakeEmpty(); + // MakeEmpty() + // Deallocates all BSTree nodes and sets root pointer to NULL + // Hint: calls the private helper function Destroy + + int Size() const; + // Size() + // Returns total number of data values stored in tree + + bool IsFull() const; + // IsFull() + // Returns true if BSTree is full; returns false otherwise + + bool IsEmpty() const; + // IsEmpty() + // Returns true if BSTree is empty; returns false otherwise + + SomeType Min() const; + // Min() + // Returns minimum value in tree; throws EmptyBSTree if tree is empty + + SomeType Max() const; + // Max() + // Returns maximum value in tree; throws EmptyBSTree if tree is empty + + int TotalLevels() const; + // TotalLevels() + // Returns the maximum level value for current tree contents + // Levels are numbered 0, 1, ..., N-1. This function returns N + // Throws EmptyBSTree if empty + // Hint: calls the private helper function LevelCount + + int Level(SomeType item) const; + // Level() + // Returns the level within the BSTree at which the value item is found + // If tree is empty, throws EmptyBSTree + // If tree is not empty and item is not found, throws NotFoundBSTree + // Hint: calls the private helper funtion FindLevel + + /************** End of Functions You Must Implement ****************/ + + + + void Print() const; // DO NOT WRITE THIS FUNCTION + // Print() + // Prints binary search tree contents in inorder, preorder, and postorder forms + // NOTE: THIS CODE HAS BEEN INCLUDED AT THE END OF main.cpp +}; + +#include "bstree.cpp" // Note: Template classes cannot be compiled on their own + // since the data type argument is found in the client code. + +#endif diff --git a/CPE212/Project_05/main.cpp b/CPE212/Project_05/main.cpp new file mode 100644 index 0000000..9615d8f --- /dev/null +++ b/CPE212/Project_05/main.cpp @@ -0,0 +1,320 @@ +// +// main.cpp 2010 Fall CPE 212 - Project05 - Binary Search Tree Template +// +// Driver program for BSTree ADT Template -- The text files (read by this code) contain a series +// of commands that will help you test your BSTree ADT Template code by triggering various class methods. +// +// DO NOT SUBMIT THIS FILE +// +#include +#include +#include +#include "bstree.h" +#include "student.h" + + +using namespace std; + + +int main (int argc, char * const argv[]) +{ + ifstream inputs; // Input file for commands + char op, ch; // Hold operation and optional char input + BSTree* tPtr = NULL; // Will point to BSTree object + string comment; + + + // Output usage message if one input file name is not provided + if (argc != 2) + { + cout << "Usage:\n project05 \n"; + return 1; + } + + // Attempt to open input file -- terminate if file does not open + inputs.open(argv[1]); + if (!inputs) + { + cout << "Error - unable to open input file" << endl; + return 1; + } + + // Process commands from input file + getline(inputs, comment); + cout << endl << comment << endl << endl; // Output header comment + inputs >> op; // Attempt to input first command + while (inputs) + { + // Select and perform operation input from file + + switch (op) + { + case '#': // Test file comment + getline(inputs, comment); + cout << '#' << comment; + break; + + case 'c': // Constructor + cout << endl << "Constructor()"; + try + { + tPtr = new BSTree; + cout << endl; + } + catch ( std::bad_alloc ) + { + cout << "Failed : Terminating now..." << endl; + return 1; + } + break; + + case '!': // Test copy constructor + try + { + cout << endl << "*** Start Copy Constructor Test ***" << endl; + BSTree dummy = *tPtr; + Student s; + inputs >> s; + cout << "Print Copy without new value" << endl; + dummy.Print(); + dummy.InsertItem(s); + cout << "Print Copy plus new value" << endl; + dummy.Print(); + cout << "Print Original without new value" << endl; + tPtr->Print(); + cout << "CopyConstructor -- successful" << endl; + } + catch (...) + { + cout << "CopyConstructor -- Failed: copy constructor" << endl; + } + cout << "*** End Copy Constructor Test ***" << endl; + break; + + case '=': // Test overloaded assignment operator + try + { + cout << endl << "*** Start Operator= Test ***" << endl; + BSTree dummy; + dummy = *tPtr; + Student s; + inputs >> s; + cout << "Print Copy without new value" << endl; + dummy.Print(); + dummy.InsertItem(s); + cout << "Print Copy plus new value" << endl; + dummy.Print(); + cout << "Print Original without new value" << endl; + tPtr->Print(); + cout << "Operator= -- successful" << endl; + } + catch (...) + { + cout << "Operator= -- Failed: assignment operator" << endl; + } + cout << "*** End Operator= Test ***" << endl; + break; + case '+': // InsertItem + + try + { + Student s; // Create temporary object to hold student info from file + inputs >> s; // Use overloaded operator to populate temporary object + cout << "InsertItem('"; + s.Print(); + cout << "')"; + tPtr->InsertItem(s); + } + catch (FullBSTree) + { + cout << " -- Failed Full BSTree"; + } + catch (FoundInBSTree) + { + cout << " -- Failed Item Already Found In BSTree"; + } + cout << endl; + break; + + case '-': // DeleteItem + try + { + int id; + inputs >> id; + Student s(id, "NULL", "NULL"); + cout << "DeleteItem('" << id << "') -- "; + s = tPtr->DeleteItem(s); + cout << "Deleted "; + s.Print(); + } + catch (EmptyBSTree) + { + cout << " -- Failed Empty BSTree"; + } + catch (NotFoundBSTree) + { + cout << " -- Failed Not Found in BSTree"; + } + cout << endl; + break; + + case 'p': // Print BSTree + tPtr->Print(); + break; + + case 's': // Size of BSTree + cout << "Size() -- " << tPtr->Size() << endl; + break; + + case 'm': // Make BSTree Empty + tPtr->MakeEmpty(); + cout << "MakeEmpty()"<< endl; + break; + + case 'd': // Destructor + delete tPtr; + tPtr = NULL; + cout << "Destructor()" << endl << endl; + break; + + case '<': // Minimum + cout << "Min() -- "; + try + { + cout << tPtr->Min() << endl; + } + catch ( EmptyBSTree ) + { + cout << "Failed Empty BSTree" << endl; + } + break; + + case '>': // Maximum + cout << "Max() -- "; + try + { + cout << tPtr->Max() << endl; + } + catch ( EmptyBSTree ) + { + cout << "Failed Empty BSTree" << endl; + } + break; + + case 'l': // Size of BSTree + try + { + cout << "TotalLevels() -- " << tPtr->TotalLevels() << endl; + } + catch ( EmptyBSTree ) + { + cout << "TotalLevels() -- Failed Empty BSTree" << endl; + } + break; + + case '?': // Size of BSTree + try + { + int id; + inputs >> id; + Student s(id, "NULL", "NULL"); + cout << "Level('" << s << "') -- "; + cout << tPtr->Level(s) << endl; + } + catch ( EmptyBSTree ) + { + cout << "Failed Empty BSTree" << endl; + } + break; + + default: // Error + cout << "Error - unrecognized operation '" << op << "'" << endl; + cout << "Terminating now..." << endl; + return 1; + break; + } + + inputs >> op; // Attempt to input next command + } + + + return 0; +} + +/************** Implementation of Print() function ********************/ + +// DO NOT MODIFY THIS CODE + +#include + +// This code uses the Standard Template Libary queue class, container adapter wrapper +// that makes the deque (double-ended queue) look more like a single-ended queue. +// Note the different names used for the enqueue and dequeue operations. + +template +void PreOrder(BSTreeNode* tree, queue& preorder) +// Post: preorder contains the tree items in preorder. +{ + if (tree != NULL) + { + preorder.push(tree->data); + PreOrder(tree->leftPtr, preorder); + PreOrder(tree->rightPtr, preorder); + } +} + +template +void InOrder(BSTreeNode* tree, queue& inorder) +// Post: inorder contains the tree items in inorder. +{ + if (tree != NULL) + { + InOrder(tree->leftPtr, inorder); + inorder.push(tree->data); + InOrder(tree->rightPtr, inorder); + } +} + +template +void PostOrder(BSTreeNode* tree, queue& postorder) +// Post: postorder contains the tree items in postorder. +{ + if (tree != NULL) + { + PostOrder(tree->leftPtr, postorder); + PostOrder(tree->rightPtr, postorder); + postorder.push(tree->data); + } +} + +template +void BSTree::Print() const +{ + queue preorder, inorder, postorder; + + PreOrder(rootPtr, preorder); + InOrder(rootPtr, inorder); + PostOrder(rootPtr, postorder); + + cout << "Print() \n-- Inorder = { "; + while (!inorder.empty()) + { + cout << inorder.front() << " "; + inorder.pop(); + } + cout << "} \n-- Preorder = { "; + while (!preorder.empty()) + { + cout << preorder.front() << " "; + preorder.pop(); + } + cout << "} \n-- Postorder = { "; + while (!postorder.empty()) + { + cout << postorder.front() << " "; + postorder.pop(); + } + cout << "}" << endl; +} + + diff --git a/CPE212/Project_05/main.o b/CPE212/Project_05/main.o new file mode 100644 index 0000000..8e1ddb7 Binary files /dev/null and b/CPE212/Project_05/main.o differ diff --git a/CPE212/Project_05/makefile b/CPE212/Project_05/makefile new file mode 100644 index 0000000..bf27bc6 --- /dev/null +++ b/CPE212/Project_05/makefile @@ -0,0 +1,19 @@ +# Project06 makefile +# To disable the gcov options use a # to comment out the +# following line and uncomment the line below it +#CC = g++ -fprofile-arcs -ftest-coverage +CC = g++ + +project05: main.o student.o + $(CC) main.o student.o -o project05 + +main.o: main.cpp bstree.h + $(CC) -c main.cpp + +student.o: student.cpp student.h + $(CC) -c student.cpp + +clean: + rm *.o *.gcda *.gcno *.gcov project05 + + diff --git a/CPE212/Project_05/p05input1.txt b/CPE212/Project_05/p05input1.txt new file mode 100644 index 0000000..ddf8e69 --- /dev/null +++ b/CPE212/Project_05/p05input1.txt @@ -0,0 +1,68 @@ +// p05input1.txt - Test BSTree(), InsertItem(), ~BSTree() + +# Test creating empty binary search tree +c +p +d + + +# Test adding one student object to binary search tree +c ++ 5678 Washington George +p +d + + +# Test adding student objects pre-sorted highest to lowest id +c ++ 5678 Washington George +p ++ 1234 Jefferson Thomas +p ++ 1000 Madison James +p ++ 888 Nixon Richard +p ++ 555 Lincoln Abraham +p +d + + +# Test adding student objects pre-sorted lowest to highest id +c ++ 555 Lincoln Abraham +p ++ 888 Nixon Richard +p ++ 1000 Madison James +p ++ 1234 Jefferson Thomas +p ++ 5678 Washington George +p +d + + +# Test adding an unsorted sequence of student objects +c ++ 1234 Jefferson Thomas +p ++ 888 Nixon Richard +p ++ 5678 Washington George +p ++ 555 Lincoln Abraham +p ++ 1000 Madison James +p +d + + +# Test adding duplicate student object to tree +c ++ 1234 Jefferson Thomas +p ++ 1234 Jefferson Thomas +p +d + diff --git a/CPE212/Project_05/p05input2.txt b/CPE212/Project_05/p05input2.txt new file mode 100644 index 0000000..4f8b395 --- /dev/null +++ b/CPE212/Project_05/p05input2.txt @@ -0,0 +1,125 @@ +// p05input2.txt - Test BSTree(), InsertItem(), DeleteItem(), MakeEmpty(), ~BSTree() + +# Test deleting leaf node that is the left child of its parent +c ++ 5678 Washington George ++ 1234 Jefferson Thomas ++ 1000 Madison James ++ 555 Lincoln Abraham +p +- 555 +p +d + + +# Test deleting leaf node that is the right child of its parent +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George +p +- 5678 +p +d + + +# Test deleting parent node that has only a left child +c ++ 5678 Washington George ++ 1234 Jefferson Thomas ++ 1000 Madison James ++ 555 Lincoln Abraham +p +- 1000 +p +d + + +# Test deleting parent node that has only a right child +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George +p +- 1234 +p +d + + +# Test deleting parent node that has two children +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George ++ 888 Nixon Richard +p +- 1000 +p +d + + +# Test deleting root node +c ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 555 Lincoln Abraham +p +- 1000 +p +d + + +# Test deleting only node leaving empty tree +c ++ 888 Nixon Richard +p +- 888 +p +d + + +# Test deleting value not in tree +c ++ 888 Nixon Richard +p +- 555 +p +d + + +# Test deleting value from empty tree +c +- 333 +p +d + + + +# Test MakeEmpty deallocating all nodes +c ++ 1234 Jefferson Thomas ++ 888 Nixon Richard ++ 5678 Washington George ++ 555 Lincoln Abraham ++ 1000 Madison James +p +m +p +d + + +# Test MakeEmpty deallocating nodes from empty tree +c +p +m +p +d + + + + + + diff --git a/CPE212/Project_05/p05input3.txt b/CPE212/Project_05/p05input3.txt new file mode 100644 index 0000000..2432415 --- /dev/null +++ b/CPE212/Project_05/p05input3.txt @@ -0,0 +1,28 @@ +// p05input3.txt - Test BSTree(), CopyConstructor, = , ~BSTree() + +# Test Copy Constructor +c ++ 1234 Jefferson Thomas ++ 888 Nixon Richard ++ 5678 Washington George ++ 555 Lincoln Abraham ++ 1000 Madison James +p +! 007 Bond James +d + + +# Test Assignment Operator +c ++ 1234 Jefferson Thomas ++ 888 Nixon Richard ++ 5678 Washington George ++ 555 Lincoln Abraham ++ 1000 Madison James +p += 007 Bond James +d + + + + diff --git a/CPE212/Project_05/p05input4.txt b/CPE212/Project_05/p05input4.txt new file mode 100644 index 0000000..041ea52 --- /dev/null +++ b/CPE212/Project_05/p05input4.txt @@ -0,0 +1,83 @@ +// p05input4.txt - Test Size() + +# Test correctness of size when adding student objects +c +p +s ++ 1234 Jefferson Thomas +p +s ++ 888 Nixon Richard +p +s ++ 5678 Washington George +p +s ++ 555 Lincoln Abraham +p +s ++ 1000 Madison James +p +s +d + + +# Test correctness of size when deleting student objects +c +s ++ 1234 Jefferson Thomas ++ 888 Nixon Richard ++ 5678 Washington George ++ 555 Lincoln Abraham ++ 1000 Madison James +p +s +- 555 +p +s +- 1234 +p +s +- 888 +p +s +- 5678 +p +s +- 1000 +p +s +d + + +# Test correctness of size when adding and deleting student objects +c ++ 1234 Jefferson Thomas +s ++ 888 Nixon Richard +s ++ 5678 Washington George +p +s +- 888 +p +s ++ 555 Lincoln Abraham +p +s ++ 1000 Madison James +p +s +- 1234 +p +s +d + + + +# Test correctness of size for empty tree +c +p +s +d + diff --git a/CPE212/Project_05/p05input5.txt b/CPE212/Project_05/p05input5.txt new file mode 100644 index 0000000..5f34780 --- /dev/null +++ b/CPE212/Project_05/p05input5.txt @@ -0,0 +1,132 @@ +// p05input5.txt - Test Min(), Max() + +# Test when min is a left child +c ++ 5678 Washington George ++ 1234 Jefferson Thomas +p +< ++ 1000 Madison James ++ 555 Lincoln Abraham +p +< +d + + +# Test when min is root +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George +p +< +d + + +# Test deleting parent node that has two children +c ++ 1234 Jefferson Thomas ++ 555 Lincoln Abraham ++ 1000 Madison James +p +< ++ 5678 Washington George ++ 888 Nixon Richard +p +< +d + + +# Test when min has a right child +c ++ 1000 Madison James ++ 1234 Jefferson Thomas +p +< ++ 555 Lincoln Abraham ++ 888 Nixon Richard +p +< +d + + +# Test when min is the only value +c ++ 888 Nixon Richard +p +< +d + + +# Test min when tree is empty +c +p +< +d + + +# Test when max is a left child +c ++ 5678 Washington George ++ 1234 Jefferson Thomas ++ 1000 Madison James ++ 555 Lincoln Abraham +p +> +d + + +# Test when max is root +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George +p +> +d + + +# Test max when parent node that has two children +c ++ 1234 Jefferson Thomas ++ 555 Lincoln Abraham ++ 1000 Madison James +p +> ++ 5678 Washington George ++ 888 Nixon Richard +p +> +d + + +# Test when max has a right child +c ++ 1000 Madison James ++ 1234 Jefferson Thomas +p +> ++ 555 Lincoln Abraham ++ 888 Nixon Richard +p +> +d + + +# Test when max is the only value +c ++ 888 Nixon Richard +p +> +d + + +# Test max when tree is empty +c +p +> +d + + diff --git a/CPE212/Project_05/p05input6.txt b/CPE212/Project_05/p05input6.txt new file mode 100644 index 0000000..25c2fe9 --- /dev/null +++ b/CPE212/Project_05/p05input6.txt @@ -0,0 +1,46 @@ +// p05input6.txt - Test TotalLevels() + +# Test totallevels as tree grows level by level +c ++ 555 Lincoln Abraham +p +l ++ 1000 Madison James +p +l ++ 1234 Jefferson Thomas +p +l ++ 5678 Washington George +p +l +d + + +# Test totallevels as with a more balance tree +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George ++ 888 Nixon Richard +p +l +d + + +# Test totallevels with single node tree +c ++ 888 Nixon Richard +p +l +d + + +# Test totallevels with empty tree +c +p +l +d + + diff --git a/CPE212/Project_05/p05input7.txt b/CPE212/Project_05/p05input7.txt new file mode 100644 index 0000000..11ea677 --- /dev/null +++ b/CPE212/Project_05/p05input7.txt @@ -0,0 +1,47 @@ +// p05input7.txt - Test Level() + +# Test level as tree grows level by level +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George +p +? 555 +? 1000 +? 1234 +? 5678 +d + + +# Test level as with a more balance tree +c ++ 555 Lincoln Abraham ++ 1000 Madison James ++ 1234 Jefferson Thomas ++ 5678 Washington George ++ 888 Nixon Richard +p +? 555 +? 1000 +? 1234 +? 5678 +? 888 +d + + +# Test level with single node tree +c ++ 888 Nixon Richard +p +? 888 +d + + +# Test level with empty tree +c +p +? 888 +d + + diff --git a/CPE212/Project_05/project05 b/CPE212/Project_05/project05 new file mode 100644 index 0000000..0272abd Binary files /dev/null and b/CPE212/Project_05/project05 differ diff --git a/CPE212/Project_05/project05_materials.zip b/CPE212/Project_05/project05_materials.zip new file mode 100644 index 0000000..472d4a9 Binary files /dev/null and b/CPE212/Project_05/project05_materials.zip differ diff --git a/CPE212/Project_05/student.cpp b/CPE212/Project_05/student.cpp new file mode 100644 index 0000000..acaaad5 --- /dev/null +++ b/CPE212/Project_05/student.cpp @@ -0,0 +1,66 @@ +// +// student.cpp CPE 212 Fall 2010 -- Project05 - Binary Search Tree Template +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// + +#include "student.h" + +Student::Student() +// Constructor initializes sid to -1, lastname and firstname to empty string +{ + sid = -1; + lastname = ""; + firstname = ""; +} // End Student::Student() + + +Student::Student(int id, string lname, string fname) +// Constructor initializes sid, lastname, firstname to id, lname, and fname respectively +{ + sid = id; + lastname = lname; + firstname = fname; +} // End Student::Student() + + +Student::Student(const Student& s) +// Copy constructor -- copies attributes of s into attribute variables of current object +{ + sid = s.sid; + lastname = s.lastname; + firstname = s.firstname; +} + + +bool operator==(const Student& leftop, const Student& rightop) +// Overloaded SAME AS operator +// Returns true if leftop.sid == rightop.sid. Returns false otherwise +{ + return (leftop.sid == rightop.sid); +} // End operator== for Student class + + +bool operator<(const Student& leftop, const Student& rightop) +// Overloaded LESS THAN operator +// Returns true if leftop.sid < rightop.sid. Returns false otherwise +{ + return (leftop.sid < rightop.sid); +} // End operator < for Student class + + +bool operator>(const Student& leftop, const Student& rightop) +// Overloaded GREATER THAN operator +// Returns true if leftop.sid > rightop.sid. Returns false otherwise +{ + return (leftop.sid > rightop.sid); +} // End operator < for Student class + + +void Student::operator=(const Student& op) // Overloaded ASSIGNMENT operator +// Sets this->sid = op.sid, this->lastname = op.lastname, this->firstname = op.firstname +{ + this->sid = op.sid; + this->lastname = op.lastname; + this->firstname = op.firstname; +} // End Student::operator=() diff --git a/CPE212/Project_05/student.h b/CPE212/Project_05/student.h new file mode 100644 index 0000000..d0c28a0 --- /dev/null +++ b/CPE212/Project_05/student.h @@ -0,0 +1,83 @@ +// +// student.h CPE 212 Fall 2010 -- Project05 - Binary Search Tree Template +// +// DO NOT MODIFIY OR SUBMIT THIS FILE +// + +#include +using namespace std; + +#ifndef STUDENT_H +#define STUDENT_H + +class Student +{ +private: + int sid; // Student ID number + string lastname; // Student last name + string firstname; // Student first name + +public: + /**** Start of functions to implement ****/ + + Student(); + // Default constructor initializes sid to -1, firstname and lastname to empty string + + Student(int id, string lname, string fname); + // Constructor initializes sid, lastname, firstname to id, lname, and fname respectively + + Student(const Student& s); + // Copy constructor -- copies attributes of s into attribute variables of current object + + friend bool operator==(const Student& leftop, const Student& rightop); // Overloaded SAME AS operator + // Returns true if leftop.sid == rightop.sid. Returns false otherwise + + friend bool operator<(const Student& leftop, const Student& rightop); // Overloaded LESS THAN operator + // Returns true if leftop.sid < rightop.sid. Returns false otherwise + + friend bool operator>(const Student& leftop, const Student& rightop); // Overloaded GREATER THAN operator + // Returns true if leftop.sid > rightop.sid. Returns false otherwise + + void operator=(const Student& op); // Overloaded ASSIGNMENT operator + // Sets this->sid = op.sid, this->lastname = op.lastname, this->firstname = op.firstname + + /***** End of functions to implement *****/ + + /***** Below are additional functions for your Student class -- DO NOT MOVE OR MODIFY THE CODE BELOW *****/ + + friend istream& operator>>(istream& leftop, Student& rightop) // Overloaded >> operator + // This allows all data associated with a Student object to be input simultaneously from an input stream + { + leftop >> rightop.sid >> rightop.lastname >> rightop.firstname; + + return leftop; + } + + friend ostream& operator<<(ostream& leftop, const Student& rightop) // Overloaded << operator + // This allows all data associated with a Student object to be output simultaneously to an output stream + { + leftop << "(" << rightop.sid << ", " << rightop.firstname << " " << rightop.lastname << ")"; + + return leftop; + } + + void Print() const // Outputs student information in desired format. DO NOT MOVE OR MODIFY + { + cout << "SID: " << sid << " Name: "; + + if (lastname == "") + cout << "NULL"; + else + cout << lastname; + cout << ", "; + + if (firstname == "") + cout << "NULL"; + else + cout << firstname; + } // End Print() +}; + +#endif + + diff --git a/CPE212/Project_05/student.o b/CPE212/Project_05/student.o new file mode 100644 index 0000000..ca96966 Binary files /dev/null and b/CPE212/Project_05/student.o differ diff --git a/CPE212/Project_06/graph.cpp b/CPE212/Project_06/graph.cpp new file mode 100644 index 0000000..ee55e08 --- /dev/null +++ b/CPE212/Project_06/graph.cpp @@ -0,0 +1,164 @@ +#include "graph.h" + + +// Graph +Graph::Graph() +// Constructor initializes vertices linked list to empty +{ + vertices = NULL; +} + +//~Graph() +Graph::~Graph() +// For each VertexNode in the vertices list, Destructor deallocates all EdgeNodes before +// deallocating the VertexNode itself +{ + VertexNode* delV = vertices; + EdgeNode* delE = delV->edgePtr; + + + while (delV != NULL) + { + while (delE != NULL) + { + delE = NULL; + delE = delE->nextPtr; + } + delV = NULL; + delV = delV->nextVertex; + } +} + +// Graph::AddVertex() - adds vertex name to vertices array; assumes space is available +void Graph::AddVertex(string v) +{ + VertexNode* newVert = new VertexNode; // creates memeory for newVert + newVert->vname = v; // assigns the vertex name to v + newVert->edgePtr = NULL; // sets edgePtr to NULL + newVert->nextVertex = NULL; // Sets nextVertex to NULL +} + +// Graph::AddEdge() - adds edge from source to destination vertex with specified weight +// assuming source and destination are already in vertices array +void Graph::AddEdge(string s, string d, int w) +{ + VertexNode* Vertex_S = WhereIs(s); + VertexNode* Vertex_D = WhereIs(d); + + // Initialize newEdge + EdgeNode* newEdge = new EdgeNode; + newEdge->destination = Vertex_D; + newEdge->weight = w; + newEdge->nextPtr = NULL; + if (Vertex_S->edgePtr == NULL) + { + Vertex_S->edgePtr = newEdge; + } + else + { + // Go the the end of edgePtr and add the newEdge to it + while (Vertex_S->edgePtr->nextPtr != NULL) + { + Vertex_S->edgePtr = Vertex_S->edgePtr->nextPtr; + } + Vertex_S->edgePtr->nextPtr = newEdge; + } +} + +// Graph::IsPresent() - returns true if vertex in graph, false otherwise +bool Graph::IsPresent(string v) +{ + VertexNode* vertPresent = vertices; + + //Traverse vertices + while (vertPresent != NULL) + { + if (vertPresent->vname == v) + return true; // found + vertPresent = vertPresent->nextVertex; // advance through graph + } + + // not found + return false; +} + +// WhereIs() - returns pointer to specified vertex, throws exception if vertex not present +VertexNode* Graph::WhereIs(string v) +{ + VertexNode* tempVertex = vertices; + + + // If found + while (tempVertex != NULL) + { + if (tempVertex->vname == v) + return tempVertex; // Found + tempVertex = tempVertex->nextVertex; + } + + // If not found + throw GraphVertexNotFound(); +} + +// Graph::WeightIs() -- returns edge weight if it exists; otherwise, throws GraphEdgeNotFound +int Graph::WeightIs(string s, string d) +{ + VertexNode* sourceV = WhereIs(s); + VertexNode* destV = WhereIs(d); + + // search through edge nodes + while (sourceV->edgePtr != NULL) + { + if (sourceV->edgePtr->destination == destV) + { + return sourceV->edgePtr->weight; + } + sourceV->edgePtr = sourceV->edgePtr; + } + + throw GraphEdgeNotFound(); +} + +void Graph::MarkVertex(string v) +{ + // MarkVertex() + // Marks vertex V as visited + VertexNode* vistedV = WhereIs(v); + vistedV->mark = true; +} + +// Graph::IsMarked() - returns mark status of vertex; assumes vertex is present +bool Graph::IsMarked(string v) +{ + VertexNode* markedV = WhereIs(v); + return markedV->mark; +} + +// Graph::GetToVertices() - returns a queue q of vertices adjacent to vertex named s +void Graph::GetToVertices(string s, queue& q) +{ + VertexNode* tempV = WhereIs(s); + tempV = tempV->nextVertex; + + while (tempV != NULL) + { + q.push(tempV->vname); + tempV = tempV->nextVertex; + } +} + +// DepthFirstSearch() - Finds path through graph from start vertex to destination vertex +void Graph::DepthFirstSearch(string startVertex, string endVertex, queue& path) +{ + stack s; + queue q; + + if ( !( IsPresent(startVertex) && IsPresent(endVertex) ) ) + throw GraphVertexNotFound(); + + bool found = false; + string vertex; + string item; + + // more here if required +} diff --git a/CPE212/Project_06/graph.h b/CPE212/Project_06/graph.h new file mode 100644 index 0000000..bd16b79 --- /dev/null +++ b/CPE212/Project_06/graph.h @@ -0,0 +1,154 @@ +// +// graph.h 2010 Fall CPE 212 - Project06 - Graphs and STL +// +// Specification file for Graph class +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// + +#ifndef GRAPH_H + +#define GRAPH_H + +#include +#include +#include +#include +#include // For STL stack +#include // For STL queue +#include +using namespace std; + + +class GraphPathNotFound { }; // Exception class represents path-not-found condition + +class GraphEdgeNotFound { }; // Exception class represents edge-not-found condition + +class GraphVertexNotFound { }; // Exception class represents vertex-not-found condition + +class GraphFull { }; // Exception class represents graph-full condition + +struct VertexNode; // Forward declaration of VertexNode type + +struct EdgeNode // Structure representing an edge +{ + VertexNode* destination; // Pointer to destination vertex + int weight; // Edge weight + EdgeNode* nextPtr; // Pointer to next edge +}; + +struct VertexNode // Structure representing a vertex +{ + string vname; // Name of vertex + bool mark; // Marked flag + EdgeNode* edgePtr; // Pointer to list of outgoing edges + VertexNode* nextVertex; // Pointer to next vertex in vertices list +}; + + +class Graph // Graph ADT using adjacency list representation +{ + private: //***** Private class members below *****// + VertexNode* vertices; // Linked list of vertex nodes + + public: //***** Public members below *****// + Graph(); + // Graph() + // Constructor initializes vertices linked list to empty + + ~Graph(); + // ~Graph() + // For each VertexNode in the vertices list, Destructor deallocates all EdgeNodes before + // deallocating the VertexNode itself + + void AddVertex(string v); + // AddVertex() + // Adds vertex to graph assuming vertex not already present + + void AddEdge(string s, string d, int w); + // AddEdge() + // Adds edge from source S to destination D with specified weight W. + // If there is not enough memory to add the edge, throw the GraphFull exception + + bool IsPresent(string v); + // IsPresent() + // Returns true if vertex V in graph, false otherwise + + VertexNode* WhereIs(string v); /* Note: This function replaces IndexIs */ + // WhereIs() + // Returns pointer to the vertex node that stores vertex v in the vertices linked list; + // Throws GraphVertexNotFound if V is not present in the vertices list + + int WeightIs(string s, string d); + // WeightIs() + // Returns weight of edge (s,d). Throws GraphEdgeNotFound if edge not present. + + void ClearMarks(); + // ClearMarks() + // Clears all vertex marks + + void MarkVertex(string v); + // MarkVertex() + // Marks vertex V as visited + + bool IsMarked(string v); + // IsMarked() + // Returns true if vertex V is marked, false otherwise + + void GetToVertices(string V, queue& q); + // GetToVertices() + // Returns queue Q of vertex names of those vertices adjacent to vertex V + // The queue here is from the Standard Template Library + + void DepthFirstSearch(string startVertex, string endVertex, queue& path); + // DepthFirstSearch() + // Uses the DFS algorithm from the CPE 212 textbook to determine a path from the + // startVertex to the endVertex. If a path is found, the path vertices should + // be in the path queue. If no path is found, the path queue should be emptied + // as a signal to the client code that no path exists between the start and + // end vertices. + // + // Notes: + // (1) This algorithm is flawed in that as it searches for a path, it may + // output some additional vertices that it visited but were not part + // of the actual path. Implement the algorithm just as it appears in the textbook. + // + // (2) This algorithm requires use of the stack and queue containers from the + // Standard Template Library. The STL stack and queue interfaces may require + // minor modifications to the DFS code from the textbook. + + + // Print -- write graph to stdout. DO NOT MODIFY THIS FUNCTION!!! + void Print() + { + EdgeNode* eptr; + VertexNode* vptr = vertices; + const int FIELDWIDTH = 6; + string STARS = "**********"; + STARS = STARS + STARS + STARS; + + cout << endl << STARS << endl; + + cout << setw(FIELDWIDTH) << "Vertex" << " : " << "Adjacent Vertices" << endl; + cout << "------------------------------" << endl; + + while(vptr != NULL) + { + cout << setw(FIELDWIDTH) << vptr->vname << " : "; + + eptr = vptr->edgePtr; + while (eptr != NULL) + { + cout << eptr->destination->vname << eptr->weight << " "; + eptr = eptr->nextPtr; + } + cout << endl; + vptr = vptr->nextVertex; + } + cout << STARS << endl << endl; + } // Graph::Print() +}; + +#endif + + diff --git a/CPE212/Project_06/graph.o b/CPE212/Project_06/graph.o new file mode 100644 index 0000000..eebb734 Binary files /dev/null and b/CPE212/Project_06/graph.o differ diff --git a/CPE212/Project_06/main.cpp b/CPE212/Project_06/main.cpp new file mode 100644 index 0000000..503fc94 --- /dev/null +++ b/CPE212/Project_06/main.cpp @@ -0,0 +1,165 @@ +// +// main.cpp 2010 Fall CPE 212 - Project06 - Graphs and STL +// +// Driver program for Graph class -- The text files (read by this code) contain a series +// of commands that will help you test your Graph ADT code by triggering various class methods. +// +// DO NOT MODIFY OR SUBMIT THIS FILE +// +#include +#include +#include "graph.h" +#include // For STL stack class +#include // For STL queue class + +using namespace std; + +int main(int argc, char* argv[]) +{ + ifstream inputs; // Input file for commands + char op, ch; // Hold operation and optional char input + Graph* gPtr = NULL; // Will point to Graph object + int num; // Holds number of graph nodes + string v1, v2; // Vertex names input from file + int w; // Edge weight input from file + queue path; // Computed path + string comment; // Comment input from file + + // Output usage message if one input file name is not provided + if (argc != 2) + { + cout << "Usage:\n project07 \n"; + return 1; + } + + // Attempt to open input file -- terminate if file does not open + inputs.open(argv[1]); + if (!inputs) + { + cout << "Error - unable to open input file" << endl; + return 1; + } + + cout << endl; + + // Process commands from input file + getline(inputs, comment); + cout << comment << endl; + + inputs >> op; // Attempt to input number of vertices + while (inputs) + { + switch (op) + { + case '#': // Comment + getline(inputs, comment); + cout << comment << endl; + break; + + case 'c': // Add vertex + cout << "Constructor()" << endl; + gPtr = new Graph(); + break; + + case 'v': // Add vertex + inputs >> v1; + cout << "AddVertex(" << v1 << ")" << endl; + gPtr->AddVertex(v1); + break; + + case 'd': // Add directed edge + inputs >> v1 >> v2 >> w; + cout << "AddEdge(" << v1 << ", " << v2 << ", " << w << ")" << endl; + gPtr->AddEdge(v1, v2, w); + break; + + case 'u': // Add undirected edge + inputs >> v1 >> v2 >> w; + cout << "AddEdge(" << v1 << ", " << v2 << ", " << w << ")" << endl; + gPtr->AddEdge(v1, v2, w); + cout << "AddEdge(" << v2 << ", " << v1 << ", " << w << ")" << endl; + gPtr->AddEdge(v2, v1, w); + break; + + case '?': // IsPresent() + inputs >> v1; + if (gPtr->IsPresent(v1)) + cout << "IsPresent(" << v1 << ") -- true" << endl; + else + cout << "IsPresent(" << v1 << ") -- false" << endl; + break; + + case 'w': // WeightIs() + inputs >> v1 >> v2; + try + { + cout << "WeightIs(" << v1 << ", " << v2 << ") -- "; + w = gPtr->WeightIs(v1, v2); + cout << w << endl; + } + catch ( GraphVertexNotFound ) + { + cout << "Error: vertex not found" << endl; + } + catch ( GraphEdgeNotFound ) + { + cout << "Error: edge not found" << endl; + } + break; + + case 's': // Perform Depth-First Search + inputs >> v1 >> v2; // Input v1-start and v2-end vertices + + cout << "DFS( " << v1 << ", " << v2 << " ) -- "; + try + { + gPtr->DepthFirstSearch(v1, v2, path); + + + if (path.empty()) + cout << "No path found" << endl; + else + { + cout << " { "; + while (!path.empty()) + { + cout << path.front() << " "; + path.pop(); + } + cout << "}" << endl; + } + } + catch ( GraphVertexNotFound ) + { + cout << "Error: vertex not found" << endl; + } + + while (!path.empty()) + path.pop(); + + break; + + case 'p': // Print Graph + gPtr->Print(); + break; + + case '~': // Destructor + delete gPtr; + gPtr = NULL; + cout << "Destructor()" << endl << endl; + break; + + default: // Error + cout << "Error - unrecognized operation '" << op << "'" << endl; + cout << "Terminating now..." << endl; + return 1; + break; + } + + inputs >> op; // Attempt to input next command + } + + + return 0; +} + diff --git a/CPE212/Project_06/main.o b/CPE212/Project_06/main.o new file mode 100644 index 0000000..85ef453 Binary files /dev/null and b/CPE212/Project_06/main.o differ diff --git a/CPE212/Project_06/makefile b/CPE212/Project_06/makefile new file mode 100644 index 0000000..d447192 --- /dev/null +++ b/CPE212/Project_06/makefile @@ -0,0 +1,15 @@ +# Project06 makefile + + +project06: graph.o main.o + g++ graph.o main.o -o project06 + +graph.o: graph.h graph.cpp + g++ -c graph.cpp + +main.o: graph.h main.cpp + g++ -c main.cpp + +clean: + rm *.o project06 + diff --git a/CPE212/Project_06/p06input1.txt b/CPE212/Project_06/p06input1.txt new file mode 100644 index 0000000..82c03bc --- /dev/null +++ b/CPE212/Project_06/p06input1.txt @@ -0,0 +1,41 @@ +# p06input1.txt - Test Graph(), ~Graph(), AddVertex(), AddEdge() with undirected graph + +c +v A +v B +v C +v D +u A B 5 +u A C 10 +u B C 15 +u B D 25 +u C D 20 +p +~ + + +c +v A +v B +v C +v D +v E +v F +v G +v H +u A B 25 +u A C 10 +u A E 60 +u B G 75 +u B H 15 +u C E 15 +u D F 25 +u D H 80 +u E G 35 +u E F 5 +u F H 40 +p +~ + + + diff --git a/CPE212/Project_06/p06input2.txt b/CPE212/Project_06/p06input2.txt new file mode 100644 index 0000000..9c99579 --- /dev/null +++ b/CPE212/Project_06/p06input2.txt @@ -0,0 +1,40 @@ +# p06input2.txt - Test Graph(), ~Graph(), AddVertex(), AddEdge() with directed graph +c +v A +v B +v C +v D +d A B 5 +d A C 10 +d B C 15 +d B D 25 +d C D 20 +p +~ + + +c +v A +v B +v C +v D +v E +v F +v G +v H +d A B 25 +d A C 10 +d A E 60 +d B G 75 +d B H 15 +d C E 15 +d D F 25 +d D H 80 +d E G 35 +d E F 5 +d F H 40 +p +~ + + + diff --git a/CPE212/Project_06/p06input3.txt b/CPE212/Project_06/p06input3.txt new file mode 100644 index 0000000..463a4da --- /dev/null +++ b/CPE212/Project_06/p06input3.txt @@ -0,0 +1,33 @@ +# p06input3.txt - Test IsPresent() + +c +v A +v B +v C +v D +v E +v F +v G +v H +u A B 25 +u A C 10 +u A E 60 +u B G 75 +u B H 15 +u C E 15 +u D F 25 +u D H 80 +u E G 35 +u E F 5 +u F H 40 +p +? A +? B +? G +? H +? K +? Z +~ + + + diff --git a/CPE212/Project_06/p06input4.txt b/CPE212/Project_06/p06input4.txt new file mode 100644 index 0000000..e8c7545 --- /dev/null +++ b/CPE212/Project_06/p06input4.txt @@ -0,0 +1,31 @@ +# p06input4.txt - Test WeightIs() and its error handling + +c +v A +v B +v C +v D +v E +v F +v G +v H +u A B 25 +u A C 10 +u A E 60 +u B G 75 +u B H 15 +u C E 15 +u D F 25 +u D H 80 +u E G 35 +u E F 5 +u F H 40 +p +w E F +w F H +w A K +w A H +~ + + + diff --git a/CPE212/Project_06/p06input5.txt b/CPE212/Project_06/p06input5.txt new file mode 100644 index 0000000..0ae9414 --- /dev/null +++ b/CPE212/Project_06/p06input5.txt @@ -0,0 +1,23 @@ +# p06input5.txt - Test DepthFirstSearch() and supporting functions + +c +v A +v B +v C +v D +u A B 5 +u A C 10 +u B C 15 +u B D 25 +u C D 20 +p +s A B +s A C +s A D +s A K +s D A +~ + + + + diff --git a/CPE212/Project_06/p06input6.txt b/CPE212/Project_06/p06input6.txt new file mode 100644 index 0000000..e4f3044 --- /dev/null +++ b/CPE212/Project_06/p06input6.txt @@ -0,0 +1,21 @@ +# p06input6.txt - Test DepthFirstSearch() and supporting functions + +c +v A +v B +v C +v D +d A B 5 +d A C 10 +d B C 15 +d D B 25 +d C D 20 +p +s A B +s A C +s A D +s A K +s D A +~ + + diff --git a/CPE212/Project_06/p06input7.txt b/CPE212/Project_06/p06input7.txt new file mode 100644 index 0000000..90e798e --- /dev/null +++ b/CPE212/Project_06/p06input7.txt @@ -0,0 +1,34 @@ +# p06input7.txt - Test DepthFirstSearch() and supporting functions + +c +v A +v B +v C +v D +v E +v F +v G +v H +u A B 25 +u A C 10 +u A E 60 +u B G 75 +u B H 15 +u C E 15 +u D F 25 +u D H 80 +u E G 35 +u E F 5 +u F H 40 +p +s A B +s A C +s A G +s A D +s A E +s A H +s A K +~ + + + diff --git a/CPE212/Project_06/p06input8.txt b/CPE212/Project_06/p06input8.txt new file mode 100644 index 0000000..aa95d34 --- /dev/null +++ b/CPE212/Project_06/p06input8.txt @@ -0,0 +1,36 @@ +# p06input8.txt - Test DepthFirstSearch() and supporting functions + +c +v A +v B +v C +v D +v E +v F +v G +v H +d A B 25 +d A C 10 +d A E 60 +d B G 75 +d B H 15 +d C E 15 +d D F 25 +d D H 80 +d E G 35 +d E F 5 +d F H 40 +p +s A B +s A C +s A G +s A D +s A E +s A H +s A K +s G A +s H E +~ + + + diff --git a/CPE212/Project_06/project06 b/CPE212/Project_06/project06 new file mode 100644 index 0000000..1d03a18 Binary files /dev/null and b/CPE212/Project_06/project06 differ diff --git a/CPE212/Project_06/project06_materials.zip b/CPE212/Project_06/project06_materials.zip new file mode 100644 index 0000000..9cb405f Binary files /dev/null and b/CPE212/Project_06/project06_materials.zip differ diff --git a/CPE212/Project_06/project_6.pdf b/CPE212/Project_06/project_6.pdf new file mode 100644 index 0000000..72969df Binary files /dev/null and b/CPE212/Project_06/project_6.pdf differ diff --git a/CPE212/project02_materials.zip b/CPE212/project02_materials.zip new file mode 100644 index 0000000..afd4483 Binary files /dev/null and b/CPE212/project02_materials.zip differ diff --git a/CPE221/CreateHeaders.bash b/CPE221/CreateHeaders.bash new file mode 100644 index 0000000..4526f23 --- /dev/null +++ b/CPE221/CreateHeaders.bash @@ -0,0 +1,39 @@ +#!/bin/bash +if [ -e Cpp_header.cpp ] +then + + if [ -e a.out ] + then + rm a.out + fi + OSNAME=`uname -s` + if [ $OSNAME == "SunOS" ] + then + CC Cpp_header.cpp + elif [ $OSNAME == "Linux" ] + then + g++ Cpp_header.cpp + else + echo "machine OS ($OSNAME) not recognized. contact TA" + exit + fi + if [ -e a.out ] + then + rm a.out + for x in `ls ` + do + if [ -d $x ] + then + echo "Copying Cpp_header.cpp to directory $x" + cp Cpp_header.cpp $x/$x.cpp + fi + done + else + echo "The File Cpp_header.cpp does not compile." + echo "Fix the problems and run this script again." + fi +else + echo "The file Cpp_header.cpp does not exist." + echo "Correct this problem and run the script again" +fi + diff --git a/CPE221/DataTypes1_01.cpp b/CPE221/DataTypes1_01.cpp new file mode 100644 index 0000000..54bfe85 --- /dev/null +++ b/CPE221/DataTypes1_01.cpp @@ -0,0 +1,54 @@ +// DataTypes 1, program 1: DataTypes1_01.cpp +// +#include +#include + +#include // required for chharacter functions + +using namespace std; + +// This program looks at char variables and how they correspond +// to integers. +// +int main() +{ + const float PI = 3.14159; + int count; // loop control variable + char letter; // holds character corresponding to count + string line; // used for program flow control + + // can you assign a character variable to a string + letter='j'; + line = letter; + cout << "Assigned character variable to a string variable: " << line << endl; + + // print out the corresponding character for an integer value + // The machine has the ascii character set, so only integers + // 0 to 127 have significance. However, there are other + // characters available for values 128 to 255. Note that since + // char variables are only one byte, the highest integer value + // that can be assigned to them is 255. A value of 256 results + // in a wrapping back to 0, 257 to 1, etc. + + for (count = 0 ; count < 260; count++) + { + letter = count; // use implicit coercion to assign an integer value + // to the char variable letter + cout << "Count: " << count; + cout << " integer(0-256): " << count%256 << " is: " << letter << endl; + + // put a pause in the printing of the values after every 20 values. + // Note testing for a vlue of 19 instead of 0 since count starts at 0 + // if I tested for equal to 0, I would get a pause after one value and then every + // twenty. by testing on 19, the first pause occurs after 20 values every time + + if (count%20 == 19) + { + cout << "press enter to continue:"; + getline(cin,line); + } + } + + + return 0; +} diff --git a/CPE221/DataTypes2_02.cpp b/CPE221/DataTypes2_02.cpp new file mode 100644 index 0000000..69b3686 --- /dev/null +++ b/CPE221/DataTypes2_02.cpp @@ -0,0 +1,58 @@ +// +// ***** Program Ch03_2.cpp *********** +// Example of arithmetic operations, increment and decrement +// operators +#include + +using namespace std; + +int main() +{ + // declare variables for use in the program and assign them an initial + // value. These variables are used in various expressions to illustrate + // mathematical computations in C++ + int num1 = 10, num2 = 20, num3 = 30; + float flt1 = 40, flt2 = 50.0, flt3 = 60.5; + int num; + float flt; + + + // Output the values of the variables used in the program. This information + // is then used to verify the results shown for the mathematical operations + + cout << "num1 = " << num1 << " : num2 = " << num2 << " : num3 = " << num3 << endl; + cout << "flt1 = " << flt1 << " : flt2 = " << flt2 << " : flt3 = " << flt3 << endl; + cout << "=================================================================\n"; + + // Addition and subtraction + // Perform integer and floating point addition and subtraction and output + // the results. + cout << "Addition and subtraction\n\n"; + num = num1 - num2 + num3 +5; + cout << "num1 - num2 + num3 +5 = " << num << endl; + flt = -flt1 + flt2 + flt3; + cout << "-flt1 + flt2 + flt3 = " << flt << endl; + cout << "=================================================================\n"; + + // Integer and Floating point multiplication examples + cout << "Multiplication\n\n"; + cout << "num1*num2 = " << num1*num2 << endl; + cout << "flt1*flt3 = " << flt1*flt3 << endl; + cout << "=================================================================\n"; + + + // Example of floating point division + cout << "floating point division\n"; + cout << "flt1/flt2 = " << flt1/flt2 << endl; + cout << "16.0000/5.0000 = " << 16.0000/5.0000 << endl; + cout << "16.0000/4.0000 = " << 16.0000/4.0000 << endl; + + // Example of integer division + cout << "\nInteger division: " << num1 << "/" << num2 << " = " + << num1/num2 << endl; + cout << "integer division2, 19/3 = " << 19/3 << endl; + cout << "integer division3, 17/9 = " << 17/9 << endl; + cout << "=================================================================\n"; + + return 0; +} diff --git a/CPE221/DataTypes2_02b.cpp b/CPE221/DataTypes2_02b.cpp new file mode 100644 index 0000000..d69143c --- /dev/null +++ b/CPE221/DataTypes2_02b.cpp @@ -0,0 +1,31 @@ +// +// ***** Program Ch03_2b.cpp *********** +// Example of arithmetic operations, increment and decrement +// operators +#include + +using namespace std; + +int main() +{ + + cout << "Integer division\n\n"; + cout << "10/2: " << 10/2 << endl; + cout << "10/3: " << 10/3 << endl; + cout << "10/4: " << 10/4 << endl; + cout << "10/6: " << 10/6 << endl; + + cout << "\nModulus operation\n"; + // Modulus operation examples. These examples show the + // result of the modulos operation on various integer division values. + + cout << "10 mod 2: " << 10%2 << endl; + cout << "10 mod 3: " << 10%3 << endl; + cout << "10 mod 4: " << 10%4 << endl; + cout << "10 mod 6: " << 10%6 << endl; + cout << "*******************************\n"; + //cout << "10.5 mod 6.5: " << 10.5%6.5 << endl; + + + return 0; +} diff --git a/CPE221/DataTypes2_02c.cpp b/CPE221/DataTypes2_02c.cpp new file mode 100644 index 0000000..9de711c --- /dev/null +++ b/CPE221/DataTypes2_02c.cpp @@ -0,0 +1,53 @@ +// +// ***** Program Ch03_2c.cpp *********** +// Example of arithmetic operations, increment and decrement +// operators +#include +#include +#include +#include + +using namespace std; + +int main() +{ + int num1 = 10; // variable used for increment and decrement operations + + // Perform prefix and postfix increment and decrement operations + // on the num1 variable. These operations are performed in an output + // statement to illustrate the difference between the two methods + + // increment and decrement operators - POSTFIX + cout << "POSTFIX incremennt and decrement operations\n"; + cout << "*******************************************\n"; + cout << "Perform Postfix increment:\n"; + cout << "\tnum1 before postfix ++ operation: " << num1 << endl; + cout << "\tnum1 during postfix ++ operation: " << num1++ < + +using namespace std; + +int main() +{ + int num1 = 50; // variable used in coercion equations + int num; // variable to hold coerced values + float flt1 = 3.14159; // variable used in coercion equations + float flt; // variable to hold coerced values + + // If you want to coerce a number from one data type + // to another, show it by using type casting. do not let + // the computer do it automatically by using implicit type coercion + + // implicit type coercion - conversion is done automatically + cout << "Implicit type coercion of integer to float and float to an int.i\n"; + num = flt1; + flt = num1; + cout << "int num contains the value for 3.14159: " << num << endl; + cout << "float flt contains the value for 50: " << flt << endl; + cout << "***********************************\n\n"; + + // explicit type coercion - type casting + // here num1 is converted to a float before the multiplication, + // then the overall result is converted to an integer. + cout << "Explicit type coercion of int to a float and a float to an int\n"; + num = int(flt1*float(num1)); + cout << "type cast value for num: " << num << endl; + cout << "calculated float value: " << flt1*num1 << endl; + cout << "***********************************\n\n"; + + // in this statement, flt1 is converted to an integer to + // perform integer division, and then the result is made a float + cout << "integer division result converted to a float\n"; + flt = float(num1/int(flt1)); + cout << "calculated integer value: " << num1/int(flt1) << endl; + cout << "type cast value for flt: " << flt << endl; + cout << "***********************************\n\n"; + + // mixed data type statements + // illustration of floating point arithmetic with implicit coercion from int to float + cout << "Mixed expressions output\n"; + cout << "Value of 3.0/2 + 0.75 = " << 3.0/2 + 0.75 << endl; + + // Illustration of integer division followed by implicit coercion to a float + flt1 = 100/40*1.0; + cout << "Value of 100/40*1.0 = " << flt1 << endl; + + flt1 = 1.0*100/40; + cout << "Value of 1.0*100/40 = " << flt1 << endl; + + flt1 = float(num1/13); // integer division typecast to float + cout << "float(num1/13) = " << flt1 << endl; + + flt1 = float(num1)/13; // integer division typecast to float + cout << "float(num1)/13 = " << flt1 << endl; + + return 0; +} diff --git a/CPE221/DataTypes2_05.cpp b/CPE221/DataTypes2_05.cpp new file mode 100644 index 0000000..44980ca --- /dev/null +++ b/CPE221/DataTypes2_05.cpp @@ -0,0 +1,59 @@ +// +// Chpater 03 program 5: Ch_03_05.cpp +#include +#include // for using setw and setprecision +#include // so that the string class can be used +using namespace std; +main() +{ + + string str1 = "This is a programming class"; + string str2 = "Instructor"; + string str3 = "cl"; + string::size_type length; // holds length of a string variable + string::size_type pos; // holds character position in a string + + // output values for string::npos under various conditions + cout << endl; + cout << "Value for string::npos: " << string::npos << endl; + + int number = string::npos; + cout << "string::npos assigned to an integer variable: " << number << endl; + + unsigned int number2 = string::npos; + cout << "string::npos assigned to an unsigned integer variable: " << number2 << endl; + + // output how much memory certain data types use + cout << "size of int: " << sizeof(int) << endl; + cout << "size of long: " << sizeof(long) << endl; + cout << "size of long long: " << sizeof(long long) << endl; + cout << "size of Ulong: " << sizeof(unsigned long) << endl; + cout << "size of string::size_type: " << sizeof(string::size_type) << endl; + cout << endl; + + + cout << "The following two strings will be manipulated " + << "in the program\n"; + cout << " 0123456789012345678901234567890\n"; + cout << "str1: " << str1 << endl; + cout << "str2: " << str2 << endl << endl; + + // show that length() and size() both perform the same function + cout << "Determine number of characters in str1 and str2 " + << "using length and size\n"; + length = str1.length(); + cout << "The length of str1 is: " << length << endl; + length = str2.size(); + cout << "The size of str2 is: " << length << endl << endl; + + cout << "Use the find function to find various sub strings in str1\n"; + pos = str1.find("gram"); + cout << "\"gram\" first occurs in str1 at position: " << pos << endl; + + pos = str1.find("GRAM"); + cout << "\"GRAM\" is not in str1, so string::npos is returned: " << pos << endl; + + pos = str1.find(str3+"as"); + cout << "\"" << str3 + "as" << "\" is found in str1 at position: " << pos << endl; + return 0; +} diff --git a/CPE221/DataTypes2_06.cpp b/CPE221/DataTypes2_06.cpp new file mode 100644 index 0000000..1289795 --- /dev/null +++ b/CPE221/DataTypes2_06.cpp @@ -0,0 +1,72 @@ +// +// Chpater 03 program 6: Ch_03_06.cpp +#include +#include // for using setw and setprecision +#include // so that the string class can be used +using namespace std; +main() +{ + string str1 = "This is a programming class"; + string str2 = ""; // str2 contains the substring, + + // output what is contained in string1, with a position indicator + cout << endl; + cout << "Substrings will be found in the following string:\n"; + cout << " 0123456789012345678901234567890\n"; + cout << "str1: " << str1 << endl << endl; + + // Look at various substring values + // the substrings are output between the arrow indicators + // of ==> and <== + + // Length of 0 attempt - null string + str2 = str1.substr(4,0); + cout << "str1.substr(4,0) should return null string\n"; + cout << "str2 contains the null ==>" << str2 << "<== string\n"; + + // two valid attempts - one with literals, one using find function + str2 = str1.substr(6,5); + cout << "\nstr1 substring (6,5) is ==>" << str2 << "<==" << endl; + + cout << endl << "substring of str1 starting with 'pro'\n" << "and containing 8 characters\n"; + str2 = str1.substr(str1.find("pro"),8); + cout << "str1 substring (str1.find(\"pro\"),8) is ==>" << str2 <<"<==" << endl; + + // substring going past end of string + cout << "\nExtracting beyond the end of the string is okay\n"; + str2 = str1.substr(20,20); + cout << "str1 substring(20,20) is ==>" << str2 << "<==" << endl; + + // substring starting at position 1 + str2 = str1.substr(1,5); + cout << "\nstr1 substring(1,5) is ==>" << str2 << "<==" << endl << endl; + + cout.flush(); // make sure the above is printed + + //cout << "Substring with a negative starting position: " << endl; + // this results in a runtime error with out of range substring error + //str2 = str1.substr(-40,3); + //cout << "str2:" << str2 << "<==\n"; + + // here length is -3, -3 will be converted to a large + // positive number due to the way unsigned integers are + // stored in the computer. therefore , the rest of the + // string from the starting position will be pulled out + // as the substring + str2 = str1.substr(4,-3); + cout << "Substring starting at position 4 with length -3\n"; + cout << "str2:" << str2 << "<==\n\n"; + + cout << "-3 assinged to unsigned integer data types becomes\n"; + cout << "a large positive number\n"; + cout << "-3 assigned to unsigned int: " << unsigned(-3) << endl; + cout << "-3 assigned to unsigned long: " << (unsigned long)(-3) << endl << endl; + + cout << "\nThe following causes an abort due to starting position\n"; + cout << "Attempting str1 substring (40,3):\n"; + str2 = str1.substr(40,3); + cout << str2; + // starting beyond the end of the string + + return 0; +} diff --git a/CPE221/Final Exam/in1.txt b/CPE221/Final Exam/in1.txt new file mode 100644 index 0000000..98fdba5 --- /dev/null +++ b/CPE221/Final Exam/in1.txt @@ -0,0 +1,11 @@ +1 +2 +3 +4 +5 6 7 8 +-9 +-8 +0 +0 +-7 + diff --git a/CPE221/Final Exam/in2.txt b/CPE221/Final Exam/in2.txt new file mode 100644 index 0000000..f032092 --- /dev/null +++ b/CPE221/Final Exam/in2.txt @@ -0,0 +1,12 @@ +0 1 -1 -5 +0 +-9 +7 +3 +0 7 123 -54 0 +0 +776 +-3432 +8 6 0 0 +45 + diff --git a/CPE221/Loops1_05.cpp b/CPE221/Loops1_05.cpp new file mode 100644 index 0000000..d613378 --- /dev/null +++ b/CPE221/Loops1_05.cpp @@ -0,0 +1,90 @@ +// Chpater 06 program 5: Ch_06_05.cpp +#include +#include +#include +using namespace std; + +// Event controlled loops +// 1) Sentinel loops - Loop is executed until a special value +// is used (typically read) indicating the termination of the loop +// 2) End-of-File controlled loops - Loop is executed until the +// End-of-File(EOF) is reached. +// 3) Flag-controlled loops - The loop is executed until a +// Boolean variable is changed (from true to false or false +// to true) such that the loop is exited. + +int main() +{ + bool finished; // flag used for loop control + int value; // number read from the input file + int sum; // sum of the numbers read from the file + int line_num; // number of lines read from the file + ifstream InData; // input file stream variable + + // Flag Controlled Loop - uses a flag which is a boolean variable. + // The flag records whether or not the event that controls + // the loop has occurred. + + // Flags can be initialized to true or false. The value + // used is usuall decided by the variable name so that + // reading the statement indicates the desired action. + + // Examples while (!negative), while (DataOk) + + // In this example, integers are read from a file until one + // of the following occurs: Either the end of the file is + // reached, or the sum of the integers exceeds 300. + + cout << "\n\nFlag controlled loop example. In this case, the\n"; + cout << "flag is changed when the sum of integers entered\n"; + cout << "exceeds 300. The loop terminates when the EOF is\n"; + cout << "reached or the sum exceeds 300\n\n"; + + cout << "File: data_file1.txt has integers that sum to > 300.\n\n"; + + line_num = 1; + sum = 0; + finished = false; // initialize this to false since we are not done + + cout << boolalpha; + + // open the input file stream + InData.open("data_file1.txt"); + + cout << left << setw(17) << "Line and Value" << + setw(20) << " sum" << right << setw(10)<< "finished" << setw(10) + << "InData" << endl; + cout << left << setw(17) << "--------------" << + setw(20) << "-------------" << right << setw(10)<< "--------" << setw(10) + << "------" << endl; + + InData >> value; // Priming read to read the first value + + // Loop executes As long as the input stream is valid and the sum is less than 300 + + while (InData && !finished ) + { + sum += value; + cout << "Line " << line_num << ": " << left << setw(9) << value; + cout << ":: sum is " << setw(10) << sum << right << setw(10)<< finished << setw(10) + << bool(InData) << endl; + + line_num++; + // test the sum to see if we have reached 301 or higher + // could use finished = sum > 300 this sets the value false + // to finished until sum exceeds 300 + if (sum > 300 ) + finished = true; //finished = sum > 300; + else + InData >> value; // Read the next value + } + + // print out the status of the input stream and finished + + cout << boolalpha; // prints out true or false for boolean variables. + cout << "finished is : " << finished << endl; + cout << "The status of the input stream is: " << bool(InData) << endl; + + InData.close(); // close the file used for the input stream + return 0; +} diff --git a/CPE325/FinalProject/Debug/FinalProject.d b/CPE325/FinalProject/Debug/FinalProject.d new file mode 100644 index 0000000..085249e --- /dev/null +++ b/CPE325/FinalProject/Debug/FinalProject.d @@ -0,0 +1,54 @@ +# FIXED + +FinalProject.obj: ../FinalProject.c +FinalProject.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +FinalProject.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h +FinalProject.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/string.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/xlocale/_string.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdlib.h +FinalProject.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdbool.h + +../FinalProject.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/string.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/xlocale/_string.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdlib.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdbool.h: + diff --git a/CPE325/FinalProject/Debug/FinalProject.map b/CPE325/FinalProject/Debug/FinalProject.map new file mode 100644 index 0000000..b009885 --- /dev/null +++ b/CPE325/FinalProject/Debug/FinalProject.map @@ -0,0 +1,1212 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Dec 3 14:41:32 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00003152 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 000000a8 00001f58 RWIX + FLASH 00003100 0000cebe 0000060e 0000c8b0 RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 00004208 0000bdf8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00001100 0000004e UNINITIALIZED + 00001100 00000024 FinalProject.obj (.data) + 00001124 0000000a FinalProject.obj (.data:andrew) + 0000112e 0000000a FinalProject.obj (.data:bill) + 00001138 0000000a FinalProject.obj (.data:jeff) + 00001142 0000000a FinalProject.obj (.data:joe) + 0000114c 00000002 rts430x_lc_rd_eabi.lib : errno.c.obj (.data) + +.bss 0 0000114e 0000000a UNINITIALIZED + 0000114e 00000002 (.common:SystemTime) + 00001150 00000002 (.common:command) + 00001152 00000002 (.common:cpu) + 00001154 00000002 (.common:password) + 00001156 00000002 (.common:user) + +.stack 0 000030b0 00000050 UNINITIALIZED + 000030b0 00000004 rts430x_lc_rd_eabi.lib : boot.c.obj (.stack) + 000030b4 0000004c --HOLE-- + +.text:_isr +* 0 00003100 00000076 + 00003100 00000032 FinalProject.obj (.text:_isr:watchdog_timer) + 00003132 00000020 FinalProject.obj (.text:_isr:Port1_ISR) + 00003152 0000001c rts430x_lc_rd_eabi.lib : boot.c.obj (.text:_isr:_c_int00_noargs) + 0000316e 00000008 : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 000036bc 00000052 + 000036bc 0000002f (.cinit..data.load) [load image, compression = lzss] + 000036eb 00000001 --HOLE-- [fill = 0] + 000036ec 0000000c (__TI_handler_table) + 000036f8 00000006 (.cinit..bss.load) [load image, compression = zero_init] + 000036fe 00000010 (__TI_cinit_table) + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +.const 0 00003176 00000546 + 00003176 0000041e FinalProject.obj (.const:.string) + 00003594 00000101 rts430x_lc_rd_eabi.lib : ctype.c.obj (.const:.string:_ctypes_) + 00003695 00000001 --HOLE-- [fill = 0] + 00003696 00000026 : _printfi.c.obj (.const:.string) + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430x_lc_rd_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430x_lc_rd_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430x_lc_rd_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430x_lc_rd_eabi.lib : int17.asm.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430x_lc_rd_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430x_lc_rd_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 FinalProject.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430x_lc_rd_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 rts430x_lc_rd_eabi.lib : int22.asm.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 rts430x_lc_rd_eabi.lib : int23.asm.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430x_lc_rd_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430x_lc_rd_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 FinalProject.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430x_lc_rd_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 rts430x_lc_rd_eabi.lib : int28.asm.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 rts430x_lc_rd_eabi.lib : int29.asm.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430x_lc_rd_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430x_lc_rd_eabi.lib : boot.c.obj (.reset) + +.text 0 00010000 00004208 + 00010000 0000072a rts430x_lc_rd_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 0001072a 00000466 : frcdivd.c.obj (.text:__TI_frcdivd) + 00010b90 000003f0 FinalProject.obj (.text:main) + 00010f80 0000034e rts430x_lc_rd_eabi.lib : div64u.c.obj (.text:__mspabi_divull) + 000112ce 000002a6 : _printfi.c.obj (.text:__TI_printfi) + 00011574 00000298 : mpyd.c.obj (.text:__mspabi_mpyd) + 0001180c 0000027a : _printfi.c.obj (.text:_setfield) + 00011a86 00000268 : _printfi.c.obj (.text:_pproc_fgea) + 00011cee 00000224 : _printfi.c.obj (.text:acvt) + 00011f12 00000222 : divd.c.obj (.text:__mspabi_divd) + 00012134 0000020c : _printfi.c.obj (.text:_getarg_diouxp) + 00012340 000001e6 : _printfi.c.obj (.text:ecvt) + 00012526 000001c8 : _printfi.c.obj (.text:fcvt) + 000126ee 000001a2 : _printfi.c.obj (.text:_pconv_e) + 00012890 00000170 : _printfi.c.obj (.text:_pconv_a) + 00012a00 00000164 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 00012b64 0000015a : s_scalbn.c.obj (.text:scalbn) + 00012cbe 00000148 : _printfi.c.obj (.text:_pproc_diouxp) + 00012e06 00000128 : _printfi.c.obj (.text:_ltostr) + 00012f2e 000000fc : _printfi.c.obj (.text:_pconv_g) + 0001302a 000000ea : _printfi.c.obj (.text:_pproc_fwp) + 00013114 000000d8 : cmpd.c.obj (.text:__mspabi_cmpd) + 000131ec 000000c8 : _printfi.c.obj (.text:_pproc_wstr) + 000132b4 000000a6 : _printfi.c.obj (.text:_pproc_str) + 0001335a 000000a6 : s_frexp.c.obj (.text:frexp) + 00013400 0000009e : fltlid.c.obj (.text:__mspabi_fltlid) + 0001349e 00000096 : mult64_hw.asm.obj (.text:__mpyll) + 00013534 00000090 : _printfi.c.obj (.text:_ecpy) + 000135c4 00000090 : _printfi.c.obj (.text:_mcpy) + 00013654 00000088 FinalProject.obj (.text:stringCheck) + 000136dc 00000080 rts430x_lc_rd_eabi.lib : _ltoa.c.obj (.text:__TI_ltoa) + 0001375c 00000078 : _printfi.c.obj (.text:_pconv_f) + 000137d4 00000076 FinalProject.obj (.text:clockFunc) + 0001384a 00000076 rts430x_lc_rd_eabi.lib : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 000138c0 0000006e FinalProject.obj (.text:updateFunc) + 0001392e 00000068 FinalProject.obj (.text:UARTgetWord) + 00013996 00000068 FinalProject.obj (.text:commandCheck) + 000139fe 00000068 FinalProject.obj (.text:setup) + 00013a66 00000066 rts430x_lc_rd_eabi.lib : fixdli.c.obj (.text:__mspabi_fixdli) + 00013acc 00000064 FinalProject.obj (.text:promptOutput) + 00013b30 00000062 rts430x_lc_rd_eabi.lib : atoi.c.obj (.text:atoi) + 00013b92 00000058 : div32u.asm.obj (.text) + 00013bea 00000054 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00013c3e 0000004e : mult3264_hw.asm.obj (.text:__mpyull) + 00013c8c 0000004e : _printfi.c.obj (.text:_fcpy) + 00013cda 00000044 : sprintf.c.obj (.text:sprintf) + 00013d1e 00000040 : asr64.c.obj (.text:__mspabi_srall) + 00013d5e 00000040 : div32s.asm.obj (.text) + 00013d9e 0000003e : asr32.asm.obj (.text:l_asr_const) + 00013ddc 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 00013e1a 0000003e : lsr32.asm.obj (.text:l_lsr_const) + 00013e58 0000003a : lsl64.c.obj (.text:__mspabi_sllll) + 00013e92 0000003a : lsr64.c.obj (.text:__mspabi_srlll) + 00013ecc 00000032 : subd.c.obj (.text:__mspabi_subd) + 00013efe 00000032 : mult32_hw.asm.obj (.text) + 00013f30 0000002e : negd.c.obj (.text:__mspabi_negd) + 00013f5e 0000002e : s_copysign.c.obj (.text:copysign) + 00013f8c 0000002c FinalProject.obj (.text:upgradeFunc) + 00013fb8 00000028 FinalProject.obj (.text:UART_setup) + 00013fe0 00000028 rts430x_lc_rd_eabi.lib : fixdi.c.obj (.text:__mspabi_fixdi) + 00014008 00000026 : memccpy.c.obj (.text:memccpy) + 0001402e 00000024 FinalProject.obj (.text:UARTsendString) + 00014052 00000024 rts430x_lc_rd_eabi.lib : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 00014076 00000020 : sprintf.c.obj (.text:_outs) + 00014096 0000001c : strchr.c.obj (.text:strchr) + 000140b2 0000001c : strcmp.c.obj (.text:strcmp) + 000140ce 00000018 : mult16_hw.asm.obj (.text) + 000140e6 00000018 FinalProject.obj (.text:stringLength) + 000140fe 00000016 rts430x_lc_rd_eabi.lib : memset.c.obj (.text:memset) + 00014114 00000016 : div16u.asm.obj (.text) + 0001412a 00000016 : wcslen.c.obj (.text:wcslen) + 00014140 00000014 : sprintf.c.obj (.text:_outc) + 00014154 00000014 : memcpy.c.obj (.text:memcpy) + 00014168 00000014 FinalProject.obj (.text:setTimer) + 0001417c 00000012 rts430x_lc_rd_eabi.lib : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 0001418e 00000012 : toupper.c.obj (.text:toupper) + 000141a0 00000010 : lsr32.asm.obj (.text:l_lsr) + 000141b0 0000000e FinalProject.obj (.text:resetBuzzer) + 000141be 0000000e FinalProject.obj (.text:setBuzzer) + 000141cc 0000000e rts430x_lc_rd_eabi.lib : strlen.c.obj (.text:strlen) + 000141da 0000000c FinalProject.obj (.text:UART_Send_Character) + 000141e6 0000000c rts430x_lc_rd_eabi.lib : fltid.c.obj (.text:__mspabi_fltid) + 000141f2 0000000a : abs.c.obj (.text:abs) + 000141fc 00000006 : exit.c.obj (.text:abort) + 00014202 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00014206 00000002 : startup.c.obj (.text:_system_post_cinit) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + FinalProject.obj 2070 1058 86 + +--+----------------------------+-------+---------+---------+ + Total: 2070 1058 86 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430x_lc_rd_eabi.lib + _printfi.c.obj 6690 38 0 + addd.c.obj 1834 0 0 + frcdivd.c.obj 1126 0 0 + div64u.c.obj 846 0 0 + mpyd.c.obj 664 0 0 + divd.c.obj 546 0 0 + frcmpyd.c.obj 356 0 0 + s_scalbn.c.obj 346 0 0 + ctype.c.obj 0 257 0 + cmpd.c.obj 216 0 0 + s_frexp.c.obj 166 0 0 + fltlid.c.obj 158 0 0 + mult64_hw.asm.obj 150 0 0 + _ltoa.c.obj 128 0 0 + sprintf.c.obj 120 0 0 + copy_decompress_lzss.c.obj 118 0 0 + fixdli.c.obj 102 0 0 + atoi.c.obj 98 0 0 + div32u.asm.obj 88 0 0 + autoinit.c.obj 84 0 0 + lsr32.asm.obj 78 0 0 + mult3264_hw.asm.obj 78 0 0 + asr64.c.obj 64 0 0 + div32s.asm.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + lsl64.c.obj 58 0 0 + lsr64.c.obj 58 0 0 + mult32_hw.asm.obj 50 0 0 + subd.c.obj 50 0 0 + negd.c.obj 46 0 0 + s_copysign.c.obj 46 0 0 + fixdi.c.obj 40 0 0 + memccpy.c.obj 38 0 0 + copy_zero_init.c.obj 36 0 0 + boot.c.obj 28 2 0 + strchr.c.obj 28 0 0 + strcmp.c.obj 28 0 0 + mult16_hw.asm.obj 24 0 0 + div16u.asm.obj 22 0 0 + memset.c.obj 22 0 0 + wcslen.c.obj 22 0 0 + memcpy.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + toupper.c.obj 18 0 0 + strlen.c.obj 14 0 0 + fltid.c.obj 12 0 0 + abs.c.obj 10 0 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int17.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int22.asm.obj 0 2 0 + int23.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int28.asm.obj 0 2 0 + int29.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 14952 327 2 + + Stack: 0 0 80 + Linker Generated: 0 81 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 17022 1466 168 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 000036fe records: 2, size/record: 8, table size: 16 + .data: load addr=000036bc, load size=0000002f bytes, run addr=00001100, run size=0000004e bytes, compression=lzss + .bss: load addr=000036f8, load size=00000006 bytes, run addr=0000114e, run size=0000000a bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 000036ec records: 3, size/record: 4, table size: 12 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +000141fc C$$EXIT +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +00003132 Port1_ISR +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +0000114e SystemTime +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +000141da UART_Send_Character +00013fb8 UART_setup +0001392e UARTgetWord +0001402e UARTsendString +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00001104 Up +00000120 WDTCTL +00003100 __STACK_END +00000050 __STACK_SIZE +000036fe __TI_CINIT_Base +0000370e __TI_CINIT_Limit +000036ec __TI_Handler_Table_Base +000036f8 __TI_Handler_Table_Limit +0000316e __TI_ISR_TRAP +00013bea __TI_auto_init_nobinit_nopinit_hold_wdt +0001384a __TI_decompress_lzss +0001417c __TI_decompress_none +0001072a __TI_frcdivd +00012a00 __TI_frcmpyd +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +000136dc __TI_ltoa +ffffffff __TI_pprof_out_hndl +000112ce __TI_printfi +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +00014052 __TI_zero_init_nomemset +ffffffff __c_args__ +00010000 __mspabi_addd +00013114 __mspabi_cmpd +00011f12 __mspabi_divd +00013d5e __mspabi_divli +00014114 __mspabi_divu +00013b92 __mspabi_divul +00010f80 __mspabi_divull +00013fe0 __mspabi_fixdi +00013a66 __mspabi_fixdli +000141e6 __mspabi_fltid +00013400 __mspabi_fltlid +00011574 __mspabi_mpyd +000140ce __mspabi_mpyi_hw +00013efe __mspabi_mpyl_hw +0001349e __mspabi_mpyll_hw +00013c3e __mspabi_mpyull_hw +00013f30 __mspabi_negd +00013d5e __mspabi_remli +00014114 __mspabi_remu +00013b92 __mspabi_remul +00013e14 __mspabi_slll_1 +00013df0 __mspabi_slll_10 +00013dec __mspabi_slll_11 +00013de8 __mspabi_slll_12 +00013de4 __mspabi_slll_13 +00013de0 __mspabi_slll_14 +00013ddc __mspabi_slll_15 +00013e10 __mspabi_slll_2 +00013e0c __mspabi_slll_3 +00013e08 __mspabi_slll_4 +00013e04 __mspabi_slll_5 +00013e00 __mspabi_slll_6 +00013dfc __mspabi_slll_7 +00013df8 __mspabi_slll_8 +00013df4 __mspabi_slll_9 +00013e58 __mspabi_sllll +00013dd6 __mspabi_sral_1 +00013db2 __mspabi_sral_10 +00013dae __mspabi_sral_11 +00013daa __mspabi_sral_12 +00013da6 __mspabi_sral_13 +00013da2 __mspabi_sral_14 +00013d9e __mspabi_sral_15 +00013dd2 __mspabi_sral_2 +00013dce __mspabi_sral_3 +00013dca __mspabi_sral_4 +00013dc6 __mspabi_sral_5 +00013dc2 __mspabi_sral_6 +00013dbe __mspabi_sral_7 +00013dba __mspabi_sral_8 +00013db6 __mspabi_sral_9 +00013d1e __mspabi_srall +000141a0 __mspabi_srll +00013e52 __mspabi_srll_1 +00013e2e __mspabi_srll_10 +00013e2a __mspabi_srll_11 +00013e26 __mspabi_srll_12 +00013e22 __mspabi_srll_13 +00013e1e __mspabi_srll_14 +00013e1a __mspabi_srll_15 +00013e4e __mspabi_srll_2 +00013e4a __mspabi_srll_3 +00013e46 __mspabi_srll_4 +00013e42 __mspabi_srll_5 +00013e3e __mspabi_srll_6 +00013e3a __mspabi_srll_7 +00013e36 __mspabi_srll_8 +00013e32 __mspabi_srll_9 +00013e92 __mspabi_srlll +00013ecc __mspabi_subd +00003152 _c_int00_noargs +00003594 _ctypes_ +0000fffe _reset_vector +000030b0 _stack +00014206 _system_post_cinit +00014202 _system_pre_init +000141fc abort +000141f2 abs +00001116 admin +00001124 andrew +00013b30 atoi +0000112e bill +0000110e clock +00001106 clockCheck +000137d4 clockFunc +00001150 command +00013996 commandCheck +00013f5e copysign +00013f5e copysignl +00001152 cpu +00001112 data +0000114c errno +0001335a frexp +0001335a frexpl +00001138 jeff +00001142 joe +00012b64 ldexp +00012b64 ldexpl +00001114 logout +00010b90 main +00014008 memccpy +00014154 memcpy +000140fe memset +00001117 nonadmin +00001154 password +00013acc promptOutput +000141b0 resetBuzzer +00012b64 scalbn +00012b64 scalbnl +000141be setBuzzer +00014168 setTimer +000139fe setup +00013cda sprintf +00014096 strchr +000140b2 strcmp +00013654 stringCheck +000140e6 stringLength +000141cc strlen +00001107 test +0000110a timeCheck +00001100 timeout +00001102 timer +00001110 timerCheck +00001118 timerCount +0001418e toupper +00001108 update +000138c0 updateFunc +0000110c upgrade +00013f8c upgradeFunc +00001156 user +00003100 watchdog_timer +0001412a wcslen + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000050 __STACK_SIZE +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +00001100 timeout +00001102 timer +00001104 Up +00001106 clockCheck +00001107 test +00001108 update +0000110a timeCheck +0000110c upgrade +0000110e clock +00001110 timerCheck +00001112 data +00001114 logout +00001116 admin +00001117 nonadmin +00001118 timerCount +00001124 andrew +0000112e bill +00001138 jeff +00001142 joe +0000114c errno +0000114e SystemTime +00001150 command +00001152 cpu +00001154 password +00001156 user +000030b0 _stack +00003100 __STACK_END +00003100 watchdog_timer +00003132 Port1_ISR +00003152 _c_int00_noargs +0000316e __TI_ISR_TRAP +00003594 _ctypes_ +000036ec __TI_Handler_Table_Base +000036f8 __TI_Handler_Table_Limit +000036fe __TI_CINIT_Base +0000370e __TI_CINIT_Limit +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +0000fffe _reset_vector +00010000 __mspabi_addd +0001072a __TI_frcdivd +00010b90 main +00010f80 __mspabi_divull +000112ce __TI_printfi +00011574 __mspabi_mpyd +00011f12 __mspabi_divd +00012a00 __TI_frcmpyd +00012b64 ldexp +00012b64 ldexpl +00012b64 scalbn +00012b64 scalbnl +00013114 __mspabi_cmpd +0001335a frexp +0001335a frexpl +00013400 __mspabi_fltlid +0001349e __mspabi_mpyll_hw +00013654 stringCheck +000136dc __TI_ltoa +000137d4 clockFunc +0001384a __TI_decompress_lzss +000138c0 updateFunc +0001392e UARTgetWord +00013996 commandCheck +000139fe setup +00013a66 __mspabi_fixdli +00013acc promptOutput +00013b30 atoi +00013b92 __mspabi_divul +00013b92 __mspabi_remul +00013bea __TI_auto_init_nobinit_nopinit_hold_wdt +00013c3e __mspabi_mpyull_hw +00013cda sprintf +00013d1e __mspabi_srall +00013d5e __mspabi_divli +00013d5e __mspabi_remli +00013d9e __mspabi_sral_15 +00013da2 __mspabi_sral_14 +00013da6 __mspabi_sral_13 +00013daa __mspabi_sral_12 +00013dae __mspabi_sral_11 +00013db2 __mspabi_sral_10 +00013db6 __mspabi_sral_9 +00013dba __mspabi_sral_8 +00013dbe __mspabi_sral_7 +00013dc2 __mspabi_sral_6 +00013dc6 __mspabi_sral_5 +00013dca __mspabi_sral_4 +00013dce __mspabi_sral_3 +00013dd2 __mspabi_sral_2 +00013dd6 __mspabi_sral_1 +00013ddc __mspabi_slll_15 +00013de0 __mspabi_slll_14 +00013de4 __mspabi_slll_13 +00013de8 __mspabi_slll_12 +00013dec __mspabi_slll_11 +00013df0 __mspabi_slll_10 +00013df4 __mspabi_slll_9 +00013df8 __mspabi_slll_8 +00013dfc __mspabi_slll_7 +00013e00 __mspabi_slll_6 +00013e04 __mspabi_slll_5 +00013e08 __mspabi_slll_4 +00013e0c __mspabi_slll_3 +00013e10 __mspabi_slll_2 +00013e14 __mspabi_slll_1 +00013e1a __mspabi_srll_15 +00013e1e __mspabi_srll_14 +00013e22 __mspabi_srll_13 +00013e26 __mspabi_srll_12 +00013e2a __mspabi_srll_11 +00013e2e __mspabi_srll_10 +00013e32 __mspabi_srll_9 +00013e36 __mspabi_srll_8 +00013e3a __mspabi_srll_7 +00013e3e __mspabi_srll_6 +00013e42 __mspabi_srll_5 +00013e46 __mspabi_srll_4 +00013e4a __mspabi_srll_3 +00013e4e __mspabi_srll_2 +00013e52 __mspabi_srll_1 +00013e58 __mspabi_sllll +00013e92 __mspabi_srlll +00013ecc __mspabi_subd +00013efe __mspabi_mpyl_hw +00013f30 __mspabi_negd +00013f5e copysign +00013f5e copysignl +00013f8c upgradeFunc +00013fb8 UART_setup +00013fe0 __mspabi_fixdi +00014008 memccpy +0001402e UARTsendString +00014052 __TI_zero_init_nomemset +00014096 strchr +000140b2 strcmp +000140ce __mspabi_mpyi_hw +000140e6 stringLength +000140fe memset +00014114 __mspabi_divu +00014114 __mspabi_remu +0001412a wcslen +00014154 memcpy +00014168 setTimer +0001417c __TI_decompress_none +0001418e toupper +000141a0 __mspabi_srll +000141b0 resetBuzzer +000141be setBuzzer +000141cc strlen +000141da UART_Send_Character +000141e6 __mspabi_fltid +000141f2 abs +000141fc C$$EXIT +000141fc abort +00014202 _system_pre_init +00014206 _system_post_cinit +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[422 symbols] diff --git a/CPE325/FinalProject/Debug/FinalProject.obj b/CPE325/FinalProject/Debug/FinalProject.obj new file mode 100644 index 0000000..b29c8ed Binary files /dev/null and b/CPE325/FinalProject/Debug/FinalProject.obj differ diff --git a/CPE325/FinalProject/Debug/FinalProject.out b/CPE325/FinalProject/Debug/FinalProject.out new file mode 100644 index 0000000..a738ebe Binary files /dev/null and b/CPE325/FinalProject/Debug/FinalProject.out differ diff --git a/CPE325/FinalProject/Debug/FinalProject_linkInfo.xml b/CPE325/FinalProject/Debug/FinalProject_linkInfo.xml new file mode 100644 index 0000000..d666ef6 --- /dev/null +++ b/CPE325/FinalProject/Debug/FinalProject_linkInfo.xml @@ -0,0 +1,10801 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61aa80fc + 0x0 + FinalProject.out + + _c_int00_noargs +
0x3152
+
+ + + .\ + object + FinalProject.obj + FinalProject.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + sprintf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int17.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int22.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int23.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int28.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int29.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + strcmp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + _printfi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + wcslen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + s_frexp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + div16u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + div32u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + div64u.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + fixdi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + fixdli.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + fltid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + _ltoa.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + atoi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + ctype.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + memccpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + memset.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + strchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + strlen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + toupper.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + abs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + div32s.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult1632.asm.obj + + + + + .common:user + true + 0x1156 + 0x2 + + + .common:cpu + true + 0x1152 + 0x2 + + + .common:password + true + 0x1154 + 0x2 + + + .common:command + true + 0x1150 + 0x2 + + + .common:SystemTime + true + 0x114e + 0x2 + + + .data:andrew + 0x1124 + 0x1124 + 0xa + + + + .data:joe + 0x1142 + 0x1142 + 0xa + + + + .data:bill + 0x112e + 0x112e + 0xa + + + + .data:jeff + 0x1138 + 0x1138 + 0xa + + + + .data + 0x1100 + 0x1100 + 0x24 + + + + .data + 0x114c + 0x114c + 0x2 + + + + .stack + true + 0x30b0 + 0x4 + + + + .stack + true + 0x30b0 + 0x0 + + + .text:__mspabi_addd + 0x10000 + 0x10000 + 0x72a + + + + .text:__TI_frcdivd + 0x1072a + 0x1072a + 0x466 + + + + .text:main + 0x10b90 + 0x10b90 + 0x3f0 + + + + .text:__mspabi_divull + 0x10f80 + 0x10f80 + 0x34e + + + + .text:__TI_printfi + 0x112ce + 0x112ce + 0x2a6 + + + + .text:__mspabi_mpyd + 0x11574 + 0x11574 + 0x298 + + + + .text:_setfield + 0x1180c + 0x1180c + 0x27a + + + + .text:_pproc_fgea + 0x11a86 + 0x11a86 + 0x268 + + + + .text:acvt + 0x11cee + 0x11cee + 0x224 + + + + .text:__mspabi_divd + 0x11f12 + 0x11f12 + 0x222 + + + + .text:_getarg_diouxp + 0x12134 + 0x12134 + 0x20c + + + + .text:ecvt + 0x12340 + 0x12340 + 0x1e6 + + + + .text:fcvt + 0x12526 + 0x12526 + 0x1c8 + + + + .text:_pconv_e + 0x126ee + 0x126ee + 0x1a2 + + + + .text:_pconv_a + 0x12890 + 0x12890 + 0x170 + + + + .text:__TI_frcmpyd + 0x12a00 + 0x12a00 + 0x164 + + + + .text:scalbn + 0x12b64 + 0x12b64 + 0x15a + + + + .text:_pproc_diouxp + 0x12cbe + 0x12cbe + 0x148 + + + + .text:_ltostr + 0x12e06 + 0x12e06 + 0x128 + + + + .text:_pconv_g + 0x12f2e + 0x12f2e + 0xfc + + + + .text:_pproc_fwp + 0x1302a + 0x1302a + 0xea + + + + .text:__mspabi_cmpd + 0x13114 + 0x13114 + 0xd8 + + + + .text:_pproc_wstr + 0x131ec + 0x131ec + 0xc8 + + + + .text:_pproc_str + 0x132b4 + 0x132b4 + 0xa6 + + + + .text:frexp + 0x1335a + 0x1335a + 0xa6 + + + + .text:__mspabi_fltlid + 0x13400 + 0x13400 + 0x9e + + + + .text:__mpyll + 0x1349e + 0x1349e + 0x96 + + + + .text:_ecpy + 0x13534 + 0x13534 + 0x90 + + + + .text:_mcpy + 0x135c4 + 0x135c4 + 0x90 + + + + .text:stringCheck + 0x13654 + 0x13654 + 0x88 + + + + .text:__TI_ltoa + 0x136dc + 0x136dc + 0x80 + + + + .text:_pconv_f + 0x1375c + 0x1375c + 0x78 + + + + .text:clockFunc + 0x137d4 + 0x137d4 + 0x76 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x1384a + 0x1384a + 0x76 + + + + .text:updateFunc + 0x138c0 + 0x138c0 + 0x6e + + + + .text:UARTgetWord + 0x1392e + 0x1392e + 0x68 + + + + .text:commandCheck + 0x13996 + 0x13996 + 0x68 + + + + .text:setup + 0x139fe + 0x139fe + 0x68 + + + + .text:__mspabi_fixdli + 0x13a66 + 0x13a66 + 0x66 + + + + .text:promptOutput + 0x13acc + 0x13acc + 0x64 + + + + .text:atoi + 0x13b30 + 0x13b30 + 0x62 + + + + .text + 0x13b92 + 0x13b92 + 0x58 + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x13bea + 0x13bea + 0x54 + + + + .text:__mpyull + 0x13c3e + 0x13c3e + 0x4e + + + + .text:_fcpy + 0x13c8c + 0x13c8c + 0x4e + + + + .text:sprintf + 0x13cda + 0x13cda + 0x44 + + + + .text:__mspabi_srall + 0x13d1e + 0x13d1e + 0x40 + + + + .text + 0x13d5e + 0x13d5e + 0x40 + + + + .text:l_asr_const + 0x13d9e + 0x13d9e + 0x3e + + + + .text:l_lsl_const + 0x13ddc + 0x13ddc + 0x3e + + + + .text:l_lsr_const + 0x13e1a + 0x13e1a + 0x3e + + + + .text:__mspabi_sllll + 0x13e58 + 0x13e58 + 0x3a + + + + .text:__mspabi_srlll + 0x13e92 + 0x13e92 + 0x3a + + + + .text:__mspabi_subd + 0x13ecc + 0x13ecc + 0x32 + + + + .text + 0x13efe + 0x13efe + 0x32 + + + + .text:__mspabi_negd + 0x13f30 + 0x13f30 + 0x2e + + + + .text:copysign + 0x13f5e + 0x13f5e + 0x2e + + + + .text:upgradeFunc + 0x13f8c + 0x13f8c + 0x2c + + + + .text:UART_setup + 0x13fb8 + 0x13fb8 + 0x28 + + + + .text:__mspabi_fixdi + 0x13fe0 + 0x13fe0 + 0x28 + + + + .text:memccpy + 0x14008 + 0x14008 + 0x26 + + + + .text:UARTsendString + 0x1402e + 0x1402e + 0x24 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x14052 + 0x14052 + 0x24 + + + + .text:_outs + 0x14076 + 0x14076 + 0x20 + + + + .text:strchr + 0x14096 + 0x14096 + 0x1c + + + + .text:strcmp + 0x140b2 + 0x140b2 + 0x1c + + + + .text + 0x140ce + 0x140ce + 0x18 + + + + .text:stringLength + 0x140e6 + 0x140e6 + 0x18 + + + + .text:memset + 0x140fe + 0x140fe + 0x16 + + + + .text + 0x14114 + 0x14114 + 0x16 + + + + .text:wcslen + 0x1412a + 0x1412a + 0x16 + + + + .text:_outc + 0x14140 + 0x14140 + 0x14 + + + + .text:memcpy + 0x14154 + 0x14154 + 0x14 + + + + .text:setTimer + 0x14168 + 0x14168 + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x1417c + 0x1417c + 0x12 + + + + .text:toupper + 0x1418e + 0x1418e + 0x12 + + + + .text:l_lsr + 0x141a0 + 0x141a0 + 0x10 + + + + .text:resetBuzzer + 0x141b0 + 0x141b0 + 0xe + + + + .text:setBuzzer + 0x141be + 0x141be + 0xe + + + + .text:strlen + 0x141cc + 0x141cc + 0xe + + + + .text:UART_Send_Character + 0x141da + 0x141da + 0xc + + + + .text:__mspabi_fltid + 0x141e6 + 0x141e6 + 0xc + + + + .text:abs + 0x141f2 + 0x141f2 + 0xa + + + + .text:abort + 0x141fc + 0x141fc + 0x6 + + + + .text:_system_pre_init + 0x14202 + 0x14202 + 0x4 + + + + .text:_system_post_cinit + 0x14206 + 0x14206 + 0x2 + + + + .text:_isr:watchdog_timer + 0x3100 + 0x3100 + 0x32 + + + + .text:_isr:Port1_ISR + 0x3132 + 0x3132 + 0x20 + + + + .text:_isr:_c_int00_noargs + 0x3152 + 0x3152 + 0x1c + + + + .text:_isr:__TI_ISR_TRAP + 0x316e + 0x316e + 0x8 + + + + .cinit..data.load + 0x36bc + 0x36bc + 0x2f + + + __TI_handler_table + 0x36ec + 0x36ec + 0xc + + + .cinit..bss.load + 0x36f8 + 0x36f8 + 0x6 + + + __TI_cinit_table + 0x36fe + 0x36fe + 0x10 + + + .const:.string + 0x3176 + 0x3176 + 0x41e + + + + .const:.string:_ctypes_ + 0x3594 + 0x3594 + 0x101 + + + + .const:.string + 0x3696 + 0x3696 + 0x26 + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x600 + + + + .debug_info + 0x600 + 0x600 + 0xca + + + + .debug_info + 0x6ca + 0x6ca + 0xc4 + + + + .debug_info + 0x78e + 0x78e + 0xc6 + + + + .debug_info + 0x854 + 0x854 + 0xc6 + + + + .debug_info + 0x91a + 0x91a + 0x270 + + + + .debug_info + 0xb8a + 0xb8a + 0x10d + + + + .debug_info + 0xc97 + 0xc97 + 0x111 + + + + .debug_info + 0xda8 + 0xda8 + 0x10f + + + + .debug_info + 0xeb7 + 0xeb7 + 0x105 + + + + .debug_info + 0xfbc + 0xfbc + 0x17f + + + + .debug_info + 0x113b + 0x113b + 0x140 + + + + .debug_info + 0x127b + 0x127b + 0x14f + + + + .debug_info + 0x13ca + 0x13ca + 0x188 + + + + .debug_info + 0x1552 + 0x1552 + 0x16c + + + + .debug_info + 0x16be + 0x16be + 0x1a7 + + + + .debug_info + 0x1865 + 0x1865 + 0x1a6 + + + + .debug_info + 0x1a0b + 0x1a0b + 0x182 + + + + .debug_info + 0x1b8d + 0x1b8d + 0x120 + + + + .debug_info + 0x1cad + 0x1cad + 0x150 + + + + .debug_info + 0x1dfd + 0x1dfd + 0x1a2 + + + + .debug_info + 0x1f9f + 0x1f9f + 0x61b + + + + .debug_info + 0x25ba + 0x25ba + 0x156 + + + + .debug_info + 0x2710 + 0x2710 + 0x119 + + + + .debug_info + 0x2829 + 0x2829 + 0x26b + + + + .debug_info + 0x2a94 + 0x2a94 + 0x8b + + + + .debug_info + 0x2b1f + 0x2b1f + 0x282 + + + + .debug_info + 0x2da1 + 0x2da1 + 0x2c + + + + .debug_info + 0x2dcd + 0x2dcd + 0x2c + + + + .debug_info + 0x2df9 + 0x2df9 + 0x35 + + + + .debug_info + 0x2e2e + 0x2e2e + 0x69 + + + + .debug_info + 0x2e97 + 0x2e97 + 0x229 + + + + .debug_info + 0x30c0 + 0x30c0 + 0x1c2 + + + + .debug_info + 0x3282 + 0x3282 + 0x198 + + + + .debug_info + 0x341a + 0x341a + 0x16d + + + + .debug_info + 0x3587 + 0x3587 + 0x84 + + + + .debug_info + 0x360b + 0x360b + 0x2c + + + + .debug_info + 0x3637 + 0x3637 + 0x10f + + + + .debug_info + 0x3746 + 0x3746 + 0x12a + + + + .debug_info + 0x3870 + 0x3870 + 0x12a + + + + .debug_info + 0x399a + 0x399a + 0x130 + + + + .debug_info + 0x3aca + 0x3aca + 0x12c + + + + .debug_info + 0x3bf6 + 0x3bf6 + 0x100 + + + + .debug_info + 0x3cf6 + 0x3cf6 + 0x17a + + + + .debug_info + 0x3e70 + 0x3e70 + 0x3fc + + + + .debug_info + 0x426c + 0x426c + 0x230 + + + + .debug_info + 0x449c + 0x449c + 0x39 + + + + .debug_info + 0x44d5 + 0x44d5 + 0x2c + + + + .debug_info + 0x4501 + 0x4501 + 0x2c + + + + .debug_info + 0x452d + 0x452d + 0xcd + + + + .debug_info + 0x45fa + 0x45fa + 0x17f + + + + .debug_info + 0x4779 + 0x4779 + 0x1d7 + + + + .debug_info + 0x4950 + 0x4950 + 0x46 + + + + .debug_info + 0x4996 + 0x4996 + 0x153 + + + + .debug_info + 0x4ae9 + 0x4ae9 + 0x150 + + + + .debug_info + 0x4c39 + 0x4c39 + 0x18f + + + + .debug_info + 0x4dc8 + 0x4dc8 + 0x1d3 + + + + .debug_info + 0x4f9b + 0x4f9b + 0x46 + + + + .debug_info + 0x4fe1 + 0x4fe1 + 0x17b + + + + .debug_info + 0x515c + 0x515c + 0x250 + + + + .debug_info + 0x53ac + 0x53ac + 0x60 + + + + .debug_info + 0x540c + 0x540c + 0x46 + + + + .debug_info + 0x5452 + 0x5452 + 0x39 + + + + .debug_info + 0x548b + 0x548b + 0x122 + + + + .debug_info + 0x55ad + 0x55ad + 0x19a + + + + .debug_info + 0x5747 + 0x5747 + 0x17a + + + + .debug_info + 0x58c1 + 0x58c1 + 0x167 + + + + .debug_info + 0x5a28 + 0x5a28 + 0x273 + + + + .debug_info + 0x5c9b + 0x5c9b + 0x49d + + + + .debug_info + 0x6138 + 0x6138 + 0x22e + + + + .debug_info + 0x6366 + 0x6366 + 0x1b3 + + + + .debug_info + 0x6519 + 0x6519 + 0x29d + + + + .debug_info + 0x67b6 + 0x67b6 + 0x256 + + + + .debug_info + 0x6a0c + 0x6a0c + 0x3d0 + + + + .debug_info + 0x6ddc + 0x6ddc + 0x1bd + + + + .debug_info + 0x6f99 + 0x6f99 + 0x215 + + + + .debug_info + 0x71ae + 0x71ae + 0x278 + + + + .debug_info + 0x7426 + 0x7426 + 0x3e7 + + + + .debug_info + 0x780d + 0x780d + 0x206 + + + + .debug_info + 0x7a13 + 0x7a13 + 0x23d + + + + .debug_info + 0x7c50 + 0x7c50 + 0x38c + + + + .debug_info + 0x7fdc + 0x7fdc + 0x3d4 + + + + .debug_info + 0x83b0 + 0x83b0 + 0x28b + + + + .debug_info + 0x863b + 0x863b + 0x395 + + + + .debug_info + 0x89d0 + 0x89d0 + 0x305 + + + + .debug_info + 0x8cd5 + 0x8cd5 + 0x267 + + + + .debug_info + 0x8f3c + 0x8f3c + 0x26a + + + + .debug_info + 0x91a6 + 0x91a6 + 0x327 + + + + .debug_info + 0x94cd + 0x94cd + 0x86 + + + + .debug_info + 0x9553 + 0x9553 + 0x39 + + + + .debug_info + 0x958c + 0x958c + 0x46 + + + + .debug_info + 0x95d2 + 0x95d2 + 0x94 + + + + .debug_info + 0x9666 + 0x9666 + 0x46 + + + + .debug_info + 0x96ac + 0x96ac + 0x171 + + + + .debug_info + 0x981d + 0x981d + 0x15a + + + + .debug_info + 0x9977 + 0x9977 + 0x39 + + + + .debug_info + 0x99b0 + 0x99b0 + 0x39 + + + + .debug_info + 0x99e9 + 0x99e9 + 0x17c + + + + .debug_info + 0x9b65 + 0x9b65 + 0x1c1 + + + + .debug_info + 0x9d26 + 0x9d26 + 0x46 + + + + .debug_info + 0x9d6c + 0x9d6c + 0x2c + + + + .debug_info + 0x9d98 + 0x9d98 + 0x1ba + + + + .debug_info + 0x9f52 + 0x9f52 + 0x1de + + + + .debug_info + 0xa130 + 0xa130 + 0x121 + + + + .debug_info + 0xa251 + 0xa251 + 0x123 + + + + .debug_info + 0xa374 + 0xa374 + 0x188 + + + + .debug_info + 0xa4fc + 0xa4fc + 0x161 + + + + .debug_info + 0xa65d + 0xa65d + 0x37d + + + + .debug_info + 0xa9da + 0xa9da + 0x169 + + + + .debug_info + 0xab43 + 0xab43 + 0x3a0 + + + + .debug_info + 0xaee3 + 0xaee3 + 0x1cf + + + + .debug_info + 0xb0b2 + 0xb0b2 + 0x94 + + + + .debug_info + 0xb146 + 0xb146 + 0x1a7 + + + + .debug_info + 0xb2ed + 0xb2ed + 0x252 + + + + .debug_info + 0xb53f + 0xb53f + 0x17c + + + + .debug_info + 0xb6bb + 0xb6bb + 0x1b0 + + + + .debug_info + 0xb86b + 0xb86b + 0x166 + + + + .debug_info + 0xb9d1 + 0xb9d1 + 0x175 + + + + .debug_info + 0xbb46 + 0xbb46 + 0x1f3 + + + + .debug_info + 0xbd39 + 0xbd39 + 0x17c + + + + .debug_info + 0xbeb5 + 0xbeb5 + 0x5bd + + + + .debug_info + 0xc472 + 0xc472 + 0x19c + + + + .debug_info + 0xc60e + 0xc60e + 0x295 + + + + .debug_info + 0xc8a3 + 0xc8a3 + 0x163 + + + + .debug_info + 0xca06 + 0xca06 + 0x1a3 + + + + .debug_info + 0xcba9 + 0xcba9 + 0x17e + + + + .debug_info + 0xcd27 + 0xcd27 + 0x21d + + + + .debug_info + 0xcf44 + 0xcf44 + 0x19b + + + + .debug_info + 0xd0df + 0xd0df + 0x190 + + + + .debug_info + 0xd26f + 0xd26f + 0xfe + + + + .debug_info + 0xd36d + 0xd36d + 0x105 + + + + .debug_info + 0xd472 + 0xd472 + 0x18e + + + + .debug_info + 0xd600 + 0xd600 + 0x1b8 + + + + .debug_info + 0xd7b8 + 0xd7b8 + 0x177 + + + + .debug_info + 0xd92f + 0xd92f + 0x275 + + + + .debug_info + 0xdba4 + 0xdba4 + 0x181 + + + + .debug_info + 0xdd25 + 0xdd25 + 0x268 + + + + .debug_info + 0xdf8d + 0xdf8d + 0x167 + + + + .debug_info + 0xe0f4 + 0xe0f4 + 0x230 + + + + .debug_info + 0xe324 + 0xe324 + 0x198 + + + + .debug_info + 0xe4bc + 0xe4bc + 0x154 + + + + .debug_info + 0xe610 + 0xe610 + 0x140 + + + + .debug_info + 0xe750 + 0xe750 + 0xfe + + + + .debug_info + 0xe84e + 0xe84e + 0x16b + + + + .debug_info + 0xe9b9 + 0xe9b9 + 0x126 + + + + .debug_info + 0xeadf + 0xeadf + 0x138 + + + + .debug_info + 0xec17 + 0xec17 + 0x126 + + + + .debug_info + 0xed3d + 0xed3d + 0x120 + + + + .debug_info + 0xee5d + 0xee5d + 0x126 + + + + .debug_info + 0xef83 + 0xef83 + 0x188 + + + + .debug_info + 0xf10b + 0xf10b + 0x188 + + + + .debug_info + 0xf293 + 0xf293 + 0x244 + + + + .debug_info + 0xf4d7 + 0xf4d7 + 0x95 + + + .debug_line + 0x0 + 0x0 + 0x10c + + + + .debug_line + 0x10c + 0x10c + 0x35 + + + + .debug_line + 0x141 + 0x141 + 0x35 + + + + .debug_line + 0x176 + 0x176 + 0x35 + + + + .debug_line + 0x1ab + 0x1ab + 0x35 + + + + .debug_line + 0x1e0 + 0x1e0 + 0x35 + + + + .debug_line + 0x215 + 0x215 + 0x4c + + + + .debug_line + 0x261 + 0x261 + 0x4c + + + + .debug_line + 0x2ad + 0x2ad + 0x52 + + + + .debug_line + 0x2ff + 0x2ff + 0x5f + + + + .debug_line + 0x35e + 0x35e + 0x5d + + + + .debug_line + 0x3bb + 0x3bb + 0x57 + + + + .debug_line + 0x412 + 0x412 + 0x4e + + + + .debug_line + 0x460 + 0x460 + 0x54 + + + + .debug_line + 0x4b4 + 0x4b4 + 0x60 + + + + .debug_line + 0x514 + 0x514 + 0x5f + + + + .debug_line + 0x573 + 0x573 + 0x58 + + + + .debug_line + 0x5cb + 0x5cb + 0x66 + + + + .debug_line + 0x631 + 0x631 + 0x4d + + + + .debug_line + 0x67e + 0x67e + 0x50 + + + + .debug_line + 0x6ce + 0x6ce + 0x5a + + + + .debug_line + 0x728 + 0x728 + 0x14c + + + + .debug_line + 0x874 + 0x874 + 0x53 + + + + .debug_line + 0x8c7 + 0x8c7 + 0x54 + + + + .debug_line + 0x91b + 0x91b + 0x72 + + + + .debug_line + 0x98d + 0x98d + 0x20 + + + + .debug_line + 0x9ad + 0x9ad + 0x76 + + + + .debug_line + 0xa23 + 0xa23 + 0x6e + + + + .debug_line + 0xa91 + 0xa91 + 0x6e + + + + .debug_line + 0xaff + 0xaff + 0x77 + + + + .debug_line + 0xb76 + 0xb76 + 0x35 + + + + .debug_line + 0xbab + 0xbab + 0x2d + + + + .debug_line + 0xbd8 + 0xbd8 + 0x47 + + + + .debug_line + 0xc1f + 0xc1f + 0x42 + + + + .debug_line + 0xc61 + 0xc61 + 0x3d + + + + .debug_line + 0xc9e + 0xc9e + 0x20 + + + + .debug_line + 0xcbe + 0xcbe + 0x91 + + + + .debug_line + 0xd4f + 0xd4f + 0x3d + + + + .debug_line + 0xd8c + 0xd8c + 0x44 + + + + .debug_line + 0xdd0 + 0xdd0 + 0x4d + + + + .debug_line + 0xe1d + 0xe1d + 0x55 + + + + .debug_line + 0xe72 + 0xe72 + 0x62 + + + + .debug_line + 0xed4 + 0xed4 + 0x2a + + + + .debug_line + 0xefe + 0xefe + 0x46 + + + + .debug_line + 0xf44 + 0xf44 + 0xbf + + + + .debug_line + 0x1003 + 0x1003 + 0x80 + + + + .debug_line + 0x1083 + 0x1083 + 0x2e + + + + .debug_line + 0x10b1 + 0x10b1 + 0x9a + + + + .debug_line + 0x114b + 0x114b + 0x97 + + + + .debug_line + 0x11e2 + 0x11e2 + 0x93 + + + + .debug_line + 0x1275 + 0x1275 + 0x20 + + + + .debug_line + 0x1295 + 0x1295 + 0x4f + + + + .debug_line + 0x12e4 + 0x12e4 + 0x34 + + + + .debug_line + 0x1318 + 0x1318 + 0x3e + + + + .debug_line + 0x1356 + 0x1356 + 0x3a + + + + .debug_line + 0x1390 + 0x1390 + 0x20 + + + + .debug_line + 0x13b0 + 0x13b0 + 0x50 + + + + .debug_line + 0x1400 + 0x1400 + 0x3a + + + + .debug_line + 0x143a + 0x143a + 0x20 + + + + .debug_line + 0x145a + 0x145a + 0x99 + + + + .debug_line + 0x14f3 + 0x14f3 + 0x3a + + + + .debug_line + 0x152d + 0x152d + 0x9a + + + + .debug_line + 0x15c7 + 0x15c7 + 0x96 + + + + .debug_line + 0x165d + 0x165d + 0x3d + + + + .debug_line + 0x169a + 0x169a + 0x20 + + + + .debug_line + 0x16ba + 0x16ba + 0x42 + + + + .debug_line + 0x16fc + 0x16fc + 0x20 + + + + .debug_line + 0x171c + 0x171c + 0xaf + + + + .debug_line + 0x17cb + 0x17cb + 0x2d5 + + + + .debug_line + 0x1aa0 + 0x1aa0 + 0x81 + + + + .debug_line + 0x1b21 + 0x1b21 + 0xbe + + + + .debug_line + 0x1bdf + 0x1bdf + 0x81 + + + + .debug_line + 0x1c60 + 0x1c60 + 0x83 + + + + .debug_line + 0x1ce3 + 0x1ce3 + 0x101 + + + + .debug_line + 0x1de4 + 0x1de4 + 0x49 + + + + .debug_line + 0x1e2d + 0x1e2d + 0x6b + + + + .debug_line + 0x1e98 + 0x1e98 + 0x88 + + + + .debug_line + 0x1f20 + 0x1f20 + 0x10e + + + + .debug_line + 0x202e + 0x202e + 0x4f + + + + .debug_line + 0x207d + 0x207d + 0x4d + + + + .debug_line + 0x20ca + 0x20ca + 0x85 + + + + .debug_line + 0x214f + 0x214f + 0xdc + + + + .debug_line + 0x222b + 0x222b + 0x72 + + + + .debug_line + 0x229d + 0x229d + 0x12a + + + + .debug_line + 0x23c7 + 0x23c7 + 0xe2 + + + + .debug_line + 0x24a9 + 0x24a9 + 0x71 + + + + .debug_line + 0x251a + 0x251a + 0x81 + + + + .debug_line + 0x259b + 0x259b + 0x118 + + + + .debug_line + 0x26b3 + 0x26b3 + 0x92 + + + + .debug_line + 0x2745 + 0x2745 + 0x92 + + + + .debug_line + 0x27d7 + 0x27d7 + 0x2e + + + + .debug_line + 0x2805 + 0x2805 + 0x9a + + + + .debug_line + 0x289f + 0x289f + 0x97 + + + + .debug_line + 0x2936 + 0x2936 + 0x20 + + + + .debug_line + 0x2956 + 0x2956 + 0x40 + + + + .debug_line + 0x2996 + 0x2996 + 0x9a + + + + .debug_line + 0x2a30 + 0x2a30 + 0x91 + + + + .debug_line + 0x2ac1 + 0x2ac1 + 0x20 + + + + .debug_line + 0x2ae1 + 0x2ae1 + 0x52 + + + + .debug_line + 0x2b33 + 0x2b33 + 0x9a + + + + .debug_line + 0x2bcd + 0x2bcd + 0x97 + + + + .debug_line + 0x2c64 + 0x2c64 + 0x9b + + + + .debug_line + 0x2cff + 0x2cff + 0x72 + + + + .debug_line + 0x2d71 + 0x2d71 + 0x43 + + + + .debug_line + 0x2db4 + 0x2db4 + 0x64 + + + + .debug_line + 0x2e18 + 0x2e18 + 0x53 + + + + .debug_line + 0x2e6b + 0x2e6b + 0x20 + + + + .debug_line + 0x2e8b + 0x2e8b + 0x13b + + + + .debug_line + 0x2fc6 + 0x2fc6 + 0x20 + + + + .debug_line + 0x2fe6 + 0x2fe6 + 0x17f + + + + .debug_line + 0x3165 + 0x3165 + 0x75 + + + + .debug_line + 0x31da + 0x31da + 0x2e + + + + .debug_line + 0x3208 + 0x3208 + 0x2d + + + + .debug_line + 0x3235 + 0x3235 + 0xe9 + + + + .debug_line + 0x331e + 0x331e + 0x3d + + + + .debug_line + 0x335b + 0x335b + 0x59 + + + + .debug_line + 0x33b4 + 0x33b4 + 0x3d + + + + .debug_line + 0x33f1 + 0x33f1 + 0x20 + + + + .debug_line + 0x3411 + 0x3411 + 0x7c + + + + .debug_line + 0x348d + 0x348d + 0x20 + + + + .debug_line + 0x34ad + 0x34ad + 0xd8 + + + + .debug_line + 0x3585 + 0x3585 + 0x2d + + + + .debug_line + 0x35b2 + 0x35b2 + 0xec + + + + .debug_line + 0x369e + 0x369e + 0x4b + + + + .debug_line + 0x36e9 + 0x36e9 + 0x4b + + + + .debug_line + 0x3734 + 0x3734 + 0x20 + + + + .debug_line + 0x3754 + 0x3754 + 0x51 + + + + .debug_line + 0x37a5 + 0x37a5 + 0x91 + + + + .debug_line + 0x3836 + 0x3836 + 0x5d + + + + .debug_line + 0x3893 + 0x3893 + 0x20 + + + + .debug_line + 0x38b3 + 0x38b3 + 0x2b + + + + .debug_line + 0x38de + 0x38de + 0x20 + + + + .debug_line + 0x38fe + 0x38fe + 0x48 + + + + .debug_line + 0x3946 + 0x3946 + 0x20 + + + + .debug_line + 0x3966 + 0x3966 + 0xae + + + + .debug_line + 0x3a14 + 0x3a14 + 0x20 + + + + .debug_line + 0x3a34 + 0x3a34 + 0xaf + + + + .debug_line + 0x3ae3 + 0x3ae3 + 0x20 + + + + .debug_line + 0x3b03 + 0x3b03 + 0xac + + + + .debug_line + 0x3baf + 0x3baf + 0x91 + + + + .debug_line + 0x3c40 + 0x3c40 + 0x3d + + + + .debug_line + 0x3c7d + 0x3c7d + 0x3c + + + + .debug_line + 0x3cb9 + 0x3cb9 + 0x2b + + + + .debug_line + 0x3ce4 + 0x3ce4 + 0x42 + + + + .debug_line + 0x3d26 + 0x3d26 + 0x56 + + + + .debug_line + 0x3d7c + 0x3d7c + 0x5a + + + + .debug_line + 0x3dd6 + 0x3dd6 + 0x56 + + + + .debug_line + 0x3e2c + 0x3e2c + 0x41 + + + + .debug_line + 0x3e6d + 0x3e6d + 0x56 + + + + .debug_line + 0x3ec3 + 0x3ec3 + 0x53 + + + + .debug_line + 0x3f16 + 0x3f16 + 0x53 + + + + .debug_line + 0x3f69 + 0x3f69 + 0x65 + + + + .debug_frame + 0x0 + 0x0 + 0x3c + + + + .debug_frame + 0x3c + 0x3c + 0x3c + + + + .debug_frame + 0x78 + 0x78 + 0x3c + + + + .debug_frame + 0xb4 + 0xb4 + 0x3c + + + + .debug_frame + 0xf0 + 0xf0 + 0x40 + + + + .debug_frame + 0x130 + 0x130 + 0x3c + + + + .debug_frame + 0x16c + 0x16c + 0x3c + + + + .debug_frame + 0x1a8 + 0x1a8 + 0x3c + + + + .debug_frame + 0x1e4 + 0x1e4 + 0x3c + + + + .debug_frame + 0x220 + 0x220 + 0x44 + + + + .debug_frame + 0x264 + 0x264 + 0x3c + + + + .debug_frame + 0x2a0 + 0x2a0 + 0x44 + + + + .debug_frame + 0x2e4 + 0x2e4 + 0x3c + + + + .debug_frame + 0x320 + 0x320 + 0x3c + + + + .debug_frame + 0x35c + 0x35c + 0x3c + + + + .debug_frame + 0x398 + 0x398 + 0x44 + + + + .debug_frame + 0x3dc + 0x3dc + 0x4c + + + + .debug_frame + 0x428 + 0x428 + 0x4c + + + + .debug_frame + 0x474 + 0x474 + 0x40 + + + + .debug_frame + 0x4b4 + 0x4b4 + 0x48 + + + + .debug_frame + 0x4fc + 0x4fc + 0x3c + + + + .debug_frame + 0x538 + 0x538 + 0x34 + + + + .debug_frame + 0x56c + 0x56c + 0x48 + + + + .debug_frame + 0x5b4 + 0x5b4 + 0x3c + + + + .debug_frame + 0x5f0 + 0x5f0 + 0x3c + + + + .debug_frame + 0x62c + 0x62c + 0x3c + + + + .debug_frame + 0x668 + 0x668 + 0x3c + + + + .debug_frame + 0x6a4 + 0x6a4 + 0x48 + + + + .debug_frame + 0x6ec + 0x6ec + 0x3c + + + + .debug_frame + 0x728 + 0x728 + 0x3c + + + + .debug_frame + 0x764 + 0x764 + 0x3c + + + + .debug_frame + 0x7a0 + 0x7a0 + 0x64 + + + + .debug_frame + 0x804 + 0x804 + 0x4c + + + + .debug_frame + 0x850 + 0x850 + 0x5c + + + + .debug_frame + 0x8ac + 0x8ac + 0x5c + + + + .debug_frame + 0x908 + 0x908 + 0xac + + + + .debug_frame + 0x9b4 + 0x9b4 + 0x50 + + + + .debug_frame + 0xa04 + 0xa04 + 0x4c + + + + .debug_frame + 0xa50 + 0xa50 + 0x50 + + + + .debug_frame + 0xaa0 + 0xaa0 + 0xac + + + + .debug_frame + 0xb4c + 0xb4c + 0x4c + + + + .debug_frame + 0xb98 + 0xb98 + 0x54 + + + + .debug_frame + 0xbec + 0xbec + 0xac + + + + .debug_frame + 0xc98 + 0xc98 + 0x84 + + + + .debug_frame + 0xd1c + 0xd1c + 0x5c + + + + .debug_frame + 0xd78 + 0xd78 + 0xac + + + + .debug_frame + 0xe24 + 0xe24 + 0x5c + + + + .debug_frame + 0xe80 + 0xe80 + 0x58 + + + + .debug_frame + 0xed8 + 0xed8 + 0x5c + + + + .debug_frame + 0xf34 + 0xf34 + 0x70 + + + + .debug_frame + 0xfa4 + 0xfa4 + 0x3c + + + + .debug_frame + 0xfe0 + 0xfe0 + 0x58 + + + + .debug_frame + 0x1038 + 0x1038 + 0x5c + + + + .debug_frame + 0x1094 + 0x1094 + 0x3c + + + + .debug_frame + 0x10d0 + 0x10d0 + 0x7c + + + + .debug_frame + 0x114c + 0x114c + 0x68 + + + + .debug_frame + 0x11b4 + 0x11b4 + 0x48 + + + + .debug_frame + 0x11fc + 0x11fc + 0x54 + + + + .debug_frame + 0x1250 + 0x1250 + 0x40 + + + + .debug_frame + 0x1290 + 0x1290 + 0x44 + + + + .debug_frame + 0x12d4 + 0x12d4 + 0x3c + + + + .debug_frame + 0x1310 + 0x1310 + 0x48 + + + + .debug_frame + 0x1358 + 0x1358 + 0x70 + + + + .debug_frame + 0x13c8 + 0x13c8 + 0x54 + + + + .debug_frame + 0x141c + 0x141c + 0x40 + + + + .debug_frame + 0x145c + 0x145c + 0x40 + + + + .debug_frame + 0x149c + 0x149c + 0x54 + + + + .debug_frame + 0x14f0 + 0x14f0 + 0x44 + + + + .debug_frame + 0x1534 + 0x1534 + 0x44 + + + + .debug_frame + 0x1578 + 0x1578 + 0x3c + + + + .debug_frame + 0x15b4 + 0x15b4 + 0x40 + + + + .debug_frame + 0x15f4 + 0x15f4 + 0x3c + + + + .debug_frame + 0x1630 + 0x1630 + 0x3c + + + + .debug_frame + 0x166c + 0x166c + 0x3c + + + + .debug_frame + 0x16a8 + 0x16a8 + 0x44 + + + + .debug_frame + 0x16ec + 0x16ec + 0x3c + + + + .debug_frame + 0x1728 + 0x1728 + 0x3c + + + + .debug_frame + 0x1764 + 0x1764 + 0x5c + + + + .debug_abbrev + 0x0 + 0x0 + 0x107 + + + + .debug_abbrev + 0x107 + 0x107 + 0x29 + + + + .debug_abbrev + 0x130 + 0x130 + 0x29 + + + + .debug_abbrev + 0x159 + 0x159 + 0x29 + + + + .debug_abbrev + 0x182 + 0x182 + 0x29 + + + + .debug_abbrev + 0x1ab + 0x1ab + 0x29 + + + + .debug_abbrev + 0x1d4 + 0x1d4 + 0x50 + + + + .debug_abbrev + 0x224 + 0x224 + 0x50 + + + + .debug_abbrev + 0x274 + 0x274 + 0x50 + + + + .debug_abbrev + 0x2c4 + 0x2c4 + 0x50 + + + + .debug_abbrev + 0x314 + 0x314 + 0x6c + + + + .debug_abbrev + 0x380 + 0x380 + 0x6e + + + + .debug_abbrev + 0x3ee + 0x3ee + 0x6c + + + + .debug_abbrev + 0x45a + 0x45a + 0x7a + + + + .debug_abbrev + 0x4d4 + 0x4d4 + 0x6c + + + + .debug_abbrev + 0x540 + 0x540 + 0x7c + + + + .debug_abbrev + 0x5bc + 0x5bc + 0x5e + + + + .debug_abbrev + 0x61a + 0x61a + 0x7a + + + + .debug_abbrev + 0x694 + 0x694 + 0x5e + + + + .debug_abbrev + 0x6f2 + 0x6f2 + 0x5e + + + + .debug_abbrev + 0x750 + 0x750 + 0x5e + + + + .debug_abbrev + 0x7ae + 0x7ae + 0x62 + + + + .debug_abbrev + 0x810 + 0x810 + 0x6f + + + + .debug_abbrev + 0x87f + 0x87f + 0x61 + + + + .debug_abbrev + 0x8e0 + 0x8e0 + 0x69 + + + + .debug_abbrev + 0x949 + 0x949 + 0x1f + + + + .debug_abbrev + 0x968 + 0x968 + 0x35 + + + + .debug_abbrev + 0x99d + 0x99d + 0x24 + + + + .debug_abbrev + 0x9c1 + 0x9c1 + 0x24 + + + + .debug_abbrev + 0x9e5 + 0x9e5 + 0x33 + + + + .debug_abbrev + 0xa18 + 0xa18 + 0x3a + + + + .debug_abbrev + 0xa52 + 0xa52 + 0x81 + + + + .debug_abbrev + 0xad3 + 0xad3 + 0x84 + + + + .debug_abbrev + 0xb57 + 0xb57 + 0x7d + + + + .debug_abbrev + 0xbd4 + 0xbd4 + 0x6f + + + + .debug_abbrev + 0xc43 + 0xc43 + 0x1f + + + + .debug_abbrev + 0xc62 + 0xc62 + 0x24 + + + + .debug_abbrev + 0xc86 + 0xc86 + 0x28 + + + + .debug_abbrev + 0xcae + 0xcae + 0x3c + + + + .debug_abbrev + 0xcea + 0xcea + 0x3c + + + + .debug_abbrev + 0xd26 + 0xd26 + 0x3c + + + + .debug_abbrev + 0xd62 + 0xd62 + 0x3c + + + + .debug_abbrev + 0xd9e + 0xd9e + 0x29 + + + + .debug_abbrev + 0xdc7 + 0xdc7 + 0x58 + + + + .debug_abbrev + 0xe1f + 0xe1f + 0xcb + + + + .debug_abbrev + 0xeea + 0xeea + 0x7e + + + + .debug_abbrev + 0xf68 + 0xf68 + 0x24 + + + + .debug_abbrev + 0xf8c + 0xf8c + 0x24 + + + + .debug_abbrev + 0xfb0 + 0xfb0 + 0x24 + + + + .debug_abbrev + 0xfd4 + 0xfd4 + 0x4b + + + + .debug_abbrev + 0x101f + 0x101f + 0x40 + + + + .debug_abbrev + 0x105f + 0x105f + 0x6f + + + + .debug_abbrev + 0x10ce + 0x10ce + 0x24 + + + + .debug_abbrev + 0x10f2 + 0x10f2 + 0x55 + + + + .debug_abbrev + 0x1147 + 0x1147 + 0x53 + + + + .debug_abbrev + 0x119a + 0x119a + 0x40 + + + + .debug_abbrev + 0x11da + 0x11da + 0x74 + + + + .debug_abbrev + 0x124e + 0x124e + 0x24 + + + + .debug_abbrev + 0x1272 + 0x1272 + 0x40 + + + + .debug_abbrev + 0x12b2 + 0x12b2 + 0x6f + + + + .debug_abbrev + 0x1321 + 0x1321 + 0x24 + + + + .debug_abbrev + 0x1345 + 0x1345 + 0x35 + + + + .debug_abbrev + 0x137a + 0x137a + 0x24 + + + + .debug_abbrev + 0x139e + 0x139e + 0x45 + + + + .debug_abbrev + 0x13e3 + 0x13e3 + 0x50 + + + + .debug_abbrev + 0x1433 + 0x1433 + 0x71 + + + + .debug_abbrev + 0x14a4 + 0x14a4 + 0x37 + + + + .debug_abbrev + 0x14db + 0x14db + 0x71 + + + + .debug_abbrev + 0x154c + 0x154c + 0xdb + + + + .debug_abbrev + 0x1627 + 0x1627 + 0x89 + + + + .debug_abbrev + 0x16b0 + 0x16b0 + 0x6f + + + + .debug_abbrev + 0x171f + 0x171f + 0x7d + + + + .debug_abbrev + 0x179c + 0x179c + 0x7d + + + + .debug_abbrev + 0x1819 + 0x1819 + 0x8b + + + + .debug_abbrev + 0x18a4 + 0x18a4 + 0x7b + + + + .debug_abbrev + 0x191f + 0x191f + 0x7b + + + + .debug_abbrev + 0x199a + 0x199a + 0x7b + + + + .debug_abbrev + 0x1a15 + 0x1a15 + 0x8b + + + + .debug_abbrev + 0x1aa0 + 0x1aa0 + 0x7b + + + + .debug_abbrev + 0x1b1b + 0x1b1b + 0x7b + + + + .debug_abbrev + 0x1b96 + 0x1b96 + 0x89 + + + + .debug_abbrev + 0x1c1f + 0x1c1f + 0x8b + + + + .debug_abbrev + 0x1caa + 0x1caa + 0x7b + + + + .debug_abbrev + 0x1d25 + 0x1d25 + 0x89 + + + + .debug_abbrev + 0x1dae + 0x1dae + 0x7d + + + + .debug_abbrev + 0x1e2b + 0x1e2b + 0x8a + + + + .debug_abbrev + 0x1eb5 + 0x1eb5 + 0x8a + + + + .debug_abbrev + 0x1f3f + 0x1f3f + 0x9c + + + + .debug_abbrev + 0x1fdb + 0x1fdb + 0x49 + + + + .debug_abbrev + 0x2024 + 0x2024 + 0x24 + + + + .debug_abbrev + 0x2048 + 0x2048 + 0x24 + + + + .debug_abbrev + 0x206c + 0x206c + 0x35 + + + + .debug_abbrev + 0x20a1 + 0x20a1 + 0x24 + + + + .debug_abbrev + 0x20c5 + 0x20c5 + 0x40 + + + + .debug_abbrev + 0x2105 + 0x2105 + 0x71 + + + + .debug_abbrev + 0x2176 + 0x2176 + 0x24 + + + + .debug_abbrev + 0x219a + 0x219a + 0x24 + + + + .debug_abbrev + 0x21be + 0x21be + 0x40 + + + + .debug_abbrev + 0x21fe + 0x21fe + 0x7f + + + + .debug_abbrev + 0x227d + 0x227d + 0x24 + + + + .debug_abbrev + 0x22a1 + 0x22a1 + 0x24 + + + + .debug_abbrev + 0x22c5 + 0x22c5 + 0x63 + + + + .debug_abbrev + 0x2328 + 0x2328 + 0x7f + + + + .debug_abbrev + 0x23a7 + 0x23a7 + 0x3c + + + + .debug_abbrev + 0x23e3 + 0x23e3 + 0x3c + + + + .debug_abbrev + 0x241f + 0x241f + 0x71 + + + + .debug_abbrev + 0x2490 + 0x2490 + 0x2e + + + + .debug_abbrev + 0x24be + 0x24be + 0x8d + + + + .debug_abbrev + 0x254b + 0x254b + 0x2e + + + + .debug_abbrev + 0x2579 + 0x2579 + 0x8d + + + + .debug_abbrev + 0x2606 + 0x2606 + 0x71 + + + + .debug_abbrev + 0x2677 + 0x2677 + 0x70 + + + + .debug_abbrev + 0x26e7 + 0x26e7 + 0x56 + + + + .debug_abbrev + 0x273d + 0x273d + 0x7f + + + + .debug_abbrev + 0x27bc + 0x27bc + 0x71 + + + + .debug_abbrev + 0x282d + 0x282d + 0x7f + + + + .debug_abbrev + 0x28ac + 0x28ac + 0x68 + + + + .debug_abbrev + 0x2914 + 0x2914 + 0x2e + + + + .debug_abbrev + 0x2942 + 0x2942 + 0x7f + + + + .debug_abbrev + 0x29c1 + 0x29c1 + 0x40 + + + + .debug_abbrev + 0x2a01 + 0x2a01 + 0x8d + + + + .debug_abbrev + 0x2a8e + 0x2a8e + 0x4d + + + + .debug_abbrev + 0x2adb + 0x2adb + 0x7f + + + + .debug_abbrev + 0x2b5a + 0x2b5a + 0x71 + + + + .debug_abbrev + 0x2bcb + 0x2bcb + 0x7f + + + + .debug_abbrev + 0x2c4a + 0x2c4a + 0x54 + + + + .debug_abbrev + 0x2c9e + 0x2c9e + 0x7f + + + + .debug_abbrev + 0x2d1d + 0x2d1d + 0x61 + + + + .debug_abbrev + 0x2d7e + 0x2d7e + 0x7f + + + + .debug_abbrev + 0x2dfd + 0x2dfd + 0x37 + + + + .debug_abbrev + 0x2e34 + 0x2e34 + 0x29 + + + + .debug_abbrev + 0x2e5d + 0x2e5d + 0x40 + + + + .debug_abbrev + 0x2e9d + 0x2e9d + 0x71 + + + + .debug_abbrev + 0x2f0e + 0x2f0e + 0x40 + + + + .debug_abbrev + 0x2f4e + 0x2f4e + 0x71 + + + + .debug_abbrev + 0x2fbf + 0x2fbf + 0x49 + + + + .debug_abbrev + 0x3008 + 0x3008 + 0x71 + + + + .debug_abbrev + 0x3079 + 0x3079 + 0x37 + + + + .debug_abbrev + 0x30b0 + 0x30b0 + 0x71 + + + + .debug_abbrev + 0x3121 + 0x3121 + 0x58 + + + + .debug_abbrev + 0x3179 + 0x3179 + 0x71 + + + + .debug_abbrev + 0x31ea + 0x31ea + 0x71 + + + + .debug_abbrev + 0x325b + 0x325b + 0x27 + + + + .debug_abbrev + 0x3282 + 0x3282 + 0x63 + + + + .debug_abbrev + 0x32e5 + 0x32e5 + 0x3c + + + + .debug_abbrev + 0x3321 + 0x3321 + 0x4a + + + + .debug_abbrev + 0x336b + 0x336b + 0x3c + + + + .debug_abbrev + 0x33a7 + 0x33a7 + 0x3c + + + + .debug_abbrev + 0x33e3 + 0x33e3 + 0x3c + + + + .debug_abbrev + 0x341f + 0x341f + 0x71 + + + + .debug_abbrev + 0x3490 + 0x3490 + 0x71 + + + + .debug_abbrev + 0x3501 + 0x3501 + 0x7f + + + + .debug_abbrev + 0x3580 + 0x3580 + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2a9 + + + + .debug_str + 0x2a9 + 0x2a9 + 0xfd + + + + .debug_str + 0x3a6 + 0x3a6 + 0x32e + + + + .debug_str + 0x6d4 + 0x6d4 + 0xdb + + + + .debug_str + 0x7af + 0x7af + 0xdc + + + + .debug_str + 0x88b + 0x88b + 0xef + + + + .debug_str + 0x97a + 0x97a + 0xb4 + + + + .debug_str + 0xa2e + 0xa2e + 0xff + + + + .debug_str + 0xb2d + 0xb2d + 0x13f + + + + .debug_str + 0xc6c + 0xc6c + 0xed + + + + .debug_str + 0xd59 + 0xd59 + 0x14c + + + + .debug_str + 0xea5 + 0xea5 + 0x147 + + + + .debug_str + 0xfec + 0xfec + 0x197 + + + + .debug_str + 0x1183 + 0x1183 + 0x105 + + + + .debug_str + 0x1288 + 0x1288 + 0x10b + + + + .debug_str + 0x1393 + 0x1393 + 0x118 + + + + .debug_str + 0x14ab + 0x14ab + 0x16b + + + + .debug_str + 0x1616 + 0x1616 + 0x158 + + + + .debug_str + 0x176e + 0x176e + 0x15d + + + + .debug_str + 0x18cb + 0x18cb + 0x14b + + + + .debug_str + 0x1a16 + 0x1a16 + 0xef + + + + .debug_str + 0x1b05 + 0x1b05 + 0x1a2 + + + + .debug_str + 0x1ca7 + 0x1ca7 + 0x15b + + + + .debug_str + 0x1e02 + 0x1e02 + 0x155 + + + + .debug_str + 0x1f57 + 0x1f57 + 0x147 + + + + .debug_str + 0x209e + 0x209e + 0x161 + + + + .debug_str + 0x21ff + 0x21ff + 0x146 + + + + .debug_str + 0x2345 + 0x2345 + 0xf7 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x20 + + + + .debug_aranges + 0xc0 + 0xc0 + 0x20 + + + + .debug_aranges + 0xe0 + 0xe0 + 0x20 + + + + .debug_aranges + 0x100 + 0x100 + 0x20 + + + + .debug_aranges + 0x120 + 0x120 + 0x20 + + + + .debug_aranges + 0x140 + 0x140 + 0x20 + + + + .debug_aranges + 0x160 + 0x160 + 0x20 + + + + .debug_aranges + 0x180 + 0x180 + 0x20 + + + + .debug_aranges + 0x1a0 + 0x1a0 + 0x20 + + + + .debug_aranges + 0x1c0 + 0x1c0 + 0x20 + + + + .debug_aranges + 0x1e0 + 0x1e0 + 0x20 + + + + .debug_aranges + 0x200 + 0x200 + 0x20 + + + + .debug_aranges + 0x220 + 0x220 + 0x20 + + + + .debug_aranges + 0x240 + 0x240 + 0x20 + + + + .debug_aranges + 0x260 + 0x260 + 0x20 + + + + .debug_aranges + 0x280 + 0x280 + 0x20 + + + + .debug_aranges + 0x2a0 + 0x2a0 + 0x20 + + + + .debug_aranges + 0x2c0 + 0x2c0 + 0x20 + + + + .debug_aranges + 0x2e0 + 0x2e0 + 0x20 + + + + .debug_aranges + 0x300 + 0x300 + 0x20 + + + + .debug_aranges + 0x320 + 0x320 + 0x20 + + + + .debug_aranges + 0x340 + 0x340 + 0x20 + + + + .debug_aranges + 0x360 + 0x360 + 0x20 + + + + .debug_aranges + 0x380 + 0x380 + 0x20 + + + + .debug_aranges + 0x3a0 + 0x3a0 + 0x20 + + + + .debug_aranges + 0x3c0 + 0x3c0 + 0x20 + + + + .debug_aranges + 0x3e0 + 0x3e0 + 0x20 + + + + .debug_aranges + 0x400 + 0x400 + 0x20 + + + + .debug_aranges + 0x420 + 0x420 + 0x20 + + + + .debug_aranges + 0x440 + 0x440 + 0x20 + + + + .debug_aranges + 0x460 + 0x460 + 0x20 + + + + .debug_aranges + 0x480 + 0x480 + 0x28 + + + + .debug_aranges + 0x4a8 + 0x4a8 + 0x20 + + + + .debug_aranges + 0x4c8 + 0x4c8 + 0x20 + + + + .debug_aranges + 0x4e8 + 0x4e8 + 0x20 + + + + .debug_aranges + 0x508 + 0x508 + 0x40 + + + + .debug_aranges + 0x548 + 0x548 + 0x20 + + + + .debug_aranges + 0x568 + 0x568 + 0x20 + + + + .debug_aranges + 0x588 + 0x588 + 0x20 + + + + .debug_aranges + 0x5a8 + 0x5a8 + 0x40 + + + + .debug_aranges + 0x5e8 + 0x5e8 + 0x20 + + + + .debug_aranges + 0x608 + 0x608 + 0x20 + + + + .debug_aranges + 0x628 + 0x628 + 0x40 + + + + .debug_aranges + 0x668 + 0x668 + 0x30 + + + + .debug_aranges + 0x698 + 0x698 + 0x20 + + + + .debug_aranges + 0x6b8 + 0x6b8 + 0x40 + + + + .debug_aranges + 0x6f8 + 0x6f8 + 0x20 + + + + .debug_aranges + 0x718 + 0x718 + 0x20 + + + + .debug_aranges + 0x738 + 0x738 + 0x20 + + + + .debug_aranges + 0x758 + 0x758 + 0x28 + + + + .debug_aranges + 0x780 + 0x780 + 0x20 + + + + .debug_aranges + 0x7a0 + 0x7a0 + 0x20 + + + + .debug_aranges + 0x7c0 + 0x7c0 + 0x20 + + + + .debug_aranges + 0x7e0 + 0x7e0 + 0x20 + + + + .debug_aranges + 0x800 + 0x800 + 0x20 + + + + .debug_aranges + 0x820 + 0x820 + 0x20 + + + + .debug_aranges + 0x840 + 0x840 + 0x30 + + + + .debug_aranges + 0x870 + 0x870 + 0x28 + + + + .debug_aranges + 0x898 + 0x898 + 0x20 + + + + .debug_aranges + 0x8b8 + 0x8b8 + 0x20 + + + + .debug_aranges + 0x8d8 + 0x8d8 + 0x20 + + + + .debug_aranges + 0x8f8 + 0x8f8 + 0x20 + + + + .debug_aranges + 0x918 + 0x918 + 0x20 + + + + .debug_aranges + 0x938 + 0x938 + 0x20 + + + + .debug_aranges + 0x958 + 0x958 + 0x28 + + + + .debug_aranges + 0x980 + 0x980 + 0x20 + + + + .debug_aranges + 0x9a0 + 0x9a0 + 0x20 + + + + .debug_aranges + 0x9c0 + 0x9c0 + 0x20 + + + + .debug_aranges + 0x9e0 + 0x9e0 + 0x20 + + + + .debug_aranges + 0xa00 + 0xa00 + 0x20 + + + + .debug_aranges + 0xa20 + 0xa20 + 0x20 + + + + .debug_aranges + 0xa40 + 0xa40 + 0x20 + + + + .debug_aranges + 0xa60 + 0xa60 + 0x20 + + + + .debug_aranges + 0xa80 + 0xa80 + 0x20 + + + + .debug_aranges + 0xaa0 + 0xaa0 + 0x20 + + + + .debug_aranges + 0xac0 + 0xac0 + 0x20 + + + + .debug_aranges + 0xae0 + 0xae0 + 0x20 + + + + .debug_aranges + 0xb00 + 0xb00 + 0x20 + + + + .debug_aranges + 0xb20 + 0xb20 + 0x20 + + + + .debug_aranges + 0xb40 + 0xb40 + 0x20 + + + + .debug_aranges + 0xb60 + 0xb60 + 0x20 + + + + .debug_aranges + 0xb80 + 0xb80 + 0x20 + + + + .debug_aranges + 0xba0 + 0xba0 + 0x20 + + + + .debug_aranges + 0xbc0 + 0xbc0 + 0x20 + + + + .debug_aranges + 0xbe0 + 0xbe0 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x81 + + + + .debug_pubnames + 0x81 + 0x81 + 0x1d + + + + .debug_pubnames + 0x9e + 0x9e + 0x1a + + + + .debug_pubnames + 0xb8 + 0xb8 + 0x1b + + + + .debug_pubnames + 0xd3 + 0xd3 + 0x1b + + + + .debug_pubnames + 0xee + 0xee + 0xbf + + + + .debug_pubnames + 0x1ad + 0x1ad + 0x20 + + + + .debug_pubnames + 0x1cd + 0x1cd + 0x22 + + + + .debug_pubnames + 0x1ef + 0x1ef + 0x21 + + + + .debug_pubnames + 0x210 + 0x210 + 0x1c + + + + .debug_pubnames + 0x22c + 0x22c + 0x20 + + + + .debug_pubnames + 0x24c + 0x24c + 0x23 + + + + .debug_pubnames + 0x26f + 0x26f + 0x2a + + + + .debug_pubnames + 0x299 + 0x299 + 0x25 + + + + .debug_pubnames + 0x2be + 0x2be + 0x22 + + + + .debug_pubnames + 0x2e0 + 0x2e0 + 0x22 + + + + .debug_pubnames + 0x302 + 0x302 + 0x23 + + + + .debug_pubnames + 0x325 + 0x325 + 0x23 + + + + .debug_pubnames + 0x348 + 0x348 + 0x1f + + + + .debug_pubnames + 0x367 + 0x367 + 0x22 + + + + .debug_pubnames + 0x389 + 0x389 + 0x21 + + + + .debug_pubnames + 0x3aa + 0x3aa + 0x1b + + + + .debug_pubnames + 0x3c5 + 0x3c5 + 0x25 + + + + .debug_pubnames + 0x3ea + 0x3ea + 0x20 + + + + .debug_pubnames + 0x40a + 0x40a + 0x1e + + + + .debug_pubnames + 0x428 + 0x428 + 0x1c + + + + .debug_pubnames + 0x444 + 0x444 + 0x1c + + + + .debug_pubnames + 0x460 + 0x460 + 0x2b + + + + .debug_pubnames + 0x48b + 0x48b + 0x27 + + + + .debug_pubnames + 0x4b2 + 0x4b2 + 0x27 + + + + .debug_pubnames + 0x4d9 + 0x4d9 + 0x29 + + + + .debug_pubnames + 0x502 + 0x502 + 0x28 + + + + .debug_pubnames + 0x52a + 0x52a + 0x1d + + + + .debug_pubnames + 0x547 + 0x547 + 0x26 + + + + .debug_pubnames + 0x56d + 0x56d + 0x3e + + + + .debug_pubnames + 0x5ab + 0x5ab + 0x2e + + + + .debug_pubnames + 0x5d9 + 0x5d9 + 0x27 + + + + .debug_pubnames + 0x600 + 0x600 + 0x29 + + + + .debug_pubnames + 0x629 + 0x629 + 0x2b + + + + .debug_pubnames + 0x654 + 0x654 + 0x2b + + + + .debug_pubnames + 0x67f + 0x67f + 0x1c + + + + .debug_pubnames + 0x69b + 0x69b + 0x1d + + + + .debug_pubnames + 0x6b8 + 0x6b8 + 0x1d + + + + .debug_pubnames + 0x6d5 + 0x6d5 + 0x21 + + + + .debug_pubnames + 0x6f6 + 0x6f6 + 0x25 + + + + .debug_pubnames + 0x71b + 0x71b + 0x1e + + + + .debug_pubnames + 0x739 + 0x739 + 0x24 + + + + .debug_pubnames + 0x75d + 0x75d + 0x1b + + + + .debug_pubnames + 0x778 + 0x778 + 0x1c + + + + .debug_pubnames + 0x794 + 0x794 + 0x1c + + + + .debug_pubnames + 0x7b0 + 0x7b0 + 0x1f + + + + .debug_pubnames + 0x7cf + 0x7cf + 0x1b + + + + .debug_pubnames + 0x7ea + 0x7ea + 0x1c + + + + .debug_pubnames + 0x806 + 0x806 + 0x1f + + + + .debug_pubnames + 0x825 + 0x825 + 0x1f + + + + .debug_pubnames + 0x844 + 0x844 + 0x1b + + + + .debug_pubnames + 0x85f + 0x85f + 0x1f + + + + .debug_pubnames + 0x87e + 0x87e + 0x22 + + + + .debug_pubnames + 0x8a0 + 0x8a0 + 0x20 + + + + .debug_pubnames + 0x8c0 + 0x8c0 + 0x21 + + + + .debug_pubnames + 0x8e1 + 0x8e1 + 0x22 + + + + .debug_pubnames + 0x903 + 0x903 + 0x23 + + + + .debug_pubnames + 0x926 + 0x926 + 0x1d + + + + .debug_pubnames + 0x943 + 0x943 + 0x1c + + + + .debug_pubnames + 0x95f + 0x95f + 0x1d + + + + .debug_pubnames + 0x97c + 0x97c + 0x24 + + + + .debug_pubnames + 0x9a0 + 0x9a0 + 0x25 + + + + .debug_pubnames + 0x9c5 + 0x9c5 + 0x25 + + + + .debug_pubnames + 0x9ea + 0x9ea + 0x26 + + + + .debug_pubnames + 0xa10 + 0xa10 + 0x24 + + + + .debug_pubnames + 0xa34 + 0xa34 + 0x24 + + + + .debug_pubnames + 0xa58 + 0xa58 + 0x24 + + + + .debug_pubnames + 0xa7c + 0xa7c + 0x25 + + + + .debug_pubnames + 0xaa1 + 0xaa1 + 0x26 + + + + .debug_pubnames + 0xac7 + 0xac7 + 0x25 + + + + .debug_pubnames + 0xaec + 0xaec + 0x26 + + + + .debug_pubnames + 0xb12 + 0xb12 + 0x23 + + + + .debug_pubnames + 0xb35 + 0xb35 + 0x24 + + + + .debug_pubnames + 0xb59 + 0xb59 + 0x24 + + + + .debug_pubnames + 0xb7d + 0xb7d + 0x24 + + + + .debug_pubnames + 0xba1 + 0xba1 + 0x20 + + + + .debug_pubnames + 0xbc1 + 0xbc1 + 0x1b + + + + .debug_pubnames + 0xbdc + 0xbdc + 0x1f + + + + .debug_pubnames + 0xbfb + 0xbfb + 0x1e + + + + .debug_pubnames + 0xc19 + 0xc19 + 0x1d + + + + .debug_pubnames + 0xc36 + 0xc36 + 0x1d + + + + .debug_pubnames + 0xc53 + 0xc53 + 0x1d + + + + .debug_pubnames + 0xc70 + 0xc70 + 0x1e + + + + .debug_pubnames + 0xc8e + 0xc8e + 0x1a + + + + .debug_pubnames + 0xca8 + 0xca8 + 0x1c + + + + .debug_pubnames + 0xcc4 + 0xcc4 + 0x1f + + + + .debug_pubnames + 0xce3 + 0xce3 + 0x27 + + + + .debug_pubnames + 0xd0a + 0xd0a + 0x25 + + + + .debug_pubnames + 0xd2f + 0xd2f + 0x27 + + + + .debug_pubnames + 0xd56 + 0xd56 + 0x24 + + + + .debug_pubnames + 0xd7a + 0xd7a + 0x27 + + + + .debug_pubnames + 0xda1 + 0xda1 + 0x25 + + + + .debug_pubnames + 0xdc6 + 0xdc6 + 0x25 + + + + .debug_pubnames + 0xdeb + 0xdeb + 0x23 + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xff + + + + .debug_pubtypes + 0x35b + 0x35b + 0x320 + + + + .debug_pubtypes + 0x67b + 0x67b + 0x1d + + + + .debug_pubtypes + 0x698 + 0x698 + 0x1e + + + + .debug_pubtypes + 0x6b6 + 0x6b6 + 0x1f + + + + .debug_pubtypes + 0x6d5 + 0x6d5 + 0x1b + + + + .debug_pubtypes + 0x6f0 + 0x6f0 + 0xed + + + + .debug_pubtypes + 0x7dd + 0x7dd + 0x1d + + + + .debug_pubtypes + 0x7fa + 0x7fa + 0x32 + + + + .debug_pubtypes + 0x82c + 0x82c + 0x21 + + + + .debug_pubtypes + 0x84d + 0x84d + 0x1f + + + + .debug_pubtypes + 0x86c + 0x86c + 0x50 + + + + .debug_pubtypes + 0x8bc + 0x8bc + 0x48 + + + + .debug_pubtypes + 0x904 + 0x904 + 0x48 + + + + .debug_pubtypes + 0x94c + 0x94c + 0x5d + + + + .debug_pubtypes + 0x9a9 + 0x9a9 + 0x48 + + + + .debug_pubtypes + 0x9f1 + 0x9f1 + 0x35 + + + + .debug_pubtypes + 0xa26 + 0xa26 + 0x1e + + + + .debug_pubtypes + 0xa44 + 0xa44 + 0x2c + + + + .debug_pubtypes + 0xa70 + 0xa70 + 0x38 + + + + .debug_pubtypes + 0xaa8 + 0xaa8 + 0x97 + + + + .debug_pubtypes + 0xb3f + 0xb3f + 0x3b + + + + .debug_pubtypes + 0xb7a + 0xb7a + 0x2e + + + + .debug_pubtypes + 0xba8 + 0xba8 + 0x29 + + + + .debug_pubtypes + 0xbd1 + 0xbd1 + 0x3e + + + + .debug_pubtypes + 0xc0f + 0xc0f + 0x1e + + + + .debug_pubtypes + 0xc2d + 0xc2d + 0x30 + + + + + + .bss + 0x114e + 0xa + + + + + + + + + + .data + 0x1100 + 0x4e + + + + + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x30b0 + 0x50 + + + + + + + .text + 0x10000 + 0x10000 + 0x4208 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text + + + + + + .text:_isr + 0x3100 + 0x3100 + 0x76 + + + + + + + + + .cinit + 0x36bc + 0x36bc + 0x52 + + + + + + + + + .const + 0x3176 + 0x3176 + 0x546 + + + + + + + + .const + + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0xf56c + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x3fce + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x17c0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x358f + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x243c + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0xc00 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0xe0e + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xc5d + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x1100 + 0x58 + 0x6 + + + + + + + SEGMENT_1 + 0x30b0 + 0x50 + 0x6 + + + + + + SEGMENT_2 + 0x3100 + 0x3100 + 0x60e + 0x5 + + + + + + + + SEGMENT_3 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_4 + 0xffdc + 0xffdc + 0x422c + 0x5 + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0xa8 + 0x1f58 + RWIX + + + 0x1100 + 0x4e + + + + 0x114e + 0xa + + + + 0x1158 + 0x1f58 + + + 0x30b0 + 0x50 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0x60e + 0xc8b0 + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0x76 + + + + 0x3176 + 0x546 + + + + 0x36bc + 0x52 + + + + 0x370e + 0xc8b0 + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x4208 + 0xbdf8 + RWIX + + + 0x10000 + 0x4208 + + + + 0x14208 + 0xbdf8 + + + + + + + __TI_cinit_table + + .data + 0x36bc + 0x2f + 0x1100 + 0x4e + lzss + + + .bss + 0x36f8 + 0x6 + 0x114e + 0xa + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __TI_CINIT_Base + 0x36fe + + + __TI_CINIT_Limit + 0x370e + + + __TI_Handler_Table_Base + 0x36ec + + + __TI_Handler_Table_Limit + 0x36f8 + + + __STACK_SIZE + 0x50 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + user + 0x1156 + + + cpu + 0x1152 + + + password + 0x1154 + + + command + 0x1150 + + + SystemTime + 0x114e + + + andrew + 0x1124 + + + + logout + 0x1114 + + + + jeff + 0x1138 + + + + resetBuzzer + 0x141b0 + + + + timerCheck + 0x1110 + + + + __TI_int26 + 0xfff4 + + + + __TI_int20 + 0xffe8 + + + + Up + 0x1104 + + + + bill + 0x112e + + + + Port1_ISR + 0x3132 + + + + promptOutput + 0x13acc + + + + stringCheck + 0x13654 + + + + clock + 0x110e + + + + clockFunc + 0x137d4 + + + + commandCheck + 0x13996 + + + + joe + 0x1142 + + + + timer + 0x1102 + + + + watchdog_timer + 0x3100 + + + + main + 0x10b90 + + + + upgradeFunc + 0x13f8c + + + + clockCheck + 0x1106 + + + + UART_setup + 0x13fb8 + + + + UART_Send_Character + 0x141da + + + + setTimer + 0x14168 + + + + updateFunc + 0x138c0 + + + + setBuzzer + 0x141be + + + + timeCheck + 0x110a + + + + stringLength + 0x140e6 + + + + setup + 0x139fe + + + + UARTsendString + 0x1402e + + + + upgrade + 0x110c + + + + UARTgetWord + 0x1392e + + + + data + 0x1112 + + + + update + 0x1108 + + + + timerCount + 0x1118 + + + + test + 0x1107 + + + + timeout + 0x1100 + + + + admin + 0x1116 + + + + nonadmin + 0x1117 + + + + sprintf + 0x13cda + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int17 + 0xffe2 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int21 + 0xffea + + + + __TI_int22 + 0xffec + + + + __TI_int23 + 0xffee + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int27 + 0xfff6 + + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x316e + + + + __mspabi_mpyi_hw + 0x140ce + + + + __mspabi_mpyl_hw + 0x13efe + + + + __mspabi_mpyull_hw + 0x13c3e + + + + __mspabi_mpyll_hw + 0x1349e + + + + _stack + 0x30b0 + + + + _c_int00_noargs + 0x3152 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x13bea + + + + __TI_zero_init_nomemset + 0x14052 + + + + _system_pre_init + 0x14202 + + + + _system_post_cinit + 0x14206 + + + + __TI_decompress_none + 0x1417c + + + + __TI_decompress_lzss + 0x1384a + + + + C$$EXIT + 0x141fc + + + + abort + 0x141fc + + + + memcpy + 0x14154 + + + + strcmp + 0x140b2 + + + + __TI_printfi + 0x112ce + + + + wcslen + 0x1412a + + + + frexpl + 0x1335a + + + + frexp + 0x1335a + + + + scalbn + 0x12b64 + + + + scalbnl + 0x12b64 + + + + ldexpl + 0x12b64 + + + + ldexp + 0x12b64 + + + + __mspabi_remu + 0x14114 + + + + __mspabi_divu + 0x14114 + + + + __mspabi_remul + 0x13b92 + + + + __mspabi_divul + 0x13b92 + + + + __mspabi_srlll + 0x13e92 + + + + __mspabi_divull + 0x10f80 + + + + __mspabi_addd + 0x10000 + + + + __mspabi_cmpd + 0x13114 + + + + __mspabi_divd + 0x11f12 + + + + __mspabi_fixdi + 0x13fe0 + + + + __mspabi_fixdli + 0x13a66 + + + + __mspabi_fltid + 0x141e6 + + + + __mspabi_fltlid + 0x13400 + + + + __TI_frcdivd + 0x1072a + + + + __mspabi_mpyd + 0x11574 + + + + __mspabi_negd + 0x13f30 + + + + __mspabi_subd + 0x13ecc + + + + __TI_ltoa + 0x136dc + + + + atoi + 0x13b30 + + + + _ctypes_ + 0x3594 + + + + memccpy + 0x14008 + + + + memset + 0x140fe + + + + strchr + 0x14096 + + + + strlen + 0x141cc + + + + toupper + 0x1418e + + + + abs + 0x141f2 + + + + errno + 0x114c + + + + copysign + 0x13f5e + + + + copysignl + 0x13f5e + + + + __mspabi_sral_15 + 0x13d9e + + + + __mspabi_sral_14 + 0x13da2 + + + + __mspabi_sral_13 + 0x13da6 + + + + __mspabi_sral_12 + 0x13daa + + + + __mspabi_sral_11 + 0x13dae + + + + __mspabi_sral_10 + 0x13db2 + + + + __mspabi_sral_8 + 0x13dba + + + + __mspabi_sral_9 + 0x13db6 + + + + __mspabi_sral_6 + 0x13dc2 + + + + __mspabi_sral_7 + 0x13dbe + + + + __mspabi_sral_4 + 0x13dca + + + + __mspabi_sral_5 + 0x13dc6 + + + + __mspabi_sral_2 + 0x13dd2 + + + + __mspabi_sral_3 + 0x13dce + + + + __mspabi_sral_1 + 0x13dd6 + + + + __mspabi_remli + 0x13d5e + + + + __mspabi_divli + 0x13d5e + + + + __mspabi_slll_9 + 0x13df4 + + + + __mspabi_slll_8 + 0x13df8 + + + + __mspabi_slll_7 + 0x13dfc + + + + __mspabi_slll_6 + 0x13e00 + + + + __mspabi_slll_5 + 0x13e04 + + + + __mspabi_slll_4 + 0x13e08 + + + + __mspabi_slll_3 + 0x13e0c + + + + __mspabi_slll_2 + 0x13e10 + + + + __mspabi_slll_1 + 0x13e14 + + + + __mspabi_slll_15 + 0x13ddc + + + + __mspabi_slll_14 + 0x13de0 + + + + __mspabi_slll_13 + 0x13de4 + + + + __mspabi_slll_12 + 0x13de8 + + + + __mspabi_slll_11 + 0x13dec + + + + __mspabi_slll_10 + 0x13df0 + + + + __mspabi_srll_8 + 0x13e36 + + + + __mspabi_srll_9 + 0x13e32 + + + + __mspabi_srll_6 + 0x13e3e + + + + __mspabi_srll_7 + 0x13e3a + + + + __mspabi_srll_4 + 0x13e46 + + + + __mspabi_srll_5 + 0x13e42 + + + + __mspabi_srll_2 + 0x13e4e + + + + __mspabi_srll_3 + 0x13e4a + + + + __mspabi_srll_1 + 0x13e52 + + + + __mspabi_srll_15 + 0x13e1a + + + + __mspabi_srll_14 + 0x13e1e + + + + __mspabi_srll_13 + 0x13e22 + + + + __mspabi_srll_12 + 0x13e26 + + + + __mspabi_srll_11 + 0x13e2a + + + + __mspabi_srll_10 + 0x13e2e + + + + __mspabi_srll + 0x141a0 + + + + __mspabi_srall + 0x13d1e + + + + __mspabi_sllll + 0x13e58 + + + + __TI_frcmpyd + 0x12a00 + + + + Link successful +
diff --git a/CPE325/FinalProject/Debug/ccsObjs.opt b/CPE325/FinalProject/Debug/ccsObjs.opt new file mode 100644 index 0000000..2f110d3 --- /dev/null +++ b/CPE325/FinalProject/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./FinalProject.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/FinalProject/Debug/makefile b/CPE325/FinalProject/Debug/makefile new file mode 100644 index 0000000..e525c88 --- /dev/null +++ b/CPE325/FinalProject/Debug/makefile @@ -0,0 +1,166 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./FinalProject.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +FinalProject.out \ + +EXE_OUTPUTS__QUOTED += \ +"FinalProject.out" \ + +BIN_OUTPUTS += \ +FinalProject.hex \ + +BIN_OUTPUTS__QUOTED += \ +"FinalProject.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "FinalProject.out" + +# Tool invocations +FinalProject.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"FinalProject.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="FinalProject_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "FinalProject.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +FinalProject.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "FinalProject.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "FinalProject.obj" + -$(RM) "FinalProject.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/FinalProject/Debug/objects.mk b/CPE325/FinalProject/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/FinalProject/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/FinalProject/Debug/sources.mk b/CPE325/FinalProject/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/FinalProject/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/FinalProject/Debug/subdir_rules.mk b/CPE325/FinalProject/Debug/subdir_rules.mk new file mode 100644 index 0000000..3ec9df2 --- /dev/null +++ b/CPE325/FinalProject/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/FinalProject" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --preproc_with_compile --preproc_dependency="$(basename $( +#include +#include +#include +#include + +#define SW1_PRESSED ((BIT0&P1IFG)==0) // SW1 Status +#define SW2_PRESSED ((BIT1&P1IFG)==0) // SW2 Status +#define checkTime() (clockCheck=true) +#define GREENLED() (P2OUT|=BIT2) +#define REDLED() (P5OUT|=BIT1) +#define GREENLEDOFF() (P2OUT&=~BIT2) +#define REDLEDOFF() (P5OUT&=~BIT1) + +// Struct used to define users +struct user +{ + char *name; + char *password; + int admin; +}; +struct user andrew; +struct user joe; +struct user bill; +struct user jeff; +struct user andrew = {.name = "Andrew", .admin = 1, .password = "an"}; +struct user joe = { .name = "Joe", .admin = 1, .password = "jo" }; +struct user bill = { .name = "Bill", .admin = 0, .password = "bi" }; +struct user jeff = { .name = "Jeff", .admin = 0, .password = "je" }; + +char user[]; +char cpu[]; +char askReset[]; +char password[]; +char command[]; +//int admin; +int timeout=0; +int timer=0; +int Up=1; +bool clockCheck = false; +char SystemTime[]; +//int diskspace = rand(); + +char time[]; + +bool test = false; +int update=0, timeCheck=0, upgrade=0, clock=0, timerCheck=0, data=0, logout=0; + +bool admin = false; +bool nonadmin = false; + +// Characters for clock +//#define RTC_STATE_SECONDS 0 +//#define RTC_STATE_MINUTES 1 +//#define RTC_STATE_HOURS 2 +// +//volatile uint8_t timerCount = 0; +//volatile uint8_t rtcState[3] = {0, 0, 0}; + +unsigned int s, m, h; +int timerCount =0; +int diskspace; + + +// user tries to update and gets a buzzer and light +void clockFunc(); + +//upgrade the packages +void upgradeFunc(); + +// set a timer +void setTimer(); + +//void buzzer(); +void resetBuzzer(); + +void setBuzzer(); + +void timerAInit(void){ + // Enable Timer Interrupts + TACCTL0 = CCIE; + + // SMCLK, Count to CCR0 Value, Divide by 8 + TA0CTL = TASSEL_2 + MC_1 + ID_3; + + // 1,000,000 / 8 / 25 = 5000 + TACCR0 = 5000; +} + +// checks string to see if correct, +// returns true if one of the users, false if not +bool stringCheck(char* string); +void updateFunc(); //update code when "update" is entered + +void setup(); + + +void promptOutput(); // Outputs prompt + +void commandCheck(char* command); + + +void UART_setup(); // Configures UCI to work in the UART mode + // at the baud rate of 115200. +void UART_Send_Character(char my_char); // Sends a character via UART + +void UARTsendString(char* string); // Sends a string via UART using + // send_Character(char c) + +void UARTgetWord(char* buffer, int limit); +/* Receives characters via UART until it finds +the new line character or until the limit of +characters is exceeded. Writes that string +(excluding the new line character) to the +buffer allocated outside of the function. +Terminates the string with the null +character */ + +int stringLength(char *str); // String length + +int main(void) +{ +// WDTCTL = WDT_ADLY_1000; // 1 s interval + WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer + UART_setup(); + setup(); + //timerAInit(); + UCA0TXBUF = 0x0D; // Carriage Return + clockFunc(); + while(1){ + //timeout =0; + UCA0TXBUF = 0x0D; // Carriage Return + UCA0TXBUF = 0x0D; // Carriage Return + clockFunc(); + UARTsendString("\nPlease enter a username: "); // Output + UCA0RXBUF = 0x0D; // Carriage Return + clockFunc(); + UARTgetWord(user, 30); + //clockFunc(); + bool usercheck = stringCheck(user); + clockFunc(); + UCA0TXBUF = 0x0D; // Carriage Return + clockFunc(); + UARTsendString("\nPlease enter the password: "); + clockFunc(); + //timeout = 1; + UARTgetWord(password, 30); + clockFunc(); + bool passcheck = stringCheck(password); + clockFunc(); + UCA0TXBUF = 0x0D; // Carriage Return + clockFunc(); + if(usercheck && passcheck && admin) // If user and password match + { + clockFunc(); + while(1){ + clockFunc(); + UCA0TXBUF = 0x0D; // Carriage Return + promptOutput(); + clockFunc(); + // char* commandEq; + UARTgetWord(command, 50); + //commandCheck(command); + //if(update==1) + if(strcmp(command, "update")==0) + { + GREENLED(); + __delay_cycles(500000); // second + GREENLEDOFF(); + clockFunc(); + updateFunc(); + //for(i=0; i ==stringLength(command); i++) command[i]=0x00; + } + else if(strcmp(command, "upgrade")==0) + { + GREENLED(); + __delay_cycles(500000); // second + GREENLEDOFF(); + clockFunc(); + upgradeFunc(); + } + else if(strcmp(command, "date")==0) + { + GREENLED(); + __delay_cycles(500000); // second + GREENLEDOFF(); + clockFunc(); + clockFunc(); + UARTsendString("The date is 1980, November 1. The time is "); + UARTsendString(SystemTime); + } + else if(strcmp(command, "timer")==0) // timer to reboot + { + GREENLED(); + __delay_cycles(500000); // half-second + GREENLEDOFF(); + clockFunc(); + setTimer(); + } + else if(strcmp(command, "data")==0) + { + GREENLED(); + __delay_cycles(500000); // half-second + GREENLEDOFF(); + clockFunc(); + clockFunc(); + setTimer(); + } + else if(strcmp(command, "logout")==0) + { + GREENLED(); + __delay_cycles(500000); // half-second + GREENLEDOFF(); + clockFunc(); + clockFunc(); + break; + } + else + { + clockFunc(); + REDLED(); + setBuzzer(); + __delay_cycles(500000); // half-second + resetBuzzer(); + REDLEDOFF(); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("Unknown command."); + } + } + } + else if(usercheck && passcheck && admin==false) + { + while(1){ + clockFunc(); + UCA0TXBUF = 0x0D; // Carriage Return + promptOutput(); + UARTgetWord(command, 50); + commandCheck(command); + if(strcmp(command, "upgrade")==0 || strcmp(command, "update")==0) + { + clockFunc(); + REDLED(); + setBuzzer(); + __delay_cycles(500000); // half-second + resetBuzzer(); + REDLEDOFF(); + clockFunc(); + } + else if(strcmp(command, "date")==0) + { + clockFunc(); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("The date is 1980, November 1. The time is "); + UARTsendString(SystemTime); + clockFunc(); + } + else if(strcmp(command, "timer")==0) + { + GREENLED(); + __delay_cycles(500000); // half-second + GREENLEDOFF(); + clockFunc(); + clockFunc(); + setTimer(); + } + else if(strcmp(command, "logout")==0) + { + GREENLED(); + __delay_cycles(500000); // half-second + GREENLEDOFF(); + clockFunc(); + clockFunc(); + break; + } + else + { + REDLED(); + setBuzzer(); + __delay_cycles(500000); // half-second + resetBuzzer(); + REDLEDOFF(); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("Unknown command."); + } + } + } + else { + clockFunc(); + UCA0TXBUF = '\n'; // Newline + UCA0TXBUF = 0x0D; // Carriage Return + REDLED(); + setBuzzer(); + __delay_cycles(500000); // half-second + resetBuzzer(); + REDLEDOFF(); + UARTsendString("\nInvalid username or password! Please try again."); + } + } +} + +void promptOutput() +{ + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nWelcome!! Enter a command: \n"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n1. Set timer: timer"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n2. Check for system updates: update"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n3. Update system: upgrade"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n4. Check system time: date"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n5. Logout: logout"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nCommand: "); +} + +void UART_setup() +{ + P2SEL |= BIT4 + BIT5; // Set USCI_A0 RXD/TXD to receive/transmit data + UCA0CTL1 |= UCSWRST; // Set software reset during initialization + UCA0CTL0 = 0; // USCI_A0 control register + UCA0CTL1 |= UCSSEL_2; // Clock source SMCLK + UCA0BR0 = 0x09; // 1048576 Hz / 115200 lower byte + UCA0BR1 = 0x00; // upper byte + UCA0MCTL = 0x02; // Modulation (UCBRS0=0x01, UCOS16=0) + UCA0CTL1 &= ~UCSWRST; // Clear software reset to initialize USCI state machine +} + +void setBuzzer() +{ + TBCCR0 = 250; + TBCCR4 = 125; +} + +void resetBuzzer() +{ + TBCCR0 = 3500; + TBCCR4 = 3600; +} + + +void UART_Send_Character(char my_char) +{ + while (!(IFG2 & UCA0TXIFG)); // Wait for previous character to transmit + UCA0TXBUF = my_char; // Put character into tx buffer +} + +void UARTsendString(char* string) +{ + int i=0; + int len = stringLength(string); + for(i =0; i<=len; i++) //loops over word + { + UART_Send_Character(string[i]); + } +} + +void UARTgetWord(char* buffer, int limit) +{ + int i; + for(i =0; i < limit; i++){ + while(!(IFG2&UCA0RXIFG)); // Wait for a new character + buffer[i] = UCA0RXBUF; + if(buffer[i] == '\r' || buffer[i] == '\n' || buffer[i] == '\0'){ + buffer[i] = '\0'; // end is here in UCA0RXBUF + return; + } + while(!(IFG2&UCA0TXIFG)); // Wait until TXBUF is free + UCA0TXBUF = UCA0RXBUF; // TXBUF <= RXBUF (echo) + } +} + +int stringLength(char *str) // gets string length for word +{ + int i=0; + while(str[i] != '\0') i++; + return i; +} + + +// Port 1 interrupt service routine +#pragma vector = PORT1_VECTOR +__interrupt void Port1_ISR (void) { + if(SW1_PRESSED) + { + main(); + } + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared +} + +bool stringCheck(char* string) +{ + if(strcmp(string,andrew.name) == 0 + || strcmp(string,joe.name) == 0 + || strcmp(string,andrew.password)== 0 + || strcmp(string,joe.password)== 0) + { + admin = true; + return true; + } + else if(strcmp(string,bill.name) == 0 + || strcmp(string,jeff.password)== 0 + || strcmp(string,jeff.name) == 0 + || strcmp(string,bill.password)== 0) + { + admin = false; + return true; + } + + else + { + // UARTsendString("False "); + return false; + } +} + +void setTimer() +{ + UARTsendString("The system will reboot in 30 seconds."); + //UARTgetWord(time,5); + WDTCTL = WDT_ADLY_1000; + timerCheck=1; +} + +void commandCheck(char* command) +{ + if(strcmp(command, "update")==0) + { + update = 1; + return; + } + else if(strcmp(command, "upgrade")==0) + { + upgrade = 1; + return; + } + else if(strcmp(command, "time")==0) + { + timerCheck = 1; + return; + } + else if(strcmp(command, "clock")==0) + { + clock = 1; + return; + } + else if(strcmp(command, "logout")==0) + { + logout = 1; + return; + } +} + +// Timer Interrupt +//#pragma vector = TIMER0_A0_VECTOR +//__interrupt void Timer_A(void){ +// // static int timerCount =0; +// static int m =0; +// static int h =0; +// static int s =0; +// s++; +// if (s >= 60){ +// s = 0; +// m++; +// if (m >= 60){ +// m = 0; +// h++; +// if (h >= 24) { +// h = 0; +// } +// } +// } +// sprintf(cpu, "%d", s); +// sprintf(SystemTime, "%d%d%d",h,m,s); +// //TA0CCTL0 &=~ TAIFG; +//} + + +void setup() +{ + _EINT(); // Enable interrupts + IE1 |= WDTIE; // Enable WDT interrupt + P2DIR |= (BIT1 + BIT2); // P2.1 and P2.2 set up as output + P5DIR |= BIT1; // REDLED set up as output + P2OUT &= ~BIT1; // Turn on LED2 + P2OUT &= ~BIT2; // Turn Off LED1 + P1IE |= BIT0; // P1.0 interrupt enabled + P1IE |= BIT1; // P1.1 interrupt enabled + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + P2OUT &= ~(BIT1+BIT2); // Turn Off LED1 + GREENLEDOFF(); + REDLEDOFF(); + TB0CTL = TBSSEL_2 + MC_1; // SMCLK Clock source Upmode + TB0CCR0 = 32765; // Set TB4 count value + TB0CCR4 = 32767; // Set TB4 count value + P3SEL |= 0x20; // P3.5 as special function + P3DIR |= 0x20; // P3.5 as digital output + TB0CCTL4 = OUTMOD_3; // Set/Rest mode +} + +void updateFunc() +{ + UCA0TXBUF = 0x0D; // Carriage Return + // P2OUT |= BIT2; + UARTsendString("\nUpdating packages."); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nHit:1 https://brave-browser-apt-release.s3.brave.com trusty InRelease"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nHit:2 https://deb.debian.org/debian bullseye InRelease"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nHit:3 https://repo.steampowered.com/steam stable InRelease"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nHit:4 https://typora.io/linux ./ InRelease [793 B]"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nReading package lists... Done"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n9 packages can be upgraded. Run 'apt list --upgradable' to see them."); + UCA0TXBUF = 0x0D; // Carriage Return + +} +void clockFunc() +{ + + static int timerCount =0; + static int h=0,m=0,s=0; + timerCount++; + timerCount = 0; + s++; + if (s >= 60){ + s = 0; + m++; + if (m >= 60){ + m = 0; + h++; + if (h >= 24) { + h = 0; + } + } + } + + sprintf(cpu, "%d", s); + sprintf(SystemTime, "%d%d%d",h,m,s); + +} + + +void upgradeFunc() +{ + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\nThe following packages will be upgraded: "); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n brave-browser steam:i386 steam-launcher steam-libs-amd64 steam-libs-i386:i386 typora"); + UCA0TXBUF = 0x0D; // Carriage Return + UARTsendString("\n Preparing to unpack .../0-brave-browser_1.32.113_amd64.deb ..."); +} + + +// Watchdog Timer interrupt service routine +#pragma vector=WDT_VECTOR +__interrupt void watchdog_timer(void) +{ + static int i =0; + //static int c =0; + + i++; + if(i==30 && timerCheck==1){ + setBuzzer(); + UCA0TXBUF = 0x0D; // Carriage Return + timerCheck=0; + IFG2=1; + resetBuzzer(); + // systemTime(); + main(); + } + + + // systemTime(); + //IFG2=1; +} diff --git a/CPE325/FinalProject/lnk_msp430fg4618.cmd b/CPE325/FinalProject/lnk_msp430fg4618.cmd new file mode 100755 index 0000000..557b664 --- /dev/null +++ b/CPE325/FinalProject/lnk_msp430fg4618.cmd @@ -0,0 +1,184 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430fg4618.cmd - LINKER COMMAND FILE FOR LINKING MSP430FG4618 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/FinalProject/targetConfigs/MSP430FG4618.ccxml b/CPE325/FinalProject/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/FinalProject/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/FinalProject/targetConfigs/readme.txt b/CPE325/FinalProject/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/FinalProject/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/L1_P1_For/Debug/L1_P1_For.map b/CPE325/L1_P1_For/Debug/L1_P1_For.map new file mode 100644 index 0000000..a7c1803 --- /dev/null +++ b/CPE325/L1_P1_For/Debug/L1_P1_For.map @@ -0,0 +1,2437 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Wed Aug 25 17:47:57 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00008946 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 00000494 00001b6c RWIX + FLASH 00004400 0000bb80 0000486a 00007316 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 0000264c 000000fe UNINITIALIZED + 0000264c 00000078 rts430_eabi.lib : defs.c.obj (.data:_ftable) + 000026c4 0000004e : host_device.c.obj (.data:_device) + 00002712 00000028 : host_device.c.obj (.data:_stream) + 0000273a 00000002 : exit_gvars.c.obj (.data:__TI_cleanup_ptr) + 0000273c 00000002 : exit_gvars.c.obj (.data:__TI_dtors_ptr) + 0000273e 00000002 : _lock.c.obj (.data:_lock) + 00002740 00000002 : _lock.c.obj (.data:_unlock) + 00002742 00000002 : defs.c.obj (.data) + 00002744 00000002 : errno.c.obj (.data) + 00002746 00000002 : exit.c.obj (.data) + 00002748 00000002 : memory.c.obj (.data) + +.bss 0 0000274a 000000aa UNINITIALIZED + 0000274a 000000a0 (.common:__TI_tmpnams) + 000027ea 00000008 (.common:parmbuf) + 000027f2 00000002 rts430_eabi.lib : memory.c.obj (.bss) + +.sysmem 0 00002400 0000012c UNINITIALIZED + 00002400 00000008 rts430_eabi.lib : memory.c.obj (.sysmem) + 00002408 00000124 --HOLE-- + +.cio 0 0000252c 00000120 UNINITIALIZED + 0000252c 00000120 rts430_eabi.lib : trgmsg.c.obj (.cio) + +.stack 0 00004360 000000a0 UNINITIALIZED + 00004360 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 00004362 0000009e --HOLE-- + +.text 0 00004400 000046d0 + 00004400 00000736 rts430_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 00004b36 00000478 : frcdivd.c.obj (.text:__TI_frcdivd) + 00004fae 00000360 : div64u.c.obj (.text:__mspabi_divull) + 0000530e 000002c0 : mpyd.c.obj (.text:__mspabi_mpyd) + 000055ce 00000288 : _printfi.c.obj (.text:__TI_printfi) + 00005856 0000026e : _printfi.c.obj (.text:_pproc_fgea) + 00005ac4 00000252 : _printfi.c.obj (.text:_setfield) + 00005d16 00000244 : _printfi.c.obj (.text:acvt) + 00005f5a 00000238 : divd.c.obj (.text:__mspabi_divd) + 00006192 000001ec : _printfi.c.obj (.text:_getarg_diouxp) + 0000637e 000001de : _printfi.c.obj (.text:ecvt) + 0000655c 000001c4 : _printfi.c.obj (.text:fcvt) + 00006720 000001a6 : _printfi.c.obj (.text:_pconv_e) + 000068c6 00000174 : _printfi.c.obj (.text:_pconv_a) + 00006a3a 00000172 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 00006bac 0000016a : s_scalbn.c.obj (.text:scalbn) + 00006d16 0000014c : _printfi.c.obj (.text:_pproc_diouxp) + 00006e62 0000012e : _printfi.c.obj (.text:_ltostr) + 00006f90 000000f8 : _printfi.c.obj (.text:_pconv_g) + 00007088 000000f6 : memory.c.obj (.text:aligned_alloc) + 0000717e 000000ea : fputs.c.obj (.text:fputs) + 00007268 000000e0 : _printfi.c.obj (.text:_pproc_fwp) + 00007348 000000dc : cmpd.c.obj (.text:__mspabi_cmpd) + 00007424 000000d2 : memory.c.obj (.text:free) + 000074f6 000000d0 : setvbuf.c.obj (.text:setvbuf) + 000075c6 000000c4 : _printfi.c.obj (.text:_pproc_wstr) + 0000768a 000000b0 : s_frexp.c.obj (.text:frexp) + 0000773a 000000ac : fltlid.c.obj (.text:__mspabi_fltlid) + 000077e6 000000ac : _printfi.c.obj (.text:_pproc_str) + 00007892 00000092 : _printfi.c.obj (.text:_mcpy) + 00007924 00000090 : fputc.c.obj (.text:fputc) + 000079b4 0000008e : _printfi.c.obj (.text:_ecpy) + 00007a42 00000088 : hostlseek.c.obj (.text:HOSTlseek) + 00007aca 00000084 : _ltoa.c.obj (.text:__TI_ltoa) + 00007b4e 0000007c : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00007bca 0000007a : _io_perm.c.obj (.text:__TI_wrt_ok) + 00007c44 0000007a : _printfi.c.obj (.text:_pconv_f) + 00007cbe 00000072 : fclose.c.obj (.text:__TI_closefile) + 00007d30 00000072 : fixdli.c.obj (.text:__mspabi_fixdli) + 00007da2 00000070 : fseek.c.obj (.text:fseek) + 00007e12 0000006a : hostrename.c.obj (.text:HOSTrename) + 00007e7c 00000068 : memory.c.obj (.text:split) + 00007ee4 00000066 : hostopen.c.obj (.text:HOSTopen) + 00007f4a 00000062 : hostwrite.c.obj (.text:HOSTwrite) + 00007fac 00000062 : fflush.c.obj (.text:__TI_doflush) + 0000800e 00000060 : hostread.c.obj (.text:HOSTread) + 0000806e 0000005e : mult64_f5hw.asm.obj (.text:__mpyll) + 000080cc 0000005c : lsr32.asm.obj (.text:l_lsr_const) + 00008128 00000058 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00008180 00000058 : getdevice.c.obj (.text:getdevice) + 000081d8 00000058 : div32u.asm.obj (.text) + 00008230 00000054 for.obj (.text:main) + 00008284 00000052 rts430_eabi.lib : atoi.c.obj (.text:atoi) + 000082d6 0000004e : _printfi.c.obj (.text:_fcpy) + 00008324 0000004c : lsr16.asm.obj (.text) + 00008370 0000004a : asr64.c.obj (.text:__mspabi_srall) + 000083ba 0000004a : close.c.obj (.text:close) + 00008404 00000046 : lsr64.c.obj (.text:__mspabi_srlll) + 0000844a 00000044 : hostclose.c.obj (.text:HOSTclose) + 0000848e 00000044 : lsl64.c.obj (.text:__mspabi_sllll) + 000084d2 00000044 : exit.c.obj (.text:exit) + 00008516 00000040 : hostunlink.c.obj (.text:HOSTunlink) + 00008556 00000040 : div32s.asm.obj (.text) + 00008596 0000003e : asr32.asm.obj (.text:l_asr_const) + 000085d4 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 00008612 0000003c : printf.c.obj (.text:printf) + 0000864e 00000034 : fopen.c.obj (.text:__TI_cleanup) + 00008682 00000034 : getdevice.c.obj (.text:finddevice) + 000086b6 0000002e : trgmsg.c.obj (.text:__TI_writemsg) + 000086e4 0000002e : subd.c.obj (.text:__mspabi_subd) + 00008712 0000002e : s_copysign.c.obj (.text:copysign) + 00008740 0000002c : trgmsg.c.obj (.text:__TI_readmsg) + 0000876c 0000002c : asr16.asm.obj (.text) + 00008798 0000002c : lsl16.asm.obj (.text) + 000087c4 0000002c : strncpy.c.obj (.text:strncpy) + 000087f0 0000002a : negd.c.obj (.text:__mspabi_negd) + 0000881a 00000028 : mult3264_f5hw.asm.obj (.text:__mpyull) + 00008842 00000028 : fixdi.c.obj (.text:__mspabi_fixdi) + 0000886a 00000028 : memory.c.obj (.text:free_list_insert) + 00008892 00000028 : unlink.c.obj (.text:unlink) + 000088ba 00000026 : lseek.c.obj (.text:lseek) + 000088e0 00000024 : write.c.obj (.text:write) + 00008904 00000022 : memccpy.c.obj (.text:memccpy) + 00008926 00000020 : mult32_f5hw.asm.obj (.text) + 00008946 0000001c : boot.c.obj (.text:_c_int00_noargs) + 00008962 0000001c : memory.c.obj (.text:free_list_remove) + 0000897e 0000001a : strchr.c.obj (.text:strchr) + 00008998 00000018 : strcmp.c.obj (.text:strcmp) + 000089b0 00000016 : div16u.asm.obj (.text) + 000089c6 00000014 : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 000089da 00000014 : memchr.c.obj (.text:memchr) + 000089ee 00000014 : memset.c.obj (.text:memset) + 00008a02 00000014 : mult16_f5hw.asm.obj (.text) + 00008a16 00000014 : wcslen.c.obj (.text:wcslen) + 00008a2a 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00008a3c 00000012 : lsr32.asm.obj (.text:l_lsr) + 00008a4e 00000012 : memcpy.c.obj (.text:memcpy) + 00008a60 00000010 : epilog.asm.obj (.text) + 00008a70 00000010 : strcpy.c.obj (.text:strcpy) + 00008a80 0000000e : strlen.c.obj (.text:strlen) + 00008a8e 0000000c : fltid.c.obj (.text:__mspabi_fltid) + 00008a9a 0000000c : toupper.c.obj (.text:toupper) + 00008aa6 0000000a : abs.c.obj (.text:abs) + 00008ab0 00000008 : memory.c.obj (.text:malloc) + 00008ab8 00000006 : printf.c.obj (.text:_outc) + 00008abe 00000006 : exit.c.obj (.text:abort) + 00008ac4 00000004 : printf.c.obj (.text:_outs) + 00008ac8 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00008acc 00000002 : _lock.c.obj (.text:_nop) + 00008ace 00000002 : startup.c.obj (.text:_system_post_cinit) + +.const 0 00008ad0 0000013c + 00008ad0 00000101 rts430_eabi.lib : ctype.c.obj (.const:.string:_ctypes_) + 00008bd1 00000001 --HOLE-- [fill = 0] + 00008bd2 00000026 : _printfi.c.obj (.const:.string) + 00008bf8 00000014 for.obj (.const:.string) + +.text:_isr +* 0 00008c0c 00000008 + 00008c0c 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00008c14 00000056 + 00008c14 00000044 (.cinit..data.load) [load image, compression = lzss] + 00008c58 00000006 (__TI_handler_table) + 00008c5e 00000004 (.cinit..bss.load) [load image, compression = zero_init] + 00008c62 00000008 (__TI_cinit_table) + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + for.obj 84 20 0 + +--+----------------------------+-------+---------+---------+ + Total: 84 20 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + _printfi.c.obj 6622 38 0 + addd.c.obj 1846 0 0 + frcdivd.c.obj 1144 0 0 + div64u.c.obj 864 0 0 + mpyd.c.obj 704 0 0 + memory.c.obj 636 0 4 + divd.c.obj 568 0 0 + trgmsg.c.obj 90 0 288 + frcmpyd.c.obj 370 0 0 + s_scalbn.c.obj 362 0 0 + defs.c.obj 0 0 282 + ctype.c.obj 0 257 0 + fputs.c.obj 234 0 0 + cmpd.c.obj 220 0 0 + setvbuf.c.obj 208 0 0 + s_frexp.c.obj 176 0 0 + fltlid.c.obj 172 0 0 + fputc.c.obj 144 0 0 + getdevice.c.obj 140 0 0 + hostlseek.c.obj 136 0 0 + _ltoa.c.obj 132 0 0 + copy_decompress_lzss.c.obj 124 0 0 + _io_perm.c.obj 122 0 0 + host_device.c.obj 0 0 118 + fclose.c.obj 114 0 0 + fixdli.c.obj 114 0 0 + fseek.c.obj 112 0 0 + hostopen.c.obj 102 0 8 + lsr32.asm.obj 110 0 0 + hostrename.c.obj 106 0 0 + fflush.c.obj 98 0 0 + hostwrite.c.obj 98 0 0 + hostread.c.obj 96 0 0 + mult64_f5hw.asm.obj 94 0 0 + autoinit.c.obj 88 0 0 + div32u.asm.obj 88 0 0 + atoi.c.obj 82 0 0 + exit.c.obj 74 0 2 + lsr16.asm.obj 76 0 0 + asr64.c.obj 74 0 0 + close.c.obj 74 0 0 + lsr64.c.obj 70 0 0 + printf.c.obj 70 0 0 + hostclose.c.obj 68 0 0 + lsl64.c.obj 68 0 0 + div32s.asm.obj 64 0 0 + hostunlink.c.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + fopen.c.obj 52 0 0 + s_copysign.c.obj 46 0 0 + subd.c.obj 46 0 0 + asr16.asm.obj 44 0 0 + lsl16.asm.obj 44 0 0 + strncpy.c.obj 44 0 0 + negd.c.obj 42 0 0 + fixdi.c.obj 40 0 0 + mult3264_f5hw.asm.obj 40 0 0 + unlink.c.obj 40 0 0 + lseek.c.obj 38 0 0 + write.c.obj 36 0 0 + memccpy.c.obj 34 0 0 + mult32_f5hw.asm.obj 32 0 0 + boot.c.obj 28 2 0 + strchr.c.obj 26 0 0 + strcmp.c.obj 24 0 0 + div16u.asm.obj 22 0 0 + copy_zero_init.c.obj 20 0 0 + memchr.c.obj 20 0 0 + memset.c.obj 20 0 0 + mult16_f5hw.asm.obj 20 0 0 + wcslen.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + strcpy.c.obj 16 0 0 + strlen.c.obj 14 0 0 + fltid.c.obj 12 0 0 + toupper.c.obj 12 0 0 + abs.c.obj 10 0 0 + isr_trap.asm.obj 8 0 0 + _lock.c.obj 2 0 4 + exit_gvars.c.obj 0 0 4 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 18052 341 712 + + Heap: 0 0 300 + Stack: 0 0 160 + Linker Generated: 0 86 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 18136 447 1172 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 00008c62 records: 2, size/record: 4, table size: 8 + .data: load addr=00008c14, load size=00000044 bytes, run addr=0000264c, run size=000000fe bytes, compression=lzss + .bss: load addr=00008c5e, load size=00000004 bytes, run addr=0000274a, run size=000000aa bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 00008c58 records: 3, size/record: 2, table size: 6 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +00008abe C$$EXIT +000086e0 C$$IO$$ +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +0000844a HOSTclose +00007a42 HOSTlseek +00007ee4 HOSTopen +0000800e HOSTread +00007e12 HOSTrename +00008516 HOSTunlink +00007f4a HOSTwrite +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +0000252c _CIOBUF_ +00004400 __STACK_END +000000a0 __STACK_SIZE +0000012c __SYSMEM_SIZE +00008c62 __TI_CINIT_Base +00008c6a __TI_CINIT_Limit +00008c58 __TI_Handler_Table_Base +00008c5e __TI_Handler_Table_Limit +00008c0c __TI_ISR_TRAP +00008128 __TI_auto_init_nobinit_nopinit_hold_wdt +0000864e __TI_cleanup +0000273a __TI_cleanup_ptr +00007cbe __TI_closefile +00007b4e __TI_decompress_lzss +00008a2a __TI_decompress_none +00007fac __TI_doflush +0000273c __TI_dtors_ptr +00002746 __TI_enable_exit_profile_output +00004b36 __TI_frcdivd +00006a3a __TI_frcmpyd +00002742 __TI_ft_end +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +00007aca __TI_ltoa +ffffffff __TI_pprof_out_hndl +000055ce __TI_printfi +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +00008740 __TI_readmsg +0000274a __TI_tmpnams +000086b6 __TI_writemsg +00007bca __TI_wrt_ok +000089c6 __TI_zero_init_nomemset +ffffffff __c_args__ +00004400 __mspabi_addd +00007348 __mspabi_cmpd +00005f5a __mspabi_divd +00008556 __mspabi_divli +000089b0 __mspabi_divu +000081d8 __mspabi_divul +00004fae __mspabi_divull +00008842 __mspabi_fixdi +00007d30 __mspabi_fixdli +00008a8e __mspabi_fltid +0000773a __mspabi_fltlid +00008a6c __mspabi_func_epilog_1 +00008a6a __mspabi_func_epilog_2 +00008a68 __mspabi_func_epilog_3 +00008a66 __mspabi_func_epilog_4 +00008a64 __mspabi_func_epilog_5 +00008a62 __mspabi_func_epilog_6 +00008a60 __mspabi_func_epilog_7 +0000530e __mspabi_mpyd +00008a02 __mspabi_mpyi_f5hw +00008926 __mspabi_mpyl_f5hw +0000806e __mspabi_mpyll_f5hw +0000881a __mspabi_mpyull_f5hw +000087f0 __mspabi_negd +00008556 __mspabi_remli +000089b0 __mspabi_remu +000081d8 __mspabi_remul +00008798 __mspabi_slli +000087c0 __mspabi_slli_1 +000087ae __mspabi_slli_10 +000087ac __mspabi_slli_11 +000087aa __mspabi_slli_12 +000087a8 __mspabi_slli_13 +000087a6 __mspabi_slli_14 +000087a4 __mspabi_slli_15 +000087be __mspabi_slli_2 +000087bc __mspabi_slli_3 +000087ba __mspabi_slli_4 +000087b8 __mspabi_slli_5 +000087b6 __mspabi_slli_6 +000087b4 __mspabi_slli_7 +000087b2 __mspabi_slli_8 +000087b0 __mspabi_slli_9 +0000860c __mspabi_slll_1 +000085e8 __mspabi_slll_10 +000085e4 __mspabi_slll_11 +000085e0 __mspabi_slll_12 +000085dc __mspabi_slll_13 +000085d8 __mspabi_slll_14 +000085d4 __mspabi_slll_15 +00008608 __mspabi_slll_2 +00008604 __mspabi_slll_3 +00008600 __mspabi_slll_4 +000085fc __mspabi_slll_5 +000085f8 __mspabi_slll_6 +000085f4 __mspabi_slll_7 +000085f0 __mspabi_slll_8 +000085ec __mspabi_slll_9 +0000848e __mspabi_sllll +0000876c __mspabi_srai +00008794 __mspabi_srai_1 +00008782 __mspabi_srai_10 +00008780 __mspabi_srai_11 +0000877e __mspabi_srai_12 +0000877c __mspabi_srai_13 +0000877a __mspabi_srai_14 +00008778 __mspabi_srai_15 +00008792 __mspabi_srai_2 +00008790 __mspabi_srai_3 +0000878e __mspabi_srai_4 +0000878c __mspabi_srai_5 +0000878a __mspabi_srai_6 +00008788 __mspabi_srai_7 +00008786 __mspabi_srai_8 +00008784 __mspabi_srai_9 +000085ce __mspabi_sral_1 +000085aa __mspabi_sral_10 +000085a6 __mspabi_sral_11 +000085a2 __mspabi_sral_12 +0000859e __mspabi_sral_13 +0000859a __mspabi_sral_14 +00008596 __mspabi_sral_15 +000085ca __mspabi_sral_2 +000085c6 __mspabi_sral_3 +000085c2 __mspabi_sral_4 +000085be __mspabi_sral_5 +000085ba __mspabi_sral_6 +000085b6 __mspabi_sral_7 +000085b2 __mspabi_sral_8 +000085ae __mspabi_sral_9 +00008370 __mspabi_srall +00008324 __mspabi_srli +0000836a __mspabi_srli_1 +00008346 __mspabi_srli_10 +00008342 __mspabi_srli_11 +0000833e __mspabi_srli_12 +0000833a __mspabi_srli_13 +00008336 __mspabi_srli_14 +00008332 __mspabi_srli_15 +00008366 __mspabi_srli_2 +00008362 __mspabi_srli_3 +0000835e __mspabi_srli_4 +0000835a __mspabi_srli_5 +00008356 __mspabi_srli_6 +00008352 __mspabi_srli_7 +0000834e __mspabi_srli_8 +0000834a __mspabi_srli_9 +00008a3c __mspabi_srll +00008120 __mspabi_srll_1 +000080ea __mspabi_srll_10 +000080e4 __mspabi_srll_11 +000080de __mspabi_srll_12 +000080d8 __mspabi_srll_13 +000080d2 __mspabi_srll_14 +000080cc __mspabi_srll_15 +0000811a __mspabi_srll_2 +00008114 __mspabi_srll_3 +0000810e __mspabi_srll_4 +00008108 __mspabi_srll_5 +00008102 __mspabi_srll_6 +000080fc __mspabi_srll_7 +000080f6 __mspabi_srll_8 +000080f0 __mspabi_srll_9 +00008404 __mspabi_srlll +000086e4 __mspabi_subd +00008946 _c_int00_noargs +00008ad0 _ctypes_ +000026c4 _device +0000264c _ftable +0000273e _lock +00008acc _nop +0000fffe _reset_vector +00004360 _stack +00002712 _stream +00002400 _sys_memory +00008ace _system_post_cinit +00008ac8 _system_pre_init +00002740 _unlock +00008abe abort +00008aa6 abs +00007088 aligned_alloc +00008284 atoi +000083ba close +00008712 copysign +00008712 copysignl +00002744 errno +000084d2 exit +00008682 finddevice +00007924 fputc +0000717e fputs +00007424 free +0000768a frexp +0000768a frexpl +00007da2 fseek +00008180 getdevice +00006bac ldexp +00006bac ldexpl +000088ba lseek +00008230 main +00008ab0 malloc +00007088 memalign +00008904 memccpy +000089da memchr +00008a4e memcpy +000089ee memset +000027ea parmbuf +00008612 printf +00007924 putc +00008892 remove +00006bac scalbn +00006bac scalbnl +000074f6 setvbuf +0000897e strchr +00008998 strcmp +00008a70 strcpy +00008a80 strlen +000087c4 strncpy +00008a9a toupper +00008892 unlink +00008a16 wcslen +000088e0 write + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +000000a0 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012c __SYSMEM_SIZE +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00002400 _sys_memory +0000252c _CIOBUF_ +0000264c _ftable +000026c4 _device +00002712 _stream +0000273a __TI_cleanup_ptr +0000273c __TI_dtors_ptr +0000273e _lock +00002740 _unlock +00002742 __TI_ft_end +00002744 errno +00002746 __TI_enable_exit_profile_output +0000274a __TI_tmpnams +000027ea parmbuf +00004360 _stack +00004400 __STACK_END +00004400 __mspabi_addd +00004b36 __TI_frcdivd +00004fae __mspabi_divull +0000530e __mspabi_mpyd +000055ce __TI_printfi +00005f5a __mspabi_divd +00006a3a __TI_frcmpyd +00006bac ldexp +00006bac ldexpl +00006bac scalbn +00006bac scalbnl +00007088 aligned_alloc +00007088 memalign +0000717e fputs +00007348 __mspabi_cmpd +00007424 free +000074f6 setvbuf +0000768a frexp +0000768a frexpl +0000773a __mspabi_fltlid +00007924 fputc +00007924 putc +00007a42 HOSTlseek +00007aca __TI_ltoa +00007b4e __TI_decompress_lzss +00007bca __TI_wrt_ok +00007cbe __TI_closefile +00007d30 __mspabi_fixdli +00007da2 fseek +00007e12 HOSTrename +00007ee4 HOSTopen +00007f4a HOSTwrite +00007fac __TI_doflush +0000800e HOSTread +0000806e __mspabi_mpyll_f5hw +000080cc __mspabi_srll_15 +000080d2 __mspabi_srll_14 +000080d8 __mspabi_srll_13 +000080de __mspabi_srll_12 +000080e4 __mspabi_srll_11 +000080ea __mspabi_srll_10 +000080f0 __mspabi_srll_9 +000080f6 __mspabi_srll_8 +000080fc __mspabi_srll_7 +00008102 __mspabi_srll_6 +00008108 __mspabi_srll_5 +0000810e __mspabi_srll_4 +00008114 __mspabi_srll_3 +0000811a __mspabi_srll_2 +00008120 __mspabi_srll_1 +00008128 __TI_auto_init_nobinit_nopinit_hold_wdt +00008180 getdevice +000081d8 __mspabi_divul +000081d8 __mspabi_remul +00008230 main +00008284 atoi +00008324 __mspabi_srli +00008332 __mspabi_srli_15 +00008336 __mspabi_srli_14 +0000833a __mspabi_srli_13 +0000833e __mspabi_srli_12 +00008342 __mspabi_srli_11 +00008346 __mspabi_srli_10 +0000834a __mspabi_srli_9 +0000834e __mspabi_srli_8 +00008352 __mspabi_srli_7 +00008356 __mspabi_srli_6 +0000835a __mspabi_srli_5 +0000835e __mspabi_srli_4 +00008362 __mspabi_srli_3 +00008366 __mspabi_srli_2 +0000836a __mspabi_srli_1 +00008370 __mspabi_srall +000083ba close +00008404 __mspabi_srlll +0000844a HOSTclose +0000848e __mspabi_sllll +000084d2 exit +00008516 HOSTunlink +00008556 __mspabi_divli +00008556 __mspabi_remli +00008596 __mspabi_sral_15 +0000859a __mspabi_sral_14 +0000859e __mspabi_sral_13 +000085a2 __mspabi_sral_12 +000085a6 __mspabi_sral_11 +000085aa __mspabi_sral_10 +000085ae __mspabi_sral_9 +000085b2 __mspabi_sral_8 +000085b6 __mspabi_sral_7 +000085ba __mspabi_sral_6 +000085be __mspabi_sral_5 +000085c2 __mspabi_sral_4 +000085c6 __mspabi_sral_3 +000085ca __mspabi_sral_2 +000085ce __mspabi_sral_1 +000085d4 __mspabi_slll_15 +000085d8 __mspabi_slll_14 +000085dc __mspabi_slll_13 +000085e0 __mspabi_slll_12 +000085e4 __mspabi_slll_11 +000085e8 __mspabi_slll_10 +000085ec __mspabi_slll_9 +000085f0 __mspabi_slll_8 +000085f4 __mspabi_slll_7 +000085f8 __mspabi_slll_6 +000085fc __mspabi_slll_5 +00008600 __mspabi_slll_4 +00008604 __mspabi_slll_3 +00008608 __mspabi_slll_2 +0000860c __mspabi_slll_1 +00008612 printf +0000864e __TI_cleanup +00008682 finddevice +000086b6 __TI_writemsg +000086e0 C$$IO$$ +000086e4 __mspabi_subd +00008712 copysign +00008712 copysignl +00008740 __TI_readmsg +0000876c __mspabi_srai +00008778 __mspabi_srai_15 +0000877a __mspabi_srai_14 +0000877c __mspabi_srai_13 +0000877e __mspabi_srai_12 +00008780 __mspabi_srai_11 +00008782 __mspabi_srai_10 +00008784 __mspabi_srai_9 +00008786 __mspabi_srai_8 +00008788 __mspabi_srai_7 +0000878a __mspabi_srai_6 +0000878c __mspabi_srai_5 +0000878e __mspabi_srai_4 +00008790 __mspabi_srai_3 +00008792 __mspabi_srai_2 +00008794 __mspabi_srai_1 +00008798 __mspabi_slli +000087a4 __mspabi_slli_15 +000087a6 __mspabi_slli_14 +000087a8 __mspabi_slli_13 +000087aa __mspabi_slli_12 +000087ac __mspabi_slli_11 +000087ae __mspabi_slli_10 +000087b0 __mspabi_slli_9 +000087b2 __mspabi_slli_8 +000087b4 __mspabi_slli_7 +000087b6 __mspabi_slli_6 +000087b8 __mspabi_slli_5 +000087ba __mspabi_slli_4 +000087bc __mspabi_slli_3 +000087be __mspabi_slli_2 +000087c0 __mspabi_slli_1 +000087c4 strncpy +000087f0 __mspabi_negd +0000881a __mspabi_mpyull_f5hw +00008842 __mspabi_fixdi +00008892 remove +00008892 unlink +000088ba lseek +000088e0 write +00008904 memccpy +00008926 __mspabi_mpyl_f5hw +00008946 _c_int00_noargs +0000897e strchr +00008998 strcmp +000089b0 __mspabi_divu +000089b0 __mspabi_remu +000089c6 __TI_zero_init_nomemset +000089da memchr +000089ee memset +00008a02 __mspabi_mpyi_f5hw +00008a16 wcslen +00008a2a __TI_decompress_none +00008a3c __mspabi_srll +00008a4e memcpy +00008a60 __mspabi_func_epilog_7 +00008a62 __mspabi_func_epilog_6 +00008a64 __mspabi_func_epilog_5 +00008a66 __mspabi_func_epilog_4 +00008a68 __mspabi_func_epilog_3 +00008a6a __mspabi_func_epilog_2 +00008a6c __mspabi_func_epilog_1 +00008a70 strcpy +00008a80 strlen +00008a8e __mspabi_fltid +00008a9a toupper +00008aa6 abs +00008ab0 malloc +00008abe C$$EXIT +00008abe abort +00008ac8 _system_pre_init +00008acc _nop +00008ace _system_post_cinit +00008ad0 _ctypes_ +00008c0c __TI_ISR_TRAP +00008c58 __TI_Handler_Table_Base +00008c5e __TI_Handler_Table_Limit +00008c62 __TI_CINIT_Base +00008c6a __TI_CINIT_Limit +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[974 symbols] diff --git a/CPE325/L1_P1_For/Debug/L1_P1_For.out b/CPE325/L1_P1_For/Debug/L1_P1_For.out new file mode 100644 index 0000000..52fea87 Binary files /dev/null and b/CPE325/L1_P1_For/Debug/L1_P1_For.out differ diff --git a/CPE325/L1_P1_For/Debug/L1_P1_For_linkInfo.xml b/CPE325/L1_P1_For/Debug/L1_P1_For_linkInfo.xml new file mode 100644 index 0000000..b44a94f --- /dev/null +++ b/CPE325/L1_P1_For/Debug/L1_P1_For_linkInfo.xml @@ -0,0 +1,16322 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x6126c89d + 0x0 + L1_P1_For.out + + _c_int00_noargs +
0x8946
+
+ + + .\ + object + for.obj + for.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + printf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _printfi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputc.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _io_perm.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + setvbuf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + wcslen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_frexp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div16u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div64u.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdli.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _ltoa.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + atoi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + ctype.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + defs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memccpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memory.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memset.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strlen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + toupper.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + write.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + host_device.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + abs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fflush.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32s.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostlseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostread.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostrename.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostunlink.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostwrite.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + trgmsg.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + open.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + getdevice.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcmp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strncpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + remove.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + close.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + unlink.c.obj + + + + + .bss + true + 0x27f2 + 0x2 + + + + .common:__TI_tmpnams + true + 0x274a + 0xa0 + + + .common:parmbuf + true + 0x27ea + 0x8 + + + .data:__TI_cleanup_ptr + 0x273a + 0x273a + 0x2 + + + + .data:__TI_dtors_ptr + 0x273c + 0x273c + 0x2 + + + + .data + 0x2746 + 0x2746 + 0x2 + + + + .data:_lock + 0x273e + 0x273e + 0x2 + + + + .data:_unlock + 0x2740 + 0x2740 + 0x2 + + + + .data:_ftable + 0x264c + 0x264c + 0x78 + + + + .data + 0x2742 + 0x2742 + 0x2 + + + + .data + 0x2748 + 0x2748 + 0x2 + + + + .data:_device + 0x26c4 + 0x26c4 + 0x4e + + + + .data:_stream + 0x2712 + 0x2712 + 0x28 + + + + .data + 0x2744 + 0x2744 + 0x2 + + + + .sysmem + true + 0x2400 + 0x8 + + + + .sysmem + true + 0x2400 + 0x0 + + + .stack + true + 0x4360 + 0x2 + + + + .stack + true + 0x4360 + 0x0 + + + .text:__mspabi_addd + 0x4400 + 0x4400 + 0x736 + + + + .text:__TI_frcdivd + 0x4b36 + 0x4b36 + 0x478 + + + + .text:__mspabi_divull + 0x4fae + 0x4fae + 0x360 + + + + .text:__mspabi_mpyd + 0x530e + 0x530e + 0x2c0 + + + + .text:__TI_printfi + 0x55ce + 0x55ce + 0x288 + + + + .text:_pproc_fgea + 0x5856 + 0x5856 + 0x26e + + + + .text:_setfield + 0x5ac4 + 0x5ac4 + 0x252 + + + + .text:acvt + 0x5d16 + 0x5d16 + 0x244 + + + + .text:__mspabi_divd + 0x5f5a + 0x5f5a + 0x238 + + + + .text:_getarg_diouxp + 0x6192 + 0x6192 + 0x1ec + + + + .text:ecvt + 0x637e + 0x637e + 0x1de + + + + .text:fcvt + 0x655c + 0x655c + 0x1c4 + + + + .text:_pconv_e + 0x6720 + 0x6720 + 0x1a6 + + + + .text:_pconv_a + 0x68c6 + 0x68c6 + 0x174 + + + + .text:__TI_frcmpyd + 0x6a3a + 0x6a3a + 0x172 + + + + .text:scalbn + 0x6bac + 0x6bac + 0x16a + + + + .text:_pproc_diouxp + 0x6d16 + 0x6d16 + 0x14c + + + + .text:_ltostr + 0x6e62 + 0x6e62 + 0x12e + + + + .text:_pconv_g + 0x6f90 + 0x6f90 + 0xf8 + + + + .text:aligned_alloc + 0x7088 + 0x7088 + 0xf6 + + + + .text:fputs + 0x717e + 0x717e + 0xea + + + + .text:_pproc_fwp + 0x7268 + 0x7268 + 0xe0 + + + + .text:__mspabi_cmpd + 0x7348 + 0x7348 + 0xdc + + + + .text:free + 0x7424 + 0x7424 + 0xd2 + + + + .text:setvbuf + 0x74f6 + 0x74f6 + 0xd0 + + + + .text:_pproc_wstr + 0x75c6 + 0x75c6 + 0xc4 + + + + .text:frexp + 0x768a + 0x768a + 0xb0 + + + + .text:__mspabi_fltlid + 0x773a + 0x773a + 0xac + + + + .text:_pproc_str + 0x77e6 + 0x77e6 + 0xac + + + + .text:_mcpy + 0x7892 + 0x7892 + 0x92 + + + + .text:fputc + 0x7924 + 0x7924 + 0x90 + + + + .text:_ecpy + 0x79b4 + 0x79b4 + 0x8e + + + + .text:HOSTlseek + 0x7a42 + 0x7a42 + 0x88 + + + + .text:__TI_ltoa + 0x7aca + 0x7aca + 0x84 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x7b4e + 0x7b4e + 0x7c + + + + .text:__TI_wrt_ok + 0x7bca + 0x7bca + 0x7a + + + + .text:_pconv_f + 0x7c44 + 0x7c44 + 0x7a + + + + .text:__TI_closefile + 0x7cbe + 0x7cbe + 0x72 + + + + .text:__mspabi_fixdli + 0x7d30 + 0x7d30 + 0x72 + + + + .text:fseek + 0x7da2 + 0x7da2 + 0x70 + + + + .text:HOSTrename + 0x7e12 + 0x7e12 + 0x6a + + + + .text:split + 0x7e7c + 0x7e7c + 0x68 + + + + .text:HOSTopen + 0x7ee4 + 0x7ee4 + 0x66 + + + + .text:HOSTwrite + 0x7f4a + 0x7f4a + 0x62 + + + + .text:__TI_doflush + 0x7fac + 0x7fac + 0x62 + + + + .text:HOSTread + 0x800e + 0x800e + 0x60 + + + + .text:__mpyll + 0x806e + 0x806e + 0x5e + + + + .text:l_lsr_const + 0x80cc + 0x80cc + 0x5c + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x8128 + 0x8128 + 0x58 + + + + .text:getdevice + 0x8180 + 0x8180 + 0x58 + + + + .text + 0x81d8 + 0x81d8 + 0x58 + + + + .text:main + 0x8230 + 0x8230 + 0x54 + + + + .text:atoi + 0x8284 + 0x8284 + 0x52 + + + + .text:_fcpy + 0x82d6 + 0x82d6 + 0x4e + + + + .text + 0x8324 + 0x8324 + 0x4c + + + + .text:__mspabi_srall + 0x8370 + 0x8370 + 0x4a + + + + .text:close + 0x83ba + 0x83ba + 0x4a + + + + .text:__mspabi_srlll + 0x8404 + 0x8404 + 0x46 + + + + .text:HOSTclose + 0x844a + 0x844a + 0x44 + + + + .text:__mspabi_sllll + 0x848e + 0x848e + 0x44 + + + + .text:exit + 0x84d2 + 0x84d2 + 0x44 + + + + .text:HOSTunlink + 0x8516 + 0x8516 + 0x40 + + + + .text + 0x8556 + 0x8556 + 0x40 + + + + .text:l_asr_const + 0x8596 + 0x8596 + 0x3e + + + + .text:l_lsl_const + 0x85d4 + 0x85d4 + 0x3e + + + + .text:printf + 0x8612 + 0x8612 + 0x3c + + + + .text:__TI_cleanup + 0x864e + 0x864e + 0x34 + + + + .text:finddevice + 0x8682 + 0x8682 + 0x34 + + + + .text:__TI_writemsg + 0x86b6 + 0x86b6 + 0x2e + + + + .text:__mspabi_subd + 0x86e4 + 0x86e4 + 0x2e + + + + .text:copysign + 0x8712 + 0x8712 + 0x2e + + + + .text:__TI_readmsg + 0x8740 + 0x8740 + 0x2c + + + + .text + 0x876c + 0x876c + 0x2c + + + + .text + 0x8798 + 0x8798 + 0x2c + + + + .text:strncpy + 0x87c4 + 0x87c4 + 0x2c + + + + .text:__mspabi_negd + 0x87f0 + 0x87f0 + 0x2a + + + + .text:__mpyull + 0x881a + 0x881a + 0x28 + + + + .text:__mspabi_fixdi + 0x8842 + 0x8842 + 0x28 + + + + .text:free_list_insert + 0x886a + 0x886a + 0x28 + + + + .text:unlink + 0x8892 + 0x8892 + 0x28 + + + + .text:lseek + 0x88ba + 0x88ba + 0x26 + + + + .text:write + 0x88e0 + 0x88e0 + 0x24 + + + + .text:memccpy + 0x8904 + 0x8904 + 0x22 + + + + .text + 0x8926 + 0x8926 + 0x20 + + + + .text:_c_int00_noargs + 0x8946 + 0x8946 + 0x1c + + + + .text:free_list_remove + 0x8962 + 0x8962 + 0x1c + + + + .text:strchr + 0x897e + 0x897e + 0x1a + + + + .text:strcmp + 0x8998 + 0x8998 + 0x18 + + + + .text + 0x89b0 + 0x89b0 + 0x16 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x89c6 + 0x89c6 + 0x14 + + + + .text:memchr + 0x89da + 0x89da + 0x14 + + + + .text:memset + 0x89ee + 0x89ee + 0x14 + + + + .text + 0x8a02 + 0x8a02 + 0x14 + + + + .text:wcslen + 0x8a16 + 0x8a16 + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x8a2a + 0x8a2a + 0x12 + + + + .text:l_lsr + 0x8a3c + 0x8a3c + 0x12 + + + + .text:memcpy + 0x8a4e + 0x8a4e + 0x12 + + + + .text + 0x8a60 + 0x8a60 + 0x10 + + + + .text:strcpy + 0x8a70 + 0x8a70 + 0x10 + + + + .text:strlen + 0x8a80 + 0x8a80 + 0xe + + + + .text:__mspabi_fltid + 0x8a8e + 0x8a8e + 0xc + + + + .text:toupper + 0x8a9a + 0x8a9a + 0xc + + + + .text:abs + 0x8aa6 + 0x8aa6 + 0xa + + + + .text:malloc + 0x8ab0 + 0x8ab0 + 0x8 + + + + .text:_outc + 0x8ab8 + 0x8ab8 + 0x6 + + + + .text:abort + 0x8abe + 0x8abe + 0x6 + + + + .text:_outs + 0x8ac4 + 0x8ac4 + 0x4 + + + + .text:_system_pre_init + 0x8ac8 + 0x8ac8 + 0x4 + + + + .text:_nop + 0x8acc + 0x8acc + 0x2 + + + + .text:_system_post_cinit + 0x8ace + 0x8ace + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x8c0c + 0x8c0c + 0x8 + + + + .cinit..data.load + 0x8c14 + 0x8c14 + 0x44 + + + __TI_handler_table + 0x8c58 + 0x8c58 + 0x6 + + + .cinit..bss.load + 0x8c5e + 0x8c5e + 0x4 + + + __TI_cinit_table + 0x8c62 + 0x8c62 + 0x8 + + + .const:.string:_ctypes_ + 0x8ad0 + 0x8ad0 + 0x101 + + + + .const:.string + 0x8bd2 + 0x8bd2 + 0x26 + + + + .const:.string + 0x8bf8 + 0x8bf8 + 0x14 + + + + .cio + true + 0x252c + 0x120 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x166 + + + + .debug_info + 0x166 + 0x166 + 0x135 + + + + .debug_info + 0x29b + 0x29b + 0x26b + + + + .debug_info + 0x506 + 0x506 + 0x84 + + + + .debug_info + 0x58a + 0x58a + 0x282 + + + + .debug_info + 0x80c + 0x80c + 0x2c + + + + .debug_info + 0x838 + 0x838 + 0x2bd + + + + .debug_info + 0xaf5 + 0xaf5 + 0x162 + + + + .debug_info + 0xc57 + 0xc57 + 0x166 + + + + .debug_info + 0xdbd + 0xdbd + 0x184 + + + + .debug_info + 0xf41 + 0xf41 + 0xb2 + + + + .debug_info + 0xff3 + 0xff3 + 0x439 + + + + .debug_info + 0x142c + 0x142c + 0x22e + + + + .debug_info + 0x165a + 0x165a + 0x1b3 + + + + .debug_info + 0x180d + 0x180d + 0x287 + + + + .debug_info + 0x1a94 + 0x1a94 + 0x24b + + + + .debug_info + 0x1cdf + 0x1cdf + 0x3d0 + + + + .debug_info + 0x20af + 0x20af + 0x1bd + + + + .debug_info + 0x226c + 0x226c + 0x21b + + + + .debug_info + 0x2487 + 0x2487 + 0x26d + + + + .debug_info + 0x26f4 + 0x26f4 + 0x3e7 + + + + .debug_info + 0x2adb + 0x2adb + 0x206 + + + + .debug_info + 0x2ce1 + 0x2ce1 + 0x232 + + + + .debug_info + 0x2f13 + 0x2f13 + 0x376 + + + + .debug_info + 0x3289 + 0x3289 + 0x45e + + + + .debug_info + 0x36e7 + 0x36e7 + 0x280 + + + + .debug_info + 0x3967 + 0x3967 + 0x395 + + + + .debug_info + 0x3cfc + 0x3cfc + 0x305 + + + + .debug_info + 0x4001 + 0x4001 + 0x26d + + + + .debug_info + 0x426e + 0x426e + 0x26a + + + + .debug_info + 0x44d8 + 0x44d8 + 0x347 + + + + .debug_info + 0x481f + 0x481f + 0x86 + + + + .debug_info + 0x48a5 + 0x48a5 + 0x39 + + + + .debug_info + 0x48de + 0x48de + 0x94 + + + + .debug_info + 0x4972 + 0x4972 + 0x46 + + + + .debug_info + 0x49b8 + 0x49b8 + 0x46 + + + + .debug_info + 0x49fe + 0x49fe + 0x2c + + + + .debug_info + 0x4a2a + 0x4a2a + 0x25b + + + + .debug_info + 0x4c85 + 0x4c85 + 0x1d0 + + + + .debug_info + 0x4e55 + 0x4e55 + 0x2d4 + + + + .debug_info + 0x5129 + 0x5129 + 0x2c7 + + + + .debug_info + 0x53f0 + 0x53f0 + 0x2c + + + + .debug_info + 0x541c + 0x541c + 0x1d8 + + + + .debug_info + 0x55f4 + 0x55f4 + 0x196 + + + + .debug_info + 0x578a + 0x578a + 0xbf + + + + .debug_info + 0x5849 + 0x5849 + 0x24c + + + + .debug_info + 0x5a95 + 0x5a95 + 0x1fe + + + + .debug_info + 0x5c93 + 0x5c93 + 0x167 + + + + .debug_info + 0x5dfa + 0x5dfa + 0x15a + + + + .debug_info + 0x5f54 + 0x5f54 + 0x39 + + + + .debug_info + 0x5f8d + 0x5f8d + 0x39 + + + + .debug_info + 0x5fc6 + 0x5fc6 + 0x172 + + + + .debug_info + 0x6138 + 0x6138 + 0x1c7 + + + + .debug_info + 0x62ff + 0x62ff + 0x46 + + + + .debug_info + 0x6345 + 0x6345 + 0x2c + + + + .debug_info + 0x6371 + 0x6371 + 0x1b0 + + + + .debug_info + 0x6521 + 0x6521 + 0x23b + + + + .debug_info + 0x675c + 0x675c + 0x120 + + + + .debug_info + 0x687c + 0x687c + 0x121 + + + + .debug_info + 0x699d + 0x699d + 0x123 + + + + .debug_info + 0x6ac0 + 0x6ac0 + 0x12e + + + + .debug_info + 0x6bee + 0x6bee + 0x10f + + + + .debug_info + 0x6cfd + 0x6cfd + 0x120 + + + + .debug_info + 0x6e1d + 0x6e1d + 0x120 + + + + .debug_info + 0x6f3d + 0x6f3d + 0x125 + + + + .debug_info + 0x7062 + 0x7062 + 0x125 + + + + .debug_info + 0x7187 + 0x7187 + 0x12b + + + + .debug_info + 0x72b2 + 0x72b2 + 0x127 + + + + .debug_info + 0x73d9 + 0x73d9 + 0x100 + + + + .debug_info + 0x74d9 + 0x74d9 + 0x17a + + + + .debug_info + 0x7653 + 0x7653 + 0x3de + + + + .debug_info + 0x7a31 + 0x7a31 + 0x211 + + + + .debug_info + 0x7c42 + 0x7c42 + 0x39 + + + + .debug_info + 0x7c7b + 0x7c7b + 0x2c + + + + .debug_info + 0x7ca7 + 0x7ca7 + 0x2c + + + + .debug_info + 0x7cd3 + 0x7cd3 + 0xcd + + + + .debug_info + 0x7da0 + 0x7da0 + 0x175 + + + + .debug_info + 0x7f15 + 0x7f15 + 0x1d2 + + + + .debug_info + 0x80e7 + 0x80e7 + 0x46 + + + + .debug_info + 0x812d + 0x812d + 0x103 + + + + .debug_info + 0x8230 + 0x8230 + 0x11a + + + + .debug_info + 0x834a + 0x834a + 0x116 + + + + .debug_info + 0x8460 + 0x8460 + 0x193 + + + + .debug_info + 0x85f3 + 0x85f3 + 0x15c + + + + .debug_info + 0x874f + 0x874f + 0x337 + + + + .debug_info + 0x8a86 + 0x8a86 + 0x153 + + + + .debug_info + 0x8bd9 + 0x8bd9 + 0x150 + + + + .debug_info + 0x8d29 + 0x8d29 + 0x180 + + + + .debug_info + 0x8ea9 + 0x8ea9 + 0x1ea + + + + .debug_info + 0x9093 + 0x9093 + 0x46 + + + + .debug_info + 0x90d9 + 0x90d9 + 0x2c + + + + .debug_info + 0x9105 + 0x9105 + 0x176 + + + + .debug_info + 0x927b + 0x927b + 0x292 + + + + .debug_info + 0x950d + 0x950d + 0x60 + + + + .debug_info + 0x956d + 0x956d + 0x46 + + + + .debug_info + 0x95b3 + 0x95b3 + 0x39 + + + + .debug_info + 0x95ec + 0x95ec + 0x164 + + + + .debug_info + 0x9750 + 0x9750 + 0x35e + + + + .debug_info + 0x9aae + 0x9aae + 0x1af + + + + .debug_info + 0x9c5d + 0x9c5d + 0x94 + + + + .debug_info + 0x9cf1 + 0x9cf1 + 0x1a2 + + + + .debug_info + 0x9e93 + 0x9e93 + 0x28a + + + + .debug_info + 0xa11d + 0xa11d + 0x171 + + + + .debug_info + 0xa28e + 0xa28e + 0x1c0 + + + + .debug_info + 0xa44e + 0xa44e + 0x166 + + + + .debug_info + 0xa5b4 + 0xa5b4 + 0x16b + + + + .debug_info + 0xa71f + 0xa71f + 0x21b + + + + .debug_info + 0xa93a + 0xa93a + 0x172 + + + + .debug_info + 0xaaac + 0xaaac + 0x5cf + + + + .debug_info + 0xb07b + 0xb07b + 0x197 + + + + .debug_info + 0xb212 + 0xb212 + 0x2d2 + + + + .debug_info + 0xb4e4 + 0xb4e4 + 0x158 + + + + .debug_info + 0xb63c + 0xb63c + 0x18d + + + + .debug_info + 0xb7c9 + 0xb7c9 + 0x22e + + + + .debug_info + 0xb9f7 + 0xb9f7 + 0x132 + + + + .debug_info + 0xbb29 + 0xbb29 + 0x122 + + + + .debug_info + 0xbc4b + 0xbc4b + 0x197 + + + + .debug_info + 0xbde2 + 0xbde2 + 0x163 + + + + .debug_info + 0xbf45 + 0xbf45 + 0xff + + + + .debug_info + 0xc044 + 0xc044 + 0x103 + + + + .debug_info + 0xc147 + 0xc147 + 0x12e + + + + .debug_info + 0xc275 + 0xc275 + 0x174 + + + + .debug_info + 0xc3e9 + 0xc3e9 + 0x20e + + + + .debug_info + 0xc5f7 + 0xc5f7 + 0x191 + + + + .debug_info + 0xc788 + 0xc788 + 0x190 + + + + .debug_info + 0xc918 + 0xc918 + 0xf9 + + + + .debug_info + 0xca11 + 0xca11 + 0x105 + + + + .debug_info + 0xcb16 + 0xcb16 + 0x13e + + + + .debug_info + 0xcc54 + 0xcc54 + 0x102 + + + + .debug_info + 0xcd56 + 0xcd56 + 0x10a + + + + .debug_info + 0xce60 + 0xce60 + 0x17f + + + + .debug_info + 0xcfdf + 0xcfdf + 0x1b8 + + + + .debug_info + 0xd197 + 0xd197 + 0x178 + + + + .debug_info + 0xd30f + 0xd30f + 0x250 + + + + .debug_info + 0xd55f + 0xd55f + 0x181 + + + + .debug_info + 0xd6e0 + 0xd6e0 + 0x17a + + + + .debug_info + 0xd85a + 0xd85a + 0x22a + + + + .debug_info + 0xda84 + 0xda84 + 0x10c + + + + .debug_info + 0xdb90 + 0xdb90 + 0x11f + + + + .debug_info + 0xdcaf + 0xdcaf + 0x105 + + + + .debug_info + 0xddb4 + 0xddb4 + 0x168 + + + + .debug_info + 0xdf1c + 0xdf1c + 0x18e + + + + .debug_info + 0xe0aa + 0xe0aa + 0x19f + + + + .debug_info + 0xe249 + 0xe249 + 0x203 + + + + .debug_info + 0xe44c + 0xe44c + 0x1db + + + + .debug_info + 0xe627 + 0xe627 + 0x273 + + + + .debug_info + 0xe89a + 0xe89a + 0xb2 + + + + .debug_info + 0xe94c + 0xe94c + 0x2c + + + + .debug_info + 0xe978 + 0xe978 + 0x16d + + + + .debug_info + 0xeae5 + 0xeae5 + 0x275 + + + + .debug_info + 0xed5a + 0xed5a + 0x172 + + + + .debug_info + 0xeecc + 0xeecc + 0x268 + + + + .debug_info + 0xf134 + 0xf134 + 0x162 + + + + .debug_info + 0xf296 + 0xf296 + 0x230 + + + + .debug_info + 0xf4c6 + 0xf4c6 + 0x18e + + + + .debug_info + 0xf654 + 0xf654 + 0x154 + + + + .debug_info + 0xf7a8 + 0xf7a8 + 0x26f + + + + .debug_info + 0xfa17 + 0xfa17 + 0x189 + + + + .debug_info + 0xfba0 + 0xfba0 + 0x120 + + + + .debug_info + 0xfcc0 + 0xfcc0 + 0x2c + + + + .debug_info + 0xfcec + 0xfcec + 0x337 + + + + .debug_info + 0x10023 + 0x10023 + 0x109 + + + + .debug_info + 0x1012c + 0x1012c + 0x109 + + + + .debug_info + 0x10235 + 0x10235 + 0x140 + + + + .debug_info + 0x10375 + 0x10375 + 0xfe + + + + .debug_info + 0x10473 + 0x10473 + 0x21f + + + + .debug_info + 0x10692 + 0x10692 + 0x184 + + + + .debug_info + 0x10816 + 0x10816 + 0x176 + + + + .debug_info + 0x1098c + 0x1098c + 0x1fc + + + + .debug_info + 0x10b88 + 0x10b88 + 0x1df + + + + .debug_info + 0x10d67 + 0x10d67 + 0x160 + + + + .debug_info + 0x10ec7 + 0x10ec7 + 0x126 + + + + .debug_info + 0x10fed + 0x10fed + 0x138 + + + + .debug_info + 0x11125 + 0x11125 + 0x126 + + + + .debug_info + 0x1124b + 0x1124b + 0x120 + + + + .debug_info + 0x1136b + 0x1136b + 0x126 + + + + .debug_info + 0x11491 + 0x11491 + 0x193 + + + + .debug_info + 0x11624 + 0x11624 + 0x193 + + + + .debug_info + 0x117b7 + 0x117b7 + 0x239 + + + + .debug_info + 0x119f0 + 0x119f0 + 0x24b + + + + .debug_info + 0x11c3b + 0x11c3b + 0x1bc + + + + .debug_info + 0x11df7 + 0x11df7 + 0x250 + + + + .debug_info + 0x12047 + 0x12047 + 0x226 + + + + .debug_info + 0x1226d + 0x1226d + 0x27b + + + + .debug_info + 0x124e8 + 0x124e8 + 0x20c + + + + .debug_info + 0x126f4 + 0x126f4 + 0x24f + + + + .debug_info + 0x12943 + 0x12943 + 0x1fb + + + + .debug_info + 0x12b3e + 0x12b3e + 0x2ad + + + + .debug_info + 0x12deb + 0x12deb + 0x236 + + + + .debug_info + 0x13021 + 0x13021 + 0x26e + + + + .debug_info + 0x1328f + 0x1328f + 0x1c6 + + + + .debug_info + 0x13455 + 0x13455 + 0x250 + + + + .debug_info + 0x136a5 + 0x136a5 + 0x200 + + + + .debug_info + 0x138a5 + 0x138a5 + 0x193 + + + + .debug_info + 0x13a38 + 0x13a38 + 0x106 + + + + .debug_info + 0x13b3e + 0x13b3e + 0x1df + + + + .debug_info + 0x13d1d + 0x13d1d + 0x19a + + + + .debug_info + 0x13eb7 + 0x13eb7 + 0x26f + + + + .debug_info + 0x14126 + 0x14126 + 0x18f + + + + .debug_info + 0x142b5 + 0x142b5 + 0x27d + + + + .debug_info + 0x14532 + 0x14532 + 0x209 + + + + .debug_info + 0x1473b + 0x1473b + 0x304 + + + + .debug_info + 0x14a3f + 0x14a3f + 0x198 + + + + .debug_info + 0x14bd7 + 0x14bd7 + 0x1eb + + + + .debug_info + 0x14dc2 + 0x14dc2 + 0xea + + + + .debug_info + 0x14eac + 0x14eac + 0x162 + + + + .debug_info + 0x1500e + 0x1500e + 0x273 + + + + .debug_info + 0x15281 + 0x15281 + 0x17c + + + + .debug_info + 0x153fd + 0x153fd + 0x24d + + + + .debug_info + 0x1564a + 0x1564a + 0x17d + + + + .debug_info + 0x157c7 + 0x157c7 + 0x268 + + + + .debug_info + 0x15a2f + 0x15a2f + 0x2a7 + + + + .debug_info + 0x15cd6 + 0x15cd6 + 0x188 + + + + .debug_info + 0x15e5e + 0x15e5e + 0x2a9 + + + + .debug_info + 0x16107 + 0x16107 + 0x1a5 + + + + .debug_info + 0x162ac + 0x162ac + 0x92 + + + .debug_line + 0x0 + 0x0 + 0x6d + + + + .debug_line + 0x6d + 0x6d + 0x57 + + + + .debug_line + 0xc4 + 0xc4 + 0x72 + + + + .debug_line + 0x136 + 0x136 + 0x20 + + + + .debug_line + 0x156 + 0x156 + 0x76 + + + + .debug_line + 0x1cc + 0x1cc + 0x6e + + + + .debug_line + 0x23a + 0x23a + 0x10e + + + + .debug_line + 0x348 + 0x348 + 0x3c + + + + .debug_line + 0x384 + 0x384 + 0x3c + + + + .debug_line + 0x3c0 + 0x3c0 + 0x49 + + + + .debug_line + 0x409 + 0x409 + 0x91 + + + + .debug_line + 0x49a + 0x49a + 0x2d5 + + + + .debug_line + 0x76f + 0x76f + 0x83 + + + + .debug_line + 0x7f2 + 0x7f2 + 0xb9 + + + + .debug_line + 0x8ab + 0x8ab + 0x81 + + + + .debug_line + 0x92c + 0x92c + 0x85 + + + + .debug_line + 0x9b1 + 0x9b1 + 0x101 + + + + .debug_line + 0xab2 + 0xab2 + 0x49 + + + + .debug_line + 0xafb + 0xafb + 0x6e + + + + .debug_line + 0xb69 + 0xb69 + 0x8a + + + + .debug_line + 0xbf3 + 0xbf3 + 0x10e + + + + .debug_line + 0xd01 + 0xd01 + 0x4f + + + + .debug_line + 0xd50 + 0xd50 + 0x4b + + + + .debug_line + 0xd9b + 0xd9b + 0x85 + + + + .debug_line + 0xe20 + 0xe20 + 0x120 + + + + .debug_line + 0xf40 + 0xf40 + 0x74 + + + + .debug_line + 0xfb4 + 0xfb4 + 0x128 + + + + .debug_line + 0x10dc + 0x10dc + 0xde + + + + .debug_line + 0x11ba + 0x11ba + 0x72 + + + + .debug_line + 0x122c + 0x122c + 0x82 + + + + .debug_line + 0x12ae + 0x12ae + 0x118 + + + + .debug_line + 0x13c6 + 0x13c6 + 0x92 + + + + .debug_line + 0x1458 + 0x1458 + 0x92 + + + + .debug_line + 0x14ea + 0x14ea + 0x9a + + + + .debug_line + 0x1584 + 0x1584 + 0x97 + + + + .debug_line + 0x161b + 0x161b + 0x2e + + + + .debug_line + 0x1649 + 0x1649 + 0x91 + + + + .debug_line + 0x16da + 0x16da + 0x117 + + + + .debug_line + 0x17f1 + 0x17f1 + 0x67 + + + + .debug_line + 0x1858 + 0x1858 + 0x189 + + + + .debug_line + 0x19e1 + 0x19e1 + 0x95 + + + + .debug_line + 0x1a76 + 0x1a76 + 0x92 + + + + .debug_line + 0x1b08 + 0x1b08 + 0x91 + + + + .debug_line + 0x1b99 + 0x1b99 + 0x65 + + + + .debug_line + 0x1bfe + 0x1bfe + 0x91 + + + + .debug_line + 0x1c8f + 0x1c8f + 0x110 + + + + .debug_line + 0x1d9f + 0x1d9f + 0x88 + + + + .debug_line + 0x1e27 + 0x1e27 + 0x20 + + + + .debug_line + 0x1e47 + 0x1e47 + 0x40 + + + + .debug_line + 0x1e87 + 0x1e87 + 0x9a + + + + .debug_line + 0x1f21 + 0x1f21 + 0x91 + + + + .debug_line + 0x1fb2 + 0x1fb2 + 0x20 + + + + .debug_line + 0x1fd2 + 0x1fd2 + 0x51 + + + + .debug_line + 0x2023 + 0x2023 + 0x9a + + + + .debug_line + 0x20bd + 0x20bd + 0x97 + + + + .debug_line + 0x2154 + 0x2154 + 0x9b + + + + .debug_line + 0x21ef + 0x21ef + 0x72 + + + + .debug_line + 0x2261 + 0x2261 + 0x4e + + + + .debug_line + 0x22af + 0x22af + 0x43 + + + + .debug_line + 0x22f2 + 0x22f2 + 0x64 + + + + .debug_line + 0x2356 + 0x2356 + 0x40 + + + + .debug_line + 0x2396 + 0x2396 + 0x3d + + + + .debug_line + 0x23d3 + 0x23d3 + 0x4e + + + + .debug_line + 0x2421 + 0x2421 + 0x5e + + + + .debug_line + 0x247f + 0x247f + 0x44 + + + + .debug_line + 0x24c3 + 0x24c3 + 0x47 + + + + .debug_line + 0x250a + 0x250a + 0x4e + + + + .debug_line + 0x2558 + 0x2558 + 0x5b + + + + .debug_line + 0x25b3 + 0x25b3 + 0x2a + + + + .debug_line + 0x25dd + 0x25dd + 0x46 + + + + .debug_line + 0x2623 + 0x2623 + 0xbf + + + + .debug_line + 0x26e2 + 0x26e2 + 0x89 + + + + .debug_line + 0x276b + 0x276b + 0x2e + + + + .debug_line + 0x2799 + 0x2799 + 0x9a + + + + .debug_line + 0x2833 + 0x2833 + 0x97 + + + + .debug_line + 0x28ca + 0x28ca + 0x93 + + + + .debug_line + 0x295d + 0x295d + 0x20 + + + + .debug_line + 0x297d + 0x297d + 0x4f + + + + .debug_line + 0x29cc + 0x29cc + 0x34 + + + + .debug_line + 0x2a00 + 0x2a00 + 0x20 + + + + .debug_line + 0x2a20 + 0x2a20 + 0x30 + + + + .debug_line + 0x2a50 + 0x2a50 + 0x30 + + + + .debug_line + 0x2a80 + 0x2a80 + 0x53 + + + + .debug_line + 0x2ad3 + 0x2ad3 + 0x20 + + + + .debug_line + 0x2af3 + 0x2af3 + 0x13b + + + + .debug_line + 0x2c2e + 0x2c2e + 0x3e + + + + .debug_line + 0x2c6c + 0x2c6c + 0x3a + + + + .debug_line + 0x2ca6 + 0x2ca6 + 0x20 + + + + .debug_line + 0x2cc6 + 0x2cc6 + 0x50 + + + + .debug_line + 0x2d16 + 0x2d16 + 0x3a + + + + .debug_line + 0x2d50 + 0x2d50 + 0x92 + + + + .debug_line + 0x2de2 + 0x2de2 + 0x20 + + + + .debug_line + 0x2e02 + 0x2e02 + 0x92 + + + + .debug_line + 0x2e94 + 0x2e94 + 0x3a + + + + .debug_line + 0x2ece + 0x2ece + 0x9a + + + + .debug_line + 0x2f68 + 0x2f68 + 0x96 + + + + .debug_line + 0x2ffe + 0x2ffe + 0x20 + + + + .debug_line + 0x301e + 0x301e + 0x17f + + + + .debug_line + 0x319d + 0x319d + 0x75 + + + + .debug_line + 0x3212 + 0x3212 + 0x2e + + + + .debug_line + 0x3240 + 0x3240 + 0x2d + + + + .debug_line + 0x326d + 0x326d + 0xe9 + + + + .debug_line + 0x3356 + 0x3356 + 0x3d + + + + .debug_line + 0x3393 + 0x3393 + 0x5c + + + + .debug_line + 0x33ef + 0x33ef + 0x3d + + + + .debug_line + 0x342c + 0x342c + 0x20 + + + + .debug_line + 0x344c + 0x344c + 0x81 + + + + .debug_line + 0x34cd + 0x34cd + 0x20 + + + + .debug_line + 0x34ed + 0x34ed + 0xdc + + + + .debug_line + 0x35c9 + 0x35c9 + 0x2d + + + + .debug_line + 0x35f6 + 0x35f6 + 0xf0 + + + + .debug_line + 0x36e6 + 0x36e6 + 0x49 + + + + .debug_line + 0x372f + 0x372f + 0x4b + + + + .debug_line + 0x377a + 0x377a + 0x10c + + + + .debug_line + 0x3886 + 0x3886 + 0x2a + + + + .debug_line + 0x38b0 + 0x38b0 + 0x3d + + + + .debug_line + 0x38ed + 0x38ed + 0x50 + + + + .debug_line + 0x393d + 0x393d + 0x20 + + + + .debug_line + 0x395d + 0x395d + 0x2b + + + + .debug_line + 0x3988 + 0x3988 + 0x2b + + + + .debug_line + 0x39b3 + 0x39b3 + 0x38 + + + + .debug_line + 0x39eb + 0x39eb + 0x20 + + + + .debug_line + 0x3a0b + 0x3a0b + 0x51 + + + + .debug_line + 0x3a5c + 0x3a5c + 0x91 + + + + .debug_line + 0x3aed + 0x3aed + 0x5d + + + + .debug_line + 0x3b4a + 0x3b4a + 0x20 + + + + .debug_line + 0x3b6a + 0x3b6a + 0x2b + + + + .debug_line + 0x3b95 + 0x3b95 + 0x2a + + + + .debug_line + 0x3bbf + 0x3bbf + 0x2a + + + + .debug_line + 0x3be9 + 0x3be9 + 0x2a + + + + .debug_line + 0x3c13 + 0x3c13 + 0x20 + + + + .debug_line + 0x3c33 + 0x3c33 + 0x48 + + + + .debug_line + 0x3c7b + 0x3c7b + 0x20 + + + + .debug_line + 0x3c9b + 0x3c9b + 0xb1 + + + + .debug_line + 0x3d4c + 0x3d4c + 0x20 + + + + .debug_line + 0x3d6c + 0x3d6c + 0x42 + + + + .debug_line + 0x3dae + 0x3dae + 0x10f + + + + .debug_line + 0x3ebd + 0x3ebd + 0x2c + + + + .debug_line + 0x3ee9 + 0x3ee9 + 0x2c + + + + .debug_line + 0x3f15 + 0x3f15 + 0x2c + + + + .debug_line + 0x3f41 + 0x3f41 + 0x3f + + + + .debug_line + 0x3f80 + 0x3f80 + 0x4b + + + + .debug_line + 0x3fcb + 0x3fcb + 0x55 + + + + .debug_line + 0x4020 + 0x4020 + 0xb4 + + + + .debug_line + 0x40d4 + 0x40d4 + 0x72 + + + + .debug_line + 0x4146 + 0x4146 + 0xe3 + + + + .debug_line + 0x4229 + 0x4229 + 0x2c + + + + .debug_line + 0x4255 + 0x4255 + 0x92 + + + + .debug_line + 0x42e7 + 0x42e7 + 0x20 + + + + .debug_line + 0x4307 + 0x4307 + 0xae + + + + .debug_line + 0x43b5 + 0x43b5 + 0x20 + + + + .debug_line + 0x43d5 + 0x43d5 + 0xaf + + + + .debug_line + 0x4484 + 0x4484 + 0x20 + + + + .debug_line + 0x44a4 + 0x44a4 + 0xac + + + + .debug_line + 0x4550 + 0x4550 + 0x91 + + + + .debug_line + 0x45e1 + 0x45e1 + 0x3d + + + + .debug_line + 0x461e + 0x461e + 0x92 + + + + .debug_line + 0x46b0 + 0x46b0 + 0x42 + + + + .debug_line + 0x46f2 + 0x46f2 + 0x92 + + + + .debug_line + 0x4784 + 0x4784 + 0x90 + + + + .debug_line + 0x4814 + 0x4814 + 0x31 + + + + .debug_line + 0x4845 + 0x4845 + 0x31 + + + + .debug_line + 0x4876 + 0x4876 + 0x31 + + + + .debug_line + 0x48a7 + 0x48a7 + 0x3c + + + + .debug_line + 0x48e3 + 0x48e3 + 0x2b + + + + .debug_line + 0x490e + 0x490e + 0x118 + + + + .debug_line + 0x4a26 + 0x4a26 + 0x5e + + + + .debug_line + 0x4a84 + 0x4a84 + 0x4b + + + + .debug_line + 0x4acf + 0x4acf + 0xa6 + + + + .debug_line + 0x4b75 + 0x4b75 + 0x4f + + + + .debug_line + 0x4bc4 + 0x4bc4 + 0x42 + + + + .debug_line + 0x4c06 + 0x4c06 + 0x56 + + + + .debug_line + 0x4c5c + 0x4c5c + 0x5a + + + + .debug_line + 0x4cb6 + 0x4cb6 + 0x56 + + + + .debug_line + 0x4d0c + 0x4d0c + 0x42 + + + + .debug_line + 0x4d4e + 0x4d4e + 0x65 + + + + .debug_line + 0x4db3 + 0x4db3 + 0x53 + + + + .debug_line + 0x4e06 + 0x4e06 + 0x53 + + + + .debug_line + 0x4e59 + 0x4e59 + 0x65 + + + + .debug_line + 0x4ebe + 0x4ebe + 0x9d + + + + .debug_line + 0x4f5b + 0x4f5b + 0x48 + + + + .debug_line + 0x4fa3 + 0x4fa3 + 0x9d + + + + .debug_line + 0x5040 + 0x5040 + 0x4a + + + + .debug_line + 0x508a + 0x508a + 0x11d + + + + .debug_line + 0x51a7 + 0x51a7 + 0x48 + + + + .debug_line + 0x51ef + 0x51ef + 0x9d + + + + .debug_line + 0x528c + 0x528c + 0x4e + + + + .debug_line + 0x52da + 0x52da + 0x10f + + + + .debug_line + 0x53e9 + 0x53e9 + 0x4c + + + + .debug_line + 0x5435 + 0x5435 + 0x10f + + + + .debug_line + 0x5544 + 0x5544 + 0x48 + + + + .debug_line + 0x558c + 0x558c + 0x9d + + + + .debug_line + 0x5629 + 0x5629 + 0x4f + + + + .debug_line + 0x5678 + 0x5678 + 0x20 + + + + .debug_line + 0x5698 + 0x5698 + 0x2c + + + + .debug_line + 0x56c4 + 0x56c4 + 0x50 + + + + .debug_line + 0x5714 + 0x5714 + 0x51 + + + + .debug_line + 0x5765 + 0x5765 + 0x92 + + + + .debug_line + 0x57f7 + 0x57f7 + 0x42 + + + + .debug_line + 0x5839 + 0x5839 + 0x18a + + + + .debug_line + 0x59c3 + 0x59c3 + 0x60 + + + + .debug_line + 0x5a23 + 0x5a23 + 0x9e + + + + .debug_line + 0x5ac1 + 0x5ac1 + 0x53 + + + + .debug_line + 0x5b14 + 0x5b14 + 0x56 + + + + .debug_line + 0x5b6a + 0x5b6a + 0x2c + + + + .debug_line + 0x5b96 + 0x5b96 + 0x20 + + + + .debug_line + 0x5bb6 + 0x5bb6 + 0xaf + + + + .debug_line + 0x5c65 + 0x5c65 + 0x20 + + + + .debug_line + 0x5c85 + 0x5c85 + 0xa8 + + + + .debug_line + 0x5d2d + 0x5d2d + 0x20 + + + + .debug_line + 0x5d4d + 0x5d4d + 0xb4 + + + + .debug_line + 0x5e01 + 0x5e01 + 0x103 + + + + .debug_line + 0x5f04 + 0x5f04 + 0x53 + + + + .debug_line + 0x5f57 + 0x5f57 + 0x103 + + + + .debug_line + 0x605a + 0x605a + 0x40 + + + + .debug_frame + 0x0 + 0x0 + 0x40 + + + + .debug_frame + 0x40 + 0x40 + 0x3c + + + + .debug_frame + 0x7c + 0x7c + 0x3c + + + + .debug_frame + 0xb8 + 0xb8 + 0x48 + + + + .debug_frame + 0x100 + 0x100 + 0x64 + + + + .debug_frame + 0x164 + 0x164 + 0x4c + + + + .debug_frame + 0x1b0 + 0x1b0 + 0x64 + + + + .debug_frame + 0x214 + 0x214 + 0x64 + + + + .debug_frame + 0x278 + 0x278 + 0xb4 + + + + .debug_frame + 0x32c + 0x32c + 0x50 + + + + .debug_frame + 0x37c + 0x37c + 0x54 + + + + .debug_frame + 0x3d0 + 0x3d0 + 0x50 + + + + .debug_frame + 0x420 + 0x420 + 0xb4 + + + + .debug_frame + 0x4d4 + 0x4d4 + 0x50 + + + + .debug_frame + 0x524 + 0x524 + 0x54 + + + + .debug_frame + 0x578 + 0x578 + 0xb4 + + + + .debug_frame + 0x62c + 0x62c + 0xb4 + + + + .debug_frame + 0x6e0 + 0x6e0 + 0x64 + + + + .debug_frame + 0x744 + 0x744 + 0xb4 + + + + .debug_frame + 0x7f8 + 0x7f8 + 0x64 + + + + .debug_frame + 0x85c + 0x85c + 0x64 + + + + .debug_frame + 0x8c0 + 0x8c0 + 0x64 + + + + .debug_frame + 0x924 + 0x924 + 0x74 + + + + .debug_frame + 0x998 + 0x998 + 0x50 + + + + .debug_frame + 0x9e8 + 0x9e8 + 0x60 + + + + .debug_frame + 0xa48 + 0xa48 + 0x44 + + + + .debug_frame + 0xa8c + 0xa8c + 0x50 + + + + .debug_frame + 0xadc + 0xadc + 0x3c + + + + .debug_frame + 0xb18 + 0xb18 + 0x60 + + + + .debug_frame + 0xb78 + 0xb78 + 0x78 + + + + .debug_frame + 0xbf0 + 0xbf0 + 0x34 + + + + .debug_frame + 0xc24 + 0xc24 + 0x48 + + + + .debug_frame + 0xc6c + 0xc6c + 0x3c + + + + .debug_frame + 0xca8 + 0xca8 + 0x44 + + + + .debug_frame + 0xcec + 0xcec + 0x90 + + + + .debug_frame + 0xd7c + 0xd7c + 0x3c + + + + .debug_frame + 0xdb8 + 0xdb8 + 0x3c + + + + .debug_frame + 0xdf4 + 0xdf4 + 0x3c + + + + .debug_frame + 0xe30 + 0xe30 + 0x48 + + + + .debug_frame + 0xe78 + 0xe78 + 0x7c + + + + .debug_frame + 0xef4 + 0xef4 + 0x4c + + + + .debug_frame + 0xf40 + 0xf40 + 0x68 + + + + .debug_frame + 0xfa8 + 0xfa8 + 0x40 + + + + .debug_frame + 0xfe8 + 0xfe8 + 0x44 + + + + .debug_frame + 0x102c + 0x102c + 0x3c + + + + .debug_frame + 0x1068 + 0x1068 + 0x48 + + + + .debug_frame + 0x10b0 + 0x10b0 + 0x78 + + + + .debug_frame + 0x1128 + 0x1128 + 0x68 + + + + .debug_frame + 0x1190 + 0x1190 + 0x40 + + + + .debug_frame + 0x11d0 + 0x11d0 + 0x40 + + + + .debug_frame + 0x1210 + 0x1210 + 0x3c + + + + .debug_frame + 0x124c + 0x124c + 0x44 + + + + .debug_frame + 0x1290 + 0x1290 + 0x3c + + + + .debug_frame + 0x12cc + 0x12cc + 0x58 + + + + .debug_frame + 0x1324 + 0x1324 + 0x44 + + + + .debug_frame + 0x1368 + 0x1368 + 0x44 + + + + .debug_frame + 0x13ac + 0x13ac + 0x3c + + + + .debug_frame + 0x13e8 + 0x13e8 + 0x3c + + + + .debug_frame + 0x1424 + 0x1424 + 0x3c + + + + .debug_frame + 0x1460 + 0x1460 + 0x3c + + + + .debug_frame + 0x149c + 0x149c + 0x3c + + + + .debug_frame + 0x14d8 + 0x14d8 + 0x44 + + + + .debug_frame + 0x151c + 0x151c + 0x44 + + + + .debug_frame + 0x1560 + 0x1560 + 0x50 + + + + .debug_frame + 0x15b0 + 0x15b0 + 0x3c + + + + .debug_frame + 0x15ec + 0x15ec + 0x40 + + + + .debug_frame + 0x162c + 0x162c + 0x3c + + + + .debug_frame + 0x1668 + 0x1668 + 0x3c + + + + .debug_frame + 0x16a4 + 0x16a4 + 0x3c + + + + .debug_frame + 0x16e0 + 0x16e0 + 0x3c + + + + .debug_frame + 0x171c + 0x171c + 0x44 + + + + .debug_frame + 0x1760 + 0x1760 + 0x44 + + + + .debug_frame + 0x17a4 + 0x17a4 + 0x50 + + + + .debug_frame + 0x17f4 + 0x17f4 + 0x44 + + + + .debug_frame + 0x1838 + 0x1838 + 0x44 + + + + .debug_frame + 0x187c + 0x187c + 0x44 + + + + .debug_frame + 0x18c0 + 0x18c0 + 0x64 + + + + .debug_frame + 0x1924 + 0x1924 + 0x44 + + + + .debug_frame + 0x1968 + 0x1968 + 0x50 + + + + .debug_frame + 0x19b8 + 0x19b8 + 0x48 + + + + .debug_frame + 0x1a00 + 0x1a00 + 0x48 + + + + .debug_frame + 0x1a48 + 0x1a48 + 0x4c + + + + .debug_frame + 0x1a94 + 0x1a94 + 0x44 + + + + .debug_frame + 0x1ad8 + 0x1ad8 + 0x48 + + + + .debug_frame + 0x1b20 + 0x1b20 + 0x3c + + + + .debug_frame + 0x1b5c + 0x1b5c + 0x3c + + + + .debug_frame + 0x1b98 + 0x1b98 + 0x3c + + + + .debug_frame + 0x1bd4 + 0x1bd4 + 0x54 + + + + .debug_frame + 0x1c28 + 0x1c28 + 0x4c + + + + .debug_frame + 0x1c74 + 0x1c74 + 0x50 + + + + .debug_frame + 0x1cc4 + 0x1cc4 + 0x3c + + + + .debug_frame + 0x1d00 + 0x1d00 + 0x3c + + + + .debug_frame + 0x1d3c + 0x1d3c + 0x3c + + + + .debug_frame + 0x1d78 + 0x1d78 + 0x44 + + + + .debug_frame + 0x1dbc + 0x1dbc + 0x48 + + + + .debug_abbrev + 0x0 + 0x0 + 0x69 + + + + .debug_abbrev + 0x69 + 0x69 + 0x6e + + + + .debug_abbrev + 0xd7 + 0xd7 + 0x69 + + + + .debug_abbrev + 0x140 + 0x140 + 0x1f + + + + .debug_abbrev + 0x15f + 0x15f + 0x35 + + + + .debug_abbrev + 0x194 + 0x194 + 0x24 + + + + .debug_abbrev + 0x1b8 + 0x1b8 + 0xb8 + + + + .debug_abbrev + 0x270 + 0x270 + 0x74 + + + + .debug_abbrev + 0x2e4 + 0x2e4 + 0x66 + + + + .debug_abbrev + 0x34a + 0x34a + 0x93 + + + + .debug_abbrev + 0x3dd + 0x3dd + 0x4b + + + + .debug_abbrev + 0x428 + 0x428 + 0xd0 + + + + .debug_abbrev + 0x4f8 + 0x4f8 + 0x89 + + + + .debug_abbrev + 0x581 + 0x581 + 0x6f + + + + .debug_abbrev + 0x5f0 + 0x5f0 + 0x7d + + + + .debug_abbrev + 0x66d + 0x66d + 0x7d + + + + .debug_abbrev + 0x6ea + 0x6ea + 0x8b + + + + .debug_abbrev + 0x775 + 0x775 + 0x7b + + + + .debug_abbrev + 0x7f0 + 0x7f0 + 0x7b + + + + .debug_abbrev + 0x86b + 0x86b + 0x7b + + + + .debug_abbrev + 0x8e6 + 0x8e6 + 0x8b + + + + .debug_abbrev + 0x971 + 0x971 + 0x7b + + + + .debug_abbrev + 0x9ec + 0x9ec + 0x7b + + + + .debug_abbrev + 0xa67 + 0xa67 + 0x89 + + + + .debug_abbrev + 0xaf0 + 0xaf0 + 0x8b + + + + .debug_abbrev + 0xb7b + 0xb7b + 0x7b + + + + .debug_abbrev + 0xbf6 + 0xbf6 + 0x89 + + + + .debug_abbrev + 0xc7f + 0xc7f + 0x7d + + + + .debug_abbrev + 0xcfc + 0xcfc + 0x8a + + + + .debug_abbrev + 0xd86 + 0xd86 + 0x8a + + + + .debug_abbrev + 0xe10 + 0xe10 + 0x9c + + + + .debug_abbrev + 0xeac + 0xeac + 0x49 + + + + .debug_abbrev + 0xef5 + 0xef5 + 0x24 + + + + .debug_abbrev + 0xf19 + 0xf19 + 0x35 + + + + .debug_abbrev + 0xf4e + 0xf4e + 0x24 + + + + .debug_abbrev + 0xf72 + 0xf72 + 0x24 + + + + .debug_abbrev + 0xf96 + 0xf96 + 0x24 + + + + .debug_abbrev + 0xfba + 0xfba + 0x95 + + + + .debug_abbrev + 0x104f + 0x104f + 0x8e + + + + .debug_abbrev + 0x10dd + 0x10dd + 0xb4 + + + + .debug_abbrev + 0x1191 + 0x1191 + 0x8e + + + + .debug_abbrev + 0x121f + 0x121f + 0x24 + + + + .debug_abbrev + 0x1243 + 0x1243 + 0x73 + + + + .debug_abbrev + 0x12b6 + 0x12b6 + 0x7f + + + + .debug_abbrev + 0x1335 + 0x1335 + 0x5c + + + + .debug_abbrev + 0x1391 + 0x1391 + 0xab + + + + .debug_abbrev + 0x143c + 0x143c + 0x8e + + + + .debug_abbrev + 0x14ca + 0x14ca + 0x35 + + + + .debug_abbrev + 0x14ff + 0x14ff + 0x71 + + + + .debug_abbrev + 0x1570 + 0x1570 + 0x24 + + + + .debug_abbrev + 0x1594 + 0x1594 + 0x24 + + + + .debug_abbrev + 0x15b8 + 0x15b8 + 0x35 + + + + .debug_abbrev + 0x15ed + 0x15ed + 0x7f + + + + .debug_abbrev + 0x166c + 0x166c + 0x24 + + + + .debug_abbrev + 0x1690 + 0x1690 + 0x24 + + + + .debug_abbrev + 0x16b4 + 0x16b4 + 0x5a + + + + .debug_abbrev + 0x170e + 0x170e + 0x8d + + + + .debug_abbrev + 0x179b + 0x179b + 0x3c + + + + .debug_abbrev + 0x17d7 + 0x17d7 + 0x3c + + + + .debug_abbrev + 0x1813 + 0x1813 + 0x3c + + + + .debug_abbrev + 0x184f + 0x184f + 0x3a + + + + .debug_abbrev + 0x1889 + 0x1889 + 0x28 + + + + .debug_abbrev + 0x18b1 + 0x18b1 + 0x3c + + + + .debug_abbrev + 0x18ed + 0x18ed + 0x3c + + + + .debug_abbrev + 0x1929 + 0x1929 + 0x2e + + + + .debug_abbrev + 0x1957 + 0x1957 + 0x2e + + + + .debug_abbrev + 0x1985 + 0x1985 + 0x2e + + + + .debug_abbrev + 0x19b3 + 0x19b3 + 0x2e + + + + .debug_abbrev + 0x19e1 + 0x19e1 + 0x29 + + + + .debug_abbrev + 0x1a0a + 0x1a0a + 0x58 + + + + .debug_abbrev + 0x1a62 + 0x1a62 + 0xc0 + + + + .debug_abbrev + 0x1b22 + 0x1b22 + 0x7e + + + + .debug_abbrev + 0x1ba0 + 0x1ba0 + 0x24 + + + + .debug_abbrev + 0x1bc4 + 0x1bc4 + 0x24 + + + + .debug_abbrev + 0x1be8 + 0x1be8 + 0x24 + + + + .debug_abbrev + 0x1c0c + 0x1c0c + 0x4b + + + + .debug_abbrev + 0x1c57 + 0x1c57 + 0x37 + + + + .debug_abbrev + 0x1c8e + 0x1c8e + 0x6f + + + + .debug_abbrev + 0x1cfd + 0x1cfd + 0x24 + + + + .debug_abbrev + 0x1d21 + 0x1d21 + 0x33 + + + + .debug_abbrev + 0x1d54 + 0x1d54 + 0x29 + + + + .debug_abbrev + 0x1d7d + 0x1d7d + 0x29 + + + + .debug_abbrev + 0x1da6 + 0x1da6 + 0x7f + + + + .debug_abbrev + 0x1e25 + 0x1e25 + 0x25 + + + + .debug_abbrev + 0x1e4a + 0x1e4a + 0x8d + + + + .debug_abbrev + 0x1ed7 + 0x1ed7 + 0x55 + + + + .debug_abbrev + 0x1f2c + 0x1f2c + 0x53 + + + + .debug_abbrev + 0x1f7f + 0x1f7f + 0x37 + + + + .debug_abbrev + 0x1fb6 + 0x1fb6 + 0x74 + + + + .debug_abbrev + 0x202a + 0x202a + 0x24 + + + + .debug_abbrev + 0x204e + 0x204e + 0x24 + + + + .debug_abbrev + 0x2072 + 0x2072 + 0x37 + + + + .debug_abbrev + 0x20a9 + 0x20a9 + 0x7d + + + + .debug_abbrev + 0x2126 + 0x2126 + 0x24 + + + + .debug_abbrev + 0x214a + 0x214a + 0x35 + + + + .debug_abbrev + 0x217f + 0x217f + 0x24 + + + + .debug_abbrev + 0x21a3 + 0x21a3 + 0x25 + + + + .debug_abbrev + 0x21c8 + 0x21c8 + 0x8d + + + + .debug_abbrev + 0x2255 + 0x2255 + 0x71 + + + + .debug_abbrev + 0x22c6 + 0x22c6 + 0x70 + + + + .debug_abbrev + 0x2336 + 0x2336 + 0x4d + + + + .debug_abbrev + 0x2383 + 0x2383 + 0x7f + + + + .debug_abbrev + 0x2402 + 0x2402 + 0x71 + + + + .debug_abbrev + 0x2473 + 0x2473 + 0x7f + + + + .debug_abbrev + 0x24f2 + 0x24f2 + 0x68 + + + + .debug_abbrev + 0x255a + 0x255a + 0x25 + + + + .debug_abbrev + 0x257f + 0x257f + 0x7f + + + + .debug_abbrev + 0x25fe + 0x25fe + 0x35 + + + + .debug_abbrev + 0x2633 + 0x2633 + 0x8d + + + + .debug_abbrev + 0x26c0 + 0x26c0 + 0x44 + + + + .debug_abbrev + 0x2704 + 0x2704 + 0x7f + + + + .debug_abbrev + 0x2783 + 0x2783 + 0x71 + + + + .debug_abbrev + 0x27f4 + 0x27f4 + 0x7f + + + + .debug_abbrev + 0x2873 + 0x2873 + 0x6f + + + + .debug_abbrev + 0x28e2 + 0x28e2 + 0x29 + + + + .debug_abbrev + 0x290b + 0x290b + 0x45 + + + + .debug_abbrev + 0x2950 + 0x2950 + 0x8c + + + + .debug_abbrev + 0x29dc + 0x29dc + 0x35 + + + + .debug_abbrev + 0x2a11 + 0x2a11 + 0x29 + + + + .debug_abbrev + 0x2a3a + 0x2a3a + 0x29 + + + + .debug_abbrev + 0x2a63 + 0x2a63 + 0x53 + + + + .debug_abbrev + 0x2ab6 + 0x2ab6 + 0x49 + + + + .debug_abbrev + 0x2aff + 0x2aff + 0x7f + + + + .debug_abbrev + 0x2b7e + 0x2b7e + 0x58 + + + + .debug_abbrev + 0x2bd6 + 0x2bd6 + 0x7f + + + + .debug_abbrev + 0x2c55 + 0x2c55 + 0x2e + + + + .debug_abbrev + 0x2c83 + 0x2c83 + 0x29 + + + + .debug_abbrev + 0x2cac + 0x2cac + 0x46 + + + + .debug_abbrev + 0x2cf2 + 0x2cf2 + 0x29 + + + + .debug_abbrev + 0x2d1b + 0x2d1b + 0x29 + + + + .debug_abbrev + 0x2d44 + 0x2d44 + 0x37 + + + + .debug_abbrev + 0x2d7b + 0x2d7b + 0x71 + + + + .debug_abbrev + 0x2dec + 0x2dec + 0x37 + + + + .debug_abbrev + 0x2e23 + 0x2e23 + 0x71 + + + + .debug_abbrev + 0x2e94 + 0x2e94 + 0x45 + + + + .debug_abbrev + 0x2ed9 + 0x2ed9 + 0x71 + + + + .debug_abbrev + 0x2f4a + 0x2f4a + 0xab + + + + .debug_abbrev + 0x2ff5 + 0x2ff5 + 0x29 + + + + .debug_abbrev + 0x301e + 0x301e + 0x27 + + + + .debug_abbrev + 0x3045 + 0x3045 + 0x27 + + + + .debug_abbrev + 0x306c + 0x306c + 0x76 + + + + .debug_abbrev + 0x30e2 + 0x30e2 + 0x6d + + + + .debug_abbrev + 0x314f + 0x314f + 0x6d + + + + .debug_abbrev + 0x31bc + 0x31bc + 0x8c + + + + .debug_abbrev + 0x3248 + 0x3248 + 0x7b + + + + .debug_abbrev + 0x32c3 + 0x32c3 + 0x8e + + + + .debug_abbrev + 0x3351 + 0x3351 + 0x7f + + + + .debug_abbrev + 0x33d0 + 0x33d0 + 0x24 + + + + .debug_abbrev + 0x33f4 + 0x33f4 + 0x35 + + + + .debug_abbrev + 0x3429 + 0x3429 + 0x71 + + + + .debug_abbrev + 0x349a + 0x349a + 0x3e + + + + .debug_abbrev + 0x34d8 + 0x34d8 + 0x71 + + + + .debug_abbrev + 0x3549 + 0x3549 + 0x2e + + + + .debug_abbrev + 0x3577 + 0x3577 + 0x71 + + + + .debug_abbrev + 0x35e8 + 0x35e8 + 0x4f + + + + .debug_abbrev + 0x3637 + 0x3637 + 0x71 + + + + .debug_abbrev + 0x36a8 + 0x36a8 + 0x7a + + + + .debug_abbrev + 0x3722 + 0x3722 + 0x80 + + + + .debug_abbrev + 0x37a2 + 0x37a2 + 0x5a + + + + .debug_abbrev + 0x37fc + 0x37fc + 0x24 + + + + .debug_abbrev + 0x3820 + 0x3820 + 0x71 + + + + .debug_abbrev + 0x3891 + 0x3891 + 0x29 + + + + .debug_abbrev + 0x38ba + 0x38ba + 0x29 + + + + .debug_abbrev + 0x38e3 + 0x38e3 + 0x71 + + + + .debug_abbrev + 0x3954 + 0x3954 + 0x27 + + + + .debug_abbrev + 0x397b + 0x397b + 0xab + + + + .debug_abbrev + 0x3a26 + 0x3a26 + 0x7f + + + + .debug_abbrev + 0x3aa5 + 0x3aa5 + 0x6f + + + + .debug_abbrev + 0x3b14 + 0x3b14 + 0x81 + + + + .debug_abbrev + 0x3b95 + 0x3b95 + 0x8e + + + + .debug_abbrev + 0x3c23 + 0x3c23 + 0x63 + + + + .debug_abbrev + 0x3c86 + 0x3c86 + 0x3c + + + + .debug_abbrev + 0x3cc2 + 0x3cc2 + 0x4a + + + + .debug_abbrev + 0x3d0c + 0x3d0c + 0x3c + + + + .debug_abbrev + 0x3d48 + 0x3d48 + 0x3c + + + + .debug_abbrev + 0x3d84 + 0x3d84 + 0x3c + + + + .debug_abbrev + 0x3dc0 + 0x3dc0 + 0x7f + + + + .debug_abbrev + 0x3e3f + 0x3e3f + 0x7f + + + + .debug_abbrev + 0x3ebe + 0x3ebe + 0x7f + + + + .debug_abbrev + 0x3f3d + 0x3f3d + 0x8c + + + + .debug_abbrev + 0x3fc9 + 0x3fc9 + 0x8e + + + + .debug_abbrev + 0x4057 + 0x4057 + 0x8c + + + + .debug_abbrev + 0x40e3 + 0x40e3 + 0x8e + + + + .debug_abbrev + 0x4171 + 0x4171 + 0xc1 + + + + .debug_abbrev + 0x4232 + 0x4232 + 0x8e + + + + .debug_abbrev + 0x42c0 + 0x42c0 + 0x93 + + + + .debug_abbrev + 0x4353 + 0x4353 + 0x8e + + + + .debug_abbrev + 0x43e1 + 0x43e1 + 0xca + + + + .debug_abbrev + 0x44ab + 0x44ab + 0x8e + + + + .debug_abbrev + 0x4539 + 0x4539 + 0xab + + + + .debug_abbrev + 0x45e4 + 0x45e4 + 0x8e + + + + .debug_abbrev + 0x4672 + 0x4672 + 0x93 + + + + .debug_abbrev + 0x4705 + 0x4705 + 0x8e + + + + .debug_abbrev + 0x4793 + 0x4793 + 0x52 + + + + .debug_abbrev + 0x47e5 + 0x47e5 + 0x29 + + + + .debug_abbrev + 0x480e + 0x480e + 0x6f + + + + .debug_abbrev + 0x487d + 0x487d + 0x6f + + + + .debug_abbrev + 0x48ec + 0x48ec + 0x7a + + + + .debug_abbrev + 0x4966 + 0x4966 + 0x80 + + + + .debug_abbrev + 0x49e6 + 0x49e6 + 0xab + + + + .debug_abbrev + 0x4a91 + 0x4a91 + 0x8e + + + + .debug_abbrev + 0x4b1f + 0x4b1f + 0xb8 + + + + .debug_abbrev + 0x4bd7 + 0x4bd7 + 0x7f + + + + .debug_abbrev + 0x4c56 + 0x4c56 + 0x7f + + + + .debug_abbrev + 0x4cd5 + 0x4cd5 + 0x49 + + + + .debug_abbrev + 0x4d1e + 0x4d1e + 0x2e + + + + .debug_abbrev + 0x4d4c + 0x4d4c + 0x71 + + + + .debug_abbrev + 0x4dbd + 0x4dbd + 0x45 + + + + .debug_abbrev + 0x4e02 + 0x4e02 + 0x71 + + + + .debug_abbrev + 0x4e73 + 0x4e73 + 0x45 + + + + .debug_abbrev + 0x4eb8 + 0x4eb8 + 0x71 + + + + .debug_abbrev + 0x4f29 + 0x4f29 + 0x81 + + + + .debug_abbrev + 0x4faa + 0x4faa + 0x80 + + + + .debug_abbrev + 0x502a + 0x502a + 0x99 + + + + .debug_abbrev + 0x50c3 + 0x50c3 + 0x8e + + + + .debug_abbrev + 0x5151 + 0x5151 + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2a6 + + + + .debug_str + 0x2a6 + 0x2a6 + 0xfd + + + + .debug_str + 0x3a3 + 0x3a3 + 0x32b + + + + .debug_str + 0x6ce + 0x6ce + 0xd9 + + + + .debug_str + 0x7a7 + 0x7a7 + 0x167 + + + + .debug_str + 0x90e + 0x90e + 0x15d + + + + .debug_str + 0xa6b + 0xa6b + 0x14b + + + + .debug_str + 0xbb6 + 0xbb6 + 0x1a2 + + + + .debug_str + 0xd58 + 0xd58 + 0x15b + + + + .debug_str + 0xeb3 + 0xeb3 + 0xef + + + + .debug_str + 0xfa2 + 0xfa2 + 0x13f + + + + .debug_str + 0x10e1 + 0x10e1 + 0x140 + + + + .debug_str + 0x1221 + 0x1221 + 0x16e + + + + .debug_str + 0x138f + 0x138f + 0x155 + + + + .debug_str + 0x14e4 + 0x14e4 + 0x147 + + + + .debug_str + 0x162b + 0x162b + 0x161 + + + + .debug_str + 0x178c + 0x178c + 0x146 + + + + .debug_str + 0x18d2 + 0x18d2 + 0xed + + + + .debug_str + 0x19bf + 0x19bf + 0x14c + + + + .debug_str + 0x1b0b + 0x1b0b + 0x147 + + + + .debug_str + 0x1c52 + 0x1c52 + 0x197 + + + + .debug_str + 0x1de9 + 0x1de9 + 0x105 + + + + .debug_str + 0x1eee + 0x1eee + 0x10b + + + + .debug_str + 0x1ff9 + 0x1ff9 + 0x140 + + + + .debug_str + 0x2139 + 0x2139 + 0x118 + + + + .debug_str + 0x2251 + 0x2251 + 0x16b + + + + .debug_str + 0x23bc + 0x23bc + 0x158 + + + + .debug_str + 0x2514 + 0x2514 + 0xf7 + + + + .debug_str + 0x260b + 0x260b + 0x10a + + + + .debug_str + 0x2715 + 0x2715 + 0x140 + + + + .debug_str + 0x2855 + 0x2855 + 0x18a + + + + .debug_str + 0x29df + 0x29df + 0x13d + + + + .debug_str + 0x2b1c + 0x2b1c + 0x110 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x28 + + + + .debug_aranges + 0xa8 + 0xa8 + 0x20 + + + + .debug_aranges + 0xc8 + 0xc8 + 0x20 + + + + .debug_aranges + 0xe8 + 0xe8 + 0x20 + + + + .debug_aranges + 0x108 + 0x108 + 0x40 + + + + .debug_aranges + 0x148 + 0x148 + 0x20 + + + + .debug_aranges + 0x168 + 0x168 + 0x20 + + + + .debug_aranges + 0x188 + 0x188 + 0x20 + + + + .debug_aranges + 0x1a8 + 0x1a8 + 0x40 + + + + .debug_aranges + 0x1e8 + 0x1e8 + 0x20 + + + + .debug_aranges + 0x208 + 0x208 + 0x20 + + + + .debug_aranges + 0x228 + 0x228 + 0x40 + + + + .debug_aranges + 0x268 + 0x268 + 0x40 + + + + .debug_aranges + 0x2a8 + 0x2a8 + 0x20 + + + + .debug_aranges + 0x2c8 + 0x2c8 + 0x40 + + + + .debug_aranges + 0x308 + 0x308 + 0x20 + + + + .debug_aranges + 0x328 + 0x328 + 0x20 + + + + .debug_aranges + 0x348 + 0x348 + 0x20 + + + + .debug_aranges + 0x368 + 0x368 + 0x28 + + + + .debug_aranges + 0x390 + 0x390 + 0x20 + + + + .debug_aranges + 0x3b0 + 0x3b0 + 0x20 + + + + .debug_aranges + 0x3d0 + 0x3d0 + 0x20 + + + + .debug_aranges + 0x3f0 + 0x3f0 + 0x20 + + + + .debug_aranges + 0x410 + 0x410 + 0x20 + + + + .debug_aranges + 0x430 + 0x430 + 0x20 + + + + .debug_aranges + 0x450 + 0x450 + 0x28 + + + + .debug_aranges + 0x478 + 0x478 + 0x20 + + + + .debug_aranges + 0x498 + 0x498 + 0x20 + + + + .debug_aranges + 0x4b8 + 0x4b8 + 0x20 + + + + .debug_aranges + 0x4d8 + 0x4d8 + 0x20 + + + + .debug_aranges + 0x4f8 + 0x4f8 + 0x20 + + + + .debug_aranges + 0x518 + 0x518 + 0x20 + + + + .debug_aranges + 0x538 + 0x538 + 0x20 + + + + .debug_aranges + 0x558 + 0x558 + 0x20 + + + + .debug_aranges + 0x578 + 0x578 + 0x20 + + + + .debug_aranges + 0x598 + 0x598 + 0x20 + + + + .debug_aranges + 0x5b8 + 0x5b8 + 0x20 + + + + .debug_aranges + 0x5d8 + 0x5d8 + 0x20 + + + + .debug_aranges + 0x5f8 + 0x5f8 + 0x20 + + + + .debug_aranges + 0x618 + 0x618 + 0x20 + + + + .debug_aranges + 0x638 + 0x638 + 0x20 + + + + .debug_aranges + 0x658 + 0x658 + 0x30 + + + + .debug_aranges + 0x688 + 0x688 + 0x20 + + + + .debug_aranges + 0x6a8 + 0x6a8 + 0x20 + + + + .debug_aranges + 0x6c8 + 0x6c8 + 0x20 + + + + .debug_aranges + 0x6e8 + 0x6e8 + 0x20 + + + + .debug_aranges + 0x708 + 0x708 + 0x28 + + + + .debug_aranges + 0x730 + 0x730 + 0x20 + + + + .debug_aranges + 0x750 + 0x750 + 0x20 + + + + .debug_aranges + 0x770 + 0x770 + 0x20 + + + + .debug_aranges + 0x790 + 0x790 + 0x20 + + + + .debug_aranges + 0x7b0 + 0x7b0 + 0x20 + + + + .debug_aranges + 0x7d0 + 0x7d0 + 0x20 + + + + .debug_aranges + 0x7f0 + 0x7f0 + 0x28 + + + + .debug_aranges + 0x818 + 0x818 + 0x20 + + + + .debug_aranges + 0x838 + 0x838 + 0x20 + + + + .debug_aranges + 0x858 + 0x858 + 0x20 + + + + .debug_aranges + 0x878 + 0x878 + 0x20 + + + + .debug_aranges + 0x898 + 0x898 + 0x20 + + + + .debug_aranges + 0x8b8 + 0x8b8 + 0x20 + + + + .debug_aranges + 0x8d8 + 0x8d8 + 0x20 + + + + .debug_aranges + 0x8f8 + 0x8f8 + 0x20 + + + + .debug_aranges + 0x918 + 0x918 + 0x20 + + + + .debug_aranges + 0x938 + 0x938 + 0x20 + + + + .debug_aranges + 0x958 + 0x958 + 0x20 + + + + .debug_aranges + 0x978 + 0x978 + 0x20 + + + + .debug_aranges + 0x998 + 0x998 + 0x20 + + + + .debug_aranges + 0x9b8 + 0x9b8 + 0x20 + + + + .debug_aranges + 0x9d8 + 0x9d8 + 0x20 + + + + .debug_aranges + 0x9f8 + 0x9f8 + 0x20 + + + + .debug_aranges + 0xa18 + 0xa18 + 0x20 + + + + .debug_aranges + 0xa38 + 0xa38 + 0x20 + + + + .debug_aranges + 0xa58 + 0xa58 + 0x20 + + + + .debug_aranges + 0xa78 + 0xa78 + 0x20 + + + + .debug_aranges + 0xa98 + 0xa98 + 0x20 + + + + .debug_aranges + 0xab8 + 0xab8 + 0x20 + + + + .debug_aranges + 0xad8 + 0xad8 + 0x20 + + + + .debug_aranges + 0xaf8 + 0xaf8 + 0x20 + + + + .debug_aranges + 0xb18 + 0xb18 + 0x20 + + + + .debug_aranges + 0xb38 + 0xb38 + 0x20 + + + + .debug_aranges + 0xb58 + 0xb58 + 0x20 + + + + .debug_aranges + 0xb78 + 0xb78 + 0x20 + + + + .debug_aranges + 0xb98 + 0xb98 + 0x20 + + + + .debug_aranges + 0xbb8 + 0xbb8 + 0x20 + + + + .debug_aranges + 0xbd8 + 0xbd8 + 0x20 + + + + .debug_aranges + 0xbf8 + 0xbf8 + 0x20 + + + + .debug_aranges + 0xc18 + 0xc18 + 0x20 + + + + .debug_aranges + 0xc38 + 0xc38 + 0x20 + + + + .debug_aranges + 0xc58 + 0xc58 + 0x20 + + + + .debug_aranges + 0xc78 + 0xc78 + 0x20 + + + + .debug_aranges + 0xc98 + 0xc98 + 0x20 + + + + .debug_aranges + 0xcb8 + 0xcb8 + 0x20 + + + + .debug_aranges + 0xcd8 + 0xcd8 + 0x20 + + + + .debug_aranges + 0xcf8 + 0xcf8 + 0x20 + + + + .debug_aranges + 0xd18 + 0xd18 + 0x20 + + + + .debug_aranges + 0xd38 + 0xd38 + 0x20 + + + + .debug_aranges + 0xd58 + 0xd58 + 0x20 + + + + .debug_aranges + 0xd78 + 0xd78 + 0x20 + + + + .debug_aranges + 0xd98 + 0xd98 + 0x20 + + + + .debug_aranges + 0xdb8 + 0xdb8 + 0x20 + + + + .debug_aranges + 0xdd8 + 0xdd8 + 0x20 + + + + .debug_aranges + 0xdf8 + 0xdf8 + 0x20 + + + + .debug_aranges + 0xe18 + 0xe18 + 0x20 + + + + .debug_aranges + 0xe38 + 0xe38 + 0x20 + + + + .debug_aranges + 0xe58 + 0xe58 + 0x20 + + + + .debug_aranges + 0xe78 + 0xe78 + 0x20 + + + + .debug_aranges + 0xe98 + 0xe98 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1b + + + + .debug_pubnames + 0x1b + 0x1b + 0x1c + + + + .debug_pubnames + 0x37 + 0x37 + 0x1c + + + + .debug_pubnames + 0x53 + 0x53 + 0x1d + + + + .debug_pubnames + 0x70 + 0x70 + 0x21 + + + + .debug_pubnames + 0x91 + 0x91 + 0x25 + + + + .debug_pubnames + 0xb6 + 0xb6 + 0x1e + + + + .debug_pubnames + 0xd4 + 0xd4 + 0x24 + + + + .debug_pubnames + 0xf8 + 0xf8 + 0x1b + + + + .debug_pubnames + 0x113 + 0x113 + 0x1c + + + + .debug_pubnames + 0x12f + 0x12f + 0x1c + + + + .debug_pubnames + 0x14b + 0x14b + 0x1f + + + + .debug_pubnames + 0x16a + 0x16a + 0x1b + + + + .debug_pubnames + 0x185 + 0x185 + 0x1c + + + + .debug_pubnames + 0x1a1 + 0x1a1 + 0x1f + + + + .debug_pubnames + 0x1c0 + 0x1c0 + 0x1f + + + + .debug_pubnames + 0x1df + 0x1df + 0x1b + + + + .debug_pubnames + 0x1fa + 0x1fa + 0x1f + + + + .debug_pubnames + 0x219 + 0x219 + 0x22 + + + + .debug_pubnames + 0x23b + 0x23b + 0x20 + + + + .debug_pubnames + 0x25b + 0x25b + 0x21 + + + + .debug_pubnames + 0x27c + 0x27c + 0x22 + + + + .debug_pubnames + 0x29e + 0x29e + 0x23 + + + + .debug_pubnames + 0x2c1 + 0x2c1 + 0x1c + + + + .debug_pubnames + 0x2dd + 0x2dd + 0x1c + + + + .debug_pubnames + 0x2f9 + 0x2f9 + 0x22 + + + + .debug_pubnames + 0x31b + 0x31b + 0x1e + + + + .debug_pubnames + 0x339 + 0x339 + 0x1d + + + + .debug_pubnames + 0x356 + 0x356 + 0x1c + + + + .debug_pubnames + 0x372 + 0x372 + 0x1d + + + + .debug_pubnames + 0x38f + 0x38f + 0x24 + + + + .debug_pubnames + 0x3b3 + 0x3b3 + 0x24 + + + + .debug_pubnames + 0x3d7 + 0x3d7 + 0x25 + + + + .debug_pubnames + 0x3fc + 0x3fc + 0x2b + + + + .debug_pubnames + 0x427 + 0x427 + 0x2b + + + + .debug_pubnames + 0x452 + 0x452 + 0x24 + + + + .debug_pubnames + 0x476 + 0x476 + 0x24 + + + + .debug_pubnames + 0x49a + 0x49a + 0x29 + + + + .debug_pubnames + 0x4c3 + 0x4c3 + 0x29 + + + + .debug_pubnames + 0x4ec + 0x4ec + 0x2b + + + + .debug_pubnames + 0x517 + 0x517 + 0x2a + + + + .debug_pubnames + 0x541 + 0x541 + 0x1d + + + + .debug_pubnames + 0x55e + 0x55e + 0x26 + + + + .debug_pubnames + 0x584 + 0x584 + 0x3e + + + + .debug_pubnames + 0x5c2 + 0x5c2 + 0x2e + + + + .debug_pubnames + 0x5f0 + 0x5f0 + 0x27 + + + + .debug_pubnames + 0x617 + 0x617 + 0x25 + + + + .debug_pubnames + 0x63c + 0x63c + 0x25 + + + + .debug_pubnames + 0x661 + 0x661 + 0x26 + + + + .debug_pubnames + 0x687 + 0x687 + 0x27 + + + + .debug_pubnames + 0x6ae + 0x6ae + 0x29 + + + + .debug_pubnames + 0x6d7 + 0x6d7 + 0x2b + + + + .debug_pubnames + 0x702 + 0x702 + 0x2b + + + + .debug_pubnames + 0x72d + 0x72d + 0x24 + + + + .debug_pubnames + 0x751 + 0x751 + 0x24 + + + + .debug_pubnames + 0x775 + 0x775 + 0x24 + + + + .debug_pubnames + 0x799 + 0x799 + 0x25 + + + + .debug_pubnames + 0x7be + 0x7be + 0x26 + + + + .debug_pubnames + 0x7e4 + 0x7e4 + 0x25 + + + + .debug_pubnames + 0x809 + 0x809 + 0x26 + + + + .debug_pubnames + 0x82f + 0x82f + 0x23 + + + + .debug_pubnames + 0x852 + 0x852 + 0x24 + + + + .debug_pubnames + 0x876 + 0x876 + 0x24 + + + + .debug_pubnames + 0x89a + 0x89a + 0x24 + + + + .debug_pubnames + 0x8be + 0x8be + 0x36 + + + + .debug_pubnames + 0x8f4 + 0x8f4 + 0x1c + + + + .debug_pubnames + 0x910 + 0x910 + 0x1b + + + + .debug_pubnames + 0x92b + 0x92b + 0x1c + + + + .debug_pubnames + 0x947 + 0x947 + 0x1e + + + + .debug_pubnames + 0x965 + 0x965 + 0x1b + + + + .debug_pubnames + 0x980 + 0x980 + 0x20 + + + + .debug_pubnames + 0x9a0 + 0x9a0 + 0x1b + + + + .debug_pubnames + 0x9bb + 0x9bb + 0x1f + + + + .debug_pubnames + 0x9da + 0x9da + 0x23 + + + + .debug_pubnames + 0x9fd + 0x9fd + 0x1e + + + + .debug_pubnames + 0xa1b + 0xa1b + 0x22 + + + + .debug_pubnames + 0xa3d + 0xa3d + 0x1e + + + + .debug_pubnames + 0xa5b + 0xa5b + 0x1d + + + + .debug_pubnames + 0xa78 + 0xa78 + 0x1d + + + + .debug_pubnames + 0xa95 + 0xa95 + 0x22 + + + + .debug_pubnames + 0xab7 + 0xab7 + 0x2c + + + + .debug_pubnames + 0xae3 + 0xae3 + 0x1f + + + + .debug_pubnames + 0xb02 + 0xb02 + 0x1d + + + + .debug_pubnames + 0xb1f + 0xb1f + 0x27 + + + + .debug_pubnames + 0xb46 + 0xb46 + 0x27 + + + + .debug_pubnames + 0xb6d + 0xb6d + 0x1b + + + + .debug_pubnames + 0xb88 + 0xb88 + 0x1c + + + + .debug_pubnames + 0xba4 + 0xba4 + 0x24 + + + + .debug_pubnames + 0xbc8 + 0xbc8 + 0x1d + + + + .debug_pubnames + 0xbe5 + 0xbe5 + 0x1d + + + + .debug_pubnames + 0xc02 + 0xc02 + 0x1d + + + + .debug_pubnames + 0xc1f + 0xc1f + 0x1e + + + + .debug_pubnames + 0xc3d + 0xc3d + 0x1c + + + + .debug_pubnames + 0xc59 + 0xc59 + 0x1e + + + + .debug_pubnames + 0xc77 + 0xc77 + 0x1e + + + + .debug_pubnames + 0xc95 + 0xc95 + 0x1a + + + + .debug_pubnames + 0xcaf + 0xcaf + 0x1c + + + + .debug_pubnames + 0xccb + 0xccb + 0x23 + + + + .debug_pubnames + 0xcee + 0xcee + 0x23 + + + + .debug_pubnames + 0xd11 + 0xd11 + 0x1c + + + + .debug_pubnames + 0xd2d + 0xd2d + 0x1f + + + + .debug_pubnames + 0xd4c + 0xd4c + 0x27 + + + + .debug_pubnames + 0xd73 + 0xd73 + 0x25 + + + + .debug_pubnames + 0xd98 + 0xd98 + 0x27 + + + + .debug_pubnames + 0xdbf + 0xdbf + 0x24 + + + + .debug_pubnames + 0xde3 + 0xde3 + 0x27 + + + + .debug_pubnames + 0xe0a + 0xe0a + 0x25 + + + + .debug_pubnames + 0xe2f + 0xe2f + 0x25 + + + + .debug_pubnames + 0xe54 + 0xe54 + 0x23 + + + + .debug_pubnames + 0xe77 + 0xe77 + 0x20 + + + + .debug_pubnames + 0xe97 + 0xe97 + 0x20 + + + + .debug_pubnames + 0xeb7 + 0xeb7 + 0x1e + + + + .debug_pubnames + 0xed5 + 0xed5 + 0x1f + + + + .debug_pubnames + 0xef4 + 0xef4 + 0x1f + + + + .debug_pubnames + 0xf13 + 0xf13 + 0x21 + + + + .debug_pubnames + 0xf34 + 0xf34 + 0x21 + + + + .debug_pubnames + 0xf55 + 0xf55 + 0x20 + + + + .debug_pubnames + 0xf75 + 0xf75 + 0x1f + + + + .debug_pubnames + 0xf94 + 0xf94 + 0x24 + + + + .debug_pubnames + 0xfb8 + 0xfb8 + 0x23 + + + + .debug_pubnames + 0xfdb + 0xfdb + 0x1c + + + + .debug_pubnames + 0xff7 + 0xff7 + 0x25 + + + + .debug_pubnames + 0x101c + 0x101c + 0x21 + + + + .debug_pubnames + 0x103d + 0x103d + 0x20 + + + + .debug_pubnames + 0x105d + 0x105d + 0x1d + + + + .debug_pubnames + 0x107a + 0x107a + 0x1d + + + + .debug_pubnames + 0x1097 + 0x1097 + 0x1e + + + + .debug_pubnames + 0x10b5 + 0x10b5 + 0x1c + + + + .debug_pubnames + 0x10d1 + 0x10d1 + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xed + + + + .debug_pubtypes + 0x349 + 0x349 + 0x320 + + + + .debug_pubtypes + 0x669 + 0x669 + 0x1e + + + + .debug_pubtypes + 0x687 + 0x687 + 0x27 + + + + .debug_pubtypes + 0x6ae + 0x6ae + 0x1e + + + + .debug_pubtypes + 0x6cc + 0x6cc + 0x2c + + + + .debug_pubtypes + 0x6f8 + 0x6f8 + 0x97 + + + + .debug_pubtypes + 0x78f + 0x78f + 0x3b + + + + .debug_pubtypes + 0x7ca + 0x7ca + 0x38 + + + + .debug_pubtypes + 0x802 + 0x802 + 0x1d + + + + .debug_pubtypes + 0x81f + 0x81f + 0x1d + + + + .debug_pubtypes + 0x83c + 0x83c + 0x32 + + + + .debug_pubtypes + 0x86e + 0x86e + 0x2e + + + + .debug_pubtypes + 0x89c + 0x89c + 0x29 + + + + .debug_pubtypes + 0x8c5 + 0x8c5 + 0x3e + + + + .debug_pubtypes + 0x903 + 0x903 + 0x1e + + + + .debug_pubtypes + 0x921 + 0x921 + 0x32 + + + + .debug_pubtypes + 0x953 + 0x953 + 0x21 + + + + .debug_pubtypes + 0x974 + 0x974 + 0x1f + + + + .debug_pubtypes + 0x993 + 0x993 + 0x50 + + + + .debug_pubtypes + 0x9e3 + 0x9e3 + 0x48 + + + + .debug_pubtypes + 0xa2b + 0xa2b + 0x48 + + + + .debug_pubtypes + 0xa73 + 0xa73 + 0x1d + + + + .debug_pubtypes + 0xa90 + 0xa90 + 0x5d + + + + .debug_pubtypes + 0xaed + 0xaed + 0x48 + + + + .debug_pubtypes + 0xb35 + 0xb35 + 0x35 + + + + .debug_pubtypes + 0xb6a + 0xb6a + 0x30 + + + + .debug_pubtypes + 0xb9a + 0xb9a + 0x26 + + + + .debug_pubtypes + 0xbc0 + 0xbc0 + 0x1d + + + + .debug_pubtypes + 0xbdd + 0xbdd + 0x2e + + + + .debug_pubtypes + 0xc0b + 0xc0b + 0x1c + + + + .debug_pubtypes + 0xc27 + 0xc27 + 0x1e + + + + + + .bss + 0x274a + 0xaa + + + + + + + + .data + 0x264c + 0xfe + + + + + + + + + + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x2400 + 0x12c + + + + + + + .stack + 0x4360 + 0xa0 + + + + + + + .text + 0x4400 + 0x4400 + 0x46d0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x8c0c + 0x8c0c + 0x8 + + + + + + .cinit + 0x8c14 + 0x8c14 + 0x56 + + + + + + + + + .const + 0x8ad0 + 0x8ad0 + 0x13c + + + + + + + + .cio + 0x252c + 0x120 + + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x1633e + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x609a + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x1e04 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x5160 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x2c2c + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0xeb8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x10ee + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xc45 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SEGMENT_0 + 0x2400 + 0x3f4 + 0x6 + + + + + + + + + SEGMENT_1 + 0x4360 + 0xa0 + 0x6 + + + + + + SEGMENT_2 + 0x4400 + 0x4400 + 0x486a + 0x5 + + + + + + + + + SEGMENT_3 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x494 + 0x1b6c + RWIX + + + 0x2400 + 0x12c + + + + 0x252c + 0x120 + + + + 0x264c + 0xfe + + + + 0x274a + 0xaa + + + + 0x27f4 + 0x1b6c + + + 0x4360 + 0xa0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x486a + 0x7316 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x46d0 + + + + 0x8ad0 + 0x13c + + + + 0x8c0c + 0x8 + + + + 0x8c14 + 0x56 + + + + 0x8c6a + 0x7316 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x8c14 + 0x44 + 0x264c + 0xfe + lzss + + + .bss + 0x8c5e + 0x4 + 0x274a + 0xaa + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __TI_CINIT_Base + 0x8c62 + + + __TI_CINIT_Limit + 0x8c6a + + + __TI_Handler_Table_Base + 0x8c58 + + + __TI_Handler_Table_Limit + 0x8c5e + + + __STACK_SIZE + 0xa0 + + + __STACK_END + 0x4400 + + + __SYSMEM_SIZE + 0x12c + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + main + 0x8230 + + + + printf + 0x8612 + + + + __TI_printfi + 0x55ce + + + + putc + 0x7924 + + + + fputc + 0x7924 + + + + fputs + 0x717e + + + + __TI_wrt_ok + 0x7bca + + + + setvbuf + 0x74f6 + + + + wcslen + 0x8a16 + + + + frexpl + 0x768a + + + + frexp + 0x768a + + + + scalbn + 0x6bac + + + + scalbnl + 0x6bac + + + + ldexpl + 0x6bac + + + + ldexp + 0x6bac + + + + __mspabi_srai + 0x876c + + + + __mspabi_srai_8 + 0x8786 + + + + __mspabi_srai_9 + 0x8784 + + + + __mspabi_srai_6 + 0x878a + + + + __mspabi_srai_7 + 0x8788 + + + + __mspabi_srai_4 + 0x878e + + + + __mspabi_srai_5 + 0x878c + + + + __mspabi_srai_2 + 0x8792 + + + + __mspabi_srai_3 + 0x8790 + + + + __mspabi_srai_1 + 0x8794 + + + + __mspabi_srai_15 + 0x8778 + + + + __mspabi_srai_14 + 0x877a + + + + __mspabi_srai_13 + 0x877c + + + + __mspabi_srai_12 + 0x877e + + + + __mspabi_srai_11 + 0x8780 + + + + __mspabi_srai_10 + 0x8782 + + + + __mspabi_remu + 0x89b0 + + + + __mspabi_divu + 0x89b0 + + + + __mspabi_remul + 0x81d8 + + + + __mspabi_divul + 0x81d8 + + + + __mspabi_func_epilog_2 + 0x8a6a + + + + __mspabi_func_epilog_3 + 0x8a68 + + + + __mspabi_func_epilog_1 + 0x8a6c + + + + __mspabi_func_epilog_6 + 0x8a62 + + + + __mspabi_func_epilog_7 + 0x8a60 + + + + __mspabi_func_epilog_4 + 0x8a66 + + + + __mspabi_func_epilog_5 + 0x8a64 + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x8c0c + + + + __mspabi_slli + 0x8798 + + + + __mspabi_slli_9 + 0x87b0 + + + + __mspabi_slli_8 + 0x87b2 + + + + __mspabi_slli_7 + 0x87b4 + + + + __mspabi_slli_6 + 0x87b6 + + + + __mspabi_slli_5 + 0x87b8 + + + + __mspabi_slli_4 + 0x87ba + + + + __mspabi_slli_3 + 0x87bc + + + + __mspabi_slli_2 + 0x87be + + + + __mspabi_slli_1 + 0x87c0 + + + + __mspabi_slli_15 + 0x87a4 + + + + __mspabi_slli_14 + 0x87a6 + + + + __mspabi_slli_13 + 0x87a8 + + + + __mspabi_slli_12 + 0x87aa + + + + __mspabi_slli_11 + 0x87ac + + + + __mspabi_slli_10 + 0x87ae + + + + __mspabi_srli_8 + 0x834e + + + + __mspabi_srli_9 + 0x834a + + + + __mspabi_srli_6 + 0x8356 + + + + __mspabi_srli_7 + 0x8352 + + + + __mspabi_srli_4 + 0x835e + + + + __mspabi_srli_5 + 0x835a + + + + __mspabi_srli_2 + 0x8366 + + + + __mspabi_srli_3 + 0x8362 + + + + __mspabi_srli_1 + 0x836a + + + + __mspabi_srli + 0x8324 + + + + __mspabi_srli_15 + 0x8332 + + + + __mspabi_srli_14 + 0x8336 + + + + __mspabi_srli_13 + 0x833a + + + + __mspabi_srli_12 + 0x833e + + + + __mspabi_srli_11 + 0x8342 + + + + __mspabi_srli_10 + 0x8346 + + + + __mspabi_mpyi_f5hw + 0x8a02 + + + + __mspabi_mpyl_f5hw + 0x8926 + + + + __mspabi_mpyull_f5hw + 0x881a + + + + __mspabi_mpyll_f5hw + 0x806e + + + + _stack + 0x4360 + + + + _c_int00_noargs + 0x8946 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x8128 + + + + __TI_zero_init_nomemset + 0x89c6 + + + + __TI_dtors_ptr + 0x273c + + + + __TI_cleanup_ptr + 0x273a + + + + __mspabi_srlll + 0x8404 + + + + __mspabi_divull + 0x4fae + + + + _system_pre_init + 0x8ac8 + + + + _system_post_cinit + 0x8ace + + + + __TI_decompress_none + 0x8a2a + + + + __TI_decompress_lzss + 0x7b4e + + + + __mspabi_addd + 0x4400 + + + + __mspabi_cmpd + 0x7348 + + + + __mspabi_divd + 0x5f5a + + + + __mspabi_fixdi + 0x8842 + + + + __mspabi_fixdli + 0x7d30 + + + + __mspabi_fltid + 0x8a8e + + + + __mspabi_fltlid + 0x773a + + + + __TI_frcdivd + 0x4b36 + + + + __mspabi_mpyd + 0x530e + + + + __mspabi_negd + 0x87f0 + + + + __mspabi_subd + 0x86e4 + + + + C$$EXIT + 0x8abe + + + + abort + 0x8abe + + + + __TI_enable_exit_profile_output + 0x2746 + + + + exit + 0x84d2 + + + + _nop + 0x8acc + + + + _lock + 0x273e + + + + _unlock + 0x2740 + + + + __TI_ltoa + 0x7aca + + + + atoi + 0x8284 + + + + _ctypes_ + 0x8ad0 + + + + __TI_tmpnams + 0x274a + + + _ftable + 0x264c + + + + __TI_ft_end + 0x2742 + + + + memccpy + 0x8904 + + + + memchr + 0x89da + + + + memcpy + 0x8a4e + + + + _sys_memory + 0x2400 + + + + free + 0x7424 + + + + memalign + 0x7088 + + + + malloc + 0x8ab0 + + + + aligned_alloc + 0x7088 + + + + memset + 0x89ee + + + + strchr + 0x897e + + + + strlen + 0x8a80 + + + + toupper + 0x8a9a + + + + write + 0x88e0 + + + + _stream + 0x2712 + + + + _device + 0x26c4 + + + + abs + 0x8aa6 + + + + errno + 0x2744 + + + + __TI_doflush + 0x7fac + + + + __TI_cleanup + 0x864e + + + + fseek + 0x7da2 + + + + copysign + 0x8712 + + + + copysignl + 0x8712 + + + + __mspabi_sral_15 + 0x8596 + + + + __mspabi_sral_14 + 0x859a + + + + __mspabi_sral_13 + 0x859e + + + + __mspabi_sral_12 + 0x85a2 + + + + __mspabi_sral_11 + 0x85a6 + + + + __mspabi_sral_10 + 0x85aa + + + + __mspabi_sral_8 + 0x85b2 + + + + __mspabi_sral_9 + 0x85ae + + + + __mspabi_sral_6 + 0x85ba + + + + __mspabi_sral_7 + 0x85b6 + + + + __mspabi_sral_4 + 0x85c2 + + + + __mspabi_sral_5 + 0x85be + + + + __mspabi_sral_2 + 0x85ca + + + + __mspabi_sral_3 + 0x85c6 + + + + __mspabi_sral_1 + 0x85ce + + + + __mspabi_remli + 0x8556 + + + + __mspabi_divli + 0x8556 + + + + __mspabi_slll_9 + 0x85ec + + + + __mspabi_slll_8 + 0x85f0 + + + + __mspabi_slll_7 + 0x85f4 + + + + __mspabi_slll_6 + 0x85f8 + + + + __mspabi_slll_5 + 0x85fc + + + + __mspabi_slll_4 + 0x8600 + + + + __mspabi_slll_3 + 0x8604 + + + + __mspabi_slll_2 + 0x8608 + + + + __mspabi_slll_1 + 0x860c + + + + __mspabi_slll_15 + 0x85d4 + + + + __mspabi_slll_14 + 0x85d8 + + + + __mspabi_slll_13 + 0x85dc + + + + __mspabi_slll_12 + 0x85e0 + + + + __mspabi_slll_11 + 0x85e4 + + + + __mspabi_slll_10 + 0x85e8 + + + + __mspabi_srll_8 + 0x80f6 + + + + __mspabi_srll_9 + 0x80f0 + + + + __mspabi_srll_6 + 0x8102 + + + + __mspabi_srll_7 + 0x80fc + + + + __mspabi_srll_4 + 0x810e + + + + __mspabi_srll_5 + 0x8108 + + + + __mspabi_srll_2 + 0x811a + + + + __mspabi_srll_3 + 0x8114 + + + + __mspabi_srll_1 + 0x8120 + + + + __mspabi_srll_15 + 0x80cc + + + + __mspabi_srll_14 + 0x80d2 + + + + __mspabi_srll_13 + 0x80d8 + + + + __mspabi_srll_12 + 0x80de + + + + __mspabi_srll_11 + 0x80e4 + + + + __mspabi_srll_10 + 0x80ea + + + + __mspabi_srll + 0x8a3c + + + + __mspabi_srall + 0x8370 + + + + __mspabi_sllll + 0x848e + + + + __TI_frcmpyd + 0x6a3a + + + + HOSTclose + 0x844a + + + + HOSTlseek + 0x7a42 + + + + parmbuf + 0x27ea + + + HOSTopen + 0x7ee4 + + + + HOSTread + 0x800e + + + + HOSTrename + 0x7e12 + + + + HOSTunlink + 0x8516 + + + + HOSTwrite + 0x7f4a + + + + __TI_readmsg + 0x8740 + + + + C$$IO$$ + 0x86e0 + + + + _CIOBUF_ + 0x252c + + + + __TI_writemsg + 0x86b6 + + + + lseek + 0x88ba + + + + __TI_closefile + 0x7cbe + + + + finddevice + 0x8682 + + + + getdevice + 0x8180 + + + + strcmp + 0x8998 + + + + strcpy + 0x8a70 + + + + strncpy + 0x87c4 + + + + close + 0x83ba + + + + unlink + 0x8892 + + + + remove + 0x8892 + + + Link successful +
diff --git a/CPE325/L1_P1_For/Debug/ccsObjs.opt b/CPE325/L1_P1_For/Debug/ccsObjs.opt new file mode 100644 index 0000000..7cccc09 --- /dev/null +++ b/CPE325/L1_P1_For/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./for.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/L1_P1_For/Debug/for.d b/CPE325/L1_P1_For/Debug/for.d new file mode 100644 index 0000000..b1b7ec2 --- /dev/null +++ b/CPE325/L1_P1_For/Debug/for.d @@ -0,0 +1,42 @@ +# FIXED + +for.obj: ../for.c +for.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +for.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +for.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +for.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h + +../for.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + diff --git a/CPE325/L1_P1_For/Debug/for.lst b/CPE325/L1_P1_For/Debug/for.lst new file mode 100644 index 0000000..a46498d --- /dev/null +++ b/CPE325/L1_P1_For/Debug/for.lst @@ -0,0 +1,1395 @@ +MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Wed Aug 25 17:47:57 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../for.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\L1_P1_For\Debug") + 12 + 13 $C$DW$1 .dwtag DW_TAG_subprogram + 14 .dwattr $C$DW$1, DW_AT_name("printf") + 15 .dwattr $C$DW$1, DW_AT_TI_symbol_name("printf") + 16 .dwattr $C$DW$1, DW_AT_type(*$C$DW$T$10) + 17 .dwattr $C$DW$1, DW_AT_declaration + 18 .dwattr $C$DW$1, DW_AT_external + 19 .dwattr $C$DW$1, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/in + 20 .dwattr $C$DW$1, DW_AT_decl_line(0xf6) + 21 .dwattr $C$DW$1, DW_AT_decl_column(0x19) + 22 $C$DW$2 .dwtag DW_TAG_formal_parameter + 23 .dwattr $C$DW$2, DW_AT_type(*$C$DW$T$39) + 24 + 25 $C$DW$3 .dwtag DW_TAG_unspecified_parameters + 26 + 27 .dwendtag $C$DW$1 + 28 + 29 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 30 000000 .sect ".text:main" + 31 .clink + 32 .global main + 33 + 34 $C$DW$4 .dwtag DW_TAG_subprogram + 35 .dwattr $C$DW$4, DW_AT_name("main") + 36 .dwattr $C$DW$4, DW_AT_low_pc(main) + 37 .dwattr $C$DW$4, DW_AT_high_pc(0x00) + 38 .dwattr $C$DW$4, DW_AT_TI_symbol_name("main") + 39 .dwattr $C$DW$4, DW_AT_external + 40 .dwattr $C$DW$4, DW_AT_type(*$C$DW$T$10) + 41 .dwattr $C$DW$4, DW_AT_TI_begin_file("../for.c") + 42 .dwattr $C$DW$4, DW_AT_TI_begin_line(0x0e) + 43 .dwattr $C$DW$4, DW_AT_TI_begin_column(0x05) + 44 .dwattr $C$DW$4, DW_AT_decl_file("../for.c") + 45 .dwattr $C$DW$4, DW_AT_decl_line(0x0e) + 46 .dwattr $C$DW$4, DW_AT_decl_column(0x05) + 47 .dwattr $C$DW$4, DW_AT_TI_max_frame_size(0x12) + 48 .dwpsn file "../for.c",line 14,column 11,is_stmt,address main,isa 0 + 49 + 50 .dwfde $C$DW$CIE, main + 51 + 52 ;***************************************************************************** + 53 ;* FUNCTION NAME: main * + 54 ;* * + 55 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 2 + + 56 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 57 ;* Local Frame Size : 8 Args + 8 Auto + 0 Save = 16 byte * + 58 ;***************************************************************************** + 59 000000 main: + 60 ;* --------------------------------------------------------------------------* + 61 .dwcfi cfa_offset, 2 + 62 .dwcfi save_reg_to_mem, 16, -2 + 63 000000 8031 SUB.W #16,SP ; [] + 000002 0010 + 64 .dwcfi cfa_offset, 18 + 65 $C$DW$5 .dwtag DW_TAG_variable + 66 .dwattr $C$DW$5, DW_AT_name("i") + 67 .dwattr $C$DW$5, DW_AT_TI_symbol_name("i") + 68 .dwattr $C$DW$5, DW_AT_type(*$C$DW$T$10) + 69 .dwattr $C$DW$5, DW_AT_location[DW_OP_breg1 8] + 70 + 71 $C$DW$6 .dwtag DW_TAG_variable + 72 .dwattr $C$DW$6, DW_AT_name("p") + 73 .dwattr $C$DW$6, DW_AT_TI_symbol_name("p") + 74 .dwattr $C$DW$6, DW_AT_type(*$C$DW$T$10) + 75 .dwattr $C$DW$6, DW_AT_location[DW_OP_breg1 10] + 76 + 77 $C$DW$7 .dwtag DW_TAG_variable + 78 .dwattr $C$DW$7, DW_AT_name("second") + 79 .dwattr $C$DW$7, DW_AT_TI_symbol_name("second") + 80 .dwattr $C$DW$7, DW_AT_type(*$C$DW$T$10) + 81 .dwattr $C$DW$7, DW_AT_location[DW_OP_breg1 12] + 82 + 83 $C$DW$8 .dwtag DW_TAG_variable + 84 .dwattr $C$DW$8, DW_AT_name("first") + 85 .dwattr $C$DW$8, DW_AT_TI_symbol_name("first") + 86 .dwattr $C$DW$8, DW_AT_type(*$C$DW$T$10) + 87 .dwattr $C$DW$8, DW_AT_location[DW_OP_breg1 14] + 88 + 89 .dwpsn file "../for.c",line 15,column 13,is_stmt,isa 0 + 90 000004 4381 MOV.W #0,10(SP) ; [] |15| + 000006 000A + 91 .dwpsn file "../for.c",line 16,column 16,is_stmt,isa 0 + 92 000008 40B1 MOV.W #6,12(SP) ; [] |16| + 00000a 0006 + 00000c 000C + 93 .dwpsn file "../for.c",line 17,column 15,is_stmt,isa 0 + 94 00000e 42A1 MOV.W #4,14(SP) ; [] |17| + 000010 000E + 95 .dwpsn file "../for.c",line 18,column 9,is_stmt,isa 0 + 96 000012 4381 MOV.W #0,8(SP) ; [] |18| + 000014 0008 + 97 .dwpsn file "../for.c",line 18,column 13,is_stmt,isa 0 + 98 000016 9191 CMP.W 12(SP),8(SP) ; [] |18| + 000018 000C + 00001a 0008 + 99 00001c 3409 JGE $C$L2 ; [] |18| + 100 ; [] |18| + 101 ;* --------------------------------------------------------------------------* + 102 ;* BEGIN LOOP $C$L1 + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 3 + + 103 ;* + 104 ;* Loop source line : 18 + 105 ;* Loop closing brace source line : 20 + 106 ;* Known Minimum Trip Count : 1 + 107 ;* Known Maximum Trip Count : 4294967295 + 108 ;* Known Max Trip Count Factor : 1 + 109 ;* --------------------------------------------------------------------------* + 110 00001e $C$L1: + 111 .dwpsn file "../for.c",line 19,column 12,is_stmt,isa 0 + 112 00001e 5191 ADD.W 14(SP),10(SP) ; [] |19| + 000020 000E + 000022 000A + 113 .dwpsn file "../for.c",line 18,column 23,is_stmt,isa 0 + 114 000024 5391 ADD.W #1,8(SP) ; [] |18| + 000026 0008 + 115 .dwpsn file "../for.c",line 18,column 13,is_stmt,isa 0 + 116 000028 9191 CMP.W 12(SP),8(SP) ; [] |18| + 00002a 000C + 00002c 0008 + 117 00002e 3BF7 JL $C$L1 ; [] |18| + 118 ; [] |18| + 119 ;* --------------------------------------------------------------------------* + 120 000030 $C$L2: + 121 .dwpsn file "../for.c",line 21,column 5,is_stmt,isa 0 + 122 000030 40B1 MOV.W #$C$SL1+0,0(SP) ; [] |21| + 000032 0000! + 000034 0000 + 123 000036 4191 MOV.W 14(SP),2(SP) ; [] |21| + 000038 000E + 00003a 0002 + 124 00003c 4191 MOV.W 12(SP),4(SP) ; [] |21| + 00003e 000C + 000040 0004 + 125 000042 4191 MOV.W 10(SP),6(SP) ; [] |21| + 000044 000A + 000046 0006 + 126 $C$DW$9 .dwtag DW_TAG_TI_branch + 127 .dwattr $C$DW$9, DW_AT_low_pc(0x00) + 128 .dwattr $C$DW$9, DW_AT_name("printf") + 129 .dwattr $C$DW$9, DW_AT_TI_call + 130 + 131 000048 12B0 CALL #printf ; [] |21| + 00004a 0000! + 132 ; [] |21| + 133 .dwpsn file "../for.c",line 22,column 1,is_stmt,isa 0 + 134 00004c 430C MOV.W #0,r12 ; [] |22| + 135 00004e 5031 ADD.W #16,SP ; [] + 000050 0010 + 136 .dwcfi cfa_offset, 2 + 137 $C$DW$10 .dwtag DW_TAG_TI_branch + 138 .dwattr $C$DW$10, DW_AT_low_pc(0x00) + 139 .dwattr $C$DW$10, DW_AT_TI_return + 140 + 141 000052 4130 RET ; [] + 142 ; [] + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 4 + + 143 .dwattr $C$DW$4, DW_AT_TI_end_file("../for.c") + 144 .dwattr $C$DW$4, DW_AT_TI_end_line(0x16) + 145 .dwattr $C$DW$4, DW_AT_TI_end_column(0x01) + 146 .dwendentry + 147 .dwendtag $C$DW$4 + 148 + 149 ;****************************************************************************** + 150 ;* STRINGS * + 151 ;****************************************************************************** + 152 000000 .sect ".const:.string" + 153 .align 2 + 154 000000 0025 $C$SL1: .string "%d times %d is %d",10,0 + 000001 0064 + 000002 0020 + 000003 0074 + 000004 0069 + 000005 006D + 000006 0065 + 000007 0073 + 000008 0020 + 000009 0025 + 00000a 0064 + 00000b 0020 + 00000c 0069 + 00000d 0073 + 00000e 0020 + 00000f 0025 + 000010 0064 + 000011 000A + 000012 0000 + 155 ;***************************************************************************** + 156 ;* UNDEFINED EXTERNAL REFERENCES * + 157 ;***************************************************************************** + 158 .global printf + 159 + 160 ;****************************************************************************** + 161 ;* BUILD ATTRIBUTES * + 162 ;****************************************************************************** + 163 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 164 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0000000000001111000000000 + 165 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 166 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(2) + 167 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 168 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 169 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 170 + 171 ;****************************************************************************** + 172 ;* TYPE INFORMATION * + 173 ;****************************************************************************** + 174 + 175 $C$DW$T$21 .dwtag DW_TAG_structure_type + 176 .dwattr $C$DW$T$21, DW_AT_byte_size(0x10) + 177 $C$DW$11 .dwtag DW_TAG_member + 178 .dwattr $C$DW$11, DW_AT_type(*$C$DW$T$14) + 179 .dwattr $C$DW$11, DW_AT_name("__max_align1") + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 5 + + 180 .dwattr $C$DW$11, DW_AT_TI_symbol_name("__max_align1") + 181 .dwattr $C$DW$11, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 182 .dwattr $C$DW$11, DW_AT_accessibility(DW_ACCESS_public) + 183 .dwattr $C$DW$11, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 184 .dwattr $C$DW$11, DW_AT_decl_line(0x7b) + 185 .dwattr $C$DW$11, DW_AT_decl_column(0x0c) + 186 + 187 $C$DW$12 .dwtag DW_TAG_member + 188 .dwattr $C$DW$12, DW_AT_type(*$C$DW$T$18) + 189 .dwattr $C$DW$12, DW_AT_name("__max_align2") + 190 .dwattr $C$DW$12, DW_AT_TI_symbol_name("__max_align2") + 191 .dwattr $C$DW$12, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 192 .dwattr $C$DW$12, DW_AT_accessibility(DW_ACCESS_public) + 193 .dwattr $C$DW$12, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 194 .dwattr $C$DW$12, DW_AT_decl_line(0x7c) + 195 .dwattr $C$DW$12, DW_AT_decl_column(0x0e) + 196 + 197 .dwattr $C$DW$T$21, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 198 .dwattr $C$DW$T$21, DW_AT_decl_line(0x7a) + 199 .dwattr $C$DW$T$21, DW_AT_decl_column(0x10) + 200 .dwendtag $C$DW$T$21 + 201 + 202 $C$DW$T$24 .dwtag DW_TAG_typedef + 203 .dwattr $C$DW$T$24, DW_AT_name("__max_align_t") + 204 .dwattr $C$DW$T$24, DW_AT_type(*$C$DW$T$21) + 205 .dwattr $C$DW$T$24, DW_AT_language(DW_LANG_C) + 206 .dwattr $C$DW$T$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 207 .dwattr $C$DW$T$24, DW_AT_decl_line(0x7d) + 208 .dwattr $C$DW$T$24, DW_AT_decl_column(0x03) + 209 + 210 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + 211 .dwattr $C$DW$T$2, DW_AT_name("void") + 212 + 213 + 214 $C$DW$T$25 .dwtag DW_TAG_subroutine_type + 215 .dwattr $C$DW$T$25, DW_AT_language(DW_LANG_C) + 216 .dwendtag $C$DW$T$25 + 217 + 218 $C$DW$T$26 .dwtag DW_TAG_pointer_type + 219 .dwattr $C$DW$T$26, DW_AT_type(*$C$DW$T$25) + 220 .dwattr $C$DW$T$26, DW_AT_address_class(0x10) + 221 + 222 $C$DW$T$27 .dwtag DW_TAG_typedef + 223 .dwattr $C$DW$T$27, DW_AT_name("__SFR_FARPTR") + 224 .dwattr $C$DW$T$27, DW_AT_type(*$C$DW$T$26) + 225 .dwattr $C$DW$T$27, DW_AT_language(DW_LANG_C) + 226 .dwattr $C$DW$T$27, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430f5529.h") + 227 .dwattr $C$DW$T$27, DW_AT_decl_line(0x4a) + 228 .dwattr $C$DW$T$27, DW_AT_decl_column(0x11) + 229 + 230 $C$DW$T$4 .dwtag DW_TAG_base_type + 231 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 232 .dwattr $C$DW$T$4, DW_AT_name("bool") + 233 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + 234 + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 6 + + 235 $C$DW$T$5 .dwtag DW_TAG_base_type + 236 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 237 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 238 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 239 + 240 $C$DW$T$28 .dwtag DW_TAG_typedef + 241 .dwattr $C$DW$T$28, DW_AT_name("__int8_t") + 242 .dwattr $C$DW$T$28, DW_AT_type(*$C$DW$T$5) + 243 .dwattr $C$DW$T$28, DW_AT_language(DW_LANG_C) + 244 .dwattr $C$DW$T$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 245 .dwattr $C$DW$T$28, DW_AT_decl_line(0x36) + 246 .dwattr $C$DW$T$28, DW_AT_decl_column(0x16) + 247 + 248 $C$DW$T$29 .dwtag DW_TAG_typedef + 249 .dwattr $C$DW$T$29, DW_AT_name("__int_least8_t") + 250 .dwattr $C$DW$T$29, DW_AT_type(*$C$DW$T$28) + 251 .dwattr $C$DW$T$29, DW_AT_language(DW_LANG_C) + 252 .dwattr $C$DW$T$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 253 .dwattr $C$DW$T$29, DW_AT_decl_line(0x5a) + 254 .dwattr $C$DW$T$29, DW_AT_decl_column(0x12) + 255 + 256 $C$DW$T$6 .dwtag DW_TAG_base_type + 257 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 258 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 259 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 260 + 261 $C$DW$T$22 .dwtag DW_TAG_pointer_type + 262 .dwattr $C$DW$T$22, DW_AT_type(*$C$DW$T$6) + 263 .dwattr $C$DW$T$22, DW_AT_address_class(0x10) + 264 + 265 $C$DW$T$30 .dwtag DW_TAG_typedef + 266 .dwattr $C$DW$T$30, DW_AT_name("__uint8_t") + 267 .dwattr $C$DW$T$30, DW_AT_type(*$C$DW$T$6) + 268 .dwattr $C$DW$T$30, DW_AT_language(DW_LANG_C) + 269 .dwattr $C$DW$T$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 270 .dwattr $C$DW$T$30, DW_AT_decl_line(0x37) + 271 .dwattr $C$DW$T$30, DW_AT_decl_column(0x18) + 272 + 273 $C$DW$T$31 .dwtag DW_TAG_typedef + 274 .dwattr $C$DW$T$31, DW_AT_name("__sa_family_t") + 275 .dwattr $C$DW$T$31, DW_AT_type(*$C$DW$T$30) + 276 .dwattr $C$DW$T$31, DW_AT_language(DW_LANG_C) + 277 .dwattr $C$DW$T$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 278 .dwattr $C$DW$T$31, DW_AT_decl_line(0x47) + 279 .dwattr $C$DW$T$31, DW_AT_decl_column(0x13) + 280 + 281 $C$DW$T$32 .dwtag DW_TAG_typedef + 282 .dwattr $C$DW$T$32, DW_AT_name("__uint_least8_t") + 283 .dwattr $C$DW$T$32, DW_AT_type(*$C$DW$T$30) + 284 .dwattr $C$DW$T$32, DW_AT_language(DW_LANG_C) + 285 .dwattr $C$DW$T$32, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 286 .dwattr $C$DW$T$32, DW_AT_decl_line(0x74) + 287 .dwattr $C$DW$T$32, DW_AT_decl_column(0x13) + 288 + 289 $C$DW$T$7 .dwtag DW_TAG_base_type + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 7 + + 290 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 291 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 292 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 293 + 294 $C$DW$T$8 .dwtag DW_TAG_base_type + 295 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 296 .dwattr $C$DW$T$8, DW_AT_name("short") + 297 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 298 + 299 $C$DW$T$9 .dwtag DW_TAG_base_type + 300 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 301 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 302 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 303 + 304 $C$DW$T$10 .dwtag DW_TAG_base_type + 305 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 306 .dwattr $C$DW$T$10, DW_AT_name("int") + 307 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 308 + 309 $C$DW$T$33 .dwtag DW_TAG_typedef + 310 .dwattr $C$DW$T$33, DW_AT_name("_Mbstatet") + 311 .dwattr $C$DW$T$33, DW_AT_type(*$C$DW$T$10) + 312 .dwattr $C$DW$T$33, DW_AT_language(DW_LANG_C) + 313 .dwattr $C$DW$T$33, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 314 .dwattr $C$DW$T$33, DW_AT_decl_line(0x8f) + 315 .dwattr $C$DW$T$33, DW_AT_decl_column(0x0d) + 316 + 317 $C$DW$T$34 .dwtag DW_TAG_typedef + 318 .dwattr $C$DW$T$34, DW_AT_name("__mbstate_t") + 319 .dwattr $C$DW$T$34, DW_AT_type(*$C$DW$T$33) + 320 .dwattr $C$DW$T$34, DW_AT_language(DW_LANG_C) + 321 .dwattr $C$DW$T$34, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 322 .dwattr $C$DW$T$34, DW_AT_decl_line(0x92) + 323 .dwattr $C$DW$T$34, DW_AT_decl_column(0x13) + 324 + 325 $C$DW$T$35 .dwtag DW_TAG_typedef + 326 .dwattr $C$DW$T$35, DW_AT_name("__accmode_t") + 327 .dwattr $C$DW$T$35, DW_AT_type(*$C$DW$T$10) + 328 .dwattr $C$DW$T$35, DW_AT_language(DW_LANG_C) + 329 .dwattr $C$DW$T$35, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 330 .dwattr $C$DW$T$35, DW_AT_decl_line(0x3b) + 331 .dwattr $C$DW$T$35, DW_AT_decl_column(0x0e) + 332 + 333 $C$DW$T$43 .dwtag DW_TAG_typedef + 334 .dwattr $C$DW$T$43, DW_AT_name("__cpulevel_t") + 335 .dwattr $C$DW$T$43, DW_AT_type(*$C$DW$T$10) + 336 .dwattr $C$DW$T$43, DW_AT_language(DW_LANG_C) + 337 .dwattr $C$DW$T$43, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 338 .dwattr $C$DW$T$43, DW_AT_decl_line(0x50) + 339 .dwattr $C$DW$T$43, DW_AT_decl_column(0x0e) + 340 + 341 $C$DW$T$44 .dwtag DW_TAG_typedef + 342 .dwattr $C$DW$T$44, DW_AT_name("__cpusetid_t") + 343 .dwattr $C$DW$T$44, DW_AT_type(*$C$DW$T$10) + 344 .dwattr $C$DW$T$44, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 8 + + 345 .dwattr $C$DW$T$44, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 346 .dwattr $C$DW$T$44, DW_AT_decl_line(0x51) + 347 .dwattr $C$DW$T$44, DW_AT_decl_column(0x0e) + 348 + 349 $C$DW$T$45 .dwtag DW_TAG_typedef + 350 .dwattr $C$DW$T$45, DW_AT_name("__cpuwhich_t") + 351 .dwattr $C$DW$T$45, DW_AT_type(*$C$DW$T$10) + 352 .dwattr $C$DW$T$45, DW_AT_language(DW_LANG_C) + 353 .dwattr $C$DW$T$45, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 354 .dwattr $C$DW$T$45, DW_AT_decl_line(0x4f) + 355 .dwattr $C$DW$T$45, DW_AT_decl_column(0x0e) + 356 + 357 $C$DW$T$46 .dwtag DW_TAG_typedef + 358 .dwattr $C$DW$T$46, DW_AT_name("__ct_rune_t") + 359 .dwattr $C$DW$T$46, DW_AT_type(*$C$DW$T$10) + 360 .dwattr $C$DW$T$46, DW_AT_language(DW_LANG_C) + 361 .dwattr $C$DW$T$46, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 362 .dwattr $C$DW$T$46, DW_AT_decl_line(0x69) + 363 .dwattr $C$DW$T$46, DW_AT_decl_column(0x0e) + 364 + 365 $C$DW$T$47 .dwtag DW_TAG_typedef + 366 .dwattr $C$DW$T$47, DW_AT_name("__rune_t") + 367 .dwattr $C$DW$T$47, DW_AT_type(*$C$DW$T$46) + 368 .dwattr $C$DW$T$47, DW_AT_language(DW_LANG_C) + 369 .dwattr $C$DW$T$47, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 370 .dwattr $C$DW$T$47, DW_AT_decl_line(0x6c) + 371 .dwattr $C$DW$T$47, DW_AT_decl_column(0x15) + 372 + 373 $C$DW$T$48 .dwtag DW_TAG_typedef + 374 .dwattr $C$DW$T$48, DW_AT_name("__wint_t") + 375 .dwattr $C$DW$T$48, DW_AT_type(*$C$DW$T$46) + 376 .dwattr $C$DW$T$48, DW_AT_language(DW_LANG_C) + 377 .dwattr $C$DW$T$48, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 378 .dwattr $C$DW$T$48, DW_AT_decl_line(0x6d) + 379 .dwattr $C$DW$T$48, DW_AT_decl_column(0x15) + 380 + 381 $C$DW$T$49 .dwtag DW_TAG_typedef + 382 .dwattr $C$DW$T$49, DW_AT_name("__int16_t") + 383 .dwattr $C$DW$T$49, DW_AT_type(*$C$DW$T$10) + 384 .dwattr $C$DW$T$49, DW_AT_language(DW_LANG_C) + 385 .dwattr $C$DW$T$49, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 386 .dwattr $C$DW$T$49, DW_AT_decl_line(0x38) + 387 .dwattr $C$DW$T$49, DW_AT_decl_column(0x0f) + 388 + 389 $C$DW$T$50 .dwtag DW_TAG_typedef + 390 .dwattr $C$DW$T$50, DW_AT_name("__int_fast16_t") + 391 .dwattr $C$DW$T$50, DW_AT_type(*$C$DW$T$49) + 392 .dwattr $C$DW$T$50, DW_AT_language(DW_LANG_C) + 393 .dwattr $C$DW$T$50, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 394 .dwattr $C$DW$T$50, DW_AT_decl_line(0x57) + 395 .dwattr $C$DW$T$50, DW_AT_decl_column(0x13) + 396 + 397 $C$DW$T$51 .dwtag DW_TAG_typedef + 398 .dwattr $C$DW$T$51, DW_AT_name("__int_fast8_t") + 399 .dwattr $C$DW$T$51, DW_AT_type(*$C$DW$T$49) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 9 + + 400 .dwattr $C$DW$T$51, DW_AT_language(DW_LANG_C) + 401 .dwattr $C$DW$T$51, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 402 .dwattr $C$DW$T$51, DW_AT_decl_line(0x56) + 403 .dwattr $C$DW$T$51, DW_AT_decl_column(0x13) + 404 + 405 $C$DW$T$52 .dwtag DW_TAG_typedef + 406 .dwattr $C$DW$T$52, DW_AT_name("__int_least16_t") + 407 .dwattr $C$DW$T$52, DW_AT_type(*$C$DW$T$49) + 408 .dwattr $C$DW$T$52, DW_AT_language(DW_LANG_C) + 409 .dwattr $C$DW$T$52, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 410 .dwattr $C$DW$T$52, DW_AT_decl_line(0x5b) + 411 .dwattr $C$DW$T$52, DW_AT_decl_column(0x13) + 412 + 413 $C$DW$T$53 .dwtag DW_TAG_typedef + 414 .dwattr $C$DW$T$53, DW_AT_name("__intptr_t") + 415 .dwattr $C$DW$T$53, DW_AT_type(*$C$DW$T$49) + 416 .dwattr $C$DW$T$53, DW_AT_language(DW_LANG_C) + 417 .dwattr $C$DW$T$53, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 418 .dwattr $C$DW$T$53, DW_AT_decl_line(0x53) + 419 .dwattr $C$DW$T$53, DW_AT_decl_column(0x19) + 420 + 421 $C$DW$T$54 .dwtag DW_TAG_typedef + 422 .dwattr $C$DW$T$54, DW_AT_name("__register_t") + 423 .dwattr $C$DW$T$54, DW_AT_type(*$C$DW$T$49) + 424 .dwattr $C$DW$T$54, DW_AT_language(DW_LANG_C) + 425 .dwattr $C$DW$T$54, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 426 .dwattr $C$DW$T$54, DW_AT_decl_line(0x5f) + 427 .dwattr $C$DW$T$54, DW_AT_decl_column(0x13) + 428 + 429 $C$DW$T$55 .dwtag DW_TAG_typedef + 430 .dwattr $C$DW$T$55, DW_AT_name("__nl_item") + 431 .dwattr $C$DW$T$55, DW_AT_type(*$C$DW$T$10) + 432 .dwattr $C$DW$T$55, DW_AT_language(DW_LANG_C) + 433 .dwattr $C$DW$T$55, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 434 .dwattr $C$DW$T$55, DW_AT_decl_line(0x3c) + 435 .dwattr $C$DW$T$55, DW_AT_decl_column(0x0e) + 436 + 437 $C$DW$T$56 .dwtag DW_TAG_typedef + 438 .dwattr $C$DW$T$56, DW_AT_name("__ptrdiff_t") + 439 .dwattr $C$DW$T$56, DW_AT_type(*$C$DW$T$10) + 440 .dwattr $C$DW$T$56, DW_AT_language(DW_LANG_C) + 441 .dwattr $C$DW$T$56, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 442 .dwattr $C$DW$T$56, DW_AT_decl_line(0x5e) + 443 .dwattr $C$DW$T$56, DW_AT_decl_column(0x1c) + 444 + 445 $C$DW$T$11 .dwtag DW_TAG_base_type + 446 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 447 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 448 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 449 + 450 $C$DW$T$57 .dwtag DW_TAG_typedef + 451 .dwattr $C$DW$T$57, DW_AT_name("___wchar_t") + 452 .dwattr $C$DW$T$57, DW_AT_type(*$C$DW$T$11) + 453 .dwattr $C$DW$T$57, DW_AT_language(DW_LANG_C) + 454 .dwattr $C$DW$T$57, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 10 + + 455 .dwattr $C$DW$T$57, DW_AT_decl_line(0x7d) + 456 .dwattr $C$DW$T$57, DW_AT_decl_column(0x1a) + 457 + 458 $C$DW$T$58 .dwtag DW_TAG_typedef + 459 .dwattr $C$DW$T$58, DW_AT_name("__size_t") + 460 .dwattr $C$DW$T$58, DW_AT_type(*$C$DW$T$11) + 461 .dwattr $C$DW$T$58, DW_AT_language(DW_LANG_C) + 462 .dwattr $C$DW$T$58, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 463 .dwattr $C$DW$T$58, DW_AT_decl_line(0x61) + 464 .dwattr $C$DW$T$58, DW_AT_decl_column(0x19) + 465 + 466 $C$DW$T$59 .dwtag DW_TAG_typedef + 467 .dwattr $C$DW$T$59, DW_AT_name("__uint16_t") + 468 .dwattr $C$DW$T$59, DW_AT_type(*$C$DW$T$11) + 469 .dwattr $C$DW$T$59, DW_AT_language(DW_LANG_C) + 470 .dwattr $C$DW$T$59, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 471 .dwattr $C$DW$T$59, DW_AT_decl_line(0x39) + 472 .dwattr $C$DW$T$59, DW_AT_decl_column(0x17) + 473 + 474 $C$DW$T$60 .dwtag DW_TAG_typedef + 475 .dwattr $C$DW$T$60, DW_AT_name("__mode_t") + 476 .dwattr $C$DW$T$60, DW_AT_type(*$C$DW$T$59) + 477 .dwattr $C$DW$T$60, DW_AT_language(DW_LANG_C) + 478 .dwattr $C$DW$T$60, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 479 .dwattr $C$DW$T$60, DW_AT_decl_line(0x3a) + 480 .dwattr $C$DW$T$60, DW_AT_decl_column(0x14) + 481 + 482 $C$DW$T$61 .dwtag DW_TAG_typedef + 483 .dwattr $C$DW$T$61, DW_AT_name("__u_register_t") + 484 .dwattr $C$DW$T$61, DW_AT_type(*$C$DW$T$59) + 485 .dwattr $C$DW$T$61, DW_AT_language(DW_LANG_C) + 486 .dwattr $C$DW$T$61, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 487 .dwattr $C$DW$T$61, DW_AT_decl_line(0x78) + 488 .dwattr $C$DW$T$61, DW_AT_decl_column(0x14) + 489 + 490 $C$DW$T$62 .dwtag DW_TAG_typedef + 491 .dwattr $C$DW$T$62, DW_AT_name("__uint_fast16_t") + 492 .dwattr $C$DW$T$62, DW_AT_type(*$C$DW$T$59) + 493 .dwattr $C$DW$T$62, DW_AT_language(DW_LANG_C) + 494 .dwattr $C$DW$T$62, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 495 .dwattr $C$DW$T$62, DW_AT_decl_line(0x71) + 496 .dwattr $C$DW$T$62, DW_AT_decl_column(0x14) + 497 + 498 $C$DW$T$63 .dwtag DW_TAG_typedef + 499 .dwattr $C$DW$T$63, DW_AT_name("__uint_fast8_t") + 500 .dwattr $C$DW$T$63, DW_AT_type(*$C$DW$T$59) + 501 .dwattr $C$DW$T$63, DW_AT_language(DW_LANG_C) + 502 .dwattr $C$DW$T$63, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 503 .dwattr $C$DW$T$63, DW_AT_decl_line(0x70) + 504 .dwattr $C$DW$T$63, DW_AT_decl_column(0x14) + 505 + 506 $C$DW$T$64 .dwtag DW_TAG_typedef + 507 .dwattr $C$DW$T$64, DW_AT_name("__uint_least16_t") + 508 .dwattr $C$DW$T$64, DW_AT_type(*$C$DW$T$59) + 509 .dwattr $C$DW$T$64, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 11 + + 510 .dwattr $C$DW$T$64, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 511 .dwattr $C$DW$T$64, DW_AT_decl_line(0x75) + 512 .dwattr $C$DW$T$64, DW_AT_decl_column(0x14) + 513 + 514 $C$DW$T$65 .dwtag DW_TAG_typedef + 515 .dwattr $C$DW$T$65, DW_AT_name("__char16_t") + 516 .dwattr $C$DW$T$65, DW_AT_type(*$C$DW$T$64) + 517 .dwattr $C$DW$T$65, DW_AT_language(DW_LANG_C) + 518 .dwattr $C$DW$T$65, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 519 .dwattr $C$DW$T$65, DW_AT_decl_line(0x71) + 520 .dwattr $C$DW$T$65, DW_AT_decl_column(0x1a) + 521 + 522 $C$DW$T$66 .dwtag DW_TAG_typedef + 523 .dwattr $C$DW$T$66, DW_AT_name("__uintptr_t") + 524 .dwattr $C$DW$T$66, DW_AT_type(*$C$DW$T$59) + 525 .dwattr $C$DW$T$66, DW_AT_language(DW_LANG_C) + 526 .dwattr $C$DW$T$66, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 527 .dwattr $C$DW$T$66, DW_AT_decl_line(0x6d) + 528 .dwattr $C$DW$T$66, DW_AT_decl_column(0x14) + 529 + 530 $C$DW$T$67 .dwtag DW_TAG_typedef + 531 .dwattr $C$DW$T$67, DW_AT_name("__useconds_t") + 532 .dwattr $C$DW$T$67, DW_AT_type(*$C$DW$T$11) + 533 .dwattr $C$DW$T$67, DW_AT_language(DW_LANG_C) + 534 .dwattr $C$DW$T$67, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 535 .dwattr $C$DW$T$67, DW_AT_decl_line(0x4e) + 536 .dwattr $C$DW$T$67, DW_AT_decl_column(0x16) + 537 + 538 $C$DW$T$68 .dwtag DW_TAG_typedef + 539 .dwattr $C$DW$T$68, DW_AT_name("size_t") + 540 .dwattr $C$DW$T$68, DW_AT_type(*$C$DW$T$11) + 541 .dwattr $C$DW$T$68, DW_AT_language(DW_LANG_C) + 542 .dwattr $C$DW$T$68, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 543 .dwattr $C$DW$T$68, DW_AT_decl_line(0x4d) + 544 .dwattr $C$DW$T$68, DW_AT_decl_column(0x19) + 545 + 546 $C$DW$T$12 .dwtag DW_TAG_base_type + 547 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 548 .dwattr $C$DW$T$12, DW_AT_name("long") + 549 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 550 + 551 $C$DW$T$69 .dwtag DW_TAG_typedef + 552 .dwattr $C$DW$T$69, DW_AT_name("__int32_t") + 553 .dwattr $C$DW$T$69, DW_AT_type(*$C$DW$T$12) + 554 .dwattr $C$DW$T$69, DW_AT_language(DW_LANG_C) + 555 .dwattr $C$DW$T$69, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 556 .dwattr $C$DW$T$69, DW_AT_decl_line(0x3a) + 557 .dwattr $C$DW$T$69, DW_AT_decl_column(0x10) + 558 + 559 $C$DW$T$70 .dwtag DW_TAG_typedef + 560 .dwattr $C$DW$T$70, DW_AT_name("__blksize_t") + 561 .dwattr $C$DW$T$70, DW_AT_type(*$C$DW$T$69) + 562 .dwattr $C$DW$T$70, DW_AT_language(DW_LANG_C) + 563 .dwattr $C$DW$T$70, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 564 .dwattr $C$DW$T$70, DW_AT_decl_line(0x2f) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 12 + + 565 .dwattr $C$DW$T$70, DW_AT_decl_column(0x13) + 566 + 567 $C$DW$T$71 .dwtag DW_TAG_typedef + 568 .dwattr $C$DW$T$71, DW_AT_name("__clockid_t") + 569 .dwattr $C$DW$T$71, DW_AT_type(*$C$DW$T$69) + 570 .dwattr $C$DW$T$71, DW_AT_language(DW_LANG_C) + 571 .dwattr $C$DW$T$71, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 572 .dwattr $C$DW$T$71, DW_AT_decl_line(0x31) + 573 .dwattr $C$DW$T$71, DW_AT_decl_column(0x13) + 574 + 575 $C$DW$T$72 .dwtag DW_TAG_typedef + 576 .dwattr $C$DW$T$72, DW_AT_name("__critical_t") + 577 .dwattr $C$DW$T$72, DW_AT_type(*$C$DW$T$69) + 578 .dwattr $C$DW$T$72, DW_AT_language(DW_LANG_C) + 579 .dwattr $C$DW$T$72, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 580 .dwattr $C$DW$T$72, DW_AT_decl_line(0x4b) + 581 .dwattr $C$DW$T$72, DW_AT_decl_column(0x13) + 582 + 583 $C$DW$T$73 .dwtag DW_TAG_typedef + 584 .dwattr $C$DW$T$73, DW_AT_name("__int_fast32_t") + 585 .dwattr $C$DW$T$73, DW_AT_type(*$C$DW$T$69) + 586 .dwattr $C$DW$T$73, DW_AT_language(DW_LANG_C) + 587 .dwattr $C$DW$T$73, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 588 .dwattr $C$DW$T$73, DW_AT_decl_line(0x58) + 589 .dwattr $C$DW$T$73, DW_AT_decl_column(0x13) + 590 + 591 $C$DW$T$74 .dwtag DW_TAG_typedef + 592 .dwattr $C$DW$T$74, DW_AT_name("__int_least32_t") + 593 .dwattr $C$DW$T$74, DW_AT_type(*$C$DW$T$69) + 594 .dwattr $C$DW$T$74, DW_AT_language(DW_LANG_C) + 595 .dwattr $C$DW$T$74, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 596 .dwattr $C$DW$T$74, DW_AT_decl_line(0x5c) + 597 .dwattr $C$DW$T$74, DW_AT_decl_column(0x13) + 598 + 599 $C$DW$T$75 .dwtag DW_TAG_typedef + 600 .dwattr $C$DW$T$75, DW_AT_name("__intfptr_t") + 601 .dwattr $C$DW$T$75, DW_AT_type(*$C$DW$T$69) + 602 .dwattr $C$DW$T$75, DW_AT_language(DW_LANG_C) + 603 .dwattr $C$DW$T$75, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 604 .dwattr $C$DW$T$75, DW_AT_decl_line(0x4e) + 605 .dwattr $C$DW$T$75, DW_AT_decl_column(0x13) + 606 + 607 $C$DW$T$76 .dwtag DW_TAG_typedef + 608 .dwattr $C$DW$T$76, DW_AT_name("__lwpid_t") + 609 .dwattr $C$DW$T$76, DW_AT_type(*$C$DW$T$69) + 610 .dwattr $C$DW$T$76, DW_AT_language(DW_LANG_C) + 611 .dwattr $C$DW$T$76, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 612 .dwattr $C$DW$T$76, DW_AT_decl_line(0x39) + 613 .dwattr $C$DW$T$76, DW_AT_decl_column(0x13) + 614 + 615 $C$DW$T$77 .dwtag DW_TAG_typedef + 616 .dwattr $C$DW$T$77, DW_AT_name("__pid_t") + 617 .dwattr $C$DW$T$77, DW_AT_type(*$C$DW$T$69) + 618 .dwattr $C$DW$T$77, DW_AT_language(DW_LANG_C) + 619 .dwattr $C$DW$T$77, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 13 + + 620 .dwattr $C$DW$T$77, DW_AT_decl_line(0x40) + 621 .dwattr $C$DW$T$77, DW_AT_decl_column(0x13) + 622 + 623 $C$DW$T$78 .dwtag DW_TAG_typedef + 624 .dwattr $C$DW$T$78, DW_AT_name("__segsz_t") + 625 .dwattr $C$DW$T$78, DW_AT_type(*$C$DW$T$69) + 626 .dwattr $C$DW$T$78, DW_AT_language(DW_LANG_C) + 627 .dwattr $C$DW$T$78, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 628 .dwattr $C$DW$T$78, DW_AT_decl_line(0x60) + 629 .dwattr $C$DW$T$78, DW_AT_decl_column(0x13) + 630 + 631 $C$DW$T$79 .dwtag DW_TAG_typedef + 632 .dwattr $C$DW$T$79, DW_AT_name("__ssize_t") + 633 .dwattr $C$DW$T$79, DW_AT_type(*$C$DW$T$69) + 634 .dwattr $C$DW$T$79, DW_AT_language(DW_LANG_C) + 635 .dwattr $C$DW$T$79, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 636 .dwattr $C$DW$T$79, DW_AT_decl_line(0x62) + 637 .dwattr $C$DW$T$79, DW_AT_decl_column(0x13) + 638 + 639 $C$DW$T$80 .dwtag DW_TAG_typedef + 640 .dwattr $C$DW$T$80, DW_AT_name("__key_t") + 641 .dwattr $C$DW$T$80, DW_AT_type(*$C$DW$T$12) + 642 .dwattr $C$DW$T$80, DW_AT_language(DW_LANG_C) + 643 .dwattr $C$DW$T$80, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 644 .dwattr $C$DW$T$80, DW_AT_decl_line(0x38) + 645 .dwattr $C$DW$T$80, DW_AT_decl_column(0x0f) + 646 + 647 $C$DW$T$81 .dwtag DW_TAG_typedef + 648 .dwattr $C$DW$T$81, DW_AT_name("__suseconds_t") + 649 .dwattr $C$DW$T$81, DW_AT_type(*$C$DW$T$12) + 650 .dwattr $C$DW$T$81, DW_AT_language(DW_LANG_C) + 651 .dwattr $C$DW$T$81, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 652 .dwattr $C$DW$T$81, DW_AT_decl_line(0x4a) + 653 .dwattr $C$DW$T$81, DW_AT_decl_column(0x0f) + 654 + 655 $C$DW$T$82 .dwtag DW_TAG_typedef + 656 .dwattr $C$DW$T$82, DW_AT_name("_off_t") + 657 .dwattr $C$DW$T$82, DW_AT_type(*$C$DW$T$12) + 658 .dwattr $C$DW$T$82, DW_AT_language(DW_LANG_C) + 659 .dwattr $C$DW$T$82, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 660 .dwattr $C$DW$T$82, DW_AT_decl_line(0x8d) + 661 .dwattr $C$DW$T$82, DW_AT_decl_column(0x12) + 662 + 663 $C$DW$T$83 .dwtag DW_TAG_typedef + 664 .dwattr $C$DW$T$83, DW_AT_name("__off_t") + 665 .dwattr $C$DW$T$83, DW_AT_type(*$C$DW$T$82) + 666 .dwattr $C$DW$T$83, DW_AT_language(DW_LANG_C) + 667 .dwattr $C$DW$T$83, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 668 .dwattr $C$DW$T$83, DW_AT_decl_line(0x3e) + 669 .dwattr $C$DW$T$83, DW_AT_decl_column(0x18) + 670 + 671 $C$DW$T$84 .dwtag DW_TAG_typedef + 672 .dwattr $C$DW$T$84, DW_AT_name("fpos_t") + 673 .dwattr $C$DW$T$84, DW_AT_type(*$C$DW$T$12) + 674 .dwattr $C$DW$T$84, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 14 + + 675 .dwattr $C$DW$T$84, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 676 .dwattr $C$DW$T$84, DW_AT_decl_line(0x6b) + 677 .dwattr $C$DW$T$84, DW_AT_decl_column(0x0e) + 678 + 679 $C$DW$T$13 .dwtag DW_TAG_base_type + 680 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 681 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 682 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 683 + 684 $C$DW$T$85 .dwtag DW_TAG_typedef + 685 .dwattr $C$DW$T$85, DW_AT_name("__uint32_t") + 686 .dwattr $C$DW$T$85, DW_AT_type(*$C$DW$T$13) + 687 .dwattr $C$DW$T$85, DW_AT_language(DW_LANG_C) + 688 .dwattr $C$DW$T$85, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 689 .dwattr $C$DW$T$85, DW_AT_decl_line(0x3b) + 690 .dwattr $C$DW$T$85, DW_AT_decl_column(0x18) + 691 + 692 $C$DW$T$86 .dwtag DW_TAG_typedef + 693 .dwattr $C$DW$T$86, DW_AT_name("__clock_t") + 694 .dwattr $C$DW$T$86, DW_AT_type(*$C$DW$T$85) + 695 .dwattr $C$DW$T$86, DW_AT_language(DW_LANG_C) + 696 .dwattr $C$DW$T$86, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 697 .dwattr $C$DW$T$86, DW_AT_decl_line(0x4a) + 698 .dwattr $C$DW$T$86, DW_AT_decl_column(0x14) + 699 + 700 $C$DW$T$87 .dwtag DW_TAG_typedef + 701 .dwattr $C$DW$T$87, DW_AT_name("__fflags_t") + 702 .dwattr $C$DW$T$87, DW_AT_type(*$C$DW$T$85) + 703 .dwattr $C$DW$T$87, DW_AT_language(DW_LANG_C) + 704 .dwattr $C$DW$T$87, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 705 .dwattr $C$DW$T$87, DW_AT_decl_line(0x32) + 706 .dwattr $C$DW$T$87, DW_AT_decl_column(0x14) + 707 + 708 $C$DW$T$88 .dwtag DW_TAG_typedef + 709 .dwattr $C$DW$T$88, DW_AT_name("__fixpt_t") + 710 .dwattr $C$DW$T$88, DW_AT_type(*$C$DW$T$85) + 711 .dwattr $C$DW$T$88, DW_AT_language(DW_LANG_C) + 712 .dwattr $C$DW$T$88, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 713 .dwattr $C$DW$T$88, DW_AT_decl_line(0x81) + 714 .dwattr $C$DW$T$88, DW_AT_decl_column(0x14) + 715 + 716 $C$DW$T$89 .dwtag DW_TAG_typedef + 717 .dwattr $C$DW$T$89, DW_AT_name("__gid_t") + 718 .dwattr $C$DW$T$89, DW_AT_type(*$C$DW$T$85) + 719 .dwattr $C$DW$T$89, DW_AT_language(DW_LANG_C) + 720 .dwattr $C$DW$T$89, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 721 .dwattr $C$DW$T$89, DW_AT_decl_line(0x35) + 722 .dwattr $C$DW$T$89, DW_AT_decl_column(0x14) + 723 + 724 $C$DW$T$90 .dwtag DW_TAG_typedef + 725 .dwattr $C$DW$T$90, DW_AT_name("__socklen_t") + 726 .dwattr $C$DW$T$90, DW_AT_type(*$C$DW$T$85) + 727 .dwattr $C$DW$T$90, DW_AT_language(DW_LANG_C) + 728 .dwattr $C$DW$T$90, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 729 .dwattr $C$DW$T$90, DW_AT_decl_line(0x49) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 15 + + 730 .dwattr $C$DW$T$90, DW_AT_decl_column(0x14) + 731 + 732 $C$DW$T$91 .dwtag DW_TAG_typedef + 733 .dwattr $C$DW$T$91, DW_AT_name("__time_t") + 734 .dwattr $C$DW$T$91, DW_AT_type(*$C$DW$T$85) + 735 .dwattr $C$DW$T$91, DW_AT_language(DW_LANG_C) + 736 .dwattr $C$DW$T$91, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 737 .dwattr $C$DW$T$91, DW_AT_decl_line(0x66) + 738 .dwattr $C$DW$T$91, DW_AT_decl_column(0x19) + 739 + 740 $C$DW$T$92 .dwtag DW_TAG_typedef + 741 .dwattr $C$DW$T$92, DW_AT_name("__uid_t") + 742 .dwattr $C$DW$T$92, DW_AT_type(*$C$DW$T$85) + 743 .dwattr $C$DW$T$92, DW_AT_language(DW_LANG_C) + 744 .dwattr $C$DW$T$92, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 745 .dwattr $C$DW$T$92, DW_AT_decl_line(0x4d) + 746 .dwattr $C$DW$T$92, DW_AT_decl_column(0x14) + 747 + 748 $C$DW$T$93 .dwtag DW_TAG_typedef + 749 .dwattr $C$DW$T$93, DW_AT_name("__uint_fast32_t") + 750 .dwattr $C$DW$T$93, DW_AT_type(*$C$DW$T$85) + 751 .dwattr $C$DW$T$93, DW_AT_language(DW_LANG_C) + 752 .dwattr $C$DW$T$93, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 753 .dwattr $C$DW$T$93, DW_AT_decl_line(0x72) + 754 .dwattr $C$DW$T$93, DW_AT_decl_column(0x14) + 755 + 756 $C$DW$T$94 .dwtag DW_TAG_typedef + 757 .dwattr $C$DW$T$94, DW_AT_name("__uint_least32_t") + 758 .dwattr $C$DW$T$94, DW_AT_type(*$C$DW$T$85) + 759 .dwattr $C$DW$T$94, DW_AT_language(DW_LANG_C) + 760 .dwattr $C$DW$T$94, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 761 .dwattr $C$DW$T$94, DW_AT_decl_line(0x76) + 762 .dwattr $C$DW$T$94, DW_AT_decl_column(0x14) + 763 + 764 $C$DW$T$95 .dwtag DW_TAG_typedef + 765 .dwattr $C$DW$T$95, DW_AT_name("__char32_t") + 766 .dwattr $C$DW$T$95, DW_AT_type(*$C$DW$T$94) + 767 .dwattr $C$DW$T$95, DW_AT_language(DW_LANG_C) + 768 .dwattr $C$DW$T$95, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 769 .dwattr $C$DW$T$95, DW_AT_decl_line(0x72) + 770 .dwattr $C$DW$T$95, DW_AT_decl_column(0x1a) + 771 + 772 $C$DW$T$96 .dwtag DW_TAG_typedef + 773 .dwattr $C$DW$T$96, DW_AT_name("__uintfptr_t") + 774 .dwattr $C$DW$T$96, DW_AT_type(*$C$DW$T$85) + 775 .dwattr $C$DW$T$96, DW_AT_language(DW_LANG_C) + 776 .dwattr $C$DW$T$96, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 777 .dwattr $C$DW$T$96, DW_AT_decl_line(0x68) + 778 .dwattr $C$DW$T$96, DW_AT_decl_column(0x14) + 779 + 780 $C$DW$T$97 .dwtag DW_TAG_typedef + 781 .dwattr $C$DW$T$97, DW_AT_name("__vm_offset_t") + 782 .dwattr $C$DW$T$97, DW_AT_type(*$C$DW$T$85) + 783 .dwattr $C$DW$T$97, DW_AT_language(DW_LANG_C) + 784 .dwattr $C$DW$T$97, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 16 + + 785 .dwattr $C$DW$T$97, DW_AT_decl_line(0x79) + 786 .dwattr $C$DW$T$97, DW_AT_decl_column(0x14) + 787 + 788 $C$DW$T$98 .dwtag DW_TAG_typedef + 789 .dwattr $C$DW$T$98, DW_AT_name("__vm_paddr_t") + 790 .dwattr $C$DW$T$98, DW_AT_type(*$C$DW$T$85) + 791 .dwattr $C$DW$T$98, DW_AT_language(DW_LANG_C) + 792 .dwattr $C$DW$T$98, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 793 .dwattr $C$DW$T$98, DW_AT_decl_line(0x7a) + 794 .dwattr $C$DW$T$98, DW_AT_decl_column(0x14) + 795 + 796 $C$DW$T$99 .dwtag DW_TAG_typedef + 797 .dwattr $C$DW$T$99, DW_AT_name("__vm_size_t") + 798 .dwattr $C$DW$T$99, DW_AT_type(*$C$DW$T$85) + 799 .dwattr $C$DW$T$99, DW_AT_language(DW_LANG_C) + 800 .dwattr $C$DW$T$99, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 801 .dwattr $C$DW$T$99, DW_AT_decl_line(0x7b) + 802 .dwattr $C$DW$T$99, DW_AT_decl_column(0x14) + 803 + 804 $C$DW$T$14 .dwtag DW_TAG_base_type + 805 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 806 .dwattr $C$DW$T$14, DW_AT_name("long long") + 807 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 808 + 809 $C$DW$T$100 .dwtag DW_TAG_typedef + 810 .dwattr $C$DW$T$100, DW_AT_name("__int64_t") + 811 .dwattr $C$DW$T$100, DW_AT_type(*$C$DW$T$14) + 812 .dwattr $C$DW$T$100, DW_AT_language(DW_LANG_C) + 813 .dwattr $C$DW$T$100, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 814 .dwattr $C$DW$T$100, DW_AT_decl_line(0x40) + 815 .dwattr $C$DW$T$100, DW_AT_decl_column(0x14) + 816 + 817 $C$DW$T$101 .dwtag DW_TAG_typedef + 818 .dwattr $C$DW$T$101, DW_AT_name("__blkcnt_t") + 819 .dwattr $C$DW$T$101, DW_AT_type(*$C$DW$T$100) + 820 .dwattr $C$DW$T$101, DW_AT_language(DW_LANG_C) + 821 .dwattr $C$DW$T$101, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 822 .dwattr $C$DW$T$101, DW_AT_decl_line(0x30) + 823 .dwattr $C$DW$T$101, DW_AT_decl_column(0x13) + 824 + 825 $C$DW$T$102 .dwtag DW_TAG_typedef + 826 .dwattr $C$DW$T$102, DW_AT_name("__id_t") + 827 .dwattr $C$DW$T$102, DW_AT_type(*$C$DW$T$100) + 828 .dwattr $C$DW$T$102, DW_AT_language(DW_LANG_C) + 829 .dwattr $C$DW$T$102, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 830 .dwattr $C$DW$T$102, DW_AT_decl_line(0x36) + 831 .dwattr $C$DW$T$102, DW_AT_decl_column(0x13) + 832 + 833 $C$DW$T$103 .dwtag DW_TAG_typedef + 834 .dwattr $C$DW$T$103, DW_AT_name("__int_fast64_t") + 835 .dwattr $C$DW$T$103, DW_AT_type(*$C$DW$T$100) + 836 .dwattr $C$DW$T$103, DW_AT_language(DW_LANG_C) + 837 .dwattr $C$DW$T$103, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 838 .dwattr $C$DW$T$103, DW_AT_decl_line(0x59) + 839 .dwattr $C$DW$T$103, DW_AT_decl_column(0x13) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 17 + + 840 + 841 $C$DW$T$104 .dwtag DW_TAG_typedef + 842 .dwattr $C$DW$T$104, DW_AT_name("__int_least64_t") + 843 .dwattr $C$DW$T$104, DW_AT_type(*$C$DW$T$100) + 844 .dwattr $C$DW$T$104, DW_AT_language(DW_LANG_C) + 845 .dwattr $C$DW$T$104, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 846 .dwattr $C$DW$T$104, DW_AT_decl_line(0x5d) + 847 .dwattr $C$DW$T$104, DW_AT_decl_column(0x13) + 848 + 849 $C$DW$T$105 .dwtag DW_TAG_typedef + 850 .dwattr $C$DW$T$105, DW_AT_name("__intmax_t") + 851 .dwattr $C$DW$T$105, DW_AT_type(*$C$DW$T$100) + 852 .dwattr $C$DW$T$105, DW_AT_language(DW_LANG_C) + 853 .dwattr $C$DW$T$105, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 854 .dwattr $C$DW$T$105, DW_AT_decl_line(0x4f) + 855 .dwattr $C$DW$T$105, DW_AT_decl_column(0x13) + 856 + 857 $C$DW$T$106 .dwtag DW_TAG_typedef + 858 .dwattr $C$DW$T$106, DW_AT_name("__off64_t") + 859 .dwattr $C$DW$T$106, DW_AT_type(*$C$DW$T$100) + 860 .dwattr $C$DW$T$106, DW_AT_language(DW_LANG_C) + 861 .dwattr $C$DW$T$106, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 862 .dwattr $C$DW$T$106, DW_AT_decl_line(0x3f) + 863 .dwattr $C$DW$T$106, DW_AT_decl_column(0x13) + 864 + 865 $C$DW$T$107 .dwtag DW_TAG_typedef + 866 .dwattr $C$DW$T$107, DW_AT_name("__rlim_t") + 867 .dwattr $C$DW$T$107, DW_AT_type(*$C$DW$T$100) + 868 .dwattr $C$DW$T$107, DW_AT_language(DW_LANG_C) + 869 .dwattr $C$DW$T$107, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 870 .dwattr $C$DW$T$107, DW_AT_decl_line(0x41) + 871 .dwattr $C$DW$T$107, DW_AT_decl_column(0x13) + 872 + 873 $C$DW$T$15 .dwtag DW_TAG_base_type + 874 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 875 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 876 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 877 + 878 $C$DW$T$108 .dwtag DW_TAG_typedef + 879 .dwattr $C$DW$T$108, DW_AT_name("__uint64_t") + 880 .dwattr $C$DW$T$108, DW_AT_type(*$C$DW$T$15) + 881 .dwattr $C$DW$T$108, DW_AT_language(DW_LANG_C) + 882 .dwattr $C$DW$T$108, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 883 .dwattr $C$DW$T$108, DW_AT_decl_line(0x45) + 884 .dwattr $C$DW$T$108, DW_AT_decl_column(0x1c) + 885 + 886 $C$DW$T$109 .dwtag DW_TAG_typedef + 887 .dwattr $C$DW$T$109, DW_AT_name("__dev_t") + 888 .dwattr $C$DW$T$109, DW_AT_type(*$C$DW$T$108) + 889 .dwattr $C$DW$T$109, DW_AT_language(DW_LANG_C) + 890 .dwattr $C$DW$T$109, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 891 .dwattr $C$DW$T$109, DW_AT_decl_line(0x7f) + 892 .dwattr $C$DW$T$109, DW_AT_decl_column(0x14) + 893 + 894 $C$DW$T$110 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 18 + + 895 .dwattr $C$DW$T$110, DW_AT_name("__fsblkcnt_t") + 896 .dwattr $C$DW$T$110, DW_AT_type(*$C$DW$T$108) + 897 .dwattr $C$DW$T$110, DW_AT_language(DW_LANG_C) + 898 .dwattr $C$DW$T$110, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 899 .dwattr $C$DW$T$110, DW_AT_decl_line(0x33) + 900 .dwattr $C$DW$T$110, DW_AT_decl_column(0x14) + 901 + 902 $C$DW$T$111 .dwtag DW_TAG_typedef + 903 .dwattr $C$DW$T$111, DW_AT_name("__fsfilcnt_t") + 904 .dwattr $C$DW$T$111, DW_AT_type(*$C$DW$T$108) + 905 .dwattr $C$DW$T$111, DW_AT_language(DW_LANG_C) + 906 .dwattr $C$DW$T$111, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 907 .dwattr $C$DW$T$111, DW_AT_decl_line(0x34) + 908 .dwattr $C$DW$T$111, DW_AT_decl_column(0x14) + 909 + 910 $C$DW$T$112 .dwtag DW_TAG_typedef + 911 .dwattr $C$DW$T$112, DW_AT_name("__ino_t") + 912 .dwattr $C$DW$T$112, DW_AT_type(*$C$DW$T$108) + 913 .dwattr $C$DW$T$112, DW_AT_language(DW_LANG_C) + 914 .dwattr $C$DW$T$112, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 915 .dwattr $C$DW$T$112, DW_AT_decl_line(0x37) + 916 .dwattr $C$DW$T$112, DW_AT_decl_column(0x14) + 917 + 918 $C$DW$T$113 .dwtag DW_TAG_typedef + 919 .dwattr $C$DW$T$113, DW_AT_name("__nlink_t") + 920 .dwattr $C$DW$T$113, DW_AT_type(*$C$DW$T$108) + 921 .dwattr $C$DW$T$113, DW_AT_language(DW_LANG_C) + 922 .dwattr $C$DW$T$113, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 923 .dwattr $C$DW$T$113, DW_AT_decl_line(0x3d) + 924 .dwattr $C$DW$T$113, DW_AT_decl_column(0x14) + 925 + 926 $C$DW$T$114 .dwtag DW_TAG_typedef + 927 .dwattr $C$DW$T$114, DW_AT_name("__uint_fast64_t") + 928 .dwattr $C$DW$T$114, DW_AT_type(*$C$DW$T$108) + 929 .dwattr $C$DW$T$114, DW_AT_language(DW_LANG_C) + 930 .dwattr $C$DW$T$114, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 931 .dwattr $C$DW$T$114, DW_AT_decl_line(0x73) + 932 .dwattr $C$DW$T$114, DW_AT_decl_column(0x14) + 933 + 934 $C$DW$T$115 .dwtag DW_TAG_typedef + 935 .dwattr $C$DW$T$115, DW_AT_name("__uint_least64_t") + 936 .dwattr $C$DW$T$115, DW_AT_type(*$C$DW$T$108) + 937 .dwattr $C$DW$T$115, DW_AT_language(DW_LANG_C) + 938 .dwattr $C$DW$T$115, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 939 .dwattr $C$DW$T$115, DW_AT_decl_line(0x77) + 940 .dwattr $C$DW$T$115, DW_AT_decl_column(0x14) + 941 + 942 $C$DW$T$116 .dwtag DW_TAG_typedef + 943 .dwattr $C$DW$T$116, DW_AT_name("__uintmax_t") + 944 .dwattr $C$DW$T$116, DW_AT_type(*$C$DW$T$108) + 945 .dwattr $C$DW$T$116, DW_AT_language(DW_LANG_C) + 946 .dwattr $C$DW$T$116, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 947 .dwattr $C$DW$T$116, DW_AT_decl_line(0x69) + 948 .dwattr $C$DW$T$116, DW_AT_decl_column(0x14) + 949 + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 19 + + 950 $C$DW$T$117 .dwtag DW_TAG_typedef + 951 .dwattr $C$DW$T$117, DW_AT_name("__rman_res_t") + 952 .dwattr $C$DW$T$117, DW_AT_type(*$C$DW$T$116) + 953 .dwattr $C$DW$T$117, DW_AT_language(DW_LANG_C) + 954 .dwattr $C$DW$T$117, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 955 .dwattr $C$DW$T$117, DW_AT_decl_line(0x9a) + 956 .dwattr $C$DW$T$117, DW_AT_decl_column(0x19) + 957 + 958 $C$DW$T$16 .dwtag DW_TAG_base_type + 959 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 960 .dwattr $C$DW$T$16, DW_AT_name("float") + 961 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 962 + 963 $C$DW$T$118 .dwtag DW_TAG_typedef + 964 .dwattr $C$DW$T$118, DW_AT_name("__float_t") + 965 .dwattr $C$DW$T$118, DW_AT_type(*$C$DW$T$16) + 966 .dwattr $C$DW$T$118, DW_AT_language(DW_LANG_C) + 967 .dwattr $C$DW$T$118, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 968 .dwattr $C$DW$T$118, DW_AT_decl_line(0x4d) + 969 .dwattr $C$DW$T$118, DW_AT_decl_column(0x10) + 970 + 971 $C$DW$T$17 .dwtag DW_TAG_base_type + 972 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 973 .dwattr $C$DW$T$17, DW_AT_name("double") + 974 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 975 + 976 $C$DW$T$119 .dwtag DW_TAG_typedef + 977 .dwattr $C$DW$T$119, DW_AT_name("__double_t") + 978 .dwattr $C$DW$T$119, DW_AT_type(*$C$DW$T$17) + 979 .dwattr $C$DW$T$119, DW_AT_language(DW_LANG_C) + 980 .dwattr $C$DW$T$119, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 981 .dwattr $C$DW$T$119, DW_AT_decl_line(0x4c) + 982 .dwattr $C$DW$T$119, DW_AT_decl_column(0x11) + 983 + 984 $C$DW$T$18 .dwtag DW_TAG_base_type + 985 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 986 .dwattr $C$DW$T$18, DW_AT_name("long double") + 987 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 988 + 989 $C$DW$T$37 .dwtag DW_TAG_const_type + 990 .dwattr $C$DW$T$37, DW_AT_type(*$C$DW$T$6) + 991 + 992 $C$DW$T$38 .dwtag DW_TAG_pointer_type + 993 .dwattr $C$DW$T$38, DW_AT_type(*$C$DW$T$37) + 994 .dwattr $C$DW$T$38, DW_AT_address_class(0x10) + 995 + 996 $C$DW$T$39 .dwtag DW_TAG_restrict_type + 997 .dwattr $C$DW$T$39, DW_AT_type(*$C$DW$T$38) + 998 + 999 $C$DW$T$120 .dwtag DW_TAG_pointer_type + 1000 .dwattr $C$DW$T$120, DW_AT_type(*$C$DW$T$6) + 1001 .dwattr $C$DW$T$120, DW_AT_address_class(0x10) + 1002 + 1003 $C$DW$T$121 .dwtag DW_TAG_typedef + 1004 .dwattr $C$DW$T$121, DW_AT_name("__va_list") + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 20 + + 1005 .dwattr $C$DW$T$121, DW_AT_type(*$C$DW$T$120) + 1006 .dwattr $C$DW$T$121, DW_AT_language(DW_LANG_C) + 1007 .dwattr $C$DW$T$121, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1008 .dwattr $C$DW$T$121, DW_AT_decl_line(0x92) + 1009 .dwattr $C$DW$T$121, DW_AT_decl_column(0x0f) + 1010 + 1011 $C$DW$T$122 .dwtag DW_TAG_typedef + 1012 .dwattr $C$DW$T$122, DW_AT_name("va_list") + 1013 .dwattr $C$DW$T$122, DW_AT_type(*$C$DW$T$121) + 1014 .dwattr $C$DW$T$122, DW_AT_language(DW_LANG_C) + 1015 .dwattr $C$DW$T$122, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1016 .dwattr $C$DW$T$122, DW_AT_decl_line(0x33) + 1017 .dwattr $C$DW$T$122, DW_AT_decl_column(0x13) + 1018 + 1019 + 1020 $C$DW$T$19 .dwtag DW_TAG_structure_type + 1021 .dwattr $C$DW$T$19, DW_AT_name("__mq") + 1022 .dwattr $C$DW$T$19, DW_AT_declaration + 1023 .dwattr $C$DW$T$19, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1024 .dwattr $C$DW$T$19, DW_AT_decl_line(0x4c) + 1025 .dwattr $C$DW$T$19, DW_AT_decl_column(0x10) + 1026 .dwendtag $C$DW$T$19 + 1027 + 1028 $C$DW$T$123 .dwtag DW_TAG_pointer_type + 1029 .dwattr $C$DW$T$123, DW_AT_type(*$C$DW$T$19) + 1030 .dwattr $C$DW$T$123, DW_AT_address_class(0x10) + 1031 + 1032 $C$DW$T$124 .dwtag DW_TAG_typedef + 1033 .dwattr $C$DW$T$124, DW_AT_name("__mqd_t") + 1034 .dwattr $C$DW$T$124, DW_AT_type(*$C$DW$T$123) + 1035 .dwattr $C$DW$T$124, DW_AT_language(DW_LANG_C) + 1036 .dwattr $C$DW$T$124, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1037 .dwattr $C$DW$T$124, DW_AT_decl_line(0x4c) + 1038 .dwattr $C$DW$T$124, DW_AT_decl_column(0x16) + 1039 + 1040 + 1041 $C$DW$T$23 .dwtag DW_TAG_structure_type + 1042 .dwattr $C$DW$T$23, DW_AT_name("__sFILE") + 1043 .dwattr $C$DW$T$23, DW_AT_byte_size(0x0c) + 1044 $C$DW$13 .dwtag DW_TAG_member + 1045 .dwattr $C$DW$13, DW_AT_type(*$C$DW$T$10) + 1046 .dwattr $C$DW$13, DW_AT_name("fd") + 1047 .dwattr $C$DW$13, DW_AT_TI_symbol_name("fd") + 1048 .dwattr $C$DW$13, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 1049 .dwattr $C$DW$13, DW_AT_accessibility(DW_ACCESS_public) + 1050 .dwattr $C$DW$13, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1051 .dwattr $C$DW$13, DW_AT_decl_line(0x52) + 1052 .dwattr $C$DW$13, DW_AT_decl_column(0x0b) + 1053 + 1054 $C$DW$14 .dwtag DW_TAG_member + 1055 .dwattr $C$DW$14, DW_AT_type(*$C$DW$T$22) + 1056 .dwattr $C$DW$14, DW_AT_name("buf") + 1057 .dwattr $C$DW$14, DW_AT_TI_symbol_name("buf") + 1058 .dwattr $C$DW$14, DW_AT_data_member_location[DW_OP_plus_uconst 0x2] + 1059 .dwattr $C$DW$14, DW_AT_accessibility(DW_ACCESS_public) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 21 + + 1060 .dwattr $C$DW$14, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1061 .dwattr $C$DW$14, DW_AT_decl_line(0x53) + 1062 .dwattr $C$DW$14, DW_AT_decl_column(0x16) + 1063 + 1064 $C$DW$15 .dwtag DW_TAG_member + 1065 .dwattr $C$DW$15, DW_AT_type(*$C$DW$T$22) + 1066 .dwattr $C$DW$15, DW_AT_name("pos") + 1067 .dwattr $C$DW$15, DW_AT_TI_symbol_name("pos") + 1068 .dwattr $C$DW$15, DW_AT_data_member_location[DW_OP_plus_uconst 0x4] + 1069 .dwattr $C$DW$15, DW_AT_accessibility(DW_ACCESS_public) + 1070 .dwattr $C$DW$15, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1071 .dwattr $C$DW$15, DW_AT_decl_line(0x54) + 1072 .dwattr $C$DW$15, DW_AT_decl_column(0x16) + 1073 + 1074 $C$DW$16 .dwtag DW_TAG_member + 1075 .dwattr $C$DW$16, DW_AT_type(*$C$DW$T$22) + 1076 .dwattr $C$DW$16, DW_AT_name("bufend") + 1077 .dwattr $C$DW$16, DW_AT_TI_symbol_name("bufend") + 1078 .dwattr $C$DW$16, DW_AT_data_member_location[DW_OP_plus_uconst 0x6] + 1079 .dwattr $C$DW$16, DW_AT_accessibility(DW_ACCESS_public) + 1080 .dwattr $C$DW$16, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1081 .dwattr $C$DW$16, DW_AT_decl_line(0x55) + 1082 .dwattr $C$DW$16, DW_AT_decl_column(0x16) + 1083 + 1084 $C$DW$17 .dwtag DW_TAG_member + 1085 .dwattr $C$DW$17, DW_AT_type(*$C$DW$T$22) + 1086 .dwattr $C$DW$17, DW_AT_name("buff_stop") + 1087 .dwattr $C$DW$17, DW_AT_TI_symbol_name("buff_stop") + 1088 .dwattr $C$DW$17, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 1089 .dwattr $C$DW$17, DW_AT_accessibility(DW_ACCESS_public) + 1090 .dwattr $C$DW$17, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1091 .dwattr $C$DW$17, DW_AT_decl_line(0x56) + 1092 .dwattr $C$DW$17, DW_AT_decl_column(0x16) + 1093 + 1094 $C$DW$18 .dwtag DW_TAG_member + 1095 .dwattr $C$DW$18, DW_AT_type(*$C$DW$T$11) + 1096 .dwattr $C$DW$18, DW_AT_name("flags") + 1097 .dwattr $C$DW$18, DW_AT_TI_symbol_name("flags") + 1098 .dwattr $C$DW$18, DW_AT_data_member_location[DW_OP_plus_uconst 0xa] + 1099 .dwattr $C$DW$18, DW_AT_accessibility(DW_ACCESS_public) + 1100 .dwattr $C$DW$18, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1101 .dwattr $C$DW$18, DW_AT_decl_line(0x57) + 1102 .dwattr $C$DW$18, DW_AT_decl_column(0x16) + 1103 + 1104 .dwattr $C$DW$T$23, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1105 .dwattr $C$DW$T$23, DW_AT_decl_line(0x51) + 1106 .dwattr $C$DW$T$23, DW_AT_decl_column(0x08) + 1107 .dwendtag $C$DW$T$23 + 1108 + 1109 $C$DW$T$125 .dwtag DW_TAG_typedef + 1110 .dwattr $C$DW$T$125, DW_AT_name("FILE") + 1111 .dwattr $C$DW$T$125, DW_AT_type(*$C$DW$T$23) + 1112 .dwattr $C$DW$T$125, DW_AT_language(DW_LANG_C) + 1113 .dwattr $C$DW$T$125, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1114 .dwattr $C$DW$T$125, DW_AT_decl_line(0x5c) + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 22 + + 1115 .dwattr $C$DW$T$125, DW_AT_decl_column(0x18) + 1116 + 1117 + 1118 $C$DW$T$20 .dwtag DW_TAG_structure_type + 1119 .dwattr $C$DW$T$20, DW_AT_name("__timer") + 1120 .dwattr $C$DW$T$20, DW_AT_declaration + 1121 .dwattr $C$DW$T$20, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1122 .dwattr $C$DW$T$20, DW_AT_decl_line(0x4b) + 1123 .dwattr $C$DW$T$20, DW_AT_decl_column(0x10) + 1124 .dwendtag $C$DW$T$20 + 1125 + 1126 $C$DW$T$126 .dwtag DW_TAG_pointer_type + 1127 .dwattr $C$DW$T$126, DW_AT_type(*$C$DW$T$20) + 1128 .dwattr $C$DW$T$126, DW_AT_address_class(0x10) + 1129 + 1130 $C$DW$T$127 .dwtag DW_TAG_typedef + 1131 .dwattr $C$DW$T$127, DW_AT_name("__timer_t") + 1132 .dwattr $C$DW$T$127, DW_AT_type(*$C$DW$T$126) + 1133 .dwattr $C$DW$T$127, DW_AT_language(DW_LANG_C) + 1134 .dwattr $C$DW$T$127, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1135 .dwattr $C$DW$T$127, DW_AT_decl_line(0x4b) + 1136 .dwattr $C$DW$T$127, DW_AT_decl_column(0x19) + 1137 + 1138 .dwattr $C$DW$CU, DW_AT_language(DW_LANG_C) + 1139 + 1140 ;*************************************************************** + 1141 ;* DWARF CIE ENTRIES * + 1142 ;*************************************************************** + 1143 + 1144 $C$DW$CIE .dwcie 16 + 1145 .dwcfi cfa_register, 1 + 1146 .dwcfi cfa_offset, 0 + 1147 .dwcfi same_value, 0 + 1148 .dwcfi same_value, 1 + 1149 .dwcfi same_value, 3 + 1150 .dwcfi same_value, 4 + 1151 .dwcfi same_value, 5 + 1152 .dwcfi same_value, 6 + 1153 .dwcfi same_value, 7 + 1154 .dwcfi same_value, 8 + 1155 .dwcfi same_value, 9 + 1156 .dwcfi same_value, 10 + 1157 .dwendentry + 1158 + 1159 ;*************************************************************** + 1160 ;* DWARF REGISTER MAP * + 1161 ;*************************************************************** + 1162 + 1163 $C$DW$19 .dwtag DW_TAG_TI_assign_register + 1164 .dwattr $C$DW$19, DW_AT_name("PC") + 1165 .dwattr $C$DW$19, DW_AT_location[DW_OP_reg0] + 1166 + 1167 $C$DW$20 .dwtag DW_TAG_TI_assign_register + 1168 .dwattr $C$DW$20, DW_AT_name("SP") + 1169 .dwattr $C$DW$20, DW_AT_location[DW_OP_reg1] + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 23 + + 1170 + 1171 $C$DW$21 .dwtag DW_TAG_TI_assign_register + 1172 .dwattr $C$DW$21, DW_AT_name("SR") + 1173 .dwattr $C$DW$21, DW_AT_location[DW_OP_reg2] + 1174 + 1175 $C$DW$22 .dwtag DW_TAG_TI_assign_register + 1176 .dwattr $C$DW$22, DW_AT_name("CG") + 1177 .dwattr $C$DW$22, DW_AT_location[DW_OP_reg3] + 1178 + 1179 $C$DW$23 .dwtag DW_TAG_TI_assign_register + 1180 .dwattr $C$DW$23, DW_AT_name("r4") + 1181 .dwattr $C$DW$23, DW_AT_location[DW_OP_reg4] + 1182 + 1183 $C$DW$24 .dwtag DW_TAG_TI_assign_register + 1184 .dwattr $C$DW$24, DW_AT_name("r5") + 1185 .dwattr $C$DW$24, DW_AT_location[DW_OP_reg5] + 1186 + 1187 $C$DW$25 .dwtag DW_TAG_TI_assign_register + 1188 .dwattr $C$DW$25, DW_AT_name("r6") + 1189 .dwattr $C$DW$25, DW_AT_location[DW_OP_reg6] + 1190 + 1191 $C$DW$26 .dwtag DW_TAG_TI_assign_register + 1192 .dwattr $C$DW$26, DW_AT_name("r7") + 1193 .dwattr $C$DW$26, DW_AT_location[DW_OP_reg7] + 1194 + 1195 $C$DW$27 .dwtag DW_TAG_TI_assign_register + 1196 .dwattr $C$DW$27, DW_AT_name("r8") + 1197 .dwattr $C$DW$27, DW_AT_location[DW_OP_reg8] + 1198 + 1199 $C$DW$28 .dwtag DW_TAG_TI_assign_register + 1200 .dwattr $C$DW$28, DW_AT_name("r9") + 1201 .dwattr $C$DW$28, DW_AT_location[DW_OP_reg9] + 1202 + 1203 $C$DW$29 .dwtag DW_TAG_TI_assign_register + 1204 .dwattr $C$DW$29, DW_AT_name("r10") + 1205 .dwattr $C$DW$29, DW_AT_location[DW_OP_reg10] + 1206 + 1207 $C$DW$30 .dwtag DW_TAG_TI_assign_register + 1208 .dwattr $C$DW$30, DW_AT_name("r11") + 1209 .dwattr $C$DW$30, DW_AT_location[DW_OP_reg11] + 1210 + 1211 $C$DW$31 .dwtag DW_TAG_TI_assign_register + 1212 .dwattr $C$DW$31, DW_AT_name("r12") + 1213 .dwattr $C$DW$31, DW_AT_location[DW_OP_reg12] + 1214 + 1215 $C$DW$32 .dwtag DW_TAG_TI_assign_register + 1216 .dwattr $C$DW$32, DW_AT_name("r13") + 1217 .dwattr $C$DW$32, DW_AT_location[DW_OP_reg13] + 1218 + 1219 $C$DW$33 .dwtag DW_TAG_TI_assign_register + 1220 .dwattr $C$DW$33, DW_AT_name("r14") + 1221 .dwattr $C$DW$33, DW_AT_location[DW_OP_reg14] + 1222 + 1223 $C$DW$34 .dwtag DW_TAG_TI_assign_register + 1224 .dwattr $C$DW$34, DW_AT_name("r15") + MSP430 Assembler PC v20.2.5 Wed Aug 25 17:47:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{31B3A224-AF6E-494B-92E4-D86D7D19292E} PAGE 24 + + 1225 .dwattr $C$DW$34, DW_AT_location[DW_OP_reg15] + 1226 + 1227 $C$DW$35 .dwtag DW_TAG_TI_assign_register + 1228 .dwattr $C$DW$35, DW_AT_name("CIE_RETA") + 1229 .dwattr $C$DW$35, DW_AT_location[DW_OP_reg16] + 1230 + 1231 .dwendtag $C$DW$CU + 1232 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/L1_P1_For/Debug/for.obj b/CPE325/L1_P1_For/Debug/for.obj new file mode 100644 index 0000000..0188396 Binary files /dev/null and b/CPE325/L1_P1_For/Debug/for.obj differ diff --git a/CPE325/L1_P1_For/Debug/makefile b/CPE325/L1_P1_For/Debug/makefile new file mode 100644 index 0000000..9c983b8 --- /dev/null +++ b/CPE325/L1_P1_For/Debug/makefile @@ -0,0 +1,168 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./for.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +L1_P1_For.out \ + +EXE_OUTPUTS__QUOTED += \ +"L1_P1_For.out" \ + +BIN_OUTPUTS += \ +L1_P1_For.hex \ + +BIN_OUTPUTS__QUOTED += \ +"L1_P1_For.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "L1_P1_For.out" + +# Tool invocations +L1_P1_For.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing -z -m"L1_P1_For.map" --heap_size=300 --stack_size=160 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="L1_P1_For_linkInfo.xml" --use_hw_mpy=F5 --rom_model -o "L1_P1_For.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +L1_P1_For.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "L1_P1_For.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "for.lst" + -$(RM) "for.obj" + -$(RM) "for.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/L1_P1_For/Debug/objects.mk b/CPE325/L1_P1_For/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/L1_P1_For/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/L1_P1_For/Debug/sources.mk b/CPE325/L1_P1_For/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/L1_P1_For/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/L1_P1_For/Debug/subdir_rules.mk b/CPE325/L1_P1_For/Debug/subdir_rules.mk new file mode 100644 index 0000000..2c6a2a5 --- /dev/null +++ b/CPE325/L1_P1_For/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/L1_P1_For" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing --preproc_with_compile --preproc_dependency="$(basename $( +#include + +int main(){ + int i, p=0; + int second = 6; + int first = 4; + for(i=0;i -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/L1_P1_For/targetConfigs/MSP430F5529.ccxml b/CPE325/L1_P1_For/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/L1_P1_For/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/L1_P1_For/targetConfigs/readme.txt b/CPE325/L1_P1_For/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/L1_P1_For/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/L1_Problem2/Debug/L1_Problem2.map b/CPE325/L1_Problem2/Debug/L1_Problem2.map new file mode 100644 index 0000000..964c514 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/L1_Problem2.map @@ -0,0 +1,2443 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Aug 27 14:36:09 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00008982 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 000005c0 00001a40 RWIX + FLASH 00004400 0000bb80 000048c0 000072c0 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00002778 000000fe UNINITIALIZED + 00002778 00000078 rts430_eabi.lib : defs.c.obj (.data:_ftable) + 000027f0 0000004e : host_device.c.obj (.data:_device) + 0000283e 00000028 : host_device.c.obj (.data:_stream) + 00002866 00000002 : exit_gvars.c.obj (.data:__TI_cleanup_ptr) + 00002868 00000002 : exit_gvars.c.obj (.data:__TI_dtors_ptr) + 0000286a 00000002 : _lock.c.obj (.data:_lock) + 0000286c 00000002 : _lock.c.obj (.data:_unlock) + 0000286e 00000002 : defs.c.obj (.data) + 00002870 00000002 : errno.c.obj (.data) + 00002872 00000002 : exit.c.obj (.data) + 00002874 00000002 : memory.c.obj (.data) + +.bss 0 00002876 000000aa UNINITIALIZED + 00002876 000000a0 (.common:__TI_tmpnams) + 00002916 00000008 (.common:parmbuf) + 0000291e 00000002 rts430_eabi.lib : memory.c.obj (.bss) + +.sysmem 0 00002400 00000258 UNINITIALIZED + 00002400 00000008 rts430_eabi.lib : memory.c.obj (.sysmem) + 00002408 00000250 --HOLE-- + +.cio 0 00002658 00000120 UNINITIALIZED + 00002658 00000120 rts430_eabi.lib : trgmsg.c.obj (.cio) + +.stack 0 00004360 000000a0 UNINITIALIZED + 00004360 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 00004362 0000009e --HOLE-- + +.text 0 00004400 00004714 + 00004400 00000736 rts430_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 00004b36 00000478 : frcdivd.c.obj (.text:__TI_frcdivd) + 00004fae 00000360 : div64u.c.obj (.text:__mspabi_divull) + 0000530e 000002c0 : mpyd.c.obj (.text:__mspabi_mpyd) + 000055ce 00000288 : _printfi.c.obj (.text:__TI_printfi) + 00005856 0000026e : _printfi.c.obj (.text:_pproc_fgea) + 00005ac4 00000252 : _printfi.c.obj (.text:_setfield) + 00005d16 00000244 : _printfi.c.obj (.text:acvt) + 00005f5a 00000238 : divd.c.obj (.text:__mspabi_divd) + 00006192 000001ec : _printfi.c.obj (.text:_getarg_diouxp) + 0000637e 000001de : _printfi.c.obj (.text:ecvt) + 0000655c 000001c4 : _printfi.c.obj (.text:fcvt) + 00006720 000001a6 : _printfi.c.obj (.text:_pconv_e) + 000068c6 00000174 : _printfi.c.obj (.text:_pconv_a) + 00006a3a 00000172 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 00006bac 0000016a : s_scalbn.c.obj (.text:scalbn) + 00006d16 0000014c : _printfi.c.obj (.text:_pproc_diouxp) + 00006e62 0000012e : _printfi.c.obj (.text:_ltostr) + 00006f90 000000f8 : _printfi.c.obj (.text:_pconv_g) + 00007088 000000f6 : memory.c.obj (.text:aligned_alloc) + 0000717e 000000ea : fputs.c.obj (.text:fputs) + 00007268 000000e0 : _printfi.c.obj (.text:_pproc_fwp) + 00007348 000000dc : cmpd.c.obj (.text:__mspabi_cmpd) + 00007424 000000d2 : memory.c.obj (.text:free) + 000074f6 000000d0 : setvbuf.c.obj (.text:setvbuf) + 000075c6 000000c4 : _printfi.c.obj (.text:_pproc_wstr) + 0000768a 000000b0 : s_frexp.c.obj (.text:frexp) + 0000773a 000000ac : fltlid.c.obj (.text:__mspabi_fltlid) + 000077e6 000000ac : _printfi.c.obj (.text:_pproc_str) + 00007892 00000092 : _printfi.c.obj (.text:_mcpy) + 00007924 00000090 : fputc.c.obj (.text:fputc) + 000079b4 00000090 problem2.obj (.text:main) + 00007a44 0000008e rts430_eabi.lib : _printfi.c.obj (.text:_ecpy) + 00007ad2 00000088 : hostlseek.c.obj (.text:HOSTlseek) + 00007b5a 00000084 : _ltoa.c.obj (.text:__TI_ltoa) + 00007bde 0000007c : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00007c5a 0000007a : _io_perm.c.obj (.text:__TI_wrt_ok) + 00007cd4 0000007a : _printfi.c.obj (.text:_pconv_f) + 00007d4e 00000072 : fclose.c.obj (.text:__TI_closefile) + 00007dc0 00000072 : fixdli.c.obj (.text:__mspabi_fixdli) + 00007e32 00000070 : fseek.c.obj (.text:fseek) + 00007ea2 0000006a : hostrename.c.obj (.text:HOSTrename) + 00007f0c 00000068 : memory.c.obj (.text:split) + 00007f74 00000066 : hostopen.c.obj (.text:HOSTopen) + 00007fda 00000062 : hostwrite.c.obj (.text:HOSTwrite) + 0000803c 00000062 : fflush.c.obj (.text:__TI_doflush) + 0000809e 00000060 : hostread.c.obj (.text:HOSTread) + 000080fe 0000005e : mult64_f5hw.asm.obj (.text:__mpyll) + 0000815c 0000005c : lsr32.asm.obj (.text:l_lsr_const) + 000081b8 00000058 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00008210 00000058 : getdevice.c.obj (.text:getdevice) + 00008268 00000058 : div32u.asm.obj (.text) + 000082c0 00000052 : atoi.c.obj (.text:atoi) + 00008312 0000004e : _printfi.c.obj (.text:_fcpy) + 00008360 0000004c : lsr16.asm.obj (.text) + 000083ac 0000004a : asr64.c.obj (.text:__mspabi_srall) + 000083f6 0000004a : close.c.obj (.text:close) + 00008440 00000046 : lsr64.c.obj (.text:__mspabi_srlll) + 00008486 00000044 : hostclose.c.obj (.text:HOSTclose) + 000084ca 00000044 : lsl64.c.obj (.text:__mspabi_sllll) + 0000850e 00000044 : exit.c.obj (.text:exit) + 00008552 00000040 : hostunlink.c.obj (.text:HOSTunlink) + 00008592 00000040 : div32s.asm.obj (.text) + 000085d2 0000003e : asr32.asm.obj (.text:l_asr_const) + 00008610 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 0000864e 0000003c : printf.c.obj (.text:printf) + 0000868a 00000034 : fopen.c.obj (.text:__TI_cleanup) + 000086be 00000034 : getdevice.c.obj (.text:finddevice) + 000086f2 0000002e : trgmsg.c.obj (.text:__TI_writemsg) + 00008720 0000002e : subd.c.obj (.text:__mspabi_subd) + 0000874e 0000002e : s_copysign.c.obj (.text:copysign) + 0000877c 0000002c : trgmsg.c.obj (.text:__TI_readmsg) + 000087a8 0000002c : asr16.asm.obj (.text) + 000087d4 0000002c : lsl16.asm.obj (.text) + 00008800 0000002c : strncpy.c.obj (.text:strncpy) + 0000882c 0000002a : negd.c.obj (.text:__mspabi_negd) + 00008856 00000028 : mult3264_f5hw.asm.obj (.text:__mpyull) + 0000887e 00000028 : fixdi.c.obj (.text:__mspabi_fixdi) + 000088a6 00000028 : memory.c.obj (.text:free_list_insert) + 000088ce 00000028 : unlink.c.obj (.text:unlink) + 000088f6 00000026 : lseek.c.obj (.text:lseek) + 0000891c 00000024 : write.c.obj (.text:write) + 00008940 00000022 : memccpy.c.obj (.text:memccpy) + 00008962 00000020 : mult32_f5hw.asm.obj (.text) + 00008982 0000001c : boot.c.obj (.text:_c_int00_noargs) + 0000899e 0000001c : memory.c.obj (.text:free_list_remove) + 000089ba 0000001a : strchr.c.obj (.text:strchr) + 000089d4 00000018 : strcmp.c.obj (.text:strcmp) + 000089ec 00000016 : div16u.asm.obj (.text) + 00008a02 00000014 : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 00008a16 00000014 : memchr.c.obj (.text:memchr) + 00008a2a 00000014 : memset.c.obj (.text:memset) + 00008a3e 00000014 : mult16_f5hw.asm.obj (.text) + 00008a52 00000014 : wcslen.c.obj (.text:wcslen) + 00008a66 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00008a78 00000012 : lsr32.asm.obj (.text:l_lsr) + 00008a8a 00000012 : memcpy.c.obj (.text:memcpy) + 00008a9c 00000010 : epilog.asm.obj (.text) + 00008aac 00000010 : strcpy.c.obj (.text:strcpy) + 00008abc 0000000e : strlen.c.obj (.text:strlen) + 00008aca 0000000c : fltid.c.obj (.text:__mspabi_fltid) + 00008ad6 0000000c : toupper.c.obj (.text:toupper) + 00008ae2 0000000a : abs.c.obj (.text:abs) + 00008aec 00000008 : islower.c.obj (.text:islower) + 00008af4 00000008 : memory.c.obj (.text:malloc) + 00008afc 00000006 : printf.c.obj (.text:_outc) + 00008b02 00000006 : exit.c.obj (.text:abort) + 00008b08 00000004 : printf.c.obj (.text:_outs) + 00008b0c 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00008b10 00000002 : _lock.c.obj (.text:_nop) + 00008b12 00000002 : startup.c.obj (.text:_system_post_cinit) + +.const 0 00008b14 0000014e + 00008b14 00000101 rts430_eabi.lib : ctype.c.obj (.const:.string:_ctypes_) + 00008c15 00000001 --HOLE-- [fill = 0] + 00008c16 00000026 : _printfi.c.obj (.const:.string) + 00008c3c 00000021 problem2.obj (.const:.string:$P$T0$1) + 00008c5d 00000001 --HOLE-- [fill = 0] + 00008c5e 00000004 problem2.obj (.const:.string) + +.text:_isr +* 0 00008c62 00000008 + 00008c62 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00008c6a 00000056 + 00008c6a 00000044 (.cinit..data.load) [load image, compression = lzss] + 00008cae 00000006 (__TI_handler_table) + 00008cb4 00000004 (.cinit..bss.load) [load image, compression = zero_init] + 00008cb8 00000008 (__TI_cinit_table) + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + problem2.obj 144 37 0 + +--+----------------------------+-------+---------+---------+ + Total: 144 37 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + _printfi.c.obj 6622 38 0 + addd.c.obj 1846 0 0 + frcdivd.c.obj 1144 0 0 + div64u.c.obj 864 0 0 + mpyd.c.obj 704 0 0 + memory.c.obj 636 0 4 + divd.c.obj 568 0 0 + trgmsg.c.obj 90 0 288 + frcmpyd.c.obj 370 0 0 + s_scalbn.c.obj 362 0 0 + defs.c.obj 0 0 282 + ctype.c.obj 0 257 0 + fputs.c.obj 234 0 0 + cmpd.c.obj 220 0 0 + setvbuf.c.obj 208 0 0 + s_frexp.c.obj 176 0 0 + fltlid.c.obj 172 0 0 + fputc.c.obj 144 0 0 + getdevice.c.obj 140 0 0 + hostlseek.c.obj 136 0 0 + _ltoa.c.obj 132 0 0 + copy_decompress_lzss.c.obj 124 0 0 + _io_perm.c.obj 122 0 0 + host_device.c.obj 0 0 118 + fclose.c.obj 114 0 0 + fixdli.c.obj 114 0 0 + fseek.c.obj 112 0 0 + hostopen.c.obj 102 0 8 + lsr32.asm.obj 110 0 0 + hostrename.c.obj 106 0 0 + fflush.c.obj 98 0 0 + hostwrite.c.obj 98 0 0 + hostread.c.obj 96 0 0 + mult64_f5hw.asm.obj 94 0 0 + autoinit.c.obj 88 0 0 + div32u.asm.obj 88 0 0 + atoi.c.obj 82 0 0 + exit.c.obj 74 0 2 + lsr16.asm.obj 76 0 0 + asr64.c.obj 74 0 0 + close.c.obj 74 0 0 + lsr64.c.obj 70 0 0 + printf.c.obj 70 0 0 + hostclose.c.obj 68 0 0 + lsl64.c.obj 68 0 0 + div32s.asm.obj 64 0 0 + hostunlink.c.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + fopen.c.obj 52 0 0 + s_copysign.c.obj 46 0 0 + subd.c.obj 46 0 0 + asr16.asm.obj 44 0 0 + lsl16.asm.obj 44 0 0 + strncpy.c.obj 44 0 0 + negd.c.obj 42 0 0 + fixdi.c.obj 40 0 0 + mult3264_f5hw.asm.obj 40 0 0 + unlink.c.obj 40 0 0 + lseek.c.obj 38 0 0 + write.c.obj 36 0 0 + memccpy.c.obj 34 0 0 + mult32_f5hw.asm.obj 32 0 0 + boot.c.obj 28 2 0 + strchr.c.obj 26 0 0 + strcmp.c.obj 24 0 0 + div16u.asm.obj 22 0 0 + copy_zero_init.c.obj 20 0 0 + memchr.c.obj 20 0 0 + memset.c.obj 20 0 0 + mult16_f5hw.asm.obj 20 0 0 + wcslen.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + strcpy.c.obj 16 0 0 + strlen.c.obj 14 0 0 + fltid.c.obj 12 0 0 + toupper.c.obj 12 0 0 + abs.c.obj 10 0 0 + islower.c.obj 8 0 0 + isr_trap.asm.obj 8 0 0 + _lock.c.obj 2 0 4 + exit_gvars.c.obj 0 0 4 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 18060 341 712 + + Heap: 0 0 600 + Stack: 0 0 160 + Linker Generated: 0 86 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 18204 464 1472 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 00008cb8 records: 2, size/record: 4, table size: 8 + .data: load addr=00008c6a, load size=00000044 bytes, run addr=00002778, run size=000000fe bytes, compression=lzss + .bss: load addr=00008cb4, load size=00000004 bytes, run addr=00002876, run size=000000aa bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 00008cae records: 3, size/record: 2, table size: 6 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +00008b02 C$$EXIT +0000871c C$$IO$$ +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +00008486 HOSTclose +00007ad2 HOSTlseek +00007f74 HOSTopen +0000809e HOSTread +00007ea2 HOSTrename +00008552 HOSTunlink +00007fda HOSTwrite +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +00002658 _CIOBUF_ +00004400 __STACK_END +000000a0 __STACK_SIZE +00000258 __SYSMEM_SIZE +00008cb8 __TI_CINIT_Base +00008cc0 __TI_CINIT_Limit +00008cae __TI_Handler_Table_Base +00008cb4 __TI_Handler_Table_Limit +00008c62 __TI_ISR_TRAP +000081b8 __TI_auto_init_nobinit_nopinit_hold_wdt +0000868a __TI_cleanup +00002866 __TI_cleanup_ptr +00007d4e __TI_closefile +00007bde __TI_decompress_lzss +00008a66 __TI_decompress_none +0000803c __TI_doflush +00002868 __TI_dtors_ptr +00002872 __TI_enable_exit_profile_output +00004b36 __TI_frcdivd +00006a3a __TI_frcmpyd +0000286e __TI_ft_end +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +00007b5a __TI_ltoa +ffffffff __TI_pprof_out_hndl +000055ce __TI_printfi +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +0000877c __TI_readmsg +00002876 __TI_tmpnams +000086f2 __TI_writemsg +00007c5a __TI_wrt_ok +00008a02 __TI_zero_init_nomemset +ffffffff __c_args__ +00004400 __mspabi_addd +00007348 __mspabi_cmpd +00005f5a __mspabi_divd +00008592 __mspabi_divli +000089ec __mspabi_divu +00008268 __mspabi_divul +00004fae __mspabi_divull +0000887e __mspabi_fixdi +00007dc0 __mspabi_fixdli +00008aca __mspabi_fltid +0000773a __mspabi_fltlid +00008aa8 __mspabi_func_epilog_1 +00008aa6 __mspabi_func_epilog_2 +00008aa4 __mspabi_func_epilog_3 +00008aa2 __mspabi_func_epilog_4 +00008aa0 __mspabi_func_epilog_5 +00008a9e __mspabi_func_epilog_6 +00008a9c __mspabi_func_epilog_7 +0000530e __mspabi_mpyd +00008a3e __mspabi_mpyi_f5hw +00008962 __mspabi_mpyl_f5hw +000080fe __mspabi_mpyll_f5hw +00008856 __mspabi_mpyull_f5hw +0000882c __mspabi_negd +00008592 __mspabi_remli +000089ec __mspabi_remu +00008268 __mspabi_remul +000087d4 __mspabi_slli +000087fc __mspabi_slli_1 +000087ea __mspabi_slli_10 +000087e8 __mspabi_slli_11 +000087e6 __mspabi_slli_12 +000087e4 __mspabi_slli_13 +000087e2 __mspabi_slli_14 +000087e0 __mspabi_slli_15 +000087fa __mspabi_slli_2 +000087f8 __mspabi_slli_3 +000087f6 __mspabi_slli_4 +000087f4 __mspabi_slli_5 +000087f2 __mspabi_slli_6 +000087f0 __mspabi_slli_7 +000087ee __mspabi_slli_8 +000087ec __mspabi_slli_9 +00008648 __mspabi_slll_1 +00008624 __mspabi_slll_10 +00008620 __mspabi_slll_11 +0000861c __mspabi_slll_12 +00008618 __mspabi_slll_13 +00008614 __mspabi_slll_14 +00008610 __mspabi_slll_15 +00008644 __mspabi_slll_2 +00008640 __mspabi_slll_3 +0000863c __mspabi_slll_4 +00008638 __mspabi_slll_5 +00008634 __mspabi_slll_6 +00008630 __mspabi_slll_7 +0000862c __mspabi_slll_8 +00008628 __mspabi_slll_9 +000084ca __mspabi_sllll +000087a8 __mspabi_srai +000087d0 __mspabi_srai_1 +000087be __mspabi_srai_10 +000087bc __mspabi_srai_11 +000087ba __mspabi_srai_12 +000087b8 __mspabi_srai_13 +000087b6 __mspabi_srai_14 +000087b4 __mspabi_srai_15 +000087ce __mspabi_srai_2 +000087cc __mspabi_srai_3 +000087ca __mspabi_srai_4 +000087c8 __mspabi_srai_5 +000087c6 __mspabi_srai_6 +000087c4 __mspabi_srai_7 +000087c2 __mspabi_srai_8 +000087c0 __mspabi_srai_9 +0000860a __mspabi_sral_1 +000085e6 __mspabi_sral_10 +000085e2 __mspabi_sral_11 +000085de __mspabi_sral_12 +000085da __mspabi_sral_13 +000085d6 __mspabi_sral_14 +000085d2 __mspabi_sral_15 +00008606 __mspabi_sral_2 +00008602 __mspabi_sral_3 +000085fe __mspabi_sral_4 +000085fa __mspabi_sral_5 +000085f6 __mspabi_sral_6 +000085f2 __mspabi_sral_7 +000085ee __mspabi_sral_8 +000085ea __mspabi_sral_9 +000083ac __mspabi_srall +00008360 __mspabi_srli +000083a6 __mspabi_srli_1 +00008382 __mspabi_srli_10 +0000837e __mspabi_srli_11 +0000837a __mspabi_srli_12 +00008376 __mspabi_srli_13 +00008372 __mspabi_srli_14 +0000836e __mspabi_srli_15 +000083a2 __mspabi_srli_2 +0000839e __mspabi_srli_3 +0000839a __mspabi_srli_4 +00008396 __mspabi_srli_5 +00008392 __mspabi_srli_6 +0000838e __mspabi_srli_7 +0000838a __mspabi_srli_8 +00008386 __mspabi_srli_9 +00008a78 __mspabi_srll +000081b0 __mspabi_srll_1 +0000817a __mspabi_srll_10 +00008174 __mspabi_srll_11 +0000816e __mspabi_srll_12 +00008168 __mspabi_srll_13 +00008162 __mspabi_srll_14 +0000815c __mspabi_srll_15 +000081aa __mspabi_srll_2 +000081a4 __mspabi_srll_3 +0000819e __mspabi_srll_4 +00008198 __mspabi_srll_5 +00008192 __mspabi_srll_6 +0000818c __mspabi_srll_7 +00008186 __mspabi_srll_8 +00008180 __mspabi_srll_9 +00008440 __mspabi_srlll +00008720 __mspabi_subd +00008982 _c_int00_noargs +00008b14 _ctypes_ +000027f0 _device +00002778 _ftable +0000286a _lock +00008b10 _nop +0000fffe _reset_vector +00004360 _stack +0000283e _stream +00002400 _sys_memory +00008b12 _system_post_cinit +00008b0c _system_pre_init +0000286c _unlock +00008b02 abort +00008ae2 abs +00007088 aligned_alloc +000082c0 atoi +000083f6 close +0000874e copysign +0000874e copysignl +00002870 errno +0000850e exit +000086be finddevice +00007924 fputc +0000717e fputs +00007424 free +0000768a frexp +0000768a frexpl +00007e32 fseek +00008210 getdevice +00008aec islower +00006bac ldexp +00006bac ldexpl +000088f6 lseek +000079b4 main +00008af4 malloc +00007088 memalign +00008940 memccpy +00008a16 memchr +00008a8a memcpy +00008a2a memset +00002916 parmbuf +0000864e printf +00007924 putc +000088ce remove +00006bac scalbn +00006bac scalbnl +000074f6 setvbuf +000089ba strchr +000089d4 strcmp +00008aac strcpy +00008abc strlen +00008800 strncpy +00008ad6 toupper +000088ce unlink +00008a52 wcslen +0000891c write + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +000000a0 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000258 __SYSMEM_SIZE +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00002400 _sys_memory +00002658 _CIOBUF_ +00002778 _ftable +000027f0 _device +0000283e _stream +00002866 __TI_cleanup_ptr +00002868 __TI_dtors_ptr +0000286a _lock +0000286c _unlock +0000286e __TI_ft_end +00002870 errno +00002872 __TI_enable_exit_profile_output +00002876 __TI_tmpnams +00002916 parmbuf +00004360 _stack +00004400 __STACK_END +00004400 __mspabi_addd +00004b36 __TI_frcdivd +00004fae __mspabi_divull +0000530e __mspabi_mpyd +000055ce __TI_printfi +00005f5a __mspabi_divd +00006a3a __TI_frcmpyd +00006bac ldexp +00006bac ldexpl +00006bac scalbn +00006bac scalbnl +00007088 aligned_alloc +00007088 memalign +0000717e fputs +00007348 __mspabi_cmpd +00007424 free +000074f6 setvbuf +0000768a frexp +0000768a frexpl +0000773a __mspabi_fltlid +00007924 fputc +00007924 putc +000079b4 main +00007ad2 HOSTlseek +00007b5a __TI_ltoa +00007bde __TI_decompress_lzss +00007c5a __TI_wrt_ok +00007d4e __TI_closefile +00007dc0 __mspabi_fixdli +00007e32 fseek +00007ea2 HOSTrename +00007f74 HOSTopen +00007fda HOSTwrite +0000803c __TI_doflush +0000809e HOSTread +000080fe __mspabi_mpyll_f5hw +0000815c __mspabi_srll_15 +00008162 __mspabi_srll_14 +00008168 __mspabi_srll_13 +0000816e __mspabi_srll_12 +00008174 __mspabi_srll_11 +0000817a __mspabi_srll_10 +00008180 __mspabi_srll_9 +00008186 __mspabi_srll_8 +0000818c __mspabi_srll_7 +00008192 __mspabi_srll_6 +00008198 __mspabi_srll_5 +0000819e __mspabi_srll_4 +000081a4 __mspabi_srll_3 +000081aa __mspabi_srll_2 +000081b0 __mspabi_srll_1 +000081b8 __TI_auto_init_nobinit_nopinit_hold_wdt +00008210 getdevice +00008268 __mspabi_divul +00008268 __mspabi_remul +000082c0 atoi +00008360 __mspabi_srli +0000836e __mspabi_srli_15 +00008372 __mspabi_srli_14 +00008376 __mspabi_srli_13 +0000837a __mspabi_srli_12 +0000837e __mspabi_srli_11 +00008382 __mspabi_srli_10 +00008386 __mspabi_srli_9 +0000838a __mspabi_srli_8 +0000838e __mspabi_srli_7 +00008392 __mspabi_srli_6 +00008396 __mspabi_srli_5 +0000839a __mspabi_srli_4 +0000839e __mspabi_srli_3 +000083a2 __mspabi_srli_2 +000083a6 __mspabi_srli_1 +000083ac __mspabi_srall +000083f6 close +00008440 __mspabi_srlll +00008486 HOSTclose +000084ca __mspabi_sllll +0000850e exit +00008552 HOSTunlink +00008592 __mspabi_divli +00008592 __mspabi_remli +000085d2 __mspabi_sral_15 +000085d6 __mspabi_sral_14 +000085da __mspabi_sral_13 +000085de __mspabi_sral_12 +000085e2 __mspabi_sral_11 +000085e6 __mspabi_sral_10 +000085ea __mspabi_sral_9 +000085ee __mspabi_sral_8 +000085f2 __mspabi_sral_7 +000085f6 __mspabi_sral_6 +000085fa __mspabi_sral_5 +000085fe __mspabi_sral_4 +00008602 __mspabi_sral_3 +00008606 __mspabi_sral_2 +0000860a __mspabi_sral_1 +00008610 __mspabi_slll_15 +00008614 __mspabi_slll_14 +00008618 __mspabi_slll_13 +0000861c __mspabi_slll_12 +00008620 __mspabi_slll_11 +00008624 __mspabi_slll_10 +00008628 __mspabi_slll_9 +0000862c __mspabi_slll_8 +00008630 __mspabi_slll_7 +00008634 __mspabi_slll_6 +00008638 __mspabi_slll_5 +0000863c __mspabi_slll_4 +00008640 __mspabi_slll_3 +00008644 __mspabi_slll_2 +00008648 __mspabi_slll_1 +0000864e printf +0000868a __TI_cleanup +000086be finddevice +000086f2 __TI_writemsg +0000871c C$$IO$$ +00008720 __mspabi_subd +0000874e copysign +0000874e copysignl +0000877c __TI_readmsg +000087a8 __mspabi_srai +000087b4 __mspabi_srai_15 +000087b6 __mspabi_srai_14 +000087b8 __mspabi_srai_13 +000087ba __mspabi_srai_12 +000087bc __mspabi_srai_11 +000087be __mspabi_srai_10 +000087c0 __mspabi_srai_9 +000087c2 __mspabi_srai_8 +000087c4 __mspabi_srai_7 +000087c6 __mspabi_srai_6 +000087c8 __mspabi_srai_5 +000087ca __mspabi_srai_4 +000087cc __mspabi_srai_3 +000087ce __mspabi_srai_2 +000087d0 __mspabi_srai_1 +000087d4 __mspabi_slli +000087e0 __mspabi_slli_15 +000087e2 __mspabi_slli_14 +000087e4 __mspabi_slli_13 +000087e6 __mspabi_slli_12 +000087e8 __mspabi_slli_11 +000087ea __mspabi_slli_10 +000087ec __mspabi_slli_9 +000087ee __mspabi_slli_8 +000087f0 __mspabi_slli_7 +000087f2 __mspabi_slli_6 +000087f4 __mspabi_slli_5 +000087f6 __mspabi_slli_4 +000087f8 __mspabi_slli_3 +000087fa __mspabi_slli_2 +000087fc __mspabi_slli_1 +00008800 strncpy +0000882c __mspabi_negd +00008856 __mspabi_mpyull_f5hw +0000887e __mspabi_fixdi +000088ce remove +000088ce unlink +000088f6 lseek +0000891c write +00008940 memccpy +00008962 __mspabi_mpyl_f5hw +00008982 _c_int00_noargs +000089ba strchr +000089d4 strcmp +000089ec __mspabi_divu +000089ec __mspabi_remu +00008a02 __TI_zero_init_nomemset +00008a16 memchr +00008a2a memset +00008a3e __mspabi_mpyi_f5hw +00008a52 wcslen +00008a66 __TI_decompress_none +00008a78 __mspabi_srll +00008a8a memcpy +00008a9c __mspabi_func_epilog_7 +00008a9e __mspabi_func_epilog_6 +00008aa0 __mspabi_func_epilog_5 +00008aa2 __mspabi_func_epilog_4 +00008aa4 __mspabi_func_epilog_3 +00008aa6 __mspabi_func_epilog_2 +00008aa8 __mspabi_func_epilog_1 +00008aac strcpy +00008abc strlen +00008aca __mspabi_fltid +00008ad6 toupper +00008ae2 abs +00008aec islower +00008af4 malloc +00008b02 C$$EXIT +00008b02 abort +00008b0c _system_pre_init +00008b10 _nop +00008b12 _system_post_cinit +00008b14 _ctypes_ +00008c62 __TI_ISR_TRAP +00008cae __TI_Handler_Table_Base +00008cb4 __TI_Handler_Table_Limit +00008cb8 __TI_CINIT_Base +00008cc0 __TI_CINIT_Limit +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[975 symbols] diff --git a/CPE325/L1_Problem2/Debug/L1_Problem2.out b/CPE325/L1_Problem2/Debug/L1_Problem2.out new file mode 100644 index 0000000..2bfa7ff Binary files /dev/null and b/CPE325/L1_Problem2/Debug/L1_Problem2.out differ diff --git a/CPE325/L1_Problem2/Debug/L1_Problem2_linkInfo.xml b/CPE325/L1_Problem2/Debug/L1_Problem2_linkInfo.xml new file mode 100644 index 0000000..04e1b19 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/L1_Problem2_linkInfo.xml @@ -0,0 +1,16493 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61293ea9 + 0x0 + L1_Problem2.out + + _c_int00_noargs +
0x8982
+
+ + + .\ + object + problem2.obj + problem2.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + printf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _printfi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputc.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _io_perm.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + setvbuf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + wcslen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_frexp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div16u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div64u.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdli.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _ltoa.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + atoi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + ctype.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + defs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + islower.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memccpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memory.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memset.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strlen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + toupper.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + write.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + host_device.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + abs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fflush.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32s.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostlseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostread.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostrename.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostunlink.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostwrite.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + trgmsg.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + open.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + getdevice.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcmp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strncpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + remove.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + close.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + unlink.c.obj + + + + + .bss + true + 0x291e + 0x2 + + + + .common:__TI_tmpnams + true + 0x2876 + 0xa0 + + + .common:parmbuf + true + 0x2916 + 0x8 + + + .data:__TI_cleanup_ptr + 0x2866 + 0x2866 + 0x2 + + + + .data:__TI_dtors_ptr + 0x2868 + 0x2868 + 0x2 + + + + .data + 0x2872 + 0x2872 + 0x2 + + + + .data:_lock + 0x286a + 0x286a + 0x2 + + + + .data:_unlock + 0x286c + 0x286c + 0x2 + + + + .data:_ftable + 0x2778 + 0x2778 + 0x78 + + + + .data + 0x286e + 0x286e + 0x2 + + + + .data + 0x2874 + 0x2874 + 0x2 + + + + .data:_device + 0x27f0 + 0x27f0 + 0x4e + + + + .data:_stream + 0x283e + 0x283e + 0x28 + + + + .data + 0x2870 + 0x2870 + 0x2 + + + + .sysmem + true + 0x2400 + 0x8 + + + + .sysmem + true + 0x2400 + 0x0 + + + .stack + true + 0x4360 + 0x2 + + + + .stack + true + 0x4360 + 0x0 + + + .text:__mspabi_addd + 0x4400 + 0x4400 + 0x736 + + + + .text:__TI_frcdivd + 0x4b36 + 0x4b36 + 0x478 + + + + .text:__mspabi_divull + 0x4fae + 0x4fae + 0x360 + + + + .text:__mspabi_mpyd + 0x530e + 0x530e + 0x2c0 + + + + .text:__TI_printfi + 0x55ce + 0x55ce + 0x288 + + + + .text:_pproc_fgea + 0x5856 + 0x5856 + 0x26e + + + + .text:_setfield + 0x5ac4 + 0x5ac4 + 0x252 + + + + .text:acvt + 0x5d16 + 0x5d16 + 0x244 + + + + .text:__mspabi_divd + 0x5f5a + 0x5f5a + 0x238 + + + + .text:_getarg_diouxp + 0x6192 + 0x6192 + 0x1ec + + + + .text:ecvt + 0x637e + 0x637e + 0x1de + + + + .text:fcvt + 0x655c + 0x655c + 0x1c4 + + + + .text:_pconv_e + 0x6720 + 0x6720 + 0x1a6 + + + + .text:_pconv_a + 0x68c6 + 0x68c6 + 0x174 + + + + .text:__TI_frcmpyd + 0x6a3a + 0x6a3a + 0x172 + + + + .text:scalbn + 0x6bac + 0x6bac + 0x16a + + + + .text:_pproc_diouxp + 0x6d16 + 0x6d16 + 0x14c + + + + .text:_ltostr + 0x6e62 + 0x6e62 + 0x12e + + + + .text:_pconv_g + 0x6f90 + 0x6f90 + 0xf8 + + + + .text:aligned_alloc + 0x7088 + 0x7088 + 0xf6 + + + + .text:fputs + 0x717e + 0x717e + 0xea + + + + .text:_pproc_fwp + 0x7268 + 0x7268 + 0xe0 + + + + .text:__mspabi_cmpd + 0x7348 + 0x7348 + 0xdc + + + + .text:free + 0x7424 + 0x7424 + 0xd2 + + + + .text:setvbuf + 0x74f6 + 0x74f6 + 0xd0 + + + + .text:_pproc_wstr + 0x75c6 + 0x75c6 + 0xc4 + + + + .text:frexp + 0x768a + 0x768a + 0xb0 + + + + .text:__mspabi_fltlid + 0x773a + 0x773a + 0xac + + + + .text:_pproc_str + 0x77e6 + 0x77e6 + 0xac + + + + .text:_mcpy + 0x7892 + 0x7892 + 0x92 + + + + .text:fputc + 0x7924 + 0x7924 + 0x90 + + + + .text:main + 0x79b4 + 0x79b4 + 0x90 + + + + .text:_ecpy + 0x7a44 + 0x7a44 + 0x8e + + + + .text:HOSTlseek + 0x7ad2 + 0x7ad2 + 0x88 + + + + .text:__TI_ltoa + 0x7b5a + 0x7b5a + 0x84 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x7bde + 0x7bde + 0x7c + + + + .text:__TI_wrt_ok + 0x7c5a + 0x7c5a + 0x7a + + + + .text:_pconv_f + 0x7cd4 + 0x7cd4 + 0x7a + + + + .text:__TI_closefile + 0x7d4e + 0x7d4e + 0x72 + + + + .text:__mspabi_fixdli + 0x7dc0 + 0x7dc0 + 0x72 + + + + .text:fseek + 0x7e32 + 0x7e32 + 0x70 + + + + .text:HOSTrename + 0x7ea2 + 0x7ea2 + 0x6a + + + + .text:split + 0x7f0c + 0x7f0c + 0x68 + + + + .text:HOSTopen + 0x7f74 + 0x7f74 + 0x66 + + + + .text:HOSTwrite + 0x7fda + 0x7fda + 0x62 + + + + .text:__TI_doflush + 0x803c + 0x803c + 0x62 + + + + .text:HOSTread + 0x809e + 0x809e + 0x60 + + + + .text:__mpyll + 0x80fe + 0x80fe + 0x5e + + + + .text:l_lsr_const + 0x815c + 0x815c + 0x5c + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x81b8 + 0x81b8 + 0x58 + + + + .text:getdevice + 0x8210 + 0x8210 + 0x58 + + + + .text + 0x8268 + 0x8268 + 0x58 + + + + .text:atoi + 0x82c0 + 0x82c0 + 0x52 + + + + .text:_fcpy + 0x8312 + 0x8312 + 0x4e + + + + .text + 0x8360 + 0x8360 + 0x4c + + + + .text:__mspabi_srall + 0x83ac + 0x83ac + 0x4a + + + + .text:close + 0x83f6 + 0x83f6 + 0x4a + + + + .text:__mspabi_srlll + 0x8440 + 0x8440 + 0x46 + + + + .text:HOSTclose + 0x8486 + 0x8486 + 0x44 + + + + .text:__mspabi_sllll + 0x84ca + 0x84ca + 0x44 + + + + .text:exit + 0x850e + 0x850e + 0x44 + + + + .text:HOSTunlink + 0x8552 + 0x8552 + 0x40 + + + + .text + 0x8592 + 0x8592 + 0x40 + + + + .text:l_asr_const + 0x85d2 + 0x85d2 + 0x3e + + + + .text:l_lsl_const + 0x8610 + 0x8610 + 0x3e + + + + .text:printf + 0x864e + 0x864e + 0x3c + + + + .text:__TI_cleanup + 0x868a + 0x868a + 0x34 + + + + .text:finddevice + 0x86be + 0x86be + 0x34 + + + + .text:__TI_writemsg + 0x86f2 + 0x86f2 + 0x2e + + + + .text:__mspabi_subd + 0x8720 + 0x8720 + 0x2e + + + + .text:copysign + 0x874e + 0x874e + 0x2e + + + + .text:__TI_readmsg + 0x877c + 0x877c + 0x2c + + + + .text + 0x87a8 + 0x87a8 + 0x2c + + + + .text + 0x87d4 + 0x87d4 + 0x2c + + + + .text:strncpy + 0x8800 + 0x8800 + 0x2c + + + + .text:__mspabi_negd + 0x882c + 0x882c + 0x2a + + + + .text:__mpyull + 0x8856 + 0x8856 + 0x28 + + + + .text:__mspabi_fixdi + 0x887e + 0x887e + 0x28 + + + + .text:free_list_insert + 0x88a6 + 0x88a6 + 0x28 + + + + .text:unlink + 0x88ce + 0x88ce + 0x28 + + + + .text:lseek + 0x88f6 + 0x88f6 + 0x26 + + + + .text:write + 0x891c + 0x891c + 0x24 + + + + .text:memccpy + 0x8940 + 0x8940 + 0x22 + + + + .text + 0x8962 + 0x8962 + 0x20 + + + + .text:_c_int00_noargs + 0x8982 + 0x8982 + 0x1c + + + + .text:free_list_remove + 0x899e + 0x899e + 0x1c + + + + .text:strchr + 0x89ba + 0x89ba + 0x1a + + + + .text:strcmp + 0x89d4 + 0x89d4 + 0x18 + + + + .text + 0x89ec + 0x89ec + 0x16 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x8a02 + 0x8a02 + 0x14 + + + + .text:memchr + 0x8a16 + 0x8a16 + 0x14 + + + + .text:memset + 0x8a2a + 0x8a2a + 0x14 + + + + .text + 0x8a3e + 0x8a3e + 0x14 + + + + .text:wcslen + 0x8a52 + 0x8a52 + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x8a66 + 0x8a66 + 0x12 + + + + .text:l_lsr + 0x8a78 + 0x8a78 + 0x12 + + + + .text:memcpy + 0x8a8a + 0x8a8a + 0x12 + + + + .text + 0x8a9c + 0x8a9c + 0x10 + + + + .text:strcpy + 0x8aac + 0x8aac + 0x10 + + + + .text:strlen + 0x8abc + 0x8abc + 0xe + + + + .text:__mspabi_fltid + 0x8aca + 0x8aca + 0xc + + + + .text:toupper + 0x8ad6 + 0x8ad6 + 0xc + + + + .text:abs + 0x8ae2 + 0x8ae2 + 0xa + + + + .text:islower + 0x8aec + 0x8aec + 0x8 + + + + .text:malloc + 0x8af4 + 0x8af4 + 0x8 + + + + .text:_outc + 0x8afc + 0x8afc + 0x6 + + + + .text:abort + 0x8b02 + 0x8b02 + 0x6 + + + + .text:_outs + 0x8b08 + 0x8b08 + 0x4 + + + + .text:_system_pre_init + 0x8b0c + 0x8b0c + 0x4 + + + + .text:_nop + 0x8b10 + 0x8b10 + 0x2 + + + + .text:_system_post_cinit + 0x8b12 + 0x8b12 + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x8c62 + 0x8c62 + 0x8 + + + + .cinit..data.load + 0x8c6a + 0x8c6a + 0x44 + + + __TI_handler_table + 0x8cae + 0x8cae + 0x6 + + + .cinit..bss.load + 0x8cb4 + 0x8cb4 + 0x4 + + + __TI_cinit_table + 0x8cb8 + 0x8cb8 + 0x8 + + + .const:.string:_ctypes_ + 0x8b14 + 0x8b14 + 0x101 + + + + .const:.string + 0x8c16 + 0x8c16 + 0x26 + + + + .const:.string:$P$T0$1 + 0x8c3c + 0x8c3c + 0x21 + + + + .const:.string + 0x8c5e + 0x8c5e + 0x4 + + + + .cio + true + 0x2658 + 0x120 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x1ad + + + + .debug_info + 0x1ad + 0x1ad + 0xc6 + + + + .debug_info + 0x273 + 0x273 + 0x189 + + + + .debug_info + 0x3fc + 0x3fc + 0x26b + + + + .debug_info + 0x667 + 0x667 + 0x84 + + + + .debug_info + 0x6eb + 0x6eb + 0x282 + + + + .debug_info + 0x96d + 0x96d + 0xcc + + + + .debug_info + 0xa39 + 0xa39 + 0x2c + + + + .debug_info + 0xa65 + 0xa65 + 0x2bd + + + + .debug_info + 0xd22 + 0xd22 + 0x162 + + + + .debug_info + 0xe84 + 0xe84 + 0x166 + + + + .debug_info + 0xfea + 0xfea + 0x184 + + + + .debug_info + 0x116e + 0x116e + 0xb2 + + + + .debug_info + 0x1220 + 0x1220 + 0x439 + + + + .debug_info + 0x1659 + 0x1659 + 0x22e + + + + .debug_info + 0x1887 + 0x1887 + 0x1b3 + + + + .debug_info + 0x1a3a + 0x1a3a + 0x287 + + + + .debug_info + 0x1cc1 + 0x1cc1 + 0x24b + + + + .debug_info + 0x1f0c + 0x1f0c + 0x3d0 + + + + .debug_info + 0x22dc + 0x22dc + 0x1bd + + + + .debug_info + 0x2499 + 0x2499 + 0x21b + + + + .debug_info + 0x26b4 + 0x26b4 + 0x26d + + + + .debug_info + 0x2921 + 0x2921 + 0x3e7 + + + + .debug_info + 0x2d08 + 0x2d08 + 0x206 + + + + .debug_info + 0x2f0e + 0x2f0e + 0x232 + + + + .debug_info + 0x3140 + 0x3140 + 0x376 + + + + .debug_info + 0x34b6 + 0x34b6 + 0x45e + + + + .debug_info + 0x3914 + 0x3914 + 0x280 + + + + .debug_info + 0x3b94 + 0x3b94 + 0x395 + + + + .debug_info + 0x3f29 + 0x3f29 + 0x305 + + + + .debug_info + 0x422e + 0x422e + 0x26d + + + + .debug_info + 0x449b + 0x449b + 0x26a + + + + .debug_info + 0x4705 + 0x4705 + 0x347 + + + + .debug_info + 0x4a4c + 0x4a4c + 0x86 + + + + .debug_info + 0x4ad2 + 0x4ad2 + 0x39 + + + + .debug_info + 0x4b0b + 0x4b0b + 0x94 + + + + .debug_info + 0x4b9f + 0x4b9f + 0x46 + + + + .debug_info + 0x4be5 + 0x4be5 + 0x46 + + + + .debug_info + 0x4c2b + 0x4c2b + 0x2c + + + + .debug_info + 0x4c57 + 0x4c57 + 0x25b + + + + .debug_info + 0x4eb2 + 0x4eb2 + 0x1d0 + + + + .debug_info + 0x5082 + 0x5082 + 0x2d4 + + + + .debug_info + 0x5356 + 0x5356 + 0x2c7 + + + + .debug_info + 0x561d + 0x561d + 0x2c + + + + .debug_info + 0x5649 + 0x5649 + 0x1d8 + + + + .debug_info + 0x5821 + 0x5821 + 0x196 + + + + .debug_info + 0x59b7 + 0x59b7 + 0xbf + + + + .debug_info + 0x5a76 + 0x5a76 + 0x24c + + + + .debug_info + 0x5cc2 + 0x5cc2 + 0x1fe + + + + .debug_info + 0x5ec0 + 0x5ec0 + 0x167 + + + + .debug_info + 0x6027 + 0x6027 + 0x15a + + + + .debug_info + 0x6181 + 0x6181 + 0x39 + + + + .debug_info + 0x61ba + 0x61ba + 0x39 + + + + .debug_info + 0x61f3 + 0x61f3 + 0x172 + + + + .debug_info + 0x6365 + 0x6365 + 0x1c7 + + + + .debug_info + 0x652c + 0x652c + 0x46 + + + + .debug_info + 0x6572 + 0x6572 + 0x2c + + + + .debug_info + 0x659e + 0x659e + 0x1b0 + + + + .debug_info + 0x674e + 0x674e + 0x23b + + + + .debug_info + 0x6989 + 0x6989 + 0x120 + + + + .debug_info + 0x6aa9 + 0x6aa9 + 0x121 + + + + .debug_info + 0x6bca + 0x6bca + 0x123 + + + + .debug_info + 0x6ced + 0x6ced + 0x12e + + + + .debug_info + 0x6e1b + 0x6e1b + 0x10f + + + + .debug_info + 0x6f2a + 0x6f2a + 0x120 + + + + .debug_info + 0x704a + 0x704a + 0x120 + + + + .debug_info + 0x716a + 0x716a + 0x125 + + + + .debug_info + 0x728f + 0x728f + 0x125 + + + + .debug_info + 0x73b4 + 0x73b4 + 0x12b + + + + .debug_info + 0x74df + 0x74df + 0x127 + + + + .debug_info + 0x7606 + 0x7606 + 0x100 + + + + .debug_info + 0x7706 + 0x7706 + 0x17a + + + + .debug_info + 0x7880 + 0x7880 + 0x3de + + + + .debug_info + 0x7c5e + 0x7c5e + 0x211 + + + + .debug_info + 0x7e6f + 0x7e6f + 0x39 + + + + .debug_info + 0x7ea8 + 0x7ea8 + 0x2c + + + + .debug_info + 0x7ed4 + 0x7ed4 + 0x2c + + + + .debug_info + 0x7f00 + 0x7f00 + 0xcd + + + + .debug_info + 0x7fcd + 0x7fcd + 0x175 + + + + .debug_info + 0x8142 + 0x8142 + 0x1d2 + + + + .debug_info + 0x8314 + 0x8314 + 0x46 + + + + .debug_info + 0x835a + 0x835a + 0x103 + + + + .debug_info + 0x845d + 0x845d + 0x11a + + + + .debug_info + 0x8577 + 0x8577 + 0x116 + + + + .debug_info + 0x868d + 0x868d + 0x193 + + + + .debug_info + 0x8820 + 0x8820 + 0x15c + + + + .debug_info + 0x897c + 0x897c + 0x337 + + + + .debug_info + 0x8cb3 + 0x8cb3 + 0x153 + + + + .debug_info + 0x8e06 + 0x8e06 + 0x150 + + + + .debug_info + 0x8f56 + 0x8f56 + 0x180 + + + + .debug_info + 0x90d6 + 0x90d6 + 0x1ea + + + + .debug_info + 0x92c0 + 0x92c0 + 0x46 + + + + .debug_info + 0x9306 + 0x9306 + 0x2c + + + + .debug_info + 0x9332 + 0x9332 + 0x176 + + + + .debug_info + 0x94a8 + 0x94a8 + 0x292 + + + + .debug_info + 0x973a + 0x973a + 0x60 + + + + .debug_info + 0x979a + 0x979a + 0x46 + + + + .debug_info + 0x97e0 + 0x97e0 + 0x39 + + + + .debug_info + 0x9819 + 0x9819 + 0x164 + + + + .debug_info + 0x997d + 0x997d + 0x35e + + + + .debug_info + 0x9cdb + 0x9cdb + 0x1af + + + + .debug_info + 0x9e8a + 0x9e8a + 0x94 + + + + .debug_info + 0x9f1e + 0x9f1e + 0x1a2 + + + + .debug_info + 0xa0c0 + 0xa0c0 + 0x28a + + + + .debug_info + 0xa34a + 0xa34a + 0x171 + + + + .debug_info + 0xa4bb + 0xa4bb + 0x1c0 + + + + .debug_info + 0xa67b + 0xa67b + 0x166 + + + + .debug_info + 0xa7e1 + 0xa7e1 + 0x16b + + + + .debug_info + 0xa94c + 0xa94c + 0x21b + + + + .debug_info + 0xab67 + 0xab67 + 0x172 + + + + .debug_info + 0xacd9 + 0xacd9 + 0x5cf + + + + .debug_info + 0xb2a8 + 0xb2a8 + 0x197 + + + + .debug_info + 0xb43f + 0xb43f + 0x2d2 + + + + .debug_info + 0xb711 + 0xb711 + 0x158 + + + + .debug_info + 0xb869 + 0xb869 + 0x18d + + + + .debug_info + 0xb9f6 + 0xb9f6 + 0x22e + + + + .debug_info + 0xbc24 + 0xbc24 + 0x132 + + + + .debug_info + 0xbd56 + 0xbd56 + 0x122 + + + + .debug_info + 0xbe78 + 0xbe78 + 0x197 + + + + .debug_info + 0xc00f + 0xc00f + 0x163 + + + + .debug_info + 0xc172 + 0xc172 + 0xff + + + + .debug_info + 0xc271 + 0xc271 + 0x103 + + + + .debug_info + 0xc374 + 0xc374 + 0x12e + + + + .debug_info + 0xc4a2 + 0xc4a2 + 0x174 + + + + .debug_info + 0xc616 + 0xc616 + 0x20e + + + + .debug_info + 0xc824 + 0xc824 + 0x191 + + + + .debug_info + 0xc9b5 + 0xc9b5 + 0x190 + + + + .debug_info + 0xcb45 + 0xcb45 + 0xf9 + + + + .debug_info + 0xcc3e + 0xcc3e + 0x105 + + + + .debug_info + 0xcd43 + 0xcd43 + 0x13e + + + + .debug_info + 0xce81 + 0xce81 + 0x102 + + + + .debug_info + 0xcf83 + 0xcf83 + 0x10a + + + + .debug_info + 0xd08d + 0xd08d + 0x18e + + + + .debug_info + 0xd21b + 0xd21b + 0x154 + + + + .debug_info + 0xd36f + 0xd36f + 0x17f + + + + .debug_info + 0xd4ee + 0xd4ee + 0x1b8 + + + + .debug_info + 0xd6a6 + 0xd6a6 + 0x178 + + + + .debug_info + 0xd81e + 0xd81e + 0x250 + + + + .debug_info + 0xda6e + 0xda6e + 0x181 + + + + .debug_info + 0xdbef + 0xdbef + 0x17a + + + + .debug_info + 0xdd69 + 0xdd69 + 0x22a + + + + .debug_info + 0xdf93 + 0xdf93 + 0x10c + + + + .debug_info + 0xe09f + 0xe09f + 0x11f + + + + .debug_info + 0xe1be + 0xe1be + 0x105 + + + + .debug_info + 0xe2c3 + 0xe2c3 + 0x168 + + + + .debug_info + 0xe42b + 0xe42b + 0x18e + + + + .debug_info + 0xe5b9 + 0xe5b9 + 0x19f + + + + .debug_info + 0xe758 + 0xe758 + 0x203 + + + + .debug_info + 0xe95b + 0xe95b + 0x1db + + + + .debug_info + 0xeb36 + 0xeb36 + 0x273 + + + + .debug_info + 0xeda9 + 0xeda9 + 0xb2 + + + + .debug_info + 0xee5b + 0xee5b + 0x2c + + + + .debug_info + 0xee87 + 0xee87 + 0x16d + + + + .debug_info + 0xeff4 + 0xeff4 + 0x275 + + + + .debug_info + 0xf269 + 0xf269 + 0x172 + + + + .debug_info + 0xf3db + 0xf3db + 0x268 + + + + .debug_info + 0xf643 + 0xf643 + 0x162 + + + + .debug_info + 0xf7a5 + 0xf7a5 + 0x230 + + + + .debug_info + 0xf9d5 + 0xf9d5 + 0x18e + + + + .debug_info + 0xfb63 + 0xfb63 + 0x154 + + + + .debug_info + 0xfcb7 + 0xfcb7 + 0x26f + + + + .debug_info + 0xff26 + 0xff26 + 0x189 + + + + .debug_info + 0x100af + 0x100af + 0x120 + + + + .debug_info + 0x101cf + 0x101cf + 0x2c + + + + .debug_info + 0x101fb + 0x101fb + 0x337 + + + + .debug_info + 0x10532 + 0x10532 + 0x109 + + + + .debug_info + 0x1063b + 0x1063b + 0x109 + + + + .debug_info + 0x10744 + 0x10744 + 0x140 + + + + .debug_info + 0x10884 + 0x10884 + 0xfe + + + + .debug_info + 0x10982 + 0x10982 + 0x21f + + + + .debug_info + 0x10ba1 + 0x10ba1 + 0x184 + + + + .debug_info + 0x10d25 + 0x10d25 + 0x176 + + + + .debug_info + 0x10e9b + 0x10e9b + 0x1fc + + + + .debug_info + 0x11097 + 0x11097 + 0x1df + + + + .debug_info + 0x11276 + 0x11276 + 0x160 + + + + .debug_info + 0x113d6 + 0x113d6 + 0x126 + + + + .debug_info + 0x114fc + 0x114fc + 0x138 + + + + .debug_info + 0x11634 + 0x11634 + 0x126 + + + + .debug_info + 0x1175a + 0x1175a + 0x120 + + + + .debug_info + 0x1187a + 0x1187a + 0x126 + + + + .debug_info + 0x119a0 + 0x119a0 + 0x193 + + + + .debug_info + 0x11b33 + 0x11b33 + 0x193 + + + + .debug_info + 0x11cc6 + 0x11cc6 + 0x239 + + + + .debug_info + 0x11eff + 0x11eff + 0x24b + + + + .debug_info + 0x1214a + 0x1214a + 0x1bc + + + + .debug_info + 0x12306 + 0x12306 + 0x250 + + + + .debug_info + 0x12556 + 0x12556 + 0x226 + + + + .debug_info + 0x1277c + 0x1277c + 0x27b + + + + .debug_info + 0x129f7 + 0x129f7 + 0x20c + + + + .debug_info + 0x12c03 + 0x12c03 + 0x24f + + + + .debug_info + 0x12e52 + 0x12e52 + 0x1fb + + + + .debug_info + 0x1304d + 0x1304d + 0x2ad + + + + .debug_info + 0x132fa + 0x132fa + 0x236 + + + + .debug_info + 0x13530 + 0x13530 + 0x26e + + + + .debug_info + 0x1379e + 0x1379e + 0x1c6 + + + + .debug_info + 0x13964 + 0x13964 + 0x250 + + + + .debug_info + 0x13bb4 + 0x13bb4 + 0x200 + + + + .debug_info + 0x13db4 + 0x13db4 + 0x193 + + + + .debug_info + 0x13f47 + 0x13f47 + 0x106 + + + + .debug_info + 0x1404d + 0x1404d + 0x1df + + + + .debug_info + 0x1422c + 0x1422c + 0x19a + + + + .debug_info + 0x143c6 + 0x143c6 + 0x26f + + + + .debug_info + 0x14635 + 0x14635 + 0x18f + + + + .debug_info + 0x147c4 + 0x147c4 + 0x27d + + + + .debug_info + 0x14a41 + 0x14a41 + 0x209 + + + + .debug_info + 0x14c4a + 0x14c4a + 0x304 + + + + .debug_info + 0x14f4e + 0x14f4e + 0x198 + + + + .debug_info + 0x150e6 + 0x150e6 + 0x1eb + + + + .debug_info + 0x152d1 + 0x152d1 + 0xea + + + + .debug_info + 0x153bb + 0x153bb + 0x162 + + + + .debug_info + 0x1551d + 0x1551d + 0x273 + + + + .debug_info + 0x15790 + 0x15790 + 0x17c + + + + .debug_info + 0x1590c + 0x1590c + 0x24d + + + + .debug_info + 0x15b59 + 0x15b59 + 0x17d + + + + .debug_info + 0x15cd6 + 0x15cd6 + 0x268 + + + + .debug_info + 0x15f3e + 0x15f3e + 0x2a7 + + + + .debug_info + 0x161e5 + 0x161e5 + 0x188 + + + + .debug_info + 0x1636d + 0x1636d + 0x2a9 + + + + .debug_info + 0x16616 + 0x16616 + 0x1a5 + + + + .debug_info + 0x167bb + 0x167bb + 0x94 + + + .debug_line + 0x0 + 0x0 + 0xbf + + + + .debug_line + 0xbf + 0xbf + 0x31 + + + + .debug_line + 0xf0 + 0xf0 + 0x67 + + + + .debug_line + 0x157 + 0x157 + 0x72 + + + + .debug_line + 0x1c9 + 0x1c9 + 0x20 + + + + .debug_line + 0x1e9 + 0x1e9 + 0x76 + + + + .debug_line + 0x25f + 0x25f + 0x6d + + + + .debug_line + 0x2cc + 0x2cc + 0x6e + + + + .debug_line + 0x33a + 0x33a + 0x10e + + + + .debug_line + 0x448 + 0x448 + 0x3c + + + + .debug_line + 0x484 + 0x484 + 0x3c + + + + .debug_line + 0x4c0 + 0x4c0 + 0x49 + + + + .debug_line + 0x509 + 0x509 + 0x91 + + + + .debug_line + 0x59a + 0x59a + 0x2d5 + + + + .debug_line + 0x86f + 0x86f + 0x83 + + + + .debug_line + 0x8f2 + 0x8f2 + 0xb9 + + + + .debug_line + 0x9ab + 0x9ab + 0x81 + + + + .debug_line + 0xa2c + 0xa2c + 0x85 + + + + .debug_line + 0xab1 + 0xab1 + 0x101 + + + + .debug_line + 0xbb2 + 0xbb2 + 0x49 + + + + .debug_line + 0xbfb + 0xbfb + 0x6e + + + + .debug_line + 0xc69 + 0xc69 + 0x8a + + + + .debug_line + 0xcf3 + 0xcf3 + 0x10e + + + + .debug_line + 0xe01 + 0xe01 + 0x4f + + + + .debug_line + 0xe50 + 0xe50 + 0x4b + + + + .debug_line + 0xe9b + 0xe9b + 0x85 + + + + .debug_line + 0xf20 + 0xf20 + 0x120 + + + + .debug_line + 0x1040 + 0x1040 + 0x74 + + + + .debug_line + 0x10b4 + 0x10b4 + 0x128 + + + + .debug_line + 0x11dc + 0x11dc + 0xde + + + + .debug_line + 0x12ba + 0x12ba + 0x72 + + + + .debug_line + 0x132c + 0x132c + 0x82 + + + + .debug_line + 0x13ae + 0x13ae + 0x118 + + + + .debug_line + 0x14c6 + 0x14c6 + 0x92 + + + + .debug_line + 0x1558 + 0x1558 + 0x92 + + + + .debug_line + 0x15ea + 0x15ea + 0x9a + + + + .debug_line + 0x1684 + 0x1684 + 0x97 + + + + .debug_line + 0x171b + 0x171b + 0x2e + + + + .debug_line + 0x1749 + 0x1749 + 0x91 + + + + .debug_line + 0x17da + 0x17da + 0x117 + + + + .debug_line + 0x18f1 + 0x18f1 + 0x67 + + + + .debug_line + 0x1958 + 0x1958 + 0x189 + + + + .debug_line + 0x1ae1 + 0x1ae1 + 0x95 + + + + .debug_line + 0x1b76 + 0x1b76 + 0x92 + + + + .debug_line + 0x1c08 + 0x1c08 + 0x91 + + + + .debug_line + 0x1c99 + 0x1c99 + 0x65 + + + + .debug_line + 0x1cfe + 0x1cfe + 0x91 + + + + .debug_line + 0x1d8f + 0x1d8f + 0x110 + + + + .debug_line + 0x1e9f + 0x1e9f + 0x88 + + + + .debug_line + 0x1f27 + 0x1f27 + 0x20 + + + + .debug_line + 0x1f47 + 0x1f47 + 0x40 + + + + .debug_line + 0x1f87 + 0x1f87 + 0x9a + + + + .debug_line + 0x2021 + 0x2021 + 0x91 + + + + .debug_line + 0x20b2 + 0x20b2 + 0x20 + + + + .debug_line + 0x20d2 + 0x20d2 + 0x51 + + + + .debug_line + 0x2123 + 0x2123 + 0x9a + + + + .debug_line + 0x21bd + 0x21bd + 0x97 + + + + .debug_line + 0x2254 + 0x2254 + 0x9b + + + + .debug_line + 0x22ef + 0x22ef + 0x72 + + + + .debug_line + 0x2361 + 0x2361 + 0x4e + + + + .debug_line + 0x23af + 0x23af + 0x43 + + + + .debug_line + 0x23f2 + 0x23f2 + 0x64 + + + + .debug_line + 0x2456 + 0x2456 + 0x40 + + + + .debug_line + 0x2496 + 0x2496 + 0x3d + + + + .debug_line + 0x24d3 + 0x24d3 + 0x4e + + + + .debug_line + 0x2521 + 0x2521 + 0x5e + + + + .debug_line + 0x257f + 0x257f + 0x44 + + + + .debug_line + 0x25c3 + 0x25c3 + 0x47 + + + + .debug_line + 0x260a + 0x260a + 0x4e + + + + .debug_line + 0x2658 + 0x2658 + 0x5b + + + + .debug_line + 0x26b3 + 0x26b3 + 0x2a + + + + .debug_line + 0x26dd + 0x26dd + 0x46 + + + + .debug_line + 0x2723 + 0x2723 + 0xbf + + + + .debug_line + 0x27e2 + 0x27e2 + 0x89 + + + + .debug_line + 0x286b + 0x286b + 0x2e + + + + .debug_line + 0x2899 + 0x2899 + 0x9a + + + + .debug_line + 0x2933 + 0x2933 + 0x97 + + + + .debug_line + 0x29ca + 0x29ca + 0x93 + + + + .debug_line + 0x2a5d + 0x2a5d + 0x20 + + + + .debug_line + 0x2a7d + 0x2a7d + 0x4f + + + + .debug_line + 0x2acc + 0x2acc + 0x34 + + + + .debug_line + 0x2b00 + 0x2b00 + 0x20 + + + + .debug_line + 0x2b20 + 0x2b20 + 0x30 + + + + .debug_line + 0x2b50 + 0x2b50 + 0x30 + + + + .debug_line + 0x2b80 + 0x2b80 + 0x53 + + + + .debug_line + 0x2bd3 + 0x2bd3 + 0x20 + + + + .debug_line + 0x2bf3 + 0x2bf3 + 0x13b + + + + .debug_line + 0x2d2e + 0x2d2e + 0x3e + + + + .debug_line + 0x2d6c + 0x2d6c + 0x3a + + + + .debug_line + 0x2da6 + 0x2da6 + 0x20 + + + + .debug_line + 0x2dc6 + 0x2dc6 + 0x50 + + + + .debug_line + 0x2e16 + 0x2e16 + 0x3a + + + + .debug_line + 0x2e50 + 0x2e50 + 0x92 + + + + .debug_line + 0x2ee2 + 0x2ee2 + 0x20 + + + + .debug_line + 0x2f02 + 0x2f02 + 0x92 + + + + .debug_line + 0x2f94 + 0x2f94 + 0x3a + + + + .debug_line + 0x2fce + 0x2fce + 0x9a + + + + .debug_line + 0x3068 + 0x3068 + 0x96 + + + + .debug_line + 0x30fe + 0x30fe + 0x20 + + + + .debug_line + 0x311e + 0x311e + 0x17f + + + + .debug_line + 0x329d + 0x329d + 0x75 + + + + .debug_line + 0x3312 + 0x3312 + 0x2e + + + + .debug_line + 0x3340 + 0x3340 + 0x2d + + + + .debug_line + 0x336d + 0x336d + 0xe9 + + + + .debug_line + 0x3456 + 0x3456 + 0x3d + + + + .debug_line + 0x3493 + 0x3493 + 0x5c + + + + .debug_line + 0x34ef + 0x34ef + 0x3d + + + + .debug_line + 0x352c + 0x352c + 0x20 + + + + .debug_line + 0x354c + 0x354c + 0x81 + + + + .debug_line + 0x35cd + 0x35cd + 0x20 + + + + .debug_line + 0x35ed + 0x35ed + 0xdc + + + + .debug_line + 0x36c9 + 0x36c9 + 0x2d + + + + .debug_line + 0x36f6 + 0x36f6 + 0xf0 + + + + .debug_line + 0x37e6 + 0x37e6 + 0x49 + + + + .debug_line + 0x382f + 0x382f + 0x4b + + + + .debug_line + 0x387a + 0x387a + 0x10c + + + + .debug_line + 0x3986 + 0x3986 + 0x2a + + + + .debug_line + 0x39b0 + 0x39b0 + 0x3d + + + + .debug_line + 0x39ed + 0x39ed + 0x50 + + + + .debug_line + 0x3a3d + 0x3a3d + 0x20 + + + + .debug_line + 0x3a5d + 0x3a5d + 0x2b + + + + .debug_line + 0x3a88 + 0x3a88 + 0x2b + + + + .debug_line + 0x3ab3 + 0x3ab3 + 0x38 + + + + .debug_line + 0x3aeb + 0x3aeb + 0x20 + + + + .debug_line + 0x3b0b + 0x3b0b + 0x51 + + + + .debug_line + 0x3b5c + 0x3b5c + 0x91 + + + + .debug_line + 0x3bed + 0x3bed + 0x5d + + + + .debug_line + 0x3c4a + 0x3c4a + 0x20 + + + + .debug_line + 0x3c6a + 0x3c6a + 0x2b + + + + .debug_line + 0x3c95 + 0x3c95 + 0x2a + + + + .debug_line + 0x3cbf + 0x3cbf + 0x2a + + + + .debug_line + 0x3ce9 + 0x3ce9 + 0x2a + + + + .debug_line + 0x3d13 + 0x3d13 + 0x91 + + + + .debug_line + 0x3da4 + 0x3da4 + 0x3d + + + + .debug_line + 0x3de1 + 0x3de1 + 0x20 + + + + .debug_line + 0x3e01 + 0x3e01 + 0x48 + + + + .debug_line + 0x3e49 + 0x3e49 + 0x20 + + + + .debug_line + 0x3e69 + 0x3e69 + 0xb1 + + + + .debug_line + 0x3f1a + 0x3f1a + 0x20 + + + + .debug_line + 0x3f3a + 0x3f3a + 0x42 + + + + .debug_line + 0x3f7c + 0x3f7c + 0x10f + + + + .debug_line + 0x408b + 0x408b + 0x2c + + + + .debug_line + 0x40b7 + 0x40b7 + 0x2c + + + + .debug_line + 0x40e3 + 0x40e3 + 0x2c + + + + .debug_line + 0x410f + 0x410f + 0x3f + + + + .debug_line + 0x414e + 0x414e + 0x4b + + + + .debug_line + 0x4199 + 0x4199 + 0x55 + + + + .debug_line + 0x41ee + 0x41ee + 0xb4 + + + + .debug_line + 0x42a2 + 0x42a2 + 0x72 + + + + .debug_line + 0x4314 + 0x4314 + 0xe3 + + + + .debug_line + 0x43f7 + 0x43f7 + 0x2c + + + + .debug_line + 0x4423 + 0x4423 + 0x92 + + + + .debug_line + 0x44b5 + 0x44b5 + 0x20 + + + + .debug_line + 0x44d5 + 0x44d5 + 0xae + + + + .debug_line + 0x4583 + 0x4583 + 0x20 + + + + .debug_line + 0x45a3 + 0x45a3 + 0xaf + + + + .debug_line + 0x4652 + 0x4652 + 0x20 + + + + .debug_line + 0x4672 + 0x4672 + 0xac + + + + .debug_line + 0x471e + 0x471e + 0x91 + + + + .debug_line + 0x47af + 0x47af + 0x3d + + + + .debug_line + 0x47ec + 0x47ec + 0x92 + + + + .debug_line + 0x487e + 0x487e + 0x42 + + + + .debug_line + 0x48c0 + 0x48c0 + 0x92 + + + + .debug_line + 0x4952 + 0x4952 + 0x90 + + + + .debug_line + 0x49e2 + 0x49e2 + 0x31 + + + + .debug_line + 0x4a13 + 0x4a13 + 0x31 + + + + .debug_line + 0x4a44 + 0x4a44 + 0x31 + + + + .debug_line + 0x4a75 + 0x4a75 + 0x3c + + + + .debug_line + 0x4ab1 + 0x4ab1 + 0x2b + + + + .debug_line + 0x4adc + 0x4adc + 0x118 + + + + .debug_line + 0x4bf4 + 0x4bf4 + 0x5e + + + + .debug_line + 0x4c52 + 0x4c52 + 0x4b + + + + .debug_line + 0x4c9d + 0x4c9d + 0xa6 + + + + .debug_line + 0x4d43 + 0x4d43 + 0x4f + + + + .debug_line + 0x4d92 + 0x4d92 + 0x42 + + + + .debug_line + 0x4dd4 + 0x4dd4 + 0x56 + + + + .debug_line + 0x4e2a + 0x4e2a + 0x5a + + + + .debug_line + 0x4e84 + 0x4e84 + 0x56 + + + + .debug_line + 0x4eda + 0x4eda + 0x42 + + + + .debug_line + 0x4f1c + 0x4f1c + 0x65 + + + + .debug_line + 0x4f81 + 0x4f81 + 0x53 + + + + .debug_line + 0x4fd4 + 0x4fd4 + 0x53 + + + + .debug_line + 0x5027 + 0x5027 + 0x65 + + + + .debug_line + 0x508c + 0x508c + 0x9d + + + + .debug_line + 0x5129 + 0x5129 + 0x48 + + + + .debug_line + 0x5171 + 0x5171 + 0x9d + + + + .debug_line + 0x520e + 0x520e + 0x4a + + + + .debug_line + 0x5258 + 0x5258 + 0x11d + + + + .debug_line + 0x5375 + 0x5375 + 0x48 + + + + .debug_line + 0x53bd + 0x53bd + 0x9d + + + + .debug_line + 0x545a + 0x545a + 0x4e + + + + .debug_line + 0x54a8 + 0x54a8 + 0x10f + + + + .debug_line + 0x55b7 + 0x55b7 + 0x4c + + + + .debug_line + 0x5603 + 0x5603 + 0x10f + + + + .debug_line + 0x5712 + 0x5712 + 0x48 + + + + .debug_line + 0x575a + 0x575a + 0x9d + + + + .debug_line + 0x57f7 + 0x57f7 + 0x4f + + + + .debug_line + 0x5846 + 0x5846 + 0x20 + + + + .debug_line + 0x5866 + 0x5866 + 0x2c + + + + .debug_line + 0x5892 + 0x5892 + 0x50 + + + + .debug_line + 0x58e2 + 0x58e2 + 0x51 + + + + .debug_line + 0x5933 + 0x5933 + 0x92 + + + + .debug_line + 0x59c5 + 0x59c5 + 0x42 + + + + .debug_line + 0x5a07 + 0x5a07 + 0x18a + + + + .debug_line + 0x5b91 + 0x5b91 + 0x60 + + + + .debug_line + 0x5bf1 + 0x5bf1 + 0x9e + + + + .debug_line + 0x5c8f + 0x5c8f + 0x53 + + + + .debug_line + 0x5ce2 + 0x5ce2 + 0x56 + + + + .debug_line + 0x5d38 + 0x5d38 + 0x2c + + + + .debug_line + 0x5d64 + 0x5d64 + 0x20 + + + + .debug_line + 0x5d84 + 0x5d84 + 0xaf + + + + .debug_line + 0x5e33 + 0x5e33 + 0x20 + + + + .debug_line + 0x5e53 + 0x5e53 + 0xa8 + + + + .debug_line + 0x5efb + 0x5efb + 0x20 + + + + .debug_line + 0x5f1b + 0x5f1b + 0xb4 + + + + .debug_line + 0x5fcf + 0x5fcf + 0x103 + + + + .debug_line + 0x60d2 + 0x60d2 + 0x53 + + + + .debug_line + 0x6125 + 0x6125 + 0x103 + + + + .debug_line + 0x6228 + 0x6228 + 0x40 + + + + .debug_frame + 0x0 + 0x0 + 0x40 + + + + .debug_frame + 0x40 + 0x40 + 0x3c + + + + .debug_frame + 0x7c + 0x7c + 0x3c + + + + .debug_frame + 0xb8 + 0xb8 + 0x48 + + + + .debug_frame + 0x100 + 0x100 + 0x64 + + + + .debug_frame + 0x164 + 0x164 + 0x4c + + + + .debug_frame + 0x1b0 + 0x1b0 + 0x64 + + + + .debug_frame + 0x214 + 0x214 + 0x64 + + + + .debug_frame + 0x278 + 0x278 + 0xb4 + + + + .debug_frame + 0x32c + 0x32c + 0x50 + + + + .debug_frame + 0x37c + 0x37c + 0x54 + + + + .debug_frame + 0x3d0 + 0x3d0 + 0x50 + + + + .debug_frame + 0x420 + 0x420 + 0xb4 + + + + .debug_frame + 0x4d4 + 0x4d4 + 0x50 + + + + .debug_frame + 0x524 + 0x524 + 0x54 + + + + .debug_frame + 0x578 + 0x578 + 0xb4 + + + + .debug_frame + 0x62c + 0x62c + 0xb4 + + + + .debug_frame + 0x6e0 + 0x6e0 + 0x64 + + + + .debug_frame + 0x744 + 0x744 + 0xb4 + + + + .debug_frame + 0x7f8 + 0x7f8 + 0x64 + + + + .debug_frame + 0x85c + 0x85c + 0x64 + + + + .debug_frame + 0x8c0 + 0x8c0 + 0x64 + + + + .debug_frame + 0x924 + 0x924 + 0x74 + + + + .debug_frame + 0x998 + 0x998 + 0x50 + + + + .debug_frame + 0x9e8 + 0x9e8 + 0x60 + + + + .debug_frame + 0xa48 + 0xa48 + 0x44 + + + + .debug_frame + 0xa8c + 0xa8c + 0x50 + + + + .debug_frame + 0xadc + 0xadc + 0x3c + + + + .debug_frame + 0xb18 + 0xb18 + 0x60 + + + + .debug_frame + 0xb78 + 0xb78 + 0x78 + + + + .debug_frame + 0xbf0 + 0xbf0 + 0x34 + + + + .debug_frame + 0xc24 + 0xc24 + 0x48 + + + + .debug_frame + 0xc6c + 0xc6c + 0x3c + + + + .debug_frame + 0xca8 + 0xca8 + 0x44 + + + + .debug_frame + 0xcec + 0xcec + 0x90 + + + + .debug_frame + 0xd7c + 0xd7c + 0x3c + + + + .debug_frame + 0xdb8 + 0xdb8 + 0x3c + + + + .debug_frame + 0xdf4 + 0xdf4 + 0x3c + + + + .debug_frame + 0xe30 + 0xe30 + 0x48 + + + + .debug_frame + 0xe78 + 0xe78 + 0x7c + + + + .debug_frame + 0xef4 + 0xef4 + 0x4c + + + + .debug_frame + 0xf40 + 0xf40 + 0x68 + + + + .debug_frame + 0xfa8 + 0xfa8 + 0x40 + + + + .debug_frame + 0xfe8 + 0xfe8 + 0x44 + + + + .debug_frame + 0x102c + 0x102c + 0x3c + + + + .debug_frame + 0x1068 + 0x1068 + 0x48 + + + + .debug_frame + 0x10b0 + 0x10b0 + 0x78 + + + + .debug_frame + 0x1128 + 0x1128 + 0x68 + + + + .debug_frame + 0x1190 + 0x1190 + 0x40 + + + + .debug_frame + 0x11d0 + 0x11d0 + 0x40 + + + + .debug_frame + 0x1210 + 0x1210 + 0x3c + + + + .debug_frame + 0x124c + 0x124c + 0x44 + + + + .debug_frame + 0x1290 + 0x1290 + 0x3c + + + + .debug_frame + 0x12cc + 0x12cc + 0x58 + + + + .debug_frame + 0x1324 + 0x1324 + 0x44 + + + + .debug_frame + 0x1368 + 0x1368 + 0x3c + + + + .debug_frame + 0x13a4 + 0x13a4 + 0x44 + + + + .debug_frame + 0x13e8 + 0x13e8 + 0x3c + + + + .debug_frame + 0x1424 + 0x1424 + 0x3c + + + + .debug_frame + 0x1460 + 0x1460 + 0x3c + + + + .debug_frame + 0x149c + 0x149c + 0x3c + + + + .debug_frame + 0x14d8 + 0x14d8 + 0x3c + + + + .debug_frame + 0x1514 + 0x1514 + 0x44 + + + + .debug_frame + 0x1558 + 0x1558 + 0x44 + + + + .debug_frame + 0x159c + 0x159c + 0x50 + + + + .debug_frame + 0x15ec + 0x15ec + 0x3c + + + + .debug_frame + 0x1628 + 0x1628 + 0x40 + + + + .debug_frame + 0x1668 + 0x1668 + 0x3c + + + + .debug_frame + 0x16a4 + 0x16a4 + 0x3c + + + + .debug_frame + 0x16e0 + 0x16e0 + 0x3c + + + + .debug_frame + 0x171c + 0x171c + 0x3c + + + + .debug_frame + 0x1758 + 0x1758 + 0x44 + + + + .debug_frame + 0x179c + 0x179c + 0x44 + + + + .debug_frame + 0x17e0 + 0x17e0 + 0x50 + + + + .debug_frame + 0x1830 + 0x1830 + 0x44 + + + + .debug_frame + 0x1874 + 0x1874 + 0x44 + + + + .debug_frame + 0x18b8 + 0x18b8 + 0x44 + + + + .debug_frame + 0x18fc + 0x18fc + 0x64 + + + + .debug_frame + 0x1960 + 0x1960 + 0x44 + + + + .debug_frame + 0x19a4 + 0x19a4 + 0x50 + + + + .debug_frame + 0x19f4 + 0x19f4 + 0x48 + + + + .debug_frame + 0x1a3c + 0x1a3c + 0x48 + + + + .debug_frame + 0x1a84 + 0x1a84 + 0x4c + + + + .debug_frame + 0x1ad0 + 0x1ad0 + 0x44 + + + + .debug_frame + 0x1b14 + 0x1b14 + 0x48 + + + + .debug_frame + 0x1b5c + 0x1b5c + 0x3c + + + + .debug_frame + 0x1b98 + 0x1b98 + 0x3c + + + + .debug_frame + 0x1bd4 + 0x1bd4 + 0x3c + + + + .debug_frame + 0x1c10 + 0x1c10 + 0x54 + + + + .debug_frame + 0x1c64 + 0x1c64 + 0x4c + + + + .debug_frame + 0x1cb0 + 0x1cb0 + 0x50 + + + + .debug_frame + 0x1d00 + 0x1d00 + 0x3c + + + + .debug_frame + 0x1d3c + 0x1d3c + 0x3c + + + + .debug_frame + 0x1d78 + 0x1d78 + 0x3c + + + + .debug_frame + 0x1db4 + 0x1db4 + 0x44 + + + + .debug_frame + 0x1df8 + 0x1df8 + 0x48 + + + + .debug_abbrev + 0x0 + 0x0 + 0x91 + + + + .debug_abbrev + 0x91 + 0x91 + 0x27 + + + + .debug_abbrev + 0xb8 + 0xb8 + 0x79 + + + + .debug_abbrev + 0x131 + 0x131 + 0x69 + + + + .debug_abbrev + 0x19a + 0x19a + 0x1f + + + + .debug_abbrev + 0x1b9 + 0x1b9 + 0x35 + + + + .debug_abbrev + 0x1ee + 0x1ee + 0x5c + + + + .debug_abbrev + 0x24a + 0x24a + 0x24 + + + + .debug_abbrev + 0x26e + 0x26e + 0xb8 + + + + .debug_abbrev + 0x326 + 0x326 + 0x74 + + + + .debug_abbrev + 0x39a + 0x39a + 0x66 + + + + .debug_abbrev + 0x400 + 0x400 + 0x93 + + + + .debug_abbrev + 0x493 + 0x493 + 0x4b + + + + .debug_abbrev + 0x4de + 0x4de + 0xd0 + + + + .debug_abbrev + 0x5ae + 0x5ae + 0x89 + + + + .debug_abbrev + 0x637 + 0x637 + 0x6f + + + + .debug_abbrev + 0x6a6 + 0x6a6 + 0x7d + + + + .debug_abbrev + 0x723 + 0x723 + 0x7d + + + + .debug_abbrev + 0x7a0 + 0x7a0 + 0x8b + + + + .debug_abbrev + 0x82b + 0x82b + 0x7b + + + + .debug_abbrev + 0x8a6 + 0x8a6 + 0x7b + + + + .debug_abbrev + 0x921 + 0x921 + 0x7b + + + + .debug_abbrev + 0x99c + 0x99c + 0x8b + + + + .debug_abbrev + 0xa27 + 0xa27 + 0x7b + + + + .debug_abbrev + 0xaa2 + 0xaa2 + 0x7b + + + + .debug_abbrev + 0xb1d + 0xb1d + 0x89 + + + + .debug_abbrev + 0xba6 + 0xba6 + 0x8b + + + + .debug_abbrev + 0xc31 + 0xc31 + 0x7b + + + + .debug_abbrev + 0xcac + 0xcac + 0x89 + + + + .debug_abbrev + 0xd35 + 0xd35 + 0x7d + + + + .debug_abbrev + 0xdb2 + 0xdb2 + 0x8a + + + + .debug_abbrev + 0xe3c + 0xe3c + 0x8a + + + + .debug_abbrev + 0xec6 + 0xec6 + 0x9c + + + + .debug_abbrev + 0xf62 + 0xf62 + 0x49 + + + + .debug_abbrev + 0xfab + 0xfab + 0x24 + + + + .debug_abbrev + 0xfcf + 0xfcf + 0x35 + + + + .debug_abbrev + 0x1004 + 0x1004 + 0x24 + + + + .debug_abbrev + 0x1028 + 0x1028 + 0x24 + + + + .debug_abbrev + 0x104c + 0x104c + 0x24 + + + + .debug_abbrev + 0x1070 + 0x1070 + 0x95 + + + + .debug_abbrev + 0x1105 + 0x1105 + 0x8e + + + + .debug_abbrev + 0x1193 + 0x1193 + 0xb4 + + + + .debug_abbrev + 0x1247 + 0x1247 + 0x8e + + + + .debug_abbrev + 0x12d5 + 0x12d5 + 0x24 + + + + .debug_abbrev + 0x12f9 + 0x12f9 + 0x73 + + + + .debug_abbrev + 0x136c + 0x136c + 0x7f + + + + .debug_abbrev + 0x13eb + 0x13eb + 0x5c + + + + .debug_abbrev + 0x1447 + 0x1447 + 0xab + + + + .debug_abbrev + 0x14f2 + 0x14f2 + 0x8e + + + + .debug_abbrev + 0x1580 + 0x1580 + 0x35 + + + + .debug_abbrev + 0x15b5 + 0x15b5 + 0x71 + + + + .debug_abbrev + 0x1626 + 0x1626 + 0x24 + + + + .debug_abbrev + 0x164a + 0x164a + 0x24 + + + + .debug_abbrev + 0x166e + 0x166e + 0x35 + + + + .debug_abbrev + 0x16a3 + 0x16a3 + 0x7f + + + + .debug_abbrev + 0x1722 + 0x1722 + 0x24 + + + + .debug_abbrev + 0x1746 + 0x1746 + 0x24 + + + + .debug_abbrev + 0x176a + 0x176a + 0x5a + + + + .debug_abbrev + 0x17c4 + 0x17c4 + 0x8d + + + + .debug_abbrev + 0x1851 + 0x1851 + 0x3c + + + + .debug_abbrev + 0x188d + 0x188d + 0x3c + + + + .debug_abbrev + 0x18c9 + 0x18c9 + 0x3c + + + + .debug_abbrev + 0x1905 + 0x1905 + 0x3a + + + + .debug_abbrev + 0x193f + 0x193f + 0x28 + + + + .debug_abbrev + 0x1967 + 0x1967 + 0x3c + + + + .debug_abbrev + 0x19a3 + 0x19a3 + 0x3c + + + + .debug_abbrev + 0x19df + 0x19df + 0x2e + + + + .debug_abbrev + 0x1a0d + 0x1a0d + 0x2e + + + + .debug_abbrev + 0x1a3b + 0x1a3b + 0x2e + + + + .debug_abbrev + 0x1a69 + 0x1a69 + 0x2e + + + + .debug_abbrev + 0x1a97 + 0x1a97 + 0x29 + + + + .debug_abbrev + 0x1ac0 + 0x1ac0 + 0x58 + + + + .debug_abbrev + 0x1b18 + 0x1b18 + 0xc0 + + + + .debug_abbrev + 0x1bd8 + 0x1bd8 + 0x7e + + + + .debug_abbrev + 0x1c56 + 0x1c56 + 0x24 + + + + .debug_abbrev + 0x1c7a + 0x1c7a + 0x24 + + + + .debug_abbrev + 0x1c9e + 0x1c9e + 0x24 + + + + .debug_abbrev + 0x1cc2 + 0x1cc2 + 0x4b + + + + .debug_abbrev + 0x1d0d + 0x1d0d + 0x37 + + + + .debug_abbrev + 0x1d44 + 0x1d44 + 0x6f + + + + .debug_abbrev + 0x1db3 + 0x1db3 + 0x24 + + + + .debug_abbrev + 0x1dd7 + 0x1dd7 + 0x33 + + + + .debug_abbrev + 0x1e0a + 0x1e0a + 0x29 + + + + .debug_abbrev + 0x1e33 + 0x1e33 + 0x29 + + + + .debug_abbrev + 0x1e5c + 0x1e5c + 0x7f + + + + .debug_abbrev + 0x1edb + 0x1edb + 0x25 + + + + .debug_abbrev + 0x1f00 + 0x1f00 + 0x8d + + + + .debug_abbrev + 0x1f8d + 0x1f8d + 0x55 + + + + .debug_abbrev + 0x1fe2 + 0x1fe2 + 0x53 + + + + .debug_abbrev + 0x2035 + 0x2035 + 0x37 + + + + .debug_abbrev + 0x206c + 0x206c + 0x74 + + + + .debug_abbrev + 0x20e0 + 0x20e0 + 0x24 + + + + .debug_abbrev + 0x2104 + 0x2104 + 0x24 + + + + .debug_abbrev + 0x2128 + 0x2128 + 0x37 + + + + .debug_abbrev + 0x215f + 0x215f + 0x7d + + + + .debug_abbrev + 0x21dc + 0x21dc + 0x24 + + + + .debug_abbrev + 0x2200 + 0x2200 + 0x35 + + + + .debug_abbrev + 0x2235 + 0x2235 + 0x24 + + + + .debug_abbrev + 0x2259 + 0x2259 + 0x25 + + + + .debug_abbrev + 0x227e + 0x227e + 0x8d + + + + .debug_abbrev + 0x230b + 0x230b + 0x71 + + + + .debug_abbrev + 0x237c + 0x237c + 0x70 + + + + .debug_abbrev + 0x23ec + 0x23ec + 0x4d + + + + .debug_abbrev + 0x2439 + 0x2439 + 0x7f + + + + .debug_abbrev + 0x24b8 + 0x24b8 + 0x71 + + + + .debug_abbrev + 0x2529 + 0x2529 + 0x7f + + + + .debug_abbrev + 0x25a8 + 0x25a8 + 0x68 + + + + .debug_abbrev + 0x2610 + 0x2610 + 0x25 + + + + .debug_abbrev + 0x2635 + 0x2635 + 0x7f + + + + .debug_abbrev + 0x26b4 + 0x26b4 + 0x35 + + + + .debug_abbrev + 0x26e9 + 0x26e9 + 0x8d + + + + .debug_abbrev + 0x2776 + 0x2776 + 0x44 + + + + .debug_abbrev + 0x27ba + 0x27ba + 0x7f + + + + .debug_abbrev + 0x2839 + 0x2839 + 0x71 + + + + .debug_abbrev + 0x28aa + 0x28aa + 0x7f + + + + .debug_abbrev + 0x2929 + 0x2929 + 0x6f + + + + .debug_abbrev + 0x2998 + 0x2998 + 0x29 + + + + .debug_abbrev + 0x29c1 + 0x29c1 + 0x45 + + + + .debug_abbrev + 0x2a06 + 0x2a06 + 0x8c + + + + .debug_abbrev + 0x2a92 + 0x2a92 + 0x35 + + + + .debug_abbrev + 0x2ac7 + 0x2ac7 + 0x29 + + + + .debug_abbrev + 0x2af0 + 0x2af0 + 0x29 + + + + .debug_abbrev + 0x2b19 + 0x2b19 + 0x53 + + + + .debug_abbrev + 0x2b6c + 0x2b6c + 0x49 + + + + .debug_abbrev + 0x2bb5 + 0x2bb5 + 0x7f + + + + .debug_abbrev + 0x2c34 + 0x2c34 + 0x58 + + + + .debug_abbrev + 0x2c8c + 0x2c8c + 0x7f + + + + .debug_abbrev + 0x2d0b + 0x2d0b + 0x2e + + + + .debug_abbrev + 0x2d39 + 0x2d39 + 0x29 + + + + .debug_abbrev + 0x2d62 + 0x2d62 + 0x46 + + + + .debug_abbrev + 0x2da8 + 0x2da8 + 0x29 + + + + .debug_abbrev + 0x2dd1 + 0x2dd1 + 0x29 + + + + .debug_abbrev + 0x2dfa + 0x2dfa + 0x4f + + + + .debug_abbrev + 0x2e49 + 0x2e49 + 0x71 + + + + .debug_abbrev + 0x2eba + 0x2eba + 0x37 + + + + .debug_abbrev + 0x2ef1 + 0x2ef1 + 0x71 + + + + .debug_abbrev + 0x2f62 + 0x2f62 + 0x37 + + + + .debug_abbrev + 0x2f99 + 0x2f99 + 0x71 + + + + .debug_abbrev + 0x300a + 0x300a + 0x45 + + + + .debug_abbrev + 0x304f + 0x304f + 0x71 + + + + .debug_abbrev + 0x30c0 + 0x30c0 + 0xab + + + + .debug_abbrev + 0x316b + 0x316b + 0x29 + + + + .debug_abbrev + 0x3194 + 0x3194 + 0x27 + + + + .debug_abbrev + 0x31bb + 0x31bb + 0x27 + + + + .debug_abbrev + 0x31e2 + 0x31e2 + 0x76 + + + + .debug_abbrev + 0x3258 + 0x3258 + 0x6d + + + + .debug_abbrev + 0x32c5 + 0x32c5 + 0x6d + + + + .debug_abbrev + 0x3332 + 0x3332 + 0x8c + + + + .debug_abbrev + 0x33be + 0x33be + 0x7b + + + + .debug_abbrev + 0x3439 + 0x3439 + 0x8e + + + + .debug_abbrev + 0x34c7 + 0x34c7 + 0x7f + + + + .debug_abbrev + 0x3546 + 0x3546 + 0x24 + + + + .debug_abbrev + 0x356a + 0x356a + 0x35 + + + + .debug_abbrev + 0x359f + 0x359f + 0x71 + + + + .debug_abbrev + 0x3610 + 0x3610 + 0x3e + + + + .debug_abbrev + 0x364e + 0x364e + 0x71 + + + + .debug_abbrev + 0x36bf + 0x36bf + 0x2e + + + + .debug_abbrev + 0x36ed + 0x36ed + 0x71 + + + + .debug_abbrev + 0x375e + 0x375e + 0x4f + + + + .debug_abbrev + 0x37ad + 0x37ad + 0x71 + + + + .debug_abbrev + 0x381e + 0x381e + 0x7a + + + + .debug_abbrev + 0x3898 + 0x3898 + 0x80 + + + + .debug_abbrev + 0x3918 + 0x3918 + 0x5a + + + + .debug_abbrev + 0x3972 + 0x3972 + 0x24 + + + + .debug_abbrev + 0x3996 + 0x3996 + 0x71 + + + + .debug_abbrev + 0x3a07 + 0x3a07 + 0x29 + + + + .debug_abbrev + 0x3a30 + 0x3a30 + 0x29 + + + + .debug_abbrev + 0x3a59 + 0x3a59 + 0x71 + + + + .debug_abbrev + 0x3aca + 0x3aca + 0x27 + + + + .debug_abbrev + 0x3af1 + 0x3af1 + 0xab + + + + .debug_abbrev + 0x3b9c + 0x3b9c + 0x7f + + + + .debug_abbrev + 0x3c1b + 0x3c1b + 0x6f + + + + .debug_abbrev + 0x3c8a + 0x3c8a + 0x81 + + + + .debug_abbrev + 0x3d0b + 0x3d0b + 0x8e + + + + .debug_abbrev + 0x3d99 + 0x3d99 + 0x63 + + + + .debug_abbrev + 0x3dfc + 0x3dfc + 0x3c + + + + .debug_abbrev + 0x3e38 + 0x3e38 + 0x4a + + + + .debug_abbrev + 0x3e82 + 0x3e82 + 0x3c + + + + .debug_abbrev + 0x3ebe + 0x3ebe + 0x3c + + + + .debug_abbrev + 0x3efa + 0x3efa + 0x3c + + + + .debug_abbrev + 0x3f36 + 0x3f36 + 0x7f + + + + .debug_abbrev + 0x3fb5 + 0x3fb5 + 0x7f + + + + .debug_abbrev + 0x4034 + 0x4034 + 0x7f + + + + .debug_abbrev + 0x40b3 + 0x40b3 + 0x8c + + + + .debug_abbrev + 0x413f + 0x413f + 0x8e + + + + .debug_abbrev + 0x41cd + 0x41cd + 0x8c + + + + .debug_abbrev + 0x4259 + 0x4259 + 0x8e + + + + .debug_abbrev + 0x42e7 + 0x42e7 + 0xc1 + + + + .debug_abbrev + 0x43a8 + 0x43a8 + 0x8e + + + + .debug_abbrev + 0x4436 + 0x4436 + 0x93 + + + + .debug_abbrev + 0x44c9 + 0x44c9 + 0x8e + + + + .debug_abbrev + 0x4557 + 0x4557 + 0xca + + + + .debug_abbrev + 0x4621 + 0x4621 + 0x8e + + + + .debug_abbrev + 0x46af + 0x46af + 0xab + + + + .debug_abbrev + 0x475a + 0x475a + 0x8e + + + + .debug_abbrev + 0x47e8 + 0x47e8 + 0x93 + + + + .debug_abbrev + 0x487b + 0x487b + 0x8e + + + + .debug_abbrev + 0x4909 + 0x4909 + 0x52 + + + + .debug_abbrev + 0x495b + 0x495b + 0x29 + + + + .debug_abbrev + 0x4984 + 0x4984 + 0x6f + + + + .debug_abbrev + 0x49f3 + 0x49f3 + 0x6f + + + + .debug_abbrev + 0x4a62 + 0x4a62 + 0x7a + + + + .debug_abbrev + 0x4adc + 0x4adc + 0x80 + + + + .debug_abbrev + 0x4b5c + 0x4b5c + 0xab + + + + .debug_abbrev + 0x4c07 + 0x4c07 + 0x8e + + + + .debug_abbrev + 0x4c95 + 0x4c95 + 0xb8 + + + + .debug_abbrev + 0x4d4d + 0x4d4d + 0x7f + + + + .debug_abbrev + 0x4dcc + 0x4dcc + 0x7f + + + + .debug_abbrev + 0x4e4b + 0x4e4b + 0x49 + + + + .debug_abbrev + 0x4e94 + 0x4e94 + 0x2e + + + + .debug_abbrev + 0x4ec2 + 0x4ec2 + 0x71 + + + + .debug_abbrev + 0x4f33 + 0x4f33 + 0x45 + + + + .debug_abbrev + 0x4f78 + 0x4f78 + 0x71 + + + + .debug_abbrev + 0x4fe9 + 0x4fe9 + 0x45 + + + + .debug_abbrev + 0x502e + 0x502e + 0x71 + + + + .debug_abbrev + 0x509f + 0x509f + 0x81 + + + + .debug_abbrev + 0x5120 + 0x5120 + 0x80 + + + + .debug_abbrev + 0x51a0 + 0x51a0 + 0x99 + + + + .debug_abbrev + 0x5239 + 0x5239 + 0x8e + + + + .debug_abbrev + 0x52c7 + 0x52c7 + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2a8 + + + + .debug_str + 0x2a8 + 0x2a8 + 0xfd + + + + .debug_str + 0x3a5 + 0x3a5 + 0x32d + + + + .debug_str + 0x6d2 + 0x6d2 + 0x10f + + + + .debug_str + 0x7e1 + 0x7e1 + 0xdb + + + + .debug_str + 0x8bc + 0x8bc + 0x167 + + + + .debug_str + 0xa23 + 0xa23 + 0x15d + + + + .debug_str + 0xb80 + 0xb80 + 0x14b + + + + .debug_str + 0xccb + 0xccb + 0x1a2 + + + + .debug_str + 0xe6d + 0xe6d + 0x15b + + + + .debug_str + 0xfc8 + 0xfc8 + 0xef + + + + .debug_str + 0x10b7 + 0x10b7 + 0x13f + + + + .debug_str + 0x11f6 + 0x11f6 + 0x140 + + + + .debug_str + 0x1336 + 0x1336 + 0x16e + + + + .debug_str + 0x14a4 + 0x14a4 + 0x155 + + + + .debug_str + 0x15f9 + 0x15f9 + 0x147 + + + + .debug_str + 0x1740 + 0x1740 + 0x161 + + + + .debug_str + 0x18a1 + 0x18a1 + 0x146 + + + + .debug_str + 0x19e7 + 0x19e7 + 0xed + + + + .debug_str + 0x1ad4 + 0x1ad4 + 0x14c + + + + .debug_str + 0x1c20 + 0x1c20 + 0x147 + + + + .debug_str + 0x1d67 + 0x1d67 + 0x197 + + + + .debug_str + 0x1efe + 0x1efe + 0x105 + + + + .debug_str + 0x2003 + 0x2003 + 0x10b + + + + .debug_str + 0x210e + 0x210e + 0x140 + + + + .debug_str + 0x224e + 0x224e + 0x118 + + + + .debug_str + 0x2366 + 0x2366 + 0x16b + + + + .debug_str + 0x24d1 + 0x24d1 + 0x158 + + + + .debug_str + 0x2629 + 0x2629 + 0xf7 + + + + .debug_str + 0x2720 + 0x2720 + 0x10a + + + + .debug_str + 0x282a + 0x282a + 0x140 + + + + .debug_str + 0x296a + 0x296a + 0x18a + + + + .debug_str + 0x2af4 + 0x2af4 + 0x13d + + + + .debug_str + 0x2c31 + 0x2c31 + 0x110 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x28 + + + + .debug_aranges + 0xa8 + 0xa8 + 0x20 + + + + .debug_aranges + 0xc8 + 0xc8 + 0x20 + + + + .debug_aranges + 0xe8 + 0xe8 + 0x20 + + + + .debug_aranges + 0x108 + 0x108 + 0x40 + + + + .debug_aranges + 0x148 + 0x148 + 0x20 + + + + .debug_aranges + 0x168 + 0x168 + 0x20 + + + + .debug_aranges + 0x188 + 0x188 + 0x20 + + + + .debug_aranges + 0x1a8 + 0x1a8 + 0x40 + + + + .debug_aranges + 0x1e8 + 0x1e8 + 0x20 + + + + .debug_aranges + 0x208 + 0x208 + 0x20 + + + + .debug_aranges + 0x228 + 0x228 + 0x40 + + + + .debug_aranges + 0x268 + 0x268 + 0x40 + + + + .debug_aranges + 0x2a8 + 0x2a8 + 0x20 + + + + .debug_aranges + 0x2c8 + 0x2c8 + 0x40 + + + + .debug_aranges + 0x308 + 0x308 + 0x20 + + + + .debug_aranges + 0x328 + 0x328 + 0x20 + + + + .debug_aranges + 0x348 + 0x348 + 0x20 + + + + .debug_aranges + 0x368 + 0x368 + 0x28 + + + + .debug_aranges + 0x390 + 0x390 + 0x20 + + + + .debug_aranges + 0x3b0 + 0x3b0 + 0x20 + + + + .debug_aranges + 0x3d0 + 0x3d0 + 0x20 + + + + .debug_aranges + 0x3f0 + 0x3f0 + 0x20 + + + + .debug_aranges + 0x410 + 0x410 + 0x20 + + + + .debug_aranges + 0x430 + 0x430 + 0x20 + + + + .debug_aranges + 0x450 + 0x450 + 0x28 + + + + .debug_aranges + 0x478 + 0x478 + 0x20 + + + + .debug_aranges + 0x498 + 0x498 + 0x20 + + + + .debug_aranges + 0x4b8 + 0x4b8 + 0x20 + + + + .debug_aranges + 0x4d8 + 0x4d8 + 0x20 + + + + .debug_aranges + 0x4f8 + 0x4f8 + 0x20 + + + + .debug_aranges + 0x518 + 0x518 + 0x20 + + + + .debug_aranges + 0x538 + 0x538 + 0x20 + + + + .debug_aranges + 0x558 + 0x558 + 0x20 + + + + .debug_aranges + 0x578 + 0x578 + 0x20 + + + + .debug_aranges + 0x598 + 0x598 + 0x20 + + + + .debug_aranges + 0x5b8 + 0x5b8 + 0x20 + + + + .debug_aranges + 0x5d8 + 0x5d8 + 0x20 + + + + .debug_aranges + 0x5f8 + 0x5f8 + 0x20 + + + + .debug_aranges + 0x618 + 0x618 + 0x20 + + + + .debug_aranges + 0x638 + 0x638 + 0x20 + + + + .debug_aranges + 0x658 + 0x658 + 0x30 + + + + .debug_aranges + 0x688 + 0x688 + 0x20 + + + + .debug_aranges + 0x6a8 + 0x6a8 + 0x20 + + + + .debug_aranges + 0x6c8 + 0x6c8 + 0x20 + + + + .debug_aranges + 0x6e8 + 0x6e8 + 0x20 + + + + .debug_aranges + 0x708 + 0x708 + 0x28 + + + + .debug_aranges + 0x730 + 0x730 + 0x20 + + + + .debug_aranges + 0x750 + 0x750 + 0x20 + + + + .debug_aranges + 0x770 + 0x770 + 0x20 + + + + .debug_aranges + 0x790 + 0x790 + 0x20 + + + + .debug_aranges + 0x7b0 + 0x7b0 + 0x20 + + + + .debug_aranges + 0x7d0 + 0x7d0 + 0x20 + + + + .debug_aranges + 0x7f0 + 0x7f0 + 0x28 + + + + .debug_aranges + 0x818 + 0x818 + 0x20 + + + + .debug_aranges + 0x838 + 0x838 + 0x20 + + + + .debug_aranges + 0x858 + 0x858 + 0x20 + + + + .debug_aranges + 0x878 + 0x878 + 0x20 + + + + .debug_aranges + 0x898 + 0x898 + 0x20 + + + + .debug_aranges + 0x8b8 + 0x8b8 + 0x20 + + + + .debug_aranges + 0x8d8 + 0x8d8 + 0x20 + + + + .debug_aranges + 0x8f8 + 0x8f8 + 0x20 + + + + .debug_aranges + 0x918 + 0x918 + 0x20 + + + + .debug_aranges + 0x938 + 0x938 + 0x20 + + + + .debug_aranges + 0x958 + 0x958 + 0x20 + + + + .debug_aranges + 0x978 + 0x978 + 0x20 + + + + .debug_aranges + 0x998 + 0x998 + 0x20 + + + + .debug_aranges + 0x9b8 + 0x9b8 + 0x20 + + + + .debug_aranges + 0x9d8 + 0x9d8 + 0x20 + + + + .debug_aranges + 0x9f8 + 0x9f8 + 0x20 + + + + .debug_aranges + 0xa18 + 0xa18 + 0x20 + + + + .debug_aranges + 0xa38 + 0xa38 + 0x20 + + + + .debug_aranges + 0xa58 + 0xa58 + 0x20 + + + + .debug_aranges + 0xa78 + 0xa78 + 0x20 + + + + .debug_aranges + 0xa98 + 0xa98 + 0x20 + + + + .debug_aranges + 0xab8 + 0xab8 + 0x20 + + + + .debug_aranges + 0xad8 + 0xad8 + 0x20 + + + + .debug_aranges + 0xaf8 + 0xaf8 + 0x20 + + + + .debug_aranges + 0xb18 + 0xb18 + 0x20 + + + + .debug_aranges + 0xb38 + 0xb38 + 0x20 + + + + .debug_aranges + 0xb58 + 0xb58 + 0x20 + + + + .debug_aranges + 0xb78 + 0xb78 + 0x20 + + + + .debug_aranges + 0xb98 + 0xb98 + 0x20 + + + + .debug_aranges + 0xbb8 + 0xbb8 + 0x20 + + + + .debug_aranges + 0xbd8 + 0xbd8 + 0x20 + + + + .debug_aranges + 0xbf8 + 0xbf8 + 0x20 + + + + .debug_aranges + 0xc18 + 0xc18 + 0x20 + + + + .debug_aranges + 0xc38 + 0xc38 + 0x20 + + + + .debug_aranges + 0xc58 + 0xc58 + 0x20 + + + + .debug_aranges + 0xc78 + 0xc78 + 0x20 + + + + .debug_aranges + 0xc98 + 0xc98 + 0x20 + + + + .debug_aranges + 0xcb8 + 0xcb8 + 0x20 + + + + .debug_aranges + 0xcd8 + 0xcd8 + 0x20 + + + + .debug_aranges + 0xcf8 + 0xcf8 + 0x20 + + + + .debug_aranges + 0xd18 + 0xd18 + 0x20 + + + + .debug_aranges + 0xd38 + 0xd38 + 0x20 + + + + .debug_aranges + 0xd58 + 0xd58 + 0x20 + + + + .debug_aranges + 0xd78 + 0xd78 + 0x20 + + + + .debug_aranges + 0xd98 + 0xd98 + 0x20 + + + + .debug_aranges + 0xdb8 + 0xdb8 + 0x20 + + + + .debug_aranges + 0xdd8 + 0xdd8 + 0x20 + + + + .debug_aranges + 0xdf8 + 0xdf8 + 0x20 + + + + .debug_aranges + 0xe18 + 0xe18 + 0x20 + + + + .debug_aranges + 0xe38 + 0xe38 + 0x20 + + + + .debug_aranges + 0xe58 + 0xe58 + 0x20 + + + + .debug_aranges + 0xe78 + 0xe78 + 0x20 + + + + .debug_aranges + 0xe98 + 0xe98 + 0x20 + + + + .debug_aranges + 0xeb8 + 0xeb8 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1e + + + + .debug_pubnames + 0x1e + 0x1e + 0x1b + + + + .debug_pubnames + 0x39 + 0x39 + 0x1c + + + + .debug_pubnames + 0x55 + 0x55 + 0x1c + + + + .debug_pubnames + 0x71 + 0x71 + 0x1d + + + + .debug_pubnames + 0x8e + 0x8e + 0x21 + + + + .debug_pubnames + 0xaf + 0xaf + 0x25 + + + + .debug_pubnames + 0xd4 + 0xd4 + 0x1e + + + + .debug_pubnames + 0xf2 + 0xf2 + 0x24 + + + + .debug_pubnames + 0x116 + 0x116 + 0x1b + + + + .debug_pubnames + 0x131 + 0x131 + 0x1c + + + + .debug_pubnames + 0x14d + 0x14d + 0x1c + + + + .debug_pubnames + 0x169 + 0x169 + 0x1f + + + + .debug_pubnames + 0x188 + 0x188 + 0x1b + + + + .debug_pubnames + 0x1a3 + 0x1a3 + 0x1c + + + + .debug_pubnames + 0x1bf + 0x1bf + 0x1f + + + + .debug_pubnames + 0x1de + 0x1de + 0x1f + + + + .debug_pubnames + 0x1fd + 0x1fd + 0x1b + + + + .debug_pubnames + 0x218 + 0x218 + 0x1f + + + + .debug_pubnames + 0x237 + 0x237 + 0x22 + + + + .debug_pubnames + 0x259 + 0x259 + 0x20 + + + + .debug_pubnames + 0x279 + 0x279 + 0x21 + + + + .debug_pubnames + 0x29a + 0x29a + 0x22 + + + + .debug_pubnames + 0x2bc + 0x2bc + 0x23 + + + + .debug_pubnames + 0x2df + 0x2df + 0x1c + + + + .debug_pubnames + 0x2fb + 0x2fb + 0x1c + + + + .debug_pubnames + 0x317 + 0x317 + 0x22 + + + + .debug_pubnames + 0x339 + 0x339 + 0x1e + + + + .debug_pubnames + 0x357 + 0x357 + 0x1d + + + + .debug_pubnames + 0x374 + 0x374 + 0x1c + + + + .debug_pubnames + 0x390 + 0x390 + 0x1d + + + + .debug_pubnames + 0x3ad + 0x3ad + 0x24 + + + + .debug_pubnames + 0x3d1 + 0x3d1 + 0x24 + + + + .debug_pubnames + 0x3f5 + 0x3f5 + 0x25 + + + + .debug_pubnames + 0x41a + 0x41a + 0x2b + + + + .debug_pubnames + 0x445 + 0x445 + 0x2b + + + + .debug_pubnames + 0x470 + 0x470 + 0x24 + + + + .debug_pubnames + 0x494 + 0x494 + 0x24 + + + + .debug_pubnames + 0x4b8 + 0x4b8 + 0x29 + + + + .debug_pubnames + 0x4e1 + 0x4e1 + 0x29 + + + + .debug_pubnames + 0x50a + 0x50a + 0x2b + + + + .debug_pubnames + 0x535 + 0x535 + 0x2a + + + + .debug_pubnames + 0x55f + 0x55f + 0x1d + + + + .debug_pubnames + 0x57c + 0x57c + 0x26 + + + + .debug_pubnames + 0x5a2 + 0x5a2 + 0x3e + + + + .debug_pubnames + 0x5e0 + 0x5e0 + 0x2e + + + + .debug_pubnames + 0x60e + 0x60e + 0x27 + + + + .debug_pubnames + 0x635 + 0x635 + 0x25 + + + + .debug_pubnames + 0x65a + 0x65a + 0x25 + + + + .debug_pubnames + 0x67f + 0x67f + 0x26 + + + + .debug_pubnames + 0x6a5 + 0x6a5 + 0x27 + + + + .debug_pubnames + 0x6cc + 0x6cc + 0x29 + + + + .debug_pubnames + 0x6f5 + 0x6f5 + 0x2b + + + + .debug_pubnames + 0x720 + 0x720 + 0x2b + + + + .debug_pubnames + 0x74b + 0x74b + 0x24 + + + + .debug_pubnames + 0x76f + 0x76f + 0x24 + + + + .debug_pubnames + 0x793 + 0x793 + 0x24 + + + + .debug_pubnames + 0x7b7 + 0x7b7 + 0x25 + + + + .debug_pubnames + 0x7dc + 0x7dc + 0x26 + + + + .debug_pubnames + 0x802 + 0x802 + 0x25 + + + + .debug_pubnames + 0x827 + 0x827 + 0x26 + + + + .debug_pubnames + 0x84d + 0x84d + 0x23 + + + + .debug_pubnames + 0x870 + 0x870 + 0x24 + + + + .debug_pubnames + 0x894 + 0x894 + 0x24 + + + + .debug_pubnames + 0x8b8 + 0x8b8 + 0x24 + + + + .debug_pubnames + 0x8dc + 0x8dc + 0x36 + + + + .debug_pubnames + 0x912 + 0x912 + 0x1c + + + + .debug_pubnames + 0x92e + 0x92e + 0x1b + + + + .debug_pubnames + 0x949 + 0x949 + 0x1c + + + + .debug_pubnames + 0x965 + 0x965 + 0x1e + + + + .debug_pubnames + 0x983 + 0x983 + 0x1b + + + + .debug_pubnames + 0x99e + 0x99e + 0x20 + + + + .debug_pubnames + 0x9be + 0x9be + 0x1b + + + + .debug_pubnames + 0x9d9 + 0x9d9 + 0x1f + + + + .debug_pubnames + 0x9f8 + 0x9f8 + 0x23 + + + + .debug_pubnames + 0xa1b + 0xa1b + 0x1e + + + + .debug_pubnames + 0xa39 + 0xa39 + 0x22 + + + + .debug_pubnames + 0xa5b + 0xa5b + 0x1e + + + + .debug_pubnames + 0xa79 + 0xa79 + 0x1e + + + + .debug_pubnames + 0xa97 + 0xa97 + 0x1d + + + + .debug_pubnames + 0xab4 + 0xab4 + 0x1d + + + + .debug_pubnames + 0xad1 + 0xad1 + 0x22 + + + + .debug_pubnames + 0xaf3 + 0xaf3 + 0x2c + + + + .debug_pubnames + 0xb1f + 0xb1f + 0x1f + + + + .debug_pubnames + 0xb3e + 0xb3e + 0x1d + + + + .debug_pubnames + 0xb5b + 0xb5b + 0x27 + + + + .debug_pubnames + 0xb82 + 0xb82 + 0x27 + + + + .debug_pubnames + 0xba9 + 0xba9 + 0x1b + + + + .debug_pubnames + 0xbc4 + 0xbc4 + 0x1c + + + + .debug_pubnames + 0xbe0 + 0xbe0 + 0x24 + + + + .debug_pubnames + 0xc04 + 0xc04 + 0x1d + + + + .debug_pubnames + 0xc21 + 0xc21 + 0x1d + + + + .debug_pubnames + 0xc3e + 0xc3e + 0x1d + + + + .debug_pubnames + 0xc5b + 0xc5b + 0x1e + + + + .debug_pubnames + 0xc79 + 0xc79 + 0x1c + + + + .debug_pubnames + 0xc95 + 0xc95 + 0x1e + + + + .debug_pubnames + 0xcb3 + 0xcb3 + 0x1e + + + + .debug_pubnames + 0xcd1 + 0xcd1 + 0x1a + + + + .debug_pubnames + 0xceb + 0xceb + 0x1c + + + + .debug_pubnames + 0xd07 + 0xd07 + 0x23 + + + + .debug_pubnames + 0xd2a + 0xd2a + 0x23 + + + + .debug_pubnames + 0xd4d + 0xd4d + 0x1c + + + + .debug_pubnames + 0xd69 + 0xd69 + 0x1f + + + + .debug_pubnames + 0xd88 + 0xd88 + 0x27 + + + + .debug_pubnames + 0xdaf + 0xdaf + 0x25 + + + + .debug_pubnames + 0xdd4 + 0xdd4 + 0x27 + + + + .debug_pubnames + 0xdfb + 0xdfb + 0x24 + + + + .debug_pubnames + 0xe1f + 0xe1f + 0x27 + + + + .debug_pubnames + 0xe46 + 0xe46 + 0x25 + + + + .debug_pubnames + 0xe6b + 0xe6b + 0x25 + + + + .debug_pubnames + 0xe90 + 0xe90 + 0x23 + + + + .debug_pubnames + 0xeb3 + 0xeb3 + 0x20 + + + + .debug_pubnames + 0xed3 + 0xed3 + 0x20 + + + + .debug_pubnames + 0xef3 + 0xef3 + 0x1e + + + + .debug_pubnames + 0xf11 + 0xf11 + 0x1f + + + + .debug_pubnames + 0xf30 + 0xf30 + 0x1f + + + + .debug_pubnames + 0xf4f + 0xf4f + 0x21 + + + + .debug_pubnames + 0xf70 + 0xf70 + 0x21 + + + + .debug_pubnames + 0xf91 + 0xf91 + 0x20 + + + + .debug_pubnames + 0xfb1 + 0xfb1 + 0x1f + + + + .debug_pubnames + 0xfd0 + 0xfd0 + 0x24 + + + + .debug_pubnames + 0xff4 + 0xff4 + 0x23 + + + + .debug_pubnames + 0x1017 + 0x1017 + 0x1c + + + + .debug_pubnames + 0x1033 + 0x1033 + 0x25 + + + + .debug_pubnames + 0x1058 + 0x1058 + 0x21 + + + + .debug_pubnames + 0x1079 + 0x1079 + 0x20 + + + + .debug_pubnames + 0x1099 + 0x1099 + 0x1d + + + + .debug_pubnames + 0x10b6 + 0x10b6 + 0x1d + + + + .debug_pubnames + 0x10d3 + 0x10d3 + 0x1e + + + + .debug_pubnames + 0x10f1 + 0x10f1 + 0x1c + + + + .debug_pubnames + 0x110d + 0x110d + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xed + + + + .debug_pubtypes + 0x349 + 0x349 + 0x320 + + + + .debug_pubtypes + 0x669 + 0x669 + 0x3d + + + + .debug_pubtypes + 0x6a6 + 0x6a6 + 0x1e + + + + .debug_pubtypes + 0x6c4 + 0x6c4 + 0x27 + + + + .debug_pubtypes + 0x6eb + 0x6eb + 0x1e + + + + .debug_pubtypes + 0x709 + 0x709 + 0x2c + + + + .debug_pubtypes + 0x735 + 0x735 + 0x97 + + + + .debug_pubtypes + 0x7cc + 0x7cc + 0x3b + + + + .debug_pubtypes + 0x807 + 0x807 + 0x38 + + + + .debug_pubtypes + 0x83f + 0x83f + 0x1d + + + + .debug_pubtypes + 0x85c + 0x85c + 0x1d + + + + .debug_pubtypes + 0x879 + 0x879 + 0x32 + + + + .debug_pubtypes + 0x8ab + 0x8ab + 0x2e + + + + .debug_pubtypes + 0x8d9 + 0x8d9 + 0x29 + + + + .debug_pubtypes + 0x902 + 0x902 + 0x3e + + + + .debug_pubtypes + 0x940 + 0x940 + 0x1e + + + + .debug_pubtypes + 0x95e + 0x95e + 0x32 + + + + .debug_pubtypes + 0x990 + 0x990 + 0x21 + + + + .debug_pubtypes + 0x9b1 + 0x9b1 + 0x1f + + + + .debug_pubtypes + 0x9d0 + 0x9d0 + 0x50 + + + + .debug_pubtypes + 0xa20 + 0xa20 + 0x48 + + + + .debug_pubtypes + 0xa68 + 0xa68 + 0x48 + + + + .debug_pubtypes + 0xab0 + 0xab0 + 0x1d + + + + .debug_pubtypes + 0xacd + 0xacd + 0x5d + + + + .debug_pubtypes + 0xb2a + 0xb2a + 0x48 + + + + .debug_pubtypes + 0xb72 + 0xb72 + 0x35 + + + + .debug_pubtypes + 0xba7 + 0xba7 + 0x30 + + + + .debug_pubtypes + 0xbd7 + 0xbd7 + 0x26 + + + + .debug_pubtypes + 0xbfd + 0xbfd + 0x1d + + + + .debug_pubtypes + 0xc1a + 0xc1a + 0x2e + + + + .debug_pubtypes + 0xc48 + 0xc48 + 0x1c + + + + .debug_pubtypes + 0xc64 + 0xc64 + 0x1e + + + + + + .bss + 0x2876 + 0xaa + + + + + + + + .data + 0x2778 + 0xfe + + + + + + + + + + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x2400 + 0x258 + + + + + + + .stack + 0x4360 + 0xa0 + + + + + + + .text + 0x4400 + 0x4400 + 0x4714 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x8c62 + 0x8c62 + 0x8 + + + + + + .cinit + 0x8c6a + 0x8c6a + 0x56 + + + + + + + + + .const + 0x8b14 + 0x8b14 + 0x14e + + + + + + + + + .cio + 0x2658 + 0x120 + + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x1684f + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x6268 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x1e40 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x52d6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x2d41 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0xed8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x112a + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xc82 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SEGMENT_0 + 0x2400 + 0x520 + 0x6 + + + + + + + + + SEGMENT_1 + 0x4360 + 0xa0 + 0x6 + + + + + + SEGMENT_2 + 0x4400 + 0x4400 + 0x48c0 + 0x5 + + + + + + + + + SEGMENT_3 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x5c0 + 0x1a40 + RWIX + + + 0x2400 + 0x258 + + + + 0x2658 + 0x120 + + + + 0x2778 + 0xfe + + + + 0x2876 + 0xaa + + + + 0x2920 + 0x1a40 + + + 0x4360 + 0xa0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x48c0 + 0x72c0 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x4714 + + + + 0x8b14 + 0x14e + + + + 0x8c62 + 0x8 + + + + 0x8c6a + 0x56 + + + + 0x8cc0 + 0x72c0 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x8c6a + 0x44 + 0x2778 + 0xfe + lzss + + + .bss + 0x8cb4 + 0x4 + 0x2876 + 0xaa + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __TI_CINIT_Base + 0x8cb8 + + + __TI_CINIT_Limit + 0x8cc0 + + + __TI_Handler_Table_Base + 0x8cae + + + __TI_Handler_Table_Limit + 0x8cb4 + + + __STACK_SIZE + 0xa0 + + + __STACK_END + 0x4400 + + + __SYSMEM_SIZE + 0x258 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + main + 0x79b4 + + + + printf + 0x864e + + + + __TI_printfi + 0x55ce + + + + putc + 0x7924 + + + + fputc + 0x7924 + + + + fputs + 0x717e + + + + __TI_wrt_ok + 0x7c5a + + + + setvbuf + 0x74f6 + + + + wcslen + 0x8a52 + + + + frexpl + 0x768a + + + + frexp + 0x768a + + + + scalbn + 0x6bac + + + + scalbnl + 0x6bac + + + + ldexpl + 0x6bac + + + + ldexp + 0x6bac + + + + __mspabi_srai + 0x87a8 + + + + __mspabi_srai_8 + 0x87c2 + + + + __mspabi_srai_9 + 0x87c0 + + + + __mspabi_srai_6 + 0x87c6 + + + + __mspabi_srai_7 + 0x87c4 + + + + __mspabi_srai_4 + 0x87ca + + + + __mspabi_srai_5 + 0x87c8 + + + + __mspabi_srai_2 + 0x87ce + + + + __mspabi_srai_3 + 0x87cc + + + + __mspabi_srai_1 + 0x87d0 + + + + __mspabi_srai_15 + 0x87b4 + + + + __mspabi_srai_14 + 0x87b6 + + + + __mspabi_srai_13 + 0x87b8 + + + + __mspabi_srai_12 + 0x87ba + + + + __mspabi_srai_11 + 0x87bc + + + + __mspabi_srai_10 + 0x87be + + + + __mspabi_remu + 0x89ec + + + + __mspabi_divu + 0x89ec + + + + __mspabi_remul + 0x8268 + + + + __mspabi_divul + 0x8268 + + + + __mspabi_func_epilog_2 + 0x8aa6 + + + + __mspabi_func_epilog_3 + 0x8aa4 + + + + __mspabi_func_epilog_1 + 0x8aa8 + + + + __mspabi_func_epilog_6 + 0x8a9e + + + + __mspabi_func_epilog_7 + 0x8a9c + + + + __mspabi_func_epilog_4 + 0x8aa2 + + + + __mspabi_func_epilog_5 + 0x8aa0 + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x8c62 + + + + __mspabi_slli + 0x87d4 + + + + __mspabi_slli_9 + 0x87ec + + + + __mspabi_slli_8 + 0x87ee + + + + __mspabi_slli_7 + 0x87f0 + + + + __mspabi_slli_6 + 0x87f2 + + + + __mspabi_slli_5 + 0x87f4 + + + + __mspabi_slli_4 + 0x87f6 + + + + __mspabi_slli_3 + 0x87f8 + + + + __mspabi_slli_2 + 0x87fa + + + + __mspabi_slli_1 + 0x87fc + + + + __mspabi_slli_15 + 0x87e0 + + + + __mspabi_slli_14 + 0x87e2 + + + + __mspabi_slli_13 + 0x87e4 + + + + __mspabi_slli_12 + 0x87e6 + + + + __mspabi_slli_11 + 0x87e8 + + + + __mspabi_slli_10 + 0x87ea + + + + __mspabi_srli_8 + 0x838a + + + + __mspabi_srli_9 + 0x8386 + + + + __mspabi_srli_6 + 0x8392 + + + + __mspabi_srli_7 + 0x838e + + + + __mspabi_srli_4 + 0x839a + + + + __mspabi_srli_5 + 0x8396 + + + + __mspabi_srli_2 + 0x83a2 + + + + __mspabi_srli_3 + 0x839e + + + + __mspabi_srli_1 + 0x83a6 + + + + __mspabi_srli + 0x8360 + + + + __mspabi_srli_15 + 0x836e + + + + __mspabi_srli_14 + 0x8372 + + + + __mspabi_srli_13 + 0x8376 + + + + __mspabi_srli_12 + 0x837a + + + + __mspabi_srli_11 + 0x837e + + + + __mspabi_srli_10 + 0x8382 + + + + __mspabi_mpyi_f5hw + 0x8a3e + + + + __mspabi_mpyl_f5hw + 0x8962 + + + + __mspabi_mpyull_f5hw + 0x8856 + + + + __mspabi_mpyll_f5hw + 0x80fe + + + + _stack + 0x4360 + + + + _c_int00_noargs + 0x8982 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x81b8 + + + + __TI_zero_init_nomemset + 0x8a02 + + + + __TI_dtors_ptr + 0x2868 + + + + __TI_cleanup_ptr + 0x2866 + + + + __mspabi_srlll + 0x8440 + + + + __mspabi_divull + 0x4fae + + + + _system_pre_init + 0x8b0c + + + + _system_post_cinit + 0x8b12 + + + + __TI_decompress_none + 0x8a66 + + + + __TI_decompress_lzss + 0x7bde + + + + __mspabi_addd + 0x4400 + + + + __mspabi_cmpd + 0x7348 + + + + __mspabi_divd + 0x5f5a + + + + __mspabi_fixdi + 0x887e + + + + __mspabi_fixdli + 0x7dc0 + + + + __mspabi_fltid + 0x8aca + + + + __mspabi_fltlid + 0x773a + + + + __TI_frcdivd + 0x4b36 + + + + __mspabi_mpyd + 0x530e + + + + __mspabi_negd + 0x882c + + + + __mspabi_subd + 0x8720 + + + + C$$EXIT + 0x8b02 + + + + abort + 0x8b02 + + + + __TI_enable_exit_profile_output + 0x2872 + + + + exit + 0x850e + + + + _nop + 0x8b10 + + + + _lock + 0x286a + + + + _unlock + 0x286c + + + + __TI_ltoa + 0x7b5a + + + + atoi + 0x82c0 + + + + _ctypes_ + 0x8b14 + + + + __TI_tmpnams + 0x2876 + + + _ftable + 0x2778 + + + + __TI_ft_end + 0x286e + + + + islower + 0x8aec + + + + memccpy + 0x8940 + + + + memchr + 0x8a16 + + + + memcpy + 0x8a8a + + + + _sys_memory + 0x2400 + + + + free + 0x7424 + + + + memalign + 0x7088 + + + + malloc + 0x8af4 + + + + aligned_alloc + 0x7088 + + + + memset + 0x8a2a + + + + strchr + 0x89ba + + + + strlen + 0x8abc + + + + toupper + 0x8ad6 + + + + write + 0x891c + + + + _stream + 0x283e + + + + _device + 0x27f0 + + + + abs + 0x8ae2 + + + + errno + 0x2870 + + + + __TI_doflush + 0x803c + + + + __TI_cleanup + 0x868a + + + + fseek + 0x7e32 + + + + copysign + 0x874e + + + + copysignl + 0x874e + + + + __mspabi_sral_15 + 0x85d2 + + + + __mspabi_sral_14 + 0x85d6 + + + + __mspabi_sral_13 + 0x85da + + + + __mspabi_sral_12 + 0x85de + + + + __mspabi_sral_11 + 0x85e2 + + + + __mspabi_sral_10 + 0x85e6 + + + + __mspabi_sral_8 + 0x85ee + + + + __mspabi_sral_9 + 0x85ea + + + + __mspabi_sral_6 + 0x85f6 + + + + __mspabi_sral_7 + 0x85f2 + + + + __mspabi_sral_4 + 0x85fe + + + + __mspabi_sral_5 + 0x85fa + + + + __mspabi_sral_2 + 0x8606 + + + + __mspabi_sral_3 + 0x8602 + + + + __mspabi_sral_1 + 0x860a + + + + __mspabi_remli + 0x8592 + + + + __mspabi_divli + 0x8592 + + + + __mspabi_slll_9 + 0x8628 + + + + __mspabi_slll_8 + 0x862c + + + + __mspabi_slll_7 + 0x8630 + + + + __mspabi_slll_6 + 0x8634 + + + + __mspabi_slll_5 + 0x8638 + + + + __mspabi_slll_4 + 0x863c + + + + __mspabi_slll_3 + 0x8640 + + + + __mspabi_slll_2 + 0x8644 + + + + __mspabi_slll_1 + 0x8648 + + + + __mspabi_slll_15 + 0x8610 + + + + __mspabi_slll_14 + 0x8614 + + + + __mspabi_slll_13 + 0x8618 + + + + __mspabi_slll_12 + 0x861c + + + + __mspabi_slll_11 + 0x8620 + + + + __mspabi_slll_10 + 0x8624 + + + + __mspabi_srll_8 + 0x8186 + + + + __mspabi_srll_9 + 0x8180 + + + + __mspabi_srll_6 + 0x8192 + + + + __mspabi_srll_7 + 0x818c + + + + __mspabi_srll_4 + 0x819e + + + + __mspabi_srll_5 + 0x8198 + + + + __mspabi_srll_2 + 0x81aa + + + + __mspabi_srll_3 + 0x81a4 + + + + __mspabi_srll_1 + 0x81b0 + + + + __mspabi_srll_15 + 0x815c + + + + __mspabi_srll_14 + 0x8162 + + + + __mspabi_srll_13 + 0x8168 + + + + __mspabi_srll_12 + 0x816e + + + + __mspabi_srll_11 + 0x8174 + + + + __mspabi_srll_10 + 0x817a + + + + __mspabi_srll + 0x8a78 + + + + __mspabi_srall + 0x83ac + + + + __mspabi_sllll + 0x84ca + + + + __TI_frcmpyd + 0x6a3a + + + + HOSTclose + 0x8486 + + + + HOSTlseek + 0x7ad2 + + + + parmbuf + 0x2916 + + + HOSTopen + 0x7f74 + + + + HOSTread + 0x809e + + + + HOSTrename + 0x7ea2 + + + + HOSTunlink + 0x8552 + + + + HOSTwrite + 0x7fda + + + + __TI_readmsg + 0x877c + + + + C$$IO$$ + 0x871c + + + + _CIOBUF_ + 0x2658 + + + + __TI_writemsg + 0x86f2 + + + + lseek + 0x88f6 + + + + __TI_closefile + 0x7d4e + + + + finddevice + 0x86be + + + + getdevice + 0x8210 + + + + strcmp + 0x89d4 + + + + strcpy + 0x8aac + + + + strncpy + 0x8800 + + + + close + 0x83f6 + + + + unlink + 0x88ce + + + + remove + 0x88ce + + + Link successful +
diff --git a/CPE325/L1_Problem2/Debug/L2_Problem2.map b/CPE325/L1_Problem2/Debug/L2_Problem2.map new file mode 100644 index 0000000..a50a57e --- /dev/null +++ b/CPE325/L1_Problem2/Debug/L2_Problem2.map @@ -0,0 +1,2443 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Aug 27 13:49:19 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00008982 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 000005c0 00001a40 RWIX + FLASH 00004400 0000bb80 000048c0 000072c0 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00002778 000000fe UNINITIALIZED + 00002778 00000078 rts430_eabi.lib : defs.c.obj (.data:_ftable) + 000027f0 0000004e : host_device.c.obj (.data:_device) + 0000283e 00000028 : host_device.c.obj (.data:_stream) + 00002866 00000002 : exit_gvars.c.obj (.data:__TI_cleanup_ptr) + 00002868 00000002 : exit_gvars.c.obj (.data:__TI_dtors_ptr) + 0000286a 00000002 : _lock.c.obj (.data:_lock) + 0000286c 00000002 : _lock.c.obj (.data:_unlock) + 0000286e 00000002 : defs.c.obj (.data) + 00002870 00000002 : errno.c.obj (.data) + 00002872 00000002 : exit.c.obj (.data) + 00002874 00000002 : memory.c.obj (.data) + +.bss 0 00002876 000000aa UNINITIALIZED + 00002876 000000a0 (.common:__TI_tmpnams) + 00002916 00000008 (.common:parmbuf) + 0000291e 00000002 rts430_eabi.lib : memory.c.obj (.bss) + +.sysmem 0 00002400 00000258 UNINITIALIZED + 00002400 00000008 rts430_eabi.lib : memory.c.obj (.sysmem) + 00002408 00000250 --HOLE-- + +.cio 0 00002658 00000120 UNINITIALIZED + 00002658 00000120 rts430_eabi.lib : trgmsg.c.obj (.cio) + +.stack 0 00004360 000000a0 UNINITIALIZED + 00004360 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 00004362 0000009e --HOLE-- + +.text 0 00004400 00004714 + 00004400 00000736 rts430_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 00004b36 00000478 : frcdivd.c.obj (.text:__TI_frcdivd) + 00004fae 00000360 : div64u.c.obj (.text:__mspabi_divull) + 0000530e 000002c0 : mpyd.c.obj (.text:__mspabi_mpyd) + 000055ce 00000288 : _printfi.c.obj (.text:__TI_printfi) + 00005856 0000026e : _printfi.c.obj (.text:_pproc_fgea) + 00005ac4 00000252 : _printfi.c.obj (.text:_setfield) + 00005d16 00000244 : _printfi.c.obj (.text:acvt) + 00005f5a 00000238 : divd.c.obj (.text:__mspabi_divd) + 00006192 000001ec : _printfi.c.obj (.text:_getarg_diouxp) + 0000637e 000001de : _printfi.c.obj (.text:ecvt) + 0000655c 000001c4 : _printfi.c.obj (.text:fcvt) + 00006720 000001a6 : _printfi.c.obj (.text:_pconv_e) + 000068c6 00000174 : _printfi.c.obj (.text:_pconv_a) + 00006a3a 00000172 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 00006bac 0000016a : s_scalbn.c.obj (.text:scalbn) + 00006d16 0000014c : _printfi.c.obj (.text:_pproc_diouxp) + 00006e62 0000012e : _printfi.c.obj (.text:_ltostr) + 00006f90 000000f8 : _printfi.c.obj (.text:_pconv_g) + 00007088 000000f6 : memory.c.obj (.text:aligned_alloc) + 0000717e 000000ea : fputs.c.obj (.text:fputs) + 00007268 000000e0 : _printfi.c.obj (.text:_pproc_fwp) + 00007348 000000dc : cmpd.c.obj (.text:__mspabi_cmpd) + 00007424 000000d2 : memory.c.obj (.text:free) + 000074f6 000000d0 : setvbuf.c.obj (.text:setvbuf) + 000075c6 000000c4 : _printfi.c.obj (.text:_pproc_wstr) + 0000768a 000000b0 : s_frexp.c.obj (.text:frexp) + 0000773a 000000ac : fltlid.c.obj (.text:__mspabi_fltlid) + 000077e6 000000ac : _printfi.c.obj (.text:_pproc_str) + 00007892 00000092 : _printfi.c.obj (.text:_mcpy) + 00007924 00000090 : fputc.c.obj (.text:fputc) + 000079b4 00000090 problem2.obj (.text:main) + 00007a44 0000008e rts430_eabi.lib : _printfi.c.obj (.text:_ecpy) + 00007ad2 00000088 : hostlseek.c.obj (.text:HOSTlseek) + 00007b5a 00000084 : _ltoa.c.obj (.text:__TI_ltoa) + 00007bde 0000007c : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00007c5a 0000007a : _io_perm.c.obj (.text:__TI_wrt_ok) + 00007cd4 0000007a : _printfi.c.obj (.text:_pconv_f) + 00007d4e 00000072 : fclose.c.obj (.text:__TI_closefile) + 00007dc0 00000072 : fixdli.c.obj (.text:__mspabi_fixdli) + 00007e32 00000070 : fseek.c.obj (.text:fseek) + 00007ea2 0000006a : hostrename.c.obj (.text:HOSTrename) + 00007f0c 00000068 : memory.c.obj (.text:split) + 00007f74 00000066 : hostopen.c.obj (.text:HOSTopen) + 00007fda 00000062 : hostwrite.c.obj (.text:HOSTwrite) + 0000803c 00000062 : fflush.c.obj (.text:__TI_doflush) + 0000809e 00000060 : hostread.c.obj (.text:HOSTread) + 000080fe 0000005e : mult64_f5hw.asm.obj (.text:__mpyll) + 0000815c 0000005c : lsr32.asm.obj (.text:l_lsr_const) + 000081b8 00000058 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00008210 00000058 : getdevice.c.obj (.text:getdevice) + 00008268 00000058 : div32u.asm.obj (.text) + 000082c0 00000052 : atoi.c.obj (.text:atoi) + 00008312 0000004e : _printfi.c.obj (.text:_fcpy) + 00008360 0000004c : lsr16.asm.obj (.text) + 000083ac 0000004a : asr64.c.obj (.text:__mspabi_srall) + 000083f6 0000004a : close.c.obj (.text:close) + 00008440 00000046 : lsr64.c.obj (.text:__mspabi_srlll) + 00008486 00000044 : hostclose.c.obj (.text:HOSTclose) + 000084ca 00000044 : lsl64.c.obj (.text:__mspabi_sllll) + 0000850e 00000044 : exit.c.obj (.text:exit) + 00008552 00000040 : hostunlink.c.obj (.text:HOSTunlink) + 00008592 00000040 : div32s.asm.obj (.text) + 000085d2 0000003e : asr32.asm.obj (.text:l_asr_const) + 00008610 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 0000864e 0000003c : printf.c.obj (.text:printf) + 0000868a 00000034 : fopen.c.obj (.text:__TI_cleanup) + 000086be 00000034 : getdevice.c.obj (.text:finddevice) + 000086f2 0000002e : trgmsg.c.obj (.text:__TI_writemsg) + 00008720 0000002e : subd.c.obj (.text:__mspabi_subd) + 0000874e 0000002e : s_copysign.c.obj (.text:copysign) + 0000877c 0000002c : trgmsg.c.obj (.text:__TI_readmsg) + 000087a8 0000002c : asr16.asm.obj (.text) + 000087d4 0000002c : lsl16.asm.obj (.text) + 00008800 0000002c : strncpy.c.obj (.text:strncpy) + 0000882c 0000002a : negd.c.obj (.text:__mspabi_negd) + 00008856 00000028 : mult3264_f5hw.asm.obj (.text:__mpyull) + 0000887e 00000028 : fixdi.c.obj (.text:__mspabi_fixdi) + 000088a6 00000028 : memory.c.obj (.text:free_list_insert) + 000088ce 00000028 : unlink.c.obj (.text:unlink) + 000088f6 00000026 : lseek.c.obj (.text:lseek) + 0000891c 00000024 : write.c.obj (.text:write) + 00008940 00000022 : memccpy.c.obj (.text:memccpy) + 00008962 00000020 : mult32_f5hw.asm.obj (.text) + 00008982 0000001c : boot.c.obj (.text:_c_int00_noargs) + 0000899e 0000001c : memory.c.obj (.text:free_list_remove) + 000089ba 0000001a : strchr.c.obj (.text:strchr) + 000089d4 00000018 : strcmp.c.obj (.text:strcmp) + 000089ec 00000016 : div16u.asm.obj (.text) + 00008a02 00000014 : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 00008a16 00000014 : memchr.c.obj (.text:memchr) + 00008a2a 00000014 : memset.c.obj (.text:memset) + 00008a3e 00000014 : mult16_f5hw.asm.obj (.text) + 00008a52 00000014 : wcslen.c.obj (.text:wcslen) + 00008a66 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00008a78 00000012 : lsr32.asm.obj (.text:l_lsr) + 00008a8a 00000012 : memcpy.c.obj (.text:memcpy) + 00008a9c 00000010 : epilog.asm.obj (.text) + 00008aac 00000010 : strcpy.c.obj (.text:strcpy) + 00008abc 0000000e : strlen.c.obj (.text:strlen) + 00008aca 0000000c : fltid.c.obj (.text:__mspabi_fltid) + 00008ad6 0000000c : toupper.c.obj (.text:toupper) + 00008ae2 0000000a : abs.c.obj (.text:abs) + 00008aec 00000008 : islower.c.obj (.text:islower) + 00008af4 00000008 : memory.c.obj (.text:malloc) + 00008afc 00000006 : printf.c.obj (.text:_outc) + 00008b02 00000006 : exit.c.obj (.text:abort) + 00008b08 00000004 : printf.c.obj (.text:_outs) + 00008b0c 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00008b10 00000002 : _lock.c.obj (.text:_nop) + 00008b12 00000002 : startup.c.obj (.text:_system_post_cinit) + +.const 0 00008b14 0000014e + 00008b14 00000101 rts430_eabi.lib : ctype.c.obj (.const:.string:_ctypes_) + 00008c15 00000001 --HOLE-- [fill = 0] + 00008c16 00000026 : _printfi.c.obj (.const:.string) + 00008c3c 00000021 problem2.obj (.const:.string:$P$T0$1) + 00008c5d 00000001 --HOLE-- [fill = 0] + 00008c5e 00000004 problem2.obj (.const:.string) + +.text:_isr +* 0 00008c62 00000008 + 00008c62 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00008c6a 00000056 + 00008c6a 00000044 (.cinit..data.load) [load image, compression = lzss] + 00008cae 00000006 (__TI_handler_table) + 00008cb4 00000004 (.cinit..bss.load) [load image, compression = zero_init] + 00008cb8 00000008 (__TI_cinit_table) + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + problem2.obj 144 37 0 + +--+----------------------------+-------+---------+---------+ + Total: 144 37 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + _printfi.c.obj 6622 38 0 + addd.c.obj 1846 0 0 + frcdivd.c.obj 1144 0 0 + div64u.c.obj 864 0 0 + mpyd.c.obj 704 0 0 + memory.c.obj 636 0 4 + divd.c.obj 568 0 0 + trgmsg.c.obj 90 0 288 + frcmpyd.c.obj 370 0 0 + s_scalbn.c.obj 362 0 0 + defs.c.obj 0 0 282 + ctype.c.obj 0 257 0 + fputs.c.obj 234 0 0 + cmpd.c.obj 220 0 0 + setvbuf.c.obj 208 0 0 + s_frexp.c.obj 176 0 0 + fltlid.c.obj 172 0 0 + fputc.c.obj 144 0 0 + getdevice.c.obj 140 0 0 + hostlseek.c.obj 136 0 0 + _ltoa.c.obj 132 0 0 + copy_decompress_lzss.c.obj 124 0 0 + _io_perm.c.obj 122 0 0 + host_device.c.obj 0 0 118 + fclose.c.obj 114 0 0 + fixdli.c.obj 114 0 0 + fseek.c.obj 112 0 0 + hostopen.c.obj 102 0 8 + lsr32.asm.obj 110 0 0 + hostrename.c.obj 106 0 0 + fflush.c.obj 98 0 0 + hostwrite.c.obj 98 0 0 + hostread.c.obj 96 0 0 + mult64_f5hw.asm.obj 94 0 0 + autoinit.c.obj 88 0 0 + div32u.asm.obj 88 0 0 + atoi.c.obj 82 0 0 + exit.c.obj 74 0 2 + lsr16.asm.obj 76 0 0 + asr64.c.obj 74 0 0 + close.c.obj 74 0 0 + lsr64.c.obj 70 0 0 + printf.c.obj 70 0 0 + hostclose.c.obj 68 0 0 + lsl64.c.obj 68 0 0 + div32s.asm.obj 64 0 0 + hostunlink.c.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + fopen.c.obj 52 0 0 + s_copysign.c.obj 46 0 0 + subd.c.obj 46 0 0 + asr16.asm.obj 44 0 0 + lsl16.asm.obj 44 0 0 + strncpy.c.obj 44 0 0 + negd.c.obj 42 0 0 + fixdi.c.obj 40 0 0 + mult3264_f5hw.asm.obj 40 0 0 + unlink.c.obj 40 0 0 + lseek.c.obj 38 0 0 + write.c.obj 36 0 0 + memccpy.c.obj 34 0 0 + mult32_f5hw.asm.obj 32 0 0 + boot.c.obj 28 2 0 + strchr.c.obj 26 0 0 + strcmp.c.obj 24 0 0 + div16u.asm.obj 22 0 0 + copy_zero_init.c.obj 20 0 0 + memchr.c.obj 20 0 0 + memset.c.obj 20 0 0 + mult16_f5hw.asm.obj 20 0 0 + wcslen.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + strcpy.c.obj 16 0 0 + strlen.c.obj 14 0 0 + fltid.c.obj 12 0 0 + toupper.c.obj 12 0 0 + abs.c.obj 10 0 0 + islower.c.obj 8 0 0 + isr_trap.asm.obj 8 0 0 + _lock.c.obj 2 0 4 + exit_gvars.c.obj 0 0 4 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 18060 341 712 + + Heap: 0 0 600 + Stack: 0 0 160 + Linker Generated: 0 86 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 18204 464 1472 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 00008cb8 records: 2, size/record: 4, table size: 8 + .data: load addr=00008c6a, load size=00000044 bytes, run addr=00002778, run size=000000fe bytes, compression=lzss + .bss: load addr=00008cb4, load size=00000004 bytes, run addr=00002876, run size=000000aa bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 00008cae records: 3, size/record: 2, table size: 6 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +00008b02 C$$EXIT +0000871c C$$IO$$ +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +00008486 HOSTclose +00007ad2 HOSTlseek +00007f74 HOSTopen +0000809e HOSTread +00007ea2 HOSTrename +00008552 HOSTunlink +00007fda HOSTwrite +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +00002658 _CIOBUF_ +00004400 __STACK_END +000000a0 __STACK_SIZE +00000258 __SYSMEM_SIZE +00008cb8 __TI_CINIT_Base +00008cc0 __TI_CINIT_Limit +00008cae __TI_Handler_Table_Base +00008cb4 __TI_Handler_Table_Limit +00008c62 __TI_ISR_TRAP +000081b8 __TI_auto_init_nobinit_nopinit_hold_wdt +0000868a __TI_cleanup +00002866 __TI_cleanup_ptr +00007d4e __TI_closefile +00007bde __TI_decompress_lzss +00008a66 __TI_decompress_none +0000803c __TI_doflush +00002868 __TI_dtors_ptr +00002872 __TI_enable_exit_profile_output +00004b36 __TI_frcdivd +00006a3a __TI_frcmpyd +0000286e __TI_ft_end +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +00007b5a __TI_ltoa +ffffffff __TI_pprof_out_hndl +000055ce __TI_printfi +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +0000877c __TI_readmsg +00002876 __TI_tmpnams +000086f2 __TI_writemsg +00007c5a __TI_wrt_ok +00008a02 __TI_zero_init_nomemset +ffffffff __c_args__ +00004400 __mspabi_addd +00007348 __mspabi_cmpd +00005f5a __mspabi_divd +00008592 __mspabi_divli +000089ec __mspabi_divu +00008268 __mspabi_divul +00004fae __mspabi_divull +0000887e __mspabi_fixdi +00007dc0 __mspabi_fixdli +00008aca __mspabi_fltid +0000773a __mspabi_fltlid +00008aa8 __mspabi_func_epilog_1 +00008aa6 __mspabi_func_epilog_2 +00008aa4 __mspabi_func_epilog_3 +00008aa2 __mspabi_func_epilog_4 +00008aa0 __mspabi_func_epilog_5 +00008a9e __mspabi_func_epilog_6 +00008a9c __mspabi_func_epilog_7 +0000530e __mspabi_mpyd +00008a3e __mspabi_mpyi_f5hw +00008962 __mspabi_mpyl_f5hw +000080fe __mspabi_mpyll_f5hw +00008856 __mspabi_mpyull_f5hw +0000882c __mspabi_negd +00008592 __mspabi_remli +000089ec __mspabi_remu +00008268 __mspabi_remul +000087d4 __mspabi_slli +000087fc __mspabi_slli_1 +000087ea __mspabi_slli_10 +000087e8 __mspabi_slli_11 +000087e6 __mspabi_slli_12 +000087e4 __mspabi_slli_13 +000087e2 __mspabi_slli_14 +000087e0 __mspabi_slli_15 +000087fa __mspabi_slli_2 +000087f8 __mspabi_slli_3 +000087f6 __mspabi_slli_4 +000087f4 __mspabi_slli_5 +000087f2 __mspabi_slli_6 +000087f0 __mspabi_slli_7 +000087ee __mspabi_slli_8 +000087ec __mspabi_slli_9 +00008648 __mspabi_slll_1 +00008624 __mspabi_slll_10 +00008620 __mspabi_slll_11 +0000861c __mspabi_slll_12 +00008618 __mspabi_slll_13 +00008614 __mspabi_slll_14 +00008610 __mspabi_slll_15 +00008644 __mspabi_slll_2 +00008640 __mspabi_slll_3 +0000863c __mspabi_slll_4 +00008638 __mspabi_slll_5 +00008634 __mspabi_slll_6 +00008630 __mspabi_slll_7 +0000862c __mspabi_slll_8 +00008628 __mspabi_slll_9 +000084ca __mspabi_sllll +000087a8 __mspabi_srai +000087d0 __mspabi_srai_1 +000087be __mspabi_srai_10 +000087bc __mspabi_srai_11 +000087ba __mspabi_srai_12 +000087b8 __mspabi_srai_13 +000087b6 __mspabi_srai_14 +000087b4 __mspabi_srai_15 +000087ce __mspabi_srai_2 +000087cc __mspabi_srai_3 +000087ca __mspabi_srai_4 +000087c8 __mspabi_srai_5 +000087c6 __mspabi_srai_6 +000087c4 __mspabi_srai_7 +000087c2 __mspabi_srai_8 +000087c0 __mspabi_srai_9 +0000860a __mspabi_sral_1 +000085e6 __mspabi_sral_10 +000085e2 __mspabi_sral_11 +000085de __mspabi_sral_12 +000085da __mspabi_sral_13 +000085d6 __mspabi_sral_14 +000085d2 __mspabi_sral_15 +00008606 __mspabi_sral_2 +00008602 __mspabi_sral_3 +000085fe __mspabi_sral_4 +000085fa __mspabi_sral_5 +000085f6 __mspabi_sral_6 +000085f2 __mspabi_sral_7 +000085ee __mspabi_sral_8 +000085ea __mspabi_sral_9 +000083ac __mspabi_srall +00008360 __mspabi_srli +000083a6 __mspabi_srli_1 +00008382 __mspabi_srli_10 +0000837e __mspabi_srli_11 +0000837a __mspabi_srli_12 +00008376 __mspabi_srli_13 +00008372 __mspabi_srli_14 +0000836e __mspabi_srli_15 +000083a2 __mspabi_srli_2 +0000839e __mspabi_srli_3 +0000839a __mspabi_srli_4 +00008396 __mspabi_srli_5 +00008392 __mspabi_srli_6 +0000838e __mspabi_srli_7 +0000838a __mspabi_srli_8 +00008386 __mspabi_srli_9 +00008a78 __mspabi_srll +000081b0 __mspabi_srll_1 +0000817a __mspabi_srll_10 +00008174 __mspabi_srll_11 +0000816e __mspabi_srll_12 +00008168 __mspabi_srll_13 +00008162 __mspabi_srll_14 +0000815c __mspabi_srll_15 +000081aa __mspabi_srll_2 +000081a4 __mspabi_srll_3 +0000819e __mspabi_srll_4 +00008198 __mspabi_srll_5 +00008192 __mspabi_srll_6 +0000818c __mspabi_srll_7 +00008186 __mspabi_srll_8 +00008180 __mspabi_srll_9 +00008440 __mspabi_srlll +00008720 __mspabi_subd +00008982 _c_int00_noargs +00008b14 _ctypes_ +000027f0 _device +00002778 _ftable +0000286a _lock +00008b10 _nop +0000fffe _reset_vector +00004360 _stack +0000283e _stream +00002400 _sys_memory +00008b12 _system_post_cinit +00008b0c _system_pre_init +0000286c _unlock +00008b02 abort +00008ae2 abs +00007088 aligned_alloc +000082c0 atoi +000083f6 close +0000874e copysign +0000874e copysignl +00002870 errno +0000850e exit +000086be finddevice +00007924 fputc +0000717e fputs +00007424 free +0000768a frexp +0000768a frexpl +00007e32 fseek +00008210 getdevice +00008aec islower +00006bac ldexp +00006bac ldexpl +000088f6 lseek +000079b4 main +00008af4 malloc +00007088 memalign +00008940 memccpy +00008a16 memchr +00008a8a memcpy +00008a2a memset +00002916 parmbuf +0000864e printf +00007924 putc +000088ce remove +00006bac scalbn +00006bac scalbnl +000074f6 setvbuf +000089ba strchr +000089d4 strcmp +00008aac strcpy +00008abc strlen +00008800 strncpy +00008ad6 toupper +000088ce unlink +00008a52 wcslen +0000891c write + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +000000a0 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000258 __SYSMEM_SIZE +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00002400 _sys_memory +00002658 _CIOBUF_ +00002778 _ftable +000027f0 _device +0000283e _stream +00002866 __TI_cleanup_ptr +00002868 __TI_dtors_ptr +0000286a _lock +0000286c _unlock +0000286e __TI_ft_end +00002870 errno +00002872 __TI_enable_exit_profile_output +00002876 __TI_tmpnams +00002916 parmbuf +00004360 _stack +00004400 __STACK_END +00004400 __mspabi_addd +00004b36 __TI_frcdivd +00004fae __mspabi_divull +0000530e __mspabi_mpyd +000055ce __TI_printfi +00005f5a __mspabi_divd +00006a3a __TI_frcmpyd +00006bac ldexp +00006bac ldexpl +00006bac scalbn +00006bac scalbnl +00007088 aligned_alloc +00007088 memalign +0000717e fputs +00007348 __mspabi_cmpd +00007424 free +000074f6 setvbuf +0000768a frexp +0000768a frexpl +0000773a __mspabi_fltlid +00007924 fputc +00007924 putc +000079b4 main +00007ad2 HOSTlseek +00007b5a __TI_ltoa +00007bde __TI_decompress_lzss +00007c5a __TI_wrt_ok +00007d4e __TI_closefile +00007dc0 __mspabi_fixdli +00007e32 fseek +00007ea2 HOSTrename +00007f74 HOSTopen +00007fda HOSTwrite +0000803c __TI_doflush +0000809e HOSTread +000080fe __mspabi_mpyll_f5hw +0000815c __mspabi_srll_15 +00008162 __mspabi_srll_14 +00008168 __mspabi_srll_13 +0000816e __mspabi_srll_12 +00008174 __mspabi_srll_11 +0000817a __mspabi_srll_10 +00008180 __mspabi_srll_9 +00008186 __mspabi_srll_8 +0000818c __mspabi_srll_7 +00008192 __mspabi_srll_6 +00008198 __mspabi_srll_5 +0000819e __mspabi_srll_4 +000081a4 __mspabi_srll_3 +000081aa __mspabi_srll_2 +000081b0 __mspabi_srll_1 +000081b8 __TI_auto_init_nobinit_nopinit_hold_wdt +00008210 getdevice +00008268 __mspabi_divul +00008268 __mspabi_remul +000082c0 atoi +00008360 __mspabi_srli +0000836e __mspabi_srli_15 +00008372 __mspabi_srli_14 +00008376 __mspabi_srli_13 +0000837a __mspabi_srli_12 +0000837e __mspabi_srli_11 +00008382 __mspabi_srli_10 +00008386 __mspabi_srli_9 +0000838a __mspabi_srli_8 +0000838e __mspabi_srli_7 +00008392 __mspabi_srli_6 +00008396 __mspabi_srli_5 +0000839a __mspabi_srli_4 +0000839e __mspabi_srli_3 +000083a2 __mspabi_srli_2 +000083a6 __mspabi_srli_1 +000083ac __mspabi_srall +000083f6 close +00008440 __mspabi_srlll +00008486 HOSTclose +000084ca __mspabi_sllll +0000850e exit +00008552 HOSTunlink +00008592 __mspabi_divli +00008592 __mspabi_remli +000085d2 __mspabi_sral_15 +000085d6 __mspabi_sral_14 +000085da __mspabi_sral_13 +000085de __mspabi_sral_12 +000085e2 __mspabi_sral_11 +000085e6 __mspabi_sral_10 +000085ea __mspabi_sral_9 +000085ee __mspabi_sral_8 +000085f2 __mspabi_sral_7 +000085f6 __mspabi_sral_6 +000085fa __mspabi_sral_5 +000085fe __mspabi_sral_4 +00008602 __mspabi_sral_3 +00008606 __mspabi_sral_2 +0000860a __mspabi_sral_1 +00008610 __mspabi_slll_15 +00008614 __mspabi_slll_14 +00008618 __mspabi_slll_13 +0000861c __mspabi_slll_12 +00008620 __mspabi_slll_11 +00008624 __mspabi_slll_10 +00008628 __mspabi_slll_9 +0000862c __mspabi_slll_8 +00008630 __mspabi_slll_7 +00008634 __mspabi_slll_6 +00008638 __mspabi_slll_5 +0000863c __mspabi_slll_4 +00008640 __mspabi_slll_3 +00008644 __mspabi_slll_2 +00008648 __mspabi_slll_1 +0000864e printf +0000868a __TI_cleanup +000086be finddevice +000086f2 __TI_writemsg +0000871c C$$IO$$ +00008720 __mspabi_subd +0000874e copysign +0000874e copysignl +0000877c __TI_readmsg +000087a8 __mspabi_srai +000087b4 __mspabi_srai_15 +000087b6 __mspabi_srai_14 +000087b8 __mspabi_srai_13 +000087ba __mspabi_srai_12 +000087bc __mspabi_srai_11 +000087be __mspabi_srai_10 +000087c0 __mspabi_srai_9 +000087c2 __mspabi_srai_8 +000087c4 __mspabi_srai_7 +000087c6 __mspabi_srai_6 +000087c8 __mspabi_srai_5 +000087ca __mspabi_srai_4 +000087cc __mspabi_srai_3 +000087ce __mspabi_srai_2 +000087d0 __mspabi_srai_1 +000087d4 __mspabi_slli +000087e0 __mspabi_slli_15 +000087e2 __mspabi_slli_14 +000087e4 __mspabi_slli_13 +000087e6 __mspabi_slli_12 +000087e8 __mspabi_slli_11 +000087ea __mspabi_slli_10 +000087ec __mspabi_slli_9 +000087ee __mspabi_slli_8 +000087f0 __mspabi_slli_7 +000087f2 __mspabi_slli_6 +000087f4 __mspabi_slli_5 +000087f6 __mspabi_slli_4 +000087f8 __mspabi_slli_3 +000087fa __mspabi_slli_2 +000087fc __mspabi_slli_1 +00008800 strncpy +0000882c __mspabi_negd +00008856 __mspabi_mpyull_f5hw +0000887e __mspabi_fixdi +000088ce remove +000088ce unlink +000088f6 lseek +0000891c write +00008940 memccpy +00008962 __mspabi_mpyl_f5hw +00008982 _c_int00_noargs +000089ba strchr +000089d4 strcmp +000089ec __mspabi_divu +000089ec __mspabi_remu +00008a02 __TI_zero_init_nomemset +00008a16 memchr +00008a2a memset +00008a3e __mspabi_mpyi_f5hw +00008a52 wcslen +00008a66 __TI_decompress_none +00008a78 __mspabi_srll +00008a8a memcpy +00008a9c __mspabi_func_epilog_7 +00008a9e __mspabi_func_epilog_6 +00008aa0 __mspabi_func_epilog_5 +00008aa2 __mspabi_func_epilog_4 +00008aa4 __mspabi_func_epilog_3 +00008aa6 __mspabi_func_epilog_2 +00008aa8 __mspabi_func_epilog_1 +00008aac strcpy +00008abc strlen +00008aca __mspabi_fltid +00008ad6 toupper +00008ae2 abs +00008aec islower +00008af4 malloc +00008b02 C$$EXIT +00008b02 abort +00008b0c _system_pre_init +00008b10 _nop +00008b12 _system_post_cinit +00008b14 _ctypes_ +00008c62 __TI_ISR_TRAP +00008cae __TI_Handler_Table_Base +00008cb4 __TI_Handler_Table_Limit +00008cb8 __TI_CINIT_Base +00008cc0 __TI_CINIT_Limit +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[975 symbols] diff --git a/CPE325/L1_Problem2/Debug/L2_Problem2_linkInfo.xml b/CPE325/L1_Problem2/Debug/L2_Problem2_linkInfo.xml new file mode 100644 index 0000000..e85871e --- /dev/null +++ b/CPE325/L1_Problem2/Debug/L2_Problem2_linkInfo.xml @@ -0,0 +1,16493 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x612933af + 0x0 + L2_Problem2.out + + _c_int00_noargs +
0x8982
+
+ + + .\ + object + problem2.obj + problem2.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + printf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _printfi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputc.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _io_perm.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + setvbuf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + wcslen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_frexp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div16u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div64u.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdli.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _ltoa.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + atoi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + ctype.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + defs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + islower.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memccpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memory.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memset.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strlen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + toupper.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + write.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + host_device.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + abs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fflush.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32s.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostlseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostread.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostrename.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostunlink.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostwrite.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + trgmsg.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + open.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + getdevice.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcmp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strncpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + remove.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + close.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + unlink.c.obj + + + + + .bss + true + 0x291e + 0x2 + + + + .common:__TI_tmpnams + true + 0x2876 + 0xa0 + + + .common:parmbuf + true + 0x2916 + 0x8 + + + .data:__TI_cleanup_ptr + 0x2866 + 0x2866 + 0x2 + + + + .data:__TI_dtors_ptr + 0x2868 + 0x2868 + 0x2 + + + + .data + 0x2872 + 0x2872 + 0x2 + + + + .data:_lock + 0x286a + 0x286a + 0x2 + + + + .data:_unlock + 0x286c + 0x286c + 0x2 + + + + .data:_ftable + 0x2778 + 0x2778 + 0x78 + + + + .data + 0x286e + 0x286e + 0x2 + + + + .data + 0x2874 + 0x2874 + 0x2 + + + + .data:_device + 0x27f0 + 0x27f0 + 0x4e + + + + .data:_stream + 0x283e + 0x283e + 0x28 + + + + .data + 0x2870 + 0x2870 + 0x2 + + + + .sysmem + true + 0x2400 + 0x8 + + + + .sysmem + true + 0x2400 + 0x0 + + + .stack + true + 0x4360 + 0x2 + + + + .stack + true + 0x4360 + 0x0 + + + .text:__mspabi_addd + 0x4400 + 0x4400 + 0x736 + + + + .text:__TI_frcdivd + 0x4b36 + 0x4b36 + 0x478 + + + + .text:__mspabi_divull + 0x4fae + 0x4fae + 0x360 + + + + .text:__mspabi_mpyd + 0x530e + 0x530e + 0x2c0 + + + + .text:__TI_printfi + 0x55ce + 0x55ce + 0x288 + + + + .text:_pproc_fgea + 0x5856 + 0x5856 + 0x26e + + + + .text:_setfield + 0x5ac4 + 0x5ac4 + 0x252 + + + + .text:acvt + 0x5d16 + 0x5d16 + 0x244 + + + + .text:__mspabi_divd + 0x5f5a + 0x5f5a + 0x238 + + + + .text:_getarg_diouxp + 0x6192 + 0x6192 + 0x1ec + + + + .text:ecvt + 0x637e + 0x637e + 0x1de + + + + .text:fcvt + 0x655c + 0x655c + 0x1c4 + + + + .text:_pconv_e + 0x6720 + 0x6720 + 0x1a6 + + + + .text:_pconv_a + 0x68c6 + 0x68c6 + 0x174 + + + + .text:__TI_frcmpyd + 0x6a3a + 0x6a3a + 0x172 + + + + .text:scalbn + 0x6bac + 0x6bac + 0x16a + + + + .text:_pproc_diouxp + 0x6d16 + 0x6d16 + 0x14c + + + + .text:_ltostr + 0x6e62 + 0x6e62 + 0x12e + + + + .text:_pconv_g + 0x6f90 + 0x6f90 + 0xf8 + + + + .text:aligned_alloc + 0x7088 + 0x7088 + 0xf6 + + + + .text:fputs + 0x717e + 0x717e + 0xea + + + + .text:_pproc_fwp + 0x7268 + 0x7268 + 0xe0 + + + + .text:__mspabi_cmpd + 0x7348 + 0x7348 + 0xdc + + + + .text:free + 0x7424 + 0x7424 + 0xd2 + + + + .text:setvbuf + 0x74f6 + 0x74f6 + 0xd0 + + + + .text:_pproc_wstr + 0x75c6 + 0x75c6 + 0xc4 + + + + .text:frexp + 0x768a + 0x768a + 0xb0 + + + + .text:__mspabi_fltlid + 0x773a + 0x773a + 0xac + + + + .text:_pproc_str + 0x77e6 + 0x77e6 + 0xac + + + + .text:_mcpy + 0x7892 + 0x7892 + 0x92 + + + + .text:fputc + 0x7924 + 0x7924 + 0x90 + + + + .text:main + 0x79b4 + 0x79b4 + 0x90 + + + + .text:_ecpy + 0x7a44 + 0x7a44 + 0x8e + + + + .text:HOSTlseek + 0x7ad2 + 0x7ad2 + 0x88 + + + + .text:__TI_ltoa + 0x7b5a + 0x7b5a + 0x84 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x7bde + 0x7bde + 0x7c + + + + .text:__TI_wrt_ok + 0x7c5a + 0x7c5a + 0x7a + + + + .text:_pconv_f + 0x7cd4 + 0x7cd4 + 0x7a + + + + .text:__TI_closefile + 0x7d4e + 0x7d4e + 0x72 + + + + .text:__mspabi_fixdli + 0x7dc0 + 0x7dc0 + 0x72 + + + + .text:fseek + 0x7e32 + 0x7e32 + 0x70 + + + + .text:HOSTrename + 0x7ea2 + 0x7ea2 + 0x6a + + + + .text:split + 0x7f0c + 0x7f0c + 0x68 + + + + .text:HOSTopen + 0x7f74 + 0x7f74 + 0x66 + + + + .text:HOSTwrite + 0x7fda + 0x7fda + 0x62 + + + + .text:__TI_doflush + 0x803c + 0x803c + 0x62 + + + + .text:HOSTread + 0x809e + 0x809e + 0x60 + + + + .text:__mpyll + 0x80fe + 0x80fe + 0x5e + + + + .text:l_lsr_const + 0x815c + 0x815c + 0x5c + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x81b8 + 0x81b8 + 0x58 + + + + .text:getdevice + 0x8210 + 0x8210 + 0x58 + + + + .text + 0x8268 + 0x8268 + 0x58 + + + + .text:atoi + 0x82c0 + 0x82c0 + 0x52 + + + + .text:_fcpy + 0x8312 + 0x8312 + 0x4e + + + + .text + 0x8360 + 0x8360 + 0x4c + + + + .text:__mspabi_srall + 0x83ac + 0x83ac + 0x4a + + + + .text:close + 0x83f6 + 0x83f6 + 0x4a + + + + .text:__mspabi_srlll + 0x8440 + 0x8440 + 0x46 + + + + .text:HOSTclose + 0x8486 + 0x8486 + 0x44 + + + + .text:__mspabi_sllll + 0x84ca + 0x84ca + 0x44 + + + + .text:exit + 0x850e + 0x850e + 0x44 + + + + .text:HOSTunlink + 0x8552 + 0x8552 + 0x40 + + + + .text + 0x8592 + 0x8592 + 0x40 + + + + .text:l_asr_const + 0x85d2 + 0x85d2 + 0x3e + + + + .text:l_lsl_const + 0x8610 + 0x8610 + 0x3e + + + + .text:printf + 0x864e + 0x864e + 0x3c + + + + .text:__TI_cleanup + 0x868a + 0x868a + 0x34 + + + + .text:finddevice + 0x86be + 0x86be + 0x34 + + + + .text:__TI_writemsg + 0x86f2 + 0x86f2 + 0x2e + + + + .text:__mspabi_subd + 0x8720 + 0x8720 + 0x2e + + + + .text:copysign + 0x874e + 0x874e + 0x2e + + + + .text:__TI_readmsg + 0x877c + 0x877c + 0x2c + + + + .text + 0x87a8 + 0x87a8 + 0x2c + + + + .text + 0x87d4 + 0x87d4 + 0x2c + + + + .text:strncpy + 0x8800 + 0x8800 + 0x2c + + + + .text:__mspabi_negd + 0x882c + 0x882c + 0x2a + + + + .text:__mpyull + 0x8856 + 0x8856 + 0x28 + + + + .text:__mspabi_fixdi + 0x887e + 0x887e + 0x28 + + + + .text:free_list_insert + 0x88a6 + 0x88a6 + 0x28 + + + + .text:unlink + 0x88ce + 0x88ce + 0x28 + + + + .text:lseek + 0x88f6 + 0x88f6 + 0x26 + + + + .text:write + 0x891c + 0x891c + 0x24 + + + + .text:memccpy + 0x8940 + 0x8940 + 0x22 + + + + .text + 0x8962 + 0x8962 + 0x20 + + + + .text:_c_int00_noargs + 0x8982 + 0x8982 + 0x1c + + + + .text:free_list_remove + 0x899e + 0x899e + 0x1c + + + + .text:strchr + 0x89ba + 0x89ba + 0x1a + + + + .text:strcmp + 0x89d4 + 0x89d4 + 0x18 + + + + .text + 0x89ec + 0x89ec + 0x16 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x8a02 + 0x8a02 + 0x14 + + + + .text:memchr + 0x8a16 + 0x8a16 + 0x14 + + + + .text:memset + 0x8a2a + 0x8a2a + 0x14 + + + + .text + 0x8a3e + 0x8a3e + 0x14 + + + + .text:wcslen + 0x8a52 + 0x8a52 + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x8a66 + 0x8a66 + 0x12 + + + + .text:l_lsr + 0x8a78 + 0x8a78 + 0x12 + + + + .text:memcpy + 0x8a8a + 0x8a8a + 0x12 + + + + .text + 0x8a9c + 0x8a9c + 0x10 + + + + .text:strcpy + 0x8aac + 0x8aac + 0x10 + + + + .text:strlen + 0x8abc + 0x8abc + 0xe + + + + .text:__mspabi_fltid + 0x8aca + 0x8aca + 0xc + + + + .text:toupper + 0x8ad6 + 0x8ad6 + 0xc + + + + .text:abs + 0x8ae2 + 0x8ae2 + 0xa + + + + .text:islower + 0x8aec + 0x8aec + 0x8 + + + + .text:malloc + 0x8af4 + 0x8af4 + 0x8 + + + + .text:_outc + 0x8afc + 0x8afc + 0x6 + + + + .text:abort + 0x8b02 + 0x8b02 + 0x6 + + + + .text:_outs + 0x8b08 + 0x8b08 + 0x4 + + + + .text:_system_pre_init + 0x8b0c + 0x8b0c + 0x4 + + + + .text:_nop + 0x8b10 + 0x8b10 + 0x2 + + + + .text:_system_post_cinit + 0x8b12 + 0x8b12 + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x8c62 + 0x8c62 + 0x8 + + + + .cinit..data.load + 0x8c6a + 0x8c6a + 0x44 + + + __TI_handler_table + 0x8cae + 0x8cae + 0x6 + + + .cinit..bss.load + 0x8cb4 + 0x8cb4 + 0x4 + + + __TI_cinit_table + 0x8cb8 + 0x8cb8 + 0x8 + + + .const:.string:_ctypes_ + 0x8b14 + 0x8b14 + 0x101 + + + + .const:.string + 0x8c16 + 0x8c16 + 0x26 + + + + .const:.string:$P$T0$1 + 0x8c3c + 0x8c3c + 0x21 + + + + .const:.string + 0x8c5e + 0x8c5e + 0x4 + + + + .cio + true + 0x2658 + 0x120 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x1ad + + + + .debug_info + 0x1ad + 0x1ad + 0xc6 + + + + .debug_info + 0x273 + 0x273 + 0x189 + + + + .debug_info + 0x3fc + 0x3fc + 0x26b + + + + .debug_info + 0x667 + 0x667 + 0x84 + + + + .debug_info + 0x6eb + 0x6eb + 0x282 + + + + .debug_info + 0x96d + 0x96d + 0xcc + + + + .debug_info + 0xa39 + 0xa39 + 0x2c + + + + .debug_info + 0xa65 + 0xa65 + 0x2bd + + + + .debug_info + 0xd22 + 0xd22 + 0x162 + + + + .debug_info + 0xe84 + 0xe84 + 0x166 + + + + .debug_info + 0xfea + 0xfea + 0x184 + + + + .debug_info + 0x116e + 0x116e + 0xb2 + + + + .debug_info + 0x1220 + 0x1220 + 0x439 + + + + .debug_info + 0x1659 + 0x1659 + 0x22e + + + + .debug_info + 0x1887 + 0x1887 + 0x1b3 + + + + .debug_info + 0x1a3a + 0x1a3a + 0x287 + + + + .debug_info + 0x1cc1 + 0x1cc1 + 0x24b + + + + .debug_info + 0x1f0c + 0x1f0c + 0x3d0 + + + + .debug_info + 0x22dc + 0x22dc + 0x1bd + + + + .debug_info + 0x2499 + 0x2499 + 0x21b + + + + .debug_info + 0x26b4 + 0x26b4 + 0x26d + + + + .debug_info + 0x2921 + 0x2921 + 0x3e7 + + + + .debug_info + 0x2d08 + 0x2d08 + 0x206 + + + + .debug_info + 0x2f0e + 0x2f0e + 0x232 + + + + .debug_info + 0x3140 + 0x3140 + 0x376 + + + + .debug_info + 0x34b6 + 0x34b6 + 0x45e + + + + .debug_info + 0x3914 + 0x3914 + 0x280 + + + + .debug_info + 0x3b94 + 0x3b94 + 0x395 + + + + .debug_info + 0x3f29 + 0x3f29 + 0x305 + + + + .debug_info + 0x422e + 0x422e + 0x26d + + + + .debug_info + 0x449b + 0x449b + 0x26a + + + + .debug_info + 0x4705 + 0x4705 + 0x347 + + + + .debug_info + 0x4a4c + 0x4a4c + 0x86 + + + + .debug_info + 0x4ad2 + 0x4ad2 + 0x39 + + + + .debug_info + 0x4b0b + 0x4b0b + 0x94 + + + + .debug_info + 0x4b9f + 0x4b9f + 0x46 + + + + .debug_info + 0x4be5 + 0x4be5 + 0x46 + + + + .debug_info + 0x4c2b + 0x4c2b + 0x2c + + + + .debug_info + 0x4c57 + 0x4c57 + 0x25b + + + + .debug_info + 0x4eb2 + 0x4eb2 + 0x1d0 + + + + .debug_info + 0x5082 + 0x5082 + 0x2d4 + + + + .debug_info + 0x5356 + 0x5356 + 0x2c7 + + + + .debug_info + 0x561d + 0x561d + 0x2c + + + + .debug_info + 0x5649 + 0x5649 + 0x1d8 + + + + .debug_info + 0x5821 + 0x5821 + 0x196 + + + + .debug_info + 0x59b7 + 0x59b7 + 0xbf + + + + .debug_info + 0x5a76 + 0x5a76 + 0x24c + + + + .debug_info + 0x5cc2 + 0x5cc2 + 0x1fe + + + + .debug_info + 0x5ec0 + 0x5ec0 + 0x167 + + + + .debug_info + 0x6027 + 0x6027 + 0x15a + + + + .debug_info + 0x6181 + 0x6181 + 0x39 + + + + .debug_info + 0x61ba + 0x61ba + 0x39 + + + + .debug_info + 0x61f3 + 0x61f3 + 0x172 + + + + .debug_info + 0x6365 + 0x6365 + 0x1c7 + + + + .debug_info + 0x652c + 0x652c + 0x46 + + + + .debug_info + 0x6572 + 0x6572 + 0x2c + + + + .debug_info + 0x659e + 0x659e + 0x1b0 + + + + .debug_info + 0x674e + 0x674e + 0x23b + + + + .debug_info + 0x6989 + 0x6989 + 0x120 + + + + .debug_info + 0x6aa9 + 0x6aa9 + 0x121 + + + + .debug_info + 0x6bca + 0x6bca + 0x123 + + + + .debug_info + 0x6ced + 0x6ced + 0x12e + + + + .debug_info + 0x6e1b + 0x6e1b + 0x10f + + + + .debug_info + 0x6f2a + 0x6f2a + 0x120 + + + + .debug_info + 0x704a + 0x704a + 0x120 + + + + .debug_info + 0x716a + 0x716a + 0x125 + + + + .debug_info + 0x728f + 0x728f + 0x125 + + + + .debug_info + 0x73b4 + 0x73b4 + 0x12b + + + + .debug_info + 0x74df + 0x74df + 0x127 + + + + .debug_info + 0x7606 + 0x7606 + 0x100 + + + + .debug_info + 0x7706 + 0x7706 + 0x17a + + + + .debug_info + 0x7880 + 0x7880 + 0x3de + + + + .debug_info + 0x7c5e + 0x7c5e + 0x211 + + + + .debug_info + 0x7e6f + 0x7e6f + 0x39 + + + + .debug_info + 0x7ea8 + 0x7ea8 + 0x2c + + + + .debug_info + 0x7ed4 + 0x7ed4 + 0x2c + + + + .debug_info + 0x7f00 + 0x7f00 + 0xcd + + + + .debug_info + 0x7fcd + 0x7fcd + 0x175 + + + + .debug_info + 0x8142 + 0x8142 + 0x1d2 + + + + .debug_info + 0x8314 + 0x8314 + 0x46 + + + + .debug_info + 0x835a + 0x835a + 0x103 + + + + .debug_info + 0x845d + 0x845d + 0x11a + + + + .debug_info + 0x8577 + 0x8577 + 0x116 + + + + .debug_info + 0x868d + 0x868d + 0x193 + + + + .debug_info + 0x8820 + 0x8820 + 0x15c + + + + .debug_info + 0x897c + 0x897c + 0x337 + + + + .debug_info + 0x8cb3 + 0x8cb3 + 0x153 + + + + .debug_info + 0x8e06 + 0x8e06 + 0x150 + + + + .debug_info + 0x8f56 + 0x8f56 + 0x180 + + + + .debug_info + 0x90d6 + 0x90d6 + 0x1ea + + + + .debug_info + 0x92c0 + 0x92c0 + 0x46 + + + + .debug_info + 0x9306 + 0x9306 + 0x2c + + + + .debug_info + 0x9332 + 0x9332 + 0x176 + + + + .debug_info + 0x94a8 + 0x94a8 + 0x292 + + + + .debug_info + 0x973a + 0x973a + 0x60 + + + + .debug_info + 0x979a + 0x979a + 0x46 + + + + .debug_info + 0x97e0 + 0x97e0 + 0x39 + + + + .debug_info + 0x9819 + 0x9819 + 0x164 + + + + .debug_info + 0x997d + 0x997d + 0x35e + + + + .debug_info + 0x9cdb + 0x9cdb + 0x1af + + + + .debug_info + 0x9e8a + 0x9e8a + 0x94 + + + + .debug_info + 0x9f1e + 0x9f1e + 0x1a2 + + + + .debug_info + 0xa0c0 + 0xa0c0 + 0x28a + + + + .debug_info + 0xa34a + 0xa34a + 0x171 + + + + .debug_info + 0xa4bb + 0xa4bb + 0x1c0 + + + + .debug_info + 0xa67b + 0xa67b + 0x166 + + + + .debug_info + 0xa7e1 + 0xa7e1 + 0x16b + + + + .debug_info + 0xa94c + 0xa94c + 0x21b + + + + .debug_info + 0xab67 + 0xab67 + 0x172 + + + + .debug_info + 0xacd9 + 0xacd9 + 0x5cf + + + + .debug_info + 0xb2a8 + 0xb2a8 + 0x197 + + + + .debug_info + 0xb43f + 0xb43f + 0x2d2 + + + + .debug_info + 0xb711 + 0xb711 + 0x158 + + + + .debug_info + 0xb869 + 0xb869 + 0x18d + + + + .debug_info + 0xb9f6 + 0xb9f6 + 0x22e + + + + .debug_info + 0xbc24 + 0xbc24 + 0x132 + + + + .debug_info + 0xbd56 + 0xbd56 + 0x122 + + + + .debug_info + 0xbe78 + 0xbe78 + 0x197 + + + + .debug_info + 0xc00f + 0xc00f + 0x163 + + + + .debug_info + 0xc172 + 0xc172 + 0xff + + + + .debug_info + 0xc271 + 0xc271 + 0x103 + + + + .debug_info + 0xc374 + 0xc374 + 0x12e + + + + .debug_info + 0xc4a2 + 0xc4a2 + 0x174 + + + + .debug_info + 0xc616 + 0xc616 + 0x20e + + + + .debug_info + 0xc824 + 0xc824 + 0x191 + + + + .debug_info + 0xc9b5 + 0xc9b5 + 0x190 + + + + .debug_info + 0xcb45 + 0xcb45 + 0xf9 + + + + .debug_info + 0xcc3e + 0xcc3e + 0x105 + + + + .debug_info + 0xcd43 + 0xcd43 + 0x13e + + + + .debug_info + 0xce81 + 0xce81 + 0x102 + + + + .debug_info + 0xcf83 + 0xcf83 + 0x10a + + + + .debug_info + 0xd08d + 0xd08d + 0x18e + + + + .debug_info + 0xd21b + 0xd21b + 0x154 + + + + .debug_info + 0xd36f + 0xd36f + 0x17f + + + + .debug_info + 0xd4ee + 0xd4ee + 0x1b8 + + + + .debug_info + 0xd6a6 + 0xd6a6 + 0x178 + + + + .debug_info + 0xd81e + 0xd81e + 0x250 + + + + .debug_info + 0xda6e + 0xda6e + 0x181 + + + + .debug_info + 0xdbef + 0xdbef + 0x17a + + + + .debug_info + 0xdd69 + 0xdd69 + 0x22a + + + + .debug_info + 0xdf93 + 0xdf93 + 0x10c + + + + .debug_info + 0xe09f + 0xe09f + 0x11f + + + + .debug_info + 0xe1be + 0xe1be + 0x105 + + + + .debug_info + 0xe2c3 + 0xe2c3 + 0x168 + + + + .debug_info + 0xe42b + 0xe42b + 0x18e + + + + .debug_info + 0xe5b9 + 0xe5b9 + 0x19f + + + + .debug_info + 0xe758 + 0xe758 + 0x203 + + + + .debug_info + 0xe95b + 0xe95b + 0x1db + + + + .debug_info + 0xeb36 + 0xeb36 + 0x273 + + + + .debug_info + 0xeda9 + 0xeda9 + 0xb2 + + + + .debug_info + 0xee5b + 0xee5b + 0x2c + + + + .debug_info + 0xee87 + 0xee87 + 0x16d + + + + .debug_info + 0xeff4 + 0xeff4 + 0x275 + + + + .debug_info + 0xf269 + 0xf269 + 0x172 + + + + .debug_info + 0xf3db + 0xf3db + 0x268 + + + + .debug_info + 0xf643 + 0xf643 + 0x162 + + + + .debug_info + 0xf7a5 + 0xf7a5 + 0x230 + + + + .debug_info + 0xf9d5 + 0xf9d5 + 0x18e + + + + .debug_info + 0xfb63 + 0xfb63 + 0x154 + + + + .debug_info + 0xfcb7 + 0xfcb7 + 0x26f + + + + .debug_info + 0xff26 + 0xff26 + 0x189 + + + + .debug_info + 0x100af + 0x100af + 0x120 + + + + .debug_info + 0x101cf + 0x101cf + 0x2c + + + + .debug_info + 0x101fb + 0x101fb + 0x337 + + + + .debug_info + 0x10532 + 0x10532 + 0x109 + + + + .debug_info + 0x1063b + 0x1063b + 0x109 + + + + .debug_info + 0x10744 + 0x10744 + 0x140 + + + + .debug_info + 0x10884 + 0x10884 + 0xfe + + + + .debug_info + 0x10982 + 0x10982 + 0x21f + + + + .debug_info + 0x10ba1 + 0x10ba1 + 0x184 + + + + .debug_info + 0x10d25 + 0x10d25 + 0x176 + + + + .debug_info + 0x10e9b + 0x10e9b + 0x1fc + + + + .debug_info + 0x11097 + 0x11097 + 0x1df + + + + .debug_info + 0x11276 + 0x11276 + 0x160 + + + + .debug_info + 0x113d6 + 0x113d6 + 0x126 + + + + .debug_info + 0x114fc + 0x114fc + 0x138 + + + + .debug_info + 0x11634 + 0x11634 + 0x126 + + + + .debug_info + 0x1175a + 0x1175a + 0x120 + + + + .debug_info + 0x1187a + 0x1187a + 0x126 + + + + .debug_info + 0x119a0 + 0x119a0 + 0x193 + + + + .debug_info + 0x11b33 + 0x11b33 + 0x193 + + + + .debug_info + 0x11cc6 + 0x11cc6 + 0x239 + + + + .debug_info + 0x11eff + 0x11eff + 0x24b + + + + .debug_info + 0x1214a + 0x1214a + 0x1bc + + + + .debug_info + 0x12306 + 0x12306 + 0x250 + + + + .debug_info + 0x12556 + 0x12556 + 0x226 + + + + .debug_info + 0x1277c + 0x1277c + 0x27b + + + + .debug_info + 0x129f7 + 0x129f7 + 0x20c + + + + .debug_info + 0x12c03 + 0x12c03 + 0x24f + + + + .debug_info + 0x12e52 + 0x12e52 + 0x1fb + + + + .debug_info + 0x1304d + 0x1304d + 0x2ad + + + + .debug_info + 0x132fa + 0x132fa + 0x236 + + + + .debug_info + 0x13530 + 0x13530 + 0x26e + + + + .debug_info + 0x1379e + 0x1379e + 0x1c6 + + + + .debug_info + 0x13964 + 0x13964 + 0x250 + + + + .debug_info + 0x13bb4 + 0x13bb4 + 0x200 + + + + .debug_info + 0x13db4 + 0x13db4 + 0x193 + + + + .debug_info + 0x13f47 + 0x13f47 + 0x106 + + + + .debug_info + 0x1404d + 0x1404d + 0x1df + + + + .debug_info + 0x1422c + 0x1422c + 0x19a + + + + .debug_info + 0x143c6 + 0x143c6 + 0x26f + + + + .debug_info + 0x14635 + 0x14635 + 0x18f + + + + .debug_info + 0x147c4 + 0x147c4 + 0x27d + + + + .debug_info + 0x14a41 + 0x14a41 + 0x209 + + + + .debug_info + 0x14c4a + 0x14c4a + 0x304 + + + + .debug_info + 0x14f4e + 0x14f4e + 0x198 + + + + .debug_info + 0x150e6 + 0x150e6 + 0x1eb + + + + .debug_info + 0x152d1 + 0x152d1 + 0xea + + + + .debug_info + 0x153bb + 0x153bb + 0x162 + + + + .debug_info + 0x1551d + 0x1551d + 0x273 + + + + .debug_info + 0x15790 + 0x15790 + 0x17c + + + + .debug_info + 0x1590c + 0x1590c + 0x24d + + + + .debug_info + 0x15b59 + 0x15b59 + 0x17d + + + + .debug_info + 0x15cd6 + 0x15cd6 + 0x268 + + + + .debug_info + 0x15f3e + 0x15f3e + 0x2a7 + + + + .debug_info + 0x161e5 + 0x161e5 + 0x188 + + + + .debug_info + 0x1636d + 0x1636d + 0x2a9 + + + + .debug_info + 0x16616 + 0x16616 + 0x1a5 + + + + .debug_info + 0x167bb + 0x167bb + 0x94 + + + .debug_line + 0x0 + 0x0 + 0xbf + + + + .debug_line + 0xbf + 0xbf + 0x31 + + + + .debug_line + 0xf0 + 0xf0 + 0x67 + + + + .debug_line + 0x157 + 0x157 + 0x72 + + + + .debug_line + 0x1c9 + 0x1c9 + 0x20 + + + + .debug_line + 0x1e9 + 0x1e9 + 0x76 + + + + .debug_line + 0x25f + 0x25f + 0x6d + + + + .debug_line + 0x2cc + 0x2cc + 0x6e + + + + .debug_line + 0x33a + 0x33a + 0x10e + + + + .debug_line + 0x448 + 0x448 + 0x3c + + + + .debug_line + 0x484 + 0x484 + 0x3c + + + + .debug_line + 0x4c0 + 0x4c0 + 0x49 + + + + .debug_line + 0x509 + 0x509 + 0x91 + + + + .debug_line + 0x59a + 0x59a + 0x2d5 + + + + .debug_line + 0x86f + 0x86f + 0x83 + + + + .debug_line + 0x8f2 + 0x8f2 + 0xb9 + + + + .debug_line + 0x9ab + 0x9ab + 0x81 + + + + .debug_line + 0xa2c + 0xa2c + 0x85 + + + + .debug_line + 0xab1 + 0xab1 + 0x101 + + + + .debug_line + 0xbb2 + 0xbb2 + 0x49 + + + + .debug_line + 0xbfb + 0xbfb + 0x6e + + + + .debug_line + 0xc69 + 0xc69 + 0x8a + + + + .debug_line + 0xcf3 + 0xcf3 + 0x10e + + + + .debug_line + 0xe01 + 0xe01 + 0x4f + + + + .debug_line + 0xe50 + 0xe50 + 0x4b + + + + .debug_line + 0xe9b + 0xe9b + 0x85 + + + + .debug_line + 0xf20 + 0xf20 + 0x120 + + + + .debug_line + 0x1040 + 0x1040 + 0x74 + + + + .debug_line + 0x10b4 + 0x10b4 + 0x128 + + + + .debug_line + 0x11dc + 0x11dc + 0xde + + + + .debug_line + 0x12ba + 0x12ba + 0x72 + + + + .debug_line + 0x132c + 0x132c + 0x82 + + + + .debug_line + 0x13ae + 0x13ae + 0x118 + + + + .debug_line + 0x14c6 + 0x14c6 + 0x92 + + + + .debug_line + 0x1558 + 0x1558 + 0x92 + + + + .debug_line + 0x15ea + 0x15ea + 0x9a + + + + .debug_line + 0x1684 + 0x1684 + 0x97 + + + + .debug_line + 0x171b + 0x171b + 0x2e + + + + .debug_line + 0x1749 + 0x1749 + 0x91 + + + + .debug_line + 0x17da + 0x17da + 0x117 + + + + .debug_line + 0x18f1 + 0x18f1 + 0x67 + + + + .debug_line + 0x1958 + 0x1958 + 0x189 + + + + .debug_line + 0x1ae1 + 0x1ae1 + 0x95 + + + + .debug_line + 0x1b76 + 0x1b76 + 0x92 + + + + .debug_line + 0x1c08 + 0x1c08 + 0x91 + + + + .debug_line + 0x1c99 + 0x1c99 + 0x65 + + + + .debug_line + 0x1cfe + 0x1cfe + 0x91 + + + + .debug_line + 0x1d8f + 0x1d8f + 0x110 + + + + .debug_line + 0x1e9f + 0x1e9f + 0x88 + + + + .debug_line + 0x1f27 + 0x1f27 + 0x20 + + + + .debug_line + 0x1f47 + 0x1f47 + 0x40 + + + + .debug_line + 0x1f87 + 0x1f87 + 0x9a + + + + .debug_line + 0x2021 + 0x2021 + 0x91 + + + + .debug_line + 0x20b2 + 0x20b2 + 0x20 + + + + .debug_line + 0x20d2 + 0x20d2 + 0x51 + + + + .debug_line + 0x2123 + 0x2123 + 0x9a + + + + .debug_line + 0x21bd + 0x21bd + 0x97 + + + + .debug_line + 0x2254 + 0x2254 + 0x9b + + + + .debug_line + 0x22ef + 0x22ef + 0x72 + + + + .debug_line + 0x2361 + 0x2361 + 0x4e + + + + .debug_line + 0x23af + 0x23af + 0x43 + + + + .debug_line + 0x23f2 + 0x23f2 + 0x64 + + + + .debug_line + 0x2456 + 0x2456 + 0x40 + + + + .debug_line + 0x2496 + 0x2496 + 0x3d + + + + .debug_line + 0x24d3 + 0x24d3 + 0x4e + + + + .debug_line + 0x2521 + 0x2521 + 0x5e + + + + .debug_line + 0x257f + 0x257f + 0x44 + + + + .debug_line + 0x25c3 + 0x25c3 + 0x47 + + + + .debug_line + 0x260a + 0x260a + 0x4e + + + + .debug_line + 0x2658 + 0x2658 + 0x5b + + + + .debug_line + 0x26b3 + 0x26b3 + 0x2a + + + + .debug_line + 0x26dd + 0x26dd + 0x46 + + + + .debug_line + 0x2723 + 0x2723 + 0xbf + + + + .debug_line + 0x27e2 + 0x27e2 + 0x89 + + + + .debug_line + 0x286b + 0x286b + 0x2e + + + + .debug_line + 0x2899 + 0x2899 + 0x9a + + + + .debug_line + 0x2933 + 0x2933 + 0x97 + + + + .debug_line + 0x29ca + 0x29ca + 0x93 + + + + .debug_line + 0x2a5d + 0x2a5d + 0x20 + + + + .debug_line + 0x2a7d + 0x2a7d + 0x4f + + + + .debug_line + 0x2acc + 0x2acc + 0x34 + + + + .debug_line + 0x2b00 + 0x2b00 + 0x20 + + + + .debug_line + 0x2b20 + 0x2b20 + 0x30 + + + + .debug_line + 0x2b50 + 0x2b50 + 0x30 + + + + .debug_line + 0x2b80 + 0x2b80 + 0x53 + + + + .debug_line + 0x2bd3 + 0x2bd3 + 0x20 + + + + .debug_line + 0x2bf3 + 0x2bf3 + 0x13b + + + + .debug_line + 0x2d2e + 0x2d2e + 0x3e + + + + .debug_line + 0x2d6c + 0x2d6c + 0x3a + + + + .debug_line + 0x2da6 + 0x2da6 + 0x20 + + + + .debug_line + 0x2dc6 + 0x2dc6 + 0x50 + + + + .debug_line + 0x2e16 + 0x2e16 + 0x3a + + + + .debug_line + 0x2e50 + 0x2e50 + 0x92 + + + + .debug_line + 0x2ee2 + 0x2ee2 + 0x20 + + + + .debug_line + 0x2f02 + 0x2f02 + 0x92 + + + + .debug_line + 0x2f94 + 0x2f94 + 0x3a + + + + .debug_line + 0x2fce + 0x2fce + 0x9a + + + + .debug_line + 0x3068 + 0x3068 + 0x96 + + + + .debug_line + 0x30fe + 0x30fe + 0x20 + + + + .debug_line + 0x311e + 0x311e + 0x17f + + + + .debug_line + 0x329d + 0x329d + 0x75 + + + + .debug_line + 0x3312 + 0x3312 + 0x2e + + + + .debug_line + 0x3340 + 0x3340 + 0x2d + + + + .debug_line + 0x336d + 0x336d + 0xe9 + + + + .debug_line + 0x3456 + 0x3456 + 0x3d + + + + .debug_line + 0x3493 + 0x3493 + 0x5c + + + + .debug_line + 0x34ef + 0x34ef + 0x3d + + + + .debug_line + 0x352c + 0x352c + 0x20 + + + + .debug_line + 0x354c + 0x354c + 0x81 + + + + .debug_line + 0x35cd + 0x35cd + 0x20 + + + + .debug_line + 0x35ed + 0x35ed + 0xdc + + + + .debug_line + 0x36c9 + 0x36c9 + 0x2d + + + + .debug_line + 0x36f6 + 0x36f6 + 0xf0 + + + + .debug_line + 0x37e6 + 0x37e6 + 0x49 + + + + .debug_line + 0x382f + 0x382f + 0x4b + + + + .debug_line + 0x387a + 0x387a + 0x10c + + + + .debug_line + 0x3986 + 0x3986 + 0x2a + + + + .debug_line + 0x39b0 + 0x39b0 + 0x3d + + + + .debug_line + 0x39ed + 0x39ed + 0x50 + + + + .debug_line + 0x3a3d + 0x3a3d + 0x20 + + + + .debug_line + 0x3a5d + 0x3a5d + 0x2b + + + + .debug_line + 0x3a88 + 0x3a88 + 0x2b + + + + .debug_line + 0x3ab3 + 0x3ab3 + 0x38 + + + + .debug_line + 0x3aeb + 0x3aeb + 0x20 + + + + .debug_line + 0x3b0b + 0x3b0b + 0x51 + + + + .debug_line + 0x3b5c + 0x3b5c + 0x91 + + + + .debug_line + 0x3bed + 0x3bed + 0x5d + + + + .debug_line + 0x3c4a + 0x3c4a + 0x20 + + + + .debug_line + 0x3c6a + 0x3c6a + 0x2b + + + + .debug_line + 0x3c95 + 0x3c95 + 0x2a + + + + .debug_line + 0x3cbf + 0x3cbf + 0x2a + + + + .debug_line + 0x3ce9 + 0x3ce9 + 0x2a + + + + .debug_line + 0x3d13 + 0x3d13 + 0x91 + + + + .debug_line + 0x3da4 + 0x3da4 + 0x3d + + + + .debug_line + 0x3de1 + 0x3de1 + 0x20 + + + + .debug_line + 0x3e01 + 0x3e01 + 0x48 + + + + .debug_line + 0x3e49 + 0x3e49 + 0x20 + + + + .debug_line + 0x3e69 + 0x3e69 + 0xb1 + + + + .debug_line + 0x3f1a + 0x3f1a + 0x20 + + + + .debug_line + 0x3f3a + 0x3f3a + 0x42 + + + + .debug_line + 0x3f7c + 0x3f7c + 0x10f + + + + .debug_line + 0x408b + 0x408b + 0x2c + + + + .debug_line + 0x40b7 + 0x40b7 + 0x2c + + + + .debug_line + 0x40e3 + 0x40e3 + 0x2c + + + + .debug_line + 0x410f + 0x410f + 0x3f + + + + .debug_line + 0x414e + 0x414e + 0x4b + + + + .debug_line + 0x4199 + 0x4199 + 0x55 + + + + .debug_line + 0x41ee + 0x41ee + 0xb4 + + + + .debug_line + 0x42a2 + 0x42a2 + 0x72 + + + + .debug_line + 0x4314 + 0x4314 + 0xe3 + + + + .debug_line + 0x43f7 + 0x43f7 + 0x2c + + + + .debug_line + 0x4423 + 0x4423 + 0x92 + + + + .debug_line + 0x44b5 + 0x44b5 + 0x20 + + + + .debug_line + 0x44d5 + 0x44d5 + 0xae + + + + .debug_line + 0x4583 + 0x4583 + 0x20 + + + + .debug_line + 0x45a3 + 0x45a3 + 0xaf + + + + .debug_line + 0x4652 + 0x4652 + 0x20 + + + + .debug_line + 0x4672 + 0x4672 + 0xac + + + + .debug_line + 0x471e + 0x471e + 0x91 + + + + .debug_line + 0x47af + 0x47af + 0x3d + + + + .debug_line + 0x47ec + 0x47ec + 0x92 + + + + .debug_line + 0x487e + 0x487e + 0x42 + + + + .debug_line + 0x48c0 + 0x48c0 + 0x92 + + + + .debug_line + 0x4952 + 0x4952 + 0x90 + + + + .debug_line + 0x49e2 + 0x49e2 + 0x31 + + + + .debug_line + 0x4a13 + 0x4a13 + 0x31 + + + + .debug_line + 0x4a44 + 0x4a44 + 0x31 + + + + .debug_line + 0x4a75 + 0x4a75 + 0x3c + + + + .debug_line + 0x4ab1 + 0x4ab1 + 0x2b + + + + .debug_line + 0x4adc + 0x4adc + 0x118 + + + + .debug_line + 0x4bf4 + 0x4bf4 + 0x5e + + + + .debug_line + 0x4c52 + 0x4c52 + 0x4b + + + + .debug_line + 0x4c9d + 0x4c9d + 0xa6 + + + + .debug_line + 0x4d43 + 0x4d43 + 0x4f + + + + .debug_line + 0x4d92 + 0x4d92 + 0x42 + + + + .debug_line + 0x4dd4 + 0x4dd4 + 0x56 + + + + .debug_line + 0x4e2a + 0x4e2a + 0x5a + + + + .debug_line + 0x4e84 + 0x4e84 + 0x56 + + + + .debug_line + 0x4eda + 0x4eda + 0x42 + + + + .debug_line + 0x4f1c + 0x4f1c + 0x65 + + + + .debug_line + 0x4f81 + 0x4f81 + 0x53 + + + + .debug_line + 0x4fd4 + 0x4fd4 + 0x53 + + + + .debug_line + 0x5027 + 0x5027 + 0x65 + + + + .debug_line + 0x508c + 0x508c + 0x9d + + + + .debug_line + 0x5129 + 0x5129 + 0x48 + + + + .debug_line + 0x5171 + 0x5171 + 0x9d + + + + .debug_line + 0x520e + 0x520e + 0x4a + + + + .debug_line + 0x5258 + 0x5258 + 0x11d + + + + .debug_line + 0x5375 + 0x5375 + 0x48 + + + + .debug_line + 0x53bd + 0x53bd + 0x9d + + + + .debug_line + 0x545a + 0x545a + 0x4e + + + + .debug_line + 0x54a8 + 0x54a8 + 0x10f + + + + .debug_line + 0x55b7 + 0x55b7 + 0x4c + + + + .debug_line + 0x5603 + 0x5603 + 0x10f + + + + .debug_line + 0x5712 + 0x5712 + 0x48 + + + + .debug_line + 0x575a + 0x575a + 0x9d + + + + .debug_line + 0x57f7 + 0x57f7 + 0x4f + + + + .debug_line + 0x5846 + 0x5846 + 0x20 + + + + .debug_line + 0x5866 + 0x5866 + 0x2c + + + + .debug_line + 0x5892 + 0x5892 + 0x50 + + + + .debug_line + 0x58e2 + 0x58e2 + 0x51 + + + + .debug_line + 0x5933 + 0x5933 + 0x92 + + + + .debug_line + 0x59c5 + 0x59c5 + 0x42 + + + + .debug_line + 0x5a07 + 0x5a07 + 0x18a + + + + .debug_line + 0x5b91 + 0x5b91 + 0x60 + + + + .debug_line + 0x5bf1 + 0x5bf1 + 0x9e + + + + .debug_line + 0x5c8f + 0x5c8f + 0x53 + + + + .debug_line + 0x5ce2 + 0x5ce2 + 0x56 + + + + .debug_line + 0x5d38 + 0x5d38 + 0x2c + + + + .debug_line + 0x5d64 + 0x5d64 + 0x20 + + + + .debug_line + 0x5d84 + 0x5d84 + 0xaf + + + + .debug_line + 0x5e33 + 0x5e33 + 0x20 + + + + .debug_line + 0x5e53 + 0x5e53 + 0xa8 + + + + .debug_line + 0x5efb + 0x5efb + 0x20 + + + + .debug_line + 0x5f1b + 0x5f1b + 0xb4 + + + + .debug_line + 0x5fcf + 0x5fcf + 0x103 + + + + .debug_line + 0x60d2 + 0x60d2 + 0x53 + + + + .debug_line + 0x6125 + 0x6125 + 0x103 + + + + .debug_line + 0x6228 + 0x6228 + 0x40 + + + + .debug_frame + 0x0 + 0x0 + 0x40 + + + + .debug_frame + 0x40 + 0x40 + 0x3c + + + + .debug_frame + 0x7c + 0x7c + 0x3c + + + + .debug_frame + 0xb8 + 0xb8 + 0x48 + + + + .debug_frame + 0x100 + 0x100 + 0x64 + + + + .debug_frame + 0x164 + 0x164 + 0x4c + + + + .debug_frame + 0x1b0 + 0x1b0 + 0x64 + + + + .debug_frame + 0x214 + 0x214 + 0x64 + + + + .debug_frame + 0x278 + 0x278 + 0xb4 + + + + .debug_frame + 0x32c + 0x32c + 0x50 + + + + .debug_frame + 0x37c + 0x37c + 0x54 + + + + .debug_frame + 0x3d0 + 0x3d0 + 0x50 + + + + .debug_frame + 0x420 + 0x420 + 0xb4 + + + + .debug_frame + 0x4d4 + 0x4d4 + 0x50 + + + + .debug_frame + 0x524 + 0x524 + 0x54 + + + + .debug_frame + 0x578 + 0x578 + 0xb4 + + + + .debug_frame + 0x62c + 0x62c + 0xb4 + + + + .debug_frame + 0x6e0 + 0x6e0 + 0x64 + + + + .debug_frame + 0x744 + 0x744 + 0xb4 + + + + .debug_frame + 0x7f8 + 0x7f8 + 0x64 + + + + .debug_frame + 0x85c + 0x85c + 0x64 + + + + .debug_frame + 0x8c0 + 0x8c0 + 0x64 + + + + .debug_frame + 0x924 + 0x924 + 0x74 + + + + .debug_frame + 0x998 + 0x998 + 0x50 + + + + .debug_frame + 0x9e8 + 0x9e8 + 0x60 + + + + .debug_frame + 0xa48 + 0xa48 + 0x44 + + + + .debug_frame + 0xa8c + 0xa8c + 0x50 + + + + .debug_frame + 0xadc + 0xadc + 0x3c + + + + .debug_frame + 0xb18 + 0xb18 + 0x60 + + + + .debug_frame + 0xb78 + 0xb78 + 0x78 + + + + .debug_frame + 0xbf0 + 0xbf0 + 0x34 + + + + .debug_frame + 0xc24 + 0xc24 + 0x48 + + + + .debug_frame + 0xc6c + 0xc6c + 0x3c + + + + .debug_frame + 0xca8 + 0xca8 + 0x44 + + + + .debug_frame + 0xcec + 0xcec + 0x90 + + + + .debug_frame + 0xd7c + 0xd7c + 0x3c + + + + .debug_frame + 0xdb8 + 0xdb8 + 0x3c + + + + .debug_frame + 0xdf4 + 0xdf4 + 0x3c + + + + .debug_frame + 0xe30 + 0xe30 + 0x48 + + + + .debug_frame + 0xe78 + 0xe78 + 0x7c + + + + .debug_frame + 0xef4 + 0xef4 + 0x4c + + + + .debug_frame + 0xf40 + 0xf40 + 0x68 + + + + .debug_frame + 0xfa8 + 0xfa8 + 0x40 + + + + .debug_frame + 0xfe8 + 0xfe8 + 0x44 + + + + .debug_frame + 0x102c + 0x102c + 0x3c + + + + .debug_frame + 0x1068 + 0x1068 + 0x48 + + + + .debug_frame + 0x10b0 + 0x10b0 + 0x78 + + + + .debug_frame + 0x1128 + 0x1128 + 0x68 + + + + .debug_frame + 0x1190 + 0x1190 + 0x40 + + + + .debug_frame + 0x11d0 + 0x11d0 + 0x40 + + + + .debug_frame + 0x1210 + 0x1210 + 0x3c + + + + .debug_frame + 0x124c + 0x124c + 0x44 + + + + .debug_frame + 0x1290 + 0x1290 + 0x3c + + + + .debug_frame + 0x12cc + 0x12cc + 0x58 + + + + .debug_frame + 0x1324 + 0x1324 + 0x44 + + + + .debug_frame + 0x1368 + 0x1368 + 0x3c + + + + .debug_frame + 0x13a4 + 0x13a4 + 0x44 + + + + .debug_frame + 0x13e8 + 0x13e8 + 0x3c + + + + .debug_frame + 0x1424 + 0x1424 + 0x3c + + + + .debug_frame + 0x1460 + 0x1460 + 0x3c + + + + .debug_frame + 0x149c + 0x149c + 0x3c + + + + .debug_frame + 0x14d8 + 0x14d8 + 0x3c + + + + .debug_frame + 0x1514 + 0x1514 + 0x44 + + + + .debug_frame + 0x1558 + 0x1558 + 0x44 + + + + .debug_frame + 0x159c + 0x159c + 0x50 + + + + .debug_frame + 0x15ec + 0x15ec + 0x3c + + + + .debug_frame + 0x1628 + 0x1628 + 0x40 + + + + .debug_frame + 0x1668 + 0x1668 + 0x3c + + + + .debug_frame + 0x16a4 + 0x16a4 + 0x3c + + + + .debug_frame + 0x16e0 + 0x16e0 + 0x3c + + + + .debug_frame + 0x171c + 0x171c + 0x3c + + + + .debug_frame + 0x1758 + 0x1758 + 0x44 + + + + .debug_frame + 0x179c + 0x179c + 0x44 + + + + .debug_frame + 0x17e0 + 0x17e0 + 0x50 + + + + .debug_frame + 0x1830 + 0x1830 + 0x44 + + + + .debug_frame + 0x1874 + 0x1874 + 0x44 + + + + .debug_frame + 0x18b8 + 0x18b8 + 0x44 + + + + .debug_frame + 0x18fc + 0x18fc + 0x64 + + + + .debug_frame + 0x1960 + 0x1960 + 0x44 + + + + .debug_frame + 0x19a4 + 0x19a4 + 0x50 + + + + .debug_frame + 0x19f4 + 0x19f4 + 0x48 + + + + .debug_frame + 0x1a3c + 0x1a3c + 0x48 + + + + .debug_frame + 0x1a84 + 0x1a84 + 0x4c + + + + .debug_frame + 0x1ad0 + 0x1ad0 + 0x44 + + + + .debug_frame + 0x1b14 + 0x1b14 + 0x48 + + + + .debug_frame + 0x1b5c + 0x1b5c + 0x3c + + + + .debug_frame + 0x1b98 + 0x1b98 + 0x3c + + + + .debug_frame + 0x1bd4 + 0x1bd4 + 0x3c + + + + .debug_frame + 0x1c10 + 0x1c10 + 0x54 + + + + .debug_frame + 0x1c64 + 0x1c64 + 0x4c + + + + .debug_frame + 0x1cb0 + 0x1cb0 + 0x50 + + + + .debug_frame + 0x1d00 + 0x1d00 + 0x3c + + + + .debug_frame + 0x1d3c + 0x1d3c + 0x3c + + + + .debug_frame + 0x1d78 + 0x1d78 + 0x3c + + + + .debug_frame + 0x1db4 + 0x1db4 + 0x44 + + + + .debug_frame + 0x1df8 + 0x1df8 + 0x48 + + + + .debug_abbrev + 0x0 + 0x0 + 0x91 + + + + .debug_abbrev + 0x91 + 0x91 + 0x27 + + + + .debug_abbrev + 0xb8 + 0xb8 + 0x79 + + + + .debug_abbrev + 0x131 + 0x131 + 0x69 + + + + .debug_abbrev + 0x19a + 0x19a + 0x1f + + + + .debug_abbrev + 0x1b9 + 0x1b9 + 0x35 + + + + .debug_abbrev + 0x1ee + 0x1ee + 0x5c + + + + .debug_abbrev + 0x24a + 0x24a + 0x24 + + + + .debug_abbrev + 0x26e + 0x26e + 0xb8 + + + + .debug_abbrev + 0x326 + 0x326 + 0x74 + + + + .debug_abbrev + 0x39a + 0x39a + 0x66 + + + + .debug_abbrev + 0x400 + 0x400 + 0x93 + + + + .debug_abbrev + 0x493 + 0x493 + 0x4b + + + + .debug_abbrev + 0x4de + 0x4de + 0xd0 + + + + .debug_abbrev + 0x5ae + 0x5ae + 0x89 + + + + .debug_abbrev + 0x637 + 0x637 + 0x6f + + + + .debug_abbrev + 0x6a6 + 0x6a6 + 0x7d + + + + .debug_abbrev + 0x723 + 0x723 + 0x7d + + + + .debug_abbrev + 0x7a0 + 0x7a0 + 0x8b + + + + .debug_abbrev + 0x82b + 0x82b + 0x7b + + + + .debug_abbrev + 0x8a6 + 0x8a6 + 0x7b + + + + .debug_abbrev + 0x921 + 0x921 + 0x7b + + + + .debug_abbrev + 0x99c + 0x99c + 0x8b + + + + .debug_abbrev + 0xa27 + 0xa27 + 0x7b + + + + .debug_abbrev + 0xaa2 + 0xaa2 + 0x7b + + + + .debug_abbrev + 0xb1d + 0xb1d + 0x89 + + + + .debug_abbrev + 0xba6 + 0xba6 + 0x8b + + + + .debug_abbrev + 0xc31 + 0xc31 + 0x7b + + + + .debug_abbrev + 0xcac + 0xcac + 0x89 + + + + .debug_abbrev + 0xd35 + 0xd35 + 0x7d + + + + .debug_abbrev + 0xdb2 + 0xdb2 + 0x8a + + + + .debug_abbrev + 0xe3c + 0xe3c + 0x8a + + + + .debug_abbrev + 0xec6 + 0xec6 + 0x9c + + + + .debug_abbrev + 0xf62 + 0xf62 + 0x49 + + + + .debug_abbrev + 0xfab + 0xfab + 0x24 + + + + .debug_abbrev + 0xfcf + 0xfcf + 0x35 + + + + .debug_abbrev + 0x1004 + 0x1004 + 0x24 + + + + .debug_abbrev + 0x1028 + 0x1028 + 0x24 + + + + .debug_abbrev + 0x104c + 0x104c + 0x24 + + + + .debug_abbrev + 0x1070 + 0x1070 + 0x95 + + + + .debug_abbrev + 0x1105 + 0x1105 + 0x8e + + + + .debug_abbrev + 0x1193 + 0x1193 + 0xb4 + + + + .debug_abbrev + 0x1247 + 0x1247 + 0x8e + + + + .debug_abbrev + 0x12d5 + 0x12d5 + 0x24 + + + + .debug_abbrev + 0x12f9 + 0x12f9 + 0x73 + + + + .debug_abbrev + 0x136c + 0x136c + 0x7f + + + + .debug_abbrev + 0x13eb + 0x13eb + 0x5c + + + + .debug_abbrev + 0x1447 + 0x1447 + 0xab + + + + .debug_abbrev + 0x14f2 + 0x14f2 + 0x8e + + + + .debug_abbrev + 0x1580 + 0x1580 + 0x35 + + + + .debug_abbrev + 0x15b5 + 0x15b5 + 0x71 + + + + .debug_abbrev + 0x1626 + 0x1626 + 0x24 + + + + .debug_abbrev + 0x164a + 0x164a + 0x24 + + + + .debug_abbrev + 0x166e + 0x166e + 0x35 + + + + .debug_abbrev + 0x16a3 + 0x16a3 + 0x7f + + + + .debug_abbrev + 0x1722 + 0x1722 + 0x24 + + + + .debug_abbrev + 0x1746 + 0x1746 + 0x24 + + + + .debug_abbrev + 0x176a + 0x176a + 0x5a + + + + .debug_abbrev + 0x17c4 + 0x17c4 + 0x8d + + + + .debug_abbrev + 0x1851 + 0x1851 + 0x3c + + + + .debug_abbrev + 0x188d + 0x188d + 0x3c + + + + .debug_abbrev + 0x18c9 + 0x18c9 + 0x3c + + + + .debug_abbrev + 0x1905 + 0x1905 + 0x3a + + + + .debug_abbrev + 0x193f + 0x193f + 0x28 + + + + .debug_abbrev + 0x1967 + 0x1967 + 0x3c + + + + .debug_abbrev + 0x19a3 + 0x19a3 + 0x3c + + + + .debug_abbrev + 0x19df + 0x19df + 0x2e + + + + .debug_abbrev + 0x1a0d + 0x1a0d + 0x2e + + + + .debug_abbrev + 0x1a3b + 0x1a3b + 0x2e + + + + .debug_abbrev + 0x1a69 + 0x1a69 + 0x2e + + + + .debug_abbrev + 0x1a97 + 0x1a97 + 0x29 + + + + .debug_abbrev + 0x1ac0 + 0x1ac0 + 0x58 + + + + .debug_abbrev + 0x1b18 + 0x1b18 + 0xc0 + + + + .debug_abbrev + 0x1bd8 + 0x1bd8 + 0x7e + + + + .debug_abbrev + 0x1c56 + 0x1c56 + 0x24 + + + + .debug_abbrev + 0x1c7a + 0x1c7a + 0x24 + + + + .debug_abbrev + 0x1c9e + 0x1c9e + 0x24 + + + + .debug_abbrev + 0x1cc2 + 0x1cc2 + 0x4b + + + + .debug_abbrev + 0x1d0d + 0x1d0d + 0x37 + + + + .debug_abbrev + 0x1d44 + 0x1d44 + 0x6f + + + + .debug_abbrev + 0x1db3 + 0x1db3 + 0x24 + + + + .debug_abbrev + 0x1dd7 + 0x1dd7 + 0x33 + + + + .debug_abbrev + 0x1e0a + 0x1e0a + 0x29 + + + + .debug_abbrev + 0x1e33 + 0x1e33 + 0x29 + + + + .debug_abbrev + 0x1e5c + 0x1e5c + 0x7f + + + + .debug_abbrev + 0x1edb + 0x1edb + 0x25 + + + + .debug_abbrev + 0x1f00 + 0x1f00 + 0x8d + + + + .debug_abbrev + 0x1f8d + 0x1f8d + 0x55 + + + + .debug_abbrev + 0x1fe2 + 0x1fe2 + 0x53 + + + + .debug_abbrev + 0x2035 + 0x2035 + 0x37 + + + + .debug_abbrev + 0x206c + 0x206c + 0x74 + + + + .debug_abbrev + 0x20e0 + 0x20e0 + 0x24 + + + + .debug_abbrev + 0x2104 + 0x2104 + 0x24 + + + + .debug_abbrev + 0x2128 + 0x2128 + 0x37 + + + + .debug_abbrev + 0x215f + 0x215f + 0x7d + + + + .debug_abbrev + 0x21dc + 0x21dc + 0x24 + + + + .debug_abbrev + 0x2200 + 0x2200 + 0x35 + + + + .debug_abbrev + 0x2235 + 0x2235 + 0x24 + + + + .debug_abbrev + 0x2259 + 0x2259 + 0x25 + + + + .debug_abbrev + 0x227e + 0x227e + 0x8d + + + + .debug_abbrev + 0x230b + 0x230b + 0x71 + + + + .debug_abbrev + 0x237c + 0x237c + 0x70 + + + + .debug_abbrev + 0x23ec + 0x23ec + 0x4d + + + + .debug_abbrev + 0x2439 + 0x2439 + 0x7f + + + + .debug_abbrev + 0x24b8 + 0x24b8 + 0x71 + + + + .debug_abbrev + 0x2529 + 0x2529 + 0x7f + + + + .debug_abbrev + 0x25a8 + 0x25a8 + 0x68 + + + + .debug_abbrev + 0x2610 + 0x2610 + 0x25 + + + + .debug_abbrev + 0x2635 + 0x2635 + 0x7f + + + + .debug_abbrev + 0x26b4 + 0x26b4 + 0x35 + + + + .debug_abbrev + 0x26e9 + 0x26e9 + 0x8d + + + + .debug_abbrev + 0x2776 + 0x2776 + 0x44 + + + + .debug_abbrev + 0x27ba + 0x27ba + 0x7f + + + + .debug_abbrev + 0x2839 + 0x2839 + 0x71 + + + + .debug_abbrev + 0x28aa + 0x28aa + 0x7f + + + + .debug_abbrev + 0x2929 + 0x2929 + 0x6f + + + + .debug_abbrev + 0x2998 + 0x2998 + 0x29 + + + + .debug_abbrev + 0x29c1 + 0x29c1 + 0x45 + + + + .debug_abbrev + 0x2a06 + 0x2a06 + 0x8c + + + + .debug_abbrev + 0x2a92 + 0x2a92 + 0x35 + + + + .debug_abbrev + 0x2ac7 + 0x2ac7 + 0x29 + + + + .debug_abbrev + 0x2af0 + 0x2af0 + 0x29 + + + + .debug_abbrev + 0x2b19 + 0x2b19 + 0x53 + + + + .debug_abbrev + 0x2b6c + 0x2b6c + 0x49 + + + + .debug_abbrev + 0x2bb5 + 0x2bb5 + 0x7f + + + + .debug_abbrev + 0x2c34 + 0x2c34 + 0x58 + + + + .debug_abbrev + 0x2c8c + 0x2c8c + 0x7f + + + + .debug_abbrev + 0x2d0b + 0x2d0b + 0x2e + + + + .debug_abbrev + 0x2d39 + 0x2d39 + 0x29 + + + + .debug_abbrev + 0x2d62 + 0x2d62 + 0x46 + + + + .debug_abbrev + 0x2da8 + 0x2da8 + 0x29 + + + + .debug_abbrev + 0x2dd1 + 0x2dd1 + 0x29 + + + + .debug_abbrev + 0x2dfa + 0x2dfa + 0x4f + + + + .debug_abbrev + 0x2e49 + 0x2e49 + 0x71 + + + + .debug_abbrev + 0x2eba + 0x2eba + 0x37 + + + + .debug_abbrev + 0x2ef1 + 0x2ef1 + 0x71 + + + + .debug_abbrev + 0x2f62 + 0x2f62 + 0x37 + + + + .debug_abbrev + 0x2f99 + 0x2f99 + 0x71 + + + + .debug_abbrev + 0x300a + 0x300a + 0x45 + + + + .debug_abbrev + 0x304f + 0x304f + 0x71 + + + + .debug_abbrev + 0x30c0 + 0x30c0 + 0xab + + + + .debug_abbrev + 0x316b + 0x316b + 0x29 + + + + .debug_abbrev + 0x3194 + 0x3194 + 0x27 + + + + .debug_abbrev + 0x31bb + 0x31bb + 0x27 + + + + .debug_abbrev + 0x31e2 + 0x31e2 + 0x76 + + + + .debug_abbrev + 0x3258 + 0x3258 + 0x6d + + + + .debug_abbrev + 0x32c5 + 0x32c5 + 0x6d + + + + .debug_abbrev + 0x3332 + 0x3332 + 0x8c + + + + .debug_abbrev + 0x33be + 0x33be + 0x7b + + + + .debug_abbrev + 0x3439 + 0x3439 + 0x8e + + + + .debug_abbrev + 0x34c7 + 0x34c7 + 0x7f + + + + .debug_abbrev + 0x3546 + 0x3546 + 0x24 + + + + .debug_abbrev + 0x356a + 0x356a + 0x35 + + + + .debug_abbrev + 0x359f + 0x359f + 0x71 + + + + .debug_abbrev + 0x3610 + 0x3610 + 0x3e + + + + .debug_abbrev + 0x364e + 0x364e + 0x71 + + + + .debug_abbrev + 0x36bf + 0x36bf + 0x2e + + + + .debug_abbrev + 0x36ed + 0x36ed + 0x71 + + + + .debug_abbrev + 0x375e + 0x375e + 0x4f + + + + .debug_abbrev + 0x37ad + 0x37ad + 0x71 + + + + .debug_abbrev + 0x381e + 0x381e + 0x7a + + + + .debug_abbrev + 0x3898 + 0x3898 + 0x80 + + + + .debug_abbrev + 0x3918 + 0x3918 + 0x5a + + + + .debug_abbrev + 0x3972 + 0x3972 + 0x24 + + + + .debug_abbrev + 0x3996 + 0x3996 + 0x71 + + + + .debug_abbrev + 0x3a07 + 0x3a07 + 0x29 + + + + .debug_abbrev + 0x3a30 + 0x3a30 + 0x29 + + + + .debug_abbrev + 0x3a59 + 0x3a59 + 0x71 + + + + .debug_abbrev + 0x3aca + 0x3aca + 0x27 + + + + .debug_abbrev + 0x3af1 + 0x3af1 + 0xab + + + + .debug_abbrev + 0x3b9c + 0x3b9c + 0x7f + + + + .debug_abbrev + 0x3c1b + 0x3c1b + 0x6f + + + + .debug_abbrev + 0x3c8a + 0x3c8a + 0x81 + + + + .debug_abbrev + 0x3d0b + 0x3d0b + 0x8e + + + + .debug_abbrev + 0x3d99 + 0x3d99 + 0x63 + + + + .debug_abbrev + 0x3dfc + 0x3dfc + 0x3c + + + + .debug_abbrev + 0x3e38 + 0x3e38 + 0x4a + + + + .debug_abbrev + 0x3e82 + 0x3e82 + 0x3c + + + + .debug_abbrev + 0x3ebe + 0x3ebe + 0x3c + + + + .debug_abbrev + 0x3efa + 0x3efa + 0x3c + + + + .debug_abbrev + 0x3f36 + 0x3f36 + 0x7f + + + + .debug_abbrev + 0x3fb5 + 0x3fb5 + 0x7f + + + + .debug_abbrev + 0x4034 + 0x4034 + 0x7f + + + + .debug_abbrev + 0x40b3 + 0x40b3 + 0x8c + + + + .debug_abbrev + 0x413f + 0x413f + 0x8e + + + + .debug_abbrev + 0x41cd + 0x41cd + 0x8c + + + + .debug_abbrev + 0x4259 + 0x4259 + 0x8e + + + + .debug_abbrev + 0x42e7 + 0x42e7 + 0xc1 + + + + .debug_abbrev + 0x43a8 + 0x43a8 + 0x8e + + + + .debug_abbrev + 0x4436 + 0x4436 + 0x93 + + + + .debug_abbrev + 0x44c9 + 0x44c9 + 0x8e + + + + .debug_abbrev + 0x4557 + 0x4557 + 0xca + + + + .debug_abbrev + 0x4621 + 0x4621 + 0x8e + + + + .debug_abbrev + 0x46af + 0x46af + 0xab + + + + .debug_abbrev + 0x475a + 0x475a + 0x8e + + + + .debug_abbrev + 0x47e8 + 0x47e8 + 0x93 + + + + .debug_abbrev + 0x487b + 0x487b + 0x8e + + + + .debug_abbrev + 0x4909 + 0x4909 + 0x52 + + + + .debug_abbrev + 0x495b + 0x495b + 0x29 + + + + .debug_abbrev + 0x4984 + 0x4984 + 0x6f + + + + .debug_abbrev + 0x49f3 + 0x49f3 + 0x6f + + + + .debug_abbrev + 0x4a62 + 0x4a62 + 0x7a + + + + .debug_abbrev + 0x4adc + 0x4adc + 0x80 + + + + .debug_abbrev + 0x4b5c + 0x4b5c + 0xab + + + + .debug_abbrev + 0x4c07 + 0x4c07 + 0x8e + + + + .debug_abbrev + 0x4c95 + 0x4c95 + 0xb8 + + + + .debug_abbrev + 0x4d4d + 0x4d4d + 0x7f + + + + .debug_abbrev + 0x4dcc + 0x4dcc + 0x7f + + + + .debug_abbrev + 0x4e4b + 0x4e4b + 0x49 + + + + .debug_abbrev + 0x4e94 + 0x4e94 + 0x2e + + + + .debug_abbrev + 0x4ec2 + 0x4ec2 + 0x71 + + + + .debug_abbrev + 0x4f33 + 0x4f33 + 0x45 + + + + .debug_abbrev + 0x4f78 + 0x4f78 + 0x71 + + + + .debug_abbrev + 0x4fe9 + 0x4fe9 + 0x45 + + + + .debug_abbrev + 0x502e + 0x502e + 0x71 + + + + .debug_abbrev + 0x509f + 0x509f + 0x81 + + + + .debug_abbrev + 0x5120 + 0x5120 + 0x80 + + + + .debug_abbrev + 0x51a0 + 0x51a0 + 0x99 + + + + .debug_abbrev + 0x5239 + 0x5239 + 0x8e + + + + .debug_abbrev + 0x52c7 + 0x52c7 + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2a8 + + + + .debug_str + 0x2a8 + 0x2a8 + 0xfd + + + + .debug_str + 0x3a5 + 0x3a5 + 0x32d + + + + .debug_str + 0x6d2 + 0x6d2 + 0x10f + + + + .debug_str + 0x7e1 + 0x7e1 + 0xdb + + + + .debug_str + 0x8bc + 0x8bc + 0x167 + + + + .debug_str + 0xa23 + 0xa23 + 0x15d + + + + .debug_str + 0xb80 + 0xb80 + 0x14b + + + + .debug_str + 0xccb + 0xccb + 0x1a2 + + + + .debug_str + 0xe6d + 0xe6d + 0x15b + + + + .debug_str + 0xfc8 + 0xfc8 + 0xef + + + + .debug_str + 0x10b7 + 0x10b7 + 0x13f + + + + .debug_str + 0x11f6 + 0x11f6 + 0x140 + + + + .debug_str + 0x1336 + 0x1336 + 0x16e + + + + .debug_str + 0x14a4 + 0x14a4 + 0x155 + + + + .debug_str + 0x15f9 + 0x15f9 + 0x147 + + + + .debug_str + 0x1740 + 0x1740 + 0x161 + + + + .debug_str + 0x18a1 + 0x18a1 + 0x146 + + + + .debug_str + 0x19e7 + 0x19e7 + 0xed + + + + .debug_str + 0x1ad4 + 0x1ad4 + 0x14c + + + + .debug_str + 0x1c20 + 0x1c20 + 0x147 + + + + .debug_str + 0x1d67 + 0x1d67 + 0x197 + + + + .debug_str + 0x1efe + 0x1efe + 0x105 + + + + .debug_str + 0x2003 + 0x2003 + 0x10b + + + + .debug_str + 0x210e + 0x210e + 0x140 + + + + .debug_str + 0x224e + 0x224e + 0x118 + + + + .debug_str + 0x2366 + 0x2366 + 0x16b + + + + .debug_str + 0x24d1 + 0x24d1 + 0x158 + + + + .debug_str + 0x2629 + 0x2629 + 0xf7 + + + + .debug_str + 0x2720 + 0x2720 + 0x10a + + + + .debug_str + 0x282a + 0x282a + 0x140 + + + + .debug_str + 0x296a + 0x296a + 0x18a + + + + .debug_str + 0x2af4 + 0x2af4 + 0x13d + + + + .debug_str + 0x2c31 + 0x2c31 + 0x110 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x28 + + + + .debug_aranges + 0xa8 + 0xa8 + 0x20 + + + + .debug_aranges + 0xc8 + 0xc8 + 0x20 + + + + .debug_aranges + 0xe8 + 0xe8 + 0x20 + + + + .debug_aranges + 0x108 + 0x108 + 0x40 + + + + .debug_aranges + 0x148 + 0x148 + 0x20 + + + + .debug_aranges + 0x168 + 0x168 + 0x20 + + + + .debug_aranges + 0x188 + 0x188 + 0x20 + + + + .debug_aranges + 0x1a8 + 0x1a8 + 0x40 + + + + .debug_aranges + 0x1e8 + 0x1e8 + 0x20 + + + + .debug_aranges + 0x208 + 0x208 + 0x20 + + + + .debug_aranges + 0x228 + 0x228 + 0x40 + + + + .debug_aranges + 0x268 + 0x268 + 0x40 + + + + .debug_aranges + 0x2a8 + 0x2a8 + 0x20 + + + + .debug_aranges + 0x2c8 + 0x2c8 + 0x40 + + + + .debug_aranges + 0x308 + 0x308 + 0x20 + + + + .debug_aranges + 0x328 + 0x328 + 0x20 + + + + .debug_aranges + 0x348 + 0x348 + 0x20 + + + + .debug_aranges + 0x368 + 0x368 + 0x28 + + + + .debug_aranges + 0x390 + 0x390 + 0x20 + + + + .debug_aranges + 0x3b0 + 0x3b0 + 0x20 + + + + .debug_aranges + 0x3d0 + 0x3d0 + 0x20 + + + + .debug_aranges + 0x3f0 + 0x3f0 + 0x20 + + + + .debug_aranges + 0x410 + 0x410 + 0x20 + + + + .debug_aranges + 0x430 + 0x430 + 0x20 + + + + .debug_aranges + 0x450 + 0x450 + 0x28 + + + + .debug_aranges + 0x478 + 0x478 + 0x20 + + + + .debug_aranges + 0x498 + 0x498 + 0x20 + + + + .debug_aranges + 0x4b8 + 0x4b8 + 0x20 + + + + .debug_aranges + 0x4d8 + 0x4d8 + 0x20 + + + + .debug_aranges + 0x4f8 + 0x4f8 + 0x20 + + + + .debug_aranges + 0x518 + 0x518 + 0x20 + + + + .debug_aranges + 0x538 + 0x538 + 0x20 + + + + .debug_aranges + 0x558 + 0x558 + 0x20 + + + + .debug_aranges + 0x578 + 0x578 + 0x20 + + + + .debug_aranges + 0x598 + 0x598 + 0x20 + + + + .debug_aranges + 0x5b8 + 0x5b8 + 0x20 + + + + .debug_aranges + 0x5d8 + 0x5d8 + 0x20 + + + + .debug_aranges + 0x5f8 + 0x5f8 + 0x20 + + + + .debug_aranges + 0x618 + 0x618 + 0x20 + + + + .debug_aranges + 0x638 + 0x638 + 0x20 + + + + .debug_aranges + 0x658 + 0x658 + 0x30 + + + + .debug_aranges + 0x688 + 0x688 + 0x20 + + + + .debug_aranges + 0x6a8 + 0x6a8 + 0x20 + + + + .debug_aranges + 0x6c8 + 0x6c8 + 0x20 + + + + .debug_aranges + 0x6e8 + 0x6e8 + 0x20 + + + + .debug_aranges + 0x708 + 0x708 + 0x28 + + + + .debug_aranges + 0x730 + 0x730 + 0x20 + + + + .debug_aranges + 0x750 + 0x750 + 0x20 + + + + .debug_aranges + 0x770 + 0x770 + 0x20 + + + + .debug_aranges + 0x790 + 0x790 + 0x20 + + + + .debug_aranges + 0x7b0 + 0x7b0 + 0x20 + + + + .debug_aranges + 0x7d0 + 0x7d0 + 0x20 + + + + .debug_aranges + 0x7f0 + 0x7f0 + 0x28 + + + + .debug_aranges + 0x818 + 0x818 + 0x20 + + + + .debug_aranges + 0x838 + 0x838 + 0x20 + + + + .debug_aranges + 0x858 + 0x858 + 0x20 + + + + .debug_aranges + 0x878 + 0x878 + 0x20 + + + + .debug_aranges + 0x898 + 0x898 + 0x20 + + + + .debug_aranges + 0x8b8 + 0x8b8 + 0x20 + + + + .debug_aranges + 0x8d8 + 0x8d8 + 0x20 + + + + .debug_aranges + 0x8f8 + 0x8f8 + 0x20 + + + + .debug_aranges + 0x918 + 0x918 + 0x20 + + + + .debug_aranges + 0x938 + 0x938 + 0x20 + + + + .debug_aranges + 0x958 + 0x958 + 0x20 + + + + .debug_aranges + 0x978 + 0x978 + 0x20 + + + + .debug_aranges + 0x998 + 0x998 + 0x20 + + + + .debug_aranges + 0x9b8 + 0x9b8 + 0x20 + + + + .debug_aranges + 0x9d8 + 0x9d8 + 0x20 + + + + .debug_aranges + 0x9f8 + 0x9f8 + 0x20 + + + + .debug_aranges + 0xa18 + 0xa18 + 0x20 + + + + .debug_aranges + 0xa38 + 0xa38 + 0x20 + + + + .debug_aranges + 0xa58 + 0xa58 + 0x20 + + + + .debug_aranges + 0xa78 + 0xa78 + 0x20 + + + + .debug_aranges + 0xa98 + 0xa98 + 0x20 + + + + .debug_aranges + 0xab8 + 0xab8 + 0x20 + + + + .debug_aranges + 0xad8 + 0xad8 + 0x20 + + + + .debug_aranges + 0xaf8 + 0xaf8 + 0x20 + + + + .debug_aranges + 0xb18 + 0xb18 + 0x20 + + + + .debug_aranges + 0xb38 + 0xb38 + 0x20 + + + + .debug_aranges + 0xb58 + 0xb58 + 0x20 + + + + .debug_aranges + 0xb78 + 0xb78 + 0x20 + + + + .debug_aranges + 0xb98 + 0xb98 + 0x20 + + + + .debug_aranges + 0xbb8 + 0xbb8 + 0x20 + + + + .debug_aranges + 0xbd8 + 0xbd8 + 0x20 + + + + .debug_aranges + 0xbf8 + 0xbf8 + 0x20 + + + + .debug_aranges + 0xc18 + 0xc18 + 0x20 + + + + .debug_aranges + 0xc38 + 0xc38 + 0x20 + + + + .debug_aranges + 0xc58 + 0xc58 + 0x20 + + + + .debug_aranges + 0xc78 + 0xc78 + 0x20 + + + + .debug_aranges + 0xc98 + 0xc98 + 0x20 + + + + .debug_aranges + 0xcb8 + 0xcb8 + 0x20 + + + + .debug_aranges + 0xcd8 + 0xcd8 + 0x20 + + + + .debug_aranges + 0xcf8 + 0xcf8 + 0x20 + + + + .debug_aranges + 0xd18 + 0xd18 + 0x20 + + + + .debug_aranges + 0xd38 + 0xd38 + 0x20 + + + + .debug_aranges + 0xd58 + 0xd58 + 0x20 + + + + .debug_aranges + 0xd78 + 0xd78 + 0x20 + + + + .debug_aranges + 0xd98 + 0xd98 + 0x20 + + + + .debug_aranges + 0xdb8 + 0xdb8 + 0x20 + + + + .debug_aranges + 0xdd8 + 0xdd8 + 0x20 + + + + .debug_aranges + 0xdf8 + 0xdf8 + 0x20 + + + + .debug_aranges + 0xe18 + 0xe18 + 0x20 + + + + .debug_aranges + 0xe38 + 0xe38 + 0x20 + + + + .debug_aranges + 0xe58 + 0xe58 + 0x20 + + + + .debug_aranges + 0xe78 + 0xe78 + 0x20 + + + + .debug_aranges + 0xe98 + 0xe98 + 0x20 + + + + .debug_aranges + 0xeb8 + 0xeb8 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1e + + + + .debug_pubnames + 0x1e + 0x1e + 0x1b + + + + .debug_pubnames + 0x39 + 0x39 + 0x1c + + + + .debug_pubnames + 0x55 + 0x55 + 0x1c + + + + .debug_pubnames + 0x71 + 0x71 + 0x1d + + + + .debug_pubnames + 0x8e + 0x8e + 0x21 + + + + .debug_pubnames + 0xaf + 0xaf + 0x25 + + + + .debug_pubnames + 0xd4 + 0xd4 + 0x1e + + + + .debug_pubnames + 0xf2 + 0xf2 + 0x24 + + + + .debug_pubnames + 0x116 + 0x116 + 0x1b + + + + .debug_pubnames + 0x131 + 0x131 + 0x1c + + + + .debug_pubnames + 0x14d + 0x14d + 0x1c + + + + .debug_pubnames + 0x169 + 0x169 + 0x1f + + + + .debug_pubnames + 0x188 + 0x188 + 0x1b + + + + .debug_pubnames + 0x1a3 + 0x1a3 + 0x1c + + + + .debug_pubnames + 0x1bf + 0x1bf + 0x1f + + + + .debug_pubnames + 0x1de + 0x1de + 0x1f + + + + .debug_pubnames + 0x1fd + 0x1fd + 0x1b + + + + .debug_pubnames + 0x218 + 0x218 + 0x1f + + + + .debug_pubnames + 0x237 + 0x237 + 0x22 + + + + .debug_pubnames + 0x259 + 0x259 + 0x20 + + + + .debug_pubnames + 0x279 + 0x279 + 0x21 + + + + .debug_pubnames + 0x29a + 0x29a + 0x22 + + + + .debug_pubnames + 0x2bc + 0x2bc + 0x23 + + + + .debug_pubnames + 0x2df + 0x2df + 0x1c + + + + .debug_pubnames + 0x2fb + 0x2fb + 0x1c + + + + .debug_pubnames + 0x317 + 0x317 + 0x22 + + + + .debug_pubnames + 0x339 + 0x339 + 0x1e + + + + .debug_pubnames + 0x357 + 0x357 + 0x1d + + + + .debug_pubnames + 0x374 + 0x374 + 0x1c + + + + .debug_pubnames + 0x390 + 0x390 + 0x1d + + + + .debug_pubnames + 0x3ad + 0x3ad + 0x24 + + + + .debug_pubnames + 0x3d1 + 0x3d1 + 0x24 + + + + .debug_pubnames + 0x3f5 + 0x3f5 + 0x25 + + + + .debug_pubnames + 0x41a + 0x41a + 0x2b + + + + .debug_pubnames + 0x445 + 0x445 + 0x2b + + + + .debug_pubnames + 0x470 + 0x470 + 0x24 + + + + .debug_pubnames + 0x494 + 0x494 + 0x24 + + + + .debug_pubnames + 0x4b8 + 0x4b8 + 0x29 + + + + .debug_pubnames + 0x4e1 + 0x4e1 + 0x29 + + + + .debug_pubnames + 0x50a + 0x50a + 0x2b + + + + .debug_pubnames + 0x535 + 0x535 + 0x2a + + + + .debug_pubnames + 0x55f + 0x55f + 0x1d + + + + .debug_pubnames + 0x57c + 0x57c + 0x26 + + + + .debug_pubnames + 0x5a2 + 0x5a2 + 0x3e + + + + .debug_pubnames + 0x5e0 + 0x5e0 + 0x2e + + + + .debug_pubnames + 0x60e + 0x60e + 0x27 + + + + .debug_pubnames + 0x635 + 0x635 + 0x25 + + + + .debug_pubnames + 0x65a + 0x65a + 0x25 + + + + .debug_pubnames + 0x67f + 0x67f + 0x26 + + + + .debug_pubnames + 0x6a5 + 0x6a5 + 0x27 + + + + .debug_pubnames + 0x6cc + 0x6cc + 0x29 + + + + .debug_pubnames + 0x6f5 + 0x6f5 + 0x2b + + + + .debug_pubnames + 0x720 + 0x720 + 0x2b + + + + .debug_pubnames + 0x74b + 0x74b + 0x24 + + + + .debug_pubnames + 0x76f + 0x76f + 0x24 + + + + .debug_pubnames + 0x793 + 0x793 + 0x24 + + + + .debug_pubnames + 0x7b7 + 0x7b7 + 0x25 + + + + .debug_pubnames + 0x7dc + 0x7dc + 0x26 + + + + .debug_pubnames + 0x802 + 0x802 + 0x25 + + + + .debug_pubnames + 0x827 + 0x827 + 0x26 + + + + .debug_pubnames + 0x84d + 0x84d + 0x23 + + + + .debug_pubnames + 0x870 + 0x870 + 0x24 + + + + .debug_pubnames + 0x894 + 0x894 + 0x24 + + + + .debug_pubnames + 0x8b8 + 0x8b8 + 0x24 + + + + .debug_pubnames + 0x8dc + 0x8dc + 0x36 + + + + .debug_pubnames + 0x912 + 0x912 + 0x1c + + + + .debug_pubnames + 0x92e + 0x92e + 0x1b + + + + .debug_pubnames + 0x949 + 0x949 + 0x1c + + + + .debug_pubnames + 0x965 + 0x965 + 0x1e + + + + .debug_pubnames + 0x983 + 0x983 + 0x1b + + + + .debug_pubnames + 0x99e + 0x99e + 0x20 + + + + .debug_pubnames + 0x9be + 0x9be + 0x1b + + + + .debug_pubnames + 0x9d9 + 0x9d9 + 0x1f + + + + .debug_pubnames + 0x9f8 + 0x9f8 + 0x23 + + + + .debug_pubnames + 0xa1b + 0xa1b + 0x1e + + + + .debug_pubnames + 0xa39 + 0xa39 + 0x22 + + + + .debug_pubnames + 0xa5b + 0xa5b + 0x1e + + + + .debug_pubnames + 0xa79 + 0xa79 + 0x1e + + + + .debug_pubnames + 0xa97 + 0xa97 + 0x1d + + + + .debug_pubnames + 0xab4 + 0xab4 + 0x1d + + + + .debug_pubnames + 0xad1 + 0xad1 + 0x22 + + + + .debug_pubnames + 0xaf3 + 0xaf3 + 0x2c + + + + .debug_pubnames + 0xb1f + 0xb1f + 0x1f + + + + .debug_pubnames + 0xb3e + 0xb3e + 0x1d + + + + .debug_pubnames + 0xb5b + 0xb5b + 0x27 + + + + .debug_pubnames + 0xb82 + 0xb82 + 0x27 + + + + .debug_pubnames + 0xba9 + 0xba9 + 0x1b + + + + .debug_pubnames + 0xbc4 + 0xbc4 + 0x1c + + + + .debug_pubnames + 0xbe0 + 0xbe0 + 0x24 + + + + .debug_pubnames + 0xc04 + 0xc04 + 0x1d + + + + .debug_pubnames + 0xc21 + 0xc21 + 0x1d + + + + .debug_pubnames + 0xc3e + 0xc3e + 0x1d + + + + .debug_pubnames + 0xc5b + 0xc5b + 0x1e + + + + .debug_pubnames + 0xc79 + 0xc79 + 0x1c + + + + .debug_pubnames + 0xc95 + 0xc95 + 0x1e + + + + .debug_pubnames + 0xcb3 + 0xcb3 + 0x1e + + + + .debug_pubnames + 0xcd1 + 0xcd1 + 0x1a + + + + .debug_pubnames + 0xceb + 0xceb + 0x1c + + + + .debug_pubnames + 0xd07 + 0xd07 + 0x23 + + + + .debug_pubnames + 0xd2a + 0xd2a + 0x23 + + + + .debug_pubnames + 0xd4d + 0xd4d + 0x1c + + + + .debug_pubnames + 0xd69 + 0xd69 + 0x1f + + + + .debug_pubnames + 0xd88 + 0xd88 + 0x27 + + + + .debug_pubnames + 0xdaf + 0xdaf + 0x25 + + + + .debug_pubnames + 0xdd4 + 0xdd4 + 0x27 + + + + .debug_pubnames + 0xdfb + 0xdfb + 0x24 + + + + .debug_pubnames + 0xe1f + 0xe1f + 0x27 + + + + .debug_pubnames + 0xe46 + 0xe46 + 0x25 + + + + .debug_pubnames + 0xe6b + 0xe6b + 0x25 + + + + .debug_pubnames + 0xe90 + 0xe90 + 0x23 + + + + .debug_pubnames + 0xeb3 + 0xeb3 + 0x20 + + + + .debug_pubnames + 0xed3 + 0xed3 + 0x20 + + + + .debug_pubnames + 0xef3 + 0xef3 + 0x1e + + + + .debug_pubnames + 0xf11 + 0xf11 + 0x1f + + + + .debug_pubnames + 0xf30 + 0xf30 + 0x1f + + + + .debug_pubnames + 0xf4f + 0xf4f + 0x21 + + + + .debug_pubnames + 0xf70 + 0xf70 + 0x21 + + + + .debug_pubnames + 0xf91 + 0xf91 + 0x20 + + + + .debug_pubnames + 0xfb1 + 0xfb1 + 0x1f + + + + .debug_pubnames + 0xfd0 + 0xfd0 + 0x24 + + + + .debug_pubnames + 0xff4 + 0xff4 + 0x23 + + + + .debug_pubnames + 0x1017 + 0x1017 + 0x1c + + + + .debug_pubnames + 0x1033 + 0x1033 + 0x25 + + + + .debug_pubnames + 0x1058 + 0x1058 + 0x21 + + + + .debug_pubnames + 0x1079 + 0x1079 + 0x20 + + + + .debug_pubnames + 0x1099 + 0x1099 + 0x1d + + + + .debug_pubnames + 0x10b6 + 0x10b6 + 0x1d + + + + .debug_pubnames + 0x10d3 + 0x10d3 + 0x1e + + + + .debug_pubnames + 0x10f1 + 0x10f1 + 0x1c + + + + .debug_pubnames + 0x110d + 0x110d + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xed + + + + .debug_pubtypes + 0x349 + 0x349 + 0x320 + + + + .debug_pubtypes + 0x669 + 0x669 + 0x3d + + + + .debug_pubtypes + 0x6a6 + 0x6a6 + 0x1e + + + + .debug_pubtypes + 0x6c4 + 0x6c4 + 0x27 + + + + .debug_pubtypes + 0x6eb + 0x6eb + 0x1e + + + + .debug_pubtypes + 0x709 + 0x709 + 0x2c + + + + .debug_pubtypes + 0x735 + 0x735 + 0x97 + + + + .debug_pubtypes + 0x7cc + 0x7cc + 0x3b + + + + .debug_pubtypes + 0x807 + 0x807 + 0x38 + + + + .debug_pubtypes + 0x83f + 0x83f + 0x1d + + + + .debug_pubtypes + 0x85c + 0x85c + 0x1d + + + + .debug_pubtypes + 0x879 + 0x879 + 0x32 + + + + .debug_pubtypes + 0x8ab + 0x8ab + 0x2e + + + + .debug_pubtypes + 0x8d9 + 0x8d9 + 0x29 + + + + .debug_pubtypes + 0x902 + 0x902 + 0x3e + + + + .debug_pubtypes + 0x940 + 0x940 + 0x1e + + + + .debug_pubtypes + 0x95e + 0x95e + 0x32 + + + + .debug_pubtypes + 0x990 + 0x990 + 0x21 + + + + .debug_pubtypes + 0x9b1 + 0x9b1 + 0x1f + + + + .debug_pubtypes + 0x9d0 + 0x9d0 + 0x50 + + + + .debug_pubtypes + 0xa20 + 0xa20 + 0x48 + + + + .debug_pubtypes + 0xa68 + 0xa68 + 0x48 + + + + .debug_pubtypes + 0xab0 + 0xab0 + 0x1d + + + + .debug_pubtypes + 0xacd + 0xacd + 0x5d + + + + .debug_pubtypes + 0xb2a + 0xb2a + 0x48 + + + + .debug_pubtypes + 0xb72 + 0xb72 + 0x35 + + + + .debug_pubtypes + 0xba7 + 0xba7 + 0x30 + + + + .debug_pubtypes + 0xbd7 + 0xbd7 + 0x26 + + + + .debug_pubtypes + 0xbfd + 0xbfd + 0x1d + + + + .debug_pubtypes + 0xc1a + 0xc1a + 0x2e + + + + .debug_pubtypes + 0xc48 + 0xc48 + 0x1c + + + + .debug_pubtypes + 0xc64 + 0xc64 + 0x1e + + + + + + .bss + 0x2876 + 0xaa + + + + + + + + .data + 0x2778 + 0xfe + + + + + + + + + + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x2400 + 0x258 + + + + + + + .stack + 0x4360 + 0xa0 + + + + + + + .text + 0x4400 + 0x4400 + 0x4714 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x8c62 + 0x8c62 + 0x8 + + + + + + .cinit + 0x8c6a + 0x8c6a + 0x56 + + + + + + + + + .const + 0x8b14 + 0x8b14 + 0x14e + + + + + + + + + .cio + 0x2658 + 0x120 + + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x1684f + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x6268 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x1e40 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x52d6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x2d41 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0xed8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x112a + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xc82 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SEGMENT_0 + 0x2400 + 0x520 + 0x6 + + + + + + + + + SEGMENT_1 + 0x4360 + 0xa0 + 0x6 + + + + + + SEGMENT_2 + 0x4400 + 0x4400 + 0x48c0 + 0x5 + + + + + + + + + SEGMENT_3 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x5c0 + 0x1a40 + RWIX + + + 0x2400 + 0x258 + + + + 0x2658 + 0x120 + + + + 0x2778 + 0xfe + + + + 0x2876 + 0xaa + + + + 0x2920 + 0x1a40 + + + 0x4360 + 0xa0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x48c0 + 0x72c0 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x4714 + + + + 0x8b14 + 0x14e + + + + 0x8c62 + 0x8 + + + + 0x8c6a + 0x56 + + + + 0x8cc0 + 0x72c0 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x8c6a + 0x44 + 0x2778 + 0xfe + lzss + + + .bss + 0x8cb4 + 0x4 + 0x2876 + 0xaa + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __TI_CINIT_Base + 0x8cb8 + + + __TI_CINIT_Limit + 0x8cc0 + + + __TI_Handler_Table_Base + 0x8cae + + + __TI_Handler_Table_Limit + 0x8cb4 + + + __STACK_SIZE + 0xa0 + + + __STACK_END + 0x4400 + + + __SYSMEM_SIZE + 0x258 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + main + 0x79b4 + + + + printf + 0x864e + + + + __TI_printfi + 0x55ce + + + + putc + 0x7924 + + + + fputc + 0x7924 + + + + fputs + 0x717e + + + + __TI_wrt_ok + 0x7c5a + + + + setvbuf + 0x74f6 + + + + wcslen + 0x8a52 + + + + frexpl + 0x768a + + + + frexp + 0x768a + + + + scalbn + 0x6bac + + + + scalbnl + 0x6bac + + + + ldexpl + 0x6bac + + + + ldexp + 0x6bac + + + + __mspabi_srai + 0x87a8 + + + + __mspabi_srai_8 + 0x87c2 + + + + __mspabi_srai_9 + 0x87c0 + + + + __mspabi_srai_6 + 0x87c6 + + + + __mspabi_srai_7 + 0x87c4 + + + + __mspabi_srai_4 + 0x87ca + + + + __mspabi_srai_5 + 0x87c8 + + + + __mspabi_srai_2 + 0x87ce + + + + __mspabi_srai_3 + 0x87cc + + + + __mspabi_srai_1 + 0x87d0 + + + + __mspabi_srai_15 + 0x87b4 + + + + __mspabi_srai_14 + 0x87b6 + + + + __mspabi_srai_13 + 0x87b8 + + + + __mspabi_srai_12 + 0x87ba + + + + __mspabi_srai_11 + 0x87bc + + + + __mspabi_srai_10 + 0x87be + + + + __mspabi_remu + 0x89ec + + + + __mspabi_divu + 0x89ec + + + + __mspabi_remul + 0x8268 + + + + __mspabi_divul + 0x8268 + + + + __mspabi_func_epilog_2 + 0x8aa6 + + + + __mspabi_func_epilog_3 + 0x8aa4 + + + + __mspabi_func_epilog_1 + 0x8aa8 + + + + __mspabi_func_epilog_6 + 0x8a9e + + + + __mspabi_func_epilog_7 + 0x8a9c + + + + __mspabi_func_epilog_4 + 0x8aa2 + + + + __mspabi_func_epilog_5 + 0x8aa0 + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x8c62 + + + + __mspabi_slli + 0x87d4 + + + + __mspabi_slli_9 + 0x87ec + + + + __mspabi_slli_8 + 0x87ee + + + + __mspabi_slli_7 + 0x87f0 + + + + __mspabi_slli_6 + 0x87f2 + + + + __mspabi_slli_5 + 0x87f4 + + + + __mspabi_slli_4 + 0x87f6 + + + + __mspabi_slli_3 + 0x87f8 + + + + __mspabi_slli_2 + 0x87fa + + + + __mspabi_slli_1 + 0x87fc + + + + __mspabi_slli_15 + 0x87e0 + + + + __mspabi_slli_14 + 0x87e2 + + + + __mspabi_slli_13 + 0x87e4 + + + + __mspabi_slli_12 + 0x87e6 + + + + __mspabi_slli_11 + 0x87e8 + + + + __mspabi_slli_10 + 0x87ea + + + + __mspabi_srli_8 + 0x838a + + + + __mspabi_srli_9 + 0x8386 + + + + __mspabi_srli_6 + 0x8392 + + + + __mspabi_srli_7 + 0x838e + + + + __mspabi_srli_4 + 0x839a + + + + __mspabi_srli_5 + 0x8396 + + + + __mspabi_srli_2 + 0x83a2 + + + + __mspabi_srli_3 + 0x839e + + + + __mspabi_srli_1 + 0x83a6 + + + + __mspabi_srli + 0x8360 + + + + __mspabi_srli_15 + 0x836e + + + + __mspabi_srli_14 + 0x8372 + + + + __mspabi_srli_13 + 0x8376 + + + + __mspabi_srli_12 + 0x837a + + + + __mspabi_srli_11 + 0x837e + + + + __mspabi_srli_10 + 0x8382 + + + + __mspabi_mpyi_f5hw + 0x8a3e + + + + __mspabi_mpyl_f5hw + 0x8962 + + + + __mspabi_mpyull_f5hw + 0x8856 + + + + __mspabi_mpyll_f5hw + 0x80fe + + + + _stack + 0x4360 + + + + _c_int00_noargs + 0x8982 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x81b8 + + + + __TI_zero_init_nomemset + 0x8a02 + + + + __TI_dtors_ptr + 0x2868 + + + + __TI_cleanup_ptr + 0x2866 + + + + __mspabi_srlll + 0x8440 + + + + __mspabi_divull + 0x4fae + + + + _system_pre_init + 0x8b0c + + + + _system_post_cinit + 0x8b12 + + + + __TI_decompress_none + 0x8a66 + + + + __TI_decompress_lzss + 0x7bde + + + + __mspabi_addd + 0x4400 + + + + __mspabi_cmpd + 0x7348 + + + + __mspabi_divd + 0x5f5a + + + + __mspabi_fixdi + 0x887e + + + + __mspabi_fixdli + 0x7dc0 + + + + __mspabi_fltid + 0x8aca + + + + __mspabi_fltlid + 0x773a + + + + __TI_frcdivd + 0x4b36 + + + + __mspabi_mpyd + 0x530e + + + + __mspabi_negd + 0x882c + + + + __mspabi_subd + 0x8720 + + + + C$$EXIT + 0x8b02 + + + + abort + 0x8b02 + + + + __TI_enable_exit_profile_output + 0x2872 + + + + exit + 0x850e + + + + _nop + 0x8b10 + + + + _lock + 0x286a + + + + _unlock + 0x286c + + + + __TI_ltoa + 0x7b5a + + + + atoi + 0x82c0 + + + + _ctypes_ + 0x8b14 + + + + __TI_tmpnams + 0x2876 + + + _ftable + 0x2778 + + + + __TI_ft_end + 0x286e + + + + islower + 0x8aec + + + + memccpy + 0x8940 + + + + memchr + 0x8a16 + + + + memcpy + 0x8a8a + + + + _sys_memory + 0x2400 + + + + free + 0x7424 + + + + memalign + 0x7088 + + + + malloc + 0x8af4 + + + + aligned_alloc + 0x7088 + + + + memset + 0x8a2a + + + + strchr + 0x89ba + + + + strlen + 0x8abc + + + + toupper + 0x8ad6 + + + + write + 0x891c + + + + _stream + 0x283e + + + + _device + 0x27f0 + + + + abs + 0x8ae2 + + + + errno + 0x2870 + + + + __TI_doflush + 0x803c + + + + __TI_cleanup + 0x868a + + + + fseek + 0x7e32 + + + + copysign + 0x874e + + + + copysignl + 0x874e + + + + __mspabi_sral_15 + 0x85d2 + + + + __mspabi_sral_14 + 0x85d6 + + + + __mspabi_sral_13 + 0x85da + + + + __mspabi_sral_12 + 0x85de + + + + __mspabi_sral_11 + 0x85e2 + + + + __mspabi_sral_10 + 0x85e6 + + + + __mspabi_sral_8 + 0x85ee + + + + __mspabi_sral_9 + 0x85ea + + + + __mspabi_sral_6 + 0x85f6 + + + + __mspabi_sral_7 + 0x85f2 + + + + __mspabi_sral_4 + 0x85fe + + + + __mspabi_sral_5 + 0x85fa + + + + __mspabi_sral_2 + 0x8606 + + + + __mspabi_sral_3 + 0x8602 + + + + __mspabi_sral_1 + 0x860a + + + + __mspabi_remli + 0x8592 + + + + __mspabi_divli + 0x8592 + + + + __mspabi_slll_9 + 0x8628 + + + + __mspabi_slll_8 + 0x862c + + + + __mspabi_slll_7 + 0x8630 + + + + __mspabi_slll_6 + 0x8634 + + + + __mspabi_slll_5 + 0x8638 + + + + __mspabi_slll_4 + 0x863c + + + + __mspabi_slll_3 + 0x8640 + + + + __mspabi_slll_2 + 0x8644 + + + + __mspabi_slll_1 + 0x8648 + + + + __mspabi_slll_15 + 0x8610 + + + + __mspabi_slll_14 + 0x8614 + + + + __mspabi_slll_13 + 0x8618 + + + + __mspabi_slll_12 + 0x861c + + + + __mspabi_slll_11 + 0x8620 + + + + __mspabi_slll_10 + 0x8624 + + + + __mspabi_srll_8 + 0x8186 + + + + __mspabi_srll_9 + 0x8180 + + + + __mspabi_srll_6 + 0x8192 + + + + __mspabi_srll_7 + 0x818c + + + + __mspabi_srll_4 + 0x819e + + + + __mspabi_srll_5 + 0x8198 + + + + __mspabi_srll_2 + 0x81aa + + + + __mspabi_srll_3 + 0x81a4 + + + + __mspabi_srll_1 + 0x81b0 + + + + __mspabi_srll_15 + 0x815c + + + + __mspabi_srll_14 + 0x8162 + + + + __mspabi_srll_13 + 0x8168 + + + + __mspabi_srll_12 + 0x816e + + + + __mspabi_srll_11 + 0x8174 + + + + __mspabi_srll_10 + 0x817a + + + + __mspabi_srll + 0x8a78 + + + + __mspabi_srall + 0x83ac + + + + __mspabi_sllll + 0x84ca + + + + __TI_frcmpyd + 0x6a3a + + + + HOSTclose + 0x8486 + + + + HOSTlseek + 0x7ad2 + + + + parmbuf + 0x2916 + + + HOSTopen + 0x7f74 + + + + HOSTread + 0x809e + + + + HOSTrename + 0x7ea2 + + + + HOSTunlink + 0x8552 + + + + HOSTwrite + 0x7fda + + + + __TI_readmsg + 0x877c + + + + C$$IO$$ + 0x871c + + + + _CIOBUF_ + 0x2658 + + + + __TI_writemsg + 0x86f2 + + + + lseek + 0x88f6 + + + + __TI_closefile + 0x7d4e + + + + finddevice + 0x86be + + + + getdevice + 0x8210 + + + + strcmp + 0x89d4 + + + + strcpy + 0x8aac + + + + strncpy + 0x8800 + + + + close + 0x83f6 + + + + unlink + 0x88ce + + + + remove + 0x88ce + + + Link successful +
diff --git a/CPE325/L1_Problem2/Debug/Problem2.map b/CPE325/L1_Problem2/Debug/Problem2.map new file mode 100644 index 0000000..c110814 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/Problem2.map @@ -0,0 +1,2443 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Thu Aug 26 23:08:44 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00008982 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 000005c0 00001a40 RWIX + FLASH 00004400 0000bb80 000048c0 000072c0 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00002778 000000fe UNINITIALIZED + 00002778 00000078 rts430_eabi.lib : defs.c.obj (.data:_ftable) + 000027f0 0000004e : host_device.c.obj (.data:_device) + 0000283e 00000028 : host_device.c.obj (.data:_stream) + 00002866 00000002 : exit_gvars.c.obj (.data:__TI_cleanup_ptr) + 00002868 00000002 : exit_gvars.c.obj (.data:__TI_dtors_ptr) + 0000286a 00000002 : _lock.c.obj (.data:_lock) + 0000286c 00000002 : _lock.c.obj (.data:_unlock) + 0000286e 00000002 : defs.c.obj (.data) + 00002870 00000002 : errno.c.obj (.data) + 00002872 00000002 : exit.c.obj (.data) + 00002874 00000002 : memory.c.obj (.data) + +.bss 0 00002876 000000aa UNINITIALIZED + 00002876 000000a0 (.common:__TI_tmpnams) + 00002916 00000008 (.common:parmbuf) + 0000291e 00000002 rts430_eabi.lib : memory.c.obj (.bss) + +.sysmem 0 00002400 00000258 UNINITIALIZED + 00002400 00000008 rts430_eabi.lib : memory.c.obj (.sysmem) + 00002408 00000250 --HOLE-- + +.cio 0 00002658 00000120 UNINITIALIZED + 00002658 00000120 rts430_eabi.lib : trgmsg.c.obj (.cio) + +.stack 0 00004360 000000a0 UNINITIALIZED + 00004360 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 00004362 0000009e --HOLE-- + +.text 0 00004400 00004714 + 00004400 00000736 rts430_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 00004b36 00000478 : frcdivd.c.obj (.text:__TI_frcdivd) + 00004fae 00000360 : div64u.c.obj (.text:__mspabi_divull) + 0000530e 000002c0 : mpyd.c.obj (.text:__mspabi_mpyd) + 000055ce 00000288 : _printfi.c.obj (.text:__TI_printfi) + 00005856 0000026e : _printfi.c.obj (.text:_pproc_fgea) + 00005ac4 00000252 : _printfi.c.obj (.text:_setfield) + 00005d16 00000244 : _printfi.c.obj (.text:acvt) + 00005f5a 00000238 : divd.c.obj (.text:__mspabi_divd) + 00006192 000001ec : _printfi.c.obj (.text:_getarg_diouxp) + 0000637e 000001de : _printfi.c.obj (.text:ecvt) + 0000655c 000001c4 : _printfi.c.obj (.text:fcvt) + 00006720 000001a6 : _printfi.c.obj (.text:_pconv_e) + 000068c6 00000174 : _printfi.c.obj (.text:_pconv_a) + 00006a3a 00000172 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 00006bac 0000016a : s_scalbn.c.obj (.text:scalbn) + 00006d16 0000014c : _printfi.c.obj (.text:_pproc_diouxp) + 00006e62 0000012e : _printfi.c.obj (.text:_ltostr) + 00006f90 000000f8 : _printfi.c.obj (.text:_pconv_g) + 00007088 000000f6 : memory.c.obj (.text:aligned_alloc) + 0000717e 000000ea : fputs.c.obj (.text:fputs) + 00007268 000000e0 : _printfi.c.obj (.text:_pproc_fwp) + 00007348 000000dc : cmpd.c.obj (.text:__mspabi_cmpd) + 00007424 000000d2 : memory.c.obj (.text:free) + 000074f6 000000d0 : setvbuf.c.obj (.text:setvbuf) + 000075c6 000000c4 : _printfi.c.obj (.text:_pproc_wstr) + 0000768a 000000b0 : s_frexp.c.obj (.text:frexp) + 0000773a 000000ac : fltlid.c.obj (.text:__mspabi_fltlid) + 000077e6 000000ac : _printfi.c.obj (.text:_pproc_str) + 00007892 00000092 : _printfi.c.obj (.text:_mcpy) + 00007924 00000090 : fputc.c.obj (.text:fputc) + 000079b4 00000090 problem2.obj (.text:main) + 00007a44 0000008e rts430_eabi.lib : _printfi.c.obj (.text:_ecpy) + 00007ad2 00000088 : hostlseek.c.obj (.text:HOSTlseek) + 00007b5a 00000084 : _ltoa.c.obj (.text:__TI_ltoa) + 00007bde 0000007c : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00007c5a 0000007a : _io_perm.c.obj (.text:__TI_wrt_ok) + 00007cd4 0000007a : _printfi.c.obj (.text:_pconv_f) + 00007d4e 00000072 : fclose.c.obj (.text:__TI_closefile) + 00007dc0 00000072 : fixdli.c.obj (.text:__mspabi_fixdli) + 00007e32 00000070 : fseek.c.obj (.text:fseek) + 00007ea2 0000006a : hostrename.c.obj (.text:HOSTrename) + 00007f0c 00000068 : memory.c.obj (.text:split) + 00007f74 00000066 : hostopen.c.obj (.text:HOSTopen) + 00007fda 00000062 : hostwrite.c.obj (.text:HOSTwrite) + 0000803c 00000062 : fflush.c.obj (.text:__TI_doflush) + 0000809e 00000060 : hostread.c.obj (.text:HOSTread) + 000080fe 0000005e : mult64_f5hw.asm.obj (.text:__mpyll) + 0000815c 0000005c : lsr32.asm.obj (.text:l_lsr_const) + 000081b8 00000058 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00008210 00000058 : getdevice.c.obj (.text:getdevice) + 00008268 00000058 : div32u.asm.obj (.text) + 000082c0 00000052 : atoi.c.obj (.text:atoi) + 00008312 0000004e : _printfi.c.obj (.text:_fcpy) + 00008360 0000004c : lsr16.asm.obj (.text) + 000083ac 0000004a : asr64.c.obj (.text:__mspabi_srall) + 000083f6 0000004a : close.c.obj (.text:close) + 00008440 00000046 : lsr64.c.obj (.text:__mspabi_srlll) + 00008486 00000044 : hostclose.c.obj (.text:HOSTclose) + 000084ca 00000044 : lsl64.c.obj (.text:__mspabi_sllll) + 0000850e 00000044 : exit.c.obj (.text:exit) + 00008552 00000040 : hostunlink.c.obj (.text:HOSTunlink) + 00008592 00000040 : div32s.asm.obj (.text) + 000085d2 0000003e : asr32.asm.obj (.text:l_asr_const) + 00008610 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 0000864e 0000003c : printf.c.obj (.text:printf) + 0000868a 00000034 : fopen.c.obj (.text:__TI_cleanup) + 000086be 00000034 : getdevice.c.obj (.text:finddevice) + 000086f2 0000002e : trgmsg.c.obj (.text:__TI_writemsg) + 00008720 0000002e : subd.c.obj (.text:__mspabi_subd) + 0000874e 0000002e : s_copysign.c.obj (.text:copysign) + 0000877c 0000002c : trgmsg.c.obj (.text:__TI_readmsg) + 000087a8 0000002c : asr16.asm.obj (.text) + 000087d4 0000002c : lsl16.asm.obj (.text) + 00008800 0000002c : strncpy.c.obj (.text:strncpy) + 0000882c 0000002a : negd.c.obj (.text:__mspabi_negd) + 00008856 00000028 : mult3264_f5hw.asm.obj (.text:__mpyull) + 0000887e 00000028 : fixdi.c.obj (.text:__mspabi_fixdi) + 000088a6 00000028 : memory.c.obj (.text:free_list_insert) + 000088ce 00000028 : unlink.c.obj (.text:unlink) + 000088f6 00000026 : lseek.c.obj (.text:lseek) + 0000891c 00000024 : write.c.obj (.text:write) + 00008940 00000022 : memccpy.c.obj (.text:memccpy) + 00008962 00000020 : mult32_f5hw.asm.obj (.text) + 00008982 0000001c : boot.c.obj (.text:_c_int00_noargs) + 0000899e 0000001c : memory.c.obj (.text:free_list_remove) + 000089ba 0000001a : strchr.c.obj (.text:strchr) + 000089d4 00000018 : strcmp.c.obj (.text:strcmp) + 000089ec 00000016 : div16u.asm.obj (.text) + 00008a02 00000014 : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 00008a16 00000014 : memchr.c.obj (.text:memchr) + 00008a2a 00000014 : memset.c.obj (.text:memset) + 00008a3e 00000014 : mult16_f5hw.asm.obj (.text) + 00008a52 00000014 : wcslen.c.obj (.text:wcslen) + 00008a66 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00008a78 00000012 : lsr32.asm.obj (.text:l_lsr) + 00008a8a 00000012 : memcpy.c.obj (.text:memcpy) + 00008a9c 00000010 : epilog.asm.obj (.text) + 00008aac 00000010 : strcpy.c.obj (.text:strcpy) + 00008abc 0000000e : strlen.c.obj (.text:strlen) + 00008aca 0000000c : fltid.c.obj (.text:__mspabi_fltid) + 00008ad6 0000000c : toupper.c.obj (.text:toupper) + 00008ae2 0000000a : abs.c.obj (.text:abs) + 00008aec 00000008 : islower.c.obj (.text:islower) + 00008af4 00000008 : memory.c.obj (.text:malloc) + 00008afc 00000006 : printf.c.obj (.text:_outc) + 00008b02 00000006 : exit.c.obj (.text:abort) + 00008b08 00000004 : printf.c.obj (.text:_outs) + 00008b0c 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00008b10 00000002 : _lock.c.obj (.text:_nop) + 00008b12 00000002 : startup.c.obj (.text:_system_post_cinit) + +.const 0 00008b14 0000014e + 00008b14 00000101 rts430_eabi.lib : ctype.c.obj (.const:.string:_ctypes_) + 00008c15 00000001 --HOLE-- [fill = 0] + 00008c16 00000026 : _printfi.c.obj (.const:.string) + 00008c3c 00000021 problem2.obj (.const:.string:$P$T0$1) + 00008c5d 00000001 --HOLE-- [fill = 0] + 00008c5e 00000004 problem2.obj (.const:.string) + +.text:_isr +* 0 00008c62 00000008 + 00008c62 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00008c6a 00000056 + 00008c6a 00000044 (.cinit..data.load) [load image, compression = lzss] + 00008cae 00000006 (__TI_handler_table) + 00008cb4 00000004 (.cinit..bss.load) [load image, compression = zero_init] + 00008cb8 00000008 (__TI_cinit_table) + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + problem2.obj 144 37 0 + +--+----------------------------+-------+---------+---------+ + Total: 144 37 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + _printfi.c.obj 6622 38 0 + addd.c.obj 1846 0 0 + frcdivd.c.obj 1144 0 0 + div64u.c.obj 864 0 0 + mpyd.c.obj 704 0 0 + memory.c.obj 636 0 4 + divd.c.obj 568 0 0 + trgmsg.c.obj 90 0 288 + frcmpyd.c.obj 370 0 0 + s_scalbn.c.obj 362 0 0 + defs.c.obj 0 0 282 + ctype.c.obj 0 257 0 + fputs.c.obj 234 0 0 + cmpd.c.obj 220 0 0 + setvbuf.c.obj 208 0 0 + s_frexp.c.obj 176 0 0 + fltlid.c.obj 172 0 0 + fputc.c.obj 144 0 0 + getdevice.c.obj 140 0 0 + hostlseek.c.obj 136 0 0 + _ltoa.c.obj 132 0 0 + copy_decompress_lzss.c.obj 124 0 0 + _io_perm.c.obj 122 0 0 + host_device.c.obj 0 0 118 + fclose.c.obj 114 0 0 + fixdli.c.obj 114 0 0 + fseek.c.obj 112 0 0 + hostopen.c.obj 102 0 8 + lsr32.asm.obj 110 0 0 + hostrename.c.obj 106 0 0 + fflush.c.obj 98 0 0 + hostwrite.c.obj 98 0 0 + hostread.c.obj 96 0 0 + mult64_f5hw.asm.obj 94 0 0 + autoinit.c.obj 88 0 0 + div32u.asm.obj 88 0 0 + atoi.c.obj 82 0 0 + exit.c.obj 74 0 2 + lsr16.asm.obj 76 0 0 + asr64.c.obj 74 0 0 + close.c.obj 74 0 0 + lsr64.c.obj 70 0 0 + printf.c.obj 70 0 0 + hostclose.c.obj 68 0 0 + lsl64.c.obj 68 0 0 + div32s.asm.obj 64 0 0 + hostunlink.c.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + fopen.c.obj 52 0 0 + s_copysign.c.obj 46 0 0 + subd.c.obj 46 0 0 + asr16.asm.obj 44 0 0 + lsl16.asm.obj 44 0 0 + strncpy.c.obj 44 0 0 + negd.c.obj 42 0 0 + fixdi.c.obj 40 0 0 + mult3264_f5hw.asm.obj 40 0 0 + unlink.c.obj 40 0 0 + lseek.c.obj 38 0 0 + write.c.obj 36 0 0 + memccpy.c.obj 34 0 0 + mult32_f5hw.asm.obj 32 0 0 + boot.c.obj 28 2 0 + strchr.c.obj 26 0 0 + strcmp.c.obj 24 0 0 + div16u.asm.obj 22 0 0 + copy_zero_init.c.obj 20 0 0 + memchr.c.obj 20 0 0 + memset.c.obj 20 0 0 + mult16_f5hw.asm.obj 20 0 0 + wcslen.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + strcpy.c.obj 16 0 0 + strlen.c.obj 14 0 0 + fltid.c.obj 12 0 0 + toupper.c.obj 12 0 0 + abs.c.obj 10 0 0 + islower.c.obj 8 0 0 + isr_trap.asm.obj 8 0 0 + _lock.c.obj 2 0 4 + exit_gvars.c.obj 0 0 4 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 18060 341 712 + + Heap: 0 0 600 + Stack: 0 0 160 + Linker Generated: 0 86 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 18204 464 1472 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 00008cb8 records: 2, size/record: 4, table size: 8 + .data: load addr=00008c6a, load size=00000044 bytes, run addr=00002778, run size=000000fe bytes, compression=lzss + .bss: load addr=00008cb4, load size=00000004 bytes, run addr=00002876, run size=000000aa bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 00008cae records: 3, size/record: 2, table size: 6 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +00008b02 C$$EXIT +0000871c C$$IO$$ +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +00008486 HOSTclose +00007ad2 HOSTlseek +00007f74 HOSTopen +0000809e HOSTread +00007ea2 HOSTrename +00008552 HOSTunlink +00007fda HOSTwrite +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +00002658 _CIOBUF_ +00004400 __STACK_END +000000a0 __STACK_SIZE +00000258 __SYSMEM_SIZE +00008cb8 __TI_CINIT_Base +00008cc0 __TI_CINIT_Limit +00008cae __TI_Handler_Table_Base +00008cb4 __TI_Handler_Table_Limit +00008c62 __TI_ISR_TRAP +000081b8 __TI_auto_init_nobinit_nopinit_hold_wdt +0000868a __TI_cleanup +00002866 __TI_cleanup_ptr +00007d4e __TI_closefile +00007bde __TI_decompress_lzss +00008a66 __TI_decompress_none +0000803c __TI_doflush +00002868 __TI_dtors_ptr +00002872 __TI_enable_exit_profile_output +00004b36 __TI_frcdivd +00006a3a __TI_frcmpyd +0000286e __TI_ft_end +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +00007b5a __TI_ltoa +ffffffff __TI_pprof_out_hndl +000055ce __TI_printfi +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +0000877c __TI_readmsg +00002876 __TI_tmpnams +000086f2 __TI_writemsg +00007c5a __TI_wrt_ok +00008a02 __TI_zero_init_nomemset +ffffffff __c_args__ +00004400 __mspabi_addd +00007348 __mspabi_cmpd +00005f5a __mspabi_divd +00008592 __mspabi_divli +000089ec __mspabi_divu +00008268 __mspabi_divul +00004fae __mspabi_divull +0000887e __mspabi_fixdi +00007dc0 __mspabi_fixdli +00008aca __mspabi_fltid +0000773a __mspabi_fltlid +00008aa8 __mspabi_func_epilog_1 +00008aa6 __mspabi_func_epilog_2 +00008aa4 __mspabi_func_epilog_3 +00008aa2 __mspabi_func_epilog_4 +00008aa0 __mspabi_func_epilog_5 +00008a9e __mspabi_func_epilog_6 +00008a9c __mspabi_func_epilog_7 +0000530e __mspabi_mpyd +00008a3e __mspabi_mpyi_f5hw +00008962 __mspabi_mpyl_f5hw +000080fe __mspabi_mpyll_f5hw +00008856 __mspabi_mpyull_f5hw +0000882c __mspabi_negd +00008592 __mspabi_remli +000089ec __mspabi_remu +00008268 __mspabi_remul +000087d4 __mspabi_slli +000087fc __mspabi_slli_1 +000087ea __mspabi_slli_10 +000087e8 __mspabi_slli_11 +000087e6 __mspabi_slli_12 +000087e4 __mspabi_slli_13 +000087e2 __mspabi_slli_14 +000087e0 __mspabi_slli_15 +000087fa __mspabi_slli_2 +000087f8 __mspabi_slli_3 +000087f6 __mspabi_slli_4 +000087f4 __mspabi_slli_5 +000087f2 __mspabi_slli_6 +000087f0 __mspabi_slli_7 +000087ee __mspabi_slli_8 +000087ec __mspabi_slli_9 +00008648 __mspabi_slll_1 +00008624 __mspabi_slll_10 +00008620 __mspabi_slll_11 +0000861c __mspabi_slll_12 +00008618 __mspabi_slll_13 +00008614 __mspabi_slll_14 +00008610 __mspabi_slll_15 +00008644 __mspabi_slll_2 +00008640 __mspabi_slll_3 +0000863c __mspabi_slll_4 +00008638 __mspabi_slll_5 +00008634 __mspabi_slll_6 +00008630 __mspabi_slll_7 +0000862c __mspabi_slll_8 +00008628 __mspabi_slll_9 +000084ca __mspabi_sllll +000087a8 __mspabi_srai +000087d0 __mspabi_srai_1 +000087be __mspabi_srai_10 +000087bc __mspabi_srai_11 +000087ba __mspabi_srai_12 +000087b8 __mspabi_srai_13 +000087b6 __mspabi_srai_14 +000087b4 __mspabi_srai_15 +000087ce __mspabi_srai_2 +000087cc __mspabi_srai_3 +000087ca __mspabi_srai_4 +000087c8 __mspabi_srai_5 +000087c6 __mspabi_srai_6 +000087c4 __mspabi_srai_7 +000087c2 __mspabi_srai_8 +000087c0 __mspabi_srai_9 +0000860a __mspabi_sral_1 +000085e6 __mspabi_sral_10 +000085e2 __mspabi_sral_11 +000085de __mspabi_sral_12 +000085da __mspabi_sral_13 +000085d6 __mspabi_sral_14 +000085d2 __mspabi_sral_15 +00008606 __mspabi_sral_2 +00008602 __mspabi_sral_3 +000085fe __mspabi_sral_4 +000085fa __mspabi_sral_5 +000085f6 __mspabi_sral_6 +000085f2 __mspabi_sral_7 +000085ee __mspabi_sral_8 +000085ea __mspabi_sral_9 +000083ac __mspabi_srall +00008360 __mspabi_srli +000083a6 __mspabi_srli_1 +00008382 __mspabi_srli_10 +0000837e __mspabi_srli_11 +0000837a __mspabi_srli_12 +00008376 __mspabi_srli_13 +00008372 __mspabi_srli_14 +0000836e __mspabi_srli_15 +000083a2 __mspabi_srli_2 +0000839e __mspabi_srli_3 +0000839a __mspabi_srli_4 +00008396 __mspabi_srli_5 +00008392 __mspabi_srli_6 +0000838e __mspabi_srli_7 +0000838a __mspabi_srli_8 +00008386 __mspabi_srli_9 +00008a78 __mspabi_srll +000081b0 __mspabi_srll_1 +0000817a __mspabi_srll_10 +00008174 __mspabi_srll_11 +0000816e __mspabi_srll_12 +00008168 __mspabi_srll_13 +00008162 __mspabi_srll_14 +0000815c __mspabi_srll_15 +000081aa __mspabi_srll_2 +000081a4 __mspabi_srll_3 +0000819e __mspabi_srll_4 +00008198 __mspabi_srll_5 +00008192 __mspabi_srll_6 +0000818c __mspabi_srll_7 +00008186 __mspabi_srll_8 +00008180 __mspabi_srll_9 +00008440 __mspabi_srlll +00008720 __mspabi_subd +00008982 _c_int00_noargs +00008b14 _ctypes_ +000027f0 _device +00002778 _ftable +0000286a _lock +00008b10 _nop +0000fffe _reset_vector +00004360 _stack +0000283e _stream +00002400 _sys_memory +00008b12 _system_post_cinit +00008b0c _system_pre_init +0000286c _unlock +00008b02 abort +00008ae2 abs +00007088 aligned_alloc +000082c0 atoi +000083f6 close +0000874e copysign +0000874e copysignl +00002870 errno +0000850e exit +000086be finddevice +00007924 fputc +0000717e fputs +00007424 free +0000768a frexp +0000768a frexpl +00007e32 fseek +00008210 getdevice +00008aec islower +00006bac ldexp +00006bac ldexpl +000088f6 lseek +000079b4 main +00008af4 malloc +00007088 memalign +00008940 memccpy +00008a16 memchr +00008a8a memcpy +00008a2a memset +00002916 parmbuf +0000864e printf +00007924 putc +000088ce remove +00006bac scalbn +00006bac scalbnl +000074f6 setvbuf +000089ba strchr +000089d4 strcmp +00008aac strcpy +00008abc strlen +00008800 strncpy +00008ad6 toupper +000088ce unlink +00008a52 wcslen +0000891c write + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +000000a0 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000258 __SYSMEM_SIZE +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00002400 _sys_memory +00002658 _CIOBUF_ +00002778 _ftable +000027f0 _device +0000283e _stream +00002866 __TI_cleanup_ptr +00002868 __TI_dtors_ptr +0000286a _lock +0000286c _unlock +0000286e __TI_ft_end +00002870 errno +00002872 __TI_enable_exit_profile_output +00002876 __TI_tmpnams +00002916 parmbuf +00004360 _stack +00004400 __STACK_END +00004400 __mspabi_addd +00004b36 __TI_frcdivd +00004fae __mspabi_divull +0000530e __mspabi_mpyd +000055ce __TI_printfi +00005f5a __mspabi_divd +00006a3a __TI_frcmpyd +00006bac ldexp +00006bac ldexpl +00006bac scalbn +00006bac scalbnl +00007088 aligned_alloc +00007088 memalign +0000717e fputs +00007348 __mspabi_cmpd +00007424 free +000074f6 setvbuf +0000768a frexp +0000768a frexpl +0000773a __mspabi_fltlid +00007924 fputc +00007924 putc +000079b4 main +00007ad2 HOSTlseek +00007b5a __TI_ltoa +00007bde __TI_decompress_lzss +00007c5a __TI_wrt_ok +00007d4e __TI_closefile +00007dc0 __mspabi_fixdli +00007e32 fseek +00007ea2 HOSTrename +00007f74 HOSTopen +00007fda HOSTwrite +0000803c __TI_doflush +0000809e HOSTread +000080fe __mspabi_mpyll_f5hw +0000815c __mspabi_srll_15 +00008162 __mspabi_srll_14 +00008168 __mspabi_srll_13 +0000816e __mspabi_srll_12 +00008174 __mspabi_srll_11 +0000817a __mspabi_srll_10 +00008180 __mspabi_srll_9 +00008186 __mspabi_srll_8 +0000818c __mspabi_srll_7 +00008192 __mspabi_srll_6 +00008198 __mspabi_srll_5 +0000819e __mspabi_srll_4 +000081a4 __mspabi_srll_3 +000081aa __mspabi_srll_2 +000081b0 __mspabi_srll_1 +000081b8 __TI_auto_init_nobinit_nopinit_hold_wdt +00008210 getdevice +00008268 __mspabi_divul +00008268 __mspabi_remul +000082c0 atoi +00008360 __mspabi_srli +0000836e __mspabi_srli_15 +00008372 __mspabi_srli_14 +00008376 __mspabi_srli_13 +0000837a __mspabi_srli_12 +0000837e __mspabi_srli_11 +00008382 __mspabi_srli_10 +00008386 __mspabi_srli_9 +0000838a __mspabi_srli_8 +0000838e __mspabi_srli_7 +00008392 __mspabi_srli_6 +00008396 __mspabi_srli_5 +0000839a __mspabi_srli_4 +0000839e __mspabi_srli_3 +000083a2 __mspabi_srli_2 +000083a6 __mspabi_srli_1 +000083ac __mspabi_srall +000083f6 close +00008440 __mspabi_srlll +00008486 HOSTclose +000084ca __mspabi_sllll +0000850e exit +00008552 HOSTunlink +00008592 __mspabi_divli +00008592 __mspabi_remli +000085d2 __mspabi_sral_15 +000085d6 __mspabi_sral_14 +000085da __mspabi_sral_13 +000085de __mspabi_sral_12 +000085e2 __mspabi_sral_11 +000085e6 __mspabi_sral_10 +000085ea __mspabi_sral_9 +000085ee __mspabi_sral_8 +000085f2 __mspabi_sral_7 +000085f6 __mspabi_sral_6 +000085fa __mspabi_sral_5 +000085fe __mspabi_sral_4 +00008602 __mspabi_sral_3 +00008606 __mspabi_sral_2 +0000860a __mspabi_sral_1 +00008610 __mspabi_slll_15 +00008614 __mspabi_slll_14 +00008618 __mspabi_slll_13 +0000861c __mspabi_slll_12 +00008620 __mspabi_slll_11 +00008624 __mspabi_slll_10 +00008628 __mspabi_slll_9 +0000862c __mspabi_slll_8 +00008630 __mspabi_slll_7 +00008634 __mspabi_slll_6 +00008638 __mspabi_slll_5 +0000863c __mspabi_slll_4 +00008640 __mspabi_slll_3 +00008644 __mspabi_slll_2 +00008648 __mspabi_slll_1 +0000864e printf +0000868a __TI_cleanup +000086be finddevice +000086f2 __TI_writemsg +0000871c C$$IO$$ +00008720 __mspabi_subd +0000874e copysign +0000874e copysignl +0000877c __TI_readmsg +000087a8 __mspabi_srai +000087b4 __mspabi_srai_15 +000087b6 __mspabi_srai_14 +000087b8 __mspabi_srai_13 +000087ba __mspabi_srai_12 +000087bc __mspabi_srai_11 +000087be __mspabi_srai_10 +000087c0 __mspabi_srai_9 +000087c2 __mspabi_srai_8 +000087c4 __mspabi_srai_7 +000087c6 __mspabi_srai_6 +000087c8 __mspabi_srai_5 +000087ca __mspabi_srai_4 +000087cc __mspabi_srai_3 +000087ce __mspabi_srai_2 +000087d0 __mspabi_srai_1 +000087d4 __mspabi_slli +000087e0 __mspabi_slli_15 +000087e2 __mspabi_slli_14 +000087e4 __mspabi_slli_13 +000087e6 __mspabi_slli_12 +000087e8 __mspabi_slli_11 +000087ea __mspabi_slli_10 +000087ec __mspabi_slli_9 +000087ee __mspabi_slli_8 +000087f0 __mspabi_slli_7 +000087f2 __mspabi_slli_6 +000087f4 __mspabi_slli_5 +000087f6 __mspabi_slli_4 +000087f8 __mspabi_slli_3 +000087fa __mspabi_slli_2 +000087fc __mspabi_slli_1 +00008800 strncpy +0000882c __mspabi_negd +00008856 __mspabi_mpyull_f5hw +0000887e __mspabi_fixdi +000088ce remove +000088ce unlink +000088f6 lseek +0000891c write +00008940 memccpy +00008962 __mspabi_mpyl_f5hw +00008982 _c_int00_noargs +000089ba strchr +000089d4 strcmp +000089ec __mspabi_divu +000089ec __mspabi_remu +00008a02 __TI_zero_init_nomemset +00008a16 memchr +00008a2a memset +00008a3e __mspabi_mpyi_f5hw +00008a52 wcslen +00008a66 __TI_decompress_none +00008a78 __mspabi_srll +00008a8a memcpy +00008a9c __mspabi_func_epilog_7 +00008a9e __mspabi_func_epilog_6 +00008aa0 __mspabi_func_epilog_5 +00008aa2 __mspabi_func_epilog_4 +00008aa4 __mspabi_func_epilog_3 +00008aa6 __mspabi_func_epilog_2 +00008aa8 __mspabi_func_epilog_1 +00008aac strcpy +00008abc strlen +00008aca __mspabi_fltid +00008ad6 toupper +00008ae2 abs +00008aec islower +00008af4 malloc +00008b02 C$$EXIT +00008b02 abort +00008b0c _system_pre_init +00008b10 _nop +00008b12 _system_post_cinit +00008b14 _ctypes_ +00008c62 __TI_ISR_TRAP +00008cae __TI_Handler_Table_Base +00008cb4 __TI_Handler_Table_Limit +00008cb8 __TI_CINIT_Base +00008cc0 __TI_CINIT_Limit +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[975 symbols] diff --git a/CPE325/L1_Problem2/Debug/Problem2_linkInfo.xml b/CPE325/L1_Problem2/Debug/Problem2_linkInfo.xml new file mode 100644 index 0000000..d332a5a --- /dev/null +++ b/CPE325/L1_Problem2/Debug/Problem2_linkInfo.xml @@ -0,0 +1,16493 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x6128654c + 0x0 + Problem2.out + + _c_int00_noargs +
0x8982
+
+ + + .\ + object + problem2.obj + problem2.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + printf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _printfi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputc.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _io_perm.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + setvbuf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + wcslen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_frexp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div16u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div64u.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdli.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _ltoa.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + atoi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + ctype.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + defs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + islower.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memccpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memory.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memset.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strlen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + toupper.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + write.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + host_device.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + abs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fflush.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32s.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostlseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostread.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostrename.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostunlink.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostwrite.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + trgmsg.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + open.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + getdevice.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcmp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strncpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + remove.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + close.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + unlink.c.obj + + + + + .bss + true + 0x291e + 0x2 + + + + .common:__TI_tmpnams + true + 0x2876 + 0xa0 + + + .common:parmbuf + true + 0x2916 + 0x8 + + + .data:__TI_cleanup_ptr + 0x2866 + 0x2866 + 0x2 + + + + .data:__TI_dtors_ptr + 0x2868 + 0x2868 + 0x2 + + + + .data + 0x2872 + 0x2872 + 0x2 + + + + .data:_lock + 0x286a + 0x286a + 0x2 + + + + .data:_unlock + 0x286c + 0x286c + 0x2 + + + + .data:_ftable + 0x2778 + 0x2778 + 0x78 + + + + .data + 0x286e + 0x286e + 0x2 + + + + .data + 0x2874 + 0x2874 + 0x2 + + + + .data:_device + 0x27f0 + 0x27f0 + 0x4e + + + + .data:_stream + 0x283e + 0x283e + 0x28 + + + + .data + 0x2870 + 0x2870 + 0x2 + + + + .sysmem + true + 0x2400 + 0x8 + + + + .sysmem + true + 0x2400 + 0x0 + + + .stack + true + 0x4360 + 0x2 + + + + .stack + true + 0x4360 + 0x0 + + + .text:__mspabi_addd + 0x4400 + 0x4400 + 0x736 + + + + .text:__TI_frcdivd + 0x4b36 + 0x4b36 + 0x478 + + + + .text:__mspabi_divull + 0x4fae + 0x4fae + 0x360 + + + + .text:__mspabi_mpyd + 0x530e + 0x530e + 0x2c0 + + + + .text:__TI_printfi + 0x55ce + 0x55ce + 0x288 + + + + .text:_pproc_fgea + 0x5856 + 0x5856 + 0x26e + + + + .text:_setfield + 0x5ac4 + 0x5ac4 + 0x252 + + + + .text:acvt + 0x5d16 + 0x5d16 + 0x244 + + + + .text:__mspabi_divd + 0x5f5a + 0x5f5a + 0x238 + + + + .text:_getarg_diouxp + 0x6192 + 0x6192 + 0x1ec + + + + .text:ecvt + 0x637e + 0x637e + 0x1de + + + + .text:fcvt + 0x655c + 0x655c + 0x1c4 + + + + .text:_pconv_e + 0x6720 + 0x6720 + 0x1a6 + + + + .text:_pconv_a + 0x68c6 + 0x68c6 + 0x174 + + + + .text:__TI_frcmpyd + 0x6a3a + 0x6a3a + 0x172 + + + + .text:scalbn + 0x6bac + 0x6bac + 0x16a + + + + .text:_pproc_diouxp + 0x6d16 + 0x6d16 + 0x14c + + + + .text:_ltostr + 0x6e62 + 0x6e62 + 0x12e + + + + .text:_pconv_g + 0x6f90 + 0x6f90 + 0xf8 + + + + .text:aligned_alloc + 0x7088 + 0x7088 + 0xf6 + + + + .text:fputs + 0x717e + 0x717e + 0xea + + + + .text:_pproc_fwp + 0x7268 + 0x7268 + 0xe0 + + + + .text:__mspabi_cmpd + 0x7348 + 0x7348 + 0xdc + + + + .text:free + 0x7424 + 0x7424 + 0xd2 + + + + .text:setvbuf + 0x74f6 + 0x74f6 + 0xd0 + + + + .text:_pproc_wstr + 0x75c6 + 0x75c6 + 0xc4 + + + + .text:frexp + 0x768a + 0x768a + 0xb0 + + + + .text:__mspabi_fltlid + 0x773a + 0x773a + 0xac + + + + .text:_pproc_str + 0x77e6 + 0x77e6 + 0xac + + + + .text:_mcpy + 0x7892 + 0x7892 + 0x92 + + + + .text:fputc + 0x7924 + 0x7924 + 0x90 + + + + .text:main + 0x79b4 + 0x79b4 + 0x90 + + + + .text:_ecpy + 0x7a44 + 0x7a44 + 0x8e + + + + .text:HOSTlseek + 0x7ad2 + 0x7ad2 + 0x88 + + + + .text:__TI_ltoa + 0x7b5a + 0x7b5a + 0x84 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x7bde + 0x7bde + 0x7c + + + + .text:__TI_wrt_ok + 0x7c5a + 0x7c5a + 0x7a + + + + .text:_pconv_f + 0x7cd4 + 0x7cd4 + 0x7a + + + + .text:__TI_closefile + 0x7d4e + 0x7d4e + 0x72 + + + + .text:__mspabi_fixdli + 0x7dc0 + 0x7dc0 + 0x72 + + + + .text:fseek + 0x7e32 + 0x7e32 + 0x70 + + + + .text:HOSTrename + 0x7ea2 + 0x7ea2 + 0x6a + + + + .text:split + 0x7f0c + 0x7f0c + 0x68 + + + + .text:HOSTopen + 0x7f74 + 0x7f74 + 0x66 + + + + .text:HOSTwrite + 0x7fda + 0x7fda + 0x62 + + + + .text:__TI_doflush + 0x803c + 0x803c + 0x62 + + + + .text:HOSTread + 0x809e + 0x809e + 0x60 + + + + .text:__mpyll + 0x80fe + 0x80fe + 0x5e + + + + .text:l_lsr_const + 0x815c + 0x815c + 0x5c + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x81b8 + 0x81b8 + 0x58 + + + + .text:getdevice + 0x8210 + 0x8210 + 0x58 + + + + .text + 0x8268 + 0x8268 + 0x58 + + + + .text:atoi + 0x82c0 + 0x82c0 + 0x52 + + + + .text:_fcpy + 0x8312 + 0x8312 + 0x4e + + + + .text + 0x8360 + 0x8360 + 0x4c + + + + .text:__mspabi_srall + 0x83ac + 0x83ac + 0x4a + + + + .text:close + 0x83f6 + 0x83f6 + 0x4a + + + + .text:__mspabi_srlll + 0x8440 + 0x8440 + 0x46 + + + + .text:HOSTclose + 0x8486 + 0x8486 + 0x44 + + + + .text:__mspabi_sllll + 0x84ca + 0x84ca + 0x44 + + + + .text:exit + 0x850e + 0x850e + 0x44 + + + + .text:HOSTunlink + 0x8552 + 0x8552 + 0x40 + + + + .text + 0x8592 + 0x8592 + 0x40 + + + + .text:l_asr_const + 0x85d2 + 0x85d2 + 0x3e + + + + .text:l_lsl_const + 0x8610 + 0x8610 + 0x3e + + + + .text:printf + 0x864e + 0x864e + 0x3c + + + + .text:__TI_cleanup + 0x868a + 0x868a + 0x34 + + + + .text:finddevice + 0x86be + 0x86be + 0x34 + + + + .text:__TI_writemsg + 0x86f2 + 0x86f2 + 0x2e + + + + .text:__mspabi_subd + 0x8720 + 0x8720 + 0x2e + + + + .text:copysign + 0x874e + 0x874e + 0x2e + + + + .text:__TI_readmsg + 0x877c + 0x877c + 0x2c + + + + .text + 0x87a8 + 0x87a8 + 0x2c + + + + .text + 0x87d4 + 0x87d4 + 0x2c + + + + .text:strncpy + 0x8800 + 0x8800 + 0x2c + + + + .text:__mspabi_negd + 0x882c + 0x882c + 0x2a + + + + .text:__mpyull + 0x8856 + 0x8856 + 0x28 + + + + .text:__mspabi_fixdi + 0x887e + 0x887e + 0x28 + + + + .text:free_list_insert + 0x88a6 + 0x88a6 + 0x28 + + + + .text:unlink + 0x88ce + 0x88ce + 0x28 + + + + .text:lseek + 0x88f6 + 0x88f6 + 0x26 + + + + .text:write + 0x891c + 0x891c + 0x24 + + + + .text:memccpy + 0x8940 + 0x8940 + 0x22 + + + + .text + 0x8962 + 0x8962 + 0x20 + + + + .text:_c_int00_noargs + 0x8982 + 0x8982 + 0x1c + + + + .text:free_list_remove + 0x899e + 0x899e + 0x1c + + + + .text:strchr + 0x89ba + 0x89ba + 0x1a + + + + .text:strcmp + 0x89d4 + 0x89d4 + 0x18 + + + + .text + 0x89ec + 0x89ec + 0x16 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x8a02 + 0x8a02 + 0x14 + + + + .text:memchr + 0x8a16 + 0x8a16 + 0x14 + + + + .text:memset + 0x8a2a + 0x8a2a + 0x14 + + + + .text + 0x8a3e + 0x8a3e + 0x14 + + + + .text:wcslen + 0x8a52 + 0x8a52 + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x8a66 + 0x8a66 + 0x12 + + + + .text:l_lsr + 0x8a78 + 0x8a78 + 0x12 + + + + .text:memcpy + 0x8a8a + 0x8a8a + 0x12 + + + + .text + 0x8a9c + 0x8a9c + 0x10 + + + + .text:strcpy + 0x8aac + 0x8aac + 0x10 + + + + .text:strlen + 0x8abc + 0x8abc + 0xe + + + + .text:__mspabi_fltid + 0x8aca + 0x8aca + 0xc + + + + .text:toupper + 0x8ad6 + 0x8ad6 + 0xc + + + + .text:abs + 0x8ae2 + 0x8ae2 + 0xa + + + + .text:islower + 0x8aec + 0x8aec + 0x8 + + + + .text:malloc + 0x8af4 + 0x8af4 + 0x8 + + + + .text:_outc + 0x8afc + 0x8afc + 0x6 + + + + .text:abort + 0x8b02 + 0x8b02 + 0x6 + + + + .text:_outs + 0x8b08 + 0x8b08 + 0x4 + + + + .text:_system_pre_init + 0x8b0c + 0x8b0c + 0x4 + + + + .text:_nop + 0x8b10 + 0x8b10 + 0x2 + + + + .text:_system_post_cinit + 0x8b12 + 0x8b12 + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x8c62 + 0x8c62 + 0x8 + + + + .cinit..data.load + 0x8c6a + 0x8c6a + 0x44 + + + __TI_handler_table + 0x8cae + 0x8cae + 0x6 + + + .cinit..bss.load + 0x8cb4 + 0x8cb4 + 0x4 + + + __TI_cinit_table + 0x8cb8 + 0x8cb8 + 0x8 + + + .const:.string:_ctypes_ + 0x8b14 + 0x8b14 + 0x101 + + + + .const:.string + 0x8c16 + 0x8c16 + 0x26 + + + + .const:.string:$P$T0$1 + 0x8c3c + 0x8c3c + 0x21 + + + + .const:.string + 0x8c5e + 0x8c5e + 0x4 + + + + .cio + true + 0x2658 + 0x120 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x1aa + + + + .debug_info + 0x1aa + 0x1aa + 0xc3 + + + + .debug_info + 0x26d + 0x26d + 0x186 + + + + .debug_info + 0x3f3 + 0x3f3 + 0x26b + + + + .debug_info + 0x65e + 0x65e + 0x84 + + + + .debug_info + 0x6e2 + 0x6e2 + 0x282 + + + + .debug_info + 0x964 + 0x964 + 0xcc + + + + .debug_info + 0xa30 + 0xa30 + 0x2c + + + + .debug_info + 0xa5c + 0xa5c + 0x2bd + + + + .debug_info + 0xd19 + 0xd19 + 0x162 + + + + .debug_info + 0xe7b + 0xe7b + 0x166 + + + + .debug_info + 0xfe1 + 0xfe1 + 0x184 + + + + .debug_info + 0x1165 + 0x1165 + 0xb2 + + + + .debug_info + 0x1217 + 0x1217 + 0x439 + + + + .debug_info + 0x1650 + 0x1650 + 0x22e + + + + .debug_info + 0x187e + 0x187e + 0x1b3 + + + + .debug_info + 0x1a31 + 0x1a31 + 0x287 + + + + .debug_info + 0x1cb8 + 0x1cb8 + 0x24b + + + + .debug_info + 0x1f03 + 0x1f03 + 0x3d0 + + + + .debug_info + 0x22d3 + 0x22d3 + 0x1bd + + + + .debug_info + 0x2490 + 0x2490 + 0x21b + + + + .debug_info + 0x26ab + 0x26ab + 0x26d + + + + .debug_info + 0x2918 + 0x2918 + 0x3e7 + + + + .debug_info + 0x2cff + 0x2cff + 0x206 + + + + .debug_info + 0x2f05 + 0x2f05 + 0x232 + + + + .debug_info + 0x3137 + 0x3137 + 0x376 + + + + .debug_info + 0x34ad + 0x34ad + 0x45e + + + + .debug_info + 0x390b + 0x390b + 0x280 + + + + .debug_info + 0x3b8b + 0x3b8b + 0x395 + + + + .debug_info + 0x3f20 + 0x3f20 + 0x305 + + + + .debug_info + 0x4225 + 0x4225 + 0x26d + + + + .debug_info + 0x4492 + 0x4492 + 0x26a + + + + .debug_info + 0x46fc + 0x46fc + 0x347 + + + + .debug_info + 0x4a43 + 0x4a43 + 0x86 + + + + .debug_info + 0x4ac9 + 0x4ac9 + 0x39 + + + + .debug_info + 0x4b02 + 0x4b02 + 0x94 + + + + .debug_info + 0x4b96 + 0x4b96 + 0x46 + + + + .debug_info + 0x4bdc + 0x4bdc + 0x46 + + + + .debug_info + 0x4c22 + 0x4c22 + 0x2c + + + + .debug_info + 0x4c4e + 0x4c4e + 0x25b + + + + .debug_info + 0x4ea9 + 0x4ea9 + 0x1d0 + + + + .debug_info + 0x5079 + 0x5079 + 0x2d4 + + + + .debug_info + 0x534d + 0x534d + 0x2c7 + + + + .debug_info + 0x5614 + 0x5614 + 0x2c + + + + .debug_info + 0x5640 + 0x5640 + 0x1d8 + + + + .debug_info + 0x5818 + 0x5818 + 0x196 + + + + .debug_info + 0x59ae + 0x59ae + 0xbf + + + + .debug_info + 0x5a6d + 0x5a6d + 0x24c + + + + .debug_info + 0x5cb9 + 0x5cb9 + 0x1fe + + + + .debug_info + 0x5eb7 + 0x5eb7 + 0x167 + + + + .debug_info + 0x601e + 0x601e + 0x15a + + + + .debug_info + 0x6178 + 0x6178 + 0x39 + + + + .debug_info + 0x61b1 + 0x61b1 + 0x39 + + + + .debug_info + 0x61ea + 0x61ea + 0x172 + + + + .debug_info + 0x635c + 0x635c + 0x1c7 + + + + .debug_info + 0x6523 + 0x6523 + 0x46 + + + + .debug_info + 0x6569 + 0x6569 + 0x2c + + + + .debug_info + 0x6595 + 0x6595 + 0x1b0 + + + + .debug_info + 0x6745 + 0x6745 + 0x23b + + + + .debug_info + 0x6980 + 0x6980 + 0x120 + + + + .debug_info + 0x6aa0 + 0x6aa0 + 0x121 + + + + .debug_info + 0x6bc1 + 0x6bc1 + 0x123 + + + + .debug_info + 0x6ce4 + 0x6ce4 + 0x12e + + + + .debug_info + 0x6e12 + 0x6e12 + 0x10f + + + + .debug_info + 0x6f21 + 0x6f21 + 0x120 + + + + .debug_info + 0x7041 + 0x7041 + 0x120 + + + + .debug_info + 0x7161 + 0x7161 + 0x125 + + + + .debug_info + 0x7286 + 0x7286 + 0x125 + + + + .debug_info + 0x73ab + 0x73ab + 0x12b + + + + .debug_info + 0x74d6 + 0x74d6 + 0x127 + + + + .debug_info + 0x75fd + 0x75fd + 0x100 + + + + .debug_info + 0x76fd + 0x76fd + 0x17a + + + + .debug_info + 0x7877 + 0x7877 + 0x3de + + + + .debug_info + 0x7c55 + 0x7c55 + 0x211 + + + + .debug_info + 0x7e66 + 0x7e66 + 0x39 + + + + .debug_info + 0x7e9f + 0x7e9f + 0x2c + + + + .debug_info + 0x7ecb + 0x7ecb + 0x2c + + + + .debug_info + 0x7ef7 + 0x7ef7 + 0xcd + + + + .debug_info + 0x7fc4 + 0x7fc4 + 0x175 + + + + .debug_info + 0x8139 + 0x8139 + 0x1d2 + + + + .debug_info + 0x830b + 0x830b + 0x46 + + + + .debug_info + 0x8351 + 0x8351 + 0x103 + + + + .debug_info + 0x8454 + 0x8454 + 0x11a + + + + .debug_info + 0x856e + 0x856e + 0x116 + + + + .debug_info + 0x8684 + 0x8684 + 0x193 + + + + .debug_info + 0x8817 + 0x8817 + 0x15c + + + + .debug_info + 0x8973 + 0x8973 + 0x337 + + + + .debug_info + 0x8caa + 0x8caa + 0x153 + + + + .debug_info + 0x8dfd + 0x8dfd + 0x150 + + + + .debug_info + 0x8f4d + 0x8f4d + 0x180 + + + + .debug_info + 0x90cd + 0x90cd + 0x1ea + + + + .debug_info + 0x92b7 + 0x92b7 + 0x46 + + + + .debug_info + 0x92fd + 0x92fd + 0x2c + + + + .debug_info + 0x9329 + 0x9329 + 0x176 + + + + .debug_info + 0x949f + 0x949f + 0x292 + + + + .debug_info + 0x9731 + 0x9731 + 0x60 + + + + .debug_info + 0x9791 + 0x9791 + 0x46 + + + + .debug_info + 0x97d7 + 0x97d7 + 0x39 + + + + .debug_info + 0x9810 + 0x9810 + 0x164 + + + + .debug_info + 0x9974 + 0x9974 + 0x35e + + + + .debug_info + 0x9cd2 + 0x9cd2 + 0x1af + + + + .debug_info + 0x9e81 + 0x9e81 + 0x94 + + + + .debug_info + 0x9f15 + 0x9f15 + 0x1a2 + + + + .debug_info + 0xa0b7 + 0xa0b7 + 0x28a + + + + .debug_info + 0xa341 + 0xa341 + 0x171 + + + + .debug_info + 0xa4b2 + 0xa4b2 + 0x1c0 + + + + .debug_info + 0xa672 + 0xa672 + 0x166 + + + + .debug_info + 0xa7d8 + 0xa7d8 + 0x16b + + + + .debug_info + 0xa943 + 0xa943 + 0x21b + + + + .debug_info + 0xab5e + 0xab5e + 0x172 + + + + .debug_info + 0xacd0 + 0xacd0 + 0x5cf + + + + .debug_info + 0xb29f + 0xb29f + 0x197 + + + + .debug_info + 0xb436 + 0xb436 + 0x2d2 + + + + .debug_info + 0xb708 + 0xb708 + 0x158 + + + + .debug_info + 0xb860 + 0xb860 + 0x18d + + + + .debug_info + 0xb9ed + 0xb9ed + 0x22e + + + + .debug_info + 0xbc1b + 0xbc1b + 0x132 + + + + .debug_info + 0xbd4d + 0xbd4d + 0x122 + + + + .debug_info + 0xbe6f + 0xbe6f + 0x197 + + + + .debug_info + 0xc006 + 0xc006 + 0x163 + + + + .debug_info + 0xc169 + 0xc169 + 0xff + + + + .debug_info + 0xc268 + 0xc268 + 0x103 + + + + .debug_info + 0xc36b + 0xc36b + 0x12e + + + + .debug_info + 0xc499 + 0xc499 + 0x174 + + + + .debug_info + 0xc60d + 0xc60d + 0x20e + + + + .debug_info + 0xc81b + 0xc81b + 0x191 + + + + .debug_info + 0xc9ac + 0xc9ac + 0x190 + + + + .debug_info + 0xcb3c + 0xcb3c + 0xf9 + + + + .debug_info + 0xcc35 + 0xcc35 + 0x105 + + + + .debug_info + 0xcd3a + 0xcd3a + 0x13e + + + + .debug_info + 0xce78 + 0xce78 + 0x102 + + + + .debug_info + 0xcf7a + 0xcf7a + 0x10a + + + + .debug_info + 0xd084 + 0xd084 + 0x18e + + + + .debug_info + 0xd212 + 0xd212 + 0x154 + + + + .debug_info + 0xd366 + 0xd366 + 0x17f + + + + .debug_info + 0xd4e5 + 0xd4e5 + 0x1b8 + + + + .debug_info + 0xd69d + 0xd69d + 0x178 + + + + .debug_info + 0xd815 + 0xd815 + 0x250 + + + + .debug_info + 0xda65 + 0xda65 + 0x181 + + + + .debug_info + 0xdbe6 + 0xdbe6 + 0x17a + + + + .debug_info + 0xdd60 + 0xdd60 + 0x22a + + + + .debug_info + 0xdf8a + 0xdf8a + 0x10c + + + + .debug_info + 0xe096 + 0xe096 + 0x11f + + + + .debug_info + 0xe1b5 + 0xe1b5 + 0x105 + + + + .debug_info + 0xe2ba + 0xe2ba + 0x168 + + + + .debug_info + 0xe422 + 0xe422 + 0x18e + + + + .debug_info + 0xe5b0 + 0xe5b0 + 0x19f + + + + .debug_info + 0xe74f + 0xe74f + 0x203 + + + + .debug_info + 0xe952 + 0xe952 + 0x1db + + + + .debug_info + 0xeb2d + 0xeb2d + 0x273 + + + + .debug_info + 0xeda0 + 0xeda0 + 0xb2 + + + + .debug_info + 0xee52 + 0xee52 + 0x2c + + + + .debug_info + 0xee7e + 0xee7e + 0x16d + + + + .debug_info + 0xefeb + 0xefeb + 0x275 + + + + .debug_info + 0xf260 + 0xf260 + 0x172 + + + + .debug_info + 0xf3d2 + 0xf3d2 + 0x268 + + + + .debug_info + 0xf63a + 0xf63a + 0x162 + + + + .debug_info + 0xf79c + 0xf79c + 0x230 + + + + .debug_info + 0xf9cc + 0xf9cc + 0x18e + + + + .debug_info + 0xfb5a + 0xfb5a + 0x154 + + + + .debug_info + 0xfcae + 0xfcae + 0x26f + + + + .debug_info + 0xff1d + 0xff1d + 0x189 + + + + .debug_info + 0x100a6 + 0x100a6 + 0x120 + + + + .debug_info + 0x101c6 + 0x101c6 + 0x2c + + + + .debug_info + 0x101f2 + 0x101f2 + 0x337 + + + + .debug_info + 0x10529 + 0x10529 + 0x109 + + + + .debug_info + 0x10632 + 0x10632 + 0x109 + + + + .debug_info + 0x1073b + 0x1073b + 0x140 + + + + .debug_info + 0x1087b + 0x1087b + 0xfe + + + + .debug_info + 0x10979 + 0x10979 + 0x21f + + + + .debug_info + 0x10b98 + 0x10b98 + 0x184 + + + + .debug_info + 0x10d1c + 0x10d1c + 0x176 + + + + .debug_info + 0x10e92 + 0x10e92 + 0x1fc + + + + .debug_info + 0x1108e + 0x1108e + 0x1df + + + + .debug_info + 0x1126d + 0x1126d + 0x160 + + + + .debug_info + 0x113cd + 0x113cd + 0x126 + + + + .debug_info + 0x114f3 + 0x114f3 + 0x138 + + + + .debug_info + 0x1162b + 0x1162b + 0x126 + + + + .debug_info + 0x11751 + 0x11751 + 0x120 + + + + .debug_info + 0x11871 + 0x11871 + 0x126 + + + + .debug_info + 0x11997 + 0x11997 + 0x193 + + + + .debug_info + 0x11b2a + 0x11b2a + 0x193 + + + + .debug_info + 0x11cbd + 0x11cbd + 0x239 + + + + .debug_info + 0x11ef6 + 0x11ef6 + 0x24b + + + + .debug_info + 0x12141 + 0x12141 + 0x1bc + + + + .debug_info + 0x122fd + 0x122fd + 0x250 + + + + .debug_info + 0x1254d + 0x1254d + 0x226 + + + + .debug_info + 0x12773 + 0x12773 + 0x27b + + + + .debug_info + 0x129ee + 0x129ee + 0x20c + + + + .debug_info + 0x12bfa + 0x12bfa + 0x24f + + + + .debug_info + 0x12e49 + 0x12e49 + 0x1fb + + + + .debug_info + 0x13044 + 0x13044 + 0x2ad + + + + .debug_info + 0x132f1 + 0x132f1 + 0x236 + + + + .debug_info + 0x13527 + 0x13527 + 0x26e + + + + .debug_info + 0x13795 + 0x13795 + 0x1c6 + + + + .debug_info + 0x1395b + 0x1395b + 0x250 + + + + .debug_info + 0x13bab + 0x13bab + 0x200 + + + + .debug_info + 0x13dab + 0x13dab + 0x193 + + + + .debug_info + 0x13f3e + 0x13f3e + 0x106 + + + + .debug_info + 0x14044 + 0x14044 + 0x1df + + + + .debug_info + 0x14223 + 0x14223 + 0x19a + + + + .debug_info + 0x143bd + 0x143bd + 0x26f + + + + .debug_info + 0x1462c + 0x1462c + 0x18f + + + + .debug_info + 0x147bb + 0x147bb + 0x27d + + + + .debug_info + 0x14a38 + 0x14a38 + 0x209 + + + + .debug_info + 0x14c41 + 0x14c41 + 0x304 + + + + .debug_info + 0x14f45 + 0x14f45 + 0x198 + + + + .debug_info + 0x150dd + 0x150dd + 0x1eb + + + + .debug_info + 0x152c8 + 0x152c8 + 0xea + + + + .debug_info + 0x153b2 + 0x153b2 + 0x162 + + + + .debug_info + 0x15514 + 0x15514 + 0x273 + + + + .debug_info + 0x15787 + 0x15787 + 0x17c + + + + .debug_info + 0x15903 + 0x15903 + 0x24d + + + + .debug_info + 0x15b50 + 0x15b50 + 0x17d + + + + .debug_info + 0x15ccd + 0x15ccd + 0x268 + + + + .debug_info + 0x15f35 + 0x15f35 + 0x2a7 + + + + .debug_info + 0x161dc + 0x161dc + 0x188 + + + + .debug_info + 0x16364 + 0x16364 + 0x2a9 + + + + .debug_info + 0x1660d + 0x1660d + 0x1a5 + + + + .debug_info + 0x167b2 + 0x167b2 + 0x91 + + + .debug_line + 0x0 + 0x0 + 0xbf + + + + .debug_line + 0xbf + 0xbf + 0x31 + + + + .debug_line + 0xf0 + 0xf0 + 0x67 + + + + .debug_line + 0x157 + 0x157 + 0x72 + + + + .debug_line + 0x1c9 + 0x1c9 + 0x20 + + + + .debug_line + 0x1e9 + 0x1e9 + 0x76 + + + + .debug_line + 0x25f + 0x25f + 0x6d + + + + .debug_line + 0x2cc + 0x2cc + 0x6e + + + + .debug_line + 0x33a + 0x33a + 0x10e + + + + .debug_line + 0x448 + 0x448 + 0x3c + + + + .debug_line + 0x484 + 0x484 + 0x3c + + + + .debug_line + 0x4c0 + 0x4c0 + 0x49 + + + + .debug_line + 0x509 + 0x509 + 0x91 + + + + .debug_line + 0x59a + 0x59a + 0x2d5 + + + + .debug_line + 0x86f + 0x86f + 0x83 + + + + .debug_line + 0x8f2 + 0x8f2 + 0xb9 + + + + .debug_line + 0x9ab + 0x9ab + 0x81 + + + + .debug_line + 0xa2c + 0xa2c + 0x85 + + + + .debug_line + 0xab1 + 0xab1 + 0x101 + + + + .debug_line + 0xbb2 + 0xbb2 + 0x49 + + + + .debug_line + 0xbfb + 0xbfb + 0x6e + + + + .debug_line + 0xc69 + 0xc69 + 0x8a + + + + .debug_line + 0xcf3 + 0xcf3 + 0x10e + + + + .debug_line + 0xe01 + 0xe01 + 0x4f + + + + .debug_line + 0xe50 + 0xe50 + 0x4b + + + + .debug_line + 0xe9b + 0xe9b + 0x85 + + + + .debug_line + 0xf20 + 0xf20 + 0x120 + + + + .debug_line + 0x1040 + 0x1040 + 0x74 + + + + .debug_line + 0x10b4 + 0x10b4 + 0x128 + + + + .debug_line + 0x11dc + 0x11dc + 0xde + + + + .debug_line + 0x12ba + 0x12ba + 0x72 + + + + .debug_line + 0x132c + 0x132c + 0x82 + + + + .debug_line + 0x13ae + 0x13ae + 0x118 + + + + .debug_line + 0x14c6 + 0x14c6 + 0x92 + + + + .debug_line + 0x1558 + 0x1558 + 0x92 + + + + .debug_line + 0x15ea + 0x15ea + 0x9a + + + + .debug_line + 0x1684 + 0x1684 + 0x97 + + + + .debug_line + 0x171b + 0x171b + 0x2e + + + + .debug_line + 0x1749 + 0x1749 + 0x91 + + + + .debug_line + 0x17da + 0x17da + 0x117 + + + + .debug_line + 0x18f1 + 0x18f1 + 0x67 + + + + .debug_line + 0x1958 + 0x1958 + 0x189 + + + + .debug_line + 0x1ae1 + 0x1ae1 + 0x95 + + + + .debug_line + 0x1b76 + 0x1b76 + 0x92 + + + + .debug_line + 0x1c08 + 0x1c08 + 0x91 + + + + .debug_line + 0x1c99 + 0x1c99 + 0x65 + + + + .debug_line + 0x1cfe + 0x1cfe + 0x91 + + + + .debug_line + 0x1d8f + 0x1d8f + 0x110 + + + + .debug_line + 0x1e9f + 0x1e9f + 0x88 + + + + .debug_line + 0x1f27 + 0x1f27 + 0x20 + + + + .debug_line + 0x1f47 + 0x1f47 + 0x40 + + + + .debug_line + 0x1f87 + 0x1f87 + 0x9a + + + + .debug_line + 0x2021 + 0x2021 + 0x91 + + + + .debug_line + 0x20b2 + 0x20b2 + 0x20 + + + + .debug_line + 0x20d2 + 0x20d2 + 0x51 + + + + .debug_line + 0x2123 + 0x2123 + 0x9a + + + + .debug_line + 0x21bd + 0x21bd + 0x97 + + + + .debug_line + 0x2254 + 0x2254 + 0x9b + + + + .debug_line + 0x22ef + 0x22ef + 0x72 + + + + .debug_line + 0x2361 + 0x2361 + 0x4e + + + + .debug_line + 0x23af + 0x23af + 0x43 + + + + .debug_line + 0x23f2 + 0x23f2 + 0x64 + + + + .debug_line + 0x2456 + 0x2456 + 0x40 + + + + .debug_line + 0x2496 + 0x2496 + 0x3d + + + + .debug_line + 0x24d3 + 0x24d3 + 0x4e + + + + .debug_line + 0x2521 + 0x2521 + 0x5e + + + + .debug_line + 0x257f + 0x257f + 0x44 + + + + .debug_line + 0x25c3 + 0x25c3 + 0x47 + + + + .debug_line + 0x260a + 0x260a + 0x4e + + + + .debug_line + 0x2658 + 0x2658 + 0x5b + + + + .debug_line + 0x26b3 + 0x26b3 + 0x2a + + + + .debug_line + 0x26dd + 0x26dd + 0x46 + + + + .debug_line + 0x2723 + 0x2723 + 0xbf + + + + .debug_line + 0x27e2 + 0x27e2 + 0x89 + + + + .debug_line + 0x286b + 0x286b + 0x2e + + + + .debug_line + 0x2899 + 0x2899 + 0x9a + + + + .debug_line + 0x2933 + 0x2933 + 0x97 + + + + .debug_line + 0x29ca + 0x29ca + 0x93 + + + + .debug_line + 0x2a5d + 0x2a5d + 0x20 + + + + .debug_line + 0x2a7d + 0x2a7d + 0x4f + + + + .debug_line + 0x2acc + 0x2acc + 0x34 + + + + .debug_line + 0x2b00 + 0x2b00 + 0x20 + + + + .debug_line + 0x2b20 + 0x2b20 + 0x30 + + + + .debug_line + 0x2b50 + 0x2b50 + 0x30 + + + + .debug_line + 0x2b80 + 0x2b80 + 0x53 + + + + .debug_line + 0x2bd3 + 0x2bd3 + 0x20 + + + + .debug_line + 0x2bf3 + 0x2bf3 + 0x13b + + + + .debug_line + 0x2d2e + 0x2d2e + 0x3e + + + + .debug_line + 0x2d6c + 0x2d6c + 0x3a + + + + .debug_line + 0x2da6 + 0x2da6 + 0x20 + + + + .debug_line + 0x2dc6 + 0x2dc6 + 0x50 + + + + .debug_line + 0x2e16 + 0x2e16 + 0x3a + + + + .debug_line + 0x2e50 + 0x2e50 + 0x92 + + + + .debug_line + 0x2ee2 + 0x2ee2 + 0x20 + + + + .debug_line + 0x2f02 + 0x2f02 + 0x92 + + + + .debug_line + 0x2f94 + 0x2f94 + 0x3a + + + + .debug_line + 0x2fce + 0x2fce + 0x9a + + + + .debug_line + 0x3068 + 0x3068 + 0x96 + + + + .debug_line + 0x30fe + 0x30fe + 0x20 + + + + .debug_line + 0x311e + 0x311e + 0x17f + + + + .debug_line + 0x329d + 0x329d + 0x75 + + + + .debug_line + 0x3312 + 0x3312 + 0x2e + + + + .debug_line + 0x3340 + 0x3340 + 0x2d + + + + .debug_line + 0x336d + 0x336d + 0xe9 + + + + .debug_line + 0x3456 + 0x3456 + 0x3d + + + + .debug_line + 0x3493 + 0x3493 + 0x5c + + + + .debug_line + 0x34ef + 0x34ef + 0x3d + + + + .debug_line + 0x352c + 0x352c + 0x20 + + + + .debug_line + 0x354c + 0x354c + 0x81 + + + + .debug_line + 0x35cd + 0x35cd + 0x20 + + + + .debug_line + 0x35ed + 0x35ed + 0xdc + + + + .debug_line + 0x36c9 + 0x36c9 + 0x2d + + + + .debug_line + 0x36f6 + 0x36f6 + 0xf0 + + + + .debug_line + 0x37e6 + 0x37e6 + 0x49 + + + + .debug_line + 0x382f + 0x382f + 0x4b + + + + .debug_line + 0x387a + 0x387a + 0x10c + + + + .debug_line + 0x3986 + 0x3986 + 0x2a + + + + .debug_line + 0x39b0 + 0x39b0 + 0x3d + + + + .debug_line + 0x39ed + 0x39ed + 0x50 + + + + .debug_line + 0x3a3d + 0x3a3d + 0x20 + + + + .debug_line + 0x3a5d + 0x3a5d + 0x2b + + + + .debug_line + 0x3a88 + 0x3a88 + 0x2b + + + + .debug_line + 0x3ab3 + 0x3ab3 + 0x38 + + + + .debug_line + 0x3aeb + 0x3aeb + 0x20 + + + + .debug_line + 0x3b0b + 0x3b0b + 0x51 + + + + .debug_line + 0x3b5c + 0x3b5c + 0x91 + + + + .debug_line + 0x3bed + 0x3bed + 0x5d + + + + .debug_line + 0x3c4a + 0x3c4a + 0x20 + + + + .debug_line + 0x3c6a + 0x3c6a + 0x2b + + + + .debug_line + 0x3c95 + 0x3c95 + 0x2a + + + + .debug_line + 0x3cbf + 0x3cbf + 0x2a + + + + .debug_line + 0x3ce9 + 0x3ce9 + 0x2a + + + + .debug_line + 0x3d13 + 0x3d13 + 0x91 + + + + .debug_line + 0x3da4 + 0x3da4 + 0x3d + + + + .debug_line + 0x3de1 + 0x3de1 + 0x20 + + + + .debug_line + 0x3e01 + 0x3e01 + 0x48 + + + + .debug_line + 0x3e49 + 0x3e49 + 0x20 + + + + .debug_line + 0x3e69 + 0x3e69 + 0xb1 + + + + .debug_line + 0x3f1a + 0x3f1a + 0x20 + + + + .debug_line + 0x3f3a + 0x3f3a + 0x42 + + + + .debug_line + 0x3f7c + 0x3f7c + 0x10f + + + + .debug_line + 0x408b + 0x408b + 0x2c + + + + .debug_line + 0x40b7 + 0x40b7 + 0x2c + + + + .debug_line + 0x40e3 + 0x40e3 + 0x2c + + + + .debug_line + 0x410f + 0x410f + 0x3f + + + + .debug_line + 0x414e + 0x414e + 0x4b + + + + .debug_line + 0x4199 + 0x4199 + 0x55 + + + + .debug_line + 0x41ee + 0x41ee + 0xb4 + + + + .debug_line + 0x42a2 + 0x42a2 + 0x72 + + + + .debug_line + 0x4314 + 0x4314 + 0xe3 + + + + .debug_line + 0x43f7 + 0x43f7 + 0x2c + + + + .debug_line + 0x4423 + 0x4423 + 0x92 + + + + .debug_line + 0x44b5 + 0x44b5 + 0x20 + + + + .debug_line + 0x44d5 + 0x44d5 + 0xae + + + + .debug_line + 0x4583 + 0x4583 + 0x20 + + + + .debug_line + 0x45a3 + 0x45a3 + 0xaf + + + + .debug_line + 0x4652 + 0x4652 + 0x20 + + + + .debug_line + 0x4672 + 0x4672 + 0xac + + + + .debug_line + 0x471e + 0x471e + 0x91 + + + + .debug_line + 0x47af + 0x47af + 0x3d + + + + .debug_line + 0x47ec + 0x47ec + 0x92 + + + + .debug_line + 0x487e + 0x487e + 0x42 + + + + .debug_line + 0x48c0 + 0x48c0 + 0x92 + + + + .debug_line + 0x4952 + 0x4952 + 0x90 + + + + .debug_line + 0x49e2 + 0x49e2 + 0x31 + + + + .debug_line + 0x4a13 + 0x4a13 + 0x31 + + + + .debug_line + 0x4a44 + 0x4a44 + 0x31 + + + + .debug_line + 0x4a75 + 0x4a75 + 0x3c + + + + .debug_line + 0x4ab1 + 0x4ab1 + 0x2b + + + + .debug_line + 0x4adc + 0x4adc + 0x118 + + + + .debug_line + 0x4bf4 + 0x4bf4 + 0x5e + + + + .debug_line + 0x4c52 + 0x4c52 + 0x4b + + + + .debug_line + 0x4c9d + 0x4c9d + 0xa6 + + + + .debug_line + 0x4d43 + 0x4d43 + 0x4f + + + + .debug_line + 0x4d92 + 0x4d92 + 0x42 + + + + .debug_line + 0x4dd4 + 0x4dd4 + 0x56 + + + + .debug_line + 0x4e2a + 0x4e2a + 0x5a + + + + .debug_line + 0x4e84 + 0x4e84 + 0x56 + + + + .debug_line + 0x4eda + 0x4eda + 0x42 + + + + .debug_line + 0x4f1c + 0x4f1c + 0x65 + + + + .debug_line + 0x4f81 + 0x4f81 + 0x53 + + + + .debug_line + 0x4fd4 + 0x4fd4 + 0x53 + + + + .debug_line + 0x5027 + 0x5027 + 0x65 + + + + .debug_line + 0x508c + 0x508c + 0x9d + + + + .debug_line + 0x5129 + 0x5129 + 0x48 + + + + .debug_line + 0x5171 + 0x5171 + 0x9d + + + + .debug_line + 0x520e + 0x520e + 0x4a + + + + .debug_line + 0x5258 + 0x5258 + 0x11d + + + + .debug_line + 0x5375 + 0x5375 + 0x48 + + + + .debug_line + 0x53bd + 0x53bd + 0x9d + + + + .debug_line + 0x545a + 0x545a + 0x4e + + + + .debug_line + 0x54a8 + 0x54a8 + 0x10f + + + + .debug_line + 0x55b7 + 0x55b7 + 0x4c + + + + .debug_line + 0x5603 + 0x5603 + 0x10f + + + + .debug_line + 0x5712 + 0x5712 + 0x48 + + + + .debug_line + 0x575a + 0x575a + 0x9d + + + + .debug_line + 0x57f7 + 0x57f7 + 0x4f + + + + .debug_line + 0x5846 + 0x5846 + 0x20 + + + + .debug_line + 0x5866 + 0x5866 + 0x2c + + + + .debug_line + 0x5892 + 0x5892 + 0x50 + + + + .debug_line + 0x58e2 + 0x58e2 + 0x51 + + + + .debug_line + 0x5933 + 0x5933 + 0x92 + + + + .debug_line + 0x59c5 + 0x59c5 + 0x42 + + + + .debug_line + 0x5a07 + 0x5a07 + 0x18a + + + + .debug_line + 0x5b91 + 0x5b91 + 0x60 + + + + .debug_line + 0x5bf1 + 0x5bf1 + 0x9e + + + + .debug_line + 0x5c8f + 0x5c8f + 0x53 + + + + .debug_line + 0x5ce2 + 0x5ce2 + 0x56 + + + + .debug_line + 0x5d38 + 0x5d38 + 0x2c + + + + .debug_line + 0x5d64 + 0x5d64 + 0x20 + + + + .debug_line + 0x5d84 + 0x5d84 + 0xaf + + + + .debug_line + 0x5e33 + 0x5e33 + 0x20 + + + + .debug_line + 0x5e53 + 0x5e53 + 0xa8 + + + + .debug_line + 0x5efb + 0x5efb + 0x20 + + + + .debug_line + 0x5f1b + 0x5f1b + 0xb4 + + + + .debug_line + 0x5fcf + 0x5fcf + 0x103 + + + + .debug_line + 0x60d2 + 0x60d2 + 0x53 + + + + .debug_line + 0x6125 + 0x6125 + 0x103 + + + + .debug_line + 0x6228 + 0x6228 + 0x40 + + + + .debug_frame + 0x0 + 0x0 + 0x40 + + + + .debug_frame + 0x40 + 0x40 + 0x3c + + + + .debug_frame + 0x7c + 0x7c + 0x3c + + + + .debug_frame + 0xb8 + 0xb8 + 0x48 + + + + .debug_frame + 0x100 + 0x100 + 0x64 + + + + .debug_frame + 0x164 + 0x164 + 0x4c + + + + .debug_frame + 0x1b0 + 0x1b0 + 0x64 + + + + .debug_frame + 0x214 + 0x214 + 0x64 + + + + .debug_frame + 0x278 + 0x278 + 0xb4 + + + + .debug_frame + 0x32c + 0x32c + 0x50 + + + + .debug_frame + 0x37c + 0x37c + 0x54 + + + + .debug_frame + 0x3d0 + 0x3d0 + 0x50 + + + + .debug_frame + 0x420 + 0x420 + 0xb4 + + + + .debug_frame + 0x4d4 + 0x4d4 + 0x50 + + + + .debug_frame + 0x524 + 0x524 + 0x54 + + + + .debug_frame + 0x578 + 0x578 + 0xb4 + + + + .debug_frame + 0x62c + 0x62c + 0xb4 + + + + .debug_frame + 0x6e0 + 0x6e0 + 0x64 + + + + .debug_frame + 0x744 + 0x744 + 0xb4 + + + + .debug_frame + 0x7f8 + 0x7f8 + 0x64 + + + + .debug_frame + 0x85c + 0x85c + 0x64 + + + + .debug_frame + 0x8c0 + 0x8c0 + 0x64 + + + + .debug_frame + 0x924 + 0x924 + 0x74 + + + + .debug_frame + 0x998 + 0x998 + 0x50 + + + + .debug_frame + 0x9e8 + 0x9e8 + 0x60 + + + + .debug_frame + 0xa48 + 0xa48 + 0x44 + + + + .debug_frame + 0xa8c + 0xa8c + 0x50 + + + + .debug_frame + 0xadc + 0xadc + 0x3c + + + + .debug_frame + 0xb18 + 0xb18 + 0x60 + + + + .debug_frame + 0xb78 + 0xb78 + 0x78 + + + + .debug_frame + 0xbf0 + 0xbf0 + 0x34 + + + + .debug_frame + 0xc24 + 0xc24 + 0x48 + + + + .debug_frame + 0xc6c + 0xc6c + 0x3c + + + + .debug_frame + 0xca8 + 0xca8 + 0x44 + + + + .debug_frame + 0xcec + 0xcec + 0x90 + + + + .debug_frame + 0xd7c + 0xd7c + 0x3c + + + + .debug_frame + 0xdb8 + 0xdb8 + 0x3c + + + + .debug_frame + 0xdf4 + 0xdf4 + 0x3c + + + + .debug_frame + 0xe30 + 0xe30 + 0x48 + + + + .debug_frame + 0xe78 + 0xe78 + 0x7c + + + + .debug_frame + 0xef4 + 0xef4 + 0x4c + + + + .debug_frame + 0xf40 + 0xf40 + 0x68 + + + + .debug_frame + 0xfa8 + 0xfa8 + 0x40 + + + + .debug_frame + 0xfe8 + 0xfe8 + 0x44 + + + + .debug_frame + 0x102c + 0x102c + 0x3c + + + + .debug_frame + 0x1068 + 0x1068 + 0x48 + + + + .debug_frame + 0x10b0 + 0x10b0 + 0x78 + + + + .debug_frame + 0x1128 + 0x1128 + 0x68 + + + + .debug_frame + 0x1190 + 0x1190 + 0x40 + + + + .debug_frame + 0x11d0 + 0x11d0 + 0x40 + + + + .debug_frame + 0x1210 + 0x1210 + 0x3c + + + + .debug_frame + 0x124c + 0x124c + 0x44 + + + + .debug_frame + 0x1290 + 0x1290 + 0x3c + + + + .debug_frame + 0x12cc + 0x12cc + 0x58 + + + + .debug_frame + 0x1324 + 0x1324 + 0x44 + + + + .debug_frame + 0x1368 + 0x1368 + 0x3c + + + + .debug_frame + 0x13a4 + 0x13a4 + 0x44 + + + + .debug_frame + 0x13e8 + 0x13e8 + 0x3c + + + + .debug_frame + 0x1424 + 0x1424 + 0x3c + + + + .debug_frame + 0x1460 + 0x1460 + 0x3c + + + + .debug_frame + 0x149c + 0x149c + 0x3c + + + + .debug_frame + 0x14d8 + 0x14d8 + 0x3c + + + + .debug_frame + 0x1514 + 0x1514 + 0x44 + + + + .debug_frame + 0x1558 + 0x1558 + 0x44 + + + + .debug_frame + 0x159c + 0x159c + 0x50 + + + + .debug_frame + 0x15ec + 0x15ec + 0x3c + + + + .debug_frame + 0x1628 + 0x1628 + 0x40 + + + + .debug_frame + 0x1668 + 0x1668 + 0x3c + + + + .debug_frame + 0x16a4 + 0x16a4 + 0x3c + + + + .debug_frame + 0x16e0 + 0x16e0 + 0x3c + + + + .debug_frame + 0x171c + 0x171c + 0x3c + + + + .debug_frame + 0x1758 + 0x1758 + 0x44 + + + + .debug_frame + 0x179c + 0x179c + 0x44 + + + + .debug_frame + 0x17e0 + 0x17e0 + 0x50 + + + + .debug_frame + 0x1830 + 0x1830 + 0x44 + + + + .debug_frame + 0x1874 + 0x1874 + 0x44 + + + + .debug_frame + 0x18b8 + 0x18b8 + 0x44 + + + + .debug_frame + 0x18fc + 0x18fc + 0x64 + + + + .debug_frame + 0x1960 + 0x1960 + 0x44 + + + + .debug_frame + 0x19a4 + 0x19a4 + 0x50 + + + + .debug_frame + 0x19f4 + 0x19f4 + 0x48 + + + + .debug_frame + 0x1a3c + 0x1a3c + 0x48 + + + + .debug_frame + 0x1a84 + 0x1a84 + 0x4c + + + + .debug_frame + 0x1ad0 + 0x1ad0 + 0x44 + + + + .debug_frame + 0x1b14 + 0x1b14 + 0x48 + + + + .debug_frame + 0x1b5c + 0x1b5c + 0x3c + + + + .debug_frame + 0x1b98 + 0x1b98 + 0x3c + + + + .debug_frame + 0x1bd4 + 0x1bd4 + 0x3c + + + + .debug_frame + 0x1c10 + 0x1c10 + 0x54 + + + + .debug_frame + 0x1c64 + 0x1c64 + 0x4c + + + + .debug_frame + 0x1cb0 + 0x1cb0 + 0x50 + + + + .debug_frame + 0x1d00 + 0x1d00 + 0x3c + + + + .debug_frame + 0x1d3c + 0x1d3c + 0x3c + + + + .debug_frame + 0x1d78 + 0x1d78 + 0x3c + + + + .debug_frame + 0x1db4 + 0x1db4 + 0x44 + + + + .debug_frame + 0x1df8 + 0x1df8 + 0x48 + + + + .debug_abbrev + 0x0 + 0x0 + 0x91 + + + + .debug_abbrev + 0x91 + 0x91 + 0x27 + + + + .debug_abbrev + 0xb8 + 0xb8 + 0x79 + + + + .debug_abbrev + 0x131 + 0x131 + 0x69 + + + + .debug_abbrev + 0x19a + 0x19a + 0x1f + + + + .debug_abbrev + 0x1b9 + 0x1b9 + 0x35 + + + + .debug_abbrev + 0x1ee + 0x1ee + 0x5c + + + + .debug_abbrev + 0x24a + 0x24a + 0x24 + + + + .debug_abbrev + 0x26e + 0x26e + 0xb8 + + + + .debug_abbrev + 0x326 + 0x326 + 0x74 + + + + .debug_abbrev + 0x39a + 0x39a + 0x66 + + + + .debug_abbrev + 0x400 + 0x400 + 0x93 + + + + .debug_abbrev + 0x493 + 0x493 + 0x4b + + + + .debug_abbrev + 0x4de + 0x4de + 0xd0 + + + + .debug_abbrev + 0x5ae + 0x5ae + 0x89 + + + + .debug_abbrev + 0x637 + 0x637 + 0x6f + + + + .debug_abbrev + 0x6a6 + 0x6a6 + 0x7d + + + + .debug_abbrev + 0x723 + 0x723 + 0x7d + + + + .debug_abbrev + 0x7a0 + 0x7a0 + 0x8b + + + + .debug_abbrev + 0x82b + 0x82b + 0x7b + + + + .debug_abbrev + 0x8a6 + 0x8a6 + 0x7b + + + + .debug_abbrev + 0x921 + 0x921 + 0x7b + + + + .debug_abbrev + 0x99c + 0x99c + 0x8b + + + + .debug_abbrev + 0xa27 + 0xa27 + 0x7b + + + + .debug_abbrev + 0xaa2 + 0xaa2 + 0x7b + + + + .debug_abbrev + 0xb1d + 0xb1d + 0x89 + + + + .debug_abbrev + 0xba6 + 0xba6 + 0x8b + + + + .debug_abbrev + 0xc31 + 0xc31 + 0x7b + + + + .debug_abbrev + 0xcac + 0xcac + 0x89 + + + + .debug_abbrev + 0xd35 + 0xd35 + 0x7d + + + + .debug_abbrev + 0xdb2 + 0xdb2 + 0x8a + + + + .debug_abbrev + 0xe3c + 0xe3c + 0x8a + + + + .debug_abbrev + 0xec6 + 0xec6 + 0x9c + + + + .debug_abbrev + 0xf62 + 0xf62 + 0x49 + + + + .debug_abbrev + 0xfab + 0xfab + 0x24 + + + + .debug_abbrev + 0xfcf + 0xfcf + 0x35 + + + + .debug_abbrev + 0x1004 + 0x1004 + 0x24 + + + + .debug_abbrev + 0x1028 + 0x1028 + 0x24 + + + + .debug_abbrev + 0x104c + 0x104c + 0x24 + + + + .debug_abbrev + 0x1070 + 0x1070 + 0x95 + + + + .debug_abbrev + 0x1105 + 0x1105 + 0x8e + + + + .debug_abbrev + 0x1193 + 0x1193 + 0xb4 + + + + .debug_abbrev + 0x1247 + 0x1247 + 0x8e + + + + .debug_abbrev + 0x12d5 + 0x12d5 + 0x24 + + + + .debug_abbrev + 0x12f9 + 0x12f9 + 0x73 + + + + .debug_abbrev + 0x136c + 0x136c + 0x7f + + + + .debug_abbrev + 0x13eb + 0x13eb + 0x5c + + + + .debug_abbrev + 0x1447 + 0x1447 + 0xab + + + + .debug_abbrev + 0x14f2 + 0x14f2 + 0x8e + + + + .debug_abbrev + 0x1580 + 0x1580 + 0x35 + + + + .debug_abbrev + 0x15b5 + 0x15b5 + 0x71 + + + + .debug_abbrev + 0x1626 + 0x1626 + 0x24 + + + + .debug_abbrev + 0x164a + 0x164a + 0x24 + + + + .debug_abbrev + 0x166e + 0x166e + 0x35 + + + + .debug_abbrev + 0x16a3 + 0x16a3 + 0x7f + + + + .debug_abbrev + 0x1722 + 0x1722 + 0x24 + + + + .debug_abbrev + 0x1746 + 0x1746 + 0x24 + + + + .debug_abbrev + 0x176a + 0x176a + 0x5a + + + + .debug_abbrev + 0x17c4 + 0x17c4 + 0x8d + + + + .debug_abbrev + 0x1851 + 0x1851 + 0x3c + + + + .debug_abbrev + 0x188d + 0x188d + 0x3c + + + + .debug_abbrev + 0x18c9 + 0x18c9 + 0x3c + + + + .debug_abbrev + 0x1905 + 0x1905 + 0x3a + + + + .debug_abbrev + 0x193f + 0x193f + 0x28 + + + + .debug_abbrev + 0x1967 + 0x1967 + 0x3c + + + + .debug_abbrev + 0x19a3 + 0x19a3 + 0x3c + + + + .debug_abbrev + 0x19df + 0x19df + 0x2e + + + + .debug_abbrev + 0x1a0d + 0x1a0d + 0x2e + + + + .debug_abbrev + 0x1a3b + 0x1a3b + 0x2e + + + + .debug_abbrev + 0x1a69 + 0x1a69 + 0x2e + + + + .debug_abbrev + 0x1a97 + 0x1a97 + 0x29 + + + + .debug_abbrev + 0x1ac0 + 0x1ac0 + 0x58 + + + + .debug_abbrev + 0x1b18 + 0x1b18 + 0xc0 + + + + .debug_abbrev + 0x1bd8 + 0x1bd8 + 0x7e + + + + .debug_abbrev + 0x1c56 + 0x1c56 + 0x24 + + + + .debug_abbrev + 0x1c7a + 0x1c7a + 0x24 + + + + .debug_abbrev + 0x1c9e + 0x1c9e + 0x24 + + + + .debug_abbrev + 0x1cc2 + 0x1cc2 + 0x4b + + + + .debug_abbrev + 0x1d0d + 0x1d0d + 0x37 + + + + .debug_abbrev + 0x1d44 + 0x1d44 + 0x6f + + + + .debug_abbrev + 0x1db3 + 0x1db3 + 0x24 + + + + .debug_abbrev + 0x1dd7 + 0x1dd7 + 0x33 + + + + .debug_abbrev + 0x1e0a + 0x1e0a + 0x29 + + + + .debug_abbrev + 0x1e33 + 0x1e33 + 0x29 + + + + .debug_abbrev + 0x1e5c + 0x1e5c + 0x7f + + + + .debug_abbrev + 0x1edb + 0x1edb + 0x25 + + + + .debug_abbrev + 0x1f00 + 0x1f00 + 0x8d + + + + .debug_abbrev + 0x1f8d + 0x1f8d + 0x55 + + + + .debug_abbrev + 0x1fe2 + 0x1fe2 + 0x53 + + + + .debug_abbrev + 0x2035 + 0x2035 + 0x37 + + + + .debug_abbrev + 0x206c + 0x206c + 0x74 + + + + .debug_abbrev + 0x20e0 + 0x20e0 + 0x24 + + + + .debug_abbrev + 0x2104 + 0x2104 + 0x24 + + + + .debug_abbrev + 0x2128 + 0x2128 + 0x37 + + + + .debug_abbrev + 0x215f + 0x215f + 0x7d + + + + .debug_abbrev + 0x21dc + 0x21dc + 0x24 + + + + .debug_abbrev + 0x2200 + 0x2200 + 0x35 + + + + .debug_abbrev + 0x2235 + 0x2235 + 0x24 + + + + .debug_abbrev + 0x2259 + 0x2259 + 0x25 + + + + .debug_abbrev + 0x227e + 0x227e + 0x8d + + + + .debug_abbrev + 0x230b + 0x230b + 0x71 + + + + .debug_abbrev + 0x237c + 0x237c + 0x70 + + + + .debug_abbrev + 0x23ec + 0x23ec + 0x4d + + + + .debug_abbrev + 0x2439 + 0x2439 + 0x7f + + + + .debug_abbrev + 0x24b8 + 0x24b8 + 0x71 + + + + .debug_abbrev + 0x2529 + 0x2529 + 0x7f + + + + .debug_abbrev + 0x25a8 + 0x25a8 + 0x68 + + + + .debug_abbrev + 0x2610 + 0x2610 + 0x25 + + + + .debug_abbrev + 0x2635 + 0x2635 + 0x7f + + + + .debug_abbrev + 0x26b4 + 0x26b4 + 0x35 + + + + .debug_abbrev + 0x26e9 + 0x26e9 + 0x8d + + + + .debug_abbrev + 0x2776 + 0x2776 + 0x44 + + + + .debug_abbrev + 0x27ba + 0x27ba + 0x7f + + + + .debug_abbrev + 0x2839 + 0x2839 + 0x71 + + + + .debug_abbrev + 0x28aa + 0x28aa + 0x7f + + + + .debug_abbrev + 0x2929 + 0x2929 + 0x6f + + + + .debug_abbrev + 0x2998 + 0x2998 + 0x29 + + + + .debug_abbrev + 0x29c1 + 0x29c1 + 0x45 + + + + .debug_abbrev + 0x2a06 + 0x2a06 + 0x8c + + + + .debug_abbrev + 0x2a92 + 0x2a92 + 0x35 + + + + .debug_abbrev + 0x2ac7 + 0x2ac7 + 0x29 + + + + .debug_abbrev + 0x2af0 + 0x2af0 + 0x29 + + + + .debug_abbrev + 0x2b19 + 0x2b19 + 0x53 + + + + .debug_abbrev + 0x2b6c + 0x2b6c + 0x49 + + + + .debug_abbrev + 0x2bb5 + 0x2bb5 + 0x7f + + + + .debug_abbrev + 0x2c34 + 0x2c34 + 0x58 + + + + .debug_abbrev + 0x2c8c + 0x2c8c + 0x7f + + + + .debug_abbrev + 0x2d0b + 0x2d0b + 0x2e + + + + .debug_abbrev + 0x2d39 + 0x2d39 + 0x29 + + + + .debug_abbrev + 0x2d62 + 0x2d62 + 0x46 + + + + .debug_abbrev + 0x2da8 + 0x2da8 + 0x29 + + + + .debug_abbrev + 0x2dd1 + 0x2dd1 + 0x29 + + + + .debug_abbrev + 0x2dfa + 0x2dfa + 0x4f + + + + .debug_abbrev + 0x2e49 + 0x2e49 + 0x71 + + + + .debug_abbrev + 0x2eba + 0x2eba + 0x37 + + + + .debug_abbrev + 0x2ef1 + 0x2ef1 + 0x71 + + + + .debug_abbrev + 0x2f62 + 0x2f62 + 0x37 + + + + .debug_abbrev + 0x2f99 + 0x2f99 + 0x71 + + + + .debug_abbrev + 0x300a + 0x300a + 0x45 + + + + .debug_abbrev + 0x304f + 0x304f + 0x71 + + + + .debug_abbrev + 0x30c0 + 0x30c0 + 0xab + + + + .debug_abbrev + 0x316b + 0x316b + 0x29 + + + + .debug_abbrev + 0x3194 + 0x3194 + 0x27 + + + + .debug_abbrev + 0x31bb + 0x31bb + 0x27 + + + + .debug_abbrev + 0x31e2 + 0x31e2 + 0x76 + + + + .debug_abbrev + 0x3258 + 0x3258 + 0x6d + + + + .debug_abbrev + 0x32c5 + 0x32c5 + 0x6d + + + + .debug_abbrev + 0x3332 + 0x3332 + 0x8c + + + + .debug_abbrev + 0x33be + 0x33be + 0x7b + + + + .debug_abbrev + 0x3439 + 0x3439 + 0x8e + + + + .debug_abbrev + 0x34c7 + 0x34c7 + 0x7f + + + + .debug_abbrev + 0x3546 + 0x3546 + 0x24 + + + + .debug_abbrev + 0x356a + 0x356a + 0x35 + + + + .debug_abbrev + 0x359f + 0x359f + 0x71 + + + + .debug_abbrev + 0x3610 + 0x3610 + 0x3e + + + + .debug_abbrev + 0x364e + 0x364e + 0x71 + + + + .debug_abbrev + 0x36bf + 0x36bf + 0x2e + + + + .debug_abbrev + 0x36ed + 0x36ed + 0x71 + + + + .debug_abbrev + 0x375e + 0x375e + 0x4f + + + + .debug_abbrev + 0x37ad + 0x37ad + 0x71 + + + + .debug_abbrev + 0x381e + 0x381e + 0x7a + + + + .debug_abbrev + 0x3898 + 0x3898 + 0x80 + + + + .debug_abbrev + 0x3918 + 0x3918 + 0x5a + + + + .debug_abbrev + 0x3972 + 0x3972 + 0x24 + + + + .debug_abbrev + 0x3996 + 0x3996 + 0x71 + + + + .debug_abbrev + 0x3a07 + 0x3a07 + 0x29 + + + + .debug_abbrev + 0x3a30 + 0x3a30 + 0x29 + + + + .debug_abbrev + 0x3a59 + 0x3a59 + 0x71 + + + + .debug_abbrev + 0x3aca + 0x3aca + 0x27 + + + + .debug_abbrev + 0x3af1 + 0x3af1 + 0xab + + + + .debug_abbrev + 0x3b9c + 0x3b9c + 0x7f + + + + .debug_abbrev + 0x3c1b + 0x3c1b + 0x6f + + + + .debug_abbrev + 0x3c8a + 0x3c8a + 0x81 + + + + .debug_abbrev + 0x3d0b + 0x3d0b + 0x8e + + + + .debug_abbrev + 0x3d99 + 0x3d99 + 0x63 + + + + .debug_abbrev + 0x3dfc + 0x3dfc + 0x3c + + + + .debug_abbrev + 0x3e38 + 0x3e38 + 0x4a + + + + .debug_abbrev + 0x3e82 + 0x3e82 + 0x3c + + + + .debug_abbrev + 0x3ebe + 0x3ebe + 0x3c + + + + .debug_abbrev + 0x3efa + 0x3efa + 0x3c + + + + .debug_abbrev + 0x3f36 + 0x3f36 + 0x7f + + + + .debug_abbrev + 0x3fb5 + 0x3fb5 + 0x7f + + + + .debug_abbrev + 0x4034 + 0x4034 + 0x7f + + + + .debug_abbrev + 0x40b3 + 0x40b3 + 0x8c + + + + .debug_abbrev + 0x413f + 0x413f + 0x8e + + + + .debug_abbrev + 0x41cd + 0x41cd + 0x8c + + + + .debug_abbrev + 0x4259 + 0x4259 + 0x8e + + + + .debug_abbrev + 0x42e7 + 0x42e7 + 0xc1 + + + + .debug_abbrev + 0x43a8 + 0x43a8 + 0x8e + + + + .debug_abbrev + 0x4436 + 0x4436 + 0x93 + + + + .debug_abbrev + 0x44c9 + 0x44c9 + 0x8e + + + + .debug_abbrev + 0x4557 + 0x4557 + 0xca + + + + .debug_abbrev + 0x4621 + 0x4621 + 0x8e + + + + .debug_abbrev + 0x46af + 0x46af + 0xab + + + + .debug_abbrev + 0x475a + 0x475a + 0x8e + + + + .debug_abbrev + 0x47e8 + 0x47e8 + 0x93 + + + + .debug_abbrev + 0x487b + 0x487b + 0x8e + + + + .debug_abbrev + 0x4909 + 0x4909 + 0x52 + + + + .debug_abbrev + 0x495b + 0x495b + 0x29 + + + + .debug_abbrev + 0x4984 + 0x4984 + 0x6f + + + + .debug_abbrev + 0x49f3 + 0x49f3 + 0x6f + + + + .debug_abbrev + 0x4a62 + 0x4a62 + 0x7a + + + + .debug_abbrev + 0x4adc + 0x4adc + 0x80 + + + + .debug_abbrev + 0x4b5c + 0x4b5c + 0xab + + + + .debug_abbrev + 0x4c07 + 0x4c07 + 0x8e + + + + .debug_abbrev + 0x4c95 + 0x4c95 + 0xb8 + + + + .debug_abbrev + 0x4d4d + 0x4d4d + 0x7f + + + + .debug_abbrev + 0x4dcc + 0x4dcc + 0x7f + + + + .debug_abbrev + 0x4e4b + 0x4e4b + 0x49 + + + + .debug_abbrev + 0x4e94 + 0x4e94 + 0x2e + + + + .debug_abbrev + 0x4ec2 + 0x4ec2 + 0x71 + + + + .debug_abbrev + 0x4f33 + 0x4f33 + 0x45 + + + + .debug_abbrev + 0x4f78 + 0x4f78 + 0x71 + + + + .debug_abbrev + 0x4fe9 + 0x4fe9 + 0x45 + + + + .debug_abbrev + 0x502e + 0x502e + 0x71 + + + + .debug_abbrev + 0x509f + 0x509f + 0x81 + + + + .debug_abbrev + 0x5120 + 0x5120 + 0x80 + + + + .debug_abbrev + 0x51a0 + 0x51a0 + 0x99 + + + + .debug_abbrev + 0x5239 + 0x5239 + 0x8e + + + + .debug_abbrev + 0x52c7 + 0x52c7 + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2a5 + + + + .debug_str + 0x2a5 + 0x2a5 + 0xfd + + + + .debug_str + 0x3a2 + 0x3a2 + 0x32a + + + + .debug_str + 0x6cc + 0x6cc + 0x10c + + + + .debug_str + 0x7d8 + 0x7d8 + 0xd8 + + + + .debug_str + 0x8b0 + 0x8b0 + 0x167 + + + + .debug_str + 0xa17 + 0xa17 + 0x15d + + + + .debug_str + 0xb74 + 0xb74 + 0x14b + + + + .debug_str + 0xcbf + 0xcbf + 0x1a2 + + + + .debug_str + 0xe61 + 0xe61 + 0x15b + + + + .debug_str + 0xfbc + 0xfbc + 0xef + + + + .debug_str + 0x10ab + 0x10ab + 0x13f + + + + .debug_str + 0x11ea + 0x11ea + 0x140 + + + + .debug_str + 0x132a + 0x132a + 0x16e + + + + .debug_str + 0x1498 + 0x1498 + 0x155 + + + + .debug_str + 0x15ed + 0x15ed + 0x147 + + + + .debug_str + 0x1734 + 0x1734 + 0x161 + + + + .debug_str + 0x1895 + 0x1895 + 0x146 + + + + .debug_str + 0x19db + 0x19db + 0xed + + + + .debug_str + 0x1ac8 + 0x1ac8 + 0x14c + + + + .debug_str + 0x1c14 + 0x1c14 + 0x147 + + + + .debug_str + 0x1d5b + 0x1d5b + 0x197 + + + + .debug_str + 0x1ef2 + 0x1ef2 + 0x105 + + + + .debug_str + 0x1ff7 + 0x1ff7 + 0x10b + + + + .debug_str + 0x2102 + 0x2102 + 0x140 + + + + .debug_str + 0x2242 + 0x2242 + 0x118 + + + + .debug_str + 0x235a + 0x235a + 0x16b + + + + .debug_str + 0x24c5 + 0x24c5 + 0x158 + + + + .debug_str + 0x261d + 0x261d + 0xf7 + + + + .debug_str + 0x2714 + 0x2714 + 0x10a + + + + .debug_str + 0x281e + 0x281e + 0x140 + + + + .debug_str + 0x295e + 0x295e + 0x18a + + + + .debug_str + 0x2ae8 + 0x2ae8 + 0x13d + + + + .debug_str + 0x2c25 + 0x2c25 + 0x110 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x28 + + + + .debug_aranges + 0xa8 + 0xa8 + 0x20 + + + + .debug_aranges + 0xc8 + 0xc8 + 0x20 + + + + .debug_aranges + 0xe8 + 0xe8 + 0x20 + + + + .debug_aranges + 0x108 + 0x108 + 0x40 + + + + .debug_aranges + 0x148 + 0x148 + 0x20 + + + + .debug_aranges + 0x168 + 0x168 + 0x20 + + + + .debug_aranges + 0x188 + 0x188 + 0x20 + + + + .debug_aranges + 0x1a8 + 0x1a8 + 0x40 + + + + .debug_aranges + 0x1e8 + 0x1e8 + 0x20 + + + + .debug_aranges + 0x208 + 0x208 + 0x20 + + + + .debug_aranges + 0x228 + 0x228 + 0x40 + + + + .debug_aranges + 0x268 + 0x268 + 0x40 + + + + .debug_aranges + 0x2a8 + 0x2a8 + 0x20 + + + + .debug_aranges + 0x2c8 + 0x2c8 + 0x40 + + + + .debug_aranges + 0x308 + 0x308 + 0x20 + + + + .debug_aranges + 0x328 + 0x328 + 0x20 + + + + .debug_aranges + 0x348 + 0x348 + 0x20 + + + + .debug_aranges + 0x368 + 0x368 + 0x28 + + + + .debug_aranges + 0x390 + 0x390 + 0x20 + + + + .debug_aranges + 0x3b0 + 0x3b0 + 0x20 + + + + .debug_aranges + 0x3d0 + 0x3d0 + 0x20 + + + + .debug_aranges + 0x3f0 + 0x3f0 + 0x20 + + + + .debug_aranges + 0x410 + 0x410 + 0x20 + + + + .debug_aranges + 0x430 + 0x430 + 0x20 + + + + .debug_aranges + 0x450 + 0x450 + 0x28 + + + + .debug_aranges + 0x478 + 0x478 + 0x20 + + + + .debug_aranges + 0x498 + 0x498 + 0x20 + + + + .debug_aranges + 0x4b8 + 0x4b8 + 0x20 + + + + .debug_aranges + 0x4d8 + 0x4d8 + 0x20 + + + + .debug_aranges + 0x4f8 + 0x4f8 + 0x20 + + + + .debug_aranges + 0x518 + 0x518 + 0x20 + + + + .debug_aranges + 0x538 + 0x538 + 0x20 + + + + .debug_aranges + 0x558 + 0x558 + 0x20 + + + + .debug_aranges + 0x578 + 0x578 + 0x20 + + + + .debug_aranges + 0x598 + 0x598 + 0x20 + + + + .debug_aranges + 0x5b8 + 0x5b8 + 0x20 + + + + .debug_aranges + 0x5d8 + 0x5d8 + 0x20 + + + + .debug_aranges + 0x5f8 + 0x5f8 + 0x20 + + + + .debug_aranges + 0x618 + 0x618 + 0x20 + + + + .debug_aranges + 0x638 + 0x638 + 0x20 + + + + .debug_aranges + 0x658 + 0x658 + 0x30 + + + + .debug_aranges + 0x688 + 0x688 + 0x20 + + + + .debug_aranges + 0x6a8 + 0x6a8 + 0x20 + + + + .debug_aranges + 0x6c8 + 0x6c8 + 0x20 + + + + .debug_aranges + 0x6e8 + 0x6e8 + 0x20 + + + + .debug_aranges + 0x708 + 0x708 + 0x28 + + + + .debug_aranges + 0x730 + 0x730 + 0x20 + + + + .debug_aranges + 0x750 + 0x750 + 0x20 + + + + .debug_aranges + 0x770 + 0x770 + 0x20 + + + + .debug_aranges + 0x790 + 0x790 + 0x20 + + + + .debug_aranges + 0x7b0 + 0x7b0 + 0x20 + + + + .debug_aranges + 0x7d0 + 0x7d0 + 0x20 + + + + .debug_aranges + 0x7f0 + 0x7f0 + 0x28 + + + + .debug_aranges + 0x818 + 0x818 + 0x20 + + + + .debug_aranges + 0x838 + 0x838 + 0x20 + + + + .debug_aranges + 0x858 + 0x858 + 0x20 + + + + .debug_aranges + 0x878 + 0x878 + 0x20 + + + + .debug_aranges + 0x898 + 0x898 + 0x20 + + + + .debug_aranges + 0x8b8 + 0x8b8 + 0x20 + + + + .debug_aranges + 0x8d8 + 0x8d8 + 0x20 + + + + .debug_aranges + 0x8f8 + 0x8f8 + 0x20 + + + + .debug_aranges + 0x918 + 0x918 + 0x20 + + + + .debug_aranges + 0x938 + 0x938 + 0x20 + + + + .debug_aranges + 0x958 + 0x958 + 0x20 + + + + .debug_aranges + 0x978 + 0x978 + 0x20 + + + + .debug_aranges + 0x998 + 0x998 + 0x20 + + + + .debug_aranges + 0x9b8 + 0x9b8 + 0x20 + + + + .debug_aranges + 0x9d8 + 0x9d8 + 0x20 + + + + .debug_aranges + 0x9f8 + 0x9f8 + 0x20 + + + + .debug_aranges + 0xa18 + 0xa18 + 0x20 + + + + .debug_aranges + 0xa38 + 0xa38 + 0x20 + + + + .debug_aranges + 0xa58 + 0xa58 + 0x20 + + + + .debug_aranges + 0xa78 + 0xa78 + 0x20 + + + + .debug_aranges + 0xa98 + 0xa98 + 0x20 + + + + .debug_aranges + 0xab8 + 0xab8 + 0x20 + + + + .debug_aranges + 0xad8 + 0xad8 + 0x20 + + + + .debug_aranges + 0xaf8 + 0xaf8 + 0x20 + + + + .debug_aranges + 0xb18 + 0xb18 + 0x20 + + + + .debug_aranges + 0xb38 + 0xb38 + 0x20 + + + + .debug_aranges + 0xb58 + 0xb58 + 0x20 + + + + .debug_aranges + 0xb78 + 0xb78 + 0x20 + + + + .debug_aranges + 0xb98 + 0xb98 + 0x20 + + + + .debug_aranges + 0xbb8 + 0xbb8 + 0x20 + + + + .debug_aranges + 0xbd8 + 0xbd8 + 0x20 + + + + .debug_aranges + 0xbf8 + 0xbf8 + 0x20 + + + + .debug_aranges + 0xc18 + 0xc18 + 0x20 + + + + .debug_aranges + 0xc38 + 0xc38 + 0x20 + + + + .debug_aranges + 0xc58 + 0xc58 + 0x20 + + + + .debug_aranges + 0xc78 + 0xc78 + 0x20 + + + + .debug_aranges + 0xc98 + 0xc98 + 0x20 + + + + .debug_aranges + 0xcb8 + 0xcb8 + 0x20 + + + + .debug_aranges + 0xcd8 + 0xcd8 + 0x20 + + + + .debug_aranges + 0xcf8 + 0xcf8 + 0x20 + + + + .debug_aranges + 0xd18 + 0xd18 + 0x20 + + + + .debug_aranges + 0xd38 + 0xd38 + 0x20 + + + + .debug_aranges + 0xd58 + 0xd58 + 0x20 + + + + .debug_aranges + 0xd78 + 0xd78 + 0x20 + + + + .debug_aranges + 0xd98 + 0xd98 + 0x20 + + + + .debug_aranges + 0xdb8 + 0xdb8 + 0x20 + + + + .debug_aranges + 0xdd8 + 0xdd8 + 0x20 + + + + .debug_aranges + 0xdf8 + 0xdf8 + 0x20 + + + + .debug_aranges + 0xe18 + 0xe18 + 0x20 + + + + .debug_aranges + 0xe38 + 0xe38 + 0x20 + + + + .debug_aranges + 0xe58 + 0xe58 + 0x20 + + + + .debug_aranges + 0xe78 + 0xe78 + 0x20 + + + + .debug_aranges + 0xe98 + 0xe98 + 0x20 + + + + .debug_aranges + 0xeb8 + 0xeb8 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1e + + + + .debug_pubnames + 0x1e + 0x1e + 0x1b + + + + .debug_pubnames + 0x39 + 0x39 + 0x1c + + + + .debug_pubnames + 0x55 + 0x55 + 0x1c + + + + .debug_pubnames + 0x71 + 0x71 + 0x1d + + + + .debug_pubnames + 0x8e + 0x8e + 0x21 + + + + .debug_pubnames + 0xaf + 0xaf + 0x25 + + + + .debug_pubnames + 0xd4 + 0xd4 + 0x1e + + + + .debug_pubnames + 0xf2 + 0xf2 + 0x24 + + + + .debug_pubnames + 0x116 + 0x116 + 0x1b + + + + .debug_pubnames + 0x131 + 0x131 + 0x1c + + + + .debug_pubnames + 0x14d + 0x14d + 0x1c + + + + .debug_pubnames + 0x169 + 0x169 + 0x1f + + + + .debug_pubnames + 0x188 + 0x188 + 0x1b + + + + .debug_pubnames + 0x1a3 + 0x1a3 + 0x1c + + + + .debug_pubnames + 0x1bf + 0x1bf + 0x1f + + + + .debug_pubnames + 0x1de + 0x1de + 0x1f + + + + .debug_pubnames + 0x1fd + 0x1fd + 0x1b + + + + .debug_pubnames + 0x218 + 0x218 + 0x1f + + + + .debug_pubnames + 0x237 + 0x237 + 0x22 + + + + .debug_pubnames + 0x259 + 0x259 + 0x20 + + + + .debug_pubnames + 0x279 + 0x279 + 0x21 + + + + .debug_pubnames + 0x29a + 0x29a + 0x22 + + + + .debug_pubnames + 0x2bc + 0x2bc + 0x23 + + + + .debug_pubnames + 0x2df + 0x2df + 0x1c + + + + .debug_pubnames + 0x2fb + 0x2fb + 0x1c + + + + .debug_pubnames + 0x317 + 0x317 + 0x22 + + + + .debug_pubnames + 0x339 + 0x339 + 0x1e + + + + .debug_pubnames + 0x357 + 0x357 + 0x1d + + + + .debug_pubnames + 0x374 + 0x374 + 0x1c + + + + .debug_pubnames + 0x390 + 0x390 + 0x1d + + + + .debug_pubnames + 0x3ad + 0x3ad + 0x24 + + + + .debug_pubnames + 0x3d1 + 0x3d1 + 0x24 + + + + .debug_pubnames + 0x3f5 + 0x3f5 + 0x25 + + + + .debug_pubnames + 0x41a + 0x41a + 0x2b + + + + .debug_pubnames + 0x445 + 0x445 + 0x2b + + + + .debug_pubnames + 0x470 + 0x470 + 0x24 + + + + .debug_pubnames + 0x494 + 0x494 + 0x24 + + + + .debug_pubnames + 0x4b8 + 0x4b8 + 0x29 + + + + .debug_pubnames + 0x4e1 + 0x4e1 + 0x29 + + + + .debug_pubnames + 0x50a + 0x50a + 0x2b + + + + .debug_pubnames + 0x535 + 0x535 + 0x2a + + + + .debug_pubnames + 0x55f + 0x55f + 0x1d + + + + .debug_pubnames + 0x57c + 0x57c + 0x26 + + + + .debug_pubnames + 0x5a2 + 0x5a2 + 0x3e + + + + .debug_pubnames + 0x5e0 + 0x5e0 + 0x2e + + + + .debug_pubnames + 0x60e + 0x60e + 0x27 + + + + .debug_pubnames + 0x635 + 0x635 + 0x25 + + + + .debug_pubnames + 0x65a + 0x65a + 0x25 + + + + .debug_pubnames + 0x67f + 0x67f + 0x26 + + + + .debug_pubnames + 0x6a5 + 0x6a5 + 0x27 + + + + .debug_pubnames + 0x6cc + 0x6cc + 0x29 + + + + .debug_pubnames + 0x6f5 + 0x6f5 + 0x2b + + + + .debug_pubnames + 0x720 + 0x720 + 0x2b + + + + .debug_pubnames + 0x74b + 0x74b + 0x24 + + + + .debug_pubnames + 0x76f + 0x76f + 0x24 + + + + .debug_pubnames + 0x793 + 0x793 + 0x24 + + + + .debug_pubnames + 0x7b7 + 0x7b7 + 0x25 + + + + .debug_pubnames + 0x7dc + 0x7dc + 0x26 + + + + .debug_pubnames + 0x802 + 0x802 + 0x25 + + + + .debug_pubnames + 0x827 + 0x827 + 0x26 + + + + .debug_pubnames + 0x84d + 0x84d + 0x23 + + + + .debug_pubnames + 0x870 + 0x870 + 0x24 + + + + .debug_pubnames + 0x894 + 0x894 + 0x24 + + + + .debug_pubnames + 0x8b8 + 0x8b8 + 0x24 + + + + .debug_pubnames + 0x8dc + 0x8dc + 0x36 + + + + .debug_pubnames + 0x912 + 0x912 + 0x1c + + + + .debug_pubnames + 0x92e + 0x92e + 0x1b + + + + .debug_pubnames + 0x949 + 0x949 + 0x1c + + + + .debug_pubnames + 0x965 + 0x965 + 0x1e + + + + .debug_pubnames + 0x983 + 0x983 + 0x1b + + + + .debug_pubnames + 0x99e + 0x99e + 0x20 + + + + .debug_pubnames + 0x9be + 0x9be + 0x1b + + + + .debug_pubnames + 0x9d9 + 0x9d9 + 0x1f + + + + .debug_pubnames + 0x9f8 + 0x9f8 + 0x23 + + + + .debug_pubnames + 0xa1b + 0xa1b + 0x1e + + + + .debug_pubnames + 0xa39 + 0xa39 + 0x22 + + + + .debug_pubnames + 0xa5b + 0xa5b + 0x1e + + + + .debug_pubnames + 0xa79 + 0xa79 + 0x1e + + + + .debug_pubnames + 0xa97 + 0xa97 + 0x1d + + + + .debug_pubnames + 0xab4 + 0xab4 + 0x1d + + + + .debug_pubnames + 0xad1 + 0xad1 + 0x22 + + + + .debug_pubnames + 0xaf3 + 0xaf3 + 0x2c + + + + .debug_pubnames + 0xb1f + 0xb1f + 0x1f + + + + .debug_pubnames + 0xb3e + 0xb3e + 0x1d + + + + .debug_pubnames + 0xb5b + 0xb5b + 0x27 + + + + .debug_pubnames + 0xb82 + 0xb82 + 0x27 + + + + .debug_pubnames + 0xba9 + 0xba9 + 0x1b + + + + .debug_pubnames + 0xbc4 + 0xbc4 + 0x1c + + + + .debug_pubnames + 0xbe0 + 0xbe0 + 0x24 + + + + .debug_pubnames + 0xc04 + 0xc04 + 0x1d + + + + .debug_pubnames + 0xc21 + 0xc21 + 0x1d + + + + .debug_pubnames + 0xc3e + 0xc3e + 0x1d + + + + .debug_pubnames + 0xc5b + 0xc5b + 0x1e + + + + .debug_pubnames + 0xc79 + 0xc79 + 0x1c + + + + .debug_pubnames + 0xc95 + 0xc95 + 0x1e + + + + .debug_pubnames + 0xcb3 + 0xcb3 + 0x1e + + + + .debug_pubnames + 0xcd1 + 0xcd1 + 0x1a + + + + .debug_pubnames + 0xceb + 0xceb + 0x1c + + + + .debug_pubnames + 0xd07 + 0xd07 + 0x23 + + + + .debug_pubnames + 0xd2a + 0xd2a + 0x23 + + + + .debug_pubnames + 0xd4d + 0xd4d + 0x1c + + + + .debug_pubnames + 0xd69 + 0xd69 + 0x1f + + + + .debug_pubnames + 0xd88 + 0xd88 + 0x27 + + + + .debug_pubnames + 0xdaf + 0xdaf + 0x25 + + + + .debug_pubnames + 0xdd4 + 0xdd4 + 0x27 + + + + .debug_pubnames + 0xdfb + 0xdfb + 0x24 + + + + .debug_pubnames + 0xe1f + 0xe1f + 0x27 + + + + .debug_pubnames + 0xe46 + 0xe46 + 0x25 + + + + .debug_pubnames + 0xe6b + 0xe6b + 0x25 + + + + .debug_pubnames + 0xe90 + 0xe90 + 0x23 + + + + .debug_pubnames + 0xeb3 + 0xeb3 + 0x20 + + + + .debug_pubnames + 0xed3 + 0xed3 + 0x20 + + + + .debug_pubnames + 0xef3 + 0xef3 + 0x1e + + + + .debug_pubnames + 0xf11 + 0xf11 + 0x1f + + + + .debug_pubnames + 0xf30 + 0xf30 + 0x1f + + + + .debug_pubnames + 0xf4f + 0xf4f + 0x21 + + + + .debug_pubnames + 0xf70 + 0xf70 + 0x21 + + + + .debug_pubnames + 0xf91 + 0xf91 + 0x20 + + + + .debug_pubnames + 0xfb1 + 0xfb1 + 0x1f + + + + .debug_pubnames + 0xfd0 + 0xfd0 + 0x24 + + + + .debug_pubnames + 0xff4 + 0xff4 + 0x23 + + + + .debug_pubnames + 0x1017 + 0x1017 + 0x1c + + + + .debug_pubnames + 0x1033 + 0x1033 + 0x25 + + + + .debug_pubnames + 0x1058 + 0x1058 + 0x21 + + + + .debug_pubnames + 0x1079 + 0x1079 + 0x20 + + + + .debug_pubnames + 0x1099 + 0x1099 + 0x1d + + + + .debug_pubnames + 0x10b6 + 0x10b6 + 0x1d + + + + .debug_pubnames + 0x10d3 + 0x10d3 + 0x1e + + + + .debug_pubnames + 0x10f1 + 0x10f1 + 0x1c + + + + .debug_pubnames + 0x110d + 0x110d + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xed + + + + .debug_pubtypes + 0x349 + 0x349 + 0x320 + + + + .debug_pubtypes + 0x669 + 0x669 + 0x3d + + + + .debug_pubtypes + 0x6a6 + 0x6a6 + 0x1e + + + + .debug_pubtypes + 0x6c4 + 0x6c4 + 0x27 + + + + .debug_pubtypes + 0x6eb + 0x6eb + 0x1e + + + + .debug_pubtypes + 0x709 + 0x709 + 0x2c + + + + .debug_pubtypes + 0x735 + 0x735 + 0x97 + + + + .debug_pubtypes + 0x7cc + 0x7cc + 0x3b + + + + .debug_pubtypes + 0x807 + 0x807 + 0x38 + + + + .debug_pubtypes + 0x83f + 0x83f + 0x1d + + + + .debug_pubtypes + 0x85c + 0x85c + 0x1d + + + + .debug_pubtypes + 0x879 + 0x879 + 0x32 + + + + .debug_pubtypes + 0x8ab + 0x8ab + 0x2e + + + + .debug_pubtypes + 0x8d9 + 0x8d9 + 0x29 + + + + .debug_pubtypes + 0x902 + 0x902 + 0x3e + + + + .debug_pubtypes + 0x940 + 0x940 + 0x1e + + + + .debug_pubtypes + 0x95e + 0x95e + 0x32 + + + + .debug_pubtypes + 0x990 + 0x990 + 0x21 + + + + .debug_pubtypes + 0x9b1 + 0x9b1 + 0x1f + + + + .debug_pubtypes + 0x9d0 + 0x9d0 + 0x50 + + + + .debug_pubtypes + 0xa20 + 0xa20 + 0x48 + + + + .debug_pubtypes + 0xa68 + 0xa68 + 0x48 + + + + .debug_pubtypes + 0xab0 + 0xab0 + 0x1d + + + + .debug_pubtypes + 0xacd + 0xacd + 0x5d + + + + .debug_pubtypes + 0xb2a + 0xb2a + 0x48 + + + + .debug_pubtypes + 0xb72 + 0xb72 + 0x35 + + + + .debug_pubtypes + 0xba7 + 0xba7 + 0x30 + + + + .debug_pubtypes + 0xbd7 + 0xbd7 + 0x26 + + + + .debug_pubtypes + 0xbfd + 0xbfd + 0x1d + + + + .debug_pubtypes + 0xc1a + 0xc1a + 0x2e + + + + .debug_pubtypes + 0xc48 + 0xc48 + 0x1c + + + + .debug_pubtypes + 0xc64 + 0xc64 + 0x1e + + + + + + .bss + 0x2876 + 0xaa + + + + + + + + .data + 0x2778 + 0xfe + + + + + + + + + + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x2400 + 0x258 + + + + + + + .stack + 0x4360 + 0xa0 + + + + + + + .text + 0x4400 + 0x4400 + 0x4714 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x8c62 + 0x8c62 + 0x8 + + + + + + .cinit + 0x8c6a + 0x8c6a + 0x56 + + + + + + + + + .const + 0x8b14 + 0x8b14 + 0x14e + + + + + + + + + .cio + 0x2658 + 0x120 + + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x16843 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x6268 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x1e40 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x52d6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x2d35 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0xed8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x112a + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xc82 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SEGMENT_0 + 0x2400 + 0x520 + 0x6 + + + + + + + + + SEGMENT_1 + 0x4360 + 0xa0 + 0x6 + + + + + + SEGMENT_2 + 0x4400 + 0x4400 + 0x48c0 + 0x5 + + + + + + + + + SEGMENT_3 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x5c0 + 0x1a40 + RWIX + + + 0x2400 + 0x258 + + + + 0x2658 + 0x120 + + + + 0x2778 + 0xfe + + + + 0x2876 + 0xaa + + + + 0x2920 + 0x1a40 + + + 0x4360 + 0xa0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x48c0 + 0x72c0 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x4714 + + + + 0x8b14 + 0x14e + + + + 0x8c62 + 0x8 + + + + 0x8c6a + 0x56 + + + + 0x8cc0 + 0x72c0 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x8c6a + 0x44 + 0x2778 + 0xfe + lzss + + + .bss + 0x8cb4 + 0x4 + 0x2876 + 0xaa + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __TI_CINIT_Base + 0x8cb8 + + + __TI_CINIT_Limit + 0x8cc0 + + + __TI_Handler_Table_Base + 0x8cae + + + __TI_Handler_Table_Limit + 0x8cb4 + + + __STACK_SIZE + 0xa0 + + + __STACK_END + 0x4400 + + + __SYSMEM_SIZE + 0x258 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + main + 0x79b4 + + + + printf + 0x864e + + + + __TI_printfi + 0x55ce + + + + putc + 0x7924 + + + + fputc + 0x7924 + + + + fputs + 0x717e + + + + __TI_wrt_ok + 0x7c5a + + + + setvbuf + 0x74f6 + + + + wcslen + 0x8a52 + + + + frexpl + 0x768a + + + + frexp + 0x768a + + + + scalbn + 0x6bac + + + + scalbnl + 0x6bac + + + + ldexpl + 0x6bac + + + + ldexp + 0x6bac + + + + __mspabi_srai + 0x87a8 + + + + __mspabi_srai_8 + 0x87c2 + + + + __mspabi_srai_9 + 0x87c0 + + + + __mspabi_srai_6 + 0x87c6 + + + + __mspabi_srai_7 + 0x87c4 + + + + __mspabi_srai_4 + 0x87ca + + + + __mspabi_srai_5 + 0x87c8 + + + + __mspabi_srai_2 + 0x87ce + + + + __mspabi_srai_3 + 0x87cc + + + + __mspabi_srai_1 + 0x87d0 + + + + __mspabi_srai_15 + 0x87b4 + + + + __mspabi_srai_14 + 0x87b6 + + + + __mspabi_srai_13 + 0x87b8 + + + + __mspabi_srai_12 + 0x87ba + + + + __mspabi_srai_11 + 0x87bc + + + + __mspabi_srai_10 + 0x87be + + + + __mspabi_remu + 0x89ec + + + + __mspabi_divu + 0x89ec + + + + __mspabi_remul + 0x8268 + + + + __mspabi_divul + 0x8268 + + + + __mspabi_func_epilog_2 + 0x8aa6 + + + + __mspabi_func_epilog_3 + 0x8aa4 + + + + __mspabi_func_epilog_1 + 0x8aa8 + + + + __mspabi_func_epilog_6 + 0x8a9e + + + + __mspabi_func_epilog_7 + 0x8a9c + + + + __mspabi_func_epilog_4 + 0x8aa2 + + + + __mspabi_func_epilog_5 + 0x8aa0 + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x8c62 + + + + __mspabi_slli + 0x87d4 + + + + __mspabi_slli_9 + 0x87ec + + + + __mspabi_slli_8 + 0x87ee + + + + __mspabi_slli_7 + 0x87f0 + + + + __mspabi_slli_6 + 0x87f2 + + + + __mspabi_slli_5 + 0x87f4 + + + + __mspabi_slli_4 + 0x87f6 + + + + __mspabi_slli_3 + 0x87f8 + + + + __mspabi_slli_2 + 0x87fa + + + + __mspabi_slli_1 + 0x87fc + + + + __mspabi_slli_15 + 0x87e0 + + + + __mspabi_slli_14 + 0x87e2 + + + + __mspabi_slli_13 + 0x87e4 + + + + __mspabi_slli_12 + 0x87e6 + + + + __mspabi_slli_11 + 0x87e8 + + + + __mspabi_slli_10 + 0x87ea + + + + __mspabi_srli_8 + 0x838a + + + + __mspabi_srli_9 + 0x8386 + + + + __mspabi_srli_6 + 0x8392 + + + + __mspabi_srli_7 + 0x838e + + + + __mspabi_srli_4 + 0x839a + + + + __mspabi_srli_5 + 0x8396 + + + + __mspabi_srli_2 + 0x83a2 + + + + __mspabi_srli_3 + 0x839e + + + + __mspabi_srli_1 + 0x83a6 + + + + __mspabi_srli + 0x8360 + + + + __mspabi_srli_15 + 0x836e + + + + __mspabi_srli_14 + 0x8372 + + + + __mspabi_srli_13 + 0x8376 + + + + __mspabi_srli_12 + 0x837a + + + + __mspabi_srli_11 + 0x837e + + + + __mspabi_srli_10 + 0x8382 + + + + __mspabi_mpyi_f5hw + 0x8a3e + + + + __mspabi_mpyl_f5hw + 0x8962 + + + + __mspabi_mpyull_f5hw + 0x8856 + + + + __mspabi_mpyll_f5hw + 0x80fe + + + + _stack + 0x4360 + + + + _c_int00_noargs + 0x8982 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x81b8 + + + + __TI_zero_init_nomemset + 0x8a02 + + + + __TI_dtors_ptr + 0x2868 + + + + __TI_cleanup_ptr + 0x2866 + + + + __mspabi_srlll + 0x8440 + + + + __mspabi_divull + 0x4fae + + + + _system_pre_init + 0x8b0c + + + + _system_post_cinit + 0x8b12 + + + + __TI_decompress_none + 0x8a66 + + + + __TI_decompress_lzss + 0x7bde + + + + __mspabi_addd + 0x4400 + + + + __mspabi_cmpd + 0x7348 + + + + __mspabi_divd + 0x5f5a + + + + __mspabi_fixdi + 0x887e + + + + __mspabi_fixdli + 0x7dc0 + + + + __mspabi_fltid + 0x8aca + + + + __mspabi_fltlid + 0x773a + + + + __TI_frcdivd + 0x4b36 + + + + __mspabi_mpyd + 0x530e + + + + __mspabi_negd + 0x882c + + + + __mspabi_subd + 0x8720 + + + + C$$EXIT + 0x8b02 + + + + abort + 0x8b02 + + + + __TI_enable_exit_profile_output + 0x2872 + + + + exit + 0x850e + + + + _nop + 0x8b10 + + + + _lock + 0x286a + + + + _unlock + 0x286c + + + + __TI_ltoa + 0x7b5a + + + + atoi + 0x82c0 + + + + _ctypes_ + 0x8b14 + + + + __TI_tmpnams + 0x2876 + + + _ftable + 0x2778 + + + + __TI_ft_end + 0x286e + + + + islower + 0x8aec + + + + memccpy + 0x8940 + + + + memchr + 0x8a16 + + + + memcpy + 0x8a8a + + + + _sys_memory + 0x2400 + + + + free + 0x7424 + + + + memalign + 0x7088 + + + + malloc + 0x8af4 + + + + aligned_alloc + 0x7088 + + + + memset + 0x8a2a + + + + strchr + 0x89ba + + + + strlen + 0x8abc + + + + toupper + 0x8ad6 + + + + write + 0x891c + + + + _stream + 0x283e + + + + _device + 0x27f0 + + + + abs + 0x8ae2 + + + + errno + 0x2870 + + + + __TI_doflush + 0x803c + + + + __TI_cleanup + 0x868a + + + + fseek + 0x7e32 + + + + copysign + 0x874e + + + + copysignl + 0x874e + + + + __mspabi_sral_15 + 0x85d2 + + + + __mspabi_sral_14 + 0x85d6 + + + + __mspabi_sral_13 + 0x85da + + + + __mspabi_sral_12 + 0x85de + + + + __mspabi_sral_11 + 0x85e2 + + + + __mspabi_sral_10 + 0x85e6 + + + + __mspabi_sral_8 + 0x85ee + + + + __mspabi_sral_9 + 0x85ea + + + + __mspabi_sral_6 + 0x85f6 + + + + __mspabi_sral_7 + 0x85f2 + + + + __mspabi_sral_4 + 0x85fe + + + + __mspabi_sral_5 + 0x85fa + + + + __mspabi_sral_2 + 0x8606 + + + + __mspabi_sral_3 + 0x8602 + + + + __mspabi_sral_1 + 0x860a + + + + __mspabi_remli + 0x8592 + + + + __mspabi_divli + 0x8592 + + + + __mspabi_slll_9 + 0x8628 + + + + __mspabi_slll_8 + 0x862c + + + + __mspabi_slll_7 + 0x8630 + + + + __mspabi_slll_6 + 0x8634 + + + + __mspabi_slll_5 + 0x8638 + + + + __mspabi_slll_4 + 0x863c + + + + __mspabi_slll_3 + 0x8640 + + + + __mspabi_slll_2 + 0x8644 + + + + __mspabi_slll_1 + 0x8648 + + + + __mspabi_slll_15 + 0x8610 + + + + __mspabi_slll_14 + 0x8614 + + + + __mspabi_slll_13 + 0x8618 + + + + __mspabi_slll_12 + 0x861c + + + + __mspabi_slll_11 + 0x8620 + + + + __mspabi_slll_10 + 0x8624 + + + + __mspabi_srll_8 + 0x8186 + + + + __mspabi_srll_9 + 0x8180 + + + + __mspabi_srll_6 + 0x8192 + + + + __mspabi_srll_7 + 0x818c + + + + __mspabi_srll_4 + 0x819e + + + + __mspabi_srll_5 + 0x8198 + + + + __mspabi_srll_2 + 0x81aa + + + + __mspabi_srll_3 + 0x81a4 + + + + __mspabi_srll_1 + 0x81b0 + + + + __mspabi_srll_15 + 0x815c + + + + __mspabi_srll_14 + 0x8162 + + + + __mspabi_srll_13 + 0x8168 + + + + __mspabi_srll_12 + 0x816e + + + + __mspabi_srll_11 + 0x8174 + + + + __mspabi_srll_10 + 0x817a + + + + __mspabi_srll + 0x8a78 + + + + __mspabi_srall + 0x83ac + + + + __mspabi_sllll + 0x84ca + + + + __TI_frcmpyd + 0x6a3a + + + + HOSTclose + 0x8486 + + + + HOSTlseek + 0x7ad2 + + + + parmbuf + 0x2916 + + + HOSTopen + 0x7f74 + + + + HOSTread + 0x809e + + + + HOSTrename + 0x7ea2 + + + + HOSTunlink + 0x8552 + + + + HOSTwrite + 0x7fda + + + + __TI_readmsg + 0x877c + + + + C$$IO$$ + 0x871c + + + + _CIOBUF_ + 0x2658 + + + + __TI_writemsg + 0x86f2 + + + + lseek + 0x88f6 + + + + __TI_closefile + 0x7d4e + + + + finddevice + 0x86be + + + + getdevice + 0x8210 + + + + strcmp + 0x89d4 + + + + strcpy + 0x8aac + + + + strncpy + 0x8800 + + + + close + 0x83f6 + + + + unlink + 0x88ce + + + + remove + 0x88ce + + + Link successful +
diff --git a/CPE325/L1_Problem2/Debug/ccsObjs.opt b/CPE325/L1_Problem2/Debug/ccsObjs.opt new file mode 100644 index 0000000..7bc0d60 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./problem2.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/L1_Problem2/Debug/makefile b/CPE325/L1_Problem2/Debug/makefile new file mode 100644 index 0000000..cb903c6 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/makefile @@ -0,0 +1,168 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./problem2.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +L1_Problem2.out \ + +EXE_OUTPUTS__QUOTED += \ +"L1_Problem2.out" \ + +BIN_OUTPUTS += \ +L1_Problem2.hex \ + +BIN_OUTPUTS__QUOTED += \ +"L1_Problem2.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "L1_Problem2.out" + +# Tool invocations +L1_Problem2.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing -z -m"L1_Problem2.map" --heap_size=600 --stack_size=160 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="L1_Problem2_linkInfo.xml" --use_hw_mpy=F5 --rom_model -o "L1_Problem2.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +L1_Problem2.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "L1_Problem2.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "problem2.lst" + -$(RM) "problem2.obj" + -$(RM) "problem2.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/L1_Problem2/Debug/objects.mk b/CPE325/L1_Problem2/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/L1_Problem2/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/L1_Problem2/Debug/problem2.d b/CPE325/L1_Problem2/Debug/problem2.d new file mode 100644 index 0000000..3a8ae07 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/problem2.d @@ -0,0 +1,48 @@ +# FIXED + +problem2.obj: ../problem2.c +problem2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +problem2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +problem2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/ctype.h +problem2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_isfuncdcl.h + +../problem2.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/ctype.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_isfuncdcl.h: + diff --git a/CPE325/L1_Problem2/Debug/problem2.lst b/CPE325/L1_Problem2/Debug/problem2.lst new file mode 100644 index 0000000..3aab8b2 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/problem2.lst @@ -0,0 +1,1576 @@ +MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Fri Aug 27 14:36:08 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../problem2.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\L1_Problem2\Debug") + 12 000000 .sect ".const:.string:$P$T0$1" + 13 .align 2 + 14 .elfsym $P$T0$1,SYM_SIZE(34) + 15 000000 $P$T0$1: + 16 000000 0057 .bits 0x57,8 + 17 ; $P$T0$1[0] @ 0 + 18 000000 6557 .bits 0x65,8 + 19 ; $P$T0$1[1] @ 8 + 20 000002 006C .bits 0x6c,8 + 21 ; $P$T0$1[2] @ 16 + 22 000002 636C .bits 0x63,8 + 23 ; $P$T0$1[3] @ 24 + 24 000004 006F .bits 0x6f,8 + 25 ; $P$T0$1[4] @ 32 + 26 000004 6D6F .bits 0x6d,8 + 27 ; $P$T0$1[5] @ 40 + 28 000006 0065 .bits 0x65,8 + 29 ; $P$T0$1[6] @ 48 + 30 000006 2065 .bits 0x20,8 + 31 ; $P$T0$1[7] @ 56 + 32 000008 0074 .bits 0x74,8 + 33 ; $P$T0$1[8] @ 64 + 34 000008 6F74 .bits 0x6f,8 + 35 ; $P$T0$1[9] @ 72 + 36 00000a 0020 .bits 0x20,8 + 37 ; $P$T0$1[10] @ 80 + 38 00000a 4320 .bits 0x43,8 + 39 ; $P$T0$1[11] @ 88 + 40 00000c 0050 .bits 0x50,8 + 41 ; $P$T0$1[12] @ 96 + 42 00000c 4550 .bits 0x45,8 + 43 ; $P$T0$1[13] @ 104 + 44 00000e 0020 .bits 0x20,8 + 45 ; $P$T0$1[14] @ 112 + 46 00000e 3320 .bits 0x33,8 + 47 ; $P$T0$1[15] @ 120 + 48 000010 0032 .bits 0x32,8 + 49 ; $P$T0$1[16] @ 128 + 50 000010 3532 .bits 0x35,8 + 51 ; $P$T0$1[17] @ 136 + 52 000012 0020 .bits 0x20,8 + 53 ; $P$T0$1[18] @ 144 + 54 000012 6920 .bits 0x69,8 + 55 ; $P$T0$1[19] @ 152 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 2 + + 56 000014 006E .bits 0x6e,8 + 57 ; $P$T0$1[20] @ 160 + 58 000014 206E .bits 0x20,8 + 59 ; $P$T0$1[21] @ 168 + 60 000016 0046 .bits 0x46,8 + 61 ; $P$T0$1[22] @ 176 + 62 000016 6146 .bits 0x61,8 + 63 ; $P$T0$1[23] @ 184 + 64 000018 006C .bits 0x6c,8 + 65 ; $P$T0$1[24] @ 192 + 66 000018 6C6C .bits 0x6c,8 + 67 ; $P$T0$1[25] @ 200 + 68 00001a 0020 .bits 0x20,8 + 69 ; $P$T0$1[26] @ 208 + 70 00001a 3220 .bits 0x32,8 + 71 ; $P$T0$1[27] @ 216 + 72 00001c 0030 .bits 0x30,8 + 73 ; $P$T0$1[28] @ 224 + 74 00001c 3230 .bits 0x32,8 + 75 ; $P$T0$1[29] @ 232 + 76 00001e 0031 .bits 0x31,8 + 77 ; $P$T0$1[30] @ 240 + 78 00001e 2131 .bits 0x21,8 + 79 ; $P$T0$1[31] @ 248 + 80 000020 0000 .bits 0,8 + 81 ; $P$T0$1[32] @ 256 + 82 + 83 $C$DW$1 .dwtag DW_TAG_variable + 84 .dwattr $C$DW$1, DW_AT_name("$P$T0$1") + 85 .dwattr $C$DW$1, DW_AT_TI_symbol_name("$P$T0$1") + 86 .dwattr $C$DW$1, DW_AT_type(*$C$DW$T$122) + 87 .dwattr $C$DW$1, DW_AT_location[DW_OP_addr $P$T0$1] + 88 .dwattr $C$DW$1, DW_AT_decl_file("../problem2.c") + 89 .dwattr $C$DW$1, DW_AT_decl_line(0x14) + 90 .dwattr $C$DW$1, DW_AT_decl_column(0x0a) + 91 + 92 + 93 $C$DW$2 .dwtag DW_TAG_subprogram + 94 .dwattr $C$DW$2, DW_AT_name("islower") + 95 .dwattr $C$DW$2, DW_AT_TI_symbol_name("islower") + 96 .dwattr $C$DW$2, DW_AT_type(*$C$DW$T$10) + 97 .dwattr $C$DW$2, DW_AT_declaration + 98 .dwattr $C$DW$2, DW_AT_external + 99 .dwattr $C$DW$2, DW_AT_decl_file("C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\in + 100 .dwattr $C$DW$2, DW_AT_decl_line(0x31) + 101 .dwattr $C$DW$2, DW_AT_decl_column(0x0c) + 102 $C$DW$3 .dwtag DW_TAG_formal_parameter + 103 .dwattr $C$DW$3, DW_AT_type(*$C$DW$T$10) + 104 + 105 .dwendtag $C$DW$2 + 106 + 107 + 108 $C$DW$4 .dwtag DW_TAG_subprogram + 109 .dwattr $C$DW$4, DW_AT_name("printf") + 110 .dwattr $C$DW$4, DW_AT_TI_symbol_name("printf") + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 3 + + 111 .dwattr $C$DW$4, DW_AT_type(*$C$DW$T$10) + 112 .dwattr $C$DW$4, DW_AT_declaration + 113 .dwattr $C$DW$4, DW_AT_external + 114 .dwattr $C$DW$4, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/in + 115 .dwattr $C$DW$4, DW_AT_decl_line(0xf6) + 116 .dwattr $C$DW$4, DW_AT_decl_column(0x19) + 117 $C$DW$5 .dwtag DW_TAG_formal_parameter + 118 .dwattr $C$DW$5, DW_AT_type(*$C$DW$T$39) + 119 + 120 $C$DW$6 .dwtag DW_TAG_unspecified_parameters + 121 + 122 .dwendtag $C$DW$4 + 123 + 124 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 125 000000 .sect ".text:main" + 126 .clink + 127 .global main + 128 + 129 $C$DW$7 .dwtag DW_TAG_subprogram + 130 .dwattr $C$DW$7, DW_AT_name("main") + 131 .dwattr $C$DW$7, DW_AT_low_pc(main) + 132 .dwattr $C$DW$7, DW_AT_high_pc(0x00) + 133 .dwattr $C$DW$7, DW_AT_TI_symbol_name("main") + 134 .dwattr $C$DW$7, DW_AT_external + 135 .dwattr $C$DW$7, DW_AT_type(*$C$DW$T$10) + 136 .dwattr $C$DW$7, DW_AT_TI_begin_file("../problem2.c") + 137 .dwattr $C$DW$7, DW_AT_TI_begin_line(0x12) + 138 .dwattr $C$DW$7, DW_AT_TI_begin_column(0x05) + 139 .dwattr $C$DW$7, DW_AT_decl_file("../problem2.c") + 140 .dwattr $C$DW$7, DW_AT_decl_line(0x12) + 141 .dwattr $C$DW$7, DW_AT_decl_column(0x05) + 142 .dwattr $C$DW$7, DW_AT_TI_max_frame_size(0x30) + 143 .dwpsn file "../problem2.c",line 18,column 11,is_stmt,address main,isa 0 + 144 + 145 .dwfde $C$DW$CIE, main + 146 + 147 ;***************************************************************************** + 148 ;* FUNCTION NAME: main * + 149 ;* * + 150 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + 151 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 152 ;* Local Frame Size : 4 Args + 42 Auto + 0 Save = 46 byte * + 153 ;***************************************************************************** + 154 000000 main: + 155 ;* --------------------------------------------------------------------------* + 156 .dwcfi cfa_offset, 2 + 157 .dwcfi save_reg_to_mem, 16, -2 + 158 000000 8031 SUB.W #46,SP ; [] + 000002 002E + 159 .dwcfi cfa_offset, 48 + 160 $C$DW$8 .dwtag DW_TAG_variable + 161 .dwattr $C$DW$8, DW_AT_name("msg") + 162 .dwattr $C$DW$8, DW_AT_TI_symbol_name("msg") + 163 .dwattr $C$DW$8, DW_AT_type(*$C$DW$T$123) + 164 .dwattr $C$DW$8, DW_AT_location[DW_OP_breg1 4] + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 4 + + 165 + 166 $C$DW$9 .dwtag DW_TAG_variable + 167 .dwattr $C$DW$9, DW_AT_name("i") + 168 .dwattr $C$DW$9, DW_AT_TI_symbol_name("i") + 169 .dwattr $C$DW$9, DW_AT_type(*$C$DW$T$10) + 170 .dwattr $C$DW$9, DW_AT_location[DW_OP_breg1 38] + 171 + 172 $C$DW$10 .dwtag DW_TAG_variable + 173 .dwattr $C$DW$10, DW_AT_name("size") + 174 .dwattr $C$DW$10, DW_AT_TI_symbol_name("size") + 175 .dwattr $C$DW$10, DW_AT_type(*$C$DW$T$70) + 176 .dwattr $C$DW$10, DW_AT_location[DW_OP_breg1 40] + 177 + 178 .dwpsn file "../problem2.c",line 20,column 10,is_stmt,isa 0 + 179 000004 410C MOV.W SP,r12 ; [] |20| + 180 000006 522C ADD.W #4,r12 ; [] |20| + 181 000008 403D MOV.W #$P$T0$1+0,r13 ; [] |20| + 00000a 0000! + 182 00000c 403E MOV.W #33,r14 ; [] |20| + 00000e 0021 + 183 $C$DW$11 .dwtag DW_TAG_TI_branch + 184 .dwattr $C$DW$11, DW_AT_low_pc(0x00) + 185 .dwattr $C$DW$11, DW_AT_name("memcpy") + 186 .dwattr $C$DW$11, DW_AT_TI_call + 187 + 188 000010 12B0 CALL #memcpy ; [] |20| + 000012 0000! + 189 ; [] |20| + 190 .dwpsn file "../problem2.c",line 21,column 17,is_stmt,isa 0 + 191 000014 40B1 MOV.W #33,40(SP) ; [] |21| + 000016 0021 + 000018 0028 + 192 .dwpsn file "../problem2.c",line 22,column 10,is_stmt,isa 0 + 193 00001a 4381 MOV.W #0,38(SP) ; [] |22| + 00001c 0026 + 194 .dwpsn file "../problem2.c",line 22,column 15,is_stmt,isa 0 + 195 00001e 9191 CMP.W 40(SP),38(SP) ; [] |22| + 000020 0028 + 000022 0026 + 196 000024 2C28 JHS $C$L4 ; [] |22| + 197 ; [] |22| + 198 ;* --------------------------------------------------------------------------* + 199 ;* BEGIN LOOP $C$L1 + 200 ;* + 201 ;* Loop source line : 22 + 202 ;* Loop closing brace source line : 30 + 203 ;* Known Minimum Trip Count : 1 + 204 ;* Known Maximum Trip Count : 4294967295 + 205 ;* Known Max Trip Count Factor : 1 + 206 ;* --------------------------------------------------------------------------* + 207 $C$L1: + 208 + 209 $C$DW$12 .dwtag DW_TAG_lexical_block + 210 .dwattr $C$DW$12, DW_AT_low_pc(0x00) + 211 .dwattr $C$DW$12, DW_AT_high_pc(0x00) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 5 + + 212 $C$DW$13 .dwtag DW_TAG_variable + 213 .dwattr $C$DW$13, DW_AT_name("str_as_int") + 214 .dwattr $C$DW$13, DW_AT_TI_symbol_name("str_as_int") + 215 .dwattr $C$DW$13, DW_AT_type(*$C$DW$T$10) + 216 .dwattr $C$DW$13, DW_AT_location[DW_OP_breg1 42] + 217 + 218 $C$DW$14 .dwtag DW_TAG_variable + 219 .dwattr $C$DW$14, DW_AT_name("str") + 220 .dwattr $C$DW$14, DW_AT_TI_symbol_name("str") + 221 .dwattr $C$DW$14, DW_AT_type(*$C$DW$T$6) + 222 .dwattr $C$DW$14, DW_AT_location[DW_OP_breg1 44] + 223 + 224 .dwpsn file "../problem2.c",line 23,column 18,is_stmt,isa 0 + 225 000026 410F MOV.W SP,r15 ; [] |23| + 226 000028 522F ADD.W #4,r15 ; [] |23| + 227 00002a 511F ADD.W 38(SP),r15 ; [] |23| + 00002c 0026 + 228 00002e 4FE1 MOV.B @r15,44(SP) ; [] |23| + 000030 002C + 229 .dwpsn file "../problem2.c",line 24,column 23,is_stmt,isa 0 + 230 000032 415F MOV.B 44(SP),r15 ; [] |24| + 000034 002C + 231 000036 4F81 MOV.W r15,42(SP) ; [] |24| + 000038 002A + 232 .dwpsn file "../problem2.c",line 26,column 9,is_stmt,isa 0 + 233 00003a 415C MOV.B 44(SP),r12 ; [] |26| + 00003c 002C + 234 $C$DW$15 .dwtag DW_TAG_TI_branch + 235 .dwattr $C$DW$15, DW_AT_low_pc(0x00) + 236 .dwattr $C$DW$15, DW_AT_name("islower") + 237 .dwattr $C$DW$15, DW_AT_TI_call + 238 + 239 00003e 12B0 CALL #islower ; [] |26| + 000040 0000! + 240 ; [] |26| + 241 000042 930C TST.W r12 ; [] |26| + 242 000044 240B JEQ $C$L2 ; [] |26| + 243 ; [] |26| + 244 ;* --------------------------------------------------------------------------* + 245 .dwpsn file "../problem2.c",line 27,column 13,is_stmt,isa 0 + 246 000046 415E MOV.B 42(SP),r14 ; [] |27| + 000048 002A + 247 00004a 807E SUB.B #32,r14 ; [] |27| + 00004c 0020 + 248 00004e 410F MOV.W SP,r15 ; [] |27| + 249 000050 522F ADD.W #4,r15 ; [] |27| + 250 000052 511F ADD.W 38(SP),r15 ; [] |27| + 000054 0026 + 251 000056 4ECF MOV.B r14,0(r15) ; [] |27| + 000058 0000 + 252 00005a 3C07 JMP $C$L3 ; [] + 253 ; [] + 254 ;* --------------------------------------------------------------------------* + 255 00005c $C$L2: + 256 .dwpsn file "../problem2.c",line 29,column 14,is_stmt,isa 0 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 6 + + 257 00005c 410F MOV.W SP,r15 ; [] |29| + 258 00005e 522F ADD.W #4,r15 ; [] |29| + 259 000060 511F ADD.W 38(SP),r15 ; [] |29| + 000062 0026 + 260 000064 41DF MOV.B 42(SP),0(r15) ; [] |29| + 000066 002A + 000068 0000 + 261 ;* --------------------------------------------------------------------------* + 262 $C$L3: + 263 .dwendtag $C$DW$12 + 264 + 265 .dwpsn file "../problem2.c",line 22,column 24,is_stmt,isa 0 + 266 00006a 5391 ADD.W #1,38(SP) ; [] |22| + 00006c 0026 + 267 .dwpsn file "../problem2.c",line 22,column 15,is_stmt,isa 0 + 268 00006e 9191 CMP.W 40(SP),38(SP) ; [] |22| + 000070 0028 + 000072 0026 + 269 000074 2BD8 JLO $C$L1 ; [] |22| + 270 ; [] |22| + 271 ;* --------------------------------------------------------------------------* + 272 000076 $C$L4: + 273 .dwpsn file "../problem2.c",line 31,column 5,is_stmt,isa 0 + 274 000076 40B1 MOV.W #$C$SL1+0,0(SP) ; [] |31| + 000078 0000! + 00007a 0000 + 275 00007c 410F MOV.W SP,r15 ; [] |31| + 276 00007e 522F ADD.W #4,r15 ; [] |31| + 277 000080 4F81 MOV.W r15,2(SP) ; [] |31| + 000082 0002 + 278 $C$DW$16 .dwtag DW_TAG_TI_branch + 279 .dwattr $C$DW$16, DW_AT_low_pc(0x00) + 280 .dwattr $C$DW$16, DW_AT_name("printf") + 281 .dwattr $C$DW$16, DW_AT_TI_call + 282 + 283 000084 12B0 CALL #printf ; [] |31| + 000086 0000! + 284 ; [] |31| + 285 .dwpsn file "../problem2.c",line 32,column 1,is_stmt,isa 0 + 286 000088 430C MOV.W #0,r12 ; [] |32| + 287 00008a 5031 ADD.W #46,SP ; [] + 00008c 002E + 288 .dwcfi cfa_offset, 2 + 289 $C$DW$17 .dwtag DW_TAG_TI_branch + 290 .dwattr $C$DW$17, DW_AT_low_pc(0x00) + 291 .dwattr $C$DW$17, DW_AT_TI_return + 292 + 293 00008e 4130 RET ; [] + 294 ; [] + 295 .dwattr $C$DW$7, DW_AT_TI_end_file("../problem2.c") + 296 .dwattr $C$DW$7, DW_AT_TI_end_line(0x20) + 297 .dwattr $C$DW$7, DW_AT_TI_end_column(0x01) + 298 .dwendentry + 299 .dwendtag $C$DW$7 + 300 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 7 + + 301 ;****************************************************************************** + 302 ;* STRINGS * + 303 ;****************************************************************************** + 304 000000 .sect ".const:.string" + 305 .align 2 + 306 000000 0025 $C$SL1: .string "%s",10,0 + 000001 0073 + 000002 000A + 000003 0000 + 307 ;***************************************************************************** + 308 ;* UNDEFINED EXTERNAL REFERENCES * + 309 ;***************************************************************************** + 310 .global islower + 311 .global printf + 312 .global memcpy + 313 + 314 ;****************************************************************************** + 315 ;* BUILD ATTRIBUTES * + 316 ;****************************************************************************** + 317 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 318 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0000000000001111000000000 + 319 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 320 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(2) + 321 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 322 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 323 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 324 + 325 ;****************************************************************************** + 326 ;* TYPE INFORMATION * + 327 ;****************************************************************************** + 328 + 329 $C$DW$T$21 .dwtag DW_TAG_structure_type + 330 .dwattr $C$DW$T$21, DW_AT_byte_size(0x10) + 331 $C$DW$18 .dwtag DW_TAG_member + 332 .dwattr $C$DW$18, DW_AT_type(*$C$DW$T$14) + 333 .dwattr $C$DW$18, DW_AT_name("__max_align1") + 334 .dwattr $C$DW$18, DW_AT_TI_symbol_name("__max_align1") + 335 .dwattr $C$DW$18, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 336 .dwattr $C$DW$18, DW_AT_accessibility(DW_ACCESS_public) + 337 .dwattr $C$DW$18, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 338 .dwattr $C$DW$18, DW_AT_decl_line(0x7b) + 339 .dwattr $C$DW$18, DW_AT_decl_column(0x0c) + 340 + 341 $C$DW$19 .dwtag DW_TAG_member + 342 .dwattr $C$DW$19, DW_AT_type(*$C$DW$T$18) + 343 .dwattr $C$DW$19, DW_AT_name("__max_align2") + 344 .dwattr $C$DW$19, DW_AT_TI_symbol_name("__max_align2") + 345 .dwattr $C$DW$19, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 346 .dwattr $C$DW$19, DW_AT_accessibility(DW_ACCESS_public) + 347 .dwattr $C$DW$19, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 348 .dwattr $C$DW$19, DW_AT_decl_line(0x7c) + 349 .dwattr $C$DW$19, DW_AT_decl_column(0x0e) + 350 + 351 .dwattr $C$DW$T$21, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 352 .dwattr $C$DW$T$21, DW_AT_decl_line(0x7a) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 8 + + 353 .dwattr $C$DW$T$21, DW_AT_decl_column(0x10) + 354 .dwendtag $C$DW$T$21 + 355 + 356 $C$DW$T$24 .dwtag DW_TAG_typedef + 357 .dwattr $C$DW$T$24, DW_AT_name("__max_align_t") + 358 .dwattr $C$DW$T$24, DW_AT_type(*$C$DW$T$21) + 359 .dwattr $C$DW$T$24, DW_AT_language(DW_LANG_C) + 360 .dwattr $C$DW$T$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 361 .dwattr $C$DW$T$24, DW_AT_decl_line(0x7d) + 362 .dwattr $C$DW$T$24, DW_AT_decl_column(0x03) + 363 + 364 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + 365 .dwattr $C$DW$T$2, DW_AT_name("void") + 366 + 367 + 368 $C$DW$T$25 .dwtag DW_TAG_subroutine_type + 369 .dwattr $C$DW$T$25, DW_AT_language(DW_LANG_C) + 370 .dwendtag $C$DW$T$25 + 371 + 372 $C$DW$T$26 .dwtag DW_TAG_pointer_type + 373 .dwattr $C$DW$T$26, DW_AT_type(*$C$DW$T$25) + 374 .dwattr $C$DW$T$26, DW_AT_address_class(0x10) + 375 + 376 $C$DW$T$27 .dwtag DW_TAG_typedef + 377 .dwattr $C$DW$T$27, DW_AT_name("__SFR_FARPTR") + 378 .dwattr $C$DW$T$27, DW_AT_type(*$C$DW$T$26) + 379 .dwattr $C$DW$T$27, DW_AT_language(DW_LANG_C) + 380 .dwattr $C$DW$T$27, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430f5529.h") + 381 .dwattr $C$DW$T$27, DW_AT_decl_line(0x4a) + 382 .dwattr $C$DW$T$27, DW_AT_decl_column(0x11) + 383 + 384 $C$DW$T$4 .dwtag DW_TAG_base_type + 385 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 386 .dwattr $C$DW$T$4, DW_AT_name("bool") + 387 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + 388 + 389 $C$DW$T$5 .dwtag DW_TAG_base_type + 390 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 391 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 392 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 393 + 394 $C$DW$T$28 .dwtag DW_TAG_typedef + 395 .dwattr $C$DW$T$28, DW_AT_name("__int8_t") + 396 .dwattr $C$DW$T$28, DW_AT_type(*$C$DW$T$5) + 397 .dwattr $C$DW$T$28, DW_AT_language(DW_LANG_C) + 398 .dwattr $C$DW$T$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 399 .dwattr $C$DW$T$28, DW_AT_decl_line(0x36) + 400 .dwattr $C$DW$T$28, DW_AT_decl_column(0x16) + 401 + 402 $C$DW$T$29 .dwtag DW_TAG_typedef + 403 .dwattr $C$DW$T$29, DW_AT_name("__int_least8_t") + 404 .dwattr $C$DW$T$29, DW_AT_type(*$C$DW$T$28) + 405 .dwattr $C$DW$T$29, DW_AT_language(DW_LANG_C) + 406 .dwattr $C$DW$T$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 407 .dwattr $C$DW$T$29, DW_AT_decl_line(0x5a) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 9 + + 408 .dwattr $C$DW$T$29, DW_AT_decl_column(0x12) + 409 + 410 $C$DW$T$6 .dwtag DW_TAG_base_type + 411 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 412 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 413 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 414 + 415 $C$DW$T$22 .dwtag DW_TAG_pointer_type + 416 .dwattr $C$DW$T$22, DW_AT_type(*$C$DW$T$6) + 417 .dwattr $C$DW$T$22, DW_AT_address_class(0x10) + 418 + 419 $C$DW$T$30 .dwtag DW_TAG_typedef + 420 .dwattr $C$DW$T$30, DW_AT_name("__uint8_t") + 421 .dwattr $C$DW$T$30, DW_AT_type(*$C$DW$T$6) + 422 .dwattr $C$DW$T$30, DW_AT_language(DW_LANG_C) + 423 .dwattr $C$DW$T$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 424 .dwattr $C$DW$T$30, DW_AT_decl_line(0x37) + 425 .dwattr $C$DW$T$30, DW_AT_decl_column(0x18) + 426 + 427 $C$DW$T$31 .dwtag DW_TAG_typedef + 428 .dwattr $C$DW$T$31, DW_AT_name("__sa_family_t") + 429 .dwattr $C$DW$T$31, DW_AT_type(*$C$DW$T$30) + 430 .dwattr $C$DW$T$31, DW_AT_language(DW_LANG_C) + 431 .dwattr $C$DW$T$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 432 .dwattr $C$DW$T$31, DW_AT_decl_line(0x47) + 433 .dwattr $C$DW$T$31, DW_AT_decl_column(0x13) + 434 + 435 $C$DW$T$32 .dwtag DW_TAG_typedef + 436 .dwattr $C$DW$T$32, DW_AT_name("__uint_least8_t") + 437 .dwattr $C$DW$T$32, DW_AT_type(*$C$DW$T$30) + 438 .dwattr $C$DW$T$32, DW_AT_language(DW_LANG_C) + 439 .dwattr $C$DW$T$32, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 440 .dwattr $C$DW$T$32, DW_AT_decl_line(0x74) + 441 .dwattr $C$DW$T$32, DW_AT_decl_column(0x13) + 442 + 443 $C$DW$T$7 .dwtag DW_TAG_base_type + 444 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 445 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 446 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 447 + 448 $C$DW$T$8 .dwtag DW_TAG_base_type + 449 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 450 .dwattr $C$DW$T$8, DW_AT_name("short") + 451 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 452 + 453 $C$DW$T$9 .dwtag DW_TAG_base_type + 454 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 455 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 456 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 457 + 458 $C$DW$T$10 .dwtag DW_TAG_base_type + 459 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 460 .dwattr $C$DW$T$10, DW_AT_name("int") + 461 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 462 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 10 + + 463 $C$DW$T$33 .dwtag DW_TAG_typedef + 464 .dwattr $C$DW$T$33, DW_AT_name("_Mbstatet") + 465 .dwattr $C$DW$T$33, DW_AT_type(*$C$DW$T$10) + 466 .dwattr $C$DW$T$33, DW_AT_language(DW_LANG_C) + 467 .dwattr $C$DW$T$33, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 468 .dwattr $C$DW$T$33, DW_AT_decl_line(0x8f) + 469 .dwattr $C$DW$T$33, DW_AT_decl_column(0x0d) + 470 + 471 $C$DW$T$34 .dwtag DW_TAG_typedef + 472 .dwattr $C$DW$T$34, DW_AT_name("__mbstate_t") + 473 .dwattr $C$DW$T$34, DW_AT_type(*$C$DW$T$33) + 474 .dwattr $C$DW$T$34, DW_AT_language(DW_LANG_C) + 475 .dwattr $C$DW$T$34, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 476 .dwattr $C$DW$T$34, DW_AT_decl_line(0x92) + 477 .dwattr $C$DW$T$34, DW_AT_decl_column(0x13) + 478 + 479 $C$DW$T$35 .dwtag DW_TAG_typedef + 480 .dwattr $C$DW$T$35, DW_AT_name("__accmode_t") + 481 .dwattr $C$DW$T$35, DW_AT_type(*$C$DW$T$10) + 482 .dwattr $C$DW$T$35, DW_AT_language(DW_LANG_C) + 483 .dwattr $C$DW$T$35, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 484 .dwattr $C$DW$T$35, DW_AT_decl_line(0x3b) + 485 .dwattr $C$DW$T$35, DW_AT_decl_column(0x0e) + 486 + 487 $C$DW$T$45 .dwtag DW_TAG_typedef + 488 .dwattr $C$DW$T$45, DW_AT_name("__cpulevel_t") + 489 .dwattr $C$DW$T$45, DW_AT_type(*$C$DW$T$10) + 490 .dwattr $C$DW$T$45, DW_AT_language(DW_LANG_C) + 491 .dwattr $C$DW$T$45, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 492 .dwattr $C$DW$T$45, DW_AT_decl_line(0x50) + 493 .dwattr $C$DW$T$45, DW_AT_decl_column(0x0e) + 494 + 495 $C$DW$T$46 .dwtag DW_TAG_typedef + 496 .dwattr $C$DW$T$46, DW_AT_name("__cpusetid_t") + 497 .dwattr $C$DW$T$46, DW_AT_type(*$C$DW$T$10) + 498 .dwattr $C$DW$T$46, DW_AT_language(DW_LANG_C) + 499 .dwattr $C$DW$T$46, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 500 .dwattr $C$DW$T$46, DW_AT_decl_line(0x51) + 501 .dwattr $C$DW$T$46, DW_AT_decl_column(0x0e) + 502 + 503 $C$DW$T$47 .dwtag DW_TAG_typedef + 504 .dwattr $C$DW$T$47, DW_AT_name("__cpuwhich_t") + 505 .dwattr $C$DW$T$47, DW_AT_type(*$C$DW$T$10) + 506 .dwattr $C$DW$T$47, DW_AT_language(DW_LANG_C) + 507 .dwattr $C$DW$T$47, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 508 .dwattr $C$DW$T$47, DW_AT_decl_line(0x4f) + 509 .dwattr $C$DW$T$47, DW_AT_decl_column(0x0e) + 510 + 511 $C$DW$T$48 .dwtag DW_TAG_typedef + 512 .dwattr $C$DW$T$48, DW_AT_name("__ct_rune_t") + 513 .dwattr $C$DW$T$48, DW_AT_type(*$C$DW$T$10) + 514 .dwattr $C$DW$T$48, DW_AT_language(DW_LANG_C) + 515 .dwattr $C$DW$T$48, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 516 .dwattr $C$DW$T$48, DW_AT_decl_line(0x69) + 517 .dwattr $C$DW$T$48, DW_AT_decl_column(0x0e) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 11 + + 518 + 519 $C$DW$T$49 .dwtag DW_TAG_typedef + 520 .dwattr $C$DW$T$49, DW_AT_name("__rune_t") + 521 .dwattr $C$DW$T$49, DW_AT_type(*$C$DW$T$48) + 522 .dwattr $C$DW$T$49, DW_AT_language(DW_LANG_C) + 523 .dwattr $C$DW$T$49, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 524 .dwattr $C$DW$T$49, DW_AT_decl_line(0x6c) + 525 .dwattr $C$DW$T$49, DW_AT_decl_column(0x15) + 526 + 527 $C$DW$T$50 .dwtag DW_TAG_typedef + 528 .dwattr $C$DW$T$50, DW_AT_name("__wint_t") + 529 .dwattr $C$DW$T$50, DW_AT_type(*$C$DW$T$48) + 530 .dwattr $C$DW$T$50, DW_AT_language(DW_LANG_C) + 531 .dwattr $C$DW$T$50, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 532 .dwattr $C$DW$T$50, DW_AT_decl_line(0x6d) + 533 .dwattr $C$DW$T$50, DW_AT_decl_column(0x15) + 534 + 535 $C$DW$T$51 .dwtag DW_TAG_typedef + 536 .dwattr $C$DW$T$51, DW_AT_name("__int16_t") + 537 .dwattr $C$DW$T$51, DW_AT_type(*$C$DW$T$10) + 538 .dwattr $C$DW$T$51, DW_AT_language(DW_LANG_C) + 539 .dwattr $C$DW$T$51, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 540 .dwattr $C$DW$T$51, DW_AT_decl_line(0x38) + 541 .dwattr $C$DW$T$51, DW_AT_decl_column(0x0f) + 542 + 543 $C$DW$T$52 .dwtag DW_TAG_typedef + 544 .dwattr $C$DW$T$52, DW_AT_name("__int_fast16_t") + 545 .dwattr $C$DW$T$52, DW_AT_type(*$C$DW$T$51) + 546 .dwattr $C$DW$T$52, DW_AT_language(DW_LANG_C) + 547 .dwattr $C$DW$T$52, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 548 .dwattr $C$DW$T$52, DW_AT_decl_line(0x57) + 549 .dwattr $C$DW$T$52, DW_AT_decl_column(0x13) + 550 + 551 $C$DW$T$53 .dwtag DW_TAG_typedef + 552 .dwattr $C$DW$T$53, DW_AT_name("__int_fast8_t") + 553 .dwattr $C$DW$T$53, DW_AT_type(*$C$DW$T$51) + 554 .dwattr $C$DW$T$53, DW_AT_language(DW_LANG_C) + 555 .dwattr $C$DW$T$53, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 556 .dwattr $C$DW$T$53, DW_AT_decl_line(0x56) + 557 .dwattr $C$DW$T$53, DW_AT_decl_column(0x13) + 558 + 559 $C$DW$T$54 .dwtag DW_TAG_typedef + 560 .dwattr $C$DW$T$54, DW_AT_name("__int_least16_t") + 561 .dwattr $C$DW$T$54, DW_AT_type(*$C$DW$T$51) + 562 .dwattr $C$DW$T$54, DW_AT_language(DW_LANG_C) + 563 .dwattr $C$DW$T$54, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 564 .dwattr $C$DW$T$54, DW_AT_decl_line(0x5b) + 565 .dwattr $C$DW$T$54, DW_AT_decl_column(0x13) + 566 + 567 $C$DW$T$55 .dwtag DW_TAG_typedef + 568 .dwattr $C$DW$T$55, DW_AT_name("__intptr_t") + 569 .dwattr $C$DW$T$55, DW_AT_type(*$C$DW$T$51) + 570 .dwattr $C$DW$T$55, DW_AT_language(DW_LANG_C) + 571 .dwattr $C$DW$T$55, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 572 .dwattr $C$DW$T$55, DW_AT_decl_line(0x53) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 12 + + 573 .dwattr $C$DW$T$55, DW_AT_decl_column(0x19) + 574 + 575 $C$DW$T$56 .dwtag DW_TAG_typedef + 576 .dwattr $C$DW$T$56, DW_AT_name("__register_t") + 577 .dwattr $C$DW$T$56, DW_AT_type(*$C$DW$T$51) + 578 .dwattr $C$DW$T$56, DW_AT_language(DW_LANG_C) + 579 .dwattr $C$DW$T$56, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 580 .dwattr $C$DW$T$56, DW_AT_decl_line(0x5f) + 581 .dwattr $C$DW$T$56, DW_AT_decl_column(0x13) + 582 + 583 $C$DW$T$57 .dwtag DW_TAG_typedef + 584 .dwattr $C$DW$T$57, DW_AT_name("__nl_item") + 585 .dwattr $C$DW$T$57, DW_AT_type(*$C$DW$T$10) + 586 .dwattr $C$DW$T$57, DW_AT_language(DW_LANG_C) + 587 .dwattr $C$DW$T$57, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 588 .dwattr $C$DW$T$57, DW_AT_decl_line(0x3c) + 589 .dwattr $C$DW$T$57, DW_AT_decl_column(0x0e) + 590 + 591 $C$DW$T$58 .dwtag DW_TAG_typedef + 592 .dwattr $C$DW$T$58, DW_AT_name("__ptrdiff_t") + 593 .dwattr $C$DW$T$58, DW_AT_type(*$C$DW$T$10) + 594 .dwattr $C$DW$T$58, DW_AT_language(DW_LANG_C) + 595 .dwattr $C$DW$T$58, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 596 .dwattr $C$DW$T$58, DW_AT_decl_line(0x5e) + 597 .dwattr $C$DW$T$58, DW_AT_decl_column(0x1c) + 598 + 599 $C$DW$T$11 .dwtag DW_TAG_base_type + 600 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 601 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 602 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 603 + 604 $C$DW$T$59 .dwtag DW_TAG_typedef + 605 .dwattr $C$DW$T$59, DW_AT_name("___wchar_t") + 606 .dwattr $C$DW$T$59, DW_AT_type(*$C$DW$T$11) + 607 .dwattr $C$DW$T$59, DW_AT_language(DW_LANG_C) + 608 .dwattr $C$DW$T$59, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 609 .dwattr $C$DW$T$59, DW_AT_decl_line(0x7d) + 610 .dwattr $C$DW$T$59, DW_AT_decl_column(0x1a) + 611 + 612 $C$DW$T$60 .dwtag DW_TAG_typedef + 613 .dwattr $C$DW$T$60, DW_AT_name("__size_t") + 614 .dwattr $C$DW$T$60, DW_AT_type(*$C$DW$T$11) + 615 .dwattr $C$DW$T$60, DW_AT_language(DW_LANG_C) + 616 .dwattr $C$DW$T$60, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 617 .dwattr $C$DW$T$60, DW_AT_decl_line(0x61) + 618 .dwattr $C$DW$T$60, DW_AT_decl_column(0x19) + 619 + 620 $C$DW$T$61 .dwtag DW_TAG_typedef + 621 .dwattr $C$DW$T$61, DW_AT_name("__uint16_t") + 622 .dwattr $C$DW$T$61, DW_AT_type(*$C$DW$T$11) + 623 .dwattr $C$DW$T$61, DW_AT_language(DW_LANG_C) + 624 .dwattr $C$DW$T$61, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 625 .dwattr $C$DW$T$61, DW_AT_decl_line(0x39) + 626 .dwattr $C$DW$T$61, DW_AT_decl_column(0x17) + 627 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 13 + + 628 $C$DW$T$62 .dwtag DW_TAG_typedef + 629 .dwattr $C$DW$T$62, DW_AT_name("__mode_t") + 630 .dwattr $C$DW$T$62, DW_AT_type(*$C$DW$T$61) + 631 .dwattr $C$DW$T$62, DW_AT_language(DW_LANG_C) + 632 .dwattr $C$DW$T$62, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 633 .dwattr $C$DW$T$62, DW_AT_decl_line(0x3a) + 634 .dwattr $C$DW$T$62, DW_AT_decl_column(0x14) + 635 + 636 $C$DW$T$63 .dwtag DW_TAG_typedef + 637 .dwattr $C$DW$T$63, DW_AT_name("__u_register_t") + 638 .dwattr $C$DW$T$63, DW_AT_type(*$C$DW$T$61) + 639 .dwattr $C$DW$T$63, DW_AT_language(DW_LANG_C) + 640 .dwattr $C$DW$T$63, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 641 .dwattr $C$DW$T$63, DW_AT_decl_line(0x78) + 642 .dwattr $C$DW$T$63, DW_AT_decl_column(0x14) + 643 + 644 $C$DW$T$64 .dwtag DW_TAG_typedef + 645 .dwattr $C$DW$T$64, DW_AT_name("__uint_fast16_t") + 646 .dwattr $C$DW$T$64, DW_AT_type(*$C$DW$T$61) + 647 .dwattr $C$DW$T$64, DW_AT_language(DW_LANG_C) + 648 .dwattr $C$DW$T$64, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 649 .dwattr $C$DW$T$64, DW_AT_decl_line(0x71) + 650 .dwattr $C$DW$T$64, DW_AT_decl_column(0x14) + 651 + 652 $C$DW$T$65 .dwtag DW_TAG_typedef + 653 .dwattr $C$DW$T$65, DW_AT_name("__uint_fast8_t") + 654 .dwattr $C$DW$T$65, DW_AT_type(*$C$DW$T$61) + 655 .dwattr $C$DW$T$65, DW_AT_language(DW_LANG_C) + 656 .dwattr $C$DW$T$65, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 657 .dwattr $C$DW$T$65, DW_AT_decl_line(0x70) + 658 .dwattr $C$DW$T$65, DW_AT_decl_column(0x14) + 659 + 660 $C$DW$T$66 .dwtag DW_TAG_typedef + 661 .dwattr $C$DW$T$66, DW_AT_name("__uint_least16_t") + 662 .dwattr $C$DW$T$66, DW_AT_type(*$C$DW$T$61) + 663 .dwattr $C$DW$T$66, DW_AT_language(DW_LANG_C) + 664 .dwattr $C$DW$T$66, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 665 .dwattr $C$DW$T$66, DW_AT_decl_line(0x75) + 666 .dwattr $C$DW$T$66, DW_AT_decl_column(0x14) + 667 + 668 $C$DW$T$67 .dwtag DW_TAG_typedef + 669 .dwattr $C$DW$T$67, DW_AT_name("__char16_t") + 670 .dwattr $C$DW$T$67, DW_AT_type(*$C$DW$T$66) + 671 .dwattr $C$DW$T$67, DW_AT_language(DW_LANG_C) + 672 .dwattr $C$DW$T$67, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 673 .dwattr $C$DW$T$67, DW_AT_decl_line(0x71) + 674 .dwattr $C$DW$T$67, DW_AT_decl_column(0x1a) + 675 + 676 $C$DW$T$68 .dwtag DW_TAG_typedef + 677 .dwattr $C$DW$T$68, DW_AT_name("__uintptr_t") + 678 .dwattr $C$DW$T$68, DW_AT_type(*$C$DW$T$61) + 679 .dwattr $C$DW$T$68, DW_AT_language(DW_LANG_C) + 680 .dwattr $C$DW$T$68, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 681 .dwattr $C$DW$T$68, DW_AT_decl_line(0x6d) + 682 .dwattr $C$DW$T$68, DW_AT_decl_column(0x14) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 14 + + 683 + 684 $C$DW$T$69 .dwtag DW_TAG_typedef + 685 .dwattr $C$DW$T$69, DW_AT_name("__useconds_t") + 686 .dwattr $C$DW$T$69, DW_AT_type(*$C$DW$T$11) + 687 .dwattr $C$DW$T$69, DW_AT_language(DW_LANG_C) + 688 .dwattr $C$DW$T$69, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 689 .dwattr $C$DW$T$69, DW_AT_decl_line(0x4e) + 690 .dwattr $C$DW$T$69, DW_AT_decl_column(0x16) + 691 + 692 $C$DW$T$70 .dwtag DW_TAG_typedef + 693 .dwattr $C$DW$T$70, DW_AT_name("size_t") + 694 .dwattr $C$DW$T$70, DW_AT_type(*$C$DW$T$11) + 695 .dwattr $C$DW$T$70, DW_AT_language(DW_LANG_C) + 696 .dwattr $C$DW$T$70, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 697 .dwattr $C$DW$T$70, DW_AT_decl_line(0x4d) + 698 .dwattr $C$DW$T$70, DW_AT_decl_column(0x19) + 699 + 700 $C$DW$T$12 .dwtag DW_TAG_base_type + 701 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 702 .dwattr $C$DW$T$12, DW_AT_name("long") + 703 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 704 + 705 $C$DW$T$71 .dwtag DW_TAG_typedef + 706 .dwattr $C$DW$T$71, DW_AT_name("__int32_t") + 707 .dwattr $C$DW$T$71, DW_AT_type(*$C$DW$T$12) + 708 .dwattr $C$DW$T$71, DW_AT_language(DW_LANG_C) + 709 .dwattr $C$DW$T$71, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 710 .dwattr $C$DW$T$71, DW_AT_decl_line(0x3a) + 711 .dwattr $C$DW$T$71, DW_AT_decl_column(0x10) + 712 + 713 $C$DW$T$72 .dwtag DW_TAG_typedef + 714 .dwattr $C$DW$T$72, DW_AT_name("__blksize_t") + 715 .dwattr $C$DW$T$72, DW_AT_type(*$C$DW$T$71) + 716 .dwattr $C$DW$T$72, DW_AT_language(DW_LANG_C) + 717 .dwattr $C$DW$T$72, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 718 .dwattr $C$DW$T$72, DW_AT_decl_line(0x2f) + 719 .dwattr $C$DW$T$72, DW_AT_decl_column(0x13) + 720 + 721 $C$DW$T$73 .dwtag DW_TAG_typedef + 722 .dwattr $C$DW$T$73, DW_AT_name("__clockid_t") + 723 .dwattr $C$DW$T$73, DW_AT_type(*$C$DW$T$71) + 724 .dwattr $C$DW$T$73, DW_AT_language(DW_LANG_C) + 725 .dwattr $C$DW$T$73, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 726 .dwattr $C$DW$T$73, DW_AT_decl_line(0x31) + 727 .dwattr $C$DW$T$73, DW_AT_decl_column(0x13) + 728 + 729 $C$DW$T$74 .dwtag DW_TAG_typedef + 730 .dwattr $C$DW$T$74, DW_AT_name("__critical_t") + 731 .dwattr $C$DW$T$74, DW_AT_type(*$C$DW$T$71) + 732 .dwattr $C$DW$T$74, DW_AT_language(DW_LANG_C) + 733 .dwattr $C$DW$T$74, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 734 .dwattr $C$DW$T$74, DW_AT_decl_line(0x4b) + 735 .dwattr $C$DW$T$74, DW_AT_decl_column(0x13) + 736 + 737 $C$DW$T$75 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 15 + + 738 .dwattr $C$DW$T$75, DW_AT_name("__int_fast32_t") + 739 .dwattr $C$DW$T$75, DW_AT_type(*$C$DW$T$71) + 740 .dwattr $C$DW$T$75, DW_AT_language(DW_LANG_C) + 741 .dwattr $C$DW$T$75, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 742 .dwattr $C$DW$T$75, DW_AT_decl_line(0x58) + 743 .dwattr $C$DW$T$75, DW_AT_decl_column(0x13) + 744 + 745 $C$DW$T$76 .dwtag DW_TAG_typedef + 746 .dwattr $C$DW$T$76, DW_AT_name("__int_least32_t") + 747 .dwattr $C$DW$T$76, DW_AT_type(*$C$DW$T$71) + 748 .dwattr $C$DW$T$76, DW_AT_language(DW_LANG_C) + 749 .dwattr $C$DW$T$76, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 750 .dwattr $C$DW$T$76, DW_AT_decl_line(0x5c) + 751 .dwattr $C$DW$T$76, DW_AT_decl_column(0x13) + 752 + 753 $C$DW$T$77 .dwtag DW_TAG_typedef + 754 .dwattr $C$DW$T$77, DW_AT_name("__intfptr_t") + 755 .dwattr $C$DW$T$77, DW_AT_type(*$C$DW$T$71) + 756 .dwattr $C$DW$T$77, DW_AT_language(DW_LANG_C) + 757 .dwattr $C$DW$T$77, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 758 .dwattr $C$DW$T$77, DW_AT_decl_line(0x4e) + 759 .dwattr $C$DW$T$77, DW_AT_decl_column(0x13) + 760 + 761 $C$DW$T$78 .dwtag DW_TAG_typedef + 762 .dwattr $C$DW$T$78, DW_AT_name("__lwpid_t") + 763 .dwattr $C$DW$T$78, DW_AT_type(*$C$DW$T$71) + 764 .dwattr $C$DW$T$78, DW_AT_language(DW_LANG_C) + 765 .dwattr $C$DW$T$78, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 766 .dwattr $C$DW$T$78, DW_AT_decl_line(0x39) + 767 .dwattr $C$DW$T$78, DW_AT_decl_column(0x13) + 768 + 769 $C$DW$T$79 .dwtag DW_TAG_typedef + 770 .dwattr $C$DW$T$79, DW_AT_name("__pid_t") + 771 .dwattr $C$DW$T$79, DW_AT_type(*$C$DW$T$71) + 772 .dwattr $C$DW$T$79, DW_AT_language(DW_LANG_C) + 773 .dwattr $C$DW$T$79, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 774 .dwattr $C$DW$T$79, DW_AT_decl_line(0x40) + 775 .dwattr $C$DW$T$79, DW_AT_decl_column(0x13) + 776 + 777 $C$DW$T$80 .dwtag DW_TAG_typedef + 778 .dwattr $C$DW$T$80, DW_AT_name("__segsz_t") + 779 .dwattr $C$DW$T$80, DW_AT_type(*$C$DW$T$71) + 780 .dwattr $C$DW$T$80, DW_AT_language(DW_LANG_C) + 781 .dwattr $C$DW$T$80, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 782 .dwattr $C$DW$T$80, DW_AT_decl_line(0x60) + 783 .dwattr $C$DW$T$80, DW_AT_decl_column(0x13) + 784 + 785 $C$DW$T$81 .dwtag DW_TAG_typedef + 786 .dwattr $C$DW$T$81, DW_AT_name("__ssize_t") + 787 .dwattr $C$DW$T$81, DW_AT_type(*$C$DW$T$71) + 788 .dwattr $C$DW$T$81, DW_AT_language(DW_LANG_C) + 789 .dwattr $C$DW$T$81, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 790 .dwattr $C$DW$T$81, DW_AT_decl_line(0x62) + 791 .dwattr $C$DW$T$81, DW_AT_decl_column(0x13) + 792 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 16 + + 793 $C$DW$T$82 .dwtag DW_TAG_typedef + 794 .dwattr $C$DW$T$82, DW_AT_name("__key_t") + 795 .dwattr $C$DW$T$82, DW_AT_type(*$C$DW$T$12) + 796 .dwattr $C$DW$T$82, DW_AT_language(DW_LANG_C) + 797 .dwattr $C$DW$T$82, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 798 .dwattr $C$DW$T$82, DW_AT_decl_line(0x38) + 799 .dwattr $C$DW$T$82, DW_AT_decl_column(0x0f) + 800 + 801 $C$DW$T$83 .dwtag DW_TAG_typedef + 802 .dwattr $C$DW$T$83, DW_AT_name("__suseconds_t") + 803 .dwattr $C$DW$T$83, DW_AT_type(*$C$DW$T$12) + 804 .dwattr $C$DW$T$83, DW_AT_language(DW_LANG_C) + 805 .dwattr $C$DW$T$83, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 806 .dwattr $C$DW$T$83, DW_AT_decl_line(0x4a) + 807 .dwattr $C$DW$T$83, DW_AT_decl_column(0x0f) + 808 + 809 $C$DW$T$84 .dwtag DW_TAG_typedef + 810 .dwattr $C$DW$T$84, DW_AT_name("_off_t") + 811 .dwattr $C$DW$T$84, DW_AT_type(*$C$DW$T$12) + 812 .dwattr $C$DW$T$84, DW_AT_language(DW_LANG_C) + 813 .dwattr $C$DW$T$84, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 814 .dwattr $C$DW$T$84, DW_AT_decl_line(0x8d) + 815 .dwattr $C$DW$T$84, DW_AT_decl_column(0x12) + 816 + 817 $C$DW$T$85 .dwtag DW_TAG_typedef + 818 .dwattr $C$DW$T$85, DW_AT_name("__off_t") + 819 .dwattr $C$DW$T$85, DW_AT_type(*$C$DW$T$84) + 820 .dwattr $C$DW$T$85, DW_AT_language(DW_LANG_C) + 821 .dwattr $C$DW$T$85, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 822 .dwattr $C$DW$T$85, DW_AT_decl_line(0x3e) + 823 .dwattr $C$DW$T$85, DW_AT_decl_column(0x18) + 824 + 825 $C$DW$T$86 .dwtag DW_TAG_typedef + 826 .dwattr $C$DW$T$86, DW_AT_name("fpos_t") + 827 .dwattr $C$DW$T$86, DW_AT_type(*$C$DW$T$12) + 828 .dwattr $C$DW$T$86, DW_AT_language(DW_LANG_C) + 829 .dwattr $C$DW$T$86, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 830 .dwattr $C$DW$T$86, DW_AT_decl_line(0x6b) + 831 .dwattr $C$DW$T$86, DW_AT_decl_column(0x0e) + 832 + 833 $C$DW$T$13 .dwtag DW_TAG_base_type + 834 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 835 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 836 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 837 + 838 $C$DW$T$87 .dwtag DW_TAG_typedef + 839 .dwattr $C$DW$T$87, DW_AT_name("__uint32_t") + 840 .dwattr $C$DW$T$87, DW_AT_type(*$C$DW$T$13) + 841 .dwattr $C$DW$T$87, DW_AT_language(DW_LANG_C) + 842 .dwattr $C$DW$T$87, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 843 .dwattr $C$DW$T$87, DW_AT_decl_line(0x3b) + 844 .dwattr $C$DW$T$87, DW_AT_decl_column(0x18) + 845 + 846 $C$DW$T$88 .dwtag DW_TAG_typedef + 847 .dwattr $C$DW$T$88, DW_AT_name("__clock_t") + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 17 + + 848 .dwattr $C$DW$T$88, DW_AT_type(*$C$DW$T$87) + 849 .dwattr $C$DW$T$88, DW_AT_language(DW_LANG_C) + 850 .dwattr $C$DW$T$88, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 851 .dwattr $C$DW$T$88, DW_AT_decl_line(0x4a) + 852 .dwattr $C$DW$T$88, DW_AT_decl_column(0x14) + 853 + 854 $C$DW$T$89 .dwtag DW_TAG_typedef + 855 .dwattr $C$DW$T$89, DW_AT_name("__fflags_t") + 856 .dwattr $C$DW$T$89, DW_AT_type(*$C$DW$T$87) + 857 .dwattr $C$DW$T$89, DW_AT_language(DW_LANG_C) + 858 .dwattr $C$DW$T$89, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 859 .dwattr $C$DW$T$89, DW_AT_decl_line(0x32) + 860 .dwattr $C$DW$T$89, DW_AT_decl_column(0x14) + 861 + 862 $C$DW$T$90 .dwtag DW_TAG_typedef + 863 .dwattr $C$DW$T$90, DW_AT_name("__fixpt_t") + 864 .dwattr $C$DW$T$90, DW_AT_type(*$C$DW$T$87) + 865 .dwattr $C$DW$T$90, DW_AT_language(DW_LANG_C) + 866 .dwattr $C$DW$T$90, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 867 .dwattr $C$DW$T$90, DW_AT_decl_line(0x81) + 868 .dwattr $C$DW$T$90, DW_AT_decl_column(0x14) + 869 + 870 $C$DW$T$91 .dwtag DW_TAG_typedef + 871 .dwattr $C$DW$T$91, DW_AT_name("__gid_t") + 872 .dwattr $C$DW$T$91, DW_AT_type(*$C$DW$T$87) + 873 .dwattr $C$DW$T$91, DW_AT_language(DW_LANG_C) + 874 .dwattr $C$DW$T$91, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 875 .dwattr $C$DW$T$91, DW_AT_decl_line(0x35) + 876 .dwattr $C$DW$T$91, DW_AT_decl_column(0x14) + 877 + 878 $C$DW$T$92 .dwtag DW_TAG_typedef + 879 .dwattr $C$DW$T$92, DW_AT_name("__socklen_t") + 880 .dwattr $C$DW$T$92, DW_AT_type(*$C$DW$T$87) + 881 .dwattr $C$DW$T$92, DW_AT_language(DW_LANG_C) + 882 .dwattr $C$DW$T$92, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 883 .dwattr $C$DW$T$92, DW_AT_decl_line(0x49) + 884 .dwattr $C$DW$T$92, DW_AT_decl_column(0x14) + 885 + 886 $C$DW$T$93 .dwtag DW_TAG_typedef + 887 .dwattr $C$DW$T$93, DW_AT_name("__time_t") + 888 .dwattr $C$DW$T$93, DW_AT_type(*$C$DW$T$87) + 889 .dwattr $C$DW$T$93, DW_AT_language(DW_LANG_C) + 890 .dwattr $C$DW$T$93, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 891 .dwattr $C$DW$T$93, DW_AT_decl_line(0x66) + 892 .dwattr $C$DW$T$93, DW_AT_decl_column(0x19) + 893 + 894 $C$DW$T$94 .dwtag DW_TAG_typedef + 895 .dwattr $C$DW$T$94, DW_AT_name("__uid_t") + 896 .dwattr $C$DW$T$94, DW_AT_type(*$C$DW$T$87) + 897 .dwattr $C$DW$T$94, DW_AT_language(DW_LANG_C) + 898 .dwattr $C$DW$T$94, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 899 .dwattr $C$DW$T$94, DW_AT_decl_line(0x4d) + 900 .dwattr $C$DW$T$94, DW_AT_decl_column(0x14) + 901 + 902 $C$DW$T$95 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 18 + + 903 .dwattr $C$DW$T$95, DW_AT_name("__uint_fast32_t") + 904 .dwattr $C$DW$T$95, DW_AT_type(*$C$DW$T$87) + 905 .dwattr $C$DW$T$95, DW_AT_language(DW_LANG_C) + 906 .dwattr $C$DW$T$95, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 907 .dwattr $C$DW$T$95, DW_AT_decl_line(0x72) + 908 .dwattr $C$DW$T$95, DW_AT_decl_column(0x14) + 909 + 910 $C$DW$T$96 .dwtag DW_TAG_typedef + 911 .dwattr $C$DW$T$96, DW_AT_name("__uint_least32_t") + 912 .dwattr $C$DW$T$96, DW_AT_type(*$C$DW$T$87) + 913 .dwattr $C$DW$T$96, DW_AT_language(DW_LANG_C) + 914 .dwattr $C$DW$T$96, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 915 .dwattr $C$DW$T$96, DW_AT_decl_line(0x76) + 916 .dwattr $C$DW$T$96, DW_AT_decl_column(0x14) + 917 + 918 $C$DW$T$97 .dwtag DW_TAG_typedef + 919 .dwattr $C$DW$T$97, DW_AT_name("__char32_t") + 920 .dwattr $C$DW$T$97, DW_AT_type(*$C$DW$T$96) + 921 .dwattr $C$DW$T$97, DW_AT_language(DW_LANG_C) + 922 .dwattr $C$DW$T$97, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 923 .dwattr $C$DW$T$97, DW_AT_decl_line(0x72) + 924 .dwattr $C$DW$T$97, DW_AT_decl_column(0x1a) + 925 + 926 $C$DW$T$98 .dwtag DW_TAG_typedef + 927 .dwattr $C$DW$T$98, DW_AT_name("__uintfptr_t") + 928 .dwattr $C$DW$T$98, DW_AT_type(*$C$DW$T$87) + 929 .dwattr $C$DW$T$98, DW_AT_language(DW_LANG_C) + 930 .dwattr $C$DW$T$98, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 931 .dwattr $C$DW$T$98, DW_AT_decl_line(0x68) + 932 .dwattr $C$DW$T$98, DW_AT_decl_column(0x14) + 933 + 934 $C$DW$T$99 .dwtag DW_TAG_typedef + 935 .dwattr $C$DW$T$99, DW_AT_name("__vm_offset_t") + 936 .dwattr $C$DW$T$99, DW_AT_type(*$C$DW$T$87) + 937 .dwattr $C$DW$T$99, DW_AT_language(DW_LANG_C) + 938 .dwattr $C$DW$T$99, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 939 .dwattr $C$DW$T$99, DW_AT_decl_line(0x79) + 940 .dwattr $C$DW$T$99, DW_AT_decl_column(0x14) + 941 + 942 $C$DW$T$100 .dwtag DW_TAG_typedef + 943 .dwattr $C$DW$T$100, DW_AT_name("__vm_paddr_t") + 944 .dwattr $C$DW$T$100, DW_AT_type(*$C$DW$T$87) + 945 .dwattr $C$DW$T$100, DW_AT_language(DW_LANG_C) + 946 .dwattr $C$DW$T$100, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 947 .dwattr $C$DW$T$100, DW_AT_decl_line(0x7a) + 948 .dwattr $C$DW$T$100, DW_AT_decl_column(0x14) + 949 + 950 $C$DW$T$101 .dwtag DW_TAG_typedef + 951 .dwattr $C$DW$T$101, DW_AT_name("__vm_size_t") + 952 .dwattr $C$DW$T$101, DW_AT_type(*$C$DW$T$87) + 953 .dwattr $C$DW$T$101, DW_AT_language(DW_LANG_C) + 954 .dwattr $C$DW$T$101, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 955 .dwattr $C$DW$T$101, DW_AT_decl_line(0x7b) + 956 .dwattr $C$DW$T$101, DW_AT_decl_column(0x14) + 957 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 19 + + 958 $C$DW$T$14 .dwtag DW_TAG_base_type + 959 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 960 .dwattr $C$DW$T$14, DW_AT_name("long long") + 961 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 962 + 963 $C$DW$T$102 .dwtag DW_TAG_typedef + 964 .dwattr $C$DW$T$102, DW_AT_name("__int64_t") + 965 .dwattr $C$DW$T$102, DW_AT_type(*$C$DW$T$14) + 966 .dwattr $C$DW$T$102, DW_AT_language(DW_LANG_C) + 967 .dwattr $C$DW$T$102, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 968 .dwattr $C$DW$T$102, DW_AT_decl_line(0x40) + 969 .dwattr $C$DW$T$102, DW_AT_decl_column(0x14) + 970 + 971 $C$DW$T$103 .dwtag DW_TAG_typedef + 972 .dwattr $C$DW$T$103, DW_AT_name("__blkcnt_t") + 973 .dwattr $C$DW$T$103, DW_AT_type(*$C$DW$T$102) + 974 .dwattr $C$DW$T$103, DW_AT_language(DW_LANG_C) + 975 .dwattr $C$DW$T$103, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 976 .dwattr $C$DW$T$103, DW_AT_decl_line(0x30) + 977 .dwattr $C$DW$T$103, DW_AT_decl_column(0x13) + 978 + 979 $C$DW$T$104 .dwtag DW_TAG_typedef + 980 .dwattr $C$DW$T$104, DW_AT_name("__id_t") + 981 .dwattr $C$DW$T$104, DW_AT_type(*$C$DW$T$102) + 982 .dwattr $C$DW$T$104, DW_AT_language(DW_LANG_C) + 983 .dwattr $C$DW$T$104, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 984 .dwattr $C$DW$T$104, DW_AT_decl_line(0x36) + 985 .dwattr $C$DW$T$104, DW_AT_decl_column(0x13) + 986 + 987 $C$DW$T$105 .dwtag DW_TAG_typedef + 988 .dwattr $C$DW$T$105, DW_AT_name("__int_fast64_t") + 989 .dwattr $C$DW$T$105, DW_AT_type(*$C$DW$T$102) + 990 .dwattr $C$DW$T$105, DW_AT_language(DW_LANG_C) + 991 .dwattr $C$DW$T$105, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 992 .dwattr $C$DW$T$105, DW_AT_decl_line(0x59) + 993 .dwattr $C$DW$T$105, DW_AT_decl_column(0x13) + 994 + 995 $C$DW$T$106 .dwtag DW_TAG_typedef + 996 .dwattr $C$DW$T$106, DW_AT_name("__int_least64_t") + 997 .dwattr $C$DW$T$106, DW_AT_type(*$C$DW$T$102) + 998 .dwattr $C$DW$T$106, DW_AT_language(DW_LANG_C) + 999 .dwattr $C$DW$T$106, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1000 .dwattr $C$DW$T$106, DW_AT_decl_line(0x5d) + 1001 .dwattr $C$DW$T$106, DW_AT_decl_column(0x13) + 1002 + 1003 $C$DW$T$107 .dwtag DW_TAG_typedef + 1004 .dwattr $C$DW$T$107, DW_AT_name("__intmax_t") + 1005 .dwattr $C$DW$T$107, DW_AT_type(*$C$DW$T$102) + 1006 .dwattr $C$DW$T$107, DW_AT_language(DW_LANG_C) + 1007 .dwattr $C$DW$T$107, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1008 .dwattr $C$DW$T$107, DW_AT_decl_line(0x4f) + 1009 .dwattr $C$DW$T$107, DW_AT_decl_column(0x13) + 1010 + 1011 $C$DW$T$108 .dwtag DW_TAG_typedef + 1012 .dwattr $C$DW$T$108, DW_AT_name("__off64_t") + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 20 + + 1013 .dwattr $C$DW$T$108, DW_AT_type(*$C$DW$T$102) + 1014 .dwattr $C$DW$T$108, DW_AT_language(DW_LANG_C) + 1015 .dwattr $C$DW$T$108, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1016 .dwattr $C$DW$T$108, DW_AT_decl_line(0x3f) + 1017 .dwattr $C$DW$T$108, DW_AT_decl_column(0x13) + 1018 + 1019 $C$DW$T$109 .dwtag DW_TAG_typedef + 1020 .dwattr $C$DW$T$109, DW_AT_name("__rlim_t") + 1021 .dwattr $C$DW$T$109, DW_AT_type(*$C$DW$T$102) + 1022 .dwattr $C$DW$T$109, DW_AT_language(DW_LANG_C) + 1023 .dwattr $C$DW$T$109, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1024 .dwattr $C$DW$T$109, DW_AT_decl_line(0x41) + 1025 .dwattr $C$DW$T$109, DW_AT_decl_column(0x13) + 1026 + 1027 $C$DW$T$15 .dwtag DW_TAG_base_type + 1028 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 1029 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 1030 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 1031 + 1032 $C$DW$T$110 .dwtag DW_TAG_typedef + 1033 .dwattr $C$DW$T$110, DW_AT_name("__uint64_t") + 1034 .dwattr $C$DW$T$110, DW_AT_type(*$C$DW$T$15) + 1035 .dwattr $C$DW$T$110, DW_AT_language(DW_LANG_C) + 1036 .dwattr $C$DW$T$110, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1037 .dwattr $C$DW$T$110, DW_AT_decl_line(0x45) + 1038 .dwattr $C$DW$T$110, DW_AT_decl_column(0x1c) + 1039 + 1040 $C$DW$T$111 .dwtag DW_TAG_typedef + 1041 .dwattr $C$DW$T$111, DW_AT_name("__dev_t") + 1042 .dwattr $C$DW$T$111, DW_AT_type(*$C$DW$T$110) + 1043 .dwattr $C$DW$T$111, DW_AT_language(DW_LANG_C) + 1044 .dwattr $C$DW$T$111, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1045 .dwattr $C$DW$T$111, DW_AT_decl_line(0x7f) + 1046 .dwattr $C$DW$T$111, DW_AT_decl_column(0x14) + 1047 + 1048 $C$DW$T$112 .dwtag DW_TAG_typedef + 1049 .dwattr $C$DW$T$112, DW_AT_name("__fsblkcnt_t") + 1050 .dwattr $C$DW$T$112, DW_AT_type(*$C$DW$T$110) + 1051 .dwattr $C$DW$T$112, DW_AT_language(DW_LANG_C) + 1052 .dwattr $C$DW$T$112, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1053 .dwattr $C$DW$T$112, DW_AT_decl_line(0x33) + 1054 .dwattr $C$DW$T$112, DW_AT_decl_column(0x14) + 1055 + 1056 $C$DW$T$113 .dwtag DW_TAG_typedef + 1057 .dwattr $C$DW$T$113, DW_AT_name("__fsfilcnt_t") + 1058 .dwattr $C$DW$T$113, DW_AT_type(*$C$DW$T$110) + 1059 .dwattr $C$DW$T$113, DW_AT_language(DW_LANG_C) + 1060 .dwattr $C$DW$T$113, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1061 .dwattr $C$DW$T$113, DW_AT_decl_line(0x34) + 1062 .dwattr $C$DW$T$113, DW_AT_decl_column(0x14) + 1063 + 1064 $C$DW$T$114 .dwtag DW_TAG_typedef + 1065 .dwattr $C$DW$T$114, DW_AT_name("__ino_t") + 1066 .dwattr $C$DW$T$114, DW_AT_type(*$C$DW$T$110) + 1067 .dwattr $C$DW$T$114, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 21 + + 1068 .dwattr $C$DW$T$114, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1069 .dwattr $C$DW$T$114, DW_AT_decl_line(0x37) + 1070 .dwattr $C$DW$T$114, DW_AT_decl_column(0x14) + 1071 + 1072 $C$DW$T$115 .dwtag DW_TAG_typedef + 1073 .dwattr $C$DW$T$115, DW_AT_name("__nlink_t") + 1074 .dwattr $C$DW$T$115, DW_AT_type(*$C$DW$T$110) + 1075 .dwattr $C$DW$T$115, DW_AT_language(DW_LANG_C) + 1076 .dwattr $C$DW$T$115, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1077 .dwattr $C$DW$T$115, DW_AT_decl_line(0x3d) + 1078 .dwattr $C$DW$T$115, DW_AT_decl_column(0x14) + 1079 + 1080 $C$DW$T$116 .dwtag DW_TAG_typedef + 1081 .dwattr $C$DW$T$116, DW_AT_name("__uint_fast64_t") + 1082 .dwattr $C$DW$T$116, DW_AT_type(*$C$DW$T$110) + 1083 .dwattr $C$DW$T$116, DW_AT_language(DW_LANG_C) + 1084 .dwattr $C$DW$T$116, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1085 .dwattr $C$DW$T$116, DW_AT_decl_line(0x73) + 1086 .dwattr $C$DW$T$116, DW_AT_decl_column(0x14) + 1087 + 1088 $C$DW$T$117 .dwtag DW_TAG_typedef + 1089 .dwattr $C$DW$T$117, DW_AT_name("__uint_least64_t") + 1090 .dwattr $C$DW$T$117, DW_AT_type(*$C$DW$T$110) + 1091 .dwattr $C$DW$T$117, DW_AT_language(DW_LANG_C) + 1092 .dwattr $C$DW$T$117, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1093 .dwattr $C$DW$T$117, DW_AT_decl_line(0x77) + 1094 .dwattr $C$DW$T$117, DW_AT_decl_column(0x14) + 1095 + 1096 $C$DW$T$118 .dwtag DW_TAG_typedef + 1097 .dwattr $C$DW$T$118, DW_AT_name("__uintmax_t") + 1098 .dwattr $C$DW$T$118, DW_AT_type(*$C$DW$T$110) + 1099 .dwattr $C$DW$T$118, DW_AT_language(DW_LANG_C) + 1100 .dwattr $C$DW$T$118, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1101 .dwattr $C$DW$T$118, DW_AT_decl_line(0x69) + 1102 .dwattr $C$DW$T$118, DW_AT_decl_column(0x14) + 1103 + 1104 $C$DW$T$119 .dwtag DW_TAG_typedef + 1105 .dwattr $C$DW$T$119, DW_AT_name("__rman_res_t") + 1106 .dwattr $C$DW$T$119, DW_AT_type(*$C$DW$T$118) + 1107 .dwattr $C$DW$T$119, DW_AT_language(DW_LANG_C) + 1108 .dwattr $C$DW$T$119, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1109 .dwattr $C$DW$T$119, DW_AT_decl_line(0x9a) + 1110 .dwattr $C$DW$T$119, DW_AT_decl_column(0x19) + 1111 + 1112 $C$DW$T$16 .dwtag DW_TAG_base_type + 1113 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 1114 .dwattr $C$DW$T$16, DW_AT_name("float") + 1115 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 1116 + 1117 $C$DW$T$120 .dwtag DW_TAG_typedef + 1118 .dwattr $C$DW$T$120, DW_AT_name("__float_t") + 1119 .dwattr $C$DW$T$120, DW_AT_type(*$C$DW$T$16) + 1120 .dwattr $C$DW$T$120, DW_AT_language(DW_LANG_C) + 1121 .dwattr $C$DW$T$120, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1122 .dwattr $C$DW$T$120, DW_AT_decl_line(0x4d) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 22 + + 1123 .dwattr $C$DW$T$120, DW_AT_decl_column(0x10) + 1124 + 1125 $C$DW$T$17 .dwtag DW_TAG_base_type + 1126 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 1127 .dwattr $C$DW$T$17, DW_AT_name("double") + 1128 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 1129 + 1130 $C$DW$T$121 .dwtag DW_TAG_typedef + 1131 .dwattr $C$DW$T$121, DW_AT_name("__double_t") + 1132 .dwattr $C$DW$T$121, DW_AT_type(*$C$DW$T$17) + 1133 .dwattr $C$DW$T$121, DW_AT_language(DW_LANG_C) + 1134 .dwattr $C$DW$T$121, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1135 .dwattr $C$DW$T$121, DW_AT_decl_line(0x4c) + 1136 .dwattr $C$DW$T$121, DW_AT_decl_column(0x11) + 1137 + 1138 $C$DW$T$18 .dwtag DW_TAG_base_type + 1139 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 1140 .dwattr $C$DW$T$18, DW_AT_name("long double") + 1141 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 1142 + 1143 $C$DW$T$37 .dwtag DW_TAG_const_type + 1144 .dwattr $C$DW$T$37, DW_AT_type(*$C$DW$T$6) + 1145 + 1146 $C$DW$T$38 .dwtag DW_TAG_pointer_type + 1147 .dwattr $C$DW$T$38, DW_AT_type(*$C$DW$T$37) + 1148 .dwattr $C$DW$T$38, DW_AT_address_class(0x10) + 1149 + 1150 $C$DW$T$39 .dwtag DW_TAG_restrict_type + 1151 .dwattr $C$DW$T$39, DW_AT_type(*$C$DW$T$38) + 1152 + 1153 + 1154 $C$DW$T$122 .dwtag DW_TAG_array_type + 1155 .dwattr $C$DW$T$122, DW_AT_type(*$C$DW$T$37) + 1156 .dwattr $C$DW$T$122, DW_AT_language(DW_LANG_C) + 1157 .dwattr $C$DW$T$122, DW_AT_byte_size(0x21) + 1158 $C$DW$20 .dwtag DW_TAG_subrange_type + 1159 .dwattr $C$DW$20, DW_AT_upper_bound(0x20) + 1160 + 1161 .dwendtag $C$DW$T$122 + 1162 + 1163 + 1164 $C$DW$T$123 .dwtag DW_TAG_array_type + 1165 .dwattr $C$DW$T$123, DW_AT_type(*$C$DW$T$6) + 1166 .dwattr $C$DW$T$123, DW_AT_language(DW_LANG_C) + 1167 .dwattr $C$DW$T$123, DW_AT_byte_size(0x21) + 1168 $C$DW$21 .dwtag DW_TAG_subrange_type + 1169 .dwattr $C$DW$21, DW_AT_upper_bound(0x20) + 1170 + 1171 .dwendtag $C$DW$T$123 + 1172 + 1173 $C$DW$T$124 .dwtag DW_TAG_pointer_type + 1174 .dwattr $C$DW$T$124, DW_AT_type(*$C$DW$T$6) + 1175 .dwattr $C$DW$T$124, DW_AT_address_class(0x10) + 1176 + 1177 $C$DW$T$125 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 23 + + 1178 .dwattr $C$DW$T$125, DW_AT_name("__va_list") + 1179 .dwattr $C$DW$T$125, DW_AT_type(*$C$DW$T$124) + 1180 .dwattr $C$DW$T$125, DW_AT_language(DW_LANG_C) + 1181 .dwattr $C$DW$T$125, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1182 .dwattr $C$DW$T$125, DW_AT_decl_line(0x92) + 1183 .dwattr $C$DW$T$125, DW_AT_decl_column(0x0f) + 1184 + 1185 $C$DW$T$126 .dwtag DW_TAG_typedef + 1186 .dwattr $C$DW$T$126, DW_AT_name("va_list") + 1187 .dwattr $C$DW$T$126, DW_AT_type(*$C$DW$T$125) + 1188 .dwattr $C$DW$T$126, DW_AT_language(DW_LANG_C) + 1189 .dwattr $C$DW$T$126, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1190 .dwattr $C$DW$T$126, DW_AT_decl_line(0x33) + 1191 .dwattr $C$DW$T$126, DW_AT_decl_column(0x13) + 1192 + 1193 + 1194 $C$DW$T$19 .dwtag DW_TAG_structure_type + 1195 .dwattr $C$DW$T$19, DW_AT_name("__mq") + 1196 .dwattr $C$DW$T$19, DW_AT_declaration + 1197 .dwattr $C$DW$T$19, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1198 .dwattr $C$DW$T$19, DW_AT_decl_line(0x4c) + 1199 .dwattr $C$DW$T$19, DW_AT_decl_column(0x10) + 1200 .dwendtag $C$DW$T$19 + 1201 + 1202 $C$DW$T$127 .dwtag DW_TAG_pointer_type + 1203 .dwattr $C$DW$T$127, DW_AT_type(*$C$DW$T$19) + 1204 .dwattr $C$DW$T$127, DW_AT_address_class(0x10) + 1205 + 1206 $C$DW$T$128 .dwtag DW_TAG_typedef + 1207 .dwattr $C$DW$T$128, DW_AT_name("__mqd_t") + 1208 .dwattr $C$DW$T$128, DW_AT_type(*$C$DW$T$127) + 1209 .dwattr $C$DW$T$128, DW_AT_language(DW_LANG_C) + 1210 .dwattr $C$DW$T$128, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1211 .dwattr $C$DW$T$128, DW_AT_decl_line(0x4c) + 1212 .dwattr $C$DW$T$128, DW_AT_decl_column(0x16) + 1213 + 1214 + 1215 $C$DW$T$23 .dwtag DW_TAG_structure_type + 1216 .dwattr $C$DW$T$23, DW_AT_name("__sFILE") + 1217 .dwattr $C$DW$T$23, DW_AT_byte_size(0x0c) + 1218 $C$DW$22 .dwtag DW_TAG_member + 1219 .dwattr $C$DW$22, DW_AT_type(*$C$DW$T$10) + 1220 .dwattr $C$DW$22, DW_AT_name("fd") + 1221 .dwattr $C$DW$22, DW_AT_TI_symbol_name("fd") + 1222 .dwattr $C$DW$22, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 1223 .dwattr $C$DW$22, DW_AT_accessibility(DW_ACCESS_public) + 1224 .dwattr $C$DW$22, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1225 .dwattr $C$DW$22, DW_AT_decl_line(0x52) + 1226 .dwattr $C$DW$22, DW_AT_decl_column(0x0b) + 1227 + 1228 $C$DW$23 .dwtag DW_TAG_member + 1229 .dwattr $C$DW$23, DW_AT_type(*$C$DW$T$22) + 1230 .dwattr $C$DW$23, DW_AT_name("buf") + 1231 .dwattr $C$DW$23, DW_AT_TI_symbol_name("buf") + 1232 .dwattr $C$DW$23, DW_AT_data_member_location[DW_OP_plus_uconst 0x2] + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 24 + + 1233 .dwattr $C$DW$23, DW_AT_accessibility(DW_ACCESS_public) + 1234 .dwattr $C$DW$23, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1235 .dwattr $C$DW$23, DW_AT_decl_line(0x53) + 1236 .dwattr $C$DW$23, DW_AT_decl_column(0x16) + 1237 + 1238 $C$DW$24 .dwtag DW_TAG_member + 1239 .dwattr $C$DW$24, DW_AT_type(*$C$DW$T$22) + 1240 .dwattr $C$DW$24, DW_AT_name("pos") + 1241 .dwattr $C$DW$24, DW_AT_TI_symbol_name("pos") + 1242 .dwattr $C$DW$24, DW_AT_data_member_location[DW_OP_plus_uconst 0x4] + 1243 .dwattr $C$DW$24, DW_AT_accessibility(DW_ACCESS_public) + 1244 .dwattr $C$DW$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1245 .dwattr $C$DW$24, DW_AT_decl_line(0x54) + 1246 .dwattr $C$DW$24, DW_AT_decl_column(0x16) + 1247 + 1248 $C$DW$25 .dwtag DW_TAG_member + 1249 .dwattr $C$DW$25, DW_AT_type(*$C$DW$T$22) + 1250 .dwattr $C$DW$25, DW_AT_name("bufend") + 1251 .dwattr $C$DW$25, DW_AT_TI_symbol_name("bufend") + 1252 .dwattr $C$DW$25, DW_AT_data_member_location[DW_OP_plus_uconst 0x6] + 1253 .dwattr $C$DW$25, DW_AT_accessibility(DW_ACCESS_public) + 1254 .dwattr $C$DW$25, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1255 .dwattr $C$DW$25, DW_AT_decl_line(0x55) + 1256 .dwattr $C$DW$25, DW_AT_decl_column(0x16) + 1257 + 1258 $C$DW$26 .dwtag DW_TAG_member + 1259 .dwattr $C$DW$26, DW_AT_type(*$C$DW$T$22) + 1260 .dwattr $C$DW$26, DW_AT_name("buff_stop") + 1261 .dwattr $C$DW$26, DW_AT_TI_symbol_name("buff_stop") + 1262 .dwattr $C$DW$26, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 1263 .dwattr $C$DW$26, DW_AT_accessibility(DW_ACCESS_public) + 1264 .dwattr $C$DW$26, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1265 .dwattr $C$DW$26, DW_AT_decl_line(0x56) + 1266 .dwattr $C$DW$26, DW_AT_decl_column(0x16) + 1267 + 1268 $C$DW$27 .dwtag DW_TAG_member + 1269 .dwattr $C$DW$27, DW_AT_type(*$C$DW$T$11) + 1270 .dwattr $C$DW$27, DW_AT_name("flags") + 1271 .dwattr $C$DW$27, DW_AT_TI_symbol_name("flags") + 1272 .dwattr $C$DW$27, DW_AT_data_member_location[DW_OP_plus_uconst 0xa] + 1273 .dwattr $C$DW$27, DW_AT_accessibility(DW_ACCESS_public) + 1274 .dwattr $C$DW$27, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1275 .dwattr $C$DW$27, DW_AT_decl_line(0x57) + 1276 .dwattr $C$DW$27, DW_AT_decl_column(0x16) + 1277 + 1278 .dwattr $C$DW$T$23, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1279 .dwattr $C$DW$T$23, DW_AT_decl_line(0x51) + 1280 .dwattr $C$DW$T$23, DW_AT_decl_column(0x08) + 1281 .dwendtag $C$DW$T$23 + 1282 + 1283 $C$DW$T$129 .dwtag DW_TAG_typedef + 1284 .dwattr $C$DW$T$129, DW_AT_name("FILE") + 1285 .dwattr $C$DW$T$129, DW_AT_type(*$C$DW$T$23) + 1286 .dwattr $C$DW$T$129, DW_AT_language(DW_LANG_C) + 1287 .dwattr $C$DW$T$129, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 25 + + 1288 .dwattr $C$DW$T$129, DW_AT_decl_line(0x5c) + 1289 .dwattr $C$DW$T$129, DW_AT_decl_column(0x18) + 1290 + 1291 + 1292 $C$DW$T$20 .dwtag DW_TAG_structure_type + 1293 .dwattr $C$DW$T$20, DW_AT_name("__timer") + 1294 .dwattr $C$DW$T$20, DW_AT_declaration + 1295 .dwattr $C$DW$T$20, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1296 .dwattr $C$DW$T$20, DW_AT_decl_line(0x4b) + 1297 .dwattr $C$DW$T$20, DW_AT_decl_column(0x10) + 1298 .dwendtag $C$DW$T$20 + 1299 + 1300 $C$DW$T$130 .dwtag DW_TAG_pointer_type + 1301 .dwattr $C$DW$T$130, DW_AT_type(*$C$DW$T$20) + 1302 .dwattr $C$DW$T$130, DW_AT_address_class(0x10) + 1303 + 1304 $C$DW$T$131 .dwtag DW_TAG_typedef + 1305 .dwattr $C$DW$T$131, DW_AT_name("__timer_t") + 1306 .dwattr $C$DW$T$131, DW_AT_type(*$C$DW$T$130) + 1307 .dwattr $C$DW$T$131, DW_AT_language(DW_LANG_C) + 1308 .dwattr $C$DW$T$131, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1309 .dwattr $C$DW$T$131, DW_AT_decl_line(0x4b) + 1310 .dwattr $C$DW$T$131, DW_AT_decl_column(0x19) + 1311 + 1312 .dwattr $C$DW$CU, DW_AT_language(DW_LANG_C) + 1313 + 1314 ;*************************************************************** + 1315 ;* DWARF CIE ENTRIES * + 1316 ;*************************************************************** + 1317 + 1318 $C$DW$CIE .dwcie 16 + 1319 .dwcfi cfa_register, 1 + 1320 .dwcfi cfa_offset, 0 + 1321 .dwcfi same_value, 0 + 1322 .dwcfi same_value, 1 + 1323 .dwcfi same_value, 3 + 1324 .dwcfi same_value, 4 + 1325 .dwcfi same_value, 5 + 1326 .dwcfi same_value, 6 + 1327 .dwcfi same_value, 7 + 1328 .dwcfi same_value, 8 + 1329 .dwcfi same_value, 9 + 1330 .dwcfi same_value, 10 + 1331 .dwendentry + 1332 + 1333 ;*************************************************************** + 1334 ;* DWARF REGISTER MAP * + 1335 ;*************************************************************** + 1336 + 1337 $C$DW$28 .dwtag DW_TAG_TI_assign_register + 1338 .dwattr $C$DW$28, DW_AT_name("PC") + 1339 .dwattr $C$DW$28, DW_AT_location[DW_OP_reg0] + 1340 + 1341 $C$DW$29 .dwtag DW_TAG_TI_assign_register + 1342 .dwattr $C$DW$29, DW_AT_name("SP") + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 26 + + 1343 .dwattr $C$DW$29, DW_AT_location[DW_OP_reg1] + 1344 + 1345 $C$DW$30 .dwtag DW_TAG_TI_assign_register + 1346 .dwattr $C$DW$30, DW_AT_name("SR") + 1347 .dwattr $C$DW$30, DW_AT_location[DW_OP_reg2] + 1348 + 1349 $C$DW$31 .dwtag DW_TAG_TI_assign_register + 1350 .dwattr $C$DW$31, DW_AT_name("CG") + 1351 .dwattr $C$DW$31, DW_AT_location[DW_OP_reg3] + 1352 + 1353 $C$DW$32 .dwtag DW_TAG_TI_assign_register + 1354 .dwattr $C$DW$32, DW_AT_name("r4") + 1355 .dwattr $C$DW$32, DW_AT_location[DW_OP_reg4] + 1356 + 1357 $C$DW$33 .dwtag DW_TAG_TI_assign_register + 1358 .dwattr $C$DW$33, DW_AT_name("r5") + 1359 .dwattr $C$DW$33, DW_AT_location[DW_OP_reg5] + 1360 + 1361 $C$DW$34 .dwtag DW_TAG_TI_assign_register + 1362 .dwattr $C$DW$34, DW_AT_name("r6") + 1363 .dwattr $C$DW$34, DW_AT_location[DW_OP_reg6] + 1364 + 1365 $C$DW$35 .dwtag DW_TAG_TI_assign_register + 1366 .dwattr $C$DW$35, DW_AT_name("r7") + 1367 .dwattr $C$DW$35, DW_AT_location[DW_OP_reg7] + 1368 + 1369 $C$DW$36 .dwtag DW_TAG_TI_assign_register + 1370 .dwattr $C$DW$36, DW_AT_name("r8") + 1371 .dwattr $C$DW$36, DW_AT_location[DW_OP_reg8] + 1372 + 1373 $C$DW$37 .dwtag DW_TAG_TI_assign_register + 1374 .dwattr $C$DW$37, DW_AT_name("r9") + 1375 .dwattr $C$DW$37, DW_AT_location[DW_OP_reg9] + 1376 + 1377 $C$DW$38 .dwtag DW_TAG_TI_assign_register + 1378 .dwattr $C$DW$38, DW_AT_name("r10") + 1379 .dwattr $C$DW$38, DW_AT_location[DW_OP_reg10] + 1380 + 1381 $C$DW$39 .dwtag DW_TAG_TI_assign_register + 1382 .dwattr $C$DW$39, DW_AT_name("r11") + 1383 .dwattr $C$DW$39, DW_AT_location[DW_OP_reg11] + 1384 + 1385 $C$DW$40 .dwtag DW_TAG_TI_assign_register + 1386 .dwattr $C$DW$40, DW_AT_name("r12") + 1387 .dwattr $C$DW$40, DW_AT_location[DW_OP_reg12] + 1388 + 1389 $C$DW$41 .dwtag DW_TAG_TI_assign_register + 1390 .dwattr $C$DW$41, DW_AT_name("r13") + 1391 .dwattr $C$DW$41, DW_AT_location[DW_OP_reg13] + 1392 + 1393 $C$DW$42 .dwtag DW_TAG_TI_assign_register + 1394 .dwattr $C$DW$42, DW_AT_name("r14") + 1395 .dwattr $C$DW$42, DW_AT_location[DW_OP_reg14] + 1396 + 1397 $C$DW$43 .dwtag DW_TAG_TI_assign_register + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:36:09 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{758C701A-39DA-4049-A45A-556A10E78F50} PAGE 27 + + 1398 .dwattr $C$DW$43, DW_AT_name("r15") + 1399 .dwattr $C$DW$43, DW_AT_location[DW_OP_reg15] + 1400 + 1401 $C$DW$44 .dwtag DW_TAG_TI_assign_register + 1402 .dwattr $C$DW$44, DW_AT_name("CIE_RETA") + 1403 .dwattr $C$DW$44, DW_AT_location[DW_OP_reg16] + 1404 + 1405 .dwendtag $C$DW$CU + 1406 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/L1_Problem2/Debug/problem2.obj b/CPE325/L1_Problem2/Debug/problem2.obj new file mode 100644 index 0000000..e11cab2 Binary files /dev/null and b/CPE325/L1_Problem2/Debug/problem2.obj differ diff --git a/CPE325/L1_Problem2/Debug/sources.mk b/CPE325/L1_Problem2/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/L1_Problem2/Debug/subdir_rules.mk b/CPE325/L1_Problem2/Debug/subdir_rules.mk new file mode 100644 index 0000000..107ac90 --- /dev/null +++ b/CPE325/L1_Problem2/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/L1_Problem2" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/L1_Problem2/problem2.c b/CPE325/L1_Problem2/problem2.c new file mode 100644 index 0000000..f421e85 --- /dev/null +++ b/CPE325/L1_Problem2/problem2.c @@ -0,0 +1,32 @@ +/*------------------------------------------------------------------------------ + * File: problem2.c + * Function: Change lowercase letters to uppercase letters + * Description: This C program changes the message “Welcome to CPE 325 in Fall 2021!” to + * "WELCOME TO CPE 325 IN FALL 2021!" + * Input: None + * Output: "WELCOME TO CPE 325 IN FALL 2021!" + * Author(s): Noah Woodlee + * Lab Section: 09 + * Date: Aug 20, 2021 + *----------------------------------------------------------------------------*/ +#include +#include +#include + + + +int main(){ + int i; + char msg[] ="Welcome to CPE 325 in Fall 2021!"; + size_t size = sizeof(msg)/sizeof(msg[0]); // size of msg[] + for (i=0; i < size;i++){ // loop over the array + char str = msg[i]; // store the character in msg[i] as a char in str + int str_as_int=(int)str; // covert string to an integer + // check if str is lower-case + if (islower(str)){ + msg[i]=str_as_int-32; // if it is, subtract 32 from the character value + } + else msg[i]=str_as_int; //else keep the string the same + } + printf("%s\n",msg); // print output +} diff --git a/CPE325/L1_Problem2/targetConfigs/MSP430F5529.ccxml b/CPE325/L1_Problem2/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/L1_Problem2/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/L1_Problem2/targetConfigs/readme.txt b/CPE325/L1_Problem2/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/L1_Problem2/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/L2_P1/Debug/L2_P1.map b/CPE325/L2_P1/Debug/L2_P1.map new file mode 100644 index 0000000..82516c1 --- /dev/null +++ b/CPE325/L2_P1/Debug/L2_P1.map @@ -0,0 +1,2441 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Sep 3 14:07:12 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00008bee + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 00000494 00001b6c RWIX + FLASH 00004400 0000bb80 00005198 000069e8 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 0000264c 000000fe UNINITIALIZED + 0000264c 00000078 rts430_eabi.lib : defs.c.obj (.data:_ftable) + 000026c4 0000004e : host_device.c.obj (.data:_device) + 00002712 00000028 : host_device.c.obj (.data:_stream) + 0000273a 00000002 : exit_gvars.c.obj (.data:__TI_cleanup_ptr) + 0000273c 00000002 : exit_gvars.c.obj (.data:__TI_dtors_ptr) + 0000273e 00000002 : _lock.c.obj (.data:_lock) + 00002740 00000002 : _lock.c.obj (.data:_unlock) + 00002742 00000002 : defs.c.obj (.data) + 00002744 00000002 : errno.c.obj (.data) + 00002746 00000002 : exit.c.obj (.data) + 00002748 00000002 : memory.c.obj (.data) + +.bss 0 0000274a 000000aa UNINITIALIZED + 0000274a 000000a0 (.common:__TI_tmpnams) + 000027ea 00000008 (.common:parmbuf) + 000027f2 00000002 rts430_eabi.lib : memory.c.obj (.bss) + +.sysmem 0 00002400 0000012c UNINITIALIZED + 00002400 00000008 rts430_eabi.lib : memory.c.obj (.sysmem) + 00002408 00000124 --HOLE-- + +.cio 0 0000252c 00000120 UNINITIALIZED + 0000252c 00000120 rts430_eabi.lib : trgmsg.c.obj (.cio) + +.stack 0 00004360 000000a0 UNINITIALIZED + 00004360 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 00004362 0000009e --HOLE-- + +.text 0 00004400 00004978 + 00004400 00000736 rts430_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 00004b36 00000478 : frcdivd.c.obj (.text:__TI_frcdivd) + 00004fae 00000360 : div64u.c.obj (.text:__mspabi_divull) + 0000530e 000002c0 : mpyd.c.obj (.text:__mspabi_mpyd) + 000055ce 0000028c p1.obj (.text:main) + 0000585a 00000288 rts430_eabi.lib : _printfi.c.obj (.text:__TI_printfi) + 00005ae2 0000026e : _printfi.c.obj (.text:_pproc_fgea) + 00005d50 00000252 : _printfi.c.obj (.text:_setfield) + 00005fa2 00000244 : _printfi.c.obj (.text:acvt) + 000061e6 00000238 : divd.c.obj (.text:__mspabi_divd) + 0000641e 000001ec : _printfi.c.obj (.text:_getarg_diouxp) + 0000660a 000001de : _printfi.c.obj (.text:ecvt) + 000067e8 000001c4 : _printfi.c.obj (.text:fcvt) + 000069ac 000001a6 : _printfi.c.obj (.text:_pconv_e) + 00006b52 00000174 : _printfi.c.obj (.text:_pconv_a) + 00006cc6 00000172 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 00006e38 0000016a : s_scalbn.c.obj (.text:scalbn) + 00006fa2 0000014c : _printfi.c.obj (.text:_pproc_diouxp) + 000070ee 0000012e : _printfi.c.obj (.text:_ltostr) + 0000721c 000000f8 : _printfi.c.obj (.text:_pconv_g) + 00007314 000000f6 : memory.c.obj (.text:aligned_alloc) + 0000740a 000000ea : fputs.c.obj (.text:fputs) + 000074f4 000000e0 : _printfi.c.obj (.text:_pproc_fwp) + 000075d4 000000dc : cmpd.c.obj (.text:__mspabi_cmpd) + 000076b0 000000d2 : memory.c.obj (.text:free) + 00007782 000000d0 : setvbuf.c.obj (.text:setvbuf) + 00007852 000000c4 : _printfi.c.obj (.text:_pproc_wstr) + 00007916 000000b0 : s_frexp.c.obj (.text:frexp) + 000079c6 000000ac : fltlid.c.obj (.text:__mspabi_fltlid) + 00007a72 000000ac : _printfi.c.obj (.text:_pproc_str) + 00007b1e 00000092 : _printfi.c.obj (.text:_mcpy) + 00007bb0 00000090 : fputc.c.obj (.text:fputc) + 00007c40 0000008e : _printfi.c.obj (.text:_ecpy) + 00007cce 00000088 : hostlseek.c.obj (.text:HOSTlseek) + 00007d56 00000084 : _ltoa.c.obj (.text:__TI_ltoa) + 00007dda 0000007c : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00007e56 0000007a : _io_perm.c.obj (.text:__TI_wrt_ok) + 00007ed0 0000007a : _printfi.c.obj (.text:_pconv_f) + 00007f4a 00000072 : fclose.c.obj (.text:__TI_closefile) + 00007fbc 00000072 : fixdli.c.obj (.text:__mspabi_fixdli) + 0000802e 00000070 : cvtfd.c.obj (.text:__mspabi_cvtfd) + 0000809e 00000070 : fseek.c.obj (.text:fseek) + 0000810e 0000006a : hostrename.c.obj (.text:HOSTrename) + 00008178 00000068 : memory.c.obj (.text:split) + 000081e0 00000066 : hostopen.c.obj (.text:HOSTopen) + 00008246 00000062 : hostwrite.c.obj (.text:HOSTwrite) + 000082a8 00000062 : fflush.c.obj (.text:__TI_doflush) + 0000830a 00000060 : hostread.c.obj (.text:HOSTread) + 0000836a 0000005e : mult64_f5hw.asm.obj (.text:__mpyll) + 000083c8 0000005c : lsr32.asm.obj (.text:l_lsr_const) + 00008424 00000058 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 0000847c 00000058 : getdevice.c.obj (.text:getdevice) + 000084d4 00000058 : div32u.asm.obj (.text) + 0000852c 00000052 : atoi.c.obj (.text:atoi) + 0000857e 0000004e : _printfi.c.obj (.text:_fcpy) + 000085cc 0000004c : lsr16.asm.obj (.text) + 00008618 0000004a : asr64.c.obj (.text:__mspabi_srall) + 00008662 0000004a : close.c.obj (.text:close) + 000086ac 00000046 : lsr64.c.obj (.text:__mspabi_srlll) + 000086f2 00000044 : hostclose.c.obj (.text:HOSTclose) + 00008736 00000044 : lsl64.c.obj (.text:__mspabi_sllll) + 0000877a 00000044 : exit.c.obj (.text:exit) + 000087be 00000040 : hostunlink.c.obj (.text:HOSTunlink) + 000087fe 00000040 : div32s.asm.obj (.text) + 0000883e 0000003e : asr32.asm.obj (.text:l_asr_const) + 0000887c 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 000088ba 0000003c : printf.c.obj (.text:printf) + 000088f6 00000034 : fopen.c.obj (.text:__TI_cleanup) + 0000892a 00000034 : getdevice.c.obj (.text:finddevice) + 0000895e 0000002e : trgmsg.c.obj (.text:__TI_writemsg) + 0000898c 0000002e : subd.c.obj (.text:__mspabi_subd) + 000089ba 0000002e : s_copysign.c.obj (.text:copysign) + 000089e8 0000002c : trgmsg.c.obj (.text:__TI_readmsg) + 00008a14 0000002c : asr16.asm.obj (.text) + 00008a40 0000002c : lsl16.asm.obj (.text) + 00008a6c 0000002c : strncpy.c.obj (.text:strncpy) + 00008a98 0000002a : negd.c.obj (.text:__mspabi_negd) + 00008ac2 00000028 : mult3264_f5hw.asm.obj (.text:__mpyull) + 00008aea 00000028 : fixdi.c.obj (.text:__mspabi_fixdi) + 00008b12 00000028 : memory.c.obj (.text:free_list_insert) + 00008b3a 00000028 : unlink.c.obj (.text:unlink) + 00008b62 00000026 : lseek.c.obj (.text:lseek) + 00008b88 00000024 : write.c.obj (.text:write) + 00008bac 00000022 : memccpy.c.obj (.text:memccpy) + 00008bce 00000020 : mult32_f5hw.asm.obj (.text) + 00008bee 0000001c : boot.c.obj (.text:_c_int00_noargs) + 00008c0a 0000001c : memory.c.obj (.text:free_list_remove) + 00008c26 0000001a : strchr.c.obj (.text:strchr) + 00008c40 00000018 : strcmp.c.obj (.text:strcmp) + 00008c58 00000016 : div16u.asm.obj (.text) + 00008c6e 00000014 : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 00008c82 00000014 : memchr.c.obj (.text:memchr) + 00008c96 00000014 : memset.c.obj (.text:memset) + 00008caa 00000014 : mult16_f5hw.asm.obj (.text) + 00008cbe 00000014 : wcslen.c.obj (.text:wcslen) + 00008cd2 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00008ce4 00000012 : lsr32.asm.obj (.text:l_lsr) + 00008cf6 00000012 : memcpy.c.obj (.text:memcpy) + 00008d08 00000010 : epilog.asm.obj (.text) + 00008d18 00000010 : strcpy.c.obj (.text:strcpy) + 00008d28 0000000e : strlen.c.obj (.text:strlen) + 00008d36 0000000c : fltid.c.obj (.text:__mspabi_fltid) + 00008d42 0000000c : toupper.c.obj (.text:toupper) + 00008d4e 0000000a : abs.c.obj (.text:abs) + 00008d58 00000008 : memory.c.obj (.text:malloc) + 00008d60 00000006 : printf.c.obj (.text:_outc) + 00008d66 00000006 : exit.c.obj (.text:abort) + 00008d6c 00000004 : printf.c.obj (.text:_outs) + 00008d70 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00008d74 00000002 : _lock.c.obj (.text:_nop) + 00008d76 00000002 : startup.c.obj (.text:_system_post_cinit) + +.const 0 00008d78 000007c2 + 00008d78 0000069a p1.obj (.const:.string) + 00009412 00000101 rts430_eabi.lib : ctype.c.obj (.const:.string:_ctypes_) + 00009513 00000001 --HOLE-- [fill = 0] + 00009514 00000026 : _printfi.c.obj (.const:.string) + +.text:_isr +* 0 0000953a 00000008 + 0000953a 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00009542 00000056 + 00009542 00000044 (.cinit..data.load) [load image, compression = lzss] + 00009586 00000006 (__TI_handler_table) + 0000958c 00000004 (.cinit..bss.load) [load image, compression = zero_init] + 00009590 00000008 (__TI_cinit_table) + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + p1.obj 652 1690 0 + +--+----------------------------+-------+---------+---------+ + Total: 652 1690 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + _printfi.c.obj 6622 38 0 + addd.c.obj 1846 0 0 + frcdivd.c.obj 1144 0 0 + div64u.c.obj 864 0 0 + mpyd.c.obj 704 0 0 + memory.c.obj 636 0 4 + divd.c.obj 568 0 0 + trgmsg.c.obj 90 0 288 + frcmpyd.c.obj 370 0 0 + s_scalbn.c.obj 362 0 0 + defs.c.obj 0 0 282 + ctype.c.obj 0 257 0 + fputs.c.obj 234 0 0 + cmpd.c.obj 220 0 0 + setvbuf.c.obj 208 0 0 + s_frexp.c.obj 176 0 0 + fltlid.c.obj 172 0 0 + fputc.c.obj 144 0 0 + getdevice.c.obj 140 0 0 + hostlseek.c.obj 136 0 0 + _ltoa.c.obj 132 0 0 + copy_decompress_lzss.c.obj 124 0 0 + _io_perm.c.obj 122 0 0 + host_device.c.obj 0 0 118 + fclose.c.obj 114 0 0 + fixdli.c.obj 114 0 0 + cvtfd.c.obj 112 0 0 + fseek.c.obj 112 0 0 + hostopen.c.obj 102 0 8 + lsr32.asm.obj 110 0 0 + hostrename.c.obj 106 0 0 + fflush.c.obj 98 0 0 + hostwrite.c.obj 98 0 0 + hostread.c.obj 96 0 0 + mult64_f5hw.asm.obj 94 0 0 + autoinit.c.obj 88 0 0 + div32u.asm.obj 88 0 0 + atoi.c.obj 82 0 0 + exit.c.obj 74 0 2 + lsr16.asm.obj 76 0 0 + asr64.c.obj 74 0 0 + close.c.obj 74 0 0 + lsr64.c.obj 70 0 0 + printf.c.obj 70 0 0 + hostclose.c.obj 68 0 0 + lsl64.c.obj 68 0 0 + div32s.asm.obj 64 0 0 + hostunlink.c.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + fopen.c.obj 52 0 0 + s_copysign.c.obj 46 0 0 + subd.c.obj 46 0 0 + asr16.asm.obj 44 0 0 + lsl16.asm.obj 44 0 0 + strncpy.c.obj 44 0 0 + negd.c.obj 42 0 0 + fixdi.c.obj 40 0 0 + mult3264_f5hw.asm.obj 40 0 0 + unlink.c.obj 40 0 0 + lseek.c.obj 38 0 0 + write.c.obj 36 0 0 + memccpy.c.obj 34 0 0 + mult32_f5hw.asm.obj 32 0 0 + boot.c.obj 28 2 0 + strchr.c.obj 26 0 0 + strcmp.c.obj 24 0 0 + div16u.asm.obj 22 0 0 + copy_zero_init.c.obj 20 0 0 + memchr.c.obj 20 0 0 + memset.c.obj 20 0 0 + mult16_f5hw.asm.obj 20 0 0 + wcslen.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + strcpy.c.obj 16 0 0 + strlen.c.obj 14 0 0 + fltid.c.obj 12 0 0 + toupper.c.obj 12 0 0 + abs.c.obj 10 0 0 + isr_trap.asm.obj 8 0 0 + _lock.c.obj 2 0 4 + exit_gvars.c.obj 0 0 4 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 18164 341 712 + + Heap: 0 0 300 + Stack: 0 0 160 + Linker Generated: 0 86 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 18816 2117 1172 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 00009590 records: 2, size/record: 4, table size: 8 + .data: load addr=00009542, load size=00000044 bytes, run addr=0000264c, run size=000000fe bytes, compression=lzss + .bss: load addr=0000958c, load size=00000004 bytes, run addr=0000274a, run size=000000aa bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 00009586 records: 3, size/record: 2, table size: 6 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +00008d66 C$$EXIT +00008988 C$$IO$$ +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +000086f2 HOSTclose +00007cce HOSTlseek +000081e0 HOSTopen +0000830a HOSTread +0000810e HOSTrename +000087be HOSTunlink +00008246 HOSTwrite +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +0000252c _CIOBUF_ +00004400 __STACK_END +000000a0 __STACK_SIZE +0000012c __SYSMEM_SIZE +00009590 __TI_CINIT_Base +00009598 __TI_CINIT_Limit +00009586 __TI_Handler_Table_Base +0000958c __TI_Handler_Table_Limit +0000953a __TI_ISR_TRAP +00008424 __TI_auto_init_nobinit_nopinit_hold_wdt +000088f6 __TI_cleanup +0000273a __TI_cleanup_ptr +00007f4a __TI_closefile +00007dda __TI_decompress_lzss +00008cd2 __TI_decompress_none +000082a8 __TI_doflush +0000273c __TI_dtors_ptr +00002746 __TI_enable_exit_profile_output +00004b36 __TI_frcdivd +00006cc6 __TI_frcmpyd +00002742 __TI_ft_end +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +00007d56 __TI_ltoa +ffffffff __TI_pprof_out_hndl +0000585a __TI_printfi +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +000089e8 __TI_readmsg +0000274a __TI_tmpnams +0000895e __TI_writemsg +00007e56 __TI_wrt_ok +00008c6e __TI_zero_init_nomemset +ffffffff __c_args__ +00004400 __mspabi_addd +000075d4 __mspabi_cmpd +0000802e __mspabi_cvtfd +000061e6 __mspabi_divd +000087fe __mspabi_divli +00008c58 __mspabi_divu +000084d4 __mspabi_divul +00004fae __mspabi_divull +00008aea __mspabi_fixdi +00007fbc __mspabi_fixdli +00008d36 __mspabi_fltid +000079c6 __mspabi_fltlid +00008d14 __mspabi_func_epilog_1 +00008d12 __mspabi_func_epilog_2 +00008d10 __mspabi_func_epilog_3 +00008d0e __mspabi_func_epilog_4 +00008d0c __mspabi_func_epilog_5 +00008d0a __mspabi_func_epilog_6 +00008d08 __mspabi_func_epilog_7 +0000530e __mspabi_mpyd +00008caa __mspabi_mpyi_f5hw +00008bce __mspabi_mpyl_f5hw +0000836a __mspabi_mpyll_f5hw +00008ac2 __mspabi_mpyull_f5hw +00008a98 __mspabi_negd +000087fe __mspabi_remli +00008c58 __mspabi_remu +000084d4 __mspabi_remul +00008a40 __mspabi_slli +00008a68 __mspabi_slli_1 +00008a56 __mspabi_slli_10 +00008a54 __mspabi_slli_11 +00008a52 __mspabi_slli_12 +00008a50 __mspabi_slli_13 +00008a4e __mspabi_slli_14 +00008a4c __mspabi_slli_15 +00008a66 __mspabi_slli_2 +00008a64 __mspabi_slli_3 +00008a62 __mspabi_slli_4 +00008a60 __mspabi_slli_5 +00008a5e __mspabi_slli_6 +00008a5c __mspabi_slli_7 +00008a5a __mspabi_slli_8 +00008a58 __mspabi_slli_9 +000088b4 __mspabi_slll_1 +00008890 __mspabi_slll_10 +0000888c __mspabi_slll_11 +00008888 __mspabi_slll_12 +00008884 __mspabi_slll_13 +00008880 __mspabi_slll_14 +0000887c __mspabi_slll_15 +000088b0 __mspabi_slll_2 +000088ac __mspabi_slll_3 +000088a8 __mspabi_slll_4 +000088a4 __mspabi_slll_5 +000088a0 __mspabi_slll_6 +0000889c __mspabi_slll_7 +00008898 __mspabi_slll_8 +00008894 __mspabi_slll_9 +00008736 __mspabi_sllll +00008a14 __mspabi_srai +00008a3c __mspabi_srai_1 +00008a2a __mspabi_srai_10 +00008a28 __mspabi_srai_11 +00008a26 __mspabi_srai_12 +00008a24 __mspabi_srai_13 +00008a22 __mspabi_srai_14 +00008a20 __mspabi_srai_15 +00008a3a __mspabi_srai_2 +00008a38 __mspabi_srai_3 +00008a36 __mspabi_srai_4 +00008a34 __mspabi_srai_5 +00008a32 __mspabi_srai_6 +00008a30 __mspabi_srai_7 +00008a2e __mspabi_srai_8 +00008a2c __mspabi_srai_9 +00008876 __mspabi_sral_1 +00008852 __mspabi_sral_10 +0000884e __mspabi_sral_11 +0000884a __mspabi_sral_12 +00008846 __mspabi_sral_13 +00008842 __mspabi_sral_14 +0000883e __mspabi_sral_15 +00008872 __mspabi_sral_2 +0000886e __mspabi_sral_3 +0000886a __mspabi_sral_4 +00008866 __mspabi_sral_5 +00008862 __mspabi_sral_6 +0000885e __mspabi_sral_7 +0000885a __mspabi_sral_8 +00008856 __mspabi_sral_9 +00008618 __mspabi_srall +000085cc __mspabi_srli +00008612 __mspabi_srli_1 +000085ee __mspabi_srli_10 +000085ea __mspabi_srli_11 +000085e6 __mspabi_srli_12 +000085e2 __mspabi_srli_13 +000085de __mspabi_srli_14 +000085da __mspabi_srli_15 +0000860e __mspabi_srli_2 +0000860a __mspabi_srli_3 +00008606 __mspabi_srli_4 +00008602 __mspabi_srli_5 +000085fe __mspabi_srli_6 +000085fa __mspabi_srli_7 +000085f6 __mspabi_srli_8 +000085f2 __mspabi_srli_9 +00008ce4 __mspabi_srll +0000841c __mspabi_srll_1 +000083e6 __mspabi_srll_10 +000083e0 __mspabi_srll_11 +000083da __mspabi_srll_12 +000083d4 __mspabi_srll_13 +000083ce __mspabi_srll_14 +000083c8 __mspabi_srll_15 +00008416 __mspabi_srll_2 +00008410 __mspabi_srll_3 +0000840a __mspabi_srll_4 +00008404 __mspabi_srll_5 +000083fe __mspabi_srll_6 +000083f8 __mspabi_srll_7 +000083f2 __mspabi_srll_8 +000083ec __mspabi_srll_9 +000086ac __mspabi_srlll +0000898c __mspabi_subd +00008bee _c_int00_noargs +00009412 _ctypes_ +000026c4 _device +0000264c _ftable +0000273e _lock +00008d74 _nop +0000fffe _reset_vector +00004360 _stack +00002712 _stream +00002400 _sys_memory +00008d76 _system_post_cinit +00008d70 _system_pre_init +00002740 _unlock +00008d66 abort +00008d4e abs +00007314 aligned_alloc +0000852c atoi +00008662 close +000089ba copysign +000089ba copysignl +00002744 errno +0000877a exit +0000892a finddevice +00007bb0 fputc +0000740a fputs +000076b0 free +00007916 frexp +00007916 frexpl +0000809e fseek +0000847c getdevice +00006e38 ldexp +00006e38 ldexpl +00008b62 lseek +000055ce main +00008d58 malloc +00007314 memalign +00008bac memccpy +00008c82 memchr +00008cf6 memcpy +00008c96 memset +000027ea parmbuf +000088ba printf +00007bb0 putc +00008b3a remove +00006e38 scalbn +00006e38 scalbnl +00007782 setvbuf +00008c26 strchr +00008c40 strcmp +00008d18 strcpy +00008d28 strlen +00008a6c strncpy +00008d42 toupper +00008b3a unlink +00008cbe wcslen +00008b88 write + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +000000a0 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012c __SYSMEM_SIZE +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00002400 _sys_memory +0000252c _CIOBUF_ +0000264c _ftable +000026c4 _device +00002712 _stream +0000273a __TI_cleanup_ptr +0000273c __TI_dtors_ptr +0000273e _lock +00002740 _unlock +00002742 __TI_ft_end +00002744 errno +00002746 __TI_enable_exit_profile_output +0000274a __TI_tmpnams +000027ea parmbuf +00004360 _stack +00004400 __STACK_END +00004400 __mspabi_addd +00004b36 __TI_frcdivd +00004fae __mspabi_divull +0000530e __mspabi_mpyd +000055ce main +0000585a __TI_printfi +000061e6 __mspabi_divd +00006cc6 __TI_frcmpyd +00006e38 ldexp +00006e38 ldexpl +00006e38 scalbn +00006e38 scalbnl +00007314 aligned_alloc +00007314 memalign +0000740a fputs +000075d4 __mspabi_cmpd +000076b0 free +00007782 setvbuf +00007916 frexp +00007916 frexpl +000079c6 __mspabi_fltlid +00007bb0 fputc +00007bb0 putc +00007cce HOSTlseek +00007d56 __TI_ltoa +00007dda __TI_decompress_lzss +00007e56 __TI_wrt_ok +00007f4a __TI_closefile +00007fbc __mspabi_fixdli +0000802e __mspabi_cvtfd +0000809e fseek +0000810e HOSTrename +000081e0 HOSTopen +00008246 HOSTwrite +000082a8 __TI_doflush +0000830a HOSTread +0000836a __mspabi_mpyll_f5hw +000083c8 __mspabi_srll_15 +000083ce __mspabi_srll_14 +000083d4 __mspabi_srll_13 +000083da __mspabi_srll_12 +000083e0 __mspabi_srll_11 +000083e6 __mspabi_srll_10 +000083ec __mspabi_srll_9 +000083f2 __mspabi_srll_8 +000083f8 __mspabi_srll_7 +000083fe __mspabi_srll_6 +00008404 __mspabi_srll_5 +0000840a __mspabi_srll_4 +00008410 __mspabi_srll_3 +00008416 __mspabi_srll_2 +0000841c __mspabi_srll_1 +00008424 __TI_auto_init_nobinit_nopinit_hold_wdt +0000847c getdevice +000084d4 __mspabi_divul +000084d4 __mspabi_remul +0000852c atoi +000085cc __mspabi_srli +000085da __mspabi_srli_15 +000085de __mspabi_srli_14 +000085e2 __mspabi_srli_13 +000085e6 __mspabi_srli_12 +000085ea __mspabi_srli_11 +000085ee __mspabi_srli_10 +000085f2 __mspabi_srli_9 +000085f6 __mspabi_srli_8 +000085fa __mspabi_srli_7 +000085fe __mspabi_srli_6 +00008602 __mspabi_srli_5 +00008606 __mspabi_srli_4 +0000860a __mspabi_srli_3 +0000860e __mspabi_srli_2 +00008612 __mspabi_srli_1 +00008618 __mspabi_srall +00008662 close +000086ac __mspabi_srlll +000086f2 HOSTclose +00008736 __mspabi_sllll +0000877a exit +000087be HOSTunlink +000087fe __mspabi_divli +000087fe __mspabi_remli +0000883e __mspabi_sral_15 +00008842 __mspabi_sral_14 +00008846 __mspabi_sral_13 +0000884a __mspabi_sral_12 +0000884e __mspabi_sral_11 +00008852 __mspabi_sral_10 +00008856 __mspabi_sral_9 +0000885a __mspabi_sral_8 +0000885e __mspabi_sral_7 +00008862 __mspabi_sral_6 +00008866 __mspabi_sral_5 +0000886a __mspabi_sral_4 +0000886e __mspabi_sral_3 +00008872 __mspabi_sral_2 +00008876 __mspabi_sral_1 +0000887c __mspabi_slll_15 +00008880 __mspabi_slll_14 +00008884 __mspabi_slll_13 +00008888 __mspabi_slll_12 +0000888c __mspabi_slll_11 +00008890 __mspabi_slll_10 +00008894 __mspabi_slll_9 +00008898 __mspabi_slll_8 +0000889c __mspabi_slll_7 +000088a0 __mspabi_slll_6 +000088a4 __mspabi_slll_5 +000088a8 __mspabi_slll_4 +000088ac __mspabi_slll_3 +000088b0 __mspabi_slll_2 +000088b4 __mspabi_slll_1 +000088ba printf +000088f6 __TI_cleanup +0000892a finddevice +0000895e __TI_writemsg +00008988 C$$IO$$ +0000898c __mspabi_subd +000089ba copysign +000089ba copysignl +000089e8 __TI_readmsg +00008a14 __mspabi_srai +00008a20 __mspabi_srai_15 +00008a22 __mspabi_srai_14 +00008a24 __mspabi_srai_13 +00008a26 __mspabi_srai_12 +00008a28 __mspabi_srai_11 +00008a2a __mspabi_srai_10 +00008a2c __mspabi_srai_9 +00008a2e __mspabi_srai_8 +00008a30 __mspabi_srai_7 +00008a32 __mspabi_srai_6 +00008a34 __mspabi_srai_5 +00008a36 __mspabi_srai_4 +00008a38 __mspabi_srai_3 +00008a3a __mspabi_srai_2 +00008a3c __mspabi_srai_1 +00008a40 __mspabi_slli +00008a4c __mspabi_slli_15 +00008a4e __mspabi_slli_14 +00008a50 __mspabi_slli_13 +00008a52 __mspabi_slli_12 +00008a54 __mspabi_slli_11 +00008a56 __mspabi_slli_10 +00008a58 __mspabi_slli_9 +00008a5a __mspabi_slli_8 +00008a5c __mspabi_slli_7 +00008a5e __mspabi_slli_6 +00008a60 __mspabi_slli_5 +00008a62 __mspabi_slli_4 +00008a64 __mspabi_slli_3 +00008a66 __mspabi_slli_2 +00008a68 __mspabi_slli_1 +00008a6c strncpy +00008a98 __mspabi_negd +00008ac2 __mspabi_mpyull_f5hw +00008aea __mspabi_fixdi +00008b3a remove +00008b3a unlink +00008b62 lseek +00008b88 write +00008bac memccpy +00008bce __mspabi_mpyl_f5hw +00008bee _c_int00_noargs +00008c26 strchr +00008c40 strcmp +00008c58 __mspabi_divu +00008c58 __mspabi_remu +00008c6e __TI_zero_init_nomemset +00008c82 memchr +00008c96 memset +00008caa __mspabi_mpyi_f5hw +00008cbe wcslen +00008cd2 __TI_decompress_none +00008ce4 __mspabi_srll +00008cf6 memcpy +00008d08 __mspabi_func_epilog_7 +00008d0a __mspabi_func_epilog_6 +00008d0c __mspabi_func_epilog_5 +00008d0e __mspabi_func_epilog_4 +00008d10 __mspabi_func_epilog_3 +00008d12 __mspabi_func_epilog_2 +00008d14 __mspabi_func_epilog_1 +00008d18 strcpy +00008d28 strlen +00008d36 __mspabi_fltid +00008d42 toupper +00008d4e abs +00008d58 malloc +00008d66 C$$EXIT +00008d66 abort +00008d70 _system_pre_init +00008d74 _nop +00008d76 _system_post_cinit +00009412 _ctypes_ +0000953a __TI_ISR_TRAP +00009586 __TI_Handler_Table_Base +0000958c __TI_Handler_Table_Limit +00009590 __TI_CINIT_Base +00009598 __TI_CINIT_Limit +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[975 symbols] diff --git a/CPE325/L2_P1/Debug/L2_P1.out b/CPE325/L2_P1/Debug/L2_P1.out new file mode 100644 index 0000000..875215e Binary files /dev/null and b/CPE325/L2_P1/Debug/L2_P1.out differ diff --git a/CPE325/L2_P1/Debug/L2_P1_linkInfo.xml b/CPE325/L2_P1/Debug/L2_P1_linkInfo.xml new file mode 100644 index 0000000..80f51db --- /dev/null +++ b/CPE325/L2_P1/Debug/L2_P1_linkInfo.xml @@ -0,0 +1,16453 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61327260 + 0x0 + L2_P1.out + + _c_int00_noargs +
0x8bee
+
+ + + .\ + object + p1.obj + p1.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + printf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _printfi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputc.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _io_perm.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + setvbuf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + wcslen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_frexp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div16u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div64u.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cvtfd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdli.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _ltoa.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + atoi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + ctype.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + defs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memccpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memory.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memset.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strlen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + toupper.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + write.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + host_device.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + abs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fflush.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32s.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostlseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostread.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostrename.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostunlink.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostwrite.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + trgmsg.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + open.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + getdevice.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcmp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strncpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + remove.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + close.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + unlink.c.obj + + + + + .bss + true + 0x27f2 + 0x2 + + + + .common:__TI_tmpnams + true + 0x274a + 0xa0 + + + .common:parmbuf + true + 0x27ea + 0x8 + + + .data:__TI_cleanup_ptr + 0x273a + 0x273a + 0x2 + + + + .data:__TI_dtors_ptr + 0x273c + 0x273c + 0x2 + + + + .data + 0x2746 + 0x2746 + 0x2 + + + + .data:_lock + 0x273e + 0x273e + 0x2 + + + + .data:_unlock + 0x2740 + 0x2740 + 0x2 + + + + .data:_ftable + 0x264c + 0x264c + 0x78 + + + + .data + 0x2742 + 0x2742 + 0x2 + + + + .data + 0x2748 + 0x2748 + 0x2 + + + + .data:_device + 0x26c4 + 0x26c4 + 0x4e + + + + .data:_stream + 0x2712 + 0x2712 + 0x28 + + + + .data + 0x2744 + 0x2744 + 0x2 + + + + .sysmem + true + 0x2400 + 0x8 + + + + .sysmem + true + 0x2400 + 0x0 + + + .stack + true + 0x4360 + 0x2 + + + + .stack + true + 0x4360 + 0x0 + + + .text:__mspabi_addd + 0x4400 + 0x4400 + 0x736 + + + + .text:__TI_frcdivd + 0x4b36 + 0x4b36 + 0x478 + + + + .text:__mspabi_divull + 0x4fae + 0x4fae + 0x360 + + + + .text:__mspabi_mpyd + 0x530e + 0x530e + 0x2c0 + + + + .text:main + 0x55ce + 0x55ce + 0x28c + + + + .text:__TI_printfi + 0x585a + 0x585a + 0x288 + + + + .text:_pproc_fgea + 0x5ae2 + 0x5ae2 + 0x26e + + + + .text:_setfield + 0x5d50 + 0x5d50 + 0x252 + + + + .text:acvt + 0x5fa2 + 0x5fa2 + 0x244 + + + + .text:__mspabi_divd + 0x61e6 + 0x61e6 + 0x238 + + + + .text:_getarg_diouxp + 0x641e + 0x641e + 0x1ec + + + + .text:ecvt + 0x660a + 0x660a + 0x1de + + + + .text:fcvt + 0x67e8 + 0x67e8 + 0x1c4 + + + + .text:_pconv_e + 0x69ac + 0x69ac + 0x1a6 + + + + .text:_pconv_a + 0x6b52 + 0x6b52 + 0x174 + + + + .text:__TI_frcmpyd + 0x6cc6 + 0x6cc6 + 0x172 + + + + .text:scalbn + 0x6e38 + 0x6e38 + 0x16a + + + + .text:_pproc_diouxp + 0x6fa2 + 0x6fa2 + 0x14c + + + + .text:_ltostr + 0x70ee + 0x70ee + 0x12e + + + + .text:_pconv_g + 0x721c + 0x721c + 0xf8 + + + + .text:aligned_alloc + 0x7314 + 0x7314 + 0xf6 + + + + .text:fputs + 0x740a + 0x740a + 0xea + + + + .text:_pproc_fwp + 0x74f4 + 0x74f4 + 0xe0 + + + + .text:__mspabi_cmpd + 0x75d4 + 0x75d4 + 0xdc + + + + .text:free + 0x76b0 + 0x76b0 + 0xd2 + + + + .text:setvbuf + 0x7782 + 0x7782 + 0xd0 + + + + .text:_pproc_wstr + 0x7852 + 0x7852 + 0xc4 + + + + .text:frexp + 0x7916 + 0x7916 + 0xb0 + + + + .text:__mspabi_fltlid + 0x79c6 + 0x79c6 + 0xac + + + + .text:_pproc_str + 0x7a72 + 0x7a72 + 0xac + + + + .text:_mcpy + 0x7b1e + 0x7b1e + 0x92 + + + + .text:fputc + 0x7bb0 + 0x7bb0 + 0x90 + + + + .text:_ecpy + 0x7c40 + 0x7c40 + 0x8e + + + + .text:HOSTlseek + 0x7cce + 0x7cce + 0x88 + + + + .text:__TI_ltoa + 0x7d56 + 0x7d56 + 0x84 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x7dda + 0x7dda + 0x7c + + + + .text:__TI_wrt_ok + 0x7e56 + 0x7e56 + 0x7a + + + + .text:_pconv_f + 0x7ed0 + 0x7ed0 + 0x7a + + + + .text:__TI_closefile + 0x7f4a + 0x7f4a + 0x72 + + + + .text:__mspabi_fixdli + 0x7fbc + 0x7fbc + 0x72 + + + + .text:__mspabi_cvtfd + 0x802e + 0x802e + 0x70 + + + + .text:fseek + 0x809e + 0x809e + 0x70 + + + + .text:HOSTrename + 0x810e + 0x810e + 0x6a + + + + .text:split + 0x8178 + 0x8178 + 0x68 + + + + .text:HOSTopen + 0x81e0 + 0x81e0 + 0x66 + + + + .text:HOSTwrite + 0x8246 + 0x8246 + 0x62 + + + + .text:__TI_doflush + 0x82a8 + 0x82a8 + 0x62 + + + + .text:HOSTread + 0x830a + 0x830a + 0x60 + + + + .text:__mpyll + 0x836a + 0x836a + 0x5e + + + + .text:l_lsr_const + 0x83c8 + 0x83c8 + 0x5c + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x8424 + 0x8424 + 0x58 + + + + .text:getdevice + 0x847c + 0x847c + 0x58 + + + + .text + 0x84d4 + 0x84d4 + 0x58 + + + + .text:atoi + 0x852c + 0x852c + 0x52 + + + + .text:_fcpy + 0x857e + 0x857e + 0x4e + + + + .text + 0x85cc + 0x85cc + 0x4c + + + + .text:__mspabi_srall + 0x8618 + 0x8618 + 0x4a + + + + .text:close + 0x8662 + 0x8662 + 0x4a + + + + .text:__mspabi_srlll + 0x86ac + 0x86ac + 0x46 + + + + .text:HOSTclose + 0x86f2 + 0x86f2 + 0x44 + + + + .text:__mspabi_sllll + 0x8736 + 0x8736 + 0x44 + + + + .text:exit + 0x877a + 0x877a + 0x44 + + + + .text:HOSTunlink + 0x87be + 0x87be + 0x40 + + + + .text + 0x87fe + 0x87fe + 0x40 + + + + .text:l_asr_const + 0x883e + 0x883e + 0x3e + + + + .text:l_lsl_const + 0x887c + 0x887c + 0x3e + + + + .text:printf + 0x88ba + 0x88ba + 0x3c + + + + .text:__TI_cleanup + 0x88f6 + 0x88f6 + 0x34 + + + + .text:finddevice + 0x892a + 0x892a + 0x34 + + + + .text:__TI_writemsg + 0x895e + 0x895e + 0x2e + + + + .text:__mspabi_subd + 0x898c + 0x898c + 0x2e + + + + .text:copysign + 0x89ba + 0x89ba + 0x2e + + + + .text:__TI_readmsg + 0x89e8 + 0x89e8 + 0x2c + + + + .text + 0x8a14 + 0x8a14 + 0x2c + + + + .text + 0x8a40 + 0x8a40 + 0x2c + + + + .text:strncpy + 0x8a6c + 0x8a6c + 0x2c + + + + .text:__mspabi_negd + 0x8a98 + 0x8a98 + 0x2a + + + + .text:__mpyull + 0x8ac2 + 0x8ac2 + 0x28 + + + + .text:__mspabi_fixdi + 0x8aea + 0x8aea + 0x28 + + + + .text:free_list_insert + 0x8b12 + 0x8b12 + 0x28 + + + + .text:unlink + 0x8b3a + 0x8b3a + 0x28 + + + + .text:lseek + 0x8b62 + 0x8b62 + 0x26 + + + + .text:write + 0x8b88 + 0x8b88 + 0x24 + + + + .text:memccpy + 0x8bac + 0x8bac + 0x22 + + + + .text + 0x8bce + 0x8bce + 0x20 + + + + .text:_c_int00_noargs + 0x8bee + 0x8bee + 0x1c + + + + .text:free_list_remove + 0x8c0a + 0x8c0a + 0x1c + + + + .text:strchr + 0x8c26 + 0x8c26 + 0x1a + + + + .text:strcmp + 0x8c40 + 0x8c40 + 0x18 + + + + .text + 0x8c58 + 0x8c58 + 0x16 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x8c6e + 0x8c6e + 0x14 + + + + .text:memchr + 0x8c82 + 0x8c82 + 0x14 + + + + .text:memset + 0x8c96 + 0x8c96 + 0x14 + + + + .text + 0x8caa + 0x8caa + 0x14 + + + + .text:wcslen + 0x8cbe + 0x8cbe + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x8cd2 + 0x8cd2 + 0x12 + + + + .text:l_lsr + 0x8ce4 + 0x8ce4 + 0x12 + + + + .text:memcpy + 0x8cf6 + 0x8cf6 + 0x12 + + + + .text + 0x8d08 + 0x8d08 + 0x10 + + + + .text:strcpy + 0x8d18 + 0x8d18 + 0x10 + + + + .text:strlen + 0x8d28 + 0x8d28 + 0xe + + + + .text:__mspabi_fltid + 0x8d36 + 0x8d36 + 0xc + + + + .text:toupper + 0x8d42 + 0x8d42 + 0xc + + + + .text:abs + 0x8d4e + 0x8d4e + 0xa + + + + .text:malloc + 0x8d58 + 0x8d58 + 0x8 + + + + .text:_outc + 0x8d60 + 0x8d60 + 0x6 + + + + .text:abort + 0x8d66 + 0x8d66 + 0x6 + + + + .text:_outs + 0x8d6c + 0x8d6c + 0x4 + + + + .text:_system_pre_init + 0x8d70 + 0x8d70 + 0x4 + + + + .text:_nop + 0x8d74 + 0x8d74 + 0x2 + + + + .text:_system_post_cinit + 0x8d76 + 0x8d76 + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x953a + 0x953a + 0x8 + + + + .cinit..data.load + 0x9542 + 0x9542 + 0x44 + + + __TI_handler_table + 0x9586 + 0x9586 + 0x6 + + + .cinit..bss.load + 0x958c + 0x958c + 0x4 + + + __TI_cinit_table + 0x9590 + 0x9590 + 0x8 + + + .const:.string + 0x8d78 + 0x8d78 + 0x69a + + + + .const:.string:_ctypes_ + 0x9412 + 0x9412 + 0x101 + + + + .const:.string + 0x9514 + 0x9514 + 0x26 + + + + .cio + true + 0x252c + 0x120 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x17f + + + + .debug_info + 0x17f + 0x17f + 0x323 + + + + .debug_info + 0x4a2 + 0x4a2 + 0x26b + + + + .debug_info + 0x70d + 0x70d + 0x84 + + + + .debug_info + 0x791 + 0x791 + 0x282 + + + + .debug_info + 0xa13 + 0xa13 + 0x2c + + + + .debug_info + 0xa3f + 0xa3f + 0x2bd + + + + .debug_info + 0xcfc + 0xcfc + 0x162 + + + + .debug_info + 0xe5e + 0xe5e + 0x166 + + + + .debug_info + 0xfc4 + 0xfc4 + 0x184 + + + + .debug_info + 0x1148 + 0x1148 + 0xb2 + + + + .debug_info + 0x11fa + 0x11fa + 0x439 + + + + .debug_info + 0x1633 + 0x1633 + 0x22e + + + + .debug_info + 0x1861 + 0x1861 + 0x1b3 + + + + .debug_info + 0x1a14 + 0x1a14 + 0x287 + + + + .debug_info + 0x1c9b + 0x1c9b + 0x24b + + + + .debug_info + 0x1ee6 + 0x1ee6 + 0x3d0 + + + + .debug_info + 0x22b6 + 0x22b6 + 0x1bd + + + + .debug_info + 0x2473 + 0x2473 + 0x21b + + + + .debug_info + 0x268e + 0x268e + 0x26d + + + + .debug_info + 0x28fb + 0x28fb + 0x3e7 + + + + .debug_info + 0x2ce2 + 0x2ce2 + 0x206 + + + + .debug_info + 0x2ee8 + 0x2ee8 + 0x232 + + + + .debug_info + 0x311a + 0x311a + 0x376 + + + + .debug_info + 0x3490 + 0x3490 + 0x45e + + + + .debug_info + 0x38ee + 0x38ee + 0x280 + + + + .debug_info + 0x3b6e + 0x3b6e + 0x395 + + + + .debug_info + 0x3f03 + 0x3f03 + 0x305 + + + + .debug_info + 0x4208 + 0x4208 + 0x26d + + + + .debug_info + 0x4475 + 0x4475 + 0x26a + + + + .debug_info + 0x46df + 0x46df + 0x347 + + + + .debug_info + 0x4a26 + 0x4a26 + 0x86 + + + + .debug_info + 0x4aac + 0x4aac + 0x39 + + + + .debug_info + 0x4ae5 + 0x4ae5 + 0x94 + + + + .debug_info + 0x4b79 + 0x4b79 + 0x46 + + + + .debug_info + 0x4bbf + 0x4bbf + 0x46 + + + + .debug_info + 0x4c05 + 0x4c05 + 0x2c + + + + .debug_info + 0x4c31 + 0x4c31 + 0x25b + + + + .debug_info + 0x4e8c + 0x4e8c + 0x1d0 + + + + .debug_info + 0x505c + 0x505c + 0x2d4 + + + + .debug_info + 0x5330 + 0x5330 + 0x2c7 + + + + .debug_info + 0x55f7 + 0x55f7 + 0x2c + + + + .debug_info + 0x5623 + 0x5623 + 0x1d8 + + + + .debug_info + 0x57fb + 0x57fb + 0x196 + + + + .debug_info + 0x5991 + 0x5991 + 0xbf + + + + .debug_info + 0x5a50 + 0x5a50 + 0x24c + + + + .debug_info + 0x5c9c + 0x5c9c + 0x1fe + + + + .debug_info + 0x5e9a + 0x5e9a + 0x167 + + + + .debug_info + 0x6001 + 0x6001 + 0x15a + + + + .debug_info + 0x615b + 0x615b + 0x39 + + + + .debug_info + 0x6194 + 0x6194 + 0x39 + + + + .debug_info + 0x61cd + 0x61cd + 0x172 + + + + .debug_info + 0x633f + 0x633f + 0x1c7 + + + + .debug_info + 0x6506 + 0x6506 + 0x46 + + + + .debug_info + 0x654c + 0x654c + 0x2c + + + + .debug_info + 0x6578 + 0x6578 + 0x1b0 + + + + .debug_info + 0x6728 + 0x6728 + 0x23b + + + + .debug_info + 0x6963 + 0x6963 + 0x120 + + + + .debug_info + 0x6a83 + 0x6a83 + 0x121 + + + + .debug_info + 0x6ba4 + 0x6ba4 + 0x123 + + + + .debug_info + 0x6cc7 + 0x6cc7 + 0x12e + + + + .debug_info + 0x6df5 + 0x6df5 + 0x10f + + + + .debug_info + 0x6f04 + 0x6f04 + 0x120 + + + + .debug_info + 0x7024 + 0x7024 + 0x120 + + + + .debug_info + 0x7144 + 0x7144 + 0x125 + + + + .debug_info + 0x7269 + 0x7269 + 0x125 + + + + .debug_info + 0x738e + 0x738e + 0x12b + + + + .debug_info + 0x74b9 + 0x74b9 + 0x127 + + + + .debug_info + 0x75e0 + 0x75e0 + 0x100 + + + + .debug_info + 0x76e0 + 0x76e0 + 0x17a + + + + .debug_info + 0x785a + 0x785a + 0x3de + + + + .debug_info + 0x7c38 + 0x7c38 + 0x211 + + + + .debug_info + 0x7e49 + 0x7e49 + 0x39 + + + + .debug_info + 0x7e82 + 0x7e82 + 0x2c + + + + .debug_info + 0x7eae + 0x7eae + 0x2c + + + + .debug_info + 0x7eda + 0x7eda + 0xcd + + + + .debug_info + 0x7fa7 + 0x7fa7 + 0x175 + + + + .debug_info + 0x811c + 0x811c + 0x1d2 + + + + .debug_info + 0x82ee + 0x82ee + 0x46 + + + + .debug_info + 0x8334 + 0x8334 + 0x103 + + + + .debug_info + 0x8437 + 0x8437 + 0x11a + + + + .debug_info + 0x8551 + 0x8551 + 0x116 + + + + .debug_info + 0x8667 + 0x8667 + 0x193 + + + + .debug_info + 0x87fa + 0x87fa + 0x15c + + + + .debug_info + 0x8956 + 0x8956 + 0x337 + + + + .debug_info + 0x8c8d + 0x8c8d + 0x153 + + + + .debug_info + 0x8de0 + 0x8de0 + 0x150 + + + + .debug_info + 0x8f30 + 0x8f30 + 0x180 + + + + .debug_info + 0x90b0 + 0x90b0 + 0x1ea + + + + .debug_info + 0x929a + 0x929a + 0x46 + + + + .debug_info + 0x92e0 + 0x92e0 + 0x2c + + + + .debug_info + 0x930c + 0x930c + 0x176 + + + + .debug_info + 0x9482 + 0x9482 + 0x292 + + + + .debug_info + 0x9714 + 0x9714 + 0x60 + + + + .debug_info + 0x9774 + 0x9774 + 0x46 + + + + .debug_info + 0x97ba + 0x97ba + 0x39 + + + + .debug_info + 0x97f3 + 0x97f3 + 0x164 + + + + .debug_info + 0x9957 + 0x9957 + 0x35e + + + + .debug_info + 0x9cb5 + 0x9cb5 + 0x1af + + + + .debug_info + 0x9e64 + 0x9e64 + 0x94 + + + + .debug_info + 0x9ef8 + 0x9ef8 + 0x165 + + + + .debug_info + 0xa05d + 0xa05d + 0x1d4 + + + + .debug_info + 0xa231 + 0xa231 + 0xdb + + + + .debug_info + 0xa30c + 0xa30c + 0x1a2 + + + + .debug_info + 0xa4ae + 0xa4ae + 0x28a + + + + .debug_info + 0xa738 + 0xa738 + 0x171 + + + + .debug_info + 0xa8a9 + 0xa8a9 + 0x1c0 + + + + .debug_info + 0xaa69 + 0xaa69 + 0x166 + + + + .debug_info + 0xabcf + 0xabcf + 0x16b + + + + .debug_info + 0xad3a + 0xad3a + 0x21b + + + + .debug_info + 0xaf55 + 0xaf55 + 0x172 + + + + .debug_info + 0xb0c7 + 0xb0c7 + 0x5cf + + + + .debug_info + 0xb696 + 0xb696 + 0x197 + + + + .debug_info + 0xb82d + 0xb82d + 0x2d2 + + + + .debug_info + 0xbaff + 0xbaff + 0x158 + + + + .debug_info + 0xbc57 + 0xbc57 + 0x18d + + + + .debug_info + 0xbde4 + 0xbde4 + 0x22e + + + + .debug_info + 0xc012 + 0xc012 + 0x132 + + + + .debug_info + 0xc144 + 0xc144 + 0x122 + + + + .debug_info + 0xc266 + 0xc266 + 0x197 + + + + .debug_info + 0xc3fd + 0xc3fd + 0x163 + + + + .debug_info + 0xc560 + 0xc560 + 0xff + + + + .debug_info + 0xc65f + 0xc65f + 0x103 + + + + .debug_info + 0xc762 + 0xc762 + 0x12e + + + + .debug_info + 0xc890 + 0xc890 + 0x174 + + + + .debug_info + 0xca04 + 0xca04 + 0x20e + + + + .debug_info + 0xcc12 + 0xcc12 + 0x191 + + + + .debug_info + 0xcda3 + 0xcda3 + 0x190 + + + + .debug_info + 0xcf33 + 0xcf33 + 0xf9 + + + + .debug_info + 0xd02c + 0xd02c + 0x105 + + + + .debug_info + 0xd131 + 0xd131 + 0x13e + + + + .debug_info + 0xd26f + 0xd26f + 0x102 + + + + .debug_info + 0xd371 + 0xd371 + 0x10a + + + + .debug_info + 0xd47b + 0xd47b + 0x17f + + + + .debug_info + 0xd5fa + 0xd5fa + 0x1b8 + + + + .debug_info + 0xd7b2 + 0xd7b2 + 0x178 + + + + .debug_info + 0xd92a + 0xd92a + 0x250 + + + + .debug_info + 0xdb7a + 0xdb7a + 0x181 + + + + .debug_info + 0xdcfb + 0xdcfb + 0x17a + + + + .debug_info + 0xde75 + 0xde75 + 0x22a + + + + .debug_info + 0xe09f + 0xe09f + 0x10c + + + + .debug_info + 0xe1ab + 0xe1ab + 0x11f + + + + .debug_info + 0xe2ca + 0xe2ca + 0x105 + + + + .debug_info + 0xe3cf + 0xe3cf + 0x168 + + + + .debug_info + 0xe537 + 0xe537 + 0x18e + + + + .debug_info + 0xe6c5 + 0xe6c5 + 0x19f + + + + .debug_info + 0xe864 + 0xe864 + 0x203 + + + + .debug_info + 0xea67 + 0xea67 + 0x1db + + + + .debug_info + 0xec42 + 0xec42 + 0x273 + + + + .debug_info + 0xeeb5 + 0xeeb5 + 0xb2 + + + + .debug_info + 0xef67 + 0xef67 + 0x2c + + + + .debug_info + 0xef93 + 0xef93 + 0x16d + + + + .debug_info + 0xf100 + 0xf100 + 0x275 + + + + .debug_info + 0xf375 + 0xf375 + 0x172 + + + + .debug_info + 0xf4e7 + 0xf4e7 + 0x268 + + + + .debug_info + 0xf74f + 0xf74f + 0x162 + + + + .debug_info + 0xf8b1 + 0xf8b1 + 0x230 + + + + .debug_info + 0xfae1 + 0xfae1 + 0x18e + + + + .debug_info + 0xfc6f + 0xfc6f + 0x154 + + + + .debug_info + 0xfdc3 + 0xfdc3 + 0x26f + + + + .debug_info + 0x10032 + 0x10032 + 0x189 + + + + .debug_info + 0x101bb + 0x101bb + 0x120 + + + + .debug_info + 0x102db + 0x102db + 0x2c + + + + .debug_info + 0x10307 + 0x10307 + 0x337 + + + + .debug_info + 0x1063e + 0x1063e + 0x109 + + + + .debug_info + 0x10747 + 0x10747 + 0x109 + + + + .debug_info + 0x10850 + 0x10850 + 0x140 + + + + .debug_info + 0x10990 + 0x10990 + 0xfe + + + + .debug_info + 0x10a8e + 0x10a8e + 0x21f + + + + .debug_info + 0x10cad + 0x10cad + 0x184 + + + + .debug_info + 0x10e31 + 0x10e31 + 0x176 + + + + .debug_info + 0x10fa7 + 0x10fa7 + 0x1fc + + + + .debug_info + 0x111a3 + 0x111a3 + 0x1df + + + + .debug_info + 0x11382 + 0x11382 + 0x160 + + + + .debug_info + 0x114e2 + 0x114e2 + 0x126 + + + + .debug_info + 0x11608 + 0x11608 + 0x138 + + + + .debug_info + 0x11740 + 0x11740 + 0x126 + + + + .debug_info + 0x11866 + 0x11866 + 0x120 + + + + .debug_info + 0x11986 + 0x11986 + 0x126 + + + + .debug_info + 0x11aac + 0x11aac + 0x193 + + + + .debug_info + 0x11c3f + 0x11c3f + 0x193 + + + + .debug_info + 0x11dd2 + 0x11dd2 + 0x239 + + + + .debug_info + 0x1200b + 0x1200b + 0x24b + + + + .debug_info + 0x12256 + 0x12256 + 0x1bc + + + + .debug_info + 0x12412 + 0x12412 + 0x250 + + + + .debug_info + 0x12662 + 0x12662 + 0x226 + + + + .debug_info + 0x12888 + 0x12888 + 0x27b + + + + .debug_info + 0x12b03 + 0x12b03 + 0x20c + + + + .debug_info + 0x12d0f + 0x12d0f + 0x24f + + + + .debug_info + 0x12f5e + 0x12f5e + 0x1fb + + + + .debug_info + 0x13159 + 0x13159 + 0x2ad + + + + .debug_info + 0x13406 + 0x13406 + 0x236 + + + + .debug_info + 0x1363c + 0x1363c + 0x26e + + + + .debug_info + 0x138aa + 0x138aa + 0x1c6 + + + + .debug_info + 0x13a70 + 0x13a70 + 0x250 + + + + .debug_info + 0x13cc0 + 0x13cc0 + 0x200 + + + + .debug_info + 0x13ec0 + 0x13ec0 + 0x193 + + + + .debug_info + 0x14053 + 0x14053 + 0x106 + + + + .debug_info + 0x14159 + 0x14159 + 0x1df + + + + .debug_info + 0x14338 + 0x14338 + 0x19a + + + + .debug_info + 0x144d2 + 0x144d2 + 0x26f + + + + .debug_info + 0x14741 + 0x14741 + 0x18f + + + + .debug_info + 0x148d0 + 0x148d0 + 0x27d + + + + .debug_info + 0x14b4d + 0x14b4d + 0x209 + + + + .debug_info + 0x14d56 + 0x14d56 + 0x304 + + + + .debug_info + 0x1505a + 0x1505a + 0x198 + + + + .debug_info + 0x151f2 + 0x151f2 + 0x1eb + + + + .debug_info + 0x153dd + 0x153dd + 0xea + + + + .debug_info + 0x154c7 + 0x154c7 + 0x162 + + + + .debug_info + 0x15629 + 0x15629 + 0x273 + + + + .debug_info + 0x1589c + 0x1589c + 0x17c + + + + .debug_info + 0x15a18 + 0x15a18 + 0x24d + + + + .debug_info + 0x15c65 + 0x15c65 + 0x17d + + + + .debug_info + 0x15de2 + 0x15de2 + 0x268 + + + + .debug_info + 0x1604a + 0x1604a + 0x2a7 + + + + .debug_info + 0x162f1 + 0x162f1 + 0x188 + + + + .debug_info + 0x16479 + 0x16479 + 0x2a9 + + + + .debug_info + 0x16722 + 0x16722 + 0x1a5 + + + + .debug_info + 0x168c7 + 0x168c7 + 0x8e + + + .debug_line + 0x0 + 0x0 + 0xa8 + + + + .debug_line + 0xa8 + 0xa8 + 0x8b + + + + .debug_line + 0x133 + 0x133 + 0x72 + + + + .debug_line + 0x1a5 + 0x1a5 + 0x20 + + + + .debug_line + 0x1c5 + 0x1c5 + 0x76 + + + + .debug_line + 0x23b + 0x23b + 0x6e + + + + .debug_line + 0x2a9 + 0x2a9 + 0x10e + + + + .debug_line + 0x3b7 + 0x3b7 + 0x3c + + + + .debug_line + 0x3f3 + 0x3f3 + 0x3c + + + + .debug_line + 0x42f + 0x42f + 0x49 + + + + .debug_line + 0x478 + 0x478 + 0x91 + + + + .debug_line + 0x509 + 0x509 + 0x2d5 + + + + .debug_line + 0x7de + 0x7de + 0x83 + + + + .debug_line + 0x861 + 0x861 + 0xb9 + + + + .debug_line + 0x91a + 0x91a + 0x81 + + + + .debug_line + 0x99b + 0x99b + 0x85 + + + + .debug_line + 0xa20 + 0xa20 + 0x101 + + + + .debug_line + 0xb21 + 0xb21 + 0x49 + + + + .debug_line + 0xb6a + 0xb6a + 0x6e + + + + .debug_line + 0xbd8 + 0xbd8 + 0x8a + + + + .debug_line + 0xc62 + 0xc62 + 0x10e + + + + .debug_line + 0xd70 + 0xd70 + 0x4f + + + + .debug_line + 0xdbf + 0xdbf + 0x4b + + + + .debug_line + 0xe0a + 0xe0a + 0x85 + + + + .debug_line + 0xe8f + 0xe8f + 0x120 + + + + .debug_line + 0xfaf + 0xfaf + 0x74 + + + + .debug_line + 0x1023 + 0x1023 + 0x128 + + + + .debug_line + 0x114b + 0x114b + 0xde + + + + .debug_line + 0x1229 + 0x1229 + 0x72 + + + + .debug_line + 0x129b + 0x129b + 0x82 + + + + .debug_line + 0x131d + 0x131d + 0x118 + + + + .debug_line + 0x1435 + 0x1435 + 0x92 + + + + .debug_line + 0x14c7 + 0x14c7 + 0x92 + + + + .debug_line + 0x1559 + 0x1559 + 0x9a + + + + .debug_line + 0x15f3 + 0x15f3 + 0x97 + + + + .debug_line + 0x168a + 0x168a + 0x2e + + + + .debug_line + 0x16b8 + 0x16b8 + 0x91 + + + + .debug_line + 0x1749 + 0x1749 + 0x117 + + + + .debug_line + 0x1860 + 0x1860 + 0x67 + + + + .debug_line + 0x18c7 + 0x18c7 + 0x189 + + + + .debug_line + 0x1a50 + 0x1a50 + 0x95 + + + + .debug_line + 0x1ae5 + 0x1ae5 + 0x92 + + + + .debug_line + 0x1b77 + 0x1b77 + 0x91 + + + + .debug_line + 0x1c08 + 0x1c08 + 0x65 + + + + .debug_line + 0x1c6d + 0x1c6d + 0x91 + + + + .debug_line + 0x1cfe + 0x1cfe + 0x110 + + + + .debug_line + 0x1e0e + 0x1e0e + 0x88 + + + + .debug_line + 0x1e96 + 0x1e96 + 0x20 + + + + .debug_line + 0x1eb6 + 0x1eb6 + 0x40 + + + + .debug_line + 0x1ef6 + 0x1ef6 + 0x9a + + + + .debug_line + 0x1f90 + 0x1f90 + 0x91 + + + + .debug_line + 0x2021 + 0x2021 + 0x20 + + + + .debug_line + 0x2041 + 0x2041 + 0x51 + + + + .debug_line + 0x2092 + 0x2092 + 0x9a + + + + .debug_line + 0x212c + 0x212c + 0x97 + + + + .debug_line + 0x21c3 + 0x21c3 + 0x9b + + + + .debug_line + 0x225e + 0x225e + 0x72 + + + + .debug_line + 0x22d0 + 0x22d0 + 0x4e + + + + .debug_line + 0x231e + 0x231e + 0x43 + + + + .debug_line + 0x2361 + 0x2361 + 0x64 + + + + .debug_line + 0x23c5 + 0x23c5 + 0x40 + + + + .debug_line + 0x2405 + 0x2405 + 0x3d + + + + .debug_line + 0x2442 + 0x2442 + 0x4e + + + + .debug_line + 0x2490 + 0x2490 + 0x5e + + + + .debug_line + 0x24ee + 0x24ee + 0x44 + + + + .debug_line + 0x2532 + 0x2532 + 0x47 + + + + .debug_line + 0x2579 + 0x2579 + 0x4e + + + + .debug_line + 0x25c7 + 0x25c7 + 0x5b + + + + .debug_line + 0x2622 + 0x2622 + 0x2a + + + + .debug_line + 0x264c + 0x264c + 0x46 + + + + .debug_line + 0x2692 + 0x2692 + 0xbf + + + + .debug_line + 0x2751 + 0x2751 + 0x89 + + + + .debug_line + 0x27da + 0x27da + 0x2e + + + + .debug_line + 0x2808 + 0x2808 + 0x9a + + + + .debug_line + 0x28a2 + 0x28a2 + 0x97 + + + + .debug_line + 0x2939 + 0x2939 + 0x93 + + + + .debug_line + 0x29cc + 0x29cc + 0x20 + + + + .debug_line + 0x29ec + 0x29ec + 0x4f + + + + .debug_line + 0x2a3b + 0x2a3b + 0x34 + + + + .debug_line + 0x2a6f + 0x2a6f + 0x20 + + + + .debug_line + 0x2a8f + 0x2a8f + 0x30 + + + + .debug_line + 0x2abf + 0x2abf + 0x30 + + + + .debug_line + 0x2aef + 0x2aef + 0x53 + + + + .debug_line + 0x2b42 + 0x2b42 + 0x20 + + + + .debug_line + 0x2b62 + 0x2b62 + 0x13b + + + + .debug_line + 0x2c9d + 0x2c9d + 0x3e + + + + .debug_line + 0x2cdb + 0x2cdb + 0x3a + + + + .debug_line + 0x2d15 + 0x2d15 + 0x20 + + + + .debug_line + 0x2d35 + 0x2d35 + 0x50 + + + + .debug_line + 0x2d85 + 0x2d85 + 0x3a + + + + .debug_line + 0x2dbf + 0x2dbf + 0x92 + + + + .debug_line + 0x2e51 + 0x2e51 + 0x20 + + + + .debug_line + 0x2e71 + 0x2e71 + 0x92 + + + + .debug_line + 0x2f03 + 0x2f03 + 0x3a + + + + .debug_line + 0x2f3d + 0x2f3d + 0x9a + + + + .debug_line + 0x2fd7 + 0x2fd7 + 0x96 + + + + .debug_line + 0x306d + 0x306d + 0x20 + + + + .debug_line + 0x308d + 0x308d + 0x17f + + + + .debug_line + 0x320c + 0x320c + 0x75 + + + + .debug_line + 0x3281 + 0x3281 + 0x2e + + + + .debug_line + 0x32af + 0x32af + 0x20 + + + + .debug_line + 0x32cf + 0x32cf + 0x57 + + + + .debug_line + 0x3326 + 0x3326 + 0x2e + + + + .debug_line + 0x3354 + 0x3354 + 0x2d + + + + .debug_line + 0x3381 + 0x3381 + 0xe9 + + + + .debug_line + 0x346a + 0x346a + 0x3d + + + + .debug_line + 0x34a7 + 0x34a7 + 0x5c + + + + .debug_line + 0x3503 + 0x3503 + 0x3d + + + + .debug_line + 0x3540 + 0x3540 + 0x20 + + + + .debug_line + 0x3560 + 0x3560 + 0x81 + + + + .debug_line + 0x35e1 + 0x35e1 + 0x20 + + + + .debug_line + 0x3601 + 0x3601 + 0xdc + + + + .debug_line + 0x36dd + 0x36dd + 0x2d + + + + .debug_line + 0x370a + 0x370a + 0xf0 + + + + .debug_line + 0x37fa + 0x37fa + 0x49 + + + + .debug_line + 0x3843 + 0x3843 + 0x4b + + + + .debug_line + 0x388e + 0x388e + 0x10c + + + + .debug_line + 0x399a + 0x399a + 0x2a + + + + .debug_line + 0x39c4 + 0x39c4 + 0x3d + + + + .debug_line + 0x3a01 + 0x3a01 + 0x50 + + + + .debug_line + 0x3a51 + 0x3a51 + 0x20 + + + + .debug_line + 0x3a71 + 0x3a71 + 0x2b + + + + .debug_line + 0x3a9c + 0x3a9c + 0x2b + + + + .debug_line + 0x3ac7 + 0x3ac7 + 0x38 + + + + .debug_line + 0x3aff + 0x3aff + 0x20 + + + + .debug_line + 0x3b1f + 0x3b1f + 0x51 + + + + .debug_line + 0x3b70 + 0x3b70 + 0x91 + + + + .debug_line + 0x3c01 + 0x3c01 + 0x5d + + + + .debug_line + 0x3c5e + 0x3c5e + 0x20 + + + + .debug_line + 0x3c7e + 0x3c7e + 0x2b + + + + .debug_line + 0x3ca9 + 0x3ca9 + 0x2a + + + + .debug_line + 0x3cd3 + 0x3cd3 + 0x2a + + + + .debug_line + 0x3cfd + 0x3cfd + 0x2a + + + + .debug_line + 0x3d27 + 0x3d27 + 0x20 + + + + .debug_line + 0x3d47 + 0x3d47 + 0x48 + + + + .debug_line + 0x3d8f + 0x3d8f + 0x20 + + + + .debug_line + 0x3daf + 0x3daf + 0xb1 + + + + .debug_line + 0x3e60 + 0x3e60 + 0x20 + + + + .debug_line + 0x3e80 + 0x3e80 + 0x42 + + + + .debug_line + 0x3ec2 + 0x3ec2 + 0x10f + + + + .debug_line + 0x3fd1 + 0x3fd1 + 0x2c + + + + .debug_line + 0x3ffd + 0x3ffd + 0x2c + + + + .debug_line + 0x4029 + 0x4029 + 0x2c + + + + .debug_line + 0x4055 + 0x4055 + 0x3f + + + + .debug_line + 0x4094 + 0x4094 + 0x4b + + + + .debug_line + 0x40df + 0x40df + 0x55 + + + + .debug_line + 0x4134 + 0x4134 + 0xb4 + + + + .debug_line + 0x41e8 + 0x41e8 + 0x72 + + + + .debug_line + 0x425a + 0x425a + 0xe3 + + + + .debug_line + 0x433d + 0x433d + 0x2c + + + + .debug_line + 0x4369 + 0x4369 + 0x92 + + + + .debug_line + 0x43fb + 0x43fb + 0x20 + + + + .debug_line + 0x441b + 0x441b + 0xae + + + + .debug_line + 0x44c9 + 0x44c9 + 0x20 + + + + .debug_line + 0x44e9 + 0x44e9 + 0xaf + + + + .debug_line + 0x4598 + 0x4598 + 0x20 + + + + .debug_line + 0x45b8 + 0x45b8 + 0xac + + + + .debug_line + 0x4664 + 0x4664 + 0x91 + + + + .debug_line + 0x46f5 + 0x46f5 + 0x3d + + + + .debug_line + 0x4732 + 0x4732 + 0x92 + + + + .debug_line + 0x47c4 + 0x47c4 + 0x42 + + + + .debug_line + 0x4806 + 0x4806 + 0x92 + + + + .debug_line + 0x4898 + 0x4898 + 0x90 + + + + .debug_line + 0x4928 + 0x4928 + 0x31 + + + + .debug_line + 0x4959 + 0x4959 + 0x31 + + + + .debug_line + 0x498a + 0x498a + 0x31 + + + + .debug_line + 0x49bb + 0x49bb + 0x3c + + + + .debug_line + 0x49f7 + 0x49f7 + 0x2b + + + + .debug_line + 0x4a22 + 0x4a22 + 0x118 + + + + .debug_line + 0x4b3a + 0x4b3a + 0x5e + + + + .debug_line + 0x4b98 + 0x4b98 + 0x4b + + + + .debug_line + 0x4be3 + 0x4be3 + 0xa6 + + + + .debug_line + 0x4c89 + 0x4c89 + 0x4f + + + + .debug_line + 0x4cd8 + 0x4cd8 + 0x42 + + + + .debug_line + 0x4d1a + 0x4d1a + 0x56 + + + + .debug_line + 0x4d70 + 0x4d70 + 0x5a + + + + .debug_line + 0x4dca + 0x4dca + 0x56 + + + + .debug_line + 0x4e20 + 0x4e20 + 0x42 + + + + .debug_line + 0x4e62 + 0x4e62 + 0x65 + + + + .debug_line + 0x4ec7 + 0x4ec7 + 0x53 + + + + .debug_line + 0x4f1a + 0x4f1a + 0x53 + + + + .debug_line + 0x4f6d + 0x4f6d + 0x65 + + + + .debug_line + 0x4fd2 + 0x4fd2 + 0x9d + + + + .debug_line + 0x506f + 0x506f + 0x48 + + + + .debug_line + 0x50b7 + 0x50b7 + 0x9d + + + + .debug_line + 0x5154 + 0x5154 + 0x4a + + + + .debug_line + 0x519e + 0x519e + 0x11d + + + + .debug_line + 0x52bb + 0x52bb + 0x48 + + + + .debug_line + 0x5303 + 0x5303 + 0x9d + + + + .debug_line + 0x53a0 + 0x53a0 + 0x4e + + + + .debug_line + 0x53ee + 0x53ee + 0x10f + + + + .debug_line + 0x54fd + 0x54fd + 0x4c + + + + .debug_line + 0x5549 + 0x5549 + 0x10f + + + + .debug_line + 0x5658 + 0x5658 + 0x48 + + + + .debug_line + 0x56a0 + 0x56a0 + 0x9d + + + + .debug_line + 0x573d + 0x573d + 0x4f + + + + .debug_line + 0x578c + 0x578c + 0x20 + + + + .debug_line + 0x57ac + 0x57ac + 0x2c + + + + .debug_line + 0x57d8 + 0x57d8 + 0x50 + + + + .debug_line + 0x5828 + 0x5828 + 0x51 + + + + .debug_line + 0x5879 + 0x5879 + 0x92 + + + + .debug_line + 0x590b + 0x590b + 0x42 + + + + .debug_line + 0x594d + 0x594d + 0x18a + + + + .debug_line + 0x5ad7 + 0x5ad7 + 0x60 + + + + .debug_line + 0x5b37 + 0x5b37 + 0x9e + + + + .debug_line + 0x5bd5 + 0x5bd5 + 0x53 + + + + .debug_line + 0x5c28 + 0x5c28 + 0x56 + + + + .debug_line + 0x5c7e + 0x5c7e + 0x2c + + + + .debug_line + 0x5caa + 0x5caa + 0x20 + + + + .debug_line + 0x5cca + 0x5cca + 0xaf + + + + .debug_line + 0x5d79 + 0x5d79 + 0x20 + + + + .debug_line + 0x5d99 + 0x5d99 + 0xa8 + + + + .debug_line + 0x5e41 + 0x5e41 + 0x20 + + + + .debug_line + 0x5e61 + 0x5e61 + 0xb4 + + + + .debug_line + 0x5f15 + 0x5f15 + 0x103 + + + + .debug_line + 0x6018 + 0x6018 + 0x53 + + + + .debug_line + 0x606b + 0x606b + 0x103 + + + + .debug_line + 0x616e + 0x616e + 0x40 + + + + .debug_frame + 0x0 + 0x0 + 0x44 + + + + .debug_frame + 0x44 + 0x44 + 0x3c + + + + .debug_frame + 0x80 + 0x80 + 0x3c + + + + .debug_frame + 0xbc + 0xbc + 0x48 + + + + .debug_frame + 0x104 + 0x104 + 0x64 + + + + .debug_frame + 0x168 + 0x168 + 0x4c + + + + .debug_frame + 0x1b4 + 0x1b4 + 0x64 + + + + .debug_frame + 0x218 + 0x218 + 0x64 + + + + .debug_frame + 0x27c + 0x27c + 0xb4 + + + + .debug_frame + 0x330 + 0x330 + 0x50 + + + + .debug_frame + 0x380 + 0x380 + 0x54 + + + + .debug_frame + 0x3d4 + 0x3d4 + 0x50 + + + + .debug_frame + 0x424 + 0x424 + 0xb4 + + + + .debug_frame + 0x4d8 + 0x4d8 + 0x50 + + + + .debug_frame + 0x528 + 0x528 + 0x54 + + + + .debug_frame + 0x57c + 0x57c + 0xb4 + + + + .debug_frame + 0x630 + 0x630 + 0xb4 + + + + .debug_frame + 0x6e4 + 0x6e4 + 0x64 + + + + .debug_frame + 0x748 + 0x748 + 0xb4 + + + + .debug_frame + 0x7fc + 0x7fc + 0x64 + + + + .debug_frame + 0x860 + 0x860 + 0x64 + + + + .debug_frame + 0x8c4 + 0x8c4 + 0x64 + + + + .debug_frame + 0x928 + 0x928 + 0x74 + + + + .debug_frame + 0x99c + 0x99c + 0x50 + + + + .debug_frame + 0x9ec + 0x9ec + 0x60 + + + + .debug_frame + 0xa4c + 0xa4c + 0x44 + + + + .debug_frame + 0xa90 + 0xa90 + 0x50 + + + + .debug_frame + 0xae0 + 0xae0 + 0x3c + + + + .debug_frame + 0xb1c + 0xb1c + 0x60 + + + + .debug_frame + 0xb7c + 0xb7c + 0x78 + + + + .debug_frame + 0xbf4 + 0xbf4 + 0x34 + + + + .debug_frame + 0xc28 + 0xc28 + 0x48 + + + + .debug_frame + 0xc70 + 0xc70 + 0x3c + + + + .debug_frame + 0xcac + 0xcac + 0x44 + + + + .debug_frame + 0xcf0 + 0xcf0 + 0x90 + + + + .debug_frame + 0xd80 + 0xd80 + 0x3c + + + + .debug_frame + 0xdbc + 0xdbc + 0x3c + + + + .debug_frame + 0xdf8 + 0xdf8 + 0x3c + + + + .debug_frame + 0xe34 + 0xe34 + 0x48 + + + + .debug_frame + 0xe7c + 0xe7c + 0x7c + + + + .debug_frame + 0xef8 + 0xef8 + 0x4c + + + + .debug_frame + 0xf44 + 0xf44 + 0x48 + + + + .debug_frame + 0xf8c + 0xf8c + 0x68 + + + + .debug_frame + 0xff4 + 0xff4 + 0x40 + + + + .debug_frame + 0x1034 + 0x1034 + 0x44 + + + + .debug_frame + 0x1078 + 0x1078 + 0x3c + + + + .debug_frame + 0x10b4 + 0x10b4 + 0x48 + + + + .debug_frame + 0x10fc + 0x10fc + 0x78 + + + + .debug_frame + 0x1174 + 0x1174 + 0x68 + + + + .debug_frame + 0x11dc + 0x11dc + 0x40 + + + + .debug_frame + 0x121c + 0x121c + 0x40 + + + + .debug_frame + 0x125c + 0x125c + 0x3c + + + + .debug_frame + 0x1298 + 0x1298 + 0x44 + + + + .debug_frame + 0x12dc + 0x12dc + 0x3c + + + + .debug_frame + 0x1318 + 0x1318 + 0x58 + + + + .debug_frame + 0x1370 + 0x1370 + 0x44 + + + + .debug_frame + 0x13b4 + 0x13b4 + 0x44 + + + + .debug_frame + 0x13f8 + 0x13f8 + 0x3c + + + + .debug_frame + 0x1434 + 0x1434 + 0x3c + + + + .debug_frame + 0x1470 + 0x1470 + 0x3c + + + + .debug_frame + 0x14ac + 0x14ac + 0x3c + + + + .debug_frame + 0x14e8 + 0x14e8 + 0x3c + + + + .debug_frame + 0x1524 + 0x1524 + 0x44 + + + + .debug_frame + 0x1568 + 0x1568 + 0x44 + + + + .debug_frame + 0x15ac + 0x15ac + 0x50 + + + + .debug_frame + 0x15fc + 0x15fc + 0x3c + + + + .debug_frame + 0x1638 + 0x1638 + 0x40 + + + + .debug_frame + 0x1678 + 0x1678 + 0x3c + + + + .debug_frame + 0x16b4 + 0x16b4 + 0x3c + + + + .debug_frame + 0x16f0 + 0x16f0 + 0x3c + + + + .debug_frame + 0x172c + 0x172c + 0x3c + + + + .debug_frame + 0x1768 + 0x1768 + 0x44 + + + + .debug_frame + 0x17ac + 0x17ac + 0x44 + + + + .debug_frame + 0x17f0 + 0x17f0 + 0x50 + + + + .debug_frame + 0x1840 + 0x1840 + 0x44 + + + + .debug_frame + 0x1884 + 0x1884 + 0x44 + + + + .debug_frame + 0x18c8 + 0x18c8 + 0x44 + + + + .debug_frame + 0x190c + 0x190c + 0x64 + + + + .debug_frame + 0x1970 + 0x1970 + 0x44 + + + + .debug_frame + 0x19b4 + 0x19b4 + 0x50 + + + + .debug_frame + 0x1a04 + 0x1a04 + 0x48 + + + + .debug_frame + 0x1a4c + 0x1a4c + 0x48 + + + + .debug_frame + 0x1a94 + 0x1a94 + 0x4c + + + + .debug_frame + 0x1ae0 + 0x1ae0 + 0x44 + + + + .debug_frame + 0x1b24 + 0x1b24 + 0x48 + + + + .debug_frame + 0x1b6c + 0x1b6c + 0x3c + + + + .debug_frame + 0x1ba8 + 0x1ba8 + 0x3c + + + + .debug_frame + 0x1be4 + 0x1be4 + 0x3c + + + + .debug_frame + 0x1c20 + 0x1c20 + 0x54 + + + + .debug_frame + 0x1c74 + 0x1c74 + 0x4c + + + + .debug_frame + 0x1cc0 + 0x1cc0 + 0x50 + + + + .debug_frame + 0x1d10 + 0x1d10 + 0x3c + + + + .debug_frame + 0x1d4c + 0x1d4c + 0x3c + + + + .debug_frame + 0x1d88 + 0x1d88 + 0x3c + + + + .debug_frame + 0x1dc4 + 0x1dc4 + 0x44 + + + + .debug_frame + 0x1e08 + 0x1e08 + 0x48 + + + + .debug_abbrev + 0x0 + 0x0 + 0x86 + + + + .debug_abbrev + 0x86 + 0x86 + 0x6e + + + + .debug_abbrev + 0xf4 + 0xf4 + 0x69 + + + + .debug_abbrev + 0x15d + 0x15d + 0x1f + + + + .debug_abbrev + 0x17c + 0x17c + 0x35 + + + + .debug_abbrev + 0x1b1 + 0x1b1 + 0x24 + + + + .debug_abbrev + 0x1d5 + 0x1d5 + 0xb8 + + + + .debug_abbrev + 0x28d + 0x28d + 0x74 + + + + .debug_abbrev + 0x301 + 0x301 + 0x66 + + + + .debug_abbrev + 0x367 + 0x367 + 0x93 + + + + .debug_abbrev + 0x3fa + 0x3fa + 0x4b + + + + .debug_abbrev + 0x445 + 0x445 + 0xd0 + + + + .debug_abbrev + 0x515 + 0x515 + 0x89 + + + + .debug_abbrev + 0x59e + 0x59e + 0x6f + + + + .debug_abbrev + 0x60d + 0x60d + 0x7d + + + + .debug_abbrev + 0x68a + 0x68a + 0x7d + + + + .debug_abbrev + 0x707 + 0x707 + 0x8b + + + + .debug_abbrev + 0x792 + 0x792 + 0x7b + + + + .debug_abbrev + 0x80d + 0x80d + 0x7b + + + + .debug_abbrev + 0x888 + 0x888 + 0x7b + + + + .debug_abbrev + 0x903 + 0x903 + 0x8b + + + + .debug_abbrev + 0x98e + 0x98e + 0x7b + + + + .debug_abbrev + 0xa09 + 0xa09 + 0x7b + + + + .debug_abbrev + 0xa84 + 0xa84 + 0x89 + + + + .debug_abbrev + 0xb0d + 0xb0d + 0x8b + + + + .debug_abbrev + 0xb98 + 0xb98 + 0x7b + + + + .debug_abbrev + 0xc13 + 0xc13 + 0x89 + + + + .debug_abbrev + 0xc9c + 0xc9c + 0x7d + + + + .debug_abbrev + 0xd19 + 0xd19 + 0x8a + + + + .debug_abbrev + 0xda3 + 0xda3 + 0x8a + + + + .debug_abbrev + 0xe2d + 0xe2d + 0x9c + + + + .debug_abbrev + 0xec9 + 0xec9 + 0x49 + + + + .debug_abbrev + 0xf12 + 0xf12 + 0x24 + + + + .debug_abbrev + 0xf36 + 0xf36 + 0x35 + + + + .debug_abbrev + 0xf6b + 0xf6b + 0x24 + + + + .debug_abbrev + 0xf8f + 0xf8f + 0x24 + + + + .debug_abbrev + 0xfb3 + 0xfb3 + 0x24 + + + + .debug_abbrev + 0xfd7 + 0xfd7 + 0x95 + + + + .debug_abbrev + 0x106c + 0x106c + 0x8e + + + + .debug_abbrev + 0x10fa + 0x10fa + 0xb4 + + + + .debug_abbrev + 0x11ae + 0x11ae + 0x8e + + + + .debug_abbrev + 0x123c + 0x123c + 0x24 + + + + .debug_abbrev + 0x1260 + 0x1260 + 0x73 + + + + .debug_abbrev + 0x12d3 + 0x12d3 + 0x7f + + + + .debug_abbrev + 0x1352 + 0x1352 + 0x5c + + + + .debug_abbrev + 0x13ae + 0x13ae + 0xab + + + + .debug_abbrev + 0x1459 + 0x1459 + 0x8e + + + + .debug_abbrev + 0x14e7 + 0x14e7 + 0x35 + + + + .debug_abbrev + 0x151c + 0x151c + 0x71 + + + + .debug_abbrev + 0x158d + 0x158d + 0x24 + + + + .debug_abbrev + 0x15b1 + 0x15b1 + 0x24 + + + + .debug_abbrev + 0x15d5 + 0x15d5 + 0x35 + + + + .debug_abbrev + 0x160a + 0x160a + 0x7f + + + + .debug_abbrev + 0x1689 + 0x1689 + 0x24 + + + + .debug_abbrev + 0x16ad + 0x16ad + 0x24 + + + + .debug_abbrev + 0x16d1 + 0x16d1 + 0x5a + + + + .debug_abbrev + 0x172b + 0x172b + 0x8d + + + + .debug_abbrev + 0x17b8 + 0x17b8 + 0x3c + + + + .debug_abbrev + 0x17f4 + 0x17f4 + 0x3c + + + + .debug_abbrev + 0x1830 + 0x1830 + 0x3c + + + + .debug_abbrev + 0x186c + 0x186c + 0x3a + + + + .debug_abbrev + 0x18a6 + 0x18a6 + 0x28 + + + + .debug_abbrev + 0x18ce + 0x18ce + 0x3c + + + + .debug_abbrev + 0x190a + 0x190a + 0x3c + + + + .debug_abbrev + 0x1946 + 0x1946 + 0x2e + + + + .debug_abbrev + 0x1974 + 0x1974 + 0x2e + + + + .debug_abbrev + 0x19a2 + 0x19a2 + 0x2e + + + + .debug_abbrev + 0x19d0 + 0x19d0 + 0x2e + + + + .debug_abbrev + 0x19fe + 0x19fe + 0x29 + + + + .debug_abbrev + 0x1a27 + 0x1a27 + 0x58 + + + + .debug_abbrev + 0x1a7f + 0x1a7f + 0xc0 + + + + .debug_abbrev + 0x1b3f + 0x1b3f + 0x7e + + + + .debug_abbrev + 0x1bbd + 0x1bbd + 0x24 + + + + .debug_abbrev + 0x1be1 + 0x1be1 + 0x24 + + + + .debug_abbrev + 0x1c05 + 0x1c05 + 0x24 + + + + .debug_abbrev + 0x1c29 + 0x1c29 + 0x4b + + + + .debug_abbrev + 0x1c74 + 0x1c74 + 0x37 + + + + .debug_abbrev + 0x1cab + 0x1cab + 0x6f + + + + .debug_abbrev + 0x1d1a + 0x1d1a + 0x24 + + + + .debug_abbrev + 0x1d3e + 0x1d3e + 0x33 + + + + .debug_abbrev + 0x1d71 + 0x1d71 + 0x29 + + + + .debug_abbrev + 0x1d9a + 0x1d9a + 0x29 + + + + .debug_abbrev + 0x1dc3 + 0x1dc3 + 0x7f + + + + .debug_abbrev + 0x1e42 + 0x1e42 + 0x25 + + + + .debug_abbrev + 0x1e67 + 0x1e67 + 0x8d + + + + .debug_abbrev + 0x1ef4 + 0x1ef4 + 0x55 + + + + .debug_abbrev + 0x1f49 + 0x1f49 + 0x53 + + + + .debug_abbrev + 0x1f9c + 0x1f9c + 0x37 + + + + .debug_abbrev + 0x1fd3 + 0x1fd3 + 0x74 + + + + .debug_abbrev + 0x2047 + 0x2047 + 0x24 + + + + .debug_abbrev + 0x206b + 0x206b + 0x24 + + + + .debug_abbrev + 0x208f + 0x208f + 0x37 + + + + .debug_abbrev + 0x20c6 + 0x20c6 + 0x7d + + + + .debug_abbrev + 0x2143 + 0x2143 + 0x24 + + + + .debug_abbrev + 0x2167 + 0x2167 + 0x35 + + + + .debug_abbrev + 0x219c + 0x219c + 0x24 + + + + .debug_abbrev + 0x21c0 + 0x21c0 + 0x25 + + + + .debug_abbrev + 0x21e5 + 0x21e5 + 0x8d + + + + .debug_abbrev + 0x2272 + 0x2272 + 0x71 + + + + .debug_abbrev + 0x22e3 + 0x22e3 + 0x70 + + + + .debug_abbrev + 0x2353 + 0x2353 + 0x25 + + + + .debug_abbrev + 0x2378 + 0x2378 + 0x7f + + + + .debug_abbrev + 0x23f7 + 0x23f7 + 0xa8 + + + + .debug_abbrev + 0x249f + 0x249f + 0x4d + + + + .debug_abbrev + 0x24ec + 0x24ec + 0x7f + + + + .debug_abbrev + 0x256b + 0x256b + 0x71 + + + + .debug_abbrev + 0x25dc + 0x25dc + 0x7f + + + + .debug_abbrev + 0x265b + 0x265b + 0x68 + + + + .debug_abbrev + 0x26c3 + 0x26c3 + 0x25 + + + + .debug_abbrev + 0x26e8 + 0x26e8 + 0x7f + + + + .debug_abbrev + 0x2767 + 0x2767 + 0x35 + + + + .debug_abbrev + 0x279c + 0x279c + 0x8d + + + + .debug_abbrev + 0x2829 + 0x2829 + 0x44 + + + + .debug_abbrev + 0x286d + 0x286d + 0x7f + + + + .debug_abbrev + 0x28ec + 0x28ec + 0x71 + + + + .debug_abbrev + 0x295d + 0x295d + 0x7f + + + + .debug_abbrev + 0x29dc + 0x29dc + 0x6f + + + + .debug_abbrev + 0x2a4b + 0x2a4b + 0x29 + + + + .debug_abbrev + 0x2a74 + 0x2a74 + 0x45 + + + + .debug_abbrev + 0x2ab9 + 0x2ab9 + 0x8c + + + + .debug_abbrev + 0x2b45 + 0x2b45 + 0x35 + + + + .debug_abbrev + 0x2b7a + 0x2b7a + 0x29 + + + + .debug_abbrev + 0x2ba3 + 0x2ba3 + 0x29 + + + + .debug_abbrev + 0x2bcc + 0x2bcc + 0x53 + + + + .debug_abbrev + 0x2c1f + 0x2c1f + 0x49 + + + + .debug_abbrev + 0x2c68 + 0x2c68 + 0x7f + + + + .debug_abbrev + 0x2ce7 + 0x2ce7 + 0x58 + + + + .debug_abbrev + 0x2d3f + 0x2d3f + 0x7f + + + + .debug_abbrev + 0x2dbe + 0x2dbe + 0x2e + + + + .debug_abbrev + 0x2dec + 0x2dec + 0x29 + + + + .debug_abbrev + 0x2e15 + 0x2e15 + 0x46 + + + + .debug_abbrev + 0x2e5b + 0x2e5b + 0x29 + + + + .debug_abbrev + 0x2e84 + 0x2e84 + 0x29 + + + + .debug_abbrev + 0x2ead + 0x2ead + 0x37 + + + + .debug_abbrev + 0x2ee4 + 0x2ee4 + 0x71 + + + + .debug_abbrev + 0x2f55 + 0x2f55 + 0x37 + + + + .debug_abbrev + 0x2f8c + 0x2f8c + 0x71 + + + + .debug_abbrev + 0x2ffd + 0x2ffd + 0x45 + + + + .debug_abbrev + 0x3042 + 0x3042 + 0x71 + + + + .debug_abbrev + 0x30b3 + 0x30b3 + 0xab + + + + .debug_abbrev + 0x315e + 0x315e + 0x29 + + + + .debug_abbrev + 0x3187 + 0x3187 + 0x27 + + + + .debug_abbrev + 0x31ae + 0x31ae + 0x27 + + + + .debug_abbrev + 0x31d5 + 0x31d5 + 0x76 + + + + .debug_abbrev + 0x324b + 0x324b + 0x6d + + + + .debug_abbrev + 0x32b8 + 0x32b8 + 0x6d + + + + .debug_abbrev + 0x3325 + 0x3325 + 0x8c + + + + .debug_abbrev + 0x33b1 + 0x33b1 + 0x7b + + + + .debug_abbrev + 0x342c + 0x342c + 0x8e + + + + .debug_abbrev + 0x34ba + 0x34ba + 0x7f + + + + .debug_abbrev + 0x3539 + 0x3539 + 0x24 + + + + .debug_abbrev + 0x355d + 0x355d + 0x35 + + + + .debug_abbrev + 0x3592 + 0x3592 + 0x71 + + + + .debug_abbrev + 0x3603 + 0x3603 + 0x3e + + + + .debug_abbrev + 0x3641 + 0x3641 + 0x71 + + + + .debug_abbrev + 0x36b2 + 0x36b2 + 0x2e + + + + .debug_abbrev + 0x36e0 + 0x36e0 + 0x71 + + + + .debug_abbrev + 0x3751 + 0x3751 + 0x4f + + + + .debug_abbrev + 0x37a0 + 0x37a0 + 0x71 + + + + .debug_abbrev + 0x3811 + 0x3811 + 0x7a + + + + .debug_abbrev + 0x388b + 0x388b + 0x80 + + + + .debug_abbrev + 0x390b + 0x390b + 0x5a + + + + .debug_abbrev + 0x3965 + 0x3965 + 0x24 + + + + .debug_abbrev + 0x3989 + 0x3989 + 0x71 + + + + .debug_abbrev + 0x39fa + 0x39fa + 0x29 + + + + .debug_abbrev + 0x3a23 + 0x3a23 + 0x29 + + + + .debug_abbrev + 0x3a4c + 0x3a4c + 0x71 + + + + .debug_abbrev + 0x3abd + 0x3abd + 0x27 + + + + .debug_abbrev + 0x3ae4 + 0x3ae4 + 0xab + + + + .debug_abbrev + 0x3b8f + 0x3b8f + 0x7f + + + + .debug_abbrev + 0x3c0e + 0x3c0e + 0x6f + + + + .debug_abbrev + 0x3c7d + 0x3c7d + 0x81 + + + + .debug_abbrev + 0x3cfe + 0x3cfe + 0x8e + + + + .debug_abbrev + 0x3d8c + 0x3d8c + 0x63 + + + + .debug_abbrev + 0x3def + 0x3def + 0x3c + + + + .debug_abbrev + 0x3e2b + 0x3e2b + 0x4a + + + + .debug_abbrev + 0x3e75 + 0x3e75 + 0x3c + + + + .debug_abbrev + 0x3eb1 + 0x3eb1 + 0x3c + + + + .debug_abbrev + 0x3eed + 0x3eed + 0x3c + + + + .debug_abbrev + 0x3f29 + 0x3f29 + 0x7f + + + + .debug_abbrev + 0x3fa8 + 0x3fa8 + 0x7f + + + + .debug_abbrev + 0x4027 + 0x4027 + 0x7f + + + + .debug_abbrev + 0x40a6 + 0x40a6 + 0x8c + + + + .debug_abbrev + 0x4132 + 0x4132 + 0x8e + + + + .debug_abbrev + 0x41c0 + 0x41c0 + 0x8c + + + + .debug_abbrev + 0x424c + 0x424c + 0x8e + + + + .debug_abbrev + 0x42da + 0x42da + 0xc1 + + + + .debug_abbrev + 0x439b + 0x439b + 0x8e + + + + .debug_abbrev + 0x4429 + 0x4429 + 0x93 + + + + .debug_abbrev + 0x44bc + 0x44bc + 0x8e + + + + .debug_abbrev + 0x454a + 0x454a + 0xca + + + + .debug_abbrev + 0x4614 + 0x4614 + 0x8e + + + + .debug_abbrev + 0x46a2 + 0x46a2 + 0xab + + + + .debug_abbrev + 0x474d + 0x474d + 0x8e + + + + .debug_abbrev + 0x47db + 0x47db + 0x93 + + + + .debug_abbrev + 0x486e + 0x486e + 0x8e + + + + .debug_abbrev + 0x48fc + 0x48fc + 0x52 + + + + .debug_abbrev + 0x494e + 0x494e + 0x29 + + + + .debug_abbrev + 0x4977 + 0x4977 + 0x6f + + + + .debug_abbrev + 0x49e6 + 0x49e6 + 0x6f + + + + .debug_abbrev + 0x4a55 + 0x4a55 + 0x7a + + + + .debug_abbrev + 0x4acf + 0x4acf + 0x80 + + + + .debug_abbrev + 0x4b4f + 0x4b4f + 0xab + + + + .debug_abbrev + 0x4bfa + 0x4bfa + 0x8e + + + + .debug_abbrev + 0x4c88 + 0x4c88 + 0xb8 + + + + .debug_abbrev + 0x4d40 + 0x4d40 + 0x7f + + + + .debug_abbrev + 0x4dbf + 0x4dbf + 0x7f + + + + .debug_abbrev + 0x4e3e + 0x4e3e + 0x49 + + + + .debug_abbrev + 0x4e87 + 0x4e87 + 0x2e + + + + .debug_abbrev + 0x4eb5 + 0x4eb5 + 0x71 + + + + .debug_abbrev + 0x4f26 + 0x4f26 + 0x45 + + + + .debug_abbrev + 0x4f6b + 0x4f6b + 0x71 + + + + .debug_abbrev + 0x4fdc + 0x4fdc + 0x45 + + + + .debug_abbrev + 0x5021 + 0x5021 + 0x71 + + + + .debug_abbrev + 0x5092 + 0x5092 + 0x81 + + + + .debug_abbrev + 0x5113 + 0x5113 + 0x80 + + + + .debug_abbrev + 0x5193 + 0x5193 + 0x99 + + + + .debug_abbrev + 0x522c + 0x522c + 0x8e + + + + .debug_abbrev + 0x52ba + 0x52ba + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2a2 + + + + .debug_str + 0x2a2 + 0x2a2 + 0xfd + + + + .debug_str + 0x39f + 0x39f + 0x327 + + + + .debug_str + 0x6c6 + 0x6c6 + 0xd5 + + + + .debug_str + 0x79b + 0x79b + 0x167 + + + + .debug_str + 0x902 + 0x902 + 0x15d + + + + .debug_str + 0xa5f + 0xa5f + 0x14b + + + + .debug_str + 0xbaa + 0xbaa + 0x1a2 + + + + .debug_str + 0xd4c + 0xd4c + 0x15b + + + + .debug_str + 0xea7 + 0xea7 + 0xef + + + + .debug_str + 0xf96 + 0xf96 + 0x13f + + + + .debug_str + 0x10d5 + 0x10d5 + 0x140 + + + + .debug_str + 0x1215 + 0x1215 + 0x16e + + + + .debug_str + 0x1383 + 0x1383 + 0x155 + + + + .debug_str + 0x14d8 + 0x14d8 + 0x147 + + + + .debug_str + 0x161f + 0x161f + 0x161 + + + + .debug_str + 0x1780 + 0x1780 + 0x146 + + + + .debug_str + 0x18c6 + 0x18c6 + 0xed + + + + .debug_str + 0x19b3 + 0x19b3 + 0x14c + + + + .debug_str + 0x1aff + 0x1aff + 0x147 + + + + .debug_str + 0x1c46 + 0x1c46 + 0x197 + + + + .debug_str + 0x1ddd + 0x1ddd + 0x105 + + + + .debug_str + 0x1ee2 + 0x1ee2 + 0x10b + + + + .debug_str + 0x1fed + 0x1fed + 0x140 + + + + .debug_str + 0x212d + 0x212d + 0x118 + + + + .debug_str + 0x2245 + 0x2245 + 0x16b + + + + .debug_str + 0x23b0 + 0x23b0 + 0x158 + + + + .debug_str + 0x2508 + 0x2508 + 0xf7 + + + + .debug_str + 0x25ff + 0x25ff + 0x10d + + + + .debug_str + 0x270c + 0x270c + 0x10a + + + + .debug_str + 0x2816 + 0x2816 + 0x140 + + + + .debug_str + 0x2956 + 0x2956 + 0x18a + + + + .debug_str + 0x2ae0 + 0x2ae0 + 0x13d + + + + .debug_str + 0x2c1d + 0x2c1d + 0x110 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x28 + + + + .debug_aranges + 0xa8 + 0xa8 + 0x20 + + + + .debug_aranges + 0xc8 + 0xc8 + 0x20 + + + + .debug_aranges + 0xe8 + 0xe8 + 0x20 + + + + .debug_aranges + 0x108 + 0x108 + 0x40 + + + + .debug_aranges + 0x148 + 0x148 + 0x20 + + + + .debug_aranges + 0x168 + 0x168 + 0x20 + + + + .debug_aranges + 0x188 + 0x188 + 0x20 + + + + .debug_aranges + 0x1a8 + 0x1a8 + 0x40 + + + + .debug_aranges + 0x1e8 + 0x1e8 + 0x20 + + + + .debug_aranges + 0x208 + 0x208 + 0x20 + + + + .debug_aranges + 0x228 + 0x228 + 0x40 + + + + .debug_aranges + 0x268 + 0x268 + 0x40 + + + + .debug_aranges + 0x2a8 + 0x2a8 + 0x20 + + + + .debug_aranges + 0x2c8 + 0x2c8 + 0x40 + + + + .debug_aranges + 0x308 + 0x308 + 0x20 + + + + .debug_aranges + 0x328 + 0x328 + 0x20 + + + + .debug_aranges + 0x348 + 0x348 + 0x20 + + + + .debug_aranges + 0x368 + 0x368 + 0x28 + + + + .debug_aranges + 0x390 + 0x390 + 0x20 + + + + .debug_aranges + 0x3b0 + 0x3b0 + 0x20 + + + + .debug_aranges + 0x3d0 + 0x3d0 + 0x20 + + + + .debug_aranges + 0x3f0 + 0x3f0 + 0x20 + + + + .debug_aranges + 0x410 + 0x410 + 0x20 + + + + .debug_aranges + 0x430 + 0x430 + 0x20 + + + + .debug_aranges + 0x450 + 0x450 + 0x28 + + + + .debug_aranges + 0x478 + 0x478 + 0x20 + + + + .debug_aranges + 0x498 + 0x498 + 0x20 + + + + .debug_aranges + 0x4b8 + 0x4b8 + 0x20 + + + + .debug_aranges + 0x4d8 + 0x4d8 + 0x20 + + + + .debug_aranges + 0x4f8 + 0x4f8 + 0x20 + + + + .debug_aranges + 0x518 + 0x518 + 0x20 + + + + .debug_aranges + 0x538 + 0x538 + 0x20 + + + + .debug_aranges + 0x558 + 0x558 + 0x20 + + + + .debug_aranges + 0x578 + 0x578 + 0x20 + + + + .debug_aranges + 0x598 + 0x598 + 0x20 + + + + .debug_aranges + 0x5b8 + 0x5b8 + 0x20 + + + + .debug_aranges + 0x5d8 + 0x5d8 + 0x20 + + + + .debug_aranges + 0x5f8 + 0x5f8 + 0x20 + + + + .debug_aranges + 0x618 + 0x618 + 0x20 + + + + .debug_aranges + 0x638 + 0x638 + 0x20 + + + + .debug_aranges + 0x658 + 0x658 + 0x30 + + + + .debug_aranges + 0x688 + 0x688 + 0x20 + + + + .debug_aranges + 0x6a8 + 0x6a8 + 0x20 + + + + .debug_aranges + 0x6c8 + 0x6c8 + 0x20 + + + + .debug_aranges + 0x6e8 + 0x6e8 + 0x20 + + + + .debug_aranges + 0x708 + 0x708 + 0x28 + + + + .debug_aranges + 0x730 + 0x730 + 0x20 + + + + .debug_aranges + 0x750 + 0x750 + 0x20 + + + + .debug_aranges + 0x770 + 0x770 + 0x20 + + + + .debug_aranges + 0x790 + 0x790 + 0x20 + + + + .debug_aranges + 0x7b0 + 0x7b0 + 0x20 + + + + .debug_aranges + 0x7d0 + 0x7d0 + 0x20 + + + + .debug_aranges + 0x7f0 + 0x7f0 + 0x20 + + + + .debug_aranges + 0x810 + 0x810 + 0x28 + + + + .debug_aranges + 0x838 + 0x838 + 0x20 + + + + .debug_aranges + 0x858 + 0x858 + 0x20 + + + + .debug_aranges + 0x878 + 0x878 + 0x20 + + + + .debug_aranges + 0x898 + 0x898 + 0x20 + + + + .debug_aranges + 0x8b8 + 0x8b8 + 0x20 + + + + .debug_aranges + 0x8d8 + 0x8d8 + 0x20 + + + + .debug_aranges + 0x8f8 + 0x8f8 + 0x20 + + + + .debug_aranges + 0x918 + 0x918 + 0x20 + + + + .debug_aranges + 0x938 + 0x938 + 0x20 + + + + .debug_aranges + 0x958 + 0x958 + 0x20 + + + + .debug_aranges + 0x978 + 0x978 + 0x20 + + + + .debug_aranges + 0x998 + 0x998 + 0x20 + + + + .debug_aranges + 0x9b8 + 0x9b8 + 0x20 + + + + .debug_aranges + 0x9d8 + 0x9d8 + 0x20 + + + + .debug_aranges + 0x9f8 + 0x9f8 + 0x20 + + + + .debug_aranges + 0xa18 + 0xa18 + 0x20 + + + + .debug_aranges + 0xa38 + 0xa38 + 0x20 + + + + .debug_aranges + 0xa58 + 0xa58 + 0x20 + + + + .debug_aranges + 0xa78 + 0xa78 + 0x20 + + + + .debug_aranges + 0xa98 + 0xa98 + 0x20 + + + + .debug_aranges + 0xab8 + 0xab8 + 0x20 + + + + .debug_aranges + 0xad8 + 0xad8 + 0x20 + + + + .debug_aranges + 0xaf8 + 0xaf8 + 0x20 + + + + .debug_aranges + 0xb18 + 0xb18 + 0x20 + + + + .debug_aranges + 0xb38 + 0xb38 + 0x20 + + + + .debug_aranges + 0xb58 + 0xb58 + 0x20 + + + + .debug_aranges + 0xb78 + 0xb78 + 0x20 + + + + .debug_aranges + 0xb98 + 0xb98 + 0x20 + + + + .debug_aranges + 0xbb8 + 0xbb8 + 0x20 + + + + .debug_aranges + 0xbd8 + 0xbd8 + 0x20 + + + + .debug_aranges + 0xbf8 + 0xbf8 + 0x20 + + + + .debug_aranges + 0xc18 + 0xc18 + 0x20 + + + + .debug_aranges + 0xc38 + 0xc38 + 0x20 + + + + .debug_aranges + 0xc58 + 0xc58 + 0x20 + + + + .debug_aranges + 0xc78 + 0xc78 + 0x20 + + + + .debug_aranges + 0xc98 + 0xc98 + 0x20 + + + + .debug_aranges + 0xcb8 + 0xcb8 + 0x20 + + + + .debug_aranges + 0xcd8 + 0xcd8 + 0x20 + + + + .debug_aranges + 0xcf8 + 0xcf8 + 0x20 + + + + .debug_aranges + 0xd18 + 0xd18 + 0x20 + + + + .debug_aranges + 0xd38 + 0xd38 + 0x20 + + + + .debug_aranges + 0xd58 + 0xd58 + 0x20 + + + + .debug_aranges + 0xd78 + 0xd78 + 0x20 + + + + .debug_aranges + 0xd98 + 0xd98 + 0x20 + + + + .debug_aranges + 0xdb8 + 0xdb8 + 0x20 + + + + .debug_aranges + 0xdd8 + 0xdd8 + 0x20 + + + + .debug_aranges + 0xdf8 + 0xdf8 + 0x20 + + + + .debug_aranges + 0xe18 + 0xe18 + 0x20 + + + + .debug_aranges + 0xe38 + 0xe38 + 0x20 + + + + .debug_aranges + 0xe58 + 0xe58 + 0x20 + + + + .debug_aranges + 0xe78 + 0xe78 + 0x20 + + + + .debug_aranges + 0xe98 + 0xe98 + 0x20 + + + + .debug_aranges + 0xeb8 + 0xeb8 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1b + + + + .debug_pubnames + 0x1b + 0x1b + 0x1c + + + + .debug_pubnames + 0x37 + 0x37 + 0x1c + + + + .debug_pubnames + 0x53 + 0x53 + 0x1d + + + + .debug_pubnames + 0x70 + 0x70 + 0x21 + + + + .debug_pubnames + 0x91 + 0x91 + 0x25 + + + + .debug_pubnames + 0xb6 + 0xb6 + 0x1e + + + + .debug_pubnames + 0xd4 + 0xd4 + 0x24 + + + + .debug_pubnames + 0xf8 + 0xf8 + 0x1b + + + + .debug_pubnames + 0x113 + 0x113 + 0x1c + + + + .debug_pubnames + 0x12f + 0x12f + 0x1c + + + + .debug_pubnames + 0x14b + 0x14b + 0x1f + + + + .debug_pubnames + 0x16a + 0x16a + 0x1b + + + + .debug_pubnames + 0x185 + 0x185 + 0x1c + + + + .debug_pubnames + 0x1a1 + 0x1a1 + 0x1f + + + + .debug_pubnames + 0x1c0 + 0x1c0 + 0x1f + + + + .debug_pubnames + 0x1df + 0x1df + 0x1b + + + + .debug_pubnames + 0x1fa + 0x1fa + 0x1f + + + + .debug_pubnames + 0x219 + 0x219 + 0x22 + + + + .debug_pubnames + 0x23b + 0x23b + 0x20 + + + + .debug_pubnames + 0x25b + 0x25b + 0x21 + + + + .debug_pubnames + 0x27c + 0x27c + 0x22 + + + + .debug_pubnames + 0x29e + 0x29e + 0x23 + + + + .debug_pubnames + 0x2c1 + 0x2c1 + 0x1c + + + + .debug_pubnames + 0x2dd + 0x2dd + 0x1c + + + + .debug_pubnames + 0x2f9 + 0x2f9 + 0x22 + + + + .debug_pubnames + 0x31b + 0x31b + 0x1e + + + + .debug_pubnames + 0x339 + 0x339 + 0x1d + + + + .debug_pubnames + 0x356 + 0x356 + 0x1c + + + + .debug_pubnames + 0x372 + 0x372 + 0x1d + + + + .debug_pubnames + 0x38f + 0x38f + 0x24 + + + + .debug_pubnames + 0x3b3 + 0x3b3 + 0x24 + + + + .debug_pubnames + 0x3d7 + 0x3d7 + 0x25 + + + + .debug_pubnames + 0x3fc + 0x3fc + 0x2b + + + + .debug_pubnames + 0x427 + 0x427 + 0x2b + + + + .debug_pubnames + 0x452 + 0x452 + 0x24 + + + + .debug_pubnames + 0x476 + 0x476 + 0x24 + + + + .debug_pubnames + 0x49a + 0x49a + 0x29 + + + + .debug_pubnames + 0x4c3 + 0x4c3 + 0x29 + + + + .debug_pubnames + 0x4ec + 0x4ec + 0x2b + + + + .debug_pubnames + 0x517 + 0x517 + 0x2a + + + + .debug_pubnames + 0x541 + 0x541 + 0x1d + + + + .debug_pubnames + 0x55e + 0x55e + 0x26 + + + + .debug_pubnames + 0x584 + 0x584 + 0x3e + + + + .debug_pubnames + 0x5c2 + 0x5c2 + 0x2e + + + + .debug_pubnames + 0x5f0 + 0x5f0 + 0x27 + + + + .debug_pubnames + 0x617 + 0x617 + 0x25 + + + + .debug_pubnames + 0x63c + 0x63c + 0x25 + + + + .debug_pubnames + 0x661 + 0x661 + 0x26 + + + + .debug_pubnames + 0x687 + 0x687 + 0x27 + + + + .debug_pubnames + 0x6ae + 0x6ae + 0x29 + + + + .debug_pubnames + 0x6d7 + 0x6d7 + 0x2b + + + + .debug_pubnames + 0x702 + 0x702 + 0x2b + + + + .debug_pubnames + 0x72d + 0x72d + 0x24 + + + + .debug_pubnames + 0x751 + 0x751 + 0x24 + + + + .debug_pubnames + 0x775 + 0x775 + 0x25 + + + + .debug_pubnames + 0x79a + 0x79a + 0x24 + + + + .debug_pubnames + 0x7be + 0x7be + 0x25 + + + + .debug_pubnames + 0x7e3 + 0x7e3 + 0x26 + + + + .debug_pubnames + 0x809 + 0x809 + 0x25 + + + + .debug_pubnames + 0x82e + 0x82e + 0x26 + + + + .debug_pubnames + 0x854 + 0x854 + 0x23 + + + + .debug_pubnames + 0x877 + 0x877 + 0x24 + + + + .debug_pubnames + 0x89b + 0x89b + 0x24 + + + + .debug_pubnames + 0x8bf + 0x8bf + 0x24 + + + + .debug_pubnames + 0x8e3 + 0x8e3 + 0x36 + + + + .debug_pubnames + 0x919 + 0x919 + 0x1c + + + + .debug_pubnames + 0x935 + 0x935 + 0x1b + + + + .debug_pubnames + 0x950 + 0x950 + 0x1c + + + + .debug_pubnames + 0x96c + 0x96c + 0x1e + + + + .debug_pubnames + 0x98a + 0x98a + 0x1b + + + + .debug_pubnames + 0x9a5 + 0x9a5 + 0x20 + + + + .debug_pubnames + 0x9c5 + 0x9c5 + 0x1b + + + + .debug_pubnames + 0x9e0 + 0x9e0 + 0x1f + + + + .debug_pubnames + 0x9ff + 0x9ff + 0x23 + + + + .debug_pubnames + 0xa22 + 0xa22 + 0x1e + + + + .debug_pubnames + 0xa40 + 0xa40 + 0x22 + + + + .debug_pubnames + 0xa62 + 0xa62 + 0x1e + + + + .debug_pubnames + 0xa80 + 0xa80 + 0x1d + + + + .debug_pubnames + 0xa9d + 0xa9d + 0x1d + + + + .debug_pubnames + 0xaba + 0xaba + 0x22 + + + + .debug_pubnames + 0xadc + 0xadc + 0x2c + + + + .debug_pubnames + 0xb08 + 0xb08 + 0x1f + + + + .debug_pubnames + 0xb27 + 0xb27 + 0x1d + + + + .debug_pubnames + 0xb44 + 0xb44 + 0x27 + + + + .debug_pubnames + 0xb6b + 0xb6b + 0x27 + + + + .debug_pubnames + 0xb92 + 0xb92 + 0x1b + + + + .debug_pubnames + 0xbad + 0xbad + 0x1c + + + + .debug_pubnames + 0xbc9 + 0xbc9 + 0x24 + + + + .debug_pubnames + 0xbed + 0xbed + 0x1d + + + + .debug_pubnames + 0xc0a + 0xc0a + 0x1d + + + + .debug_pubnames + 0xc27 + 0xc27 + 0x1d + + + + .debug_pubnames + 0xc44 + 0xc44 + 0x1e + + + + .debug_pubnames + 0xc62 + 0xc62 + 0x1c + + + + .debug_pubnames + 0xc7e + 0xc7e + 0x1e + + + + .debug_pubnames + 0xc9c + 0xc9c + 0x1e + + + + .debug_pubnames + 0xcba + 0xcba + 0x1a + + + + .debug_pubnames + 0xcd4 + 0xcd4 + 0x1c + + + + .debug_pubnames + 0xcf0 + 0xcf0 + 0x23 + + + + .debug_pubnames + 0xd13 + 0xd13 + 0x23 + + + + .debug_pubnames + 0xd36 + 0xd36 + 0x1c + + + + .debug_pubnames + 0xd52 + 0xd52 + 0x1f + + + + .debug_pubnames + 0xd71 + 0xd71 + 0x27 + + + + .debug_pubnames + 0xd98 + 0xd98 + 0x25 + + + + .debug_pubnames + 0xdbd + 0xdbd + 0x27 + + + + .debug_pubnames + 0xde4 + 0xde4 + 0x24 + + + + .debug_pubnames + 0xe08 + 0xe08 + 0x27 + + + + .debug_pubnames + 0xe2f + 0xe2f + 0x25 + + + + .debug_pubnames + 0xe54 + 0xe54 + 0x25 + + + + .debug_pubnames + 0xe79 + 0xe79 + 0x23 + + + + .debug_pubnames + 0xe9c + 0xe9c + 0x20 + + + + .debug_pubnames + 0xebc + 0xebc + 0x20 + + + + .debug_pubnames + 0xedc + 0xedc + 0x1e + + + + .debug_pubnames + 0xefa + 0xefa + 0x1f + + + + .debug_pubnames + 0xf19 + 0xf19 + 0x1f + + + + .debug_pubnames + 0xf38 + 0xf38 + 0x21 + + + + .debug_pubnames + 0xf59 + 0xf59 + 0x21 + + + + .debug_pubnames + 0xf7a + 0xf7a + 0x20 + + + + .debug_pubnames + 0xf9a + 0xf9a + 0x1f + + + + .debug_pubnames + 0xfb9 + 0xfb9 + 0x24 + + + + .debug_pubnames + 0xfdd + 0xfdd + 0x23 + + + + .debug_pubnames + 0x1000 + 0x1000 + 0x1c + + + + .debug_pubnames + 0x101c + 0x101c + 0x25 + + + + .debug_pubnames + 0x1041 + 0x1041 + 0x21 + + + + .debug_pubnames + 0x1062 + 0x1062 + 0x20 + + + + .debug_pubnames + 0x1082 + 0x1082 + 0x1d + + + + .debug_pubnames + 0x109f + 0x109f + 0x1d + + + + .debug_pubnames + 0x10bc + 0x10bc + 0x1e + + + + .debug_pubnames + 0x10da + 0x10da + 0x1c + + + + .debug_pubnames + 0x10f6 + 0x10f6 + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xed + + + + .debug_pubtypes + 0x349 + 0x349 + 0x320 + + + + .debug_pubtypes + 0x669 + 0x669 + 0x1e + + + + .debug_pubtypes + 0x687 + 0x687 + 0x27 + + + + .debug_pubtypes + 0x6ae + 0x6ae + 0x1e + + + + .debug_pubtypes + 0x6cc + 0x6cc + 0x2c + + + + .debug_pubtypes + 0x6f8 + 0x6f8 + 0x97 + + + + .debug_pubtypes + 0x78f + 0x78f + 0x3b + + + + .debug_pubtypes + 0x7ca + 0x7ca + 0x38 + + + + .debug_pubtypes + 0x802 + 0x802 + 0x1d + + + + .debug_pubtypes + 0x81f + 0x81f + 0x1d + + + + .debug_pubtypes + 0x83c + 0x83c + 0x32 + + + + .debug_pubtypes + 0x86e + 0x86e + 0x2e + + + + .debug_pubtypes + 0x89c + 0x89c + 0x29 + + + + .debug_pubtypes + 0x8c5 + 0x8c5 + 0x3e + + + + .debug_pubtypes + 0x903 + 0x903 + 0x1e + + + + .debug_pubtypes + 0x921 + 0x921 + 0x32 + + + + .debug_pubtypes + 0x953 + 0x953 + 0x21 + + + + .debug_pubtypes + 0x974 + 0x974 + 0x1f + + + + .debug_pubtypes + 0x993 + 0x993 + 0x50 + + + + .debug_pubtypes + 0x9e3 + 0x9e3 + 0x48 + + + + .debug_pubtypes + 0xa2b + 0xa2b + 0x48 + + + + .debug_pubtypes + 0xa73 + 0xa73 + 0x1d + + + + .debug_pubtypes + 0xa90 + 0xa90 + 0x5d + + + + .debug_pubtypes + 0xaed + 0xaed + 0x48 + + + + .debug_pubtypes + 0xb35 + 0xb35 + 0x35 + + + + .debug_pubtypes + 0xb6a + 0xb6a + 0x30 + + + + .debug_pubtypes + 0xb9a + 0xb9a + 0x4c + + + + .debug_pubtypes + 0xbe6 + 0xbe6 + 0x26 + + + + .debug_pubtypes + 0xc0c + 0xc0c + 0x1d + + + + .debug_pubtypes + 0xc29 + 0xc29 + 0x2e + + + + .debug_pubtypes + 0xc57 + 0xc57 + 0x1c + + + + .debug_pubtypes + 0xc73 + 0xc73 + 0x1e + + + + + + .bss + 0x274a + 0xaa + + + + + + + + .data + 0x264c + 0xfe + + + + + + + + + + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x2400 + 0x12c + + + + + + + .stack + 0x4360 + 0xa0 + + + + + + + .text + 0x4400 + 0x4400 + 0x4978 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x953a + 0x953a + 0x8 + + + + + + .cinit + 0x9542 + 0x9542 + 0x56 + + + + + + + + + .const + 0x8d78 + 0x8d78 + 0x7c2 + + + + + + + + .cio + 0x252c + 0x120 + + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x16955 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x61ae + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x1e50 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x52c9 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x2d2d + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0xed8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x1113 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xc91 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SEGMENT_0 + 0x2400 + 0x3f4 + 0x6 + + + + + + + + + SEGMENT_1 + 0x4360 + 0xa0 + 0x6 + + + + + + SEGMENT_2 + 0x4400 + 0x4400 + 0x5198 + 0x5 + + + + + + + + + SEGMENT_3 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x494 + 0x1b6c + RWIX + + + 0x2400 + 0x12c + + + + 0x252c + 0x120 + + + + 0x264c + 0xfe + + + + 0x274a + 0xaa + + + + 0x27f4 + 0x1b6c + + + 0x4360 + 0xa0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x5198 + 0x69e8 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x4978 + + + + 0x8d78 + 0x7c2 + + + + 0x953a + 0x8 + + + + 0x9542 + 0x56 + + + + 0x9598 + 0x69e8 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x9542 + 0x44 + 0x264c + 0xfe + lzss + + + .bss + 0x958c + 0x4 + 0x274a + 0xaa + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __TI_CINIT_Base + 0x9590 + + + __TI_CINIT_Limit + 0x9598 + + + __TI_Handler_Table_Base + 0x9586 + + + __TI_Handler_Table_Limit + 0x958c + + + __STACK_SIZE + 0xa0 + + + __STACK_END + 0x4400 + + + __SYSMEM_SIZE + 0x12c + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + main + 0x55ce + + + + printf + 0x88ba + + + + __TI_printfi + 0x585a + + + + putc + 0x7bb0 + + + + fputc + 0x7bb0 + + + + fputs + 0x740a + + + + __TI_wrt_ok + 0x7e56 + + + + setvbuf + 0x7782 + + + + wcslen + 0x8cbe + + + + frexpl + 0x7916 + + + + frexp + 0x7916 + + + + scalbn + 0x6e38 + + + + scalbnl + 0x6e38 + + + + ldexpl + 0x6e38 + + + + ldexp + 0x6e38 + + + + __mspabi_srai + 0x8a14 + + + + __mspabi_srai_8 + 0x8a2e + + + + __mspabi_srai_9 + 0x8a2c + + + + __mspabi_srai_6 + 0x8a32 + + + + __mspabi_srai_7 + 0x8a30 + + + + __mspabi_srai_4 + 0x8a36 + + + + __mspabi_srai_5 + 0x8a34 + + + + __mspabi_srai_2 + 0x8a3a + + + + __mspabi_srai_3 + 0x8a38 + + + + __mspabi_srai_1 + 0x8a3c + + + + __mspabi_srai_15 + 0x8a20 + + + + __mspabi_srai_14 + 0x8a22 + + + + __mspabi_srai_13 + 0x8a24 + + + + __mspabi_srai_12 + 0x8a26 + + + + __mspabi_srai_11 + 0x8a28 + + + + __mspabi_srai_10 + 0x8a2a + + + + __mspabi_remu + 0x8c58 + + + + __mspabi_divu + 0x8c58 + + + + __mspabi_remul + 0x84d4 + + + + __mspabi_divul + 0x84d4 + + + + __mspabi_func_epilog_2 + 0x8d12 + + + + __mspabi_func_epilog_3 + 0x8d10 + + + + __mspabi_func_epilog_1 + 0x8d14 + + + + __mspabi_func_epilog_6 + 0x8d0a + + + + __mspabi_func_epilog_7 + 0x8d08 + + + + __mspabi_func_epilog_4 + 0x8d0e + + + + __mspabi_func_epilog_5 + 0x8d0c + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x953a + + + + __mspabi_slli + 0x8a40 + + + + __mspabi_slli_9 + 0x8a58 + + + + __mspabi_slli_8 + 0x8a5a + + + + __mspabi_slli_7 + 0x8a5c + + + + __mspabi_slli_6 + 0x8a5e + + + + __mspabi_slli_5 + 0x8a60 + + + + __mspabi_slli_4 + 0x8a62 + + + + __mspabi_slli_3 + 0x8a64 + + + + __mspabi_slli_2 + 0x8a66 + + + + __mspabi_slli_1 + 0x8a68 + + + + __mspabi_slli_15 + 0x8a4c + + + + __mspabi_slli_14 + 0x8a4e + + + + __mspabi_slli_13 + 0x8a50 + + + + __mspabi_slli_12 + 0x8a52 + + + + __mspabi_slli_11 + 0x8a54 + + + + __mspabi_slli_10 + 0x8a56 + + + + __mspabi_srli_8 + 0x85f6 + + + + __mspabi_srli_9 + 0x85f2 + + + + __mspabi_srli_6 + 0x85fe + + + + __mspabi_srli_7 + 0x85fa + + + + __mspabi_srli_4 + 0x8606 + + + + __mspabi_srli_5 + 0x8602 + + + + __mspabi_srli_2 + 0x860e + + + + __mspabi_srli_3 + 0x860a + + + + __mspabi_srli_1 + 0x8612 + + + + __mspabi_srli + 0x85cc + + + + __mspabi_srli_15 + 0x85da + + + + __mspabi_srli_14 + 0x85de + + + + __mspabi_srli_13 + 0x85e2 + + + + __mspabi_srli_12 + 0x85e6 + + + + __mspabi_srli_11 + 0x85ea + + + + __mspabi_srli_10 + 0x85ee + + + + __mspabi_mpyi_f5hw + 0x8caa + + + + __mspabi_mpyl_f5hw + 0x8bce + + + + __mspabi_mpyull_f5hw + 0x8ac2 + + + + __mspabi_mpyll_f5hw + 0x836a + + + + _stack + 0x4360 + + + + _c_int00_noargs + 0x8bee + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x8424 + + + + __TI_zero_init_nomemset + 0x8c6e + + + + __TI_dtors_ptr + 0x273c + + + + __TI_cleanup_ptr + 0x273a + + + + __mspabi_srlll + 0x86ac + + + + __mspabi_divull + 0x4fae + + + + _system_pre_init + 0x8d70 + + + + _system_post_cinit + 0x8d76 + + + + __TI_decompress_none + 0x8cd2 + + + + __TI_decompress_lzss + 0x7dda + + + + __mspabi_addd + 0x4400 + + + + __mspabi_cmpd + 0x75d4 + + + + __mspabi_cvtfd + 0x802e + + + + __mspabi_divd + 0x61e6 + + + + __mspabi_fixdi + 0x8aea + + + + __mspabi_fixdli + 0x7fbc + + + + __mspabi_fltid + 0x8d36 + + + + __mspabi_fltlid + 0x79c6 + + + + __TI_frcdivd + 0x4b36 + + + + __mspabi_mpyd + 0x530e + + + + __mspabi_negd + 0x8a98 + + + + __mspabi_subd + 0x898c + + + + C$$EXIT + 0x8d66 + + + + abort + 0x8d66 + + + + __TI_enable_exit_profile_output + 0x2746 + + + + exit + 0x877a + + + + _nop + 0x8d74 + + + + _lock + 0x273e + + + + _unlock + 0x2740 + + + + __TI_ltoa + 0x7d56 + + + + atoi + 0x852c + + + + _ctypes_ + 0x9412 + + + + __TI_tmpnams + 0x274a + + + _ftable + 0x264c + + + + __TI_ft_end + 0x2742 + + + + memccpy + 0x8bac + + + + memchr + 0x8c82 + + + + memcpy + 0x8cf6 + + + + _sys_memory + 0x2400 + + + + free + 0x76b0 + + + + memalign + 0x7314 + + + + malloc + 0x8d58 + + + + aligned_alloc + 0x7314 + + + + memset + 0x8c96 + + + + strchr + 0x8c26 + + + + strlen + 0x8d28 + + + + toupper + 0x8d42 + + + + write + 0x8b88 + + + + _stream + 0x2712 + + + + _device + 0x26c4 + + + + abs + 0x8d4e + + + + errno + 0x2744 + + + + __TI_doflush + 0x82a8 + + + + __TI_cleanup + 0x88f6 + + + + fseek + 0x809e + + + + copysign + 0x89ba + + + + copysignl + 0x89ba + + + + __mspabi_sral_15 + 0x883e + + + + __mspabi_sral_14 + 0x8842 + + + + __mspabi_sral_13 + 0x8846 + + + + __mspabi_sral_12 + 0x884a + + + + __mspabi_sral_11 + 0x884e + + + + __mspabi_sral_10 + 0x8852 + + + + __mspabi_sral_8 + 0x885a + + + + __mspabi_sral_9 + 0x8856 + + + + __mspabi_sral_6 + 0x8862 + + + + __mspabi_sral_7 + 0x885e + + + + __mspabi_sral_4 + 0x886a + + + + __mspabi_sral_5 + 0x8866 + + + + __mspabi_sral_2 + 0x8872 + + + + __mspabi_sral_3 + 0x886e + + + + __mspabi_sral_1 + 0x8876 + + + + __mspabi_remli + 0x87fe + + + + __mspabi_divli + 0x87fe + + + + __mspabi_slll_9 + 0x8894 + + + + __mspabi_slll_8 + 0x8898 + + + + __mspabi_slll_7 + 0x889c + + + + __mspabi_slll_6 + 0x88a0 + + + + __mspabi_slll_5 + 0x88a4 + + + + __mspabi_slll_4 + 0x88a8 + + + + __mspabi_slll_3 + 0x88ac + + + + __mspabi_slll_2 + 0x88b0 + + + + __mspabi_slll_1 + 0x88b4 + + + + __mspabi_slll_15 + 0x887c + + + + __mspabi_slll_14 + 0x8880 + + + + __mspabi_slll_13 + 0x8884 + + + + __mspabi_slll_12 + 0x8888 + + + + __mspabi_slll_11 + 0x888c + + + + __mspabi_slll_10 + 0x8890 + + + + __mspabi_srll_8 + 0x83f2 + + + + __mspabi_srll_9 + 0x83ec + + + + __mspabi_srll_6 + 0x83fe + + + + __mspabi_srll_7 + 0x83f8 + + + + __mspabi_srll_4 + 0x840a + + + + __mspabi_srll_5 + 0x8404 + + + + __mspabi_srll_2 + 0x8416 + + + + __mspabi_srll_3 + 0x8410 + + + + __mspabi_srll_1 + 0x841c + + + + __mspabi_srll_15 + 0x83c8 + + + + __mspabi_srll_14 + 0x83ce + + + + __mspabi_srll_13 + 0x83d4 + + + + __mspabi_srll_12 + 0x83da + + + + __mspabi_srll_11 + 0x83e0 + + + + __mspabi_srll_10 + 0x83e6 + + + + __mspabi_srll + 0x8ce4 + + + + __mspabi_srall + 0x8618 + + + + __mspabi_sllll + 0x8736 + + + + __TI_frcmpyd + 0x6cc6 + + + + HOSTclose + 0x86f2 + + + + HOSTlseek + 0x7cce + + + + parmbuf + 0x27ea + + + HOSTopen + 0x81e0 + + + + HOSTread + 0x830a + + + + HOSTrename + 0x810e + + + + HOSTunlink + 0x87be + + + + HOSTwrite + 0x8246 + + + + __TI_readmsg + 0x89e8 + + + + C$$IO$$ + 0x8988 + + + + _CIOBUF_ + 0x252c + + + + __TI_writemsg + 0x895e + + + + lseek + 0x8b62 + + + + __TI_closefile + 0x7f4a + + + + finddevice + 0x892a + + + + getdevice + 0x847c + + + + strcmp + 0x8c40 + + + + strcpy + 0x8d18 + + + + strncpy + 0x8a6c + + + + close + 0x8662 + + + + unlink + 0x8b3a + + + + remove + 0x8b3a + + + Link successful +
diff --git a/CPE325/L2_P1/Debug/ccsObjs.opt b/CPE325/L2_P1/Debug/ccsObjs.opt new file mode 100644 index 0000000..2d7b23a --- /dev/null +++ b/CPE325/L2_P1/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./p1.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/L2_P1/Debug/makefile b/CPE325/L2_P1/Debug/makefile new file mode 100644 index 0000000..da3d97a --- /dev/null +++ b/CPE325/L2_P1/Debug/makefile @@ -0,0 +1,168 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./p1.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +L2_P1.out \ + +EXE_OUTPUTS__QUOTED += \ +"L2_P1.out" \ + +BIN_OUTPUTS += \ +L2_P1.hex \ + +BIN_OUTPUTS__QUOTED += \ +"L2_P1.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "L2_P1.out" + +# Tool invocations +L2_P1.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --advice:power="all" --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing -z -m"L2_P1.map" --heap_size=300 --stack_size=160 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="L2_P1_linkInfo.xml" --use_hw_mpy=F5 --rom_model -o "L2_P1.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +L2_P1.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "L2_P1.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "p1.lst" + -$(RM) "p1.obj" + -$(RM) "p1.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/L2_P1/Debug/objects.mk b/CPE325/L2_P1/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/L2_P1/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/L2_P1/Debug/p1.d b/CPE325/L2_P1/Debug/p1.d new file mode 100644 index 0000000..d2c64d9 --- /dev/null +++ b/CPE325/L2_P1/Debug/p1.d @@ -0,0 +1,48 @@ +# FIXED + +p1.obj: ../p1.c +p1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +p1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +p1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/limits.h +p1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/float.h + +../p1.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/limits.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/float.h: + diff --git a/CPE325/L2_P1/Debug/p1.lst b/CPE325/L2_P1/Debug/p1.lst new file mode 100644 index 0000000..ada0f0a --- /dev/null +++ b/CPE325/L2_P1/Debug/p1.lst @@ -0,0 +1,3703 @@ +MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Fri Sep 3 14:07:10 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../p1.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\L2_P1\Debug") + 12 $C$DW$1 .dwtag DW_TAG_variable + 13 .dwattr $C$DW$1, DW_AT_name("WDTCTL") + 14 .dwattr $C$DW$1, DW_AT_TI_symbol_name("WDTCTL") + 15 .dwattr $C$DW$1, DW_AT_type(*$C$DW$T$58) + 16 .dwattr $C$DW$1, DW_AT_declaration + 17 .dwattr $C$DW$1, DW_AT_external + 18 .dwattr $C$DW$1, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430f5529.h") + 19 .dwattr $C$DW$1, DW_AT_decl_line(0x1232) + 20 .dwattr $C$DW$1, DW_AT_decl_column(0x01) + 21 + 22 + 23 $C$DW$2 .dwtag DW_TAG_subprogram + 24 .dwattr $C$DW$2, DW_AT_name("printf") + 25 .dwattr $C$DW$2, DW_AT_TI_symbol_name("printf") + 26 .dwattr $C$DW$2, DW_AT_type(*$C$DW$T$10) + 27 .dwattr $C$DW$2, DW_AT_declaration + 28 .dwattr $C$DW$2, DW_AT_external + 29 .dwattr $C$DW$2, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/in + 30 .dwattr $C$DW$2, DW_AT_decl_line(0xf6) + 31 .dwattr $C$DW$2, DW_AT_decl_column(0x19) + 32 $C$DW$3 .dwtag DW_TAG_formal_parameter + 33 .dwattr $C$DW$3, DW_AT_type(*$C$DW$T$39) + 34 + 35 $C$DW$4 .dwtag DW_TAG_unspecified_parameters + 36 + 37 .dwendtag $C$DW$2 + 38 + 39 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 40 000000 .sect ".text:main" + 41 .clink + 42 .global main + 43 + 44 $C$DW$5 .dwtag DW_TAG_subprogram + 45 .dwattr $C$DW$5, DW_AT_name("main") + 46 .dwattr $C$DW$5, DW_AT_low_pc(main) + 47 .dwattr $C$DW$5, DW_AT_high_pc(0x00) + 48 .dwattr $C$DW$5, DW_AT_TI_symbol_name("main") + 49 .dwattr $C$DW$5, DW_AT_external + 50 .dwattr $C$DW$5, DW_AT_type(*$C$DW$T$10) + 51 .dwattr $C$DW$5, DW_AT_TI_begin_file("../p1.c") + 52 .dwattr $C$DW$5, DW_AT_TI_begin_line(0x10) + 53 .dwattr $C$DW$5, DW_AT_TI_begin_column(0x05) + 54 .dwattr $C$DW$5, DW_AT_decl_file("../p1.c") + 55 .dwattr $C$DW$5, DW_AT_decl_line(0x10) + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 2 + + 56 .dwattr $C$DW$5, DW_AT_decl_column(0x05) + 57 .dwattr $C$DW$5, DW_AT_TI_max_frame_size(0x3c) + 58 .dwpsn file "../p1.c",line 17,column 1,is_stmt,address main,isa 0 + 59 + 60 .dwfde $C$DW$CIE, main + 61 + 62 ;***************************************************************************** + 63 ;* FUNCTION NAME: main * + 64 ;* * + 65 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + 66 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 67 ;* Local Frame Size : 28 Args + 30 Auto + 0 Save = 58 byte * + 68 ;***************************************************************************** + 69 000000 main: + 70 ;* --------------------------------------------------------------------------* + 71 .dwcfi cfa_offset, 2 + 72 .dwcfi save_reg_to_mem, 16, -2 + 73 000000 8031 SUB.W #58,SP ; [] + 000002 003A + 74 .dwcfi cfa_offset, 60 + 75 $C$DW$6 .dwtag DW_TAG_variable + 76 .dwattr $C$DW$6, DW_AT_name("dou") + 77 .dwattr $C$DW$6, DW_AT_TI_symbol_name("dou") + 78 .dwattr $C$DW$6, DW_AT_type(*$C$DW$T$17) + 79 .dwattr $C$DW$6, DW_AT_location[DW_OP_breg1 28] + 80 + 81 $C$DW$7 .dwtag DW_TAG_variable + 82 .dwattr $C$DW$7, DW_AT_name("flo") + 83 .dwattr $C$DW$7, DW_AT_TI_symbol_name("flo") + 84 .dwattr $C$DW$7, DW_AT_type(*$C$DW$T$16) + 85 .dwattr $C$DW$7, DW_AT_location[DW_OP_breg1 36] + 86 + 87 $C$DW$8 .dwtag DW_TAG_variable + 88 .dwattr $C$DW$8, DW_AT_name("shortInt") + 89 .dwattr $C$DW$8, DW_AT_TI_symbol_name("shortInt") + 90 .dwattr $C$DW$8, DW_AT_type(*$C$DW$T$10) + 91 .dwattr $C$DW$8, DW_AT_location[DW_OP_breg1 40] + 92 + 93 $C$DW$9 .dwtag DW_TAG_variable + 94 .dwattr $C$DW$9, DW_AT_name("integer") + 95 .dwattr $C$DW$9, DW_AT_TI_symbol_name("integer") + 96 .dwattr $C$DW$9, DW_AT_type(*$C$DW$T$10) + 97 .dwattr $C$DW$9, DW_AT_location[DW_OP_breg1 42] + 98 + 99 $C$DW$10 .dwtag DW_TAG_variable + 100 .dwattr $C$DW$10, DW_AT_name("longInt") + 101 .dwattr $C$DW$10, DW_AT_TI_symbol_name("longInt") + 102 .dwattr $C$DW$10, DW_AT_type(*$C$DW$T$10) + 103 .dwattr $C$DW$10, DW_AT_location[DW_OP_breg1 44] + 104 + 105 $C$DW$11 .dwtag DW_TAG_variable + 106 .dwattr $C$DW$11, DW_AT_name("longlongInt") + 107 .dwattr $C$DW$11, DW_AT_TI_symbol_name("longlongInt") + 108 .dwattr $C$DW$11, DW_AT_type(*$C$DW$T$10) + 109 .dwattr $C$DW$11, DW_AT_location[DW_OP_breg1 46] + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 3 + + 110 + 111 $C$DW$12 .dwtag DW_TAG_variable + 112 .dwattr $C$DW$12, DW_AT_name("unsignedshortInt") + 113 .dwattr $C$DW$12, DW_AT_TI_symbol_name("unsignedshortInt") + 114 .dwattr $C$DW$12, DW_AT_type(*$C$DW$T$10) + 115 .dwattr $C$DW$12, DW_AT_location[DW_OP_breg1 48] + 116 + 117 $C$DW$13 .dwtag DW_TAG_variable + 118 .dwattr $C$DW$13, DW_AT_name("unsignedInt") + 119 .dwattr $C$DW$13, DW_AT_TI_symbol_name("unsignedInt") + 120 .dwattr $C$DW$13, DW_AT_type(*$C$DW$T$10) + 121 .dwattr $C$DW$13, DW_AT_location[DW_OP_breg1 50] + 122 + 123 $C$DW$14 .dwtag DW_TAG_variable + 124 .dwattr $C$DW$14, DW_AT_name("unsignedlongInt") + 125 .dwattr $C$DW$14, DW_AT_TI_symbol_name("unsignedlongInt") + 126 .dwattr $C$DW$14, DW_AT_type(*$C$DW$T$10) + 127 .dwattr $C$DW$14, DW_AT_location[DW_OP_breg1 52] + 128 + 129 $C$DW$15 .dwtag DW_TAG_variable + 130 .dwattr $C$DW$15, DW_AT_name("unsignedlonglongInt") + 131 .dwattr $C$DW$15, DW_AT_TI_symbol_name("unsignedlonglongInt") + 132 .dwattr $C$DW$15, DW_AT_type(*$C$DW$T$10) + 133 .dwattr $C$DW$15, DW_AT_location[DW_OP_breg1 54] + 134 + 135 $C$DW$16 .dwtag DW_TAG_variable + 136 .dwattr $C$DW$16, DW_AT_name("signedChar") + 137 .dwattr $C$DW$16, DW_AT_TI_symbol_name("signedChar") + 138 .dwattr $C$DW$16, DW_AT_type(*$C$DW$T$6) + 139 .dwattr $C$DW$16, DW_AT_location[DW_OP_breg1 56] + 140 + 141 $C$DW$17 .dwtag DW_TAG_variable + 142 .dwattr $C$DW$17, DW_AT_name("unsignedChar") + 143 .dwattr $C$DW$17, DW_AT_TI_symbol_name("unsignedChar") + 144 .dwattr $C$DW$17, DW_AT_type(*$C$DW$T$6) + 145 .dwattr $C$DW$17, DW_AT_location[DW_OP_breg1 57] + 146 + 147 .dwpsn file "../p1.c",line 18,column 5,is_stmt,isa 0 + 148 000004 40B2 MOV.W #23168,&WDTCTL+0 ; [] |18| + 000006 5A80 + 000008 0000! + 149 .dwpsn file "../p1.c",line 21,column 5,is_stmt,isa 0 + 150 00000a 40B1 MOV.W #$C$SL1+0,0(SP) ; [] |21| + 00000c 0000! + 00000e 0000 + 151 $C$DW$18 .dwtag DW_TAG_TI_branch + 152 .dwattr $C$DW$18, DW_AT_low_pc(0x00) + 153 .dwattr $C$DW$18, DW_AT_name("printf") + 154 .dwattr $C$DW$18, DW_AT_TI_call + 155 + 156 000010 12B0 CALL #printf ; [] |21| + 000012 0000! + 157 ; [] |21| + 158 .dwpsn file "../p1.c",line 22,column 5,is_stmt,isa 0 + 159 000014 40B1 MOV.W #$C$SL2+0,0(SP) ; [] |22| + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 4 + + 000016 0000! + 000018 0000 + 160 $C$DW$19 .dwtag DW_TAG_TI_branch + 161 .dwattr $C$DW$19, DW_AT_low_pc(0x00) + 162 .dwattr $C$DW$19, DW_AT_name("printf") + 163 .dwattr $C$DW$19, DW_AT_TI_call + 164 + 165 00001a 12B0 CALL #printf ; [] |22| + 00001c 0000! + 166 ; [] |22| + 167 .dwpsn file "../p1.c",line 23,column 5,is_stmt,isa 0 + 168 00001e 40B1 MOV.W #$C$SL1+0,0(SP) ; [] |23| + 000020 0000! + 000022 0000 + 169 $C$DW$20 .dwtag DW_TAG_TI_branch + 170 .dwattr $C$DW$20, DW_AT_low_pc(0x00) + 171 .dwattr $C$DW$20, DW_AT_name("printf") + 172 .dwattr $C$DW$20, DW_AT_TI_call + 173 + 174 000024 12B0 CALL #printf ; [] |23| + 000026 0000! + 175 ; [] |23| + 176 .dwpsn file "../p1.c",line 25,column 21,is_stmt,isa 0 + 177 000028 40F1 MOV.B #97,56(SP) ; [] |25| + 00002a 0061 + 00002c 0038 + 178 .dwpsn file "../p1.c",line 26,column 5,is_stmt,isa 0 + 179 00002e 40B1 MOV.W #$C$SL3+0,0(SP) ; [] |26| + 000030 0000! + 000032 0000 + 180 000034 415F MOV.B 56(SP),r15 ; [] |26| + 000036 0038 + 181 000038 4F81 MOV.W r15,2(SP) ; [] |26| + 00003a 0002 + 182 00003c 4391 MOV.W #1,4(SP) ; [] |26| + 00003e 0004 + 183 000040 40B1 MOV.W #65408,6(SP) ; [] |26| + 000042 FF80 + 000044 0006 + 184 000046 40B1 MOV.W #127,8(SP) ; [] |26| + 000048 007F + 00004a 0008 + 185 $C$DW$21 .dwtag DW_TAG_TI_branch + 186 .dwattr $C$DW$21, DW_AT_low_pc(0x00) + 187 .dwattr $C$DW$21, DW_AT_name("printf") + 188 .dwattr $C$DW$21, DW_AT_TI_call + 189 + 190 00004c 12B0 CALL #printf ; [] |26| + 00004e 0000! + 191 ; [] |26| + 192 .dwpsn file "../p1.c",line 28,column 18,is_stmt,isa 0 + 193 000050 40B1 MOV.W #12,40(SP) ; [] |28| + 000052 000C + 000054 0028 + 194 .dwpsn file "../p1.c",line 29,column 5,is_stmt,isa 0 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 5 + + 195 000056 40B1 MOV.W #$C$SL4+0,0(SP) ; [] |29| + 000058 0000! + 00005a 0000 + 196 00005c 4191 MOV.W 40(SP),2(SP) ; [] |29| + 00005e 0028 + 000060 0002 + 197 000062 43A1 MOV.W #2,4(SP) ; [] |29| + 000064 0004 + 198 000066 40B1 MOV.W #32768,6(SP) ; [] |29| + 000068 8000 + 00006a 0006 + 199 00006c 40B1 MOV.W #32767,8(SP) ; [] |29| + 00006e 7FFF + 000070 0008 + 200 $C$DW$22 .dwtag DW_TAG_TI_branch + 201 .dwattr $C$DW$22, DW_AT_low_pc(0x00) + 202 .dwattr $C$DW$22, DW_AT_name("printf") + 203 .dwattr $C$DW$22, DW_AT_TI_call + 204 + 205 000072 12B0 CALL #printf ; [] |29| + 000074 0000! + 206 ; [] |29| + 207 .dwpsn file "../p1.c",line 31,column 17,is_stmt,isa 0 + 208 000076 40B1 MOV.W #900,42(SP) ; [] |31| + 000078 0384 + 00007a 002A + 209 .dwpsn file "../p1.c",line 32,column 5,is_stmt,isa 0 + 210 00007c 40B1 MOV.W #$C$SL5+0,0(SP) ; [] |32| + 00007e 0000! + 000080 0000 + 211 000082 4191 MOV.W 42(SP),2(SP) ; [] |32| + 000084 002A + 000086 0002 + 212 000088 43A1 MOV.W #2,4(SP) ; [] |32| + 00008a 0004 + 213 00008c 40B1 MOV.W #32768,6(SP) ; [] |32| + 00008e 8000 + 000090 0006 + 214 000092 40B1 MOV.W #32767,8(SP) ; [] |32| + 000094 7FFF + 000096 0008 + 215 $C$DW$23 .dwtag DW_TAG_TI_branch + 216 .dwattr $C$DW$23, DW_AT_low_pc(0x00) + 217 .dwattr $C$DW$23, DW_AT_name("printf") + 218 .dwattr $C$DW$23, DW_AT_TI_call + 219 + 220 000098 12B0 CALL #printf ; [] |32| + 00009a 0000! + 221 ; [] |32| + 222 .dwpsn file "../p1.c",line 34,column 17,is_stmt,isa 0 + 223 00009c 40B1 MOV.W #200,44(SP) ; [] |34| + 00009e 00C8 + 0000a0 002C + 224 .dwpsn file "../p1.c",line 35,column 5,is_stmt,isa 0 + 225 0000a2 40B1 MOV.W #$C$SL6+0,0(SP) ; [] |35| + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 6 + + 0000a4 0000! + 0000a6 0000 + 226 0000a8 4191 MOV.W 44(SP),2(SP) ; [] |35| + 0000aa 002C + 0000ac 0002 + 227 0000ae 43A1 MOV.W #2,4(SP) ; [] |35| + 0000b0 0004 + 228 0000b2 4381 MOV.W #0,6(SP) ; [] |35| + 0000b4 0006 + 229 0000b6 40B1 MOV.W #32768,8(SP) ; [] |35| + 0000b8 8000 + 0000ba 0008 + 230 0000bc 43B1 MOV.W #65535,10(SP) ; [] |35| + 0000be 000A + 231 0000c0 40B1 MOV.W #32767,12(SP) ; [] |35| + 0000c2 7FFF + 0000c4 000C + 232 $C$DW$24 .dwtag DW_TAG_TI_branch + 233 .dwattr $C$DW$24, DW_AT_low_pc(0x00) + 234 .dwattr $C$DW$24, DW_AT_name("printf") + 235 .dwattr $C$DW$24, DW_AT_TI_call + 236 + 237 0000c6 12B0 CALL #printf ; [] |35| + 0000c8 0000! + 238 ; [] |35| + 239 .dwpsn file "../p1.c",line 37,column 21,is_stmt,isa 0 + 240 0000ca 40B1 MOV.W #65136,46(SP) ; [] |37| + 0000cc FE70 + 0000ce 002E + 241 .dwpsn file "../p1.c",line 38,column 5,is_stmt,isa 0 + 242 0000d0 40B1 MOV.W #$C$SL7+0,0(SP) ; [] |38| + 0000d2 0000! + 0000d4 0000 + 243 0000d6 4191 MOV.W 46(SP),2(SP) ; [] |38| + 0000d8 002E + 0000da 0002 + 244 0000dc 43A1 MOV.W #2,4(SP) ; [] |38| + 0000de 0004 + 245 0000e0 4381 MOV.W #0,6(SP) ; [] |38| + 0000e2 0006 + 246 0000e4 4381 MOV.W #0,8(SP) ; [] |38| + 0000e6 0008 + 247 0000e8 4381 MOV.W #0,10(SP) ; [] |38| + 0000ea 000A + 248 0000ec 40B1 MOV.W #32768,12(SP) ; [] |38| + 0000ee 8000 + 0000f0 000C + 249 0000f2 43B1 MOV.W #65535,14(SP) ; [] |38| + 0000f4 000E + 250 0000f6 43B1 MOV.W #65535,16(SP) ; [] |38| + 0000f8 0010 + 251 0000fa 43B1 MOV.W #65535,18(SP) ; [] |38| + 0000fc 0012 + 252 0000fe 40B1 MOV.W #32767,20(SP) ; [] |38| + 000100 7FFF + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 7 + + 000102 0014 + 253 $C$DW$25 .dwtag DW_TAG_TI_branch + 254 .dwattr $C$DW$25, DW_AT_low_pc(0x00) + 255 .dwattr $C$DW$25, DW_AT_name("printf") + 256 .dwattr $C$DW$25, DW_AT_TI_call + 257 + 258 000104 12B0 CALL #printf ; [] |38| + 000106 0000! + 259 ; [] |38| + 260 ;* --------------------------------------------------------------------------* + 261 .dwpsn file "../p1.c",line 40,column 23,is_stmt,isa 0 + 262 000108 40F1 MOV.B #111,57(SP) ; [] |40| + 00010a 006F + 00010c 0039 + 263 .dwpsn file "../p1.c",line 41,column 5,is_stmt,isa 0 + 264 00010e 40B1 MOV.W #$C$SL8+0,0(SP) ; [] |41| + 000110 0000! + 000112 0000 + 265 000114 415F MOV.B 57(SP),r15 ; [] |41| + 000116 0039 + 266 000118 4F81 MOV.W r15,2(SP) ; [] |41| + 00011a 0002 + 267 00011c 4391 MOV.W #1,4(SP) ; [] |41| + 00011e 0004 + 268 000120 4381 MOV.W #0,6(SP) ; [] |41| + 000122 0006 + 269 000124 40B1 MOV.W #255,8(SP) ; [] |41| + 000126 00FF + 000128 0008 + 270 $C$DW$26 .dwtag DW_TAG_TI_branch + 271 .dwattr $C$DW$26, DW_AT_low_pc(0x00) + 272 .dwattr $C$DW$26, DW_AT_name("printf") + 273 .dwattr $C$DW$26, DW_AT_TI_call + 274 + 275 00012a 12B0 CALL #printf ; [] |41| + 00012c 0000! + 276 ; [] |41| + 277 .dwpsn file "../p1.c",line 43,column 26,is_stmt,isa 0 + 278 00012e 40B1 MOV.W #500,48(SP) ; [] |43| + 000130 01F4 + 000132 0030 + 279 .dwpsn file "../p1.c",line 44,column 5,is_stmt,isa 0 + 280 000134 40B1 MOV.W #$C$SL9+0,0(SP) ; [] |44| + 000136 0000! + 000138 0000 + 281 00013a 4191 MOV.W 48(SP),2(SP) ; [] |44| + 00013c 0030 + 00013e 0002 + 282 000140 43A1 MOV.W #2,4(SP) ; [] |44| + 000142 0004 + 283 000144 4381 MOV.W #0,6(SP) ; [] |44| + 000146 0006 + 284 000148 43B1 MOV.W #65535,8(SP) ; [] |44| + 00014a 0008 + 285 00014c 40B1 MOV.W #32767,10(SP) ; [] |44| + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 8 + + 00014e 7FFF + 000150 000A + 286 $C$DW$27 .dwtag DW_TAG_TI_branch + 287 .dwattr $C$DW$27, DW_AT_low_pc(0x00) + 288 .dwattr $C$DW$27, DW_AT_name("printf") + 289 .dwattr $C$DW$27, DW_AT_TI_call + 290 + 291 000152 12B0 CALL #printf ; [] |44| + 000154 0000! + 292 ; [] |44| + 293 .dwpsn file "../p1.c",line 46,column 21,is_stmt,isa 0 + 294 000156 40B1 MOV.W #500,50(SP) ; [] |46| + 000158 01F4 + 00015a 0032 + 295 .dwpsn file "../p1.c",line 47,column 5,is_stmt,isa 0 + 296 00015c 40B1 MOV.W #$C$SL10+0,0(SP) ; [] |47| + 00015e 0000! + 000160 0000 + 297 000162 4191 MOV.W 50(SP),2(SP) ; [] |47| + 000164 0032 + 000166 0002 + 298 000168 43A1 MOV.W #2,4(SP) ; [] |47| + 00016a 0004 + 299 00016c 4381 MOV.W #0,6(SP) ; [] |47| + 00016e 0006 + 300 000170 43B1 MOV.W #65535,8(SP) ; [] |47| + 000172 0008 + 301 000174 40B1 MOV.W #32767,10(SP) ; [] |47| + 000176 7FFF + 000178 000A + 302 $C$DW$28 .dwtag DW_TAG_TI_branch + 303 .dwattr $C$DW$28, DW_AT_low_pc(0x00) + 304 .dwattr $C$DW$28, DW_AT_name("printf") + 305 .dwattr $C$DW$28, DW_AT_TI_call + 306 + 307 00017a 12B0 CALL #printf ; [] |47| + 00017c 0000! + 308 ; [] |47| + 309 .dwpsn file "../p1.c",line 49,column 25,is_stmt,isa 0 + 310 00017e 40B1 MOV.W #800,52(SP) ; [] |49| + 000180 0320 + 000182 0034 + 311 .dwpsn file "../p1.c",line 50,column 5,is_stmt,isa 0 + 312 000184 40B1 MOV.W #$C$SL11+0,0(SP) ; [] |50| + 000186 0000! + 000188 0000 + 313 00018a 4191 MOV.W 52(SP),2(SP) ; [] |50| + 00018c 0034 + 00018e 0002 + 314 000190 43A1 MOV.W #2,4(SP) ; [] |50| + 000192 0004 + 315 000194 4381 MOV.W #0,6(SP) ; [] |50| + 000196 0006 + 316 000198 43B1 MOV.W #65535,8(SP) ; [] |50| + 00019a 0008 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 9 + + 317 00019c 43B1 MOV.W #65535,10(SP) ; [] |50| + 00019e 000A + 318 $C$DW$29 .dwtag DW_TAG_TI_branch + 319 .dwattr $C$DW$29, DW_AT_low_pc(0x00) + 320 .dwattr $C$DW$29, DW_AT_name("printf") + 321 .dwattr $C$DW$29, DW_AT_TI_call + 322 + 323 0001a0 12B0 CALL #printf ; [] |50| + 0001a2 0000! + 324 ; [] |50| + 325 .dwpsn file "../p1.c",line 52,column 29,is_stmt,isa 0 + 326 0001a4 40B1 MOV.W #5000,54(SP) ; [] |52| + 0001a6 1388 + 0001a8 0036 + 327 .dwpsn file "../p1.c",line 53,column 5,is_stmt,isa 0 + 328 0001aa 40B1 MOV.W #$C$SL12+0,0(SP) ; [] |53| + 0001ac 0000! + 0001ae 0000 + 329 0001b0 4191 MOV.W 54(SP),2(SP) ; [] |53| + 0001b2 0036 + 0001b4 0002 + 330 0001b6 43A1 MOV.W #2,4(SP) ; [] |53| + 0001b8 0004 + 331 0001ba 4381 MOV.W #0,6(SP) ; [] |53| + 0001bc 0006 + 332 0001be 43B1 MOV.W #-1,8(SP) ; [] |53| + 0001c0 0008 + 333 0001c2 43B1 MOV.W #-1,10(SP) ; [] |53| + 0001c4 000A + 334 0001c6 43B1 MOV.W #-1,12(SP) ; [] |53| + 0001c8 000C + 335 0001ca 43B1 MOV.W #-1,14(SP) ; [] |53| + 0001cc 000E + 336 $C$DW$30 .dwtag DW_TAG_TI_branch + 337 .dwattr $C$DW$30, DW_AT_low_pc(0x00) + 338 .dwattr $C$DW$30, DW_AT_name("printf") + 339 .dwattr $C$DW$30, DW_AT_TI_call + 340 + 341 0001ce 12B0 CALL #printf ; [] |53| + 0001d0 0000! + 342 ; [] |53| + 343 .dwpsn file "../p1.c",line 55,column 15,is_stmt,isa 0 + 344 0001d2 40B1 MOV.W #5767,36(SP) ; [] |55| + 0001d4 1687 + 0001d6 0024 + 345 0001d8 40B1 MOV.W #16968,38(SP) ; [] |55| + 0001da 4248 + 0001dc 0026 + 346 .dwpsn file "../p1.c",line 56,column 5,is_stmt,isa 0 + 347 0001de 411C MOV.W 36(SP),r12 ; [] |56| + 0001e0 0024 + 348 0001e2 411D MOV.W 38(SP),r13 ; [] |56| + 0001e4 0026 + 349 $C$DW$31 .dwtag DW_TAG_TI_branch + 350 .dwattr $C$DW$31, DW_AT_low_pc(0x00) + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 10 + + 351 .dwattr $C$DW$31, DW_AT_name("__mspabi_cvtfd") + 352 .dwattr $C$DW$31, DW_AT_TI_call + 353 + 354 0001e6 12B0 CALL #__mspabi_cvtfd ; [] |56| + 0001e8 0000! + 355 ; [] |56| + 356 0001ea 40B1 MOV.W #$C$SL13+0,0(SP) ; [] |56| + 0001ec 0000! + 0001ee 0000 + 357 0001f0 4C81 MOV.W r12,2(SP) ; [] |56| + 0001f2 0002 + 358 0001f4 4D81 MOV.W r13,4(SP) ; [] |56| + 0001f6 0004 + 359 0001f8 4E81 MOV.W r14,6(SP) ; [] |56| + 0001fa 0006 + 360 0001fc 4F81 MOV.W r15,8(SP) ; [] |56| + 0001fe 0008 + 361 000200 42A1 MOV.W #4,10(SP) ; [] |56| + 000202 000A + 362 000204 43B1 MOV.W #65535,12(SP) ; [] |56| + 000206 000C + 363 000208 40B1 MOV.W #255,14(SP) ; [] |56| + 00020a 00FF + 00020c 000E + 364 00020e 4381 MOV.W #0,16(SP) ; [] |56| + 000210 0010 + 365 000212 40B1 MOV.W #57344,18(SP) ; [] |56| + 000214 E000 + 000216 0012 + 366 000218 43B1 MOV.W #65535,20(SP) ; [] |56| + 00021a 0014 + 367 00021c 40B1 MOV.W #18415,22(SP) ; [] |56| + 00021e 47EF + 000220 0016 + 368 $C$DW$32 .dwtag DW_TAG_TI_branch + 369 .dwattr $C$DW$32, DW_AT_low_pc(0x00) + 370 .dwattr $C$DW$32, DW_AT_name("printf") + 371 .dwattr $C$DW$32, DW_AT_TI_call + 372 + 373 000222 12B0 CALL #printf ; [] |56| + 000224 0000! + 374 ; [] |56| + 375 .dwpsn file "../p1.c",line 58,column 16,is_stmt,isa 0 + 376 000226 4381 MOV.W #0,28(SP) ; [] |58| + 000228 001C + 377 00022a 4381 MOV.W #0,30(SP) ; [] |58| + 00022c 001E + 378 00022e 40B1 MOV.W #33280,32(SP) ; [] |58| + 000230 8200 + 000232 0020 + 379 000234 40B1 MOV.W #16565,34(SP) ; [] |58| + 000236 40B5 + 000238 0022 + 380 .dwpsn file "../p1.c",line 59,column 5,is_stmt,isa 0 + 381 00023a 40B1 MOV.W #$C$SL14+0,0(SP) ; [] |59| + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 11 + + 00023c 0000! + 00023e 0000 + 382 000240 4191 MOV.W 28(SP),2(SP) ; [] |59| + 000242 001C + 000244 0002 + 383 000246 4191 MOV.W 30(SP),4(SP) ; [] |59| + 000248 001E + 00024a 0004 + 384 00024c 4191 MOV.W 32(SP),6(SP) ; [] |59| + 00024e 0020 + 000250 0006 + 385 000252 4191 MOV.W 34(SP),8(SP) ; [] |59| + 000254 0022 + 000256 0008 + 386 000258 42B1 MOV.W #8,10(SP) ; [] |59| + 00025a 000A + 387 00025c 4381 MOV.W #0,12(SP) ; [] |59| + 00025e 000C + 388 000260 4381 MOV.W #0,14(SP) ; [] |59| + 000262 000E + 389 000264 4381 MOV.W #0,16(SP) ; [] |59| + 000266 0010 + 390 000268 40B1 MOV.W #16,18(SP) ; [] |59| + 00026a 0010 + 00026c 0012 + 391 00026e 43B1 MOV.W #65535,20(SP) ; [] |59| + 000270 0014 + 392 000272 43B1 MOV.W #65535,22(SP) ; [] |59| + 000274 0016 + 393 000276 43B1 MOV.W #65535,24(SP) ; [] |59| + 000278 0018 + 394 00027a 40B1 MOV.W #32751,26(SP) ; [] |59| + 00027c 7FEF + 00027e 001A + 395 $C$DW$33 .dwtag DW_TAG_TI_branch + 396 .dwattr $C$DW$33, DW_AT_low_pc(0x00) + 397 .dwattr $C$DW$33, DW_AT_name("printf") + 398 .dwattr $C$DW$33, DW_AT_TI_call + 399 + 400 000280 12B0 CALL #printf ; [] |59| + 000282 0000! + 401 ; [] |59| + 402 .dwpsn file "../p1.c",line 60,column 1,is_stmt,isa 0 + 403 000284 430C MOV.W #0,r12 ; [] |60| + 404 000286 5031 ADD.W #58,SP ; [] + 000288 003A + 405 .dwcfi cfa_offset, 2 + 406 $C$DW$34 .dwtag DW_TAG_TI_branch + 407 .dwattr $C$DW$34, DW_AT_low_pc(0x00) + 408 .dwattr $C$DW$34, DW_AT_TI_return + 409 + 410 00028a 4130 RET ; [] + 411 ; [] + 412 .dwattr $C$DW$5, DW_AT_TI_end_file("../p1.c") + 413 .dwattr $C$DW$5, DW_AT_TI_end_line(0x3c) + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 12 + + 414 .dwattr $C$DW$5, DW_AT_TI_end_column(0x01) + 415 .dwendentry + 416 .dwendtag $C$DW$5 + 417 + 418 ;****************************************************************************** + 419 ;* STRINGS * + 420 ;****************************************************************************** + 421 000000 .sect ".const:.string" + 422 .align 2 + 423 000000 002D $C$SL1: .string "-----------------------------------------------------------" + 000001 002D + 000002 002D + 000003 002D + 000004 002D + 000005 002D + 000006 002D + 000007 002D + 000008 002D + 000009 002D + 00000a 002D + 00000b 002D + 00000c 002D + 00000d 002D + 00000e 002D + 00000f 002D + 000010 002D + 000011 002D + 000012 002D + 000013 002D + 000014 002D + 000015 002D + 000016 002D + 000017 002D + 000018 002D + 000019 002D + 00001a 002D + 00001b 002D + 00001c 002D + 00001d 002D + 00001e 002D + 00001f 002D + 000020 002D + 000021 002D + 000022 002D + 000023 002D + 000024 002D + 000025 002D + 000026 002D + 000027 002D + 000028 002D + 000029 002D + 00002a 002D + 00002b 002D + 00002c 002D + 00002d 002D + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 13 + + 00002e 002D + 00002f 002D + 000030 002D + 000031 002D + 000032 002D + 000033 002D + 000034 002D + 000035 002D + 000036 002D + 000037 002D + 000038 002D + 000039 002D + 00003a 002D + 424 00003b 002D .string "--------------------------------------------------------",10 + 00003c 002D + 00003d 002D + 00003e 002D + 00003f 002D + 000040 002D + 000041 002D + 000042 002D + 000043 002D + 000044 002D + 000045 002D + 000046 002D + 000047 002D + 000048 002D + 000049 002D + 00004a 002D + 00004b 002D + 00004c 002D + 00004d 002D + 00004e 002D + 00004f 002D + 000050 002D + 000051 002D + 000052 002D + 000053 002D + 000054 002D + 000055 002D + 000056 002D + 000057 002D + 000058 002D + 000059 002D + 00005a 002D + 00005b 002D + 00005c 002D + 00005d 002D + 00005e 002D + 00005f 002D + 000060 002D + 000061 002D + 000062 002D + 000063 002D + 000064 002D + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 14 + + 000065 002D + 000066 002D + 000067 002D + 000068 002D + 000069 002D + 00006a 002D + 00006b 002D + 00006c 002D + 00006d 002D + 00006e 002D + 00006f 002D + 000070 002D + 000071 002D + 000072 002D + 000073 000A + 425 000074 0000 .string 0 + 426 .align 2 + 427 000076 007C $C$SL2: .string "| Data Type | Value | Size " + 000077 0020 + 000078 0044 + 000079 0061 + 00007a 0074 + 00007b 0061 + 00007c 0020 + 00007d 0054 + 00007e 0079 + 00007f 0070 + 000080 0065 + 000081 0020 + 000082 0020 + 000083 0020 + 000084 0020 + 000085 0020 + 000086 0020 + 000087 0020 + 000088 0020 + 000089 0020 + 00008a 0020 + 00008b 0020 + 00008c 0020 + 00008d 0020 + 00008e 0020 + 00008f 0020 + 000090 0020 + 000091 007C + 000092 0020 + 000093 0056 + 000094 0061 + 000095 006C + 000096 0075 + 000097 0065 + 000098 0020 + 000099 0020 + 00009a 0020 + 00009b 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 15 + + 00009c 0020 + 00009d 0020 + 00009e 0020 + 00009f 0020 + 0000a0 0020 + 0000a1 0020 + 0000a2 0020 + 0000a3 0020 + 0000a4 0020 + 0000a5 0020 + 0000a6 0020 + 0000a7 0020 + 0000a8 0020 + 0000a9 007C + 0000aa 0020 + 0000ab 0020 + 0000ac 0053 + 0000ad 0069 + 0000ae 007A + 0000af 0065 + 0000b0 0020 + 428 0000b1 0028 .string "(in bytes) | Min | Max |",10,0 + 0000b2 0069 + 0000b3 006E + 0000b4 0020 + 0000b5 0062 + 0000b6 0079 + 0000b7 0074 + 0000b8 0065 + 0000b9 0073 + 0000ba 0029 + 0000bb 0020 + 0000bc 0020 + 0000bd 0020 + 0000be 0020 + 0000bf 0020 + 0000c0 007C + 0000c1 0020 + 0000c2 004D + 0000c3 0069 + 0000c4 006E + 0000c5 0020 + 0000c6 0020 + 0000c7 0020 + 0000c8 0020 + 0000c9 0020 + 0000ca 0020 + 0000cb 0020 + 0000cc 0020 + 0000cd 0020 + 0000ce 0020 + 0000cf 0020 + 0000d0 0020 + 0000d1 0020 + 0000d2 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 16 + + 0000d3 007C + 0000d4 0020 + 0000d5 0020 + 0000d6 004D + 0000d7 0061 + 0000d8 0078 + 0000d9 0020 + 0000da 0020 + 0000db 0020 + 0000dc 0020 + 0000dd 0020 + 0000de 0020 + 0000df 0020 + 0000e0 0020 + 0000e1 0020 + 0000e2 0020 + 0000e3 0020 + 0000e4 0020 + 0000e5 007C + 0000e6 000A + 0000e7 0000 + 429 .align 2 + 430 0000e8 007C $C$SL3: .string "| signed char | '%c' | %d " + 0000e9 0020 + 0000ea 0073 + 0000eb 0069 + 0000ec 0067 + 0000ed 006E + 0000ee 0065 + 0000ef 0064 + 0000f0 0020 + 0000f1 0063 + 0000f2 0068 + 0000f3 0061 + 0000f4 0072 + 0000f5 0020 + 0000f6 0020 + 0000f7 0020 + 0000f8 0020 + 0000f9 0020 + 0000fa 0020 + 0000fb 0020 + 0000fc 0020 + 0000fd 0020 + 0000fe 0020 + 0000ff 0020 + 000100 0020 + 000101 0020 + 000102 0020 + 000103 007C + 000104 0020 + 000105 0027 + 000106 0025 + 000107 0063 + 000108 0027 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 17 + + 000109 0020 + 00010a 0020 + 00010b 0020 + 00010c 0020 + 00010d 0020 + 00010e 0020 + 00010f 0020 + 000110 0020 + 000111 0020 + 000112 0020 + 000113 0020 + 000114 0020 + 000115 0020 + 000116 0020 + 000117 0020 + 000118 0020 + 000119 0020 + 00011a 0020 + 00011b 007C + 00011c 0020 + 00011d 0025 + 00011e 0064 + 00011f 0020 + 000120 0020 + 000121 0020 + 000122 0020 + 431 000123 0020 .string " | %d | %d |",10,0 + 000124 0020 + 000125 0020 + 000126 0020 + 000127 0020 + 000128 0020 + 000129 0020 + 00012a 0020 + 00012b 0020 + 00012c 0020 + 00012d 0020 + 00012e 0020 + 00012f 0020 + 000130 0020 + 000131 0020 + 000132 0020 + 000133 007C + 000134 0020 + 000135 0025 + 000136 0064 + 000137 0020 + 000138 0020 + 000139 0020 + 00013a 0020 + 00013b 0020 + 00013c 0020 + 00013d 0020 + 00013e 0020 + 00013f 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 18 + + 000140 0020 + 000141 0020 + 000142 0020 + 000143 0020 + 000144 0020 + 000145 0020 + 000146 0020 + 000147 0020 + 000148 007C + 000149 0020 + 00014a 0025 + 00014b 0064 + 00014c 0020 + 00014d 0020 + 00014e 0020 + 00014f 0020 + 000150 0020 + 000151 0020 + 000152 0020 + 000153 0020 + 000154 0020 + 000155 0020 + 000156 0020 + 000157 0020 + 000158 007C + 000159 000A + 00015a 0000 + 432 .align 2 + 433 00015c 007C $C$SL4: .string "| short int | %hd | %d " + 00015d 0020 + 00015e 0073 + 00015f 0068 + 000160 006F + 000161 0072 + 000162 0074 + 000163 0020 + 000164 0069 + 000165 006E + 000166 0074 + 000167 0020 + 000168 0020 + 000169 0020 + 00016a 0020 + 00016b 0020 + 00016c 0020 + 00016d 0020 + 00016e 0020 + 00016f 0020 + 000170 0020 + 000171 0020 + 000172 0020 + 000173 0020 + 000174 0020 + 000175 0020 + 000176 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 19 + + 000177 007C + 000178 0020 + 000179 0025 + 00017a 0068 + 00017b 0064 + 00017c 0020 + 00017d 0020 + 00017e 0020 + 00017f 0020 + 000180 0020 + 000181 0020 + 000182 0020 + 000183 0020 + 000184 0020 + 000185 0020 + 000186 0020 + 000187 0020 + 000188 0020 + 000189 0020 + 00018a 0020 + 00018b 0020 + 00018c 0020 + 00018d 0020 + 00018e 0020 + 00018f 007C + 000190 0020 + 000191 0025 + 000192 0064 + 000193 0020 + 000194 0020 + 000195 0020 + 000196 0020 + 434 000197 0020 .string " | %d | %d |",10 + 000198 0020 + 000199 0020 + 00019a 0020 + 00019b 0020 + 00019c 0020 + 00019d 0020 + 00019e 0020 + 00019f 0020 + 0001a0 0020 + 0001a1 0020 + 0001a2 0020 + 0001a3 0020 + 0001a4 0020 + 0001a5 0020 + 0001a6 0020 + 0001a7 007C + 0001a8 0020 + 0001a9 0025 + 0001aa 0064 + 0001ab 0020 + 0001ac 0020 + 0001ad 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 20 + + 0001ae 0020 + 0001af 0020 + 0001b0 0020 + 0001b1 0020 + 0001b2 0020 + 0001b3 0020 + 0001b4 0020 + 0001b5 0020 + 0001b6 0020 + 0001b7 0020 + 0001b8 0020 + 0001b9 0020 + 0001ba 0020 + 0001bb 0020 + 0001bc 007C + 0001bd 0020 + 0001be 0025 + 0001bf 0064 + 0001c0 0020 + 0001c1 0020 + 0001c2 0020 + 0001c3 0020 + 0001c4 0020 + 0001c5 0020 + 0001c6 0020 + 0001c7 0020 + 0001c8 0020 + 0001c9 0020 + 0001ca 0020 + 0001cb 0020 + 0001cc 0020 + 0001cd 007C + 0001ce 000A + 435 0001cf 0000 .string 0 + 436 .align 2 + 437 0001d0 007C $C$SL5: .string "| int | %d | %d " + 0001d1 0020 + 0001d2 0069 + 0001d3 006E + 0001d4 0074 + 0001d5 0020 + 0001d6 0020 + 0001d7 0020 + 0001d8 0020 + 0001d9 0020 + 0001da 0020 + 0001db 0020 + 0001dc 0020 + 0001dd 0020 + 0001de 0020 + 0001df 0020 + 0001e0 0020 + 0001e1 0020 + 0001e2 0020 + 0001e3 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 21 + + 0001e4 0020 + 0001e5 0020 + 0001e6 0020 + 0001e7 0020 + 0001e8 0020 + 0001e9 0020 + 0001ea 0020 + 0001eb 007C + 0001ec 0020 + 0001ed 0025 + 0001ee 0064 + 0001ef 0020 + 0001f0 0020 + 0001f1 0020 + 0001f2 0020 + 0001f3 0020 + 0001f4 0020 + 0001f5 0020 + 0001f6 0020 + 0001f7 0020 + 0001f8 0020 + 0001f9 0020 + 0001fa 0020 + 0001fb 0020 + 0001fc 0020 + 0001fd 0020 + 0001fe 0020 + 0001ff 0020 + 000200 0020 + 000201 0020 + 000202 0020 + 000203 007C + 000204 0020 + 000205 0025 + 000206 0064 + 000207 0020 + 000208 0020 + 000209 0020 + 00020a 0020 + 438 00020b 0020 .string " | %d | %d |",10 + 00020c 0020 + 00020d 0020 + 00020e 0020 + 00020f 0020 + 000210 0020 + 000211 0020 + 000212 0020 + 000213 0020 + 000214 0020 + 000215 0020 + 000216 0020 + 000217 0020 + 000218 0020 + 000219 0020 + 00021a 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 22 + + 00021b 007C + 00021c 0020 + 00021d 0025 + 00021e 0064 + 00021f 0020 + 000220 0020 + 000221 0020 + 000222 0020 + 000223 0020 + 000224 0020 + 000225 0020 + 000226 0020 + 000227 0020 + 000228 0020 + 000229 0020 + 00022a 0020 + 00022b 0020 + 00022c 0020 + 00022d 0020 + 00022e 0020 + 00022f 0020 + 000230 007C + 000231 0020 + 000232 0025 + 000233 0064 + 000234 0020 + 000235 0020 + 000236 0020 + 000237 0020 + 000238 0020 + 000239 0020 + 00023a 0020 + 00023b 0020 + 00023c 0020 + 00023d 0020 + 00023e 0020 + 00023f 0020 + 000240 0020 + 000241 0020 + 000242 007C + 000243 000A + 439 000244 0000 .string 0 + 440 .align 2 + 441 000246 007C $C$SL6: .string "| long int | %hi | %i " + 000247 0020 + 000248 006C + 000249 006F + 00024a 006E + 00024b 0067 + 00024c 0020 + 00024d 0069 + 00024e 006E + 00024f 0074 + 000250 0020 + 000251 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 23 + + 000252 0020 + 000253 0020 + 000254 0020 + 000255 0020 + 000256 0020 + 000257 0020 + 000258 0020 + 000259 0020 + 00025a 0020 + 00025b 0020 + 00025c 0020 + 00025d 0020 + 00025e 0020 + 00025f 0020 + 000260 0020 + 000261 007C + 000262 0020 + 000263 0025 + 000264 0068 + 000265 0069 + 000266 0020 + 000267 0020 + 000268 0020 + 000269 0020 + 00026a 0020 + 00026b 0020 + 00026c 0020 + 00026d 0020 + 00026e 0020 + 00026f 0020 + 000270 0020 + 000271 0020 + 000272 0020 + 000273 0020 + 000274 0020 + 000275 0020 + 000276 0020 + 000277 0020 + 000278 0020 + 000279 007C + 00027a 0020 + 00027b 0025 + 00027c 0069 + 00027d 0020 + 00027e 0020 + 00027f 0020 + 000280 0020 + 442 000281 0020 .string " | %i | %i |",10 + 000282 0020 + 000283 0020 + 000284 0020 + 000285 0020 + 000286 0020 + 000287 0020 + 000288 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 24 + + 000289 0020 + 00028a 0020 + 00028b 0020 + 00028c 0020 + 00028d 0020 + 00028e 0020 + 00028f 0020 + 000290 0020 + 000291 0020 + 000292 007C + 000293 0020 + 000294 0025 + 000295 0069 + 000296 0020 + 000297 0020 + 000298 0020 + 000299 0020 + 00029a 0020 + 00029b 0020 + 00029c 0020 + 00029d 0020 + 00029e 0020 + 00029f 0020 + 0002a0 0020 + 0002a1 0020 + 0002a2 0020 + 0002a3 0020 + 0002a4 0020 + 0002a5 0020 + 0002a6 0020 + 0002a7 0020 + 0002a8 007C + 0002a9 0020 + 0002aa 0025 + 0002ab 0069 + 0002ac 0020 + 0002ad 0020 + 0002ae 0020 + 0002af 0020 + 0002b0 0020 + 0002b1 0020 + 0002b2 0020 + 0002b3 0020 + 0002b4 0020 + 0002b5 0020 + 0002b6 0020 + 0002b7 0020 + 0002b8 007C + 0002b9 000A + 443 0002ba 0000 .string 0 + 444 .align 2 + 445 0002bc 007C $C$SL7: .string "| long long int | %hhi | %d " + 0002bd 0020 + 0002be 006C + 0002bf 006F + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 25 + + 0002c0 006E + 0002c1 0067 + 0002c2 0020 + 0002c3 006C + 0002c4 006F + 0002c5 006E + 0002c6 0067 + 0002c7 0020 + 0002c8 0069 + 0002c9 006E + 0002ca 0074 + 0002cb 0020 + 0002cc 0020 + 0002cd 0020 + 0002ce 0020 + 0002cf 0020 + 0002d0 0020 + 0002d1 0020 + 0002d2 0020 + 0002d3 0020 + 0002d4 0020 + 0002d5 0020 + 0002d6 0020 + 0002d7 007C + 0002d8 0020 + 0002d9 0025 + 0002da 0068 + 0002db 0068 + 0002dc 0069 + 0002dd 0020 + 0002de 0020 + 0002df 0020 + 0002e0 0020 + 0002e1 0020 + 0002e2 0020 + 0002e3 0020 + 0002e4 0020 + 0002e5 0020 + 0002e6 0020 + 0002e7 0020 + 0002e8 0020 + 0002e9 0020 + 0002ea 0020 + 0002eb 0020 + 0002ec 0020 + 0002ed 0020 + 0002ee 0020 + 0002ef 007C + 0002f0 0020 + 0002f1 0020 + 0002f2 0025 + 0002f3 0064 + 0002f4 0020 + 0002f5 0020 + 0002f6 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 26 + + 446 0002f7 0020 .string " | %d | %d |",10 + 0002f8 0020 + 0002f9 0020 + 0002fa 0020 + 0002fb 0020 + 0002fc 0020 + 0002fd 0020 + 0002fe 0020 + 0002ff 0020 + 000300 0020 + 000301 0020 + 000302 0020 + 000303 0020 + 000304 0020 + 000305 0020 + 000306 0020 + 000307 0020 + 000308 007C + 000309 0020 + 00030a 0025 + 00030b 0064 + 00030c 0020 + 00030d 0020 + 00030e 0020 + 00030f 0020 + 000310 0020 + 000311 0020 + 000312 0020 + 000313 0020 + 000314 0020 + 000315 0020 + 000316 0020 + 000317 0020 + 000318 0020 + 000319 0020 + 00031a 0020 + 00031b 0020 + 00031c 0020 + 00031d 0020 + 00031e 007C + 00031f 0020 + 000320 0025 + 000321 0064 + 000322 0020 + 000323 0020 + 000324 0020 + 000325 0020 + 000326 0020 + 000327 0020 + 000328 0020 + 000329 0020 + 00032a 0020 + 00032b 0020 + 00032c 0020 + 00032d 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 27 + + 00032e 007C + 00032f 000A + 447 000330 0000 .string 0 + 448 .align 2 + 449 000332 007C $C$SL8: .string "| unsigned char | '%c' | %d " + 000333 0020 + 000334 0075 + 000335 006E + 000336 0073 + 000337 0069 + 000338 0067 + 000339 006E + 00033a 0065 + 00033b 0064 + 00033c 0020 + 00033d 0063 + 00033e 0068 + 00033f 0061 + 000340 0072 + 000341 0020 + 000342 0020 + 000343 0020 + 000344 0020 + 000345 0020 + 000346 0020 + 000347 0020 + 000348 0020 + 000349 0020 + 00034a 0020 + 00034b 0020 + 00034c 0020 + 00034d 007C + 00034e 0020 + 00034f 0027 + 000350 0025 + 000351 0063 + 000352 0027 + 000353 0020 + 000354 0020 + 000355 0020 + 000356 0020 + 000357 0020 + 000358 0020 + 000359 0020 + 00035a 0020 + 00035b 0020 + 00035c 0020 + 00035d 0020 + 00035e 0020 + 00035f 0020 + 000360 0020 + 000361 0020 + 000362 0020 + 000363 0020 + 000364 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 28 + + 000365 007C + 000366 0020 + 000367 0025 + 000368 0064 + 000369 0020 + 00036a 0020 + 00036b 0020 + 00036c 0020 + 450 00036d 0020 .string " | %lu | %lu " + 00036e 0020 + 00036f 0020 + 000370 0020 + 000371 0020 + 000372 0020 + 000373 0020 + 000374 0020 + 000375 0020 + 000376 0020 + 000377 0020 + 000378 0020 + 000379 0020 + 00037a 0020 + 00037b 0020 + 00037c 0020 + 00037d 0020 + 00037e 007C + 00037f 0020 + 000380 0025 + 000381 006C + 000382 0075 + 000383 0020 + 000384 0020 + 000385 0020 + 000386 0020 + 000387 0020 + 000388 0020 + 000389 0020 + 00038a 0020 + 00038b 0020 + 00038c 0020 + 00038d 0020 + 00038e 0020 + 00038f 0020 + 000390 0020 + 000391 0020 + 000392 0020 + 000393 0020 + 000394 007C + 000395 0020 + 000396 0025 + 000397 006C + 000398 0075 + 000399 0020 + 00039a 0020 + 00039b 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 29 + + 00039c 0020 + 00039d 0020 + 00039e 0020 + 00039f 0020 + 0003a0 0020 + 0003a1 0020 + 0003a2 0020 + 0003a3 0020 + 0003a4 0020 + 0003a5 0020 + 0003a6 0020 + 0003a7 0020 + 451 0003a8 0020 .string " |",10,0 + 0003a9 0020 + 0003aa 0020 + 0003ab 0020 + 0003ac 0020 + 0003ad 007C + 0003ae 000A + 0003af 0000 + 452 .align 2 + 453 0003b0 007C $C$SL9: .string "| unsigned short int | %hu | %d " + 0003b1 0020 + 0003b2 0075 + 0003b3 006E + 0003b4 0073 + 0003b5 0069 + 0003b6 0067 + 0003b7 006E + 0003b8 0065 + 0003b9 0064 + 0003ba 0020 + 0003bb 0073 + 0003bc 0068 + 0003bd 006F + 0003be 0072 + 0003bf 0074 + 0003c0 0020 + 0003c1 0069 + 0003c2 006E + 0003c3 0074 + 0003c4 0020 + 0003c5 0020 + 0003c6 0020 + 0003c7 0020 + 0003c8 0020 + 0003c9 0020 + 0003ca 0020 + 0003cb 007C + 0003cc 0020 + 0003cd 0025 + 0003ce 0068 + 0003cf 0075 + 0003d0 0020 + 0003d1 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 30 + + 0003d2 0020 + 0003d3 0020 + 0003d4 0020 + 0003d5 0020 + 0003d6 0020 + 0003d7 0020 + 0003d8 0020 + 0003d9 0020 + 0003da 0020 + 0003db 0020 + 0003dc 0020 + 0003dd 0020 + 0003de 0020 + 0003df 0020 + 0003e0 0020 + 0003e1 0020 + 0003e2 0020 + 0003e3 0020 + 0003e4 007C + 0003e5 0020 + 0003e6 0025 + 0003e7 0064 + 0003e8 0020 + 0003e9 0020 + 0003ea 0020 + 454 0003eb 0020 .string " | %lu | %lu " + 0003ec 0020 + 0003ed 0020 + 0003ee 0020 + 0003ef 0020 + 0003f0 0020 + 0003f1 0020 + 0003f2 0020 + 0003f3 0020 + 0003f4 0020 + 0003f5 0020 + 0003f6 0020 + 0003f7 0020 + 0003f8 0020 + 0003f9 0020 + 0003fa 0020 + 0003fb 0020 + 0003fc 0020 + 0003fd 007C + 0003fe 0020 + 0003ff 0025 + 000400 006C + 000401 0075 + 000402 0020 + 000403 0020 + 000404 0020 + 000405 0020 + 000406 0020 + 000407 0020 + 000408 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 31 + + 000409 0020 + 00040a 0020 + 00040b 0020 + 00040c 0020 + 00040d 0020 + 00040e 0020 + 00040f 0020 + 000410 0020 + 000411 0020 + 000412 0020 + 000413 0020 + 000414 007C + 000415 0020 + 000416 0025 + 000417 006C + 000418 0075 + 000419 0020 + 00041a 0020 + 00041b 0020 + 00041c 0020 + 00041d 0020 + 00041e 0020 + 00041f 0020 + 000420 0020 + 000421 0020 + 000422 0020 + 000423 0020 + 000424 0020 + 000425 0020 + 455 000426 0020 .string " |",10,0 + 000427 0020 + 000428 0020 + 000429 0020 + 00042a 0020 + 00042b 0020 + 00042c 007C + 00042d 000A + 00042e 0000 + 456 .align 2 + 457 000430 007C $C$SL10: .string "| unsigned int | %u | %l " + 000431 0020 + 000432 0075 + 000433 006E + 000434 0073 + 000435 0069 + 000436 0067 + 000437 006E + 000438 0065 + 000439 0064 + 00043a 0020 + 00043b 0069 + 00043c 006E + 00043d 0074 + 00043e 0020 + 00043f 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 32 + + 000440 0020 + 000441 0020 + 000442 0020 + 000443 0020 + 000444 0020 + 000445 0020 + 000446 0020 + 000447 0020 + 000448 0020 + 000449 0020 + 00044a 0020 + 00044b 007C + 00044c 0020 + 00044d 0020 + 00044e 0025 + 00044f 0075 + 000450 0020 + 000451 0020 + 000452 0020 + 000453 0020 + 000454 0020 + 000455 0020 + 000456 0020 + 000457 0020 + 000458 0020 + 000459 0020 + 00045a 0020 + 00045b 0020 + 00045c 0020 + 00045d 0020 + 00045e 0020 + 00045f 0020 + 000460 0020 + 000461 0020 + 000462 0020 + 000463 0020 + 000464 007C + 000465 0020 + 000466 0025 + 000467 006C + 000468 0020 + 000469 0020 + 00046a 0020 + 458 00046b 0020 .string " | %u | %u " + 00046c 0020 + 00046d 0020 + 00046e 0020 + 00046f 0020 + 000470 0020 + 000471 0020 + 000472 0020 + 000473 0020 + 000474 0020 + 000475 0020 + 000476 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 33 + + 000477 0020 + 000478 0020 + 000479 0020 + 00047a 0020 + 00047b 0020 + 00047c 0020 + 00047d 007C + 00047e 0020 + 00047f 0025 + 000480 0075 + 000481 0020 + 000482 0020 + 000483 0020 + 000484 0020 + 000485 0020 + 000486 0020 + 000487 0020 + 000488 0020 + 000489 0020 + 00048a 0020 + 00048b 0020 + 00048c 0020 + 00048d 0020 + 00048e 0020 + 00048f 0020 + 000490 0020 + 000491 0020 + 000492 0020 + 000493 007C + 000494 0020 + 000495 0020 + 000496 0020 + 000497 0025 + 000498 0075 + 000499 0020 + 00049a 0020 + 00049b 0020 + 00049c 0020 + 00049d 0020 + 00049e 0020 + 00049f 0020 + 0004a0 0020 + 0004a1 0020 + 0004a2 0020 + 0004a3 0020 + 0004a4 0020 + 0004a5 0020 + 459 0004a6 0020 .string " |",10,0 + 0004a7 0020 + 0004a8 0020 + 0004a9 0020 + 0004aa 007C + 0004ab 000A + 0004ac 0000 + 460 .align 2 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 34 + + 461 0004ae 007C $C$SL11: .string "| unsigned long int | %lu | %lu " + 0004af 0020 + 0004b0 0075 + 0004b1 006E + 0004b2 0073 + 0004b3 0069 + 0004b4 0067 + 0004b5 006E + 0004b6 0065 + 0004b7 0064 + 0004b8 0020 + 0004b9 006C + 0004ba 006F + 0004bb 006E + 0004bc 0067 + 0004bd 0020 + 0004be 0069 + 0004bf 006E + 0004c0 0074 + 0004c1 0020 + 0004c2 0020 + 0004c3 0020 + 0004c4 0020 + 0004c5 0020 + 0004c6 0020 + 0004c7 0020 + 0004c8 0020 + 0004c9 007C + 0004ca 0020 + 0004cb 0020 + 0004cc 0025 + 0004cd 006C + 0004ce 0075 + 0004cf 0020 + 0004d0 0020 + 0004d1 0020 + 0004d2 0020 + 0004d3 0020 + 0004d4 0020 + 0004d5 0020 + 0004d6 0020 + 0004d7 0020 + 0004d8 0020 + 0004d9 0020 + 0004da 0020 + 0004db 0020 + 0004dc 0020 + 0004dd 0020 + 0004de 0020 + 0004df 0020 + 0004e0 0020 + 0004e1 0020 + 0004e2 007C + 0004e3 0020 + 0004e4 0025 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 35 + + 0004e5 006C + 0004e6 0075 + 0004e7 0020 + 0004e8 0020 + 462 0004e9 0020 .string " | %u | %ld " + 0004ea 0020 + 0004eb 0020 + 0004ec 0020 + 0004ed 0020 + 0004ee 0020 + 0004ef 0020 + 0004f0 0020 + 0004f1 0020 + 0004f2 0020 + 0004f3 0020 + 0004f4 0020 + 0004f5 0020 + 0004f6 0020 + 0004f7 0020 + 0004f8 0020 + 0004f9 0020 + 0004fa 0020 + 0004fb 007C + 0004fc 0020 + 0004fd 0025 + 0004fe 0075 + 0004ff 0020 + 000500 0020 + 000501 0020 + 000502 0020 + 000503 0020 + 000504 0020 + 000505 0020 + 000506 0020 + 000507 0020 + 000508 0020 + 000509 0020 + 00050a 0020 + 00050b 0020 + 00050c 0020 + 00050d 0020 + 00050e 0020 + 00050f 0020 + 000510 0020 + 000511 0020 + 000512 007C + 000513 0020 + 000514 0025 + 000515 006C + 000516 0064 + 000517 0020 + 000518 0020 + 000519 0020 + 00051a 0020 + 00051b 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 36 + + 00051c 0020 + 00051d 0020 + 00051e 0020 + 00051f 0020 + 000520 0020 + 000521 0020 + 000522 0020 + 000523 0020 + 463 000524 0020 .string " |",10,0 + 000525 0020 + 000526 0020 + 000527 0020 + 000528 007C + 000529 000A + 00052a 0000 + 464 .align 2 + 465 00052c 007C $C$SL12: .string "| unsigned long long int | %llu | %llu " + 00052d 0020 + 00052e 0075 + 00052f 006E + 000530 0073 + 000531 0069 + 000532 0067 + 000533 006E + 000534 0065 + 000535 0064 + 000536 0020 + 000537 006C + 000538 006F + 000539 006E + 00053a 0067 + 00053b 0020 + 00053c 006C + 00053d 006F + 00053e 006E + 00053f 0067 + 000540 0020 + 000541 0069 + 000542 006E + 000543 0074 + 000544 0020 + 000545 0020 + 000546 0020 + 000547 007C + 000548 0020 + 000549 0025 + 00054a 006C + 00054b 006C + 00054c 0075 + 00054d 0020 + 00054e 0020 + 00054f 0020 + 000550 0020 + 000551 0020 + 000552 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 37 + + 000553 0020 + 000554 0020 + 000555 0020 + 000556 0020 + 000557 0020 + 000558 0020 + 000559 0020 + 00055a 0020 + 00055b 0020 + 00055c 0020 + 00055d 007C + 00055e 0020 + 00055f 0025 + 000560 006C + 000561 006C + 000562 0075 + 000563 0020 + 000564 0020 + 000565 0020 + 000566 0020 + 466 000567 0020 .string " | %llu | %llu |" + 000568 0020 + 000569 0020 + 00056a 0020 + 00056b 0020 + 00056c 0020 + 00056d 0020 + 00056e 0020 + 00056f 0020 + 000570 0020 + 000571 0020 + 000572 0020 + 000573 0020 + 000574 0020 + 000575 0020 + 000576 007C + 000577 0020 + 000578 0025 + 000579 006C + 00057a 006C + 00057b 0075 + 00057c 0020 + 00057d 0020 + 00057e 0020 + 00057f 0020 + 000580 0020 + 000581 0020 + 000582 0020 + 000583 0020 + 000584 0020 + 000585 0020 + 000586 0020 + 000587 0020 + 000588 0020 + 000589 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 38 + + 00058a 0020 + 00058b 0020 + 00058c 0020 + 00058d 007C + 00058e 0020 + 00058f 0025 + 000590 006C + 000591 006C + 000592 0075 + 000593 0020 + 000594 0020 + 000595 0020 + 000596 0020 + 000597 0020 + 000598 0020 + 000599 0020 + 00059a 0020 + 00059b 0020 + 00059c 0020 + 00059d 0020 + 00059e 0020 + 00059f 0020 + 0005a0 0020 + 0005a1 007C + 467 0005a2 000A .string 10,0 + 0005a3 0000 + 468 .align 2 + 469 0005a4 007C $C$SL13: .string "| float | %f | %d " + 0005a5 0020 + 0005a6 0066 + 0005a7 006C + 0005a8 006F + 0005a9 0061 + 0005aa 0074 + 0005ab 0020 + 0005ac 0020 + 0005ad 0020 + 0005ae 0020 + 0005af 0020 + 0005b0 0020 + 0005b1 0020 + 0005b2 0020 + 0005b3 0020 + 0005b4 0020 + 0005b5 0020 + 0005b6 0020 + 0005b7 0020 + 0005b8 0020 + 0005b9 0020 + 0005ba 0020 + 0005bb 0020 + 0005bc 0020 + 0005bd 0020 + 0005be 0020 + 0005bf 007C + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 39 + + 0005c0 0020 + 0005c1 0025 + 0005c2 0066 + 0005c3 0020 + 0005c4 0020 + 0005c5 0020 + 0005c6 0020 + 0005c7 0020 + 0005c8 0020 + 0005c9 0020 + 0005ca 0020 + 0005cb 0020 + 0005cc 0020 + 0005cd 0020 + 0005ce 0020 + 0005cf 0020 + 0005d0 0020 + 0005d1 0020 + 0005d2 0020 + 0005d3 0020 + 0005d4 0020 + 0005d5 0020 + 0005d6 0020 + 0005d7 0020 + 0005d8 007C + 0005d9 0020 + 0005da 0025 + 0005db 0064 + 0005dc 0020 + 0005dd 0020 + 0005de 0020 + 470 0005df 0020 .string " | %f | %f " + 0005e0 0020 + 0005e1 0020 + 0005e2 0020 + 0005e3 0020 + 0005e4 0020 + 0005e5 0020 + 0005e6 0020 + 0005e7 0020 + 0005e8 0020 + 0005e9 0020 + 0005ea 0020 + 0005eb 0020 + 0005ec 0020 + 0005ed 0020 + 0005ee 0020 + 0005ef 0020 + 0005f0 0020 + 0005f1 0020 + 0005f2 007C + 0005f3 0020 + 0005f4 0025 + 0005f5 0066 + 0005f6 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 40 + + 0005f7 0020 + 0005f8 0020 + 0005f9 0020 + 0005fa 0020 + 0005fb 0020 + 0005fc 0020 + 0005fd 0020 + 0005fe 0020 + 0005ff 0020 + 000600 0020 + 000601 0020 + 000602 0020 + 000603 0020 + 000604 0020 + 000605 0020 + 000606 0020 + 000607 0020 + 000608 007C + 000609 0020 + 00060a 0025 + 00060b 0066 + 00060c 0020 + 00060d 0020 + 00060e 0020 + 00060f 0020 + 000610 0020 + 000611 0020 + 000612 0020 + 000613 0020 + 000614 0020 + 000615 0020 + 000616 0020 + 000617 0020 + 000618 0020 + 000619 0020 + 471 00061a 0020 .string " |",10,0 + 00061b 0020 + 00061c 007C + 00061d 000A + 00061e 0000 + 472 .align 2 + 473 000620 007C $C$SL14: .string "| double | '%E' | %d " + 000621 0020 + 000622 0064 + 000623 006F + 000624 0075 + 000625 0062 + 000626 006C + 000627 0065 + 000628 0020 + 000629 0020 + 00062a 0020 + 00062b 0020 + 00062c 0020 + 00062d 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 41 + + 00062e 0020 + 00062f 0020 + 000630 0020 + 000631 0020 + 000632 0020 + 000633 0020 + 000634 0020 + 000635 0020 + 000636 0020 + 000637 0020 + 000638 0020 + 000639 0020 + 00063a 0020 + 00063b 007C + 00063c 0020 + 00063d 0027 + 00063e 0025 + 00063f 0045 + 000640 0027 + 000641 0020 + 000642 0020 + 000643 0020 + 000644 0020 + 000645 0020 + 000646 0020 + 000647 0020 + 000648 0020 + 000649 0020 + 00064a 0020 + 00064b 0020 + 00064c 0020 + 00064d 0020 + 00064e 0020 + 00064f 0020 + 000650 0020 + 000651 0020 + 000652 0020 + 000653 0020 + 000654 007C + 000655 0020 + 000656 0025 + 000657 0064 + 000658 0020 + 000659 0020 + 00065a 0020 + 474 00065b 0020 .string " | %e | %e " + 00065c 0020 + 00065d 0020 + 00065e 0020 + 00065f 0020 + 000660 0020 + 000661 0020 + 000662 0020 + 000663 0020 + 000664 0020 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 42 + + 000665 0020 + 000666 0020 + 000667 0020 + 000668 0020 + 000669 0020 + 00066a 0020 + 00066b 0020 + 00066c 0020 + 00066d 0020 + 00066e 007C + 00066f 0020 + 000670 0025 + 000671 0065 + 000672 0020 + 000673 0020 + 000674 0020 + 000675 0020 + 000676 0020 + 000677 0020 + 000678 0020 + 000679 0020 + 00067a 0020 + 00067b 0020 + 00067c 0020 + 00067d 0020 + 00067e 0020 + 00067f 0020 + 000680 0020 + 000681 0020 + 000682 007C + 000683 0020 + 000684 0025 + 000685 0065 + 000686 0020 + 000687 0020 + 000688 0020 + 000689 0020 + 00068a 0020 + 00068b 0020 + 00068c 0020 + 00068d 0020 + 00068e 0020 + 00068f 0020 + 000690 0020 + 000691 0020 + 000692 0020 + 000693 0020 + 000694 0020 + 000695 0020 + 475 000696 0020 .string " |",10,0 + 000697 007C + 000698 000A + 000699 0000 + 476 ;***************************************************************************** + 477 ;* UNDEFINED EXTERNAL REFERENCES * + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 43 + + 478 ;***************************************************************************** + 479 .global WDTCTL + 480 .global printf + 481 .global __mspabi_cvtfd + 482 + 483 ;****************************************************************************** + 484 ;* BUILD ATTRIBUTES * + 485 ;****************************************************************************** + 486 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 487 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0000000000001111000000000 + 488 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 489 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(2) + 490 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 491 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 492 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 493 + 494 ;****************************************************************************** + 495 ;* TYPE INFORMATION * + 496 ;****************************************************************************** + 497 + 498 $C$DW$T$21 .dwtag DW_TAG_structure_type + 499 .dwattr $C$DW$T$21, DW_AT_byte_size(0x10) + 500 $C$DW$35 .dwtag DW_TAG_member + 501 .dwattr $C$DW$35, DW_AT_type(*$C$DW$T$14) + 502 .dwattr $C$DW$35, DW_AT_name("__max_align1") + 503 .dwattr $C$DW$35, DW_AT_TI_symbol_name("__max_align1") + 504 .dwattr $C$DW$35, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 505 .dwattr $C$DW$35, DW_AT_accessibility(DW_ACCESS_public) + 506 .dwattr $C$DW$35, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 507 .dwattr $C$DW$35, DW_AT_decl_line(0x7b) + 508 .dwattr $C$DW$35, DW_AT_decl_column(0x0c) + 509 + 510 $C$DW$36 .dwtag DW_TAG_member + 511 .dwattr $C$DW$36, DW_AT_type(*$C$DW$T$18) + 512 .dwattr $C$DW$36, DW_AT_name("__max_align2") + 513 .dwattr $C$DW$36, DW_AT_TI_symbol_name("__max_align2") + 514 .dwattr $C$DW$36, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 515 .dwattr $C$DW$36, DW_AT_accessibility(DW_ACCESS_public) + 516 .dwattr $C$DW$36, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 517 .dwattr $C$DW$36, DW_AT_decl_line(0x7c) + 518 .dwattr $C$DW$36, DW_AT_decl_column(0x0e) + 519 + 520 .dwattr $C$DW$T$21, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 521 .dwattr $C$DW$T$21, DW_AT_decl_line(0x7a) + 522 .dwattr $C$DW$T$21, DW_AT_decl_column(0x10) + 523 .dwendtag $C$DW$T$21 + 524 + 525 $C$DW$T$24 .dwtag DW_TAG_typedef + 526 .dwattr $C$DW$T$24, DW_AT_name("__max_align_t") + 527 .dwattr $C$DW$T$24, DW_AT_type(*$C$DW$T$21) + 528 .dwattr $C$DW$T$24, DW_AT_language(DW_LANG_C) + 529 .dwattr $C$DW$T$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 530 .dwattr $C$DW$T$24, DW_AT_decl_line(0x7d) + 531 .dwattr $C$DW$T$24, DW_AT_decl_column(0x03) + 532 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 44 + + 533 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + 534 .dwattr $C$DW$T$2, DW_AT_name("void") + 535 + 536 + 537 $C$DW$T$25 .dwtag DW_TAG_subroutine_type + 538 .dwattr $C$DW$T$25, DW_AT_language(DW_LANG_C) + 539 .dwendtag $C$DW$T$25 + 540 + 541 $C$DW$T$26 .dwtag DW_TAG_pointer_type + 542 .dwattr $C$DW$T$26, DW_AT_type(*$C$DW$T$25) + 543 .dwattr $C$DW$T$26, DW_AT_address_class(0x10) + 544 + 545 $C$DW$T$27 .dwtag DW_TAG_typedef + 546 .dwattr $C$DW$T$27, DW_AT_name("__SFR_FARPTR") + 547 .dwattr $C$DW$T$27, DW_AT_type(*$C$DW$T$26) + 548 .dwattr $C$DW$T$27, DW_AT_language(DW_LANG_C) + 549 .dwattr $C$DW$T$27, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430f5529.h") + 550 .dwattr $C$DW$T$27, DW_AT_decl_line(0x4a) + 551 .dwattr $C$DW$T$27, DW_AT_decl_column(0x11) + 552 + 553 $C$DW$T$4 .dwtag DW_TAG_base_type + 554 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 555 .dwattr $C$DW$T$4, DW_AT_name("bool") + 556 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + 557 + 558 $C$DW$T$5 .dwtag DW_TAG_base_type + 559 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 560 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 561 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 562 + 563 $C$DW$T$28 .dwtag DW_TAG_typedef + 564 .dwattr $C$DW$T$28, DW_AT_name("__int8_t") + 565 .dwattr $C$DW$T$28, DW_AT_type(*$C$DW$T$5) + 566 .dwattr $C$DW$T$28, DW_AT_language(DW_LANG_C) + 567 .dwattr $C$DW$T$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 568 .dwattr $C$DW$T$28, DW_AT_decl_line(0x36) + 569 .dwattr $C$DW$T$28, DW_AT_decl_column(0x16) + 570 + 571 $C$DW$T$29 .dwtag DW_TAG_typedef + 572 .dwattr $C$DW$T$29, DW_AT_name("__int_least8_t") + 573 .dwattr $C$DW$T$29, DW_AT_type(*$C$DW$T$28) + 574 .dwattr $C$DW$T$29, DW_AT_language(DW_LANG_C) + 575 .dwattr $C$DW$T$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 576 .dwattr $C$DW$T$29, DW_AT_decl_line(0x5a) + 577 .dwattr $C$DW$T$29, DW_AT_decl_column(0x12) + 578 + 579 $C$DW$T$6 .dwtag DW_TAG_base_type + 580 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 581 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 582 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 583 + 584 $C$DW$T$22 .dwtag DW_TAG_pointer_type + 585 .dwattr $C$DW$T$22, DW_AT_type(*$C$DW$T$6) + 586 .dwattr $C$DW$T$22, DW_AT_address_class(0x10) + 587 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 45 + + 588 $C$DW$T$30 .dwtag DW_TAG_typedef + 589 .dwattr $C$DW$T$30, DW_AT_name("__uint8_t") + 590 .dwattr $C$DW$T$30, DW_AT_type(*$C$DW$T$6) + 591 .dwattr $C$DW$T$30, DW_AT_language(DW_LANG_C) + 592 .dwattr $C$DW$T$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 593 .dwattr $C$DW$T$30, DW_AT_decl_line(0x37) + 594 .dwattr $C$DW$T$30, DW_AT_decl_column(0x18) + 595 + 596 $C$DW$T$31 .dwtag DW_TAG_typedef + 597 .dwattr $C$DW$T$31, DW_AT_name("__sa_family_t") + 598 .dwattr $C$DW$T$31, DW_AT_type(*$C$DW$T$30) + 599 .dwattr $C$DW$T$31, DW_AT_language(DW_LANG_C) + 600 .dwattr $C$DW$T$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 601 .dwattr $C$DW$T$31, DW_AT_decl_line(0x47) + 602 .dwattr $C$DW$T$31, DW_AT_decl_column(0x13) + 603 + 604 $C$DW$T$32 .dwtag DW_TAG_typedef + 605 .dwattr $C$DW$T$32, DW_AT_name("__uint_least8_t") + 606 .dwattr $C$DW$T$32, DW_AT_type(*$C$DW$T$30) + 607 .dwattr $C$DW$T$32, DW_AT_language(DW_LANG_C) + 608 .dwattr $C$DW$T$32, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 609 .dwattr $C$DW$T$32, DW_AT_decl_line(0x74) + 610 .dwattr $C$DW$T$32, DW_AT_decl_column(0x13) + 611 + 612 $C$DW$T$7 .dwtag DW_TAG_base_type + 613 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 614 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 615 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 616 + 617 $C$DW$T$8 .dwtag DW_TAG_base_type + 618 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 619 .dwattr $C$DW$T$8, DW_AT_name("short") + 620 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 621 + 622 $C$DW$T$9 .dwtag DW_TAG_base_type + 623 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 624 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 625 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 626 + 627 $C$DW$T$10 .dwtag DW_TAG_base_type + 628 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 629 .dwattr $C$DW$T$10, DW_AT_name("int") + 630 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 631 + 632 $C$DW$T$33 .dwtag DW_TAG_typedef + 633 .dwattr $C$DW$T$33, DW_AT_name("_Mbstatet") + 634 .dwattr $C$DW$T$33, DW_AT_type(*$C$DW$T$10) + 635 .dwattr $C$DW$T$33, DW_AT_language(DW_LANG_C) + 636 .dwattr $C$DW$T$33, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 637 .dwattr $C$DW$T$33, DW_AT_decl_line(0x8f) + 638 .dwattr $C$DW$T$33, DW_AT_decl_column(0x0d) + 639 + 640 $C$DW$T$34 .dwtag DW_TAG_typedef + 641 .dwattr $C$DW$T$34, DW_AT_name("__mbstate_t") + 642 .dwattr $C$DW$T$34, DW_AT_type(*$C$DW$T$33) + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 46 + + 643 .dwattr $C$DW$T$34, DW_AT_language(DW_LANG_C) + 644 .dwattr $C$DW$T$34, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 645 .dwattr $C$DW$T$34, DW_AT_decl_line(0x92) + 646 .dwattr $C$DW$T$34, DW_AT_decl_column(0x13) + 647 + 648 $C$DW$T$35 .dwtag DW_TAG_typedef + 649 .dwattr $C$DW$T$35, DW_AT_name("__accmode_t") + 650 .dwattr $C$DW$T$35, DW_AT_type(*$C$DW$T$10) + 651 .dwattr $C$DW$T$35, DW_AT_language(DW_LANG_C) + 652 .dwattr $C$DW$T$35, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 653 .dwattr $C$DW$T$35, DW_AT_decl_line(0x3b) + 654 .dwattr $C$DW$T$35, DW_AT_decl_column(0x0e) + 655 + 656 $C$DW$T$43 .dwtag DW_TAG_typedef + 657 .dwattr $C$DW$T$43, DW_AT_name("__cpulevel_t") + 658 .dwattr $C$DW$T$43, DW_AT_type(*$C$DW$T$10) + 659 .dwattr $C$DW$T$43, DW_AT_language(DW_LANG_C) + 660 .dwattr $C$DW$T$43, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 661 .dwattr $C$DW$T$43, DW_AT_decl_line(0x50) + 662 .dwattr $C$DW$T$43, DW_AT_decl_column(0x0e) + 663 + 664 $C$DW$T$44 .dwtag DW_TAG_typedef + 665 .dwattr $C$DW$T$44, DW_AT_name("__cpusetid_t") + 666 .dwattr $C$DW$T$44, DW_AT_type(*$C$DW$T$10) + 667 .dwattr $C$DW$T$44, DW_AT_language(DW_LANG_C) + 668 .dwattr $C$DW$T$44, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 669 .dwattr $C$DW$T$44, DW_AT_decl_line(0x51) + 670 .dwattr $C$DW$T$44, DW_AT_decl_column(0x0e) + 671 + 672 $C$DW$T$45 .dwtag DW_TAG_typedef + 673 .dwattr $C$DW$T$45, DW_AT_name("__cpuwhich_t") + 674 .dwattr $C$DW$T$45, DW_AT_type(*$C$DW$T$10) + 675 .dwattr $C$DW$T$45, DW_AT_language(DW_LANG_C) + 676 .dwattr $C$DW$T$45, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 677 .dwattr $C$DW$T$45, DW_AT_decl_line(0x4f) + 678 .dwattr $C$DW$T$45, DW_AT_decl_column(0x0e) + 679 + 680 $C$DW$T$46 .dwtag DW_TAG_typedef + 681 .dwattr $C$DW$T$46, DW_AT_name("__ct_rune_t") + 682 .dwattr $C$DW$T$46, DW_AT_type(*$C$DW$T$10) + 683 .dwattr $C$DW$T$46, DW_AT_language(DW_LANG_C) + 684 .dwattr $C$DW$T$46, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 685 .dwattr $C$DW$T$46, DW_AT_decl_line(0x69) + 686 .dwattr $C$DW$T$46, DW_AT_decl_column(0x0e) + 687 + 688 $C$DW$T$47 .dwtag DW_TAG_typedef + 689 .dwattr $C$DW$T$47, DW_AT_name("__rune_t") + 690 .dwattr $C$DW$T$47, DW_AT_type(*$C$DW$T$46) + 691 .dwattr $C$DW$T$47, DW_AT_language(DW_LANG_C) + 692 .dwattr $C$DW$T$47, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 693 .dwattr $C$DW$T$47, DW_AT_decl_line(0x6c) + 694 .dwattr $C$DW$T$47, DW_AT_decl_column(0x15) + 695 + 696 $C$DW$T$48 .dwtag DW_TAG_typedef + 697 .dwattr $C$DW$T$48, DW_AT_name("__wint_t") + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 47 + + 698 .dwattr $C$DW$T$48, DW_AT_type(*$C$DW$T$46) + 699 .dwattr $C$DW$T$48, DW_AT_language(DW_LANG_C) + 700 .dwattr $C$DW$T$48, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 701 .dwattr $C$DW$T$48, DW_AT_decl_line(0x6d) + 702 .dwattr $C$DW$T$48, DW_AT_decl_column(0x15) + 703 + 704 $C$DW$T$49 .dwtag DW_TAG_typedef + 705 .dwattr $C$DW$T$49, DW_AT_name("__int16_t") + 706 .dwattr $C$DW$T$49, DW_AT_type(*$C$DW$T$10) + 707 .dwattr $C$DW$T$49, DW_AT_language(DW_LANG_C) + 708 .dwattr $C$DW$T$49, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 709 .dwattr $C$DW$T$49, DW_AT_decl_line(0x38) + 710 .dwattr $C$DW$T$49, DW_AT_decl_column(0x0f) + 711 + 712 $C$DW$T$50 .dwtag DW_TAG_typedef + 713 .dwattr $C$DW$T$50, DW_AT_name("__int_fast16_t") + 714 .dwattr $C$DW$T$50, DW_AT_type(*$C$DW$T$49) + 715 .dwattr $C$DW$T$50, DW_AT_language(DW_LANG_C) + 716 .dwattr $C$DW$T$50, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 717 .dwattr $C$DW$T$50, DW_AT_decl_line(0x57) + 718 .dwattr $C$DW$T$50, DW_AT_decl_column(0x13) + 719 + 720 $C$DW$T$51 .dwtag DW_TAG_typedef + 721 .dwattr $C$DW$T$51, DW_AT_name("__int_fast8_t") + 722 .dwattr $C$DW$T$51, DW_AT_type(*$C$DW$T$49) + 723 .dwattr $C$DW$T$51, DW_AT_language(DW_LANG_C) + 724 .dwattr $C$DW$T$51, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 725 .dwattr $C$DW$T$51, DW_AT_decl_line(0x56) + 726 .dwattr $C$DW$T$51, DW_AT_decl_column(0x13) + 727 + 728 $C$DW$T$52 .dwtag DW_TAG_typedef + 729 .dwattr $C$DW$T$52, DW_AT_name("__int_least16_t") + 730 .dwattr $C$DW$T$52, DW_AT_type(*$C$DW$T$49) + 731 .dwattr $C$DW$T$52, DW_AT_language(DW_LANG_C) + 732 .dwattr $C$DW$T$52, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 733 .dwattr $C$DW$T$52, DW_AT_decl_line(0x5b) + 734 .dwattr $C$DW$T$52, DW_AT_decl_column(0x13) + 735 + 736 $C$DW$T$53 .dwtag DW_TAG_typedef + 737 .dwattr $C$DW$T$53, DW_AT_name("__intptr_t") + 738 .dwattr $C$DW$T$53, DW_AT_type(*$C$DW$T$49) + 739 .dwattr $C$DW$T$53, DW_AT_language(DW_LANG_C) + 740 .dwattr $C$DW$T$53, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 741 .dwattr $C$DW$T$53, DW_AT_decl_line(0x53) + 742 .dwattr $C$DW$T$53, DW_AT_decl_column(0x19) + 743 + 744 $C$DW$T$54 .dwtag DW_TAG_typedef + 745 .dwattr $C$DW$T$54, DW_AT_name("__register_t") + 746 .dwattr $C$DW$T$54, DW_AT_type(*$C$DW$T$49) + 747 .dwattr $C$DW$T$54, DW_AT_language(DW_LANG_C) + 748 .dwattr $C$DW$T$54, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 749 .dwattr $C$DW$T$54, DW_AT_decl_line(0x5f) + 750 .dwattr $C$DW$T$54, DW_AT_decl_column(0x13) + 751 + 752 $C$DW$T$55 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 48 + + 753 .dwattr $C$DW$T$55, DW_AT_name("__nl_item") + 754 .dwattr $C$DW$T$55, DW_AT_type(*$C$DW$T$10) + 755 .dwattr $C$DW$T$55, DW_AT_language(DW_LANG_C) + 756 .dwattr $C$DW$T$55, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 757 .dwattr $C$DW$T$55, DW_AT_decl_line(0x3c) + 758 .dwattr $C$DW$T$55, DW_AT_decl_column(0x0e) + 759 + 760 $C$DW$T$56 .dwtag DW_TAG_typedef + 761 .dwattr $C$DW$T$56, DW_AT_name("__ptrdiff_t") + 762 .dwattr $C$DW$T$56, DW_AT_type(*$C$DW$T$10) + 763 .dwattr $C$DW$T$56, DW_AT_language(DW_LANG_C) + 764 .dwattr $C$DW$T$56, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 765 .dwattr $C$DW$T$56, DW_AT_decl_line(0x5e) + 766 .dwattr $C$DW$T$56, DW_AT_decl_column(0x1c) + 767 + 768 $C$DW$T$11 .dwtag DW_TAG_base_type + 769 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 770 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 771 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 772 + 773 $C$DW$T$57 .dwtag DW_TAG_typedef + 774 .dwattr $C$DW$T$57, DW_AT_name("___wchar_t") + 775 .dwattr $C$DW$T$57, DW_AT_type(*$C$DW$T$11) + 776 .dwattr $C$DW$T$57, DW_AT_language(DW_LANG_C) + 777 .dwattr $C$DW$T$57, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 778 .dwattr $C$DW$T$57, DW_AT_decl_line(0x7d) + 779 .dwattr $C$DW$T$57, DW_AT_decl_column(0x1a) + 780 + 781 $C$DW$T$58 .dwtag DW_TAG_volatile_type + 782 .dwattr $C$DW$T$58, DW_AT_type(*$C$DW$T$11) + 783 + 784 $C$DW$T$59 .dwtag DW_TAG_typedef + 785 .dwattr $C$DW$T$59, DW_AT_name("__size_t") + 786 .dwattr $C$DW$T$59, DW_AT_type(*$C$DW$T$11) + 787 .dwattr $C$DW$T$59, DW_AT_language(DW_LANG_C) + 788 .dwattr $C$DW$T$59, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 789 .dwattr $C$DW$T$59, DW_AT_decl_line(0x61) + 790 .dwattr $C$DW$T$59, DW_AT_decl_column(0x19) + 791 + 792 $C$DW$T$60 .dwtag DW_TAG_typedef + 793 .dwattr $C$DW$T$60, DW_AT_name("__uint16_t") + 794 .dwattr $C$DW$T$60, DW_AT_type(*$C$DW$T$11) + 795 .dwattr $C$DW$T$60, DW_AT_language(DW_LANG_C) + 796 .dwattr $C$DW$T$60, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 797 .dwattr $C$DW$T$60, DW_AT_decl_line(0x39) + 798 .dwattr $C$DW$T$60, DW_AT_decl_column(0x17) + 799 + 800 $C$DW$T$61 .dwtag DW_TAG_typedef + 801 .dwattr $C$DW$T$61, DW_AT_name("__mode_t") + 802 .dwattr $C$DW$T$61, DW_AT_type(*$C$DW$T$60) + 803 .dwattr $C$DW$T$61, DW_AT_language(DW_LANG_C) + 804 .dwattr $C$DW$T$61, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 805 .dwattr $C$DW$T$61, DW_AT_decl_line(0x3a) + 806 .dwattr $C$DW$T$61, DW_AT_decl_column(0x14) + 807 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 49 + + 808 $C$DW$T$62 .dwtag DW_TAG_typedef + 809 .dwattr $C$DW$T$62, DW_AT_name("__u_register_t") + 810 .dwattr $C$DW$T$62, DW_AT_type(*$C$DW$T$60) + 811 .dwattr $C$DW$T$62, DW_AT_language(DW_LANG_C) + 812 .dwattr $C$DW$T$62, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 813 .dwattr $C$DW$T$62, DW_AT_decl_line(0x78) + 814 .dwattr $C$DW$T$62, DW_AT_decl_column(0x14) + 815 + 816 $C$DW$T$63 .dwtag DW_TAG_typedef + 817 .dwattr $C$DW$T$63, DW_AT_name("__uint_fast16_t") + 818 .dwattr $C$DW$T$63, DW_AT_type(*$C$DW$T$60) + 819 .dwattr $C$DW$T$63, DW_AT_language(DW_LANG_C) + 820 .dwattr $C$DW$T$63, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 821 .dwattr $C$DW$T$63, DW_AT_decl_line(0x71) + 822 .dwattr $C$DW$T$63, DW_AT_decl_column(0x14) + 823 + 824 $C$DW$T$64 .dwtag DW_TAG_typedef + 825 .dwattr $C$DW$T$64, DW_AT_name("__uint_fast8_t") + 826 .dwattr $C$DW$T$64, DW_AT_type(*$C$DW$T$60) + 827 .dwattr $C$DW$T$64, DW_AT_language(DW_LANG_C) + 828 .dwattr $C$DW$T$64, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 829 .dwattr $C$DW$T$64, DW_AT_decl_line(0x70) + 830 .dwattr $C$DW$T$64, DW_AT_decl_column(0x14) + 831 + 832 $C$DW$T$65 .dwtag DW_TAG_typedef + 833 .dwattr $C$DW$T$65, DW_AT_name("__uint_least16_t") + 834 .dwattr $C$DW$T$65, DW_AT_type(*$C$DW$T$60) + 835 .dwattr $C$DW$T$65, DW_AT_language(DW_LANG_C) + 836 .dwattr $C$DW$T$65, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 837 .dwattr $C$DW$T$65, DW_AT_decl_line(0x75) + 838 .dwattr $C$DW$T$65, DW_AT_decl_column(0x14) + 839 + 840 $C$DW$T$66 .dwtag DW_TAG_typedef + 841 .dwattr $C$DW$T$66, DW_AT_name("__char16_t") + 842 .dwattr $C$DW$T$66, DW_AT_type(*$C$DW$T$65) + 843 .dwattr $C$DW$T$66, DW_AT_language(DW_LANG_C) + 844 .dwattr $C$DW$T$66, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 845 .dwattr $C$DW$T$66, DW_AT_decl_line(0x71) + 846 .dwattr $C$DW$T$66, DW_AT_decl_column(0x1a) + 847 + 848 $C$DW$T$67 .dwtag DW_TAG_typedef + 849 .dwattr $C$DW$T$67, DW_AT_name("__uintptr_t") + 850 .dwattr $C$DW$T$67, DW_AT_type(*$C$DW$T$60) + 851 .dwattr $C$DW$T$67, DW_AT_language(DW_LANG_C) + 852 .dwattr $C$DW$T$67, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 853 .dwattr $C$DW$T$67, DW_AT_decl_line(0x6d) + 854 .dwattr $C$DW$T$67, DW_AT_decl_column(0x14) + 855 + 856 $C$DW$T$68 .dwtag DW_TAG_typedef + 857 .dwattr $C$DW$T$68, DW_AT_name("__useconds_t") + 858 .dwattr $C$DW$T$68, DW_AT_type(*$C$DW$T$11) + 859 .dwattr $C$DW$T$68, DW_AT_language(DW_LANG_C) + 860 .dwattr $C$DW$T$68, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 861 .dwattr $C$DW$T$68, DW_AT_decl_line(0x4e) + 862 .dwattr $C$DW$T$68, DW_AT_decl_column(0x16) + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 50 + + 863 + 864 $C$DW$T$69 .dwtag DW_TAG_typedef + 865 .dwattr $C$DW$T$69, DW_AT_name("size_t") + 866 .dwattr $C$DW$T$69, DW_AT_type(*$C$DW$T$11) + 867 .dwattr $C$DW$T$69, DW_AT_language(DW_LANG_C) + 868 .dwattr $C$DW$T$69, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 869 .dwattr $C$DW$T$69, DW_AT_decl_line(0x4d) + 870 .dwattr $C$DW$T$69, DW_AT_decl_column(0x19) + 871 + 872 $C$DW$T$12 .dwtag DW_TAG_base_type + 873 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 874 .dwattr $C$DW$T$12, DW_AT_name("long") + 875 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 876 + 877 $C$DW$T$70 .dwtag DW_TAG_typedef + 878 .dwattr $C$DW$T$70, DW_AT_name("__int32_t") + 879 .dwattr $C$DW$T$70, DW_AT_type(*$C$DW$T$12) + 880 .dwattr $C$DW$T$70, DW_AT_language(DW_LANG_C) + 881 .dwattr $C$DW$T$70, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 882 .dwattr $C$DW$T$70, DW_AT_decl_line(0x3a) + 883 .dwattr $C$DW$T$70, DW_AT_decl_column(0x10) + 884 + 885 $C$DW$T$71 .dwtag DW_TAG_typedef + 886 .dwattr $C$DW$T$71, DW_AT_name("__blksize_t") + 887 .dwattr $C$DW$T$71, DW_AT_type(*$C$DW$T$70) + 888 .dwattr $C$DW$T$71, DW_AT_language(DW_LANG_C) + 889 .dwattr $C$DW$T$71, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 890 .dwattr $C$DW$T$71, DW_AT_decl_line(0x2f) + 891 .dwattr $C$DW$T$71, DW_AT_decl_column(0x13) + 892 + 893 $C$DW$T$72 .dwtag DW_TAG_typedef + 894 .dwattr $C$DW$T$72, DW_AT_name("__clockid_t") + 895 .dwattr $C$DW$T$72, DW_AT_type(*$C$DW$T$70) + 896 .dwattr $C$DW$T$72, DW_AT_language(DW_LANG_C) + 897 .dwattr $C$DW$T$72, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 898 .dwattr $C$DW$T$72, DW_AT_decl_line(0x31) + 899 .dwattr $C$DW$T$72, DW_AT_decl_column(0x13) + 900 + 901 $C$DW$T$73 .dwtag DW_TAG_typedef + 902 .dwattr $C$DW$T$73, DW_AT_name("__critical_t") + 903 .dwattr $C$DW$T$73, DW_AT_type(*$C$DW$T$70) + 904 .dwattr $C$DW$T$73, DW_AT_language(DW_LANG_C) + 905 .dwattr $C$DW$T$73, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 906 .dwattr $C$DW$T$73, DW_AT_decl_line(0x4b) + 907 .dwattr $C$DW$T$73, DW_AT_decl_column(0x13) + 908 + 909 $C$DW$T$74 .dwtag DW_TAG_typedef + 910 .dwattr $C$DW$T$74, DW_AT_name("__int_fast32_t") + 911 .dwattr $C$DW$T$74, DW_AT_type(*$C$DW$T$70) + 912 .dwattr $C$DW$T$74, DW_AT_language(DW_LANG_C) + 913 .dwattr $C$DW$T$74, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 914 .dwattr $C$DW$T$74, DW_AT_decl_line(0x58) + 915 .dwattr $C$DW$T$74, DW_AT_decl_column(0x13) + 916 + 917 $C$DW$T$75 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 51 + + 918 .dwattr $C$DW$T$75, DW_AT_name("__int_least32_t") + 919 .dwattr $C$DW$T$75, DW_AT_type(*$C$DW$T$70) + 920 .dwattr $C$DW$T$75, DW_AT_language(DW_LANG_C) + 921 .dwattr $C$DW$T$75, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 922 .dwattr $C$DW$T$75, DW_AT_decl_line(0x5c) + 923 .dwattr $C$DW$T$75, DW_AT_decl_column(0x13) + 924 + 925 $C$DW$T$76 .dwtag DW_TAG_typedef + 926 .dwattr $C$DW$T$76, DW_AT_name("__intfptr_t") + 927 .dwattr $C$DW$T$76, DW_AT_type(*$C$DW$T$70) + 928 .dwattr $C$DW$T$76, DW_AT_language(DW_LANG_C) + 929 .dwattr $C$DW$T$76, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 930 .dwattr $C$DW$T$76, DW_AT_decl_line(0x4e) + 931 .dwattr $C$DW$T$76, DW_AT_decl_column(0x13) + 932 + 933 $C$DW$T$77 .dwtag DW_TAG_typedef + 934 .dwattr $C$DW$T$77, DW_AT_name("__lwpid_t") + 935 .dwattr $C$DW$T$77, DW_AT_type(*$C$DW$T$70) + 936 .dwattr $C$DW$T$77, DW_AT_language(DW_LANG_C) + 937 .dwattr $C$DW$T$77, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 938 .dwattr $C$DW$T$77, DW_AT_decl_line(0x39) + 939 .dwattr $C$DW$T$77, DW_AT_decl_column(0x13) + 940 + 941 $C$DW$T$78 .dwtag DW_TAG_typedef + 942 .dwattr $C$DW$T$78, DW_AT_name("__pid_t") + 943 .dwattr $C$DW$T$78, DW_AT_type(*$C$DW$T$70) + 944 .dwattr $C$DW$T$78, DW_AT_language(DW_LANG_C) + 945 .dwattr $C$DW$T$78, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 946 .dwattr $C$DW$T$78, DW_AT_decl_line(0x40) + 947 .dwattr $C$DW$T$78, DW_AT_decl_column(0x13) + 948 + 949 $C$DW$T$79 .dwtag DW_TAG_typedef + 950 .dwattr $C$DW$T$79, DW_AT_name("__segsz_t") + 951 .dwattr $C$DW$T$79, DW_AT_type(*$C$DW$T$70) + 952 .dwattr $C$DW$T$79, DW_AT_language(DW_LANG_C) + 953 .dwattr $C$DW$T$79, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 954 .dwattr $C$DW$T$79, DW_AT_decl_line(0x60) + 955 .dwattr $C$DW$T$79, DW_AT_decl_column(0x13) + 956 + 957 $C$DW$T$80 .dwtag DW_TAG_typedef + 958 .dwattr $C$DW$T$80, DW_AT_name("__ssize_t") + 959 .dwattr $C$DW$T$80, DW_AT_type(*$C$DW$T$70) + 960 .dwattr $C$DW$T$80, DW_AT_language(DW_LANG_C) + 961 .dwattr $C$DW$T$80, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 962 .dwattr $C$DW$T$80, DW_AT_decl_line(0x62) + 963 .dwattr $C$DW$T$80, DW_AT_decl_column(0x13) + 964 + 965 $C$DW$T$81 .dwtag DW_TAG_typedef + 966 .dwattr $C$DW$T$81, DW_AT_name("__key_t") + 967 .dwattr $C$DW$T$81, DW_AT_type(*$C$DW$T$12) + 968 .dwattr $C$DW$T$81, DW_AT_language(DW_LANG_C) + 969 .dwattr $C$DW$T$81, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 970 .dwattr $C$DW$T$81, DW_AT_decl_line(0x38) + 971 .dwattr $C$DW$T$81, DW_AT_decl_column(0x0f) + 972 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 52 + + 973 $C$DW$T$82 .dwtag DW_TAG_typedef + 974 .dwattr $C$DW$T$82, DW_AT_name("__suseconds_t") + 975 .dwattr $C$DW$T$82, DW_AT_type(*$C$DW$T$12) + 976 .dwattr $C$DW$T$82, DW_AT_language(DW_LANG_C) + 977 .dwattr $C$DW$T$82, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 978 .dwattr $C$DW$T$82, DW_AT_decl_line(0x4a) + 979 .dwattr $C$DW$T$82, DW_AT_decl_column(0x0f) + 980 + 981 $C$DW$T$83 .dwtag DW_TAG_typedef + 982 .dwattr $C$DW$T$83, DW_AT_name("_off_t") + 983 .dwattr $C$DW$T$83, DW_AT_type(*$C$DW$T$12) + 984 .dwattr $C$DW$T$83, DW_AT_language(DW_LANG_C) + 985 .dwattr $C$DW$T$83, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 986 .dwattr $C$DW$T$83, DW_AT_decl_line(0x8d) + 987 .dwattr $C$DW$T$83, DW_AT_decl_column(0x12) + 988 + 989 $C$DW$T$84 .dwtag DW_TAG_typedef + 990 .dwattr $C$DW$T$84, DW_AT_name("__off_t") + 991 .dwattr $C$DW$T$84, DW_AT_type(*$C$DW$T$83) + 992 .dwattr $C$DW$T$84, DW_AT_language(DW_LANG_C) + 993 .dwattr $C$DW$T$84, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 994 .dwattr $C$DW$T$84, DW_AT_decl_line(0x3e) + 995 .dwattr $C$DW$T$84, DW_AT_decl_column(0x18) + 996 + 997 $C$DW$T$85 .dwtag DW_TAG_typedef + 998 .dwattr $C$DW$T$85, DW_AT_name("fpos_t") + 999 .dwattr $C$DW$T$85, DW_AT_type(*$C$DW$T$12) + 1000 .dwattr $C$DW$T$85, DW_AT_language(DW_LANG_C) + 1001 .dwattr $C$DW$T$85, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1002 .dwattr $C$DW$T$85, DW_AT_decl_line(0x6b) + 1003 .dwattr $C$DW$T$85, DW_AT_decl_column(0x0e) + 1004 + 1005 $C$DW$T$13 .dwtag DW_TAG_base_type + 1006 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 1007 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 1008 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 1009 + 1010 $C$DW$T$86 .dwtag DW_TAG_typedef + 1011 .dwattr $C$DW$T$86, DW_AT_name("__uint32_t") + 1012 .dwattr $C$DW$T$86, DW_AT_type(*$C$DW$T$13) + 1013 .dwattr $C$DW$T$86, DW_AT_language(DW_LANG_C) + 1014 .dwattr $C$DW$T$86, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1015 .dwattr $C$DW$T$86, DW_AT_decl_line(0x3b) + 1016 .dwattr $C$DW$T$86, DW_AT_decl_column(0x18) + 1017 + 1018 $C$DW$T$87 .dwtag DW_TAG_typedef + 1019 .dwattr $C$DW$T$87, DW_AT_name("__clock_t") + 1020 .dwattr $C$DW$T$87, DW_AT_type(*$C$DW$T$86) + 1021 .dwattr $C$DW$T$87, DW_AT_language(DW_LANG_C) + 1022 .dwattr $C$DW$T$87, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1023 .dwattr $C$DW$T$87, DW_AT_decl_line(0x4a) + 1024 .dwattr $C$DW$T$87, DW_AT_decl_column(0x14) + 1025 + 1026 $C$DW$T$88 .dwtag DW_TAG_typedef + 1027 .dwattr $C$DW$T$88, DW_AT_name("__fflags_t") + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 53 + + 1028 .dwattr $C$DW$T$88, DW_AT_type(*$C$DW$T$86) + 1029 .dwattr $C$DW$T$88, DW_AT_language(DW_LANG_C) + 1030 .dwattr $C$DW$T$88, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1031 .dwattr $C$DW$T$88, DW_AT_decl_line(0x32) + 1032 .dwattr $C$DW$T$88, DW_AT_decl_column(0x14) + 1033 + 1034 $C$DW$T$89 .dwtag DW_TAG_typedef + 1035 .dwattr $C$DW$T$89, DW_AT_name("__fixpt_t") + 1036 .dwattr $C$DW$T$89, DW_AT_type(*$C$DW$T$86) + 1037 .dwattr $C$DW$T$89, DW_AT_language(DW_LANG_C) + 1038 .dwattr $C$DW$T$89, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1039 .dwattr $C$DW$T$89, DW_AT_decl_line(0x81) + 1040 .dwattr $C$DW$T$89, DW_AT_decl_column(0x14) + 1041 + 1042 $C$DW$T$90 .dwtag DW_TAG_typedef + 1043 .dwattr $C$DW$T$90, DW_AT_name("__gid_t") + 1044 .dwattr $C$DW$T$90, DW_AT_type(*$C$DW$T$86) + 1045 .dwattr $C$DW$T$90, DW_AT_language(DW_LANG_C) + 1046 .dwattr $C$DW$T$90, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1047 .dwattr $C$DW$T$90, DW_AT_decl_line(0x35) + 1048 .dwattr $C$DW$T$90, DW_AT_decl_column(0x14) + 1049 + 1050 $C$DW$T$91 .dwtag DW_TAG_typedef + 1051 .dwattr $C$DW$T$91, DW_AT_name("__socklen_t") + 1052 .dwattr $C$DW$T$91, DW_AT_type(*$C$DW$T$86) + 1053 .dwattr $C$DW$T$91, DW_AT_language(DW_LANG_C) + 1054 .dwattr $C$DW$T$91, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1055 .dwattr $C$DW$T$91, DW_AT_decl_line(0x49) + 1056 .dwattr $C$DW$T$91, DW_AT_decl_column(0x14) + 1057 + 1058 $C$DW$T$92 .dwtag DW_TAG_typedef + 1059 .dwattr $C$DW$T$92, DW_AT_name("__time_t") + 1060 .dwattr $C$DW$T$92, DW_AT_type(*$C$DW$T$86) + 1061 .dwattr $C$DW$T$92, DW_AT_language(DW_LANG_C) + 1062 .dwattr $C$DW$T$92, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1063 .dwattr $C$DW$T$92, DW_AT_decl_line(0x66) + 1064 .dwattr $C$DW$T$92, DW_AT_decl_column(0x19) + 1065 + 1066 $C$DW$T$93 .dwtag DW_TAG_typedef + 1067 .dwattr $C$DW$T$93, DW_AT_name("__uid_t") + 1068 .dwattr $C$DW$T$93, DW_AT_type(*$C$DW$T$86) + 1069 .dwattr $C$DW$T$93, DW_AT_language(DW_LANG_C) + 1070 .dwattr $C$DW$T$93, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1071 .dwattr $C$DW$T$93, DW_AT_decl_line(0x4d) + 1072 .dwattr $C$DW$T$93, DW_AT_decl_column(0x14) + 1073 + 1074 $C$DW$T$94 .dwtag DW_TAG_typedef + 1075 .dwattr $C$DW$T$94, DW_AT_name("__uint_fast32_t") + 1076 .dwattr $C$DW$T$94, DW_AT_type(*$C$DW$T$86) + 1077 .dwattr $C$DW$T$94, DW_AT_language(DW_LANG_C) + 1078 .dwattr $C$DW$T$94, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1079 .dwattr $C$DW$T$94, DW_AT_decl_line(0x72) + 1080 .dwattr $C$DW$T$94, DW_AT_decl_column(0x14) + 1081 + 1082 $C$DW$T$95 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 54 + + 1083 .dwattr $C$DW$T$95, DW_AT_name("__uint_least32_t") + 1084 .dwattr $C$DW$T$95, DW_AT_type(*$C$DW$T$86) + 1085 .dwattr $C$DW$T$95, DW_AT_language(DW_LANG_C) + 1086 .dwattr $C$DW$T$95, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1087 .dwattr $C$DW$T$95, DW_AT_decl_line(0x76) + 1088 .dwattr $C$DW$T$95, DW_AT_decl_column(0x14) + 1089 + 1090 $C$DW$T$96 .dwtag DW_TAG_typedef + 1091 .dwattr $C$DW$T$96, DW_AT_name("__char32_t") + 1092 .dwattr $C$DW$T$96, DW_AT_type(*$C$DW$T$95) + 1093 .dwattr $C$DW$T$96, DW_AT_language(DW_LANG_C) + 1094 .dwattr $C$DW$T$96, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1095 .dwattr $C$DW$T$96, DW_AT_decl_line(0x72) + 1096 .dwattr $C$DW$T$96, DW_AT_decl_column(0x1a) + 1097 + 1098 $C$DW$T$97 .dwtag DW_TAG_typedef + 1099 .dwattr $C$DW$T$97, DW_AT_name("__uintfptr_t") + 1100 .dwattr $C$DW$T$97, DW_AT_type(*$C$DW$T$86) + 1101 .dwattr $C$DW$T$97, DW_AT_language(DW_LANG_C) + 1102 .dwattr $C$DW$T$97, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1103 .dwattr $C$DW$T$97, DW_AT_decl_line(0x68) + 1104 .dwattr $C$DW$T$97, DW_AT_decl_column(0x14) + 1105 + 1106 $C$DW$T$98 .dwtag DW_TAG_typedef + 1107 .dwattr $C$DW$T$98, DW_AT_name("__vm_offset_t") + 1108 .dwattr $C$DW$T$98, DW_AT_type(*$C$DW$T$86) + 1109 .dwattr $C$DW$T$98, DW_AT_language(DW_LANG_C) + 1110 .dwattr $C$DW$T$98, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1111 .dwattr $C$DW$T$98, DW_AT_decl_line(0x79) + 1112 .dwattr $C$DW$T$98, DW_AT_decl_column(0x14) + 1113 + 1114 $C$DW$T$99 .dwtag DW_TAG_typedef + 1115 .dwattr $C$DW$T$99, DW_AT_name("__vm_paddr_t") + 1116 .dwattr $C$DW$T$99, DW_AT_type(*$C$DW$T$86) + 1117 .dwattr $C$DW$T$99, DW_AT_language(DW_LANG_C) + 1118 .dwattr $C$DW$T$99, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1119 .dwattr $C$DW$T$99, DW_AT_decl_line(0x7a) + 1120 .dwattr $C$DW$T$99, DW_AT_decl_column(0x14) + 1121 + 1122 $C$DW$T$100 .dwtag DW_TAG_typedef + 1123 .dwattr $C$DW$T$100, DW_AT_name("__vm_size_t") + 1124 .dwattr $C$DW$T$100, DW_AT_type(*$C$DW$T$86) + 1125 .dwattr $C$DW$T$100, DW_AT_language(DW_LANG_C) + 1126 .dwattr $C$DW$T$100, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1127 .dwattr $C$DW$T$100, DW_AT_decl_line(0x7b) + 1128 .dwattr $C$DW$T$100, DW_AT_decl_column(0x14) + 1129 + 1130 $C$DW$T$14 .dwtag DW_TAG_base_type + 1131 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 1132 .dwattr $C$DW$T$14, DW_AT_name("long long") + 1133 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 1134 + 1135 $C$DW$T$101 .dwtag DW_TAG_typedef + 1136 .dwattr $C$DW$T$101, DW_AT_name("__int64_t") + 1137 .dwattr $C$DW$T$101, DW_AT_type(*$C$DW$T$14) + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 55 + + 1138 .dwattr $C$DW$T$101, DW_AT_language(DW_LANG_C) + 1139 .dwattr $C$DW$T$101, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1140 .dwattr $C$DW$T$101, DW_AT_decl_line(0x40) + 1141 .dwattr $C$DW$T$101, DW_AT_decl_column(0x14) + 1142 + 1143 $C$DW$T$102 .dwtag DW_TAG_typedef + 1144 .dwattr $C$DW$T$102, DW_AT_name("__blkcnt_t") + 1145 .dwattr $C$DW$T$102, DW_AT_type(*$C$DW$T$101) + 1146 .dwattr $C$DW$T$102, DW_AT_language(DW_LANG_C) + 1147 .dwattr $C$DW$T$102, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1148 .dwattr $C$DW$T$102, DW_AT_decl_line(0x30) + 1149 .dwattr $C$DW$T$102, DW_AT_decl_column(0x13) + 1150 + 1151 $C$DW$T$103 .dwtag DW_TAG_typedef + 1152 .dwattr $C$DW$T$103, DW_AT_name("__id_t") + 1153 .dwattr $C$DW$T$103, DW_AT_type(*$C$DW$T$101) + 1154 .dwattr $C$DW$T$103, DW_AT_language(DW_LANG_C) + 1155 .dwattr $C$DW$T$103, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1156 .dwattr $C$DW$T$103, DW_AT_decl_line(0x36) + 1157 .dwattr $C$DW$T$103, DW_AT_decl_column(0x13) + 1158 + 1159 $C$DW$T$104 .dwtag DW_TAG_typedef + 1160 .dwattr $C$DW$T$104, DW_AT_name("__int_fast64_t") + 1161 .dwattr $C$DW$T$104, DW_AT_type(*$C$DW$T$101) + 1162 .dwattr $C$DW$T$104, DW_AT_language(DW_LANG_C) + 1163 .dwattr $C$DW$T$104, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1164 .dwattr $C$DW$T$104, DW_AT_decl_line(0x59) + 1165 .dwattr $C$DW$T$104, DW_AT_decl_column(0x13) + 1166 + 1167 $C$DW$T$105 .dwtag DW_TAG_typedef + 1168 .dwattr $C$DW$T$105, DW_AT_name("__int_least64_t") + 1169 .dwattr $C$DW$T$105, DW_AT_type(*$C$DW$T$101) + 1170 .dwattr $C$DW$T$105, DW_AT_language(DW_LANG_C) + 1171 .dwattr $C$DW$T$105, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1172 .dwattr $C$DW$T$105, DW_AT_decl_line(0x5d) + 1173 .dwattr $C$DW$T$105, DW_AT_decl_column(0x13) + 1174 + 1175 $C$DW$T$106 .dwtag DW_TAG_typedef + 1176 .dwattr $C$DW$T$106, DW_AT_name("__intmax_t") + 1177 .dwattr $C$DW$T$106, DW_AT_type(*$C$DW$T$101) + 1178 .dwattr $C$DW$T$106, DW_AT_language(DW_LANG_C) + 1179 .dwattr $C$DW$T$106, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1180 .dwattr $C$DW$T$106, DW_AT_decl_line(0x4f) + 1181 .dwattr $C$DW$T$106, DW_AT_decl_column(0x13) + 1182 + 1183 $C$DW$T$107 .dwtag DW_TAG_typedef + 1184 .dwattr $C$DW$T$107, DW_AT_name("__off64_t") + 1185 .dwattr $C$DW$T$107, DW_AT_type(*$C$DW$T$101) + 1186 .dwattr $C$DW$T$107, DW_AT_language(DW_LANG_C) + 1187 .dwattr $C$DW$T$107, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1188 .dwattr $C$DW$T$107, DW_AT_decl_line(0x3f) + 1189 .dwattr $C$DW$T$107, DW_AT_decl_column(0x13) + 1190 + 1191 $C$DW$T$108 .dwtag DW_TAG_typedef + 1192 .dwattr $C$DW$T$108, DW_AT_name("__rlim_t") + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 56 + + 1193 .dwattr $C$DW$T$108, DW_AT_type(*$C$DW$T$101) + 1194 .dwattr $C$DW$T$108, DW_AT_language(DW_LANG_C) + 1195 .dwattr $C$DW$T$108, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1196 .dwattr $C$DW$T$108, DW_AT_decl_line(0x41) + 1197 .dwattr $C$DW$T$108, DW_AT_decl_column(0x13) + 1198 + 1199 $C$DW$T$15 .dwtag DW_TAG_base_type + 1200 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 1201 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 1202 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 1203 + 1204 $C$DW$T$109 .dwtag DW_TAG_typedef + 1205 .dwattr $C$DW$T$109, DW_AT_name("__uint64_t") + 1206 .dwattr $C$DW$T$109, DW_AT_type(*$C$DW$T$15) + 1207 .dwattr $C$DW$T$109, DW_AT_language(DW_LANG_C) + 1208 .dwattr $C$DW$T$109, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1209 .dwattr $C$DW$T$109, DW_AT_decl_line(0x45) + 1210 .dwattr $C$DW$T$109, DW_AT_decl_column(0x1c) + 1211 + 1212 $C$DW$T$110 .dwtag DW_TAG_typedef + 1213 .dwattr $C$DW$T$110, DW_AT_name("__dev_t") + 1214 .dwattr $C$DW$T$110, DW_AT_type(*$C$DW$T$109) + 1215 .dwattr $C$DW$T$110, DW_AT_language(DW_LANG_C) + 1216 .dwattr $C$DW$T$110, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1217 .dwattr $C$DW$T$110, DW_AT_decl_line(0x7f) + 1218 .dwattr $C$DW$T$110, DW_AT_decl_column(0x14) + 1219 + 1220 $C$DW$T$111 .dwtag DW_TAG_typedef + 1221 .dwattr $C$DW$T$111, DW_AT_name("__fsblkcnt_t") + 1222 .dwattr $C$DW$T$111, DW_AT_type(*$C$DW$T$109) + 1223 .dwattr $C$DW$T$111, DW_AT_language(DW_LANG_C) + 1224 .dwattr $C$DW$T$111, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1225 .dwattr $C$DW$T$111, DW_AT_decl_line(0x33) + 1226 .dwattr $C$DW$T$111, DW_AT_decl_column(0x14) + 1227 + 1228 $C$DW$T$112 .dwtag DW_TAG_typedef + 1229 .dwattr $C$DW$T$112, DW_AT_name("__fsfilcnt_t") + 1230 .dwattr $C$DW$T$112, DW_AT_type(*$C$DW$T$109) + 1231 .dwattr $C$DW$T$112, DW_AT_language(DW_LANG_C) + 1232 .dwattr $C$DW$T$112, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1233 .dwattr $C$DW$T$112, DW_AT_decl_line(0x34) + 1234 .dwattr $C$DW$T$112, DW_AT_decl_column(0x14) + 1235 + 1236 $C$DW$T$113 .dwtag DW_TAG_typedef + 1237 .dwattr $C$DW$T$113, DW_AT_name("__ino_t") + 1238 .dwattr $C$DW$T$113, DW_AT_type(*$C$DW$T$109) + 1239 .dwattr $C$DW$T$113, DW_AT_language(DW_LANG_C) + 1240 .dwattr $C$DW$T$113, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1241 .dwattr $C$DW$T$113, DW_AT_decl_line(0x37) + 1242 .dwattr $C$DW$T$113, DW_AT_decl_column(0x14) + 1243 + 1244 $C$DW$T$114 .dwtag DW_TAG_typedef + 1245 .dwattr $C$DW$T$114, DW_AT_name("__nlink_t") + 1246 .dwattr $C$DW$T$114, DW_AT_type(*$C$DW$T$109) + 1247 .dwattr $C$DW$T$114, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 57 + + 1248 .dwattr $C$DW$T$114, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1249 .dwattr $C$DW$T$114, DW_AT_decl_line(0x3d) + 1250 .dwattr $C$DW$T$114, DW_AT_decl_column(0x14) + 1251 + 1252 $C$DW$T$115 .dwtag DW_TAG_typedef + 1253 .dwattr $C$DW$T$115, DW_AT_name("__uint_fast64_t") + 1254 .dwattr $C$DW$T$115, DW_AT_type(*$C$DW$T$109) + 1255 .dwattr $C$DW$T$115, DW_AT_language(DW_LANG_C) + 1256 .dwattr $C$DW$T$115, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1257 .dwattr $C$DW$T$115, DW_AT_decl_line(0x73) + 1258 .dwattr $C$DW$T$115, DW_AT_decl_column(0x14) + 1259 + 1260 $C$DW$T$116 .dwtag DW_TAG_typedef + 1261 .dwattr $C$DW$T$116, DW_AT_name("__uint_least64_t") + 1262 .dwattr $C$DW$T$116, DW_AT_type(*$C$DW$T$109) + 1263 .dwattr $C$DW$T$116, DW_AT_language(DW_LANG_C) + 1264 .dwattr $C$DW$T$116, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1265 .dwattr $C$DW$T$116, DW_AT_decl_line(0x77) + 1266 .dwattr $C$DW$T$116, DW_AT_decl_column(0x14) + 1267 + 1268 $C$DW$T$117 .dwtag DW_TAG_typedef + 1269 .dwattr $C$DW$T$117, DW_AT_name("__uintmax_t") + 1270 .dwattr $C$DW$T$117, DW_AT_type(*$C$DW$T$109) + 1271 .dwattr $C$DW$T$117, DW_AT_language(DW_LANG_C) + 1272 .dwattr $C$DW$T$117, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1273 .dwattr $C$DW$T$117, DW_AT_decl_line(0x69) + 1274 .dwattr $C$DW$T$117, DW_AT_decl_column(0x14) + 1275 + 1276 $C$DW$T$118 .dwtag DW_TAG_typedef + 1277 .dwattr $C$DW$T$118, DW_AT_name("__rman_res_t") + 1278 .dwattr $C$DW$T$118, DW_AT_type(*$C$DW$T$117) + 1279 .dwattr $C$DW$T$118, DW_AT_language(DW_LANG_C) + 1280 .dwattr $C$DW$T$118, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1281 .dwattr $C$DW$T$118, DW_AT_decl_line(0x9a) + 1282 .dwattr $C$DW$T$118, DW_AT_decl_column(0x19) + 1283 + 1284 $C$DW$T$16 .dwtag DW_TAG_base_type + 1285 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 1286 .dwattr $C$DW$T$16, DW_AT_name("float") + 1287 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 1288 + 1289 $C$DW$T$119 .dwtag DW_TAG_typedef + 1290 .dwattr $C$DW$T$119, DW_AT_name("__float_t") + 1291 .dwattr $C$DW$T$119, DW_AT_type(*$C$DW$T$16) + 1292 .dwattr $C$DW$T$119, DW_AT_language(DW_LANG_C) + 1293 .dwattr $C$DW$T$119, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1294 .dwattr $C$DW$T$119, DW_AT_decl_line(0x4d) + 1295 .dwattr $C$DW$T$119, DW_AT_decl_column(0x10) + 1296 + 1297 $C$DW$T$17 .dwtag DW_TAG_base_type + 1298 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 1299 .dwattr $C$DW$T$17, DW_AT_name("double") + 1300 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 1301 + 1302 $C$DW$T$120 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 58 + + 1303 .dwattr $C$DW$T$120, DW_AT_name("__double_t") + 1304 .dwattr $C$DW$T$120, DW_AT_type(*$C$DW$T$17) + 1305 .dwattr $C$DW$T$120, DW_AT_language(DW_LANG_C) + 1306 .dwattr $C$DW$T$120, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1307 .dwattr $C$DW$T$120, DW_AT_decl_line(0x4c) + 1308 .dwattr $C$DW$T$120, DW_AT_decl_column(0x11) + 1309 + 1310 $C$DW$T$18 .dwtag DW_TAG_base_type + 1311 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 1312 .dwattr $C$DW$T$18, DW_AT_name("long double") + 1313 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 1314 + 1315 $C$DW$T$37 .dwtag DW_TAG_const_type + 1316 .dwattr $C$DW$T$37, DW_AT_type(*$C$DW$T$6) + 1317 + 1318 $C$DW$T$38 .dwtag DW_TAG_pointer_type + 1319 .dwattr $C$DW$T$38, DW_AT_type(*$C$DW$T$37) + 1320 .dwattr $C$DW$T$38, DW_AT_address_class(0x10) + 1321 + 1322 $C$DW$T$39 .dwtag DW_TAG_restrict_type + 1323 .dwattr $C$DW$T$39, DW_AT_type(*$C$DW$T$38) + 1324 + 1325 $C$DW$T$121 .dwtag DW_TAG_pointer_type + 1326 .dwattr $C$DW$T$121, DW_AT_type(*$C$DW$T$6) + 1327 .dwattr $C$DW$T$121, DW_AT_address_class(0x10) + 1328 + 1329 $C$DW$T$122 .dwtag DW_TAG_typedef + 1330 .dwattr $C$DW$T$122, DW_AT_name("__va_list") + 1331 .dwattr $C$DW$T$122, DW_AT_type(*$C$DW$T$121) + 1332 .dwattr $C$DW$T$122, DW_AT_language(DW_LANG_C) + 1333 .dwattr $C$DW$T$122, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1334 .dwattr $C$DW$T$122, DW_AT_decl_line(0x92) + 1335 .dwattr $C$DW$T$122, DW_AT_decl_column(0x0f) + 1336 + 1337 $C$DW$T$123 .dwtag DW_TAG_typedef + 1338 .dwattr $C$DW$T$123, DW_AT_name("va_list") + 1339 .dwattr $C$DW$T$123, DW_AT_type(*$C$DW$T$122) + 1340 .dwattr $C$DW$T$123, DW_AT_language(DW_LANG_C) + 1341 .dwattr $C$DW$T$123, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1342 .dwattr $C$DW$T$123, DW_AT_decl_line(0x33) + 1343 .dwattr $C$DW$T$123, DW_AT_decl_column(0x13) + 1344 + 1345 + 1346 $C$DW$T$19 .dwtag DW_TAG_structure_type + 1347 .dwattr $C$DW$T$19, DW_AT_name("__mq") + 1348 .dwattr $C$DW$T$19, DW_AT_declaration + 1349 .dwattr $C$DW$T$19, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1350 .dwattr $C$DW$T$19, DW_AT_decl_line(0x4c) + 1351 .dwattr $C$DW$T$19, DW_AT_decl_column(0x10) + 1352 .dwendtag $C$DW$T$19 + 1353 + 1354 $C$DW$T$124 .dwtag DW_TAG_pointer_type + 1355 .dwattr $C$DW$T$124, DW_AT_type(*$C$DW$T$19) + 1356 .dwattr $C$DW$T$124, DW_AT_address_class(0x10) + 1357 + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 59 + + 1358 $C$DW$T$125 .dwtag DW_TAG_typedef + 1359 .dwattr $C$DW$T$125, DW_AT_name("__mqd_t") + 1360 .dwattr $C$DW$T$125, DW_AT_type(*$C$DW$T$124) + 1361 .dwattr $C$DW$T$125, DW_AT_language(DW_LANG_C) + 1362 .dwattr $C$DW$T$125, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1363 .dwattr $C$DW$T$125, DW_AT_decl_line(0x4c) + 1364 .dwattr $C$DW$T$125, DW_AT_decl_column(0x16) + 1365 + 1366 + 1367 $C$DW$T$23 .dwtag DW_TAG_structure_type + 1368 .dwattr $C$DW$T$23, DW_AT_name("__sFILE") + 1369 .dwattr $C$DW$T$23, DW_AT_byte_size(0x0c) + 1370 $C$DW$37 .dwtag DW_TAG_member + 1371 .dwattr $C$DW$37, DW_AT_type(*$C$DW$T$10) + 1372 .dwattr $C$DW$37, DW_AT_name("fd") + 1373 .dwattr $C$DW$37, DW_AT_TI_symbol_name("fd") + 1374 .dwattr $C$DW$37, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 1375 .dwattr $C$DW$37, DW_AT_accessibility(DW_ACCESS_public) + 1376 .dwattr $C$DW$37, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1377 .dwattr $C$DW$37, DW_AT_decl_line(0x52) + 1378 .dwattr $C$DW$37, DW_AT_decl_column(0x0b) + 1379 + 1380 $C$DW$38 .dwtag DW_TAG_member + 1381 .dwattr $C$DW$38, DW_AT_type(*$C$DW$T$22) + 1382 .dwattr $C$DW$38, DW_AT_name("buf") + 1383 .dwattr $C$DW$38, DW_AT_TI_symbol_name("buf") + 1384 .dwattr $C$DW$38, DW_AT_data_member_location[DW_OP_plus_uconst 0x2] + 1385 .dwattr $C$DW$38, DW_AT_accessibility(DW_ACCESS_public) + 1386 .dwattr $C$DW$38, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1387 .dwattr $C$DW$38, DW_AT_decl_line(0x53) + 1388 .dwattr $C$DW$38, DW_AT_decl_column(0x16) + 1389 + 1390 $C$DW$39 .dwtag DW_TAG_member + 1391 .dwattr $C$DW$39, DW_AT_type(*$C$DW$T$22) + 1392 .dwattr $C$DW$39, DW_AT_name("pos") + 1393 .dwattr $C$DW$39, DW_AT_TI_symbol_name("pos") + 1394 .dwattr $C$DW$39, DW_AT_data_member_location[DW_OP_plus_uconst 0x4] + 1395 .dwattr $C$DW$39, DW_AT_accessibility(DW_ACCESS_public) + 1396 .dwattr $C$DW$39, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1397 .dwattr $C$DW$39, DW_AT_decl_line(0x54) + 1398 .dwattr $C$DW$39, DW_AT_decl_column(0x16) + 1399 + 1400 $C$DW$40 .dwtag DW_TAG_member + 1401 .dwattr $C$DW$40, DW_AT_type(*$C$DW$T$22) + 1402 .dwattr $C$DW$40, DW_AT_name("bufend") + 1403 .dwattr $C$DW$40, DW_AT_TI_symbol_name("bufend") + 1404 .dwattr $C$DW$40, DW_AT_data_member_location[DW_OP_plus_uconst 0x6] + 1405 .dwattr $C$DW$40, DW_AT_accessibility(DW_ACCESS_public) + 1406 .dwattr $C$DW$40, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1407 .dwattr $C$DW$40, DW_AT_decl_line(0x55) + 1408 .dwattr $C$DW$40, DW_AT_decl_column(0x16) + 1409 + 1410 $C$DW$41 .dwtag DW_TAG_member + 1411 .dwattr $C$DW$41, DW_AT_type(*$C$DW$T$22) + 1412 .dwattr $C$DW$41, DW_AT_name("buff_stop") + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 60 + + 1413 .dwattr $C$DW$41, DW_AT_TI_symbol_name("buff_stop") + 1414 .dwattr $C$DW$41, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 1415 .dwattr $C$DW$41, DW_AT_accessibility(DW_ACCESS_public) + 1416 .dwattr $C$DW$41, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1417 .dwattr $C$DW$41, DW_AT_decl_line(0x56) + 1418 .dwattr $C$DW$41, DW_AT_decl_column(0x16) + 1419 + 1420 $C$DW$42 .dwtag DW_TAG_member + 1421 .dwattr $C$DW$42, DW_AT_type(*$C$DW$T$11) + 1422 .dwattr $C$DW$42, DW_AT_name("flags") + 1423 .dwattr $C$DW$42, DW_AT_TI_symbol_name("flags") + 1424 .dwattr $C$DW$42, DW_AT_data_member_location[DW_OP_plus_uconst 0xa] + 1425 .dwattr $C$DW$42, DW_AT_accessibility(DW_ACCESS_public) + 1426 .dwattr $C$DW$42, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1427 .dwattr $C$DW$42, DW_AT_decl_line(0x57) + 1428 .dwattr $C$DW$42, DW_AT_decl_column(0x16) + 1429 + 1430 .dwattr $C$DW$T$23, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1431 .dwattr $C$DW$T$23, DW_AT_decl_line(0x51) + 1432 .dwattr $C$DW$T$23, DW_AT_decl_column(0x08) + 1433 .dwendtag $C$DW$T$23 + 1434 + 1435 $C$DW$T$126 .dwtag DW_TAG_typedef + 1436 .dwattr $C$DW$T$126, DW_AT_name("FILE") + 1437 .dwattr $C$DW$T$126, DW_AT_type(*$C$DW$T$23) + 1438 .dwattr $C$DW$T$126, DW_AT_language(DW_LANG_C) + 1439 .dwattr $C$DW$T$126, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1440 .dwattr $C$DW$T$126, DW_AT_decl_line(0x5c) + 1441 .dwattr $C$DW$T$126, DW_AT_decl_column(0x18) + 1442 + 1443 + 1444 $C$DW$T$20 .dwtag DW_TAG_structure_type + 1445 .dwattr $C$DW$T$20, DW_AT_name("__timer") + 1446 .dwattr $C$DW$T$20, DW_AT_declaration + 1447 .dwattr $C$DW$T$20, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1448 .dwattr $C$DW$T$20, DW_AT_decl_line(0x4b) + 1449 .dwattr $C$DW$T$20, DW_AT_decl_column(0x10) + 1450 .dwendtag $C$DW$T$20 + 1451 + 1452 $C$DW$T$127 .dwtag DW_TAG_pointer_type + 1453 .dwattr $C$DW$T$127, DW_AT_type(*$C$DW$T$20) + 1454 .dwattr $C$DW$T$127, DW_AT_address_class(0x10) + 1455 + 1456 $C$DW$T$128 .dwtag DW_TAG_typedef + 1457 .dwattr $C$DW$T$128, DW_AT_name("__timer_t") + 1458 .dwattr $C$DW$T$128, DW_AT_type(*$C$DW$T$127) + 1459 .dwattr $C$DW$T$128, DW_AT_language(DW_LANG_C) + 1460 .dwattr $C$DW$T$128, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1461 .dwattr $C$DW$T$128, DW_AT_decl_line(0x4b) + 1462 .dwattr $C$DW$T$128, DW_AT_decl_column(0x19) + 1463 + 1464 .dwattr $C$DW$CU, DW_AT_language(DW_LANG_C) + 1465 + 1466 ;*************************************************************** + 1467 ;* DWARF CIE ENTRIES * + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 61 + + 1468 ;*************************************************************** + 1469 + 1470 $C$DW$CIE .dwcie 16 + 1471 .dwcfi cfa_register, 1 + 1472 .dwcfi cfa_offset, 0 + 1473 .dwcfi same_value, 0 + 1474 .dwcfi same_value, 1 + 1475 .dwcfi same_value, 3 + 1476 .dwcfi same_value, 4 + 1477 .dwcfi same_value, 5 + 1478 .dwcfi same_value, 6 + 1479 .dwcfi same_value, 7 + 1480 .dwcfi same_value, 8 + 1481 .dwcfi same_value, 9 + 1482 .dwcfi same_value, 10 + 1483 .dwendentry + 1484 + 1485 ;*************************************************************** + 1486 ;* DWARF REGISTER MAP * + 1487 ;*************************************************************** + 1488 + 1489 $C$DW$43 .dwtag DW_TAG_TI_assign_register + 1490 .dwattr $C$DW$43, DW_AT_name("PC") + 1491 .dwattr $C$DW$43, DW_AT_location[DW_OP_reg0] + 1492 + 1493 $C$DW$44 .dwtag DW_TAG_TI_assign_register + 1494 .dwattr $C$DW$44, DW_AT_name("SP") + 1495 .dwattr $C$DW$44, DW_AT_location[DW_OP_reg1] + 1496 + 1497 $C$DW$45 .dwtag DW_TAG_TI_assign_register + 1498 .dwattr $C$DW$45, DW_AT_name("SR") + 1499 .dwattr $C$DW$45, DW_AT_location[DW_OP_reg2] + 1500 + 1501 $C$DW$46 .dwtag DW_TAG_TI_assign_register + 1502 .dwattr $C$DW$46, DW_AT_name("CG") + 1503 .dwattr $C$DW$46, DW_AT_location[DW_OP_reg3] + 1504 + 1505 $C$DW$47 .dwtag DW_TAG_TI_assign_register + 1506 .dwattr $C$DW$47, DW_AT_name("r4") + 1507 .dwattr $C$DW$47, DW_AT_location[DW_OP_reg4] + 1508 + 1509 $C$DW$48 .dwtag DW_TAG_TI_assign_register + 1510 .dwattr $C$DW$48, DW_AT_name("r5") + 1511 .dwattr $C$DW$48, DW_AT_location[DW_OP_reg5] + 1512 + 1513 $C$DW$49 .dwtag DW_TAG_TI_assign_register + 1514 .dwattr $C$DW$49, DW_AT_name("r6") + 1515 .dwattr $C$DW$49, DW_AT_location[DW_OP_reg6] + 1516 + 1517 $C$DW$50 .dwtag DW_TAG_TI_assign_register + 1518 .dwattr $C$DW$50, DW_AT_name("r7") + 1519 .dwattr $C$DW$50, DW_AT_location[DW_OP_reg7] + 1520 + 1521 $C$DW$51 .dwtag DW_TAG_TI_assign_register + 1522 .dwattr $C$DW$51, DW_AT_name("r8") + MSP430 Assembler PC v20.2.5 Fri Sep 3 14:07:11 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{118F4CF4-F323-433D-AB66-43D810941C8C} PAGE 62 + + 1523 .dwattr $C$DW$51, DW_AT_location[DW_OP_reg8] + 1524 + 1525 $C$DW$52 .dwtag DW_TAG_TI_assign_register + 1526 .dwattr $C$DW$52, DW_AT_name("r9") + 1527 .dwattr $C$DW$52, DW_AT_location[DW_OP_reg9] + 1528 + 1529 $C$DW$53 .dwtag DW_TAG_TI_assign_register + 1530 .dwattr $C$DW$53, DW_AT_name("r10") + 1531 .dwattr $C$DW$53, DW_AT_location[DW_OP_reg10] + 1532 + 1533 $C$DW$54 .dwtag DW_TAG_TI_assign_register + 1534 .dwattr $C$DW$54, DW_AT_name("r11") + 1535 .dwattr $C$DW$54, DW_AT_location[DW_OP_reg11] + 1536 + 1537 $C$DW$55 .dwtag DW_TAG_TI_assign_register + 1538 .dwattr $C$DW$55, DW_AT_name("r12") + 1539 .dwattr $C$DW$55, DW_AT_location[DW_OP_reg12] + 1540 + 1541 $C$DW$56 .dwtag DW_TAG_TI_assign_register + 1542 .dwattr $C$DW$56, DW_AT_name("r13") + 1543 .dwattr $C$DW$56, DW_AT_location[DW_OP_reg13] + 1544 + 1545 $C$DW$57 .dwtag DW_TAG_TI_assign_register + 1546 .dwattr $C$DW$57, DW_AT_name("r14") + 1547 .dwattr $C$DW$57, DW_AT_location[DW_OP_reg14] + 1548 + 1549 $C$DW$58 .dwtag DW_TAG_TI_assign_register + 1550 .dwattr $C$DW$58, DW_AT_name("r15") + 1551 .dwattr $C$DW$58, DW_AT_location[DW_OP_reg15] + 1552 + 1553 $C$DW$59 .dwtag DW_TAG_TI_assign_register + 1554 .dwattr $C$DW$59, DW_AT_name("CIE_RETA") + 1555 .dwattr $C$DW$59, DW_AT_location[DW_OP_reg16] + 1556 + 1557 .dwendtag $C$DW$CU + 1558 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/L2_P1/Debug/p1.obj b/CPE325/L2_P1/Debug/p1.obj new file mode 100644 index 0000000..4265740 Binary files /dev/null and b/CPE325/L2_P1/Debug/p1.obj differ diff --git a/CPE325/L2_P1/Debug/sources.mk b/CPE325/L2_P1/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/L2_P1/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/L2_P1/Debug/subdir_rules.mk b/CPE325/L2_P1/Debug/subdir_rules.mk new file mode 100644 index 0000000..1d96609 --- /dev/null +++ b/CPE325/L2_P1/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/L2_P1" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power="all" --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/L2_P1/p1.c b/CPE325/L2_P1/p1.c new file mode 100644 index 0000000..603a077 --- /dev/null +++ b/CPE325/L2_P1/p1.c @@ -0,0 +1,60 @@ +/*------------------------------------------------------------------------------ + * File: p1.c + * Function: Prints out variables according to their Data Type with the size and the ranges of the variables + * Description: This C program outputs numbers using + * Input: None + * Output: " + * Author(s): Noah Woodlee + * Lab Section: 09 + * Date: Aug 20, 2021 + *----------------------------------------------------------------------------*/ +#include +#include +#include +#include + +int main() +{ + WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer + // Printf statements + // Header + printf("-------------------------------------------------------------------------------------------------------------------\n"); + printf("| Data Type | Value | Size (in bytes) | Min | Max |\n"); + printf("-------------------------------------------------------------------------------------------------------------------\n"); + // signed char + char signedChar = 'a'; + printf("| signed char | '%c' | %d | %d | %d |\n",signedChar,sizeof(signedChar),SCHAR_MIN,SCHAR_MAX); + // short int + int shortInt = 12; + printf("| short int | %hd | %d | %d | %d |\n",shortInt,sizeof(shortInt),SHRT_MIN,SHRT_MAX); + // int + int integer = 900; + printf("| int | %d | %d | %d | %d |\n",integer,sizeof(integer),INT_MIN,INT_MAX); + // long int + int longInt = 200; + printf("| long int | %hi | %i | %i | %i |\n",longInt,sizeof(longInt),LONG_MIN,LONG_MAX); + // long long int + int longlongInt = -400; + printf("| long long int | %hhi | %d | %d | %d |\n",longlongInt,sizeof(longlongInt),LLONG_MIN,LLONG_MAX); + // unsigned char + char unsignedChar = 'o'; + printf("| unsigned char | '%c' | %d | %lu | %lu |\n",unsignedChar,sizeof(unsignedChar),0,UCHAR_MAX); + //unsigned short int + int unsignedshortInt = 500; + printf("| unsigned short int | %hu | %d | %lu | %lu |\n",unsignedshortInt,sizeof(unsignedshortInt),0,LONG_MAX); + //unsigned int + int unsignedInt = 500; + printf("| unsigned int | %u | %l | %u | %u |\n",unsignedInt,sizeof(unsignedInt),0,LONG_MAX); + //unsigned long int + int unsignedlongInt = 800; + printf("| unsigned long int | %lu | %lu | %u | %ld |\n",unsignedlongInt,sizeof(unsignedlongInt),0,ULONG_MAX); + //unsigned long long int + int unsignedlonglongInt = 5000; + printf("| unsigned long long int | %llu | %llu | %llu | %llu |\n",unsignedlonglongInt,sizeof(unsignedlonglongInt),0,ULLONG_MAX); + //float + float flo = 50.022; + printf("| float | %f | %d | %f | %f |\n",flo,sizeof(flo), 16777215,FLT_MAX); + //double + double dou = 5506; + printf("| double | '%E' | %d | %e | %e |\n",dou,sizeof(dou),DBL_MIN,DBL_MAX); +} diff --git a/CPE325/L2_P1/targetConfigs/MSP430F5529.ccxml b/CPE325/L2_P1/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/L2_P1/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/L2_P1/targetConfigs/readme.txt b/CPE325/L2_P1/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/L2_P1/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/L2_P3/Debug/ccsObjs.opt b/CPE325/L2_P3/Debug/ccsObjs.opt new file mode 100644 index 0000000..0b285e2 --- /dev/null +++ b/CPE325/L2_P3/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./p3.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/L2_P3/Debug/makefile b/CPE325/L2_P3/Debug/makefile new file mode 100644 index 0000000..ca5bc7c --- /dev/null +++ b/CPE325/L2_P3/Debug/makefile @@ -0,0 +1,168 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./p3.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +L2_P3.out \ + +EXE_OUTPUTS__QUOTED += \ +"L2_P3.out" \ + +BIN_OUTPUTS += \ +L2_P3.hex \ + +BIN_OUTPUTS__QUOTED += \ +"L2_P3.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "L2_P3.out" + +# Tool invocations +L2_P3.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing -z -m"L2_P3.map" --heap_size=300 --stack_size=160 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="L2_P3_linkInfo.xml" --use_hw_mpy=F5 --rom_model -o "L2_P3.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +L2_P3.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "L2_P3.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "p3.lst" + -$(RM) "p3.obj" + -$(RM) "p3.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/L2_P3/Debug/objects.mk b/CPE325/L2_P3/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/L2_P3/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/L2_P3/Debug/p3.d b/CPE325/L2_P3/Debug/p3.d new file mode 100644 index 0000000..fc1e148 --- /dev/null +++ b/CPE325/L2_P3/Debug/p3.d @@ -0,0 +1,6 @@ +# FIXED + +p3.obj: ../p3.c + +../p3.c: + diff --git a/CPE325/L2_P3/Debug/sources.mk b/CPE325/L2_P3/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/L2_P3/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/L2_P3/Debug/subdir_rules.mk b/CPE325/L2_P3/Debug/subdir_rules.mk new file mode 100644 index 0000000..c37e7ca --- /dev/null +++ b/CPE325/L2_P3/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/L2_P3" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/L2_P3/p3.c b/CPE325/L2_P3/p3.c new file mode 100644 index 0000000..1ace872 --- /dev/null +++ b/CPE325/L2_P3/p3.c @@ -0,0 +1,32 @@ +/*------------------------------------------------------------------------------ + * File: p3.c + * Function: Prints out variables according to their Data Type with the size and the ranges of the variables + * Description: This C program outputs numbers using + * Input: None + * Output: " + * Author(s): Noah Woodlee + * Lab Section: 09 + * Date: Aug 20, 2021 + *----------------------------------------------------------------------------*/ + +int a[5]={0xA, 0x6, -0x8, 0x5, 0xC}; +int b[5] = {0xD, -0xE, 0x7, 0x2, 0x1}; + +int orOp_0 = (0xA | 0xD); +int andOp_0 = (0xA & 0xD); + +int orOp_1 = (0x6 | -0xE); +int andOp_1 = (0x6 & -0xE); + +int orOp_2 = (a[2] | b[2]); +int andOp_2 = (a[2] & b[2]); + +int orOp_3 = (a[3] | b[3]); +int andOp_3 = (a[3] & b[3]); + +int orOp_4 = (a[4] | b[4]); +int andOp_4 = (a[4] & b[4]); + +printf("Input array a: {0xA, 0x6, -0x8, 0x5, 0xC}\n"); +printf("Input array b: {0xD, -0xE, 0x7, 0x2, 0x1}\n"); +printf("The OR opperation for the 0th element is: %o",(a[0] | b[0])); diff --git a/CPE325/L2_P3/targetConfigs/MSP430F5529.ccxml b/CPE325/L2_P3/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/L2_P3/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/L2_P3/targetConfigs/readme.txt b/CPE325/L2_P3/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/L2_P3/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/L3/Debug/L3.d b/CPE325/L3/Debug/L3.d new file mode 100644 index 0000000..697da16 --- /dev/null +++ b/CPE325/L3/Debug/L3.d @@ -0,0 +1,42 @@ +# FIXED + +L3.obj: ../L3.c +L3.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +L3.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +L3.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +L3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h + +../L3.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + diff --git a/CPE325/L3/Debug/L3.map b/CPE325/L3/Debug/L3.map new file mode 100644 index 0000000..2cfc77b --- /dev/null +++ b/CPE325/L3/Debug/L3.map @@ -0,0 +1,1781 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Thu Dec 2 20:37:59 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noinit_noargs" address: 00004400 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 000000a0 00001f60 RWIX + FLASH 00004400 0000bb80 0000001c 0000bb64 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 0000012a 000142ce RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.stack 0 00004360 000000a0 UNINITIALIZED + 00004360 00000004 rts430x_lc_rd_eabi.lib : boot.c.obj (.stack) + 00004364 0000009c --HOLE-- + +.text:_isr +* 0 00004400 0000001c + 00004400 00000014 rts430x_lc_rd_eabi.lib : boot.c.obj (.text:_isr:_c_int00_noinit_noargs) + 00004414 00000008 : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00004400 00000000 UNINITIALIZED + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430x_lc_rd_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430x_lc_rd_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430x_lc_rd_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430x_lc_rd_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430x_lc_rd_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430x_lc_rd_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430x_lc_rd_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430x_lc_rd_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430x_lc_rd_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430x_lc_rd_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430x_lc_rd_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430x_lc_rd_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430x_lc_rd_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430x_lc_rd_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430x_lc_rd_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430x_lc_rd_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430x_lc_rd_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430x_lc_rd_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430x_lc_rd_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430x_lc_rd_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430x_lc_rd_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430x_lc_rd_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430x_lc_rd_eabi.lib : boot.c.obj (.reset) + +.text 0 00010000 0000012a + 00010000 00000120 L3.obj (.text:main) + 00010120 00000006 rts430x_lc_rd_eabi.lib : exit.c.obj (.text:abort) + 00010126 00000004 : pre_init.c.obj (.text:_system_pre_init) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + L3.obj 288 0 0 + +--+------------------+------+---------+---------+ + Total: 288 0 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430x_lc_rd_eabi.lib + boot.c.obj 20 2 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + +--+------------------+------+---------+---------+ + Total: 38 46 0 + + Stack: 0 0 160 + +--+------------------+------+---------+---------+ + Grand Total: 326 46 160 + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +00010120 C$$EXIT +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +00004400 __STACK_END +000000a0 __STACK_SIZE +00004414 __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ +00004400 _c_int00_noinit_noargs +0000fffe _reset_vector +00004360 _stack +00010126 _system_pre_init +00010120 abort +00010000 main + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +000000a0 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00004360 _stack +00004400 __STACK_END +00004400 _c_int00_noinit_noargs +00004414 __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +0000fffe _reset_vector +00010000 main +00010120 C$$EXIT +00010120 abort +00010126 _system_pre_init +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[766 symbols] diff --git a/CPE325/L3/Debug/L3.obj b/CPE325/L3/Debug/L3.obj new file mode 100644 index 0000000..4040ff7 Binary files /dev/null and b/CPE325/L3/Debug/L3.obj differ diff --git a/CPE325/L3/Debug/L3.out b/CPE325/L3/Debug/L3.out new file mode 100644 index 0000000..0ed6d7f Binary files /dev/null and b/CPE325/L3/Debug/L3.out differ diff --git a/CPE325/L3/Debug/L3_linkInfo.xml b/CPE325/L3/Debug/L3_linkInfo.xml new file mode 100644 index 0000000..44f4048 --- /dev/null +++ b/CPE325/L3/Debug/L3_linkInfo.xml @@ -0,0 +1,5677 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61a98307 + 0x1 + L3.out + + _c_int00_noinit_noargs +
0x4400
+
+ + + .\ + object + L3.obj + L3.obj + + + .\ + object + Lab03_D2.obj + Lab03_D2.obj + + + .\ + object + Lab03_D3.obj + Lab03_D3.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult64_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit_gvars.c.obj + + + + + .stack + true + 0x4360 + 0x4 + + + + .stack + true + 0x4360 + 0x0 + + + .text:main + 0x10000 + 0x10000 + 0x120 + + + + .text:abort + 0x10120 + 0x10120 + 0x6 + + + + .text:_system_pre_init + 0x10126 + 0x10126 + 0x4 + + + + .text:_isr:_c_int00_noinit_noargs + 0x4400 + 0x4400 + 0x14 + + + + .text:_isr:__TI_ISR_TRAP + 0x4414 + 0x4414 + 0x8 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0xe1 + + + + .debug_info + 0xe1 + 0xe1 + 0x84 + + + + .debug_info + 0x165 + 0x165 + 0x10f + + + + .debug_info + 0x274 + 0x274 + 0x100 + + + + .debug_info + 0x374 + 0x374 + 0x177 + + + + .debug_info + 0x4eb + 0x4eb + 0x153 + + + + .debug_info + 0x63e + 0x63e + 0x122 + + + + .debug_info + 0x760 + 0x760 + 0x8b + + + .debug_line + 0x0 + 0x0 + 0xaf + + + + .debug_line + 0xaf + 0xaf + 0x20 + + + + .debug_line + 0xcf + 0xcf + 0x3d + + + + .debug_line + 0x10c + 0x10c + 0x2a + + + + .debug_line + 0x136 + 0x136 + 0x43 + + + + .debug_line + 0x179 + 0x179 + 0x3e + + + + .debug_line + 0x1b7 + 0x1b7 + 0x3d + + + + .debug_frame + 0x0 + 0x0 + 0x40 + + + + .debug_frame + 0x40 + 0x40 + 0x34 + + + + .debug_frame + 0x74 + 0x74 + 0x3c + + + + .debug_frame + 0xb0 + 0xb0 + 0x3c + + + + .debug_abbrev + 0x0 + 0x0 + 0x54 + + + + .debug_abbrev + 0x54 + 0x54 + 0x1f + + + + .debug_abbrev + 0x73 + 0x73 + 0x28 + + + + .debug_abbrev + 0x9b + 0x9b + 0x29 + + + + .debug_abbrev + 0xc4 + 0xc4 + 0x58 + + + + .debug_abbrev + 0x11c + 0x11c + 0x55 + + + + .debug_abbrev + 0x171 + 0x171 + 0x45 + + + + .debug_abbrev + 0x1b6 + 0x1b6 + 0xf + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1b + + + + .debug_pubnames + 0x1b + 0x1b + 0x2b + + + + .debug_pubnames + 0x46 + 0x46 + 0x1d + + + + .debug_pubnames + 0x63 + 0x63 + 0x2d + + + + .debug_pubnames + 0x90 + 0x90 + 0x27 + + + + .debug_pubnames + 0xb7 + 0xb7 + 0x1c + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x0 + 0x0 + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x4360 + 0xa0 + + + + + + + .text + 0x10000 + 0x10000 + 0x12a + + + + + + + + .text + + + + + + .text:_isr + 0x4400 + 0x4400 + 0x1c + + + + + + + .cinit + 0x0 + 0x0 + + + + + .const + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x7eb + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x1f4 + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0xec + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x1c5 + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + + + .debug_aranges + 0x0 + 0x0 + 0xa0 + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0xd3 + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + + + SEGMENT_0 + 0x4360 + 0xa0 + 0x6 + + + + + + SEGMENT_1 + 0x4400 + 0x4400 + 0x1c + 0x5 + + + + + + SEGMENT_2 + 0xffd2 + 0xffd2 + 0x158 + 0x5 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0xa0 + 0x1f60 + RWIX + + + 0x2400 + 0x1f60 + + + 0x4360 + 0xa0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x1c + 0xbb64 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x1c + + + + 0x441c + 0xbb64 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x12a + 0x142ce + RWIX + + + 0x10000 + 0x12a + + + + 0x1012a + 0x142ce + + + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __STACK_SIZE + 0xa0 + + + __STACK_END + 0x4400 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + main + 0x10000 + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x4414 + + + + _c_int00_noinit_noargs + 0x4400 + + + + _stack + 0x4360 + + + + _reset_vector + 0xfffe + + + + _system_pre_init + 0x10126 + + + + C$$EXIT + 0x10120 + + + + abort + 0x10120 + + + + Link errors: red sections failed placement +
diff --git a/CPE325/L3/Debug/Lab03_D2.d b/CPE325/L3/Debug/Lab03_D2.d new file mode 100644 index 0000000..d199d00 --- /dev/null +++ b/CPE325/L3/Debug/Lab03_D2.d @@ -0,0 +1,21 @@ +# FIXED + +Lab03_D2.obj: ../Lab03_D2.c +Lab03_D2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +Lab03_D2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +Lab03_D2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +Lab03_D2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +Lab03_D2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h + +../Lab03_D2.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + diff --git a/CPE325/L3/Debug/Lab03_D2.obj b/CPE325/L3/Debug/Lab03_D2.obj new file mode 100644 index 0000000..2265ae2 Binary files /dev/null and b/CPE325/L3/Debug/Lab03_D2.obj differ diff --git a/CPE325/L3/Debug/Lab03_D3.d b/CPE325/L3/Debug/Lab03_D3.d new file mode 100644 index 0000000..16d27b8 --- /dev/null +++ b/CPE325/L3/Debug/Lab03_D3.d @@ -0,0 +1,6 @@ +# FIXED + +Lab03_D3.obj: ../Lab03_D3.c + +../Lab03_D3.c: + diff --git a/CPE325/L3/Debug/Lab03_D3.obj b/CPE325/L3/Debug/Lab03_D3.obj new file mode 100644 index 0000000..6b99cbb Binary files /dev/null and b/CPE325/L3/Debug/Lab03_D3.obj differ diff --git a/CPE325/L3/Debug/ccsObjs.opt b/CPE325/L3/Debug/ccsObjs.opt new file mode 100644 index 0000000..e9e456c --- /dev/null +++ b/CPE325/L3/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./L3.obj" "./Lab03_D2.obj" "./Lab03_D3.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/L3/Debug/makefile b/CPE325/L3/Debug/makefile new file mode 100644 index 0000000..310302d --- /dev/null +++ b/CPE325/L3/Debug/makefile @@ -0,0 +1,169 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./L3.obj" \ +"./Lab03_D2.obj" \ +"./Lab03_D3.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +L3.out \ + +EXE_OUTPUTS__QUOTED += \ +"L3.out" \ + +BIN_OUTPUTS += \ +L3.hex \ + +BIN_OUTPUTS__QUOTED += \ +"L3.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "L3.out" + +# Tool invocations +L3.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=F5 --advice:power=all --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 -z -m"L3.map" --heap_size=160 --stack_size=160 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="L3_linkInfo.xml" --use_hw_mpy=F5 --rom_model -o "L3.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +L3.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "L3.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "L3.obj" "Lab03_D2.obj" "Lab03_D3.obj" + -$(RM) "L3.d" "Lab03_D2.d" "Lab03_D3.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/L3/Debug/objects.mk b/CPE325/L3/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/L3/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/L3/Debug/sources.mk b/CPE325/L3/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/L3/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/L3/Debug/subdir_rules.mk b/CPE325/L3/Debug/subdir_rules.mk new file mode 100644 index 0000000..5a83fc7 --- /dev/null +++ b/CPE325/L3/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/L3" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --preproc_with_compile --preproc_dependency="$(basename $( +#include + +#define S1 (P2IN&BIT1) +#define S2 (P1IN&BIT1) +#define REDLED BIT0 +#define GREENLED BIT7 + +int main() +{ + WDTCTL = WDTPW + WDTHOLD; // Watchdog timer + P1DIR |= REDLED; // Set port 1 output direction + P4DIR |= GREENLED; // Set port 4 output direction + P2DIR &= ~BIT1; // Clear direction for P2.1 + P1DIR &= ~BIT1; // Clear direction for P1.1 + + P2REN |= BIT1; // Enable Pull-up resistor at P1 + P2OUT |= BIT1; // Required for proper IO + P1REN |= BIT1; // Enable Pull-up resistor at P2 + P1OUT |= BIT1; // Required for proper IO + + P1OUT |= REDLED; // LED 1 is ON + P4OUT |= GREENLED; // LED 2 is ON + + unsigned int i = 0; + while(1) + { + if((S1) == 0) // If S1 is pressed + { + for(i = 2000; i > 0; i--); //Debounce ~20ms + if((S1) == 0) + { + P4OUT |= GREENLED; // LED 2 is ON + for (i = 0;i < 20000; i++); //5 Hz + for (i = 0;i < 10000; i++); // Software delay + P1OUT ^= REDLED; // Toggle LED 1 + while ((S1) == 0) // As long as S1 is pressed + { + P4OUT |= GREENLED; // LED 2 is ON + for (i = 0;i < 20000; i++); //5 Hz + for (i = 0;i < 10000; i++); //Software delay + P1OUT ^= REDLED; // Toggle LED 1 + } + } + } + else if ((S2) == 0) // If S2 is pressed + { + for(i = 2000; i > 0; i--); //Debounce ~20ms + if((S2) == 0) + { + P1OUT |= REDLED; // LED 1 is ON + for (i = 0;i < 50000; i++); //2 Hz + for (i = 0;i < 25000; i++); // Software delay + P4OUT ^= GREENLED; // Toggle LED 2 + while ((S2) == 0) // As long as S2 is pressed + { + P1OUT |= REDLED; // LED 1 is ON + for (i = 0;i < 50000; i++); //2 Hz + for (i = 0;i < 25000; i++); // Software delay + P4OUT ^= GREENLED; // Toggle LED 2 + } + } + } + else + { + P1OUT |= REDLED; + P4OUT |= GREENLED; + } + } +} diff --git a/CPE325/L3/Lab03_D2.c b/CPE325/L3/Lab03_D2.c new file mode 100644 index 0000000..8f796d8 --- /dev/null +++ b/CPE325/L3/Lab03_D2.c @@ -0,0 +1,42 @@ +/*------------------------------------------------------------------------------ + * File: Lab03_D2.c + * Function: Blinking LED1 and LED2 + * Description: This C program toggle LED1 and LED2 at 1Hz by xoring P1.0 and + * P4.7 inside a loop. The LEDs are on when P1.0=1 and P4.7=1. + * The LED1 is initialized to be off and LED2 to be on. + * Clocks: ACLK = 32.768kHz, MCLK = SMCLK = default DCO (~1 MHz) + * MSP-EXP430F5529LP + * ----------------- + * /|\| | + * | | | + * --|RST | + * | P1.0|-->LED1(RED) + * | P4.7|-->LED2(GREEN) + * | | + * Input: None + * Output: LED1 and LED2 blink alternately at a frequency of 1 Hz + * Author(s): Aleksandar Milenkovic, milenkovic@computer.org + * Prawar Poudel, prawar.poudel@uah.edu + * Date: + * ---------------------------------------------------------------------------*/ +#include + +#define REDLED 0x01 // Mask for BIT0 = 0000_0001b +#define GREENLED 0x80 // Mask for BIT7 = 1000_0000b + +void main(void) +{ + WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer + P1DIR |= REDLED; // Set P1.0 to output direction + P4DIR |= GREENLED; // Set P4.7 to output direction + P1OUT &= ~REDLED; // LED1 is OFF + P4OUT |= GREENLED; // LED2 is ON + unsigned int i = 0; + while(1) // Infinite loop + { + for (i = 0; i < 50000; i++); // Delay 0.5s + // 0.5s on, 0.5s off => 1/(1s) = 1Hz + P1OUT ^= REDLED; // Toggle LED1 + P4OUT ^= GREENLED; // Toggle LED2 + } +} diff --git a/CPE325/L3/Lab03_D3.c b/CPE325/L3/Lab03_D3.c new file mode 100644 index 0000000..eac780b --- /dev/null +++ b/CPE325/L3/Lab03_D3.c @@ -0,0 +1,57 @@ +///*------------------------------------------------------------------------------ +// * File: Lab03_D3.c +// * Function: Turning on LED1 when S1 is pressed +// * Description: This C program turns on LED1 connected to P1.0 when the S1 is +// * pressed. S1 is connected to P2.1 and when it is pressed, +// * P2IN, bit 1 is read as a logic 0 (check the schematic). +// * To avoid faulty detection of button press, +// * debouncing delay of 20ms is added before turning on the LED1. +// * Clocks: ACLK = 32.768kHz, MCLK = SMCLK = default DCO (~1 MHz) +// * MSP-EXP430F5529LP +// * ----------------- +// * /|\| | +// * | | | +// * --|RST | +// * | P1.0|-->LED1(RED) +// * | P2.1|<--SW1 +// * | | +// * Input: Pressing S1 +// * Output: LED1 is turned on when S1 is pressed +// * Author(s): Aleksandar Milenkovic, milenkovic@computer.org +// * Prawar Poudel, prawar.poudel@uah.edu +// * Date: +// * ---------------------------------------------------------------------------*/ +//#include +// +//#define S1 P2IN&BIT1 +//#define REDLED 0x01 // Mask for BIT0 = 0000_0001b +//#define GREENLED 0x80 // Mask for BIT7 = 1000_0000b +// +//void main(void) +//{ +// WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer +// P1DIR |= REDLED; // Set P1.0 to output direction +// P1OUT &= ~REDLED; // LED1 is OFF +// +// P2DIR &= ~BIT1; // Set P2.1 as input for S1 input +// P2REN |= BIT1; // Enable the pull-up resistor at P2.1 +// P2OUT |= BIT1; // Required for proper IO +// +// unsigned int i = 0; +// while(1) // Infinite loop +// { +// if ((S1) == 0) // If S1 is pressed +// { +// for (i = 2000; i > 0; i--); // Debounce ~20 ms +// if ((S1) == 0) // If S1 is pressed +// { +// P1OUT |= REDLED; // Turn LED1 on +// } +// while ((S1) == 0); // Hang-on as long as S1 pressed +// } +// else +// { +// P1OUT &= ~REDLED; // Turn LED1 off +// } +// } +//} diff --git a/CPE325/L3/lnk_msp430f5529.cmd b/CPE325/L3/lnk_msp430f5529.cmd new file mode 100755 index 0000000..d595380 --- /dev/null +++ b/CPE325/L3/lnk_msp430f5529.cmd @@ -0,0 +1,251 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430f5529.cmd - LINKER COMMAND FILE FOR LINKING MSP430F5529 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/L3/targetConfigs/MSP430F5529.ccxml b/CPE325/L3/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/L3/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/L3/targetConfigs/readme.txt b/CPE325/L3/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/L3/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab10_Part1/Debug/Lab10_Part1.map b/CPE325/Lab10_Part1/Debug/Lab10_Part1.map new file mode 100644 index 0000000..5a9d155 --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/Lab10_Part1.map @@ -0,0 +1,979 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Thu Nov 11 23:19:12 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00003124 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 00000068 00001f98 RWIX + FLASH 00003100 0000cebe 0000006a 0000ce54 RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 000018d2 0000e72e RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.bss 0 00001100 00000018 UNINITIALIZED + 00001100 00000004 (.common:ADCXval) + 00001104 00000004 (.common:ADCYval) + 00001108 00000004 (.common:ADCZval) + 0000110c 00000004 (.common:Xper) + 00001110 00000004 (.common:Yper) + 00001114 00000004 (.common:Zper) + +.stack 0 000030b0 00000050 UNINITIALIZED + 000030b0 00000004 rts430x_lc_rd_eabi.lib : boot.c.obj (.stack) + 000030b4 0000004c --HOLE-- + +.text:_isr +* 0 00003100 00000058 + 00003100 00000024 main.obj (.text:_isr:ADC12ISR) + 00003124 0000001c rts430x_lc_rd_eabi.lib : boot.c.obj (.text:_isr:_c_int00_noargs) + 00003140 00000010 main.obj (.text:_isr:timerA_isr) + 00003150 00000008 rts430x_lc_rd_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00003158 00000012 + 00003158 00000006 (.cinit..bss.load) [load image, compression = zero_init] + 0000315e 00000004 (__TI_handler_table) + 00003162 00000008 (__TI_cinit_table) + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430x_lc_rd_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430x_lc_rd_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430x_lc_rd_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430x_lc_rd_eabi.lib : int17.asm.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430x_lc_rd_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430x_lc_rd_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430x_lc_rd_eabi.lib : int20.asm.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430x_lc_rd_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 main.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 main.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430x_lc_rd_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430x_lc_rd_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 rts430x_lc_rd_eabi.lib : int26.asm.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430x_lc_rd_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 rts430x_lc_rd_eabi.lib : int28.asm.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 rts430x_lc_rd_eabi.lib : int29.asm.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430x_lc_rd_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430x_lc_rd_eabi.lib : boot.c.obj (.reset) + +.text 0 00010000 000018d2 + 00010000 0000072a rts430x_lc_rd_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 0001072a 00000466 : frcdivd.c.obj (.text:__TI_frcdivd) + 00010b90 00000298 : mpyd.c.obj (.text:__mspabi_mpyd) + 00010e28 00000222 : divd.c.obj (.text:__mspabi_divd) + 0001104a 000001d0 main.obj (.text:sendData) + 0001121a 00000164 rts430x_lc_rd_eabi.lib : frcmpyd.c.obj (.text:__TI_frcmpyd) + 0001137e 000000b2 : cvtdf.c.obj (.text:__mspabi_cvtdf) + 00011430 0000009e : fltlid.c.obj (.text:__mspabi_fltlid) + 000114ce 00000096 : mult64_hw.asm.obj (.text:__mpyll) + 00011564 00000054 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 000115b8 0000004e : mult3264_hw.asm.obj (.text:__mpyull) + 00011606 00000046 main.obj (.text:ADC_setup) + 0001164c 00000040 rts430x_lc_rd_eabi.lib : asr64.c.obj (.text:__mspabi_srall) + 0001168c 0000003e : asr32.asm.obj (.text:l_asr_const) + 000116ca 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 00011708 0000003e : lsr32.asm.obj (.text:l_lsr_const) + 00011746 0000003a : lsl64.c.obj (.text:__mspabi_sllll) + 00011780 0000003a : lsr64.c.obj (.text:__mspabi_srlll) + 000117ba 00000032 : subd.c.obj (.text:__mspabi_subd) + 000117ec 00000032 : mult32_hw.asm.obj (.text) + 0001181e 00000026 main.obj (.text:UART_setup) + 00011844 00000026 main.obj (.text:main) + 0001186a 00000024 rts430x_lc_rd_eabi.lib : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 0001188e 00000018 : mult16_hw.asm.obj (.text) + 000118a6 00000014 main.obj (.text:TimerA_setup) + 000118ba 0000000c main.obj (.text:UART_putCharacter) + 000118c6 00000006 rts430x_lc_rd_eabi.lib : exit.c.obj (.text:abort) + 000118cc 00000004 : pre_init.c.obj (.text:_system_pre_init) + 000118d0 00000002 : startup.c.obj (.text:_system_post_cinit) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + main.obj 694 4 24 + +--+----------------------+------+---------+---------+ + Total: 694 4 24 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430x_lc_rd_eabi.lib + addd.c.obj 1834 0 0 + frcdivd.c.obj 1126 0 0 + mpyd.c.obj 664 0 0 + divd.c.obj 546 0 0 + frcmpyd.c.obj 356 0 0 + cvtdf.c.obj 178 0 0 + fltlid.c.obj 158 0 0 + mult64_hw.asm.obj 150 0 0 + autoinit.c.obj 84 0 0 + mult3264_hw.asm.obj 78 0 0 + asr64.c.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + lsr32.asm.obj 62 0 0 + lsl64.c.obj 58 0 0 + lsr64.c.obj 58 0 0 + mult32_hw.asm.obj 50 0 0 + subd.c.obj 50 0 0 + copy_zero_init.c.obj 36 0 0 + boot.c.obj 28 2 0 + mult16_hw.asm.obj 24 0 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int17.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int20.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int26.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int28.asm.obj 0 2 0 + int29.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------+------+---------+---------+ + Total: 5748 32 0 + + Stack: 0 0 80 + Linker Generated: 0 18 0 + +--+----------------------+------+---------+---------+ + Grand Total: 6442 54 104 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 00003162 records: 1, size/record: 8, table size: 8 + .bss: load addr=00003158, load size=00000006 bytes, run addr=00001100, run size=00000018 bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 0000315e records: 1, size/record: 4, table size: 4 + index: 0, handler: __TI_zero_init + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +00003100 ADC12ISR +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00001100 ADCXval +00001104 ADCYval +00001108 ADCZval +00011606 ADC_setup +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +000118c6 C$$EXIT +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +000118a6 TimerA_setup +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +000118ba UART_putCharacter +0001181e UART_setup +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00000120 WDTCTL +0000110c Xper +00001110 Yper +00001114 Zper +00003100 __STACK_END +00000050 __STACK_SIZE +00003162 __TI_CINIT_Base +0000316a __TI_CINIT_Limit +0000315e __TI_Handler_Table_Base +00003162 __TI_Handler_Table_Limit +00003150 __TI_ISR_TRAP +00011564 __TI_auto_init_nobinit_nopinit_hold_wdt +0001072a __TI_frcdivd +0001121a __TI_frcmpyd +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +0001186a __TI_zero_init_nomemset +ffffffff __c_args__ +00010000 __mspabi_addd +0001137e __mspabi_cvtdf +00010e28 __mspabi_divd +00011430 __mspabi_fltlid +00010b90 __mspabi_mpyd +0001188e __mspabi_mpyi_hw +000117ec __mspabi_mpyl_hw +000114ce __mspabi_mpyll_hw +000115b8 __mspabi_mpyull_hw +00011702 __mspabi_slll_1 +000116de __mspabi_slll_10 +000116da __mspabi_slll_11 +000116d6 __mspabi_slll_12 +000116d2 __mspabi_slll_13 +000116ce __mspabi_slll_14 +000116ca __mspabi_slll_15 +000116fe __mspabi_slll_2 +000116fa __mspabi_slll_3 +000116f6 __mspabi_slll_4 +000116f2 __mspabi_slll_5 +000116ee __mspabi_slll_6 +000116ea __mspabi_slll_7 +000116e6 __mspabi_slll_8 +000116e2 __mspabi_slll_9 +00011746 __mspabi_sllll +000116c4 __mspabi_sral_1 +000116a0 __mspabi_sral_10 +0001169c __mspabi_sral_11 +00011698 __mspabi_sral_12 +00011694 __mspabi_sral_13 +00011690 __mspabi_sral_14 +0001168c __mspabi_sral_15 +000116c0 __mspabi_sral_2 +000116bc __mspabi_sral_3 +000116b8 __mspabi_sral_4 +000116b4 __mspabi_sral_5 +000116b0 __mspabi_sral_6 +000116ac __mspabi_sral_7 +000116a8 __mspabi_sral_8 +000116a4 __mspabi_sral_9 +0001164c __mspabi_srall +00011740 __mspabi_srll_1 +0001171c __mspabi_srll_10 +00011718 __mspabi_srll_11 +00011714 __mspabi_srll_12 +00011710 __mspabi_srll_13 +0001170c __mspabi_srll_14 +00011708 __mspabi_srll_15 +0001173c __mspabi_srll_2 +00011738 __mspabi_srll_3 +00011734 __mspabi_srll_4 +00011730 __mspabi_srll_5 +0001172c __mspabi_srll_6 +00011728 __mspabi_srll_7 +00011724 __mspabi_srll_8 +00011720 __mspabi_srll_9 +00011780 __mspabi_srlll +000117ba __mspabi_subd +00003124 _c_int00_noargs +0000fffe _reset_vector +000030b0 _stack +000118d0 _system_post_cinit +000118cc _system_pre_init +000118c6 abort +00011844 main +0001104a sendData +00003140 timerA_isr + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000050 __STACK_SIZE +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +00001100 ADCXval +00001104 ADCYval +00001108 ADCZval +0000110c Xper +00001110 Yper +00001114 Zper +000030b0 _stack +00003100 ADC12ISR +00003100 __STACK_END +00003124 _c_int00_noargs +00003140 timerA_isr +00003150 __TI_ISR_TRAP +0000315e __TI_Handler_Table_Base +00003162 __TI_CINIT_Base +00003162 __TI_Handler_Table_Limit +0000316a __TI_CINIT_Limit +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +0000fffe _reset_vector +00010000 __mspabi_addd +0001072a __TI_frcdivd +00010b90 __mspabi_mpyd +00010e28 __mspabi_divd +0001104a sendData +0001121a __TI_frcmpyd +0001137e __mspabi_cvtdf +00011430 __mspabi_fltlid +000114ce __mspabi_mpyll_hw +00011564 __TI_auto_init_nobinit_nopinit_hold_wdt +000115b8 __mspabi_mpyull_hw +00011606 ADC_setup +0001164c __mspabi_srall +0001168c __mspabi_sral_15 +00011690 __mspabi_sral_14 +00011694 __mspabi_sral_13 +00011698 __mspabi_sral_12 +0001169c __mspabi_sral_11 +000116a0 __mspabi_sral_10 +000116a4 __mspabi_sral_9 +000116a8 __mspabi_sral_8 +000116ac __mspabi_sral_7 +000116b0 __mspabi_sral_6 +000116b4 __mspabi_sral_5 +000116b8 __mspabi_sral_4 +000116bc __mspabi_sral_3 +000116c0 __mspabi_sral_2 +000116c4 __mspabi_sral_1 +000116ca __mspabi_slll_15 +000116ce __mspabi_slll_14 +000116d2 __mspabi_slll_13 +000116d6 __mspabi_slll_12 +000116da __mspabi_slll_11 +000116de __mspabi_slll_10 +000116e2 __mspabi_slll_9 +000116e6 __mspabi_slll_8 +000116ea __mspabi_slll_7 +000116ee __mspabi_slll_6 +000116f2 __mspabi_slll_5 +000116f6 __mspabi_slll_4 +000116fa __mspabi_slll_3 +000116fe __mspabi_slll_2 +00011702 __mspabi_slll_1 +00011708 __mspabi_srll_15 +0001170c __mspabi_srll_14 +00011710 __mspabi_srll_13 +00011714 __mspabi_srll_12 +00011718 __mspabi_srll_11 +0001171c __mspabi_srll_10 +00011720 __mspabi_srll_9 +00011724 __mspabi_srll_8 +00011728 __mspabi_srll_7 +0001172c __mspabi_srll_6 +00011730 __mspabi_srll_5 +00011734 __mspabi_srll_4 +00011738 __mspabi_srll_3 +0001173c __mspabi_srll_2 +00011740 __mspabi_srll_1 +00011746 __mspabi_sllll +00011780 __mspabi_srlll +000117ba __mspabi_subd +000117ec __mspabi_mpyl_hw +0001181e UART_setup +00011844 main +0001186a __TI_zero_init_nomemset +0001188e __mspabi_mpyi_hw +000118a6 TimerA_setup +000118ba UART_putCharacter +000118c6 C$$EXIT +000118c6 abort +000118cc _system_pre_init +000118d0 _system_post_cinit +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[357 symbols] diff --git a/CPE325/Lab10_Part1/Debug/Lab10_Part1.out b/CPE325/Lab10_Part1/Debug/Lab10_Part1.out new file mode 100644 index 0000000..198405a Binary files /dev/null and b/CPE325/Lab10_Part1/Debug/Lab10_Part1.out differ diff --git a/CPE325/Lab10_Part1/Debug/Lab10_Part1_linkInfo.xml b/CPE325/Lab10_Part1/Debug/Lab10_Part1_linkInfo.xml new file mode 100644 index 0000000..c9d1ec9 --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/Lab10_Part1_linkInfo.xml @@ -0,0 +1,5586 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x618df950 + 0x0 + Lab10_Part1.out + + _c_int00_noargs +
0x3124
+
+ + + .\ + object + main.obj + main.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int17.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int20.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int26.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int28.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int29.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + cvtdf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult1632.asm.obj + + + + + .common:ADCXval + true + 0x1100 + 0x4 + + + .common:ADCYval + true + 0x1104 + 0x4 + + + .common:ADCZval + true + 0x1108 + 0x4 + + + .common:Xper + true + 0x110c + 0x4 + + + .common:Yper + true + 0x1110 + 0x4 + + + .common:Zper + true + 0x1114 + 0x4 + + + .stack + true + 0x30b0 + 0x4 + + + + .stack + true + 0x30b0 + 0x0 + + + .text:__mspabi_addd + 0x10000 + 0x10000 + 0x72a + + + + .text:__TI_frcdivd + 0x1072a + 0x1072a + 0x466 + + + + .text:__mspabi_mpyd + 0x10b90 + 0x10b90 + 0x298 + + + + .text:__mspabi_divd + 0x10e28 + 0x10e28 + 0x222 + + + + .text:sendData + 0x1104a + 0x1104a + 0x1d0 + + + + .text:__TI_frcmpyd + 0x1121a + 0x1121a + 0x164 + + + + .text:__mspabi_cvtdf + 0x1137e + 0x1137e + 0xb2 + + + + .text:__mspabi_fltlid + 0x11430 + 0x11430 + 0x9e + + + + .text:__mpyll + 0x114ce + 0x114ce + 0x96 + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x11564 + 0x11564 + 0x54 + + + + .text:__mpyull + 0x115b8 + 0x115b8 + 0x4e + + + + .text:ADC_setup + 0x11606 + 0x11606 + 0x46 + + + + .text:__mspabi_srall + 0x1164c + 0x1164c + 0x40 + + + + .text:l_asr_const + 0x1168c + 0x1168c + 0x3e + + + + .text:l_lsl_const + 0x116ca + 0x116ca + 0x3e + + + + .text:l_lsr_const + 0x11708 + 0x11708 + 0x3e + + + + .text:__mspabi_sllll + 0x11746 + 0x11746 + 0x3a + + + + .text:__mspabi_srlll + 0x11780 + 0x11780 + 0x3a + + + + .text:__mspabi_subd + 0x117ba + 0x117ba + 0x32 + + + + .text + 0x117ec + 0x117ec + 0x32 + + + + .text:UART_setup + 0x1181e + 0x1181e + 0x26 + + + + .text:main + 0x11844 + 0x11844 + 0x26 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x1186a + 0x1186a + 0x24 + + + + .text + 0x1188e + 0x1188e + 0x18 + + + + .text:TimerA_setup + 0x118a6 + 0x118a6 + 0x14 + + + + .text:UART_putCharacter + 0x118ba + 0x118ba + 0xc + + + + .text:abort + 0x118c6 + 0x118c6 + 0x6 + + + + .text:_system_pre_init + 0x118cc + 0x118cc + 0x4 + + + + .text:_system_post_cinit + 0x118d0 + 0x118d0 + 0x2 + + + + .text:_isr:ADC12ISR + 0x3100 + 0x3100 + 0x24 + + + + .text:_isr:_c_int00_noargs + 0x3124 + 0x3124 + 0x1c + + + + .text:_isr:timerA_isr + 0x3140 + 0x3140 + 0x10 + + + + .text:_isr:__TI_ISR_TRAP + 0x3150 + 0x3150 + 0x8 + + + + .cinit..bss.load + 0x3158 + 0x3158 + 0x6 + + + __TI_handler_table + 0x315e + 0x315e + 0x4 + + + __TI_cinit_table + 0x3162 + 0x3162 + 0x8 + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x510 + + + + .debug_info + 0x510 + 0x510 + 0x117 + + + + .debug_info + 0x627 + 0x627 + 0x316 + + + + .debug_info + 0x93d + 0x93d + 0x103 + + + + .debug_info + 0xa40 + 0xa40 + 0xf7 + + + + .debug_info + 0xb37 + 0xb37 + 0xfc + + + + .debug_info + 0xc33 + 0xc33 + 0xf3 + + + + .debug_info + 0xd26 + 0xd26 + 0x115 + + + + .debug_info + 0xe3b + 0xe3b + 0xf0 + + + + .debug_info + 0xf2b + 0xf2b + 0x84 + + + + .debug_info + 0xfaf + 0xfaf + 0x10f + + + + .debug_info + 0x10be + 0x10be + 0x12a + + + + .debug_info + 0x11e8 + 0x11e8 + 0x12a + + + + .debug_info + 0x1312 + 0x1312 + 0x130 + + + + .debug_info + 0x1442 + 0x1442 + 0x12c + + + + .debug_info + 0x156e + 0x156e + 0x100 + + + + .debug_info + 0x166e + 0x166e + 0x17a + + + + .debug_info + 0x17e8 + 0x17e8 + 0x3fc + + + + .debug_info + 0x1be4 + 0x1be4 + 0x230 + + + + .debug_info + 0x1e14 + 0x1e14 + 0x39 + + + + .debug_info + 0x1e4d + 0x1e4d + 0x2c + + + + .debug_info + 0x1e79 + 0x1e79 + 0x2c + + + + .debug_info + 0x1ea5 + 0x1ea5 + 0xcd + + + + .debug_info + 0x1f72 + 0x1f72 + 0x17f + + + + .debug_info + 0x20f1 + 0x20f1 + 0x1d7 + + + + .debug_info + 0x22c8 + 0x22c8 + 0x46 + + + + .debug_info + 0x230e + 0x230e + 0x153 + + + + .debug_info + 0x2461 + 0x2461 + 0x150 + + + + .debug_info + 0x25b1 + 0x25b1 + 0x1a7 + + + + .debug_info + 0x2758 + 0x2758 + 0x252 + + + + .debug_info + 0x29aa + 0x29aa + 0x94 + + + + .debug_info + 0x2a3e + 0x2a3e + 0x175 + + + + .debug_info + 0x2bb3 + 0x2bb3 + 0x1f3 + + + + .debug_info + 0x2da6 + 0x2da6 + 0x17c + + + + .debug_info + 0x2f22 + 0x2f22 + 0x5bd + + + + .debug_info + 0x34df + 0x34df + 0x19c + + + + .debug_info + 0x367b + 0x367b + 0x295 + + + + .debug_info + 0x3910 + 0x3910 + 0x1a3 + + + + .debug_info + 0x3ab3 + 0x3ab3 + 0x16a + + + + .debug_info + 0x3c1d + 0x3c1d + 0x1db + + + + .debug_info + 0x3df8 + 0x3df8 + 0x122 + + + + .debug_info + 0x3f1a + 0x3f1a + 0x126 + + + + .debug_info + 0x4040 + 0x4040 + 0x126 + + + + .debug_info + 0x4166 + 0x4166 + 0x126 + + + + .debug_info + 0x428c + 0x428c + 0x188 + + + + .debug_info + 0x4414 + 0x4414 + 0x169 + + + + .debug_info + 0x457d + 0x457d + 0x3a0 + + + + .debug_info + 0x491d + 0x491d + 0x244 + + + + .debug_info + 0x4b61 + 0x4b61 + 0x188 + + + + .debug_info + 0x4ce9 + 0x4ce9 + 0x188 + + + + .debug_info + 0x4e71 + 0x4e71 + 0x94 + + + .debug_line + 0x0 + 0x0 + 0x68 + + + + .debug_line + 0x68 + 0x68 + 0x43 + + + + .debug_line + 0xab + 0xab + 0x6e + + + + .debug_line + 0x119 + 0x119 + 0x44 + + + + .debug_line + 0x15d + 0x15d + 0x42 + + + + .debug_line + 0x19f + 0x19f + 0x50 + + + + .debug_line + 0x1ef + 0x1ef + 0x46 + + + + .debug_line + 0x235 + 0x235 + 0x4b + + + + .debug_line + 0x280 + 0x280 + 0x46 + + + + .debug_line + 0x2c6 + 0x2c6 + 0x20 + + + + .debug_line + 0x2e6 + 0x2e6 + 0x3d + + + + .debug_line + 0x323 + 0x323 + 0x44 + + + + .debug_line + 0x367 + 0x367 + 0x4d + + + + .debug_line + 0x3b4 + 0x3b4 + 0x55 + + + + .debug_line + 0x409 + 0x409 + 0x62 + + + + .debug_line + 0x46b + 0x46b + 0x2a + + + + .debug_line + 0x495 + 0x495 + 0x46 + + + + .debug_line + 0x4db + 0x4db + 0xbf + + + + .debug_line + 0x59a + 0x59a + 0x80 + + + + .debug_line + 0x61a + 0x61a + 0x2e + + + + .debug_line + 0x648 + 0x648 + 0x9a + + + + .debug_line + 0x6e2 + 0x6e2 + 0x97 + + + + .debug_line + 0x779 + 0x779 + 0x93 + + + + .debug_line + 0x80c + 0x80c + 0x20 + + + + .debug_line + 0x82c + 0x82c + 0x4f + + + + .debug_line + 0x87b + 0x87b + 0x34 + + + + .debug_line + 0x8af + 0x8af + 0x3e + + + + .debug_line + 0x8ed + 0x8ed + 0x3a + + + + .debug_line + 0x927 + 0x927 + 0x2d + + + + .debug_line + 0x954 + 0x954 + 0xe9 + + + + .debug_line + 0xa3d + 0xa3d + 0x2e + + + + .debug_line + 0xa6b + 0xa6b + 0x20 + + + + .debug_line + 0xa8b + 0xa8b + 0x7c + + + + .debug_line + 0xb07 + 0xb07 + 0x20 + + + + .debug_line + 0xb27 + 0xb27 + 0xd8 + + + + .debug_line + 0xbff + 0xbff + 0x2d + + + + .debug_line + 0xc2c + 0xc2c + 0xec + + + + .debug_line + 0xd18 + 0xd18 + 0x4b + + + + .debug_line + 0xd63 + 0xd63 + 0x20 + + + + .debug_line + 0xd83 + 0xd83 + 0x76 + + + + .debug_line + 0xdf9 + 0xdf9 + 0x3d + + + + .debug_line + 0xe36 + 0xe36 + 0x56 + + + + .debug_line + 0xe8c + 0xe8c + 0x56 + + + + .debug_line + 0xee2 + 0xee2 + 0x56 + + + + .debug_line + 0xf38 + 0xf38 + 0x53 + + + + .debug_line + 0xf8b + 0xf8b + 0x20 + + + + .debug_line + 0xfab + 0xfab + 0x17f + + + + .debug_line + 0x112a + 0x112a + 0x65 + + + + .debug_line + 0x118f + 0x118f + 0x53 + + + + .debug_line + 0x11e2 + 0x11e2 + 0x53 + + + + .debug_frame + 0x0 + 0x0 + 0x3c + + + + .debug_frame + 0x3c + 0x3c + 0x4c + + + + .debug_frame + 0x88 + 0x88 + 0x4c + + + + .debug_frame + 0xd4 + 0xd4 + 0x3c + + + + .debug_frame + 0x110 + 0x110 + 0x3c + + + + .debug_frame + 0x14c + 0x14c + 0x3c + + + + .debug_frame + 0x188 + 0x188 + 0x3c + + + + .debug_frame + 0x1c4 + 0x1c4 + 0x44 + + + + .debug_frame + 0x208 + 0x208 + 0x34 + + + + .debug_frame + 0x23c + 0x23c + 0x48 + + + + .debug_frame + 0x284 + 0x284 + 0x3c + + + + .debug_frame + 0x2c0 + 0x2c0 + 0x3c + + + + .debug_frame + 0x2fc + 0x2fc + 0x3c + + + + .debug_frame + 0x338 + 0x338 + 0x54 + + + + .debug_frame + 0x38c + 0x38c + 0x48 + + + + .debug_frame + 0x3d4 + 0x3d4 + 0x70 + + + + .debug_frame + 0x444 + 0x444 + 0x54 + + + + .debug_frame + 0x498 + 0x498 + 0x40 + + + + .debug_frame + 0x4d8 + 0x4d8 + 0x4c + + + + .debug_frame + 0x524 + 0x524 + 0x3c + + + + .debug_frame + 0x560 + 0x560 + 0x3c + + + + .debug_frame + 0x59c + 0x59c + 0x68 + + + + .debug_frame + 0x604 + 0x604 + 0x5c + + + + .debug_frame + 0x660 + 0x660 + 0x3c + + + + .debug_frame + 0x69c + 0x69c + 0x3c + + + + .debug_abbrev + 0x0 + 0x0 + 0xb0 + + + + .debug_abbrev + 0xb0 + 0xb0 + 0x6c + + + + .debug_abbrev + 0x11c + 0x11c + 0x6c + + + + .debug_abbrev + 0x188 + 0x188 + 0x61 + + + + .debug_abbrev + 0x1e9 + 0x1e9 + 0x50 + + + + .debug_abbrev + 0x239 + 0x239 + 0x5e + + + + .debug_abbrev + 0x297 + 0x297 + 0x50 + + + + .debug_abbrev + 0x2e7 + 0x2e7 + 0x52 + + + + .debug_abbrev + 0x339 + 0x339 + 0x53 + + + + .debug_abbrev + 0x38c + 0x38c + 0x1f + + + + .debug_abbrev + 0x3ab + 0x3ab + 0x28 + + + + .debug_abbrev + 0x3d3 + 0x3d3 + 0x3c + + + + .debug_abbrev + 0x40f + 0x40f + 0x3c + + + + .debug_abbrev + 0x44b + 0x44b + 0x3c + + + + .debug_abbrev + 0x487 + 0x487 + 0x3c + + + + .debug_abbrev + 0x4c3 + 0x4c3 + 0x29 + + + + .debug_abbrev + 0x4ec + 0x4ec + 0x58 + + + + .debug_abbrev + 0x544 + 0x544 + 0xcb + + + + .debug_abbrev + 0x60f + 0x60f + 0x7e + + + + .debug_abbrev + 0x68d + 0x68d + 0x24 + + + + .debug_abbrev + 0x6b1 + 0x6b1 + 0x24 + + + + .debug_abbrev + 0x6d5 + 0x6d5 + 0x24 + + + + .debug_abbrev + 0x6f9 + 0x6f9 + 0x4b + + + + .debug_abbrev + 0x744 + 0x744 + 0x40 + + + + .debug_abbrev + 0x784 + 0x784 + 0x6f + + + + .debug_abbrev + 0x7f3 + 0x7f3 + 0x24 + + + + .debug_abbrev + 0x817 + 0x817 + 0x55 + + + + .debug_abbrev + 0x86c + 0x86c + 0x53 + + + + .debug_abbrev + 0x8bf + 0x8bf + 0x56 + + + + .debug_abbrev + 0x915 + 0x915 + 0x7f + + + + .debug_abbrev + 0x994 + 0x994 + 0x70 + + + + .debug_abbrev + 0xa04 + 0xa04 + 0x2e + + + + .debug_abbrev + 0xa32 + 0xa32 + 0x7f + + + + .debug_abbrev + 0xab1 + 0xab1 + 0x40 + + + + .debug_abbrev + 0xaf1 + 0xaf1 + 0x8d + + + + .debug_abbrev + 0xb7e + 0xb7e + 0x4d + + + + .debug_abbrev + 0xbcb + 0xbcb + 0x7f + + + + .debug_abbrev + 0xc4a + 0xc4a + 0x7f + + + + .debug_abbrev + 0xcc9 + 0xcc9 + 0x2e + + + + .debug_abbrev + 0xcf7 + 0xcf7 + 0x7f + + + + .debug_abbrev + 0xd76 + 0xd76 + 0x45 + + + + .debug_abbrev + 0xdbb + 0xdbb + 0x3c + + + + .debug_abbrev + 0xdf7 + 0xdf7 + 0x3c + + + + .debug_abbrev + 0xe33 + 0xe33 + 0x3c + + + + .debug_abbrev + 0xe6f + 0xe6f + 0x71 + + + + .debug_abbrev + 0xee0 + 0xee0 + 0x2e + + + + .debug_abbrev + 0xf0e + 0xf0e + 0x8d + + + + .debug_abbrev + 0xf9b + 0xf9b + 0x7f + + + + .debug_abbrev + 0x101a + 0x101a + 0x71 + + + + .debug_abbrev + 0x108b + 0x108b + 0x71 + + + + .debug_abbrev + 0x10fc + 0x10fc + 0xf + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + .debug_str + 0xfd + 0xfd + 0xed + + + + .debug_str + 0x1ea + 0x1ea + 0x14c + + + + .debug_str + 0x336 + 0x336 + 0x147 + + + + .debug_str + 0x47d + 0x47d + 0x197 + + + + .debug_str + 0x614 + 0x614 + 0x105 + + + + .debug_str + 0x719 + 0x719 + 0xf7 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x20 + + + + .debug_aranges + 0xc0 + 0xc0 + 0x20 + + + + .debug_aranges + 0xe0 + 0xe0 + 0x20 + + + + .debug_aranges + 0x100 + 0x100 + 0x20 + + + + .debug_aranges + 0x120 + 0x120 + 0x20 + + + + .debug_aranges + 0x140 + 0x140 + 0x20 + + + + .debug_aranges + 0x160 + 0x160 + 0x20 + + + + .debug_aranges + 0x180 + 0x180 + 0x20 + + + + .debug_aranges + 0x1a0 + 0x1a0 + 0x20 + + + + .debug_aranges + 0x1c0 + 0x1c0 + 0x20 + + + + .debug_aranges + 0x1e0 + 0x1e0 + 0x20 + + + + .debug_aranges + 0x200 + 0x200 + 0x20 + + + + .debug_aranges + 0x220 + 0x220 + 0x20 + + + + .debug_aranges + 0x240 + 0x240 + 0x20 + + + + .debug_aranges + 0x260 + 0x260 + 0x20 + + + + .debug_aranges + 0x280 + 0x280 + 0x28 + + + + .debug_aranges + 0x2a8 + 0x2a8 + 0x20 + + + + .debug_aranges + 0x2c8 + 0x2c8 + 0x20 + + + + .debug_aranges + 0x2e8 + 0x2e8 + 0x20 + + + + .debug_aranges + 0x308 + 0x308 + 0x20 + + + + .debug_aranges + 0x328 + 0x328 + 0x20 + + + + .debug_aranges + 0x348 + 0x348 + 0x20 + + + + .debug_aranges + 0x368 + 0x368 + 0x20 + + + + .debug_aranges + 0x388 + 0x388 + 0x20 + + + + .debug_aranges + 0x3a8 + 0x3a8 + 0x28 + + + + .debug_aranges + 0x3d0 + 0x3d0 + 0x20 + + + + .debug_aranges + 0x3f0 + 0x3f0 + 0x20 + + + + .debug_aranges + 0x410 + 0x410 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x51 + + + + .debug_pubnames + 0x51 + 0x51 + 0x28 + + + + .debug_pubnames + 0x79 + 0x79 + 0x1f + + + + .debug_pubnames + 0x98 + 0x98 + 0x21 + + + + .debug_pubnames + 0xb9 + 0xb9 + 0x23 + + + + .debug_pubnames + 0xdc + 0xdc + 0x20 + + + + .debug_pubnames + 0xfc + 0xfc + 0x21 + + + + .debug_pubnames + 0x11d + 0x11d + 0x1b + + + + .debug_pubnames + 0x138 + 0x138 + 0x1f + + + + .debug_pubnames + 0x157 + 0x157 + 0x2b + + + + .debug_pubnames + 0x182 + 0x182 + 0x27 + + + + .debug_pubnames + 0x1a9 + 0x1a9 + 0x27 + + + + .debug_pubnames + 0x1d0 + 0x1d0 + 0x29 + + + + .debug_pubnames + 0x1f9 + 0x1f9 + 0x28 + + + + .debug_pubnames + 0x221 + 0x221 + 0x1d + + + + .debug_pubnames + 0x23e + 0x23e + 0x26 + + + + .debug_pubnames + 0x264 + 0x264 + 0x3e + + + + .debug_pubnames + 0x2a2 + 0x2a2 + 0x2e + + + + .debug_pubnames + 0x2d0 + 0x2d0 + 0x27 + + + + .debug_pubnames + 0x2f7 + 0x2f7 + 0x29 + + + + .debug_pubnames + 0x320 + 0x320 + 0x24 + + + + .debug_pubnames + 0x344 + 0x344 + 0x26 + + + + .debug_pubnames + 0x36a + 0x36a + 0x23 + + + + .debug_pubnames + 0x38d + 0x38d + 0x24 + + + + .debug_pubnames + 0x3b1 + 0x3b1 + 0x24 + + + + .debug_pubnames + 0x3d5 + 0x3d5 + 0x25 + + + + .debug_pubnames + 0x3fa + 0x3fa + 0x1c + + + + .debug_pubnames + 0x416 + 0x416 + 0x27 + + + + .debug_pubnames + 0x43d + 0x43d + 0x27 + + + + .debug_pubnames + 0x464 + 0x464 + 0x27 + + + + .debug_pubnames + 0x48b + 0x48b + 0x25 + + + + .debug_pubnames + 0x4b0 + 0x4b0 + 0x24 + + + + .debug_pubnames + 0x4d4 + 0x4d4 + 0x23 + + + + .debug_pubnames + 0x4f7 + 0x4f7 + 0x25 + + + + .debug_pubnames + 0x51c + 0x51c + 0x25 + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + .debug_pubtypes + 0xed + 0xed + 0x32 + + + + .debug_pubtypes + 0x11f + 0x11f + 0x21 + + + + .debug_pubtypes + 0x140 + 0x140 + 0x1f + + + + .debug_pubtypes + 0x15f + 0x15f + 0x50 + + + + .debug_pubtypes + 0x1af + 0x1af + 0x48 + + + + .debug_pubtypes + 0x1f7 + 0x1f7 + 0x30 + + + + + + .bss + 0x1100 + 0x18 + + + + + + + + + + + .data + 0x0 + 0x0 + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x30b0 + 0x50 + + + + + + + .text + 0x10000 + 0x10000 + 0x18d2 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text + + + + + + .text:_isr + 0x3100 + 0x3100 + 0x58 + + + + + + + + + .cinit + 0x3158 + 0x3158 + 0x12 + + + + + + + + .const + 0x0 + 0x0 + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x4f05 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x1235 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x6d8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x110b + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x810 + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x430 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x541 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0x227 + + + + + + + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x1100 + 0x18 + 0x6 + + + + + + SEGMENT_1 + 0x30b0 + 0x50 + 0x6 + + + + + + SEGMENT_2 + 0x3100 + 0x3100 + 0x6a + 0x5 + + + + + + + SEGMENT_3 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_4 + 0xffdc + 0xffdc + 0x18f6 + 0x5 + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0x68 + 0x1f98 + RWIX + + + 0x1100 + 0x18 + + + + 0x1118 + 0x1f98 + + + 0x30b0 + 0x50 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0x6a + 0xce54 + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0x58 + + + + 0x3158 + 0x12 + + + + 0x316a + 0xce54 + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x18d2 + 0xe72e + RWIX + + + 0x10000 + 0x18d2 + + + + 0x118d2 + 0xe72e + + + + + + + __TI_cinit_table + + .bss + 0x3158 + 0x6 + 0x1100 + 0x18 + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __TI_CINIT_Base + 0x3162 + + + __TI_CINIT_Limit + 0x316a + + + __TI_Handler_Table_Base + 0x315e + + + __TI_Handler_Table_Limit + 0x3162 + + + __STACK_SIZE + 0x50 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + ADCXval + 0x1100 + + + ADCYval + 0x1104 + + + ADCZval + 0x1108 + + + Xper + 0x110c + + + Yper + 0x1110 + + + Zper + 0x1114 + + + __TI_int22 + 0xffec + + + + __TI_int23 + 0xffee + + + + TimerA_setup + 0x118a6 + + + + main + 0x11844 + + + + UART_setup + 0x1181e + + + + sendData + 0x1104a + + + + ADC_setup + 0x11606 + + + + UART_putCharacter + 0x118ba + + + + timerA_isr + 0x3140 + + + + ADC12ISR + 0x3100 + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int17 + 0xffe2 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int20 + 0xffe8 + + + + __TI_int21 + 0xffea + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int26 + 0xfff4 + + + + __TI_int27 + 0xfff6 + + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x3150 + + + + __mspabi_mpyi_hw + 0x1188e + + + + __mspabi_mpyl_hw + 0x117ec + + + + __mspabi_mpyull_hw + 0x115b8 + + + + __mspabi_mpyll_hw + 0x114ce + + + + _stack + 0x30b0 + + + + _c_int00_noargs + 0x3124 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x11564 + + + + __TI_zero_init_nomemset + 0x1186a + + + + _system_pre_init + 0x118cc + + + + _system_post_cinit + 0x118d0 + + + + __mspabi_divd + 0x10e28 + + + + __mspabi_fltlid + 0x11430 + + + + __TI_frcdivd + 0x1072a + + + + __mspabi_mpyd + 0x10b90 + + + + __mspabi_subd + 0x117ba + + + + __mspabi_cvtdf + 0x1137e + + + + C$$EXIT + 0x118c6 + + + + abort + 0x118c6 + + + + __mspabi_sral_15 + 0x1168c + + + + __mspabi_sral_14 + 0x11690 + + + + __mspabi_sral_13 + 0x11694 + + + + __mspabi_sral_12 + 0x11698 + + + + __mspabi_sral_11 + 0x1169c + + + + __mspabi_sral_10 + 0x116a0 + + + + __mspabi_sral_8 + 0x116a8 + + + + __mspabi_sral_9 + 0x116a4 + + + + __mspabi_sral_6 + 0x116b0 + + + + __mspabi_sral_7 + 0x116ac + + + + __mspabi_sral_4 + 0x116b8 + + + + __mspabi_sral_5 + 0x116b4 + + + + __mspabi_sral_2 + 0x116c0 + + + + __mspabi_sral_3 + 0x116bc + + + + __mspabi_sral_1 + 0x116c4 + + + + __mspabi_slll_9 + 0x116e2 + + + + __mspabi_slll_8 + 0x116e6 + + + + __mspabi_slll_7 + 0x116ea + + + + __mspabi_slll_6 + 0x116ee + + + + __mspabi_slll_5 + 0x116f2 + + + + __mspabi_slll_4 + 0x116f6 + + + + __mspabi_slll_3 + 0x116fa + + + + __mspabi_slll_2 + 0x116fe + + + + __mspabi_slll_1 + 0x11702 + + + + __mspabi_slll_15 + 0x116ca + + + + __mspabi_slll_14 + 0x116ce + + + + __mspabi_slll_13 + 0x116d2 + + + + __mspabi_slll_12 + 0x116d6 + + + + __mspabi_slll_11 + 0x116da + + + + __mspabi_slll_10 + 0x116de + + + + __mspabi_srll_8 + 0x11724 + + + + __mspabi_srll_9 + 0x11720 + + + + __mspabi_srll_6 + 0x1172c + + + + __mspabi_srll_7 + 0x11728 + + + + __mspabi_srll_4 + 0x11734 + + + + __mspabi_srll_5 + 0x11730 + + + + __mspabi_srll_2 + 0x1173c + + + + __mspabi_srll_3 + 0x11738 + + + + __mspabi_srll_1 + 0x11740 + + + + __mspabi_srll_15 + 0x11708 + + + + __mspabi_srll_14 + 0x1170c + + + + __mspabi_srll_13 + 0x11710 + + + + __mspabi_srll_12 + 0x11714 + + + + __mspabi_srll_11 + 0x11718 + + + + __mspabi_srll_10 + 0x1171c + + + + __mspabi_srlll + 0x11780 + + + + __mspabi_addd + 0x10000 + + + + __TI_frcmpyd + 0x1121a + + + + __mspabi_srall + 0x1164c + + + + __mspabi_sllll + 0x11746 + + + + Link successful +
diff --git a/CPE325/Lab10_Part1/Debug/ccsObjs.opt b/CPE325/Lab10_Part1/Debug/ccsObjs.opt new file mode 100644 index 0000000..2f36690 --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./main.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab10_Part1/Debug/main.d b/CPE325/Lab10_Part1/Debug/main.d new file mode 100644 index 0000000..225d0d5 --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/main.d @@ -0,0 +1,18 @@ +# FIXED + +main.obj: ../main.c +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430xG46x.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h + +../main.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430xG46x.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + diff --git a/CPE325/Lab10_Part1/Debug/main.obj b/CPE325/Lab10_Part1/Debug/main.obj new file mode 100644 index 0000000..6913c3b Binary files /dev/null and b/CPE325/Lab10_Part1/Debug/main.obj differ diff --git a/CPE325/Lab10_Part1/Debug/makefile b/CPE325/Lab10_Part1/Debug/makefile new file mode 100644 index 0000000..3d6fbbf --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/makefile @@ -0,0 +1,166 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./main.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab10_Part1.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab10_Part1.out" \ + +BIN_OUTPUTS += \ +Lab10_Part1.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab10_Part1.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab10_Part1.out" + +# Tool invocations +Lab10_Part1.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"Lab10_Part1.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab10_Part1_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "Lab10_Part1.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab10_Part1.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab10_Part1.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "main.obj" + -$(RM) "main.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab10_Part1/Debug/objects.mk b/CPE325/Lab10_Part1/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab10_Part1/Debug/sources.mk b/CPE325/Lab10_Part1/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab10_Part1/Debug/subdir_rules.mk b/CPE325/Lab10_Part1/Debug/subdir_rules.mk new file mode 100644 index 0000000..64c4ce0 --- /dev/null +++ b/CPE325/Lab10_Part1/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab10_Part1" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab10_Part1/main.c b/CPE325/Lab10_Part1/main.c new file mode 100644 index 0000000..3251117 --- /dev/null +++ b/CPE325/Lab10_Part1/main.c @@ -0,0 +1,115 @@ +/*------------------------------------------------------------------------------ + * File: Lab10_D2.c (CPE 325 Lab10 Demo code) + * Function: Interfacing thumbstick (MPS430FG4618) + * Description: This C program interfaces with a thumbstick sensor that has + * x (HORZ) and y (VERT) axis and outputs from 0 to 3V. + * The value of x and y axis + * is sent as the percentage of power to the UAH Serial App. + * Clocks: ACLK = LFXT1 = 32768Hz, MCLK = SMCLK = DCO = default (~1MHz) + * An external watch crystal between XIN & XOUT is required for ACLK + * + * MSP430xG461x + * ------------------- + * /|\| XIN|- + * | | | 32kHz + * --|RST XOUT|- + * | | + * | P2.4/UCA0TXD|------------> + * | | 38400 - 8N1 + * | P2.5/UCA0RXD|<------------ + * | | + * Input: Connect thumbstick to the board + * Output: Displays % of power in UAH serial app + * Author: Micah Harvey + *------------------------------------------------------------------------------*/ + +#include + +volatile long int ADCXval, ADCYval, ADCZval; +volatile float Xper, Yper, Zper; + +void TimerA_setup(void) { + TACCR0 = 3277; // 3277 / 32768 Hz = 0.1s + TACTL = TASSEL_1 + MC_1; // ACLK, up mode + TACCTL0 = CCIE; // Enabled interrupt +} + +void ADC_setup(void) { + int i =0; + + P6DIR &= ~BIT3 + ~BIT5 + ~BIT7; // Configure P6.3, P6.5, and P6.7 as input pins + P6SEL |= BIT3 + BIT5 + BIT7; // Configure P6.3, P6.5, and P6.7 as analog pins + ADC12CTL0 = ADC12ON + SHT0_6 + MSC; // configure ADC converter + ADC12CTL1 = SHP + CONSEQ_1; // Use sample timer, single sequence + ADC12MCTL0 = INCH_3; // ADC A3 pin - Stick Z-axis + ADC12MCTL1 = INCH_5; // ADC A5 pin - Stick Y-axis + ADC12MCTL2 = INCH_7 + EOS; // ADC A7 pin - Stick X-axis + // EOS - End of Sequence for Conversions + ADC12IE |= 0x03; // Enable ADC12IFG.1 + for (i = 0; i < 0x3600; i++); // Delay for reference start-up + ADC12CTL0 |= ENC; // Enable conversions +} + +void UART_putCharacter(char c) { + while(!(IFG2 & UCA0TXIFG)); // Wait for previous character to be sent + UCA0TXBUF = c; // Send byte to the buffer for transmitting +} + +void UART_setup(void) { + P2SEL |= BIT4 + BIT5; // Set up Rx and Tx bits + UCA0CTL0 = 0; // Set up default RS-232 protocol + UCA0CTL1 |= BIT0 + UCSSEL_2; // Disable device, set clock + UCA0BR0 = 27; // 1048576 Hz / 38400 + UCA0BR1 = 0; + UCA0MCTL = 0x94; + UCA0CTL1 &= ~BIT0; // Start UART device +} + +void sendData(void) { + int i; + Xper = (((ADCXval*3.0/4095)-1.5)/0.3); // Calculate percentage outputs + Yper = (((ADCYval*3.0/4095)-1.5)/0.3); + Zper = (((ADCZval*3.0/4095)-1.5)/0.3); + // Use character pointers to send one byte at a time + char *xpointer=(char *)&Xper; + char *ypointer=(char *)&Yper; + char *zpointer=(char *)&Zper; + + UART_putCharacter(0x55); // Send header + for(i = 0; i < 4; i++) { // Send x percentage - one byte at a time + UART_putCharacter(xpointer[i]); + } + for(i = 0; i < 4; i++) { // Send y percentage - one byte at a time + UART_putCharacter(ypointer[i]); + } + for(i = 0; i < 4; i++) { // Send y percentage - one byte at a time + UART_putCharacter(zpointer[i]); + } +} + +void main(void) { + WDTCTL = WDTPW +WDTHOLD; // Stop WDT + TimerA_setup(); // Setup timer to send ADC data + ADC_setup(); // Setup ADC + UART_setup(); // Setup UART for RS-232 + _EINT(); + + while (1){ + ADC12CTL0 |= ADC12SC; // Start conversions + __bis_SR_register(LPM0_bits + GIE); // Enter LPM0 + } +} + +#pragma vector = ADC12_VECTOR +__interrupt void ADC12ISR(void) { + ADCXval = ADC12MEM0; // Move results, IFG is cleared + ADCYval = ADC12MEM1; + ADCZval = ADC12MEM2; + __bic_SR_register_on_exit(LPM0_bits); // Exit LPM0 +} + +#pragma vector = TIMERA0_VECTOR +__interrupt void timerA_isr() { + sendData(); // Send data to serial app + __bic_SR_register_on_exit(LPM0_bits); // Exit LPM0 +} diff --git a/CPE325/Lab10_Part1/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab10_Part1/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab10_Part1/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab10_Part1/targetConfigs/readme.txt b/CPE325/Lab10_Part1/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab10_Part1/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab10_Part2/Debug/Lab10_Part2.d b/CPE325/Lab10_Part2/Debug/Lab10_Part2.d new file mode 100644 index 0000000..5ea2ec7 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/Lab10_Part2.d @@ -0,0 +1,42 @@ +# FIXED + +Lab10_Part2.obj: ../Lab10_Part2.c +Lab10_Part2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430xG46x.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/math.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_defs.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h +Lab10_Part2.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_limits.h + +../Lab10_Part2.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430xG46x.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/math.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_defs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_limits.h: + diff --git a/CPE325/Lab10_Part2/Debug/Lab10_Part2.map b/CPE325/Lab10_Part2/Debug/Lab10_Part2.map new file mode 100644 index 0000000..8e93292 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/Lab10_Part2.map @@ -0,0 +1,1182 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Nov 12 14:32:01 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 000063b6 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 0000006e 00001f92 RWIX + FLASH 00003100 0000cebe 000033f0 00009ace RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 00000000 00010000 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.bss 0 00001100 0000001c UNINITIALIZED + 00001100 00000004 (.common:ADCXval) + 00001104 00000004 (.common:ADCYval) + 00001108 00000004 (.common:ADCZval) + 0000110c 00000004 (.common:M) + 00001110 00000004 (.common:Xper) + 00001114 00000004 (.common:Yper) + 00001118 00000004 (.common:Zper) + +.data 0 0000111c 00000002 UNINITIALIZED + 0000111c 00000002 rts430_eabi.lib : errno.c.obj (.data) + +.stack 0 000030b0 00000050 UNINITIALIZED + 000030b0 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 000030b2 0000004e --HOLE-- + +.text 0 00003100 000033a0 + 00003100 00001162 rts430_eabi.lib : e_pow.c.obj (.text:pow) + 00004262 00000736 : addd.c.obj (.text:__mspabi_addd) + 00004998 00000478 : frcdivd.c.obj (.text:__TI_frcdivd) + 00004e10 000002f8 : e_sqrt.c.obj (.text:sqrt) + 00005108 000002c0 : mpyd.c.obj (.text:__mspabi_mpyd) + 000053c8 00000244 Lab10_Part2.obj (.text:sendData) + 0000560c 00000238 rts430_eabi.lib : divd.c.obj (.text:__mspabi_divd) + 00005844 00000172 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 000059b6 0000016a : s_scalbn.c.obj (.text:scalbn) + 00005b20 000000dc : cmpd.c.obj (.text:__mspabi_cmpd) + 00005bfc 000000b4 : cvtdf.c.obj (.text:__mspabi_cvtdf) + 00005cb0 000000ac : fltlid.c.obj (.text:__mspabi_fltlid) + 00005d5c 00000096 : mult64_hw.asm.obj (.text:__mpyll) + 00005df2 0000007c : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00005e6e 00000070 : cvtfd.c.obj (.text:__mspabi_cvtfd) + 00005ede 0000005c Lab10_Part2.obj (.text:ADC_setup) + 00005f3a 0000005c rts430_eabi.lib : lsr32.asm.obj (.text:l_lsr_const) + 00005f96 00000058 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00005fee 0000004c : lsr16.asm.obj (.text) + 0000603a 0000004a : mult3264_hw.asm.obj (.text:__mpyull) + 00006084 0000004a : asr64.c.obj (.text:__mspabi_srall) + 000060ce 0000004a : fs_cmp.asm.obj (.text) + 00006118 00000046 : lsr64.c.obj (.text:__mspabi_srlll) + 0000615e 00000044 : lsl64.c.obj (.text:__mspabi_sllll) + 000061a2 0000003e : asr32.asm.obj (.text:l_asr_const) + 000061e0 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 0000621e 0000002e : subd.c.obj (.text:__mspabi_subd) + 0000624c 0000002e : s_copysign.c.obj (.text:copysign) + 0000627a 0000002e : mult32_hw.asm.obj (.text) + 000062a8 0000002c : asr16.asm.obj (.text) + 000062d4 0000002c : lsl16.asm.obj (.text) + 00006300 0000002a : negd.c.obj (.text:__mspabi_negd) + 0000632a 00000026 Lab10_Part2.obj (.text:ADC12ISR) + 00006350 00000026 Lab10_Part2.obj (.text:UART_setup) + 00006376 00000020 Lab10_Part2.obj (.text:main) + 00006396 00000020 Lab10_Part2.obj (.text:timerA_isr) + 000063b6 0000001c rts430_eabi.lib : boot.c.obj (.text:_c_int00_noargs) + 000063d2 00000014 Lab10_Part2.obj (.text:Port2_ISR) + 000063e6 00000014 Lab10_Part2.obj (.text:TimerA_setup) + 000063fa 00000014 rts430_eabi.lib : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 0000640e 00000014 : mult16_hw.asm.obj (.text) + 00006422 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00006434 00000012 : lsr32.asm.obj (.text:l_lsr) + 00006446 00000012 : memcpy.c.obj (.text:memcpy) + 00006458 00000010 : asr32.asm.obj (.text:l_asr) + 00006468 00000010 : lsl32.asm.obj (.text:l_lsl) + 00006478 00000010 : epilog.asm.obj (.text) + 00006488 0000000c Lab10_Part2.obj (.text:UART_putCharacter) + 00006494 00000006 rts430_eabi.lib : exit.c.obj (.text:abort) + 0000649a 00000004 : pre_init.c.obj (.text:_system_pre_init) + 0000649e 00000002 : startup.c.obj (.text:_system_post_cinit) + +.const 0 000064a0 00000030 + 000064a0 00000010 rts430_eabi.lib : e_pow.c.obj (.const:bp) + 000064b0 00000010 : e_pow.c.obj (.const:dp_h) + 000064c0 00000010 : e_pow.c.obj (.const:dp_l) + +.text:_isr +* 0 000064d0 00000008 + 000064d0 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 000064d8 00000018 + 000064d8 00000006 (.cinit..data.load) [load image] + 000064de 00000006 (__TI_handler_table) + 000064e4 00000004 (.cinit..bss.load) [load image, compression = zero_init] + 000064e8 00000008 (__TI_cinit_table) + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 Lab10_Part2.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int20.asm.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 Lab10_Part2.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 Lab10_Part2.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int26.asm.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int28.asm.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int29.asm.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + Lab10_Part2.obj 864 6 28 + +--+----------------------------+-------+---------+---------+ + Total: 864 6 28 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + e_pow.c.obj 4450 48 0 + addd.c.obj 1846 0 0 + frcdivd.c.obj 1144 0 0 + e_sqrt.c.obj 760 0 0 + mpyd.c.obj 704 0 0 + divd.c.obj 568 0 0 + frcmpyd.c.obj 370 0 0 + s_scalbn.c.obj 362 0 0 + cmpd.c.obj 220 0 0 + cvtdf.c.obj 180 0 0 + fltlid.c.obj 172 0 0 + mult64_hw.asm.obj 150 0 0 + copy_decompress_lzss.c.obj 124 0 0 + cvtfd.c.obj 112 0 0 + lsr32.asm.obj 110 0 0 + autoinit.c.obj 88 0 0 + asr32.asm.obj 78 0 0 + lsl32.asm.obj 78 0 0 + lsr16.asm.obj 76 0 0 + asr64.c.obj 74 0 0 + fs_cmp.asm.obj 74 0 0 + mult3264_hw.asm.obj 74 0 0 + lsr64.c.obj 70 0 0 + lsl64.c.obj 68 0 0 + mult32_hw.asm.obj 46 0 0 + s_copysign.c.obj 46 0 0 + subd.c.obj 46 0 0 + asr16.asm.obj 44 0 0 + lsl16.asm.obj 44 0 0 + negd.c.obj 42 0 0 + boot.c.obj 28 2 0 + copy_zero_init.c.obj 20 0 0 + mult16_hw.asm.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int20.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int26.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int28.asm.obj 0 2 0 + int29.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 12360 78 2 + + Stack: 0 0 80 + Linker Generated: 0 24 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 13224 108 110 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 000064e8 records: 2, size/record: 4, table size: 8 + .data: load addr=000064d8, load size=00000006 bytes, run addr=0000111c, run size=00000002 bytes, compression=copy + .bss: load addr=000064e4, load size=00000004 bytes, run addr=00001100, run size=0000001c bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 000064de records: 3, size/record: 2, table size: 6 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +0000632a ADC12ISR +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00001100 ADCXval +00001104 ADCYval +00001108 ADCZval +00005ede ADC_setup +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +00006494 C$$EXIT +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000110c M +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +000063d2 Port2_ISR +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +000063e6 TimerA_setup +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +00006488 UART_putCharacter +00006350 UART_setup +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00000120 WDTCTL +00001110 Xper +00001114 Yper +00001118 Zper +00003100 __STACK_END +00000050 __STACK_SIZE +000064e8 __TI_CINIT_Base +000064f0 __TI_CINIT_Limit +000064de __TI_Handler_Table_Base +000064e4 __TI_Handler_Table_Limit +000064d0 __TI_ISR_TRAP +00005f96 __TI_auto_init_nobinit_nopinit_hold_wdt +00005df2 __TI_decompress_lzss +00006422 __TI_decompress_none +00004998 __TI_frcdivd +00005844 __TI_frcmpyd +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +000063fa __TI_zero_init_nomemset +ffffffff __c_args__ +00004262 __mspabi_addd +00005b20 __mspabi_cmpd +000060ce __mspabi_cmpf +00005bfc __mspabi_cvtdf +00005e6e __mspabi_cvtfd +0000560c __mspabi_divd +00005cb0 __mspabi_fltlid +00006484 __mspabi_func_epilog_1 +00006482 __mspabi_func_epilog_2 +00006480 __mspabi_func_epilog_3 +0000647e __mspabi_func_epilog_4 +0000647c __mspabi_func_epilog_5 +0000647a __mspabi_func_epilog_6 +00006478 __mspabi_func_epilog_7 +00005108 __mspabi_mpyd +0000640e __mspabi_mpyi_hw +0000627a __mspabi_mpyl_hw +00005d5c __mspabi_mpyll_hw +0000603a __mspabi_mpyull_hw +00006300 __mspabi_negd +000062d4 __mspabi_slli +000062fc __mspabi_slli_1 +000062ea __mspabi_slli_10 +000062e8 __mspabi_slli_11 +000062e6 __mspabi_slli_12 +000062e4 __mspabi_slli_13 +000062e2 __mspabi_slli_14 +000062e0 __mspabi_slli_15 +000062fa __mspabi_slli_2 +000062f8 __mspabi_slli_3 +000062f6 __mspabi_slli_4 +000062f4 __mspabi_slli_5 +000062f2 __mspabi_slli_6 +000062f0 __mspabi_slli_7 +000062ee __mspabi_slli_8 +000062ec __mspabi_slli_9 +00006468 __mspabi_slll +00006218 __mspabi_slll_1 +000061f4 __mspabi_slll_10 +000061f0 __mspabi_slll_11 +000061ec __mspabi_slll_12 +000061e8 __mspabi_slll_13 +000061e4 __mspabi_slll_14 +000061e0 __mspabi_slll_15 +00006214 __mspabi_slll_2 +00006210 __mspabi_slll_3 +0000620c __mspabi_slll_4 +00006208 __mspabi_slll_5 +00006204 __mspabi_slll_6 +00006200 __mspabi_slll_7 +000061fc __mspabi_slll_8 +000061f8 __mspabi_slll_9 +0000615e __mspabi_sllll +000062a8 __mspabi_srai +000062d0 __mspabi_srai_1 +000062be __mspabi_srai_10 +000062bc __mspabi_srai_11 +000062ba __mspabi_srai_12 +000062b8 __mspabi_srai_13 +000062b6 __mspabi_srai_14 +000062b4 __mspabi_srai_15 +000062ce __mspabi_srai_2 +000062cc __mspabi_srai_3 +000062ca __mspabi_srai_4 +000062c8 __mspabi_srai_5 +000062c6 __mspabi_srai_6 +000062c4 __mspabi_srai_7 +000062c2 __mspabi_srai_8 +000062c0 __mspabi_srai_9 +00006458 __mspabi_sral +000061da __mspabi_sral_1 +000061b6 __mspabi_sral_10 +000061b2 __mspabi_sral_11 +000061ae __mspabi_sral_12 +000061aa __mspabi_sral_13 +000061a6 __mspabi_sral_14 +000061a2 __mspabi_sral_15 +000061d6 __mspabi_sral_2 +000061d2 __mspabi_sral_3 +000061ce __mspabi_sral_4 +000061ca __mspabi_sral_5 +000061c6 __mspabi_sral_6 +000061c2 __mspabi_sral_7 +000061be __mspabi_sral_8 +000061ba __mspabi_sral_9 +00006084 __mspabi_srall +00005fee __mspabi_srli +00006034 __mspabi_srli_1 +00006010 __mspabi_srli_10 +0000600c __mspabi_srli_11 +00006008 __mspabi_srli_12 +00006004 __mspabi_srli_13 +00006000 __mspabi_srli_14 +00005ffc __mspabi_srli_15 +00006030 __mspabi_srli_2 +0000602c __mspabi_srli_3 +00006028 __mspabi_srli_4 +00006024 __mspabi_srli_5 +00006020 __mspabi_srli_6 +0000601c __mspabi_srli_7 +00006018 __mspabi_srli_8 +00006014 __mspabi_srli_9 +00006434 __mspabi_srll +00005f8e __mspabi_srll_1 +00005f58 __mspabi_srll_10 +00005f52 __mspabi_srll_11 +00005f4c __mspabi_srll_12 +00005f46 __mspabi_srll_13 +00005f40 __mspabi_srll_14 +00005f3a __mspabi_srll_15 +00005f88 __mspabi_srll_2 +00005f82 __mspabi_srll_3 +00005f7c __mspabi_srll_4 +00005f76 __mspabi_srll_5 +00005f70 __mspabi_srll_6 +00005f6a __mspabi_srll_7 +00005f64 __mspabi_srll_8 +00005f5e __mspabi_srll_9 +00006118 __mspabi_srlll +0000621e __mspabi_subd +000063b6 _c_int00_noargs +0000fffe _reset_vector +000030b0 _stack +0000649e _system_post_cinit +0000649a _system_pre_init +00006494 abort +0000624c copysign +0000624c copysignl +0000111c errno +000059b6 ldexp +000059b6 ldexpl +00006376 main +00006446 memcpy +00003100 pow +00003100 powl +000059b6 scalbn +000059b6 scalbnl +000053c8 sendData +00004e10 sqrt +00004e10 sqrtl +00006396 timerA_isr + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000050 __STACK_SIZE +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +00001100 ADCXval +00001104 ADCYval +00001108 ADCZval +0000110c M +00001110 Xper +00001114 Yper +00001118 Zper +0000111c errno +000030b0 _stack +00003100 __STACK_END +00003100 pow +00003100 powl +00004262 __mspabi_addd +00004998 __TI_frcdivd +00004e10 sqrt +00004e10 sqrtl +00005108 __mspabi_mpyd +000053c8 sendData +0000560c __mspabi_divd +00005844 __TI_frcmpyd +000059b6 ldexp +000059b6 ldexpl +000059b6 scalbn +000059b6 scalbnl +00005b20 __mspabi_cmpd +00005bfc __mspabi_cvtdf +00005cb0 __mspabi_fltlid +00005d5c __mspabi_mpyll_hw +00005df2 __TI_decompress_lzss +00005e6e __mspabi_cvtfd +00005ede ADC_setup +00005f3a __mspabi_srll_15 +00005f40 __mspabi_srll_14 +00005f46 __mspabi_srll_13 +00005f4c __mspabi_srll_12 +00005f52 __mspabi_srll_11 +00005f58 __mspabi_srll_10 +00005f5e __mspabi_srll_9 +00005f64 __mspabi_srll_8 +00005f6a __mspabi_srll_7 +00005f70 __mspabi_srll_6 +00005f76 __mspabi_srll_5 +00005f7c __mspabi_srll_4 +00005f82 __mspabi_srll_3 +00005f88 __mspabi_srll_2 +00005f8e __mspabi_srll_1 +00005f96 __TI_auto_init_nobinit_nopinit_hold_wdt +00005fee __mspabi_srli +00005ffc __mspabi_srli_15 +00006000 __mspabi_srli_14 +00006004 __mspabi_srli_13 +00006008 __mspabi_srli_12 +0000600c __mspabi_srli_11 +00006010 __mspabi_srli_10 +00006014 __mspabi_srli_9 +00006018 __mspabi_srli_8 +0000601c __mspabi_srli_7 +00006020 __mspabi_srli_6 +00006024 __mspabi_srli_5 +00006028 __mspabi_srli_4 +0000602c __mspabi_srli_3 +00006030 __mspabi_srli_2 +00006034 __mspabi_srli_1 +0000603a __mspabi_mpyull_hw +00006084 __mspabi_srall +000060ce __mspabi_cmpf +00006118 __mspabi_srlll +0000615e __mspabi_sllll +000061a2 __mspabi_sral_15 +000061a6 __mspabi_sral_14 +000061aa __mspabi_sral_13 +000061ae __mspabi_sral_12 +000061b2 __mspabi_sral_11 +000061b6 __mspabi_sral_10 +000061ba __mspabi_sral_9 +000061be __mspabi_sral_8 +000061c2 __mspabi_sral_7 +000061c6 __mspabi_sral_6 +000061ca __mspabi_sral_5 +000061ce __mspabi_sral_4 +000061d2 __mspabi_sral_3 +000061d6 __mspabi_sral_2 +000061da __mspabi_sral_1 +000061e0 __mspabi_slll_15 +000061e4 __mspabi_slll_14 +000061e8 __mspabi_slll_13 +000061ec __mspabi_slll_12 +000061f0 __mspabi_slll_11 +000061f4 __mspabi_slll_10 +000061f8 __mspabi_slll_9 +000061fc __mspabi_slll_8 +00006200 __mspabi_slll_7 +00006204 __mspabi_slll_6 +00006208 __mspabi_slll_5 +0000620c __mspabi_slll_4 +00006210 __mspabi_slll_3 +00006214 __mspabi_slll_2 +00006218 __mspabi_slll_1 +0000621e __mspabi_subd +0000624c copysign +0000624c copysignl +0000627a __mspabi_mpyl_hw +000062a8 __mspabi_srai +000062b4 __mspabi_srai_15 +000062b6 __mspabi_srai_14 +000062b8 __mspabi_srai_13 +000062ba __mspabi_srai_12 +000062bc __mspabi_srai_11 +000062be __mspabi_srai_10 +000062c0 __mspabi_srai_9 +000062c2 __mspabi_srai_8 +000062c4 __mspabi_srai_7 +000062c6 __mspabi_srai_6 +000062c8 __mspabi_srai_5 +000062ca __mspabi_srai_4 +000062cc __mspabi_srai_3 +000062ce __mspabi_srai_2 +000062d0 __mspabi_srai_1 +000062d4 __mspabi_slli +000062e0 __mspabi_slli_15 +000062e2 __mspabi_slli_14 +000062e4 __mspabi_slli_13 +000062e6 __mspabi_slli_12 +000062e8 __mspabi_slli_11 +000062ea __mspabi_slli_10 +000062ec __mspabi_slli_9 +000062ee __mspabi_slli_8 +000062f0 __mspabi_slli_7 +000062f2 __mspabi_slli_6 +000062f4 __mspabi_slli_5 +000062f6 __mspabi_slli_4 +000062f8 __mspabi_slli_3 +000062fa __mspabi_slli_2 +000062fc __mspabi_slli_1 +00006300 __mspabi_negd +0000632a ADC12ISR +00006350 UART_setup +00006376 main +00006396 timerA_isr +000063b6 _c_int00_noargs +000063d2 Port2_ISR +000063e6 TimerA_setup +000063fa __TI_zero_init_nomemset +0000640e __mspabi_mpyi_hw +00006422 __TI_decompress_none +00006434 __mspabi_srll +00006446 memcpy +00006458 __mspabi_sral +00006468 __mspabi_slll +00006478 __mspabi_func_epilog_7 +0000647a __mspabi_func_epilog_6 +0000647c __mspabi_func_epilog_5 +0000647e __mspabi_func_epilog_4 +00006480 __mspabi_func_epilog_3 +00006482 __mspabi_func_epilog_2 +00006484 __mspabi_func_epilog_1 +00006488 UART_putCharacter +00006494 C$$EXIT +00006494 abort +0000649a _system_pre_init +0000649e _system_post_cinit +000064d0 __TI_ISR_TRAP +000064de __TI_Handler_Table_Base +000064e4 __TI_Handler_Table_Limit +000064e8 __TI_CINIT_Base +000064f0 __TI_CINIT_Limit +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[435 symbols] diff --git a/CPE325/Lab10_Part2/Debug/Lab10_Part2.obj b/CPE325/Lab10_Part2/Debug/Lab10_Part2.obj new file mode 100644 index 0000000..6387f37 Binary files /dev/null and b/CPE325/Lab10_Part2/Debug/Lab10_Part2.obj differ diff --git a/CPE325/Lab10_Part2/Debug/Lab10_Part2.out b/CPE325/Lab10_Part2/Debug/Lab10_Part2.out new file mode 100644 index 0000000..ce49859 Binary files /dev/null and b/CPE325/Lab10_Part2/Debug/Lab10_Part2.out differ diff --git a/CPE325/Lab10_Part2/Debug/Lab10_Part2_linkInfo.xml b/CPE325/Lab10_Part2/Debug/Lab10_Part2_linkInfo.xml new file mode 100644 index 0000000..e28edb1 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/Lab10_Part2_linkInfo.xml @@ -0,0 +1,7888 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x618ecf41 + 0x0 + Lab10_Part2.out + + _c_int00_noargs +
0x63b6
+
+ + + .\ + object + Lab10_Part2.obj + Lab10_Part2.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + e_pow.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + e_sqrt.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fs_cmp.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int20.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int26.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int28.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int29.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cvtfd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cvtdf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632.asm.obj + + + + + .common:ADCXval + true + 0x1100 + 0x4 + + + .common:ADCYval + true + 0x1104 + 0x4 + + + .common:ADCZval + true + 0x1108 + 0x4 + + + .common:Xper + true + 0x1110 + 0x4 + + + .common:Yper + true + 0x1114 + 0x4 + + + .common:Zper + true + 0x1118 + 0x4 + + + .common:M + true + 0x110c + 0x4 + + + .data + 0x111c + 0x111c + 0x2 + + + + .stack + true + 0x30b0 + 0x2 + + + + .stack + true + 0x30b0 + 0x0 + + + .text:pow + 0x3100 + 0x3100 + 0x1162 + + + + .text:__mspabi_addd + 0x4262 + 0x4262 + 0x736 + + + + .text:__TI_frcdivd + 0x4998 + 0x4998 + 0x478 + + + + .text:sqrt + 0x4e10 + 0x4e10 + 0x2f8 + + + + .text:__mspabi_mpyd + 0x5108 + 0x5108 + 0x2c0 + + + + .text:sendData + 0x53c8 + 0x53c8 + 0x244 + + + + .text:__mspabi_divd + 0x560c + 0x560c + 0x238 + + + + .text:__TI_frcmpyd + 0x5844 + 0x5844 + 0x172 + + + + .text:scalbn + 0x59b6 + 0x59b6 + 0x16a + + + + .text:__mspabi_cmpd + 0x5b20 + 0x5b20 + 0xdc + + + + .text:__mspabi_cvtdf + 0x5bfc + 0x5bfc + 0xb4 + + + + .text:__mspabi_fltlid + 0x5cb0 + 0x5cb0 + 0xac + + + + .text:__mpyll + 0x5d5c + 0x5d5c + 0x96 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x5df2 + 0x5df2 + 0x7c + + + + .text:__mspabi_cvtfd + 0x5e6e + 0x5e6e + 0x70 + + + + .text:ADC_setup + 0x5ede + 0x5ede + 0x5c + + + + .text:l_lsr_const + 0x5f3a + 0x5f3a + 0x5c + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x5f96 + 0x5f96 + 0x58 + + + + .text + 0x5fee + 0x5fee + 0x4c + + + + .text:__mpyull + 0x603a + 0x603a + 0x4a + + + + .text:__mspabi_srall + 0x6084 + 0x6084 + 0x4a + + + + .text + 0x60ce + 0x60ce + 0x4a + + + + .text:__mspabi_srlll + 0x6118 + 0x6118 + 0x46 + + + + .text:__mspabi_sllll + 0x615e + 0x615e + 0x44 + + + + .text:l_asr_const + 0x61a2 + 0x61a2 + 0x3e + + + + .text:l_lsl_const + 0x61e0 + 0x61e0 + 0x3e + + + + .text:__mspabi_subd + 0x621e + 0x621e + 0x2e + + + + .text:copysign + 0x624c + 0x624c + 0x2e + + + + .text + 0x627a + 0x627a + 0x2e + + + + .text + 0x62a8 + 0x62a8 + 0x2c + + + + .text + 0x62d4 + 0x62d4 + 0x2c + + + + .text:__mspabi_negd + 0x6300 + 0x6300 + 0x2a + + + + .text:ADC12ISR + 0x632a + 0x632a + 0x26 + + + + .text:UART_setup + 0x6350 + 0x6350 + 0x26 + + + + .text:main + 0x6376 + 0x6376 + 0x20 + + + + .text:timerA_isr + 0x6396 + 0x6396 + 0x20 + + + + .text:_c_int00_noargs + 0x63b6 + 0x63b6 + 0x1c + + + + .text:Port2_ISR + 0x63d2 + 0x63d2 + 0x14 + + + + .text:TimerA_setup + 0x63e6 + 0x63e6 + 0x14 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x63fa + 0x63fa + 0x14 + + + + .text + 0x640e + 0x640e + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x6422 + 0x6422 + 0x12 + + + + .text:l_lsr + 0x6434 + 0x6434 + 0x12 + + + + .text:memcpy + 0x6446 + 0x6446 + 0x12 + + + + .text:l_asr + 0x6458 + 0x6458 + 0x10 + + + + .text:l_lsl + 0x6468 + 0x6468 + 0x10 + + + + .text + 0x6478 + 0x6478 + 0x10 + + + + .text:UART_putCharacter + 0x6488 + 0x6488 + 0xc + + + + .text:abort + 0x6494 + 0x6494 + 0x6 + + + + .text:_system_pre_init + 0x649a + 0x649a + 0x4 + + + + .text:_system_post_cinit + 0x649e + 0x649e + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x64d0 + 0x64d0 + 0x8 + + + + .cinit..data.load + 0x64d8 + 0x64d8 + 0x6 + + + __TI_handler_table + 0x64de + 0x64de + 0x6 + + + .cinit..bss.load + 0x64e4 + 0x64e4 + 0x4 + + + __TI_cinit_table + 0x64e8 + 0x64e8 + 0x8 + + + .const:bp + 0x64a0 + 0x64a0 + 0x10 + + + + .const:dp_h + 0x64b0 + 0x64b0 + 0x10 + + + + .const:dp_l + 0x64c0 + 0x64c0 + 0x10 + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x5d4 + + + + .debug_info + 0x5d4 + 0x5d4 + 0x12c + + + + .debug_info + 0x700 + 0x700 + 0x3a8 + + + + .debug_info + 0xaa8 + 0xaa8 + 0x118 + + + + .debug_info + 0xbc0 + 0xbc0 + 0x10c + + + + .debug_info + 0xccc + 0xccc + 0x111 + + + + .debug_info + 0xddd + 0xddd + 0x108 + + + + .debug_info + 0xee5 + 0xee5 + 0x12a + + + + .debug_info + 0x100f + 0x100f + 0x107 + + + + .debug_info + 0x1116 + 0x1116 + 0x105 + + + + .debug_info + 0x121b + 0x121b + 0x26b + + + + .debug_info + 0x1486 + 0x1486 + 0x84 + + + + .debug_info + 0x150a + 0x150a + 0x282 + + + + .debug_info + 0x178c + 0x178c + 0x1d1 + + + + .debug_info + 0x195d + 0x195d + 0xf8 + + + + .debug_info + 0x1a55 + 0x1a55 + 0xfc + + + + .debug_info + 0x1b51 + 0x1b51 + 0xfc + + + + .debug_info + 0x1c4d + 0x1c4d + 0x116b + + + + .debug_info + 0x2db8 + 0x2db8 + 0x46 + + + + .debug_info + 0x2dfe + 0x2dfe + 0x39 + + + + .debug_info + 0x2e37 + 0x2e37 + 0x17d + + + + .debug_info + 0x2fb4 + 0x2fb4 + 0x31e + + + + .debug_info + 0x32d2 + 0x32d2 + 0x1b0 + + + + .debug_info + 0x3482 + 0x3482 + 0x23b + + + + .debug_info + 0x36bd + 0x36bd + 0x2c + + + + .debug_info + 0x36e9 + 0x36e9 + 0x120 + + + + .debug_info + 0x3809 + 0x3809 + 0x120 + + + + .debug_info + 0x3929 + 0x3929 + 0x126 + + + + .debug_info + 0x3a4f + 0x3a4f + 0x12e + + + + .debug_info + 0x3b7d + 0x3b7d + 0x12d + + + + .debug_info + 0x3caa + 0x3caa + 0x10f + + + + .debug_info + 0x3db9 + 0x3db9 + 0x120 + + + + .debug_info + 0x3ed9 + 0x3ed9 + 0x120 + + + + .debug_info + 0x3ff9 + 0x3ff9 + 0x126 + + + + .debug_info + 0x411f + 0x411f + 0x120 + + + + .debug_info + 0x423f + 0x423f + 0x120 + + + + .debug_info + 0x435f + 0x435f + 0x126 + + + + .debug_info + 0x4485 + 0x4485 + 0x11f + + + + .debug_info + 0x45a4 + 0x45a4 + 0x11f + + + + .debug_info + 0x46c3 + 0x46c3 + 0x125 + + + + .debug_info + 0x47e8 + 0x47e8 + 0x121 + + + + .debug_info + 0x4909 + 0x4909 + 0x100 + + + + .debug_info + 0x4a09 + 0x4a09 + 0x17a + + + + .debug_info + 0x4b83 + 0x4b83 + 0x3de + + + + .debug_info + 0x4f61 + 0x4f61 + 0x211 + + + + .debug_info + 0x5172 + 0x5172 + 0x39 + + + + .debug_info + 0x51ab + 0x51ab + 0x2c + + + + .debug_info + 0x51d7 + 0x51d7 + 0x2c + + + + .debug_info + 0x5203 + 0x5203 + 0xcd + + + + .debug_info + 0x52d0 + 0x52d0 + 0x175 + + + + .debug_info + 0x5445 + 0x5445 + 0x1d2 + + + + .debug_info + 0x5617 + 0x5617 + 0x46 + + + + .debug_info + 0x565d + 0x565d + 0x2c + + + + .debug_info + 0x5689 + 0x5689 + 0x193 + + + + .debug_info + 0x581c + 0x581c + 0x153 + + + + .debug_info + 0x596f + 0x596f + 0x150 + + + + .debug_info + 0x5abf + 0x5abf + 0x180 + + + + .debug_info + 0x5c3f + 0x5c3f + 0x1ea + + + + .debug_info + 0x5e29 + 0x5e29 + 0x46 + + + + .debug_info + 0x5e6f + 0x5e6f + 0x2c + + + + .debug_info + 0x5e9b + 0x5e9b + 0x176 + + + + .debug_info + 0x6011 + 0x6011 + 0x292 + + + + .debug_info + 0x62a3 + 0x62a3 + 0x60 + + + + .debug_info + 0x6303 + 0x6303 + 0x46 + + + + .debug_info + 0x6349 + 0x6349 + 0x39 + + + + .debug_info + 0x6382 + 0x6382 + 0x164 + + + + .debug_info + 0x64e6 + 0x64e6 + 0x35e + + + + .debug_info + 0x6844 + 0x6844 + 0x1af + + + + .debug_info + 0x69f3 + 0x69f3 + 0x94 + + + + .debug_info + 0x6a87 + 0x6a87 + 0x165 + + + + .debug_info + 0x6bec + 0x6bec + 0x1d4 + + + + .debug_info + 0x6dc0 + 0x6dc0 + 0xdb + + + + .debug_info + 0x6e9b + 0x6e9b + 0x1a2 + + + + .debug_info + 0x703d + 0x703d + 0x28a + + + + .debug_info + 0x72c7 + 0x72c7 + 0x16b + + + + .debug_info + 0x7432 + 0x7432 + 0x21b + + + + .debug_info + 0x764d + 0x764d + 0x172 + + + + .debug_info + 0x77bf + 0x77bf + 0x5cf + + + + .debug_info + 0x7d8e + 0x7d8e + 0x197 + + + + .debug_info + 0x7f25 + 0x7f25 + 0x2d2 + + + + .debug_info + 0x81f7 + 0x81f7 + 0x158 + + + + .debug_info + 0x834f + 0x834f + 0x18d + + + + .debug_info + 0x84dc + 0x84dc + 0x165 + + + + .debug_info + 0x8641 + 0x8641 + 0x208 + + + + .debug_info + 0x8849 + 0x8849 + 0x122 + + + + .debug_info + 0x896b + 0x896b + 0x181 + + + + .debug_info + 0x8aec + 0x8aec + 0x17a + + + + .debug_info + 0x8c66 + 0x8c66 + 0xfe + + + + .debug_info + 0x8d64 + 0x8d64 + 0x160 + + + + .debug_info + 0x8ec4 + 0x8ec4 + 0x193 + + + + .debug_info + 0x9057 + 0x9057 + 0x193 + + + + .debug_info + 0x91ea + 0x91ea + 0x239 + + + + .debug_info + 0x9423 + 0x9423 + 0x94 + + + .debug_line + 0x0 + 0x0 + 0xbb + + + + .debug_line + 0xbb + 0xbb + 0x4a + + + + .debug_line + 0x105 + 0x105 + 0x7b + + + + .debug_line + 0x180 + 0x180 + 0x4b + + + + .debug_line + 0x1cb + 0x1cb + 0x49 + + + + .debug_line + 0x214 + 0x214 + 0x5d + + + + .debug_line + 0x271 + 0x271 + 0x4d + + + + .debug_line + 0x2be + 0x2be + 0x52 + + + + .debug_line + 0x310 + 0x310 + 0x4f + + + + .debug_line + 0x35f + 0x35f + 0x4d + + + + .debug_line + 0x3ac + 0x3ac + 0x72 + + + + .debug_line + 0x41e + 0x41e + 0x20 + + + + .debug_line + 0x43e + 0x43e + 0x76 + + + + .debug_line + 0x4b4 + 0x4b4 + 0x9b + + + + .debug_line + 0x54f + 0x54f + 0x2b + + + + .debug_line + 0x57a + 0x57a + 0x2b + + + + .debug_line + 0x5a5 + 0x5a5 + 0x2b + + + + .debug_line + 0x5d0 + 0x5d0 + 0x217 + + + + .debug_line + 0x7e7 + 0x7e7 + 0x9a + + + + .debug_line + 0x881 + 0x881 + 0x97 + + + + .debug_line + 0x918 + 0x918 + 0x91 + + + + .debug_line + 0x9a9 + 0x9a9 + 0x116 + + + + .debug_line + 0xabf + 0xabf + 0x9b + + + + .debug_line + 0xb5a + 0xb5a + 0x72 + + + + .debug_line + 0xbcc + 0xbcc + 0x97 + + + + .debug_line + 0xc63 + 0xc63 + 0x4e + + + + .debug_line + 0xcb1 + 0xcb1 + 0x3e + + + + .debug_line + 0xcef + 0xcef + 0x56 + + + + .debug_line + 0xd45 + 0xd45 + 0x40 + + + + .debug_line + 0xd85 + 0xd85 + 0x5e + + + + .debug_line + 0xde3 + 0xde3 + 0x3d + + + + .debug_line + 0xe20 + 0xe20 + 0x4e + + + + .debug_line + 0xe6e + 0xe6e + 0x3e + + + + .debug_line + 0xeac + 0xeac + 0x56 + + + + .debug_line + 0xf02 + 0xf02 + 0x5e + + + + .debug_line + 0xf60 + 0xf60 + 0x42 + + + + .debug_line + 0xfa2 + 0xfa2 + 0x65 + + + + .debug_line + 0x1007 + 0x1007 + 0x42 + + + + .debug_line + 0x1049 + 0x1049 + 0x4b + + + + .debug_line + 0x1094 + 0x1094 + 0x53 + + + + .debug_line + 0x10e7 + 0x10e7 + 0x63 + + + + .debug_line + 0x114a + 0x114a + 0x2a + + + + .debug_line + 0x1174 + 0x1174 + 0x46 + + + + .debug_line + 0x11ba + 0x11ba + 0xbf + + + + .debug_line + 0x1279 + 0x1279 + 0x89 + + + + .debug_line + 0x1302 + 0x1302 + 0x2e + + + + .debug_line + 0x1330 + 0x1330 + 0x9a + + + + .debug_line + 0x13ca + 0x13ca + 0x97 + + + + .debug_line + 0x1461 + 0x1461 + 0x93 + + + + .debug_line + 0x14f4 + 0x14f4 + 0x20 + + + + .debug_line + 0x1514 + 0x1514 + 0x4f + + + + .debug_line + 0x1563 + 0x1563 + 0x34 + + + + .debug_line + 0x1597 + 0x1597 + 0x92 + + + + .debug_line + 0x1629 + 0x1629 + 0x53 + + + + .debug_line + 0x167c + 0x167c + 0x3e + + + + .debug_line + 0x16ba + 0x16ba + 0x3a + + + + .debug_line + 0x16f4 + 0x16f4 + 0x20 + + + + .debug_line + 0x1714 + 0x1714 + 0x50 + + + + .debug_line + 0x1764 + 0x1764 + 0x3a + + + + .debug_line + 0x179e + 0x179e + 0x92 + + + + .debug_line + 0x1830 + 0x1830 + 0x20 + + + + .debug_line + 0x1850 + 0x1850 + 0x92 + + + + .debug_line + 0x18e2 + 0x18e2 + 0x3a + + + + .debug_line + 0x191c + 0x191c + 0x9a + + + + .debug_line + 0x19b6 + 0x19b6 + 0x96 + + + + .debug_line + 0x1a4c + 0x1a4c + 0x20 + + + + .debug_line + 0x1a6c + 0x1a6c + 0x17f + + + + .debug_line + 0x1beb + 0x1beb + 0x75 + + + + .debug_line + 0x1c60 + 0x1c60 + 0x2e + + + + .debug_line + 0x1c8e + 0x1c8e + 0x20 + + + + .debug_line + 0x1cae + 0x1cae + 0x57 + + + + .debug_line + 0x1d05 + 0x1d05 + 0x2e + + + + .debug_line + 0x1d33 + 0x1d33 + 0x2d + + + + .debug_line + 0x1d60 + 0x1d60 + 0xe9 + + + + .debug_line + 0x1e49 + 0x1e49 + 0x20 + + + + .debug_line + 0x1e69 + 0x1e69 + 0x81 + + + + .debug_line + 0x1eea + 0x1eea + 0x20 + + + + .debug_line + 0x1f0a + 0x1f0a + 0xdc + + + + .debug_line + 0x1fe6 + 0x1fe6 + 0x2d + + + + .debug_line + 0x2013 + 0x2013 + 0xf0 + + + + .debug_line + 0x2103 + 0x2103 + 0x49 + + + + .debug_line + 0x214c + 0x214c + 0x4b + + + + .debug_line + 0x2197 + 0x2197 + 0x20 + + + + .debug_line + 0x21b7 + 0x21b7 + 0x74 + + + + .debug_line + 0x222b + 0x222b + 0x3d + + + + .debug_line + 0x2268 + 0x2268 + 0x20 + + + + .debug_line + 0x2288 + 0x2288 + 0x42 + + + + .debug_line + 0x22ca + 0x22ca + 0x2b + + + + .debug_line + 0x22f5 + 0x22f5 + 0x42 + + + + .debug_line + 0x2337 + 0x2337 + 0x53 + + + + .debug_line + 0x238a + 0x238a + 0x53 + + + + .debug_line + 0x23dd + 0x23dd + 0x65 + + + + .debug_frame + 0x0 + 0x0 + 0x3c + + + + .debug_frame + 0x3c + 0x3c + 0x58 + + + + .debug_frame + 0x94 + 0x94 + 0x64 + + + + .debug_frame + 0xf8 + 0xf8 + 0x3c + + + + .debug_frame + 0x134 + 0x134 + 0x3c + + + + .debug_frame + 0x170 + 0x170 + 0x3c + + + + .debug_frame + 0x1ac + 0x1ac + 0x3c + + + + .debug_frame + 0x1e8 + 0x1e8 + 0x3c + + + + .debug_frame + 0x224 + 0x224 + 0x3c + + + + .debug_frame + 0x260 + 0x260 + 0x2bc + + + + .debug_frame + 0x51c + 0x51c + 0x78 + + + + .debug_frame + 0x594 + 0x594 + 0x78 + + + + .debug_frame + 0x60c + 0x60c + 0x34 + + + + .debug_frame + 0x640 + 0x640 + 0x48 + + + + .debug_frame + 0x688 + 0x688 + 0x3c + + + + .debug_frame + 0x6c4 + 0x6c4 + 0x44 + + + + .debug_frame + 0x708 + 0x708 + 0x3c + + + + .debug_frame + 0x744 + 0x744 + 0x3c + + + + .debug_frame + 0x780 + 0x780 + 0x3c + + + + .debug_frame + 0x7bc + 0x7bc + 0x48 + + + + .debug_frame + 0x804 + 0x804 + 0x7c + + + + .debug_frame + 0x880 + 0x880 + 0x4c + + + + .debug_frame + 0x8cc + 0x8cc + 0x48 + + + + .debug_frame + 0x914 + 0x914 + 0x68 + + + + .debug_frame + 0x97c + 0x97c + 0x48 + + + + .debug_frame + 0x9c4 + 0x9c4 + 0x78 + + + + .debug_frame + 0xa3c + 0xa3c + 0x68 + + + + .debug_frame + 0xaa4 + 0xaa4 + 0x40 + + + + .debug_frame + 0xae4 + 0xae4 + 0x40 + + + + .debug_frame + 0xb24 + 0xb24 + 0x4c + + + + .debug_frame + 0xb70 + 0xb70 + 0x3c + + + + .debug_frame + 0xbac + 0xbac + 0x3c + + + + .debug_frame + 0xbe8 + 0xbe8 + 0x44 + + + + .debug_frame + 0xc2c + 0xc2c + 0x44 + + + + .debug_frame + 0xc70 + 0xc70 + 0x44 + + + + .debug_frame + 0xcb4 + 0xcb4 + 0x64 + + + + .debug_abbrev + 0x0 + 0x0 + 0xbf + + + + .debug_abbrev + 0xbf + 0xbf + 0x6c + + + + .debug_abbrev + 0x12b + 0x12b + 0x6c + + + + .debug_abbrev + 0x197 + 0x197 + 0x61 + + + + .debug_abbrev + 0x1f8 + 0x1f8 + 0x50 + + + + .debug_abbrev + 0x248 + 0x248 + 0x5e + + + + .debug_abbrev + 0x2a6 + 0x2a6 + 0x50 + + + + .debug_abbrev + 0x2f6 + 0x2f6 + 0x52 + + + + .debug_abbrev + 0x348 + 0x348 + 0x53 + + + + .debug_abbrev + 0x39b + 0x39b + 0x53 + + + + .debug_abbrev + 0x3ee + 0x3ee + 0x69 + + + + .debug_abbrev + 0x457 + 0x457 + 0x1f + + + + .debug_abbrev + 0x476 + 0x476 + 0x35 + + + + .debug_abbrev + 0x4ab + 0x4ab + 0x6e + + + + .debug_abbrev + 0x519 + 0x519 + 0x27 + + + + .debug_abbrev + 0x540 + 0x540 + 0x27 + + + + .debug_abbrev + 0x567 + 0x567 + 0x27 + + + + .debug_abbrev + 0x58e + 0x58e + 0x8d + + + + .debug_abbrev + 0x61b + 0x61b + 0x24 + + + + .debug_abbrev + 0x63f + 0x63f + 0x24 + + + + .debug_abbrev + 0x663 + 0x663 + 0x3b + + + + .debug_abbrev + 0x69e + 0x69e + 0x8d + + + + .debug_abbrev + 0x72b + 0x72b + 0x5a + + + + .debug_abbrev + 0x785 + 0x785 + 0x8d + + + + .debug_abbrev + 0x812 + 0x812 + 0x24 + + + + .debug_abbrev + 0x836 + 0x836 + 0x3c + + + + .debug_abbrev + 0x872 + 0x872 + 0x3c + + + + .debug_abbrev + 0x8ae + 0x8ae + 0x3c + + + + .debug_abbrev + 0x8ea + 0x8ea + 0x3a + + + + .debug_abbrev + 0x924 + 0x924 + 0x3c + + + + .debug_abbrev + 0x960 + 0x960 + 0x28 + + + + .debug_abbrev + 0x988 + 0x988 + 0x3c + + + + .debug_abbrev + 0x9c4 + 0x9c4 + 0x3c + + + + .debug_abbrev + 0xa00 + 0xa00 + 0x3c + + + + .debug_abbrev + 0xa3c + 0xa3c + 0x3c + + + + .debug_abbrev + 0xa78 + 0xa78 + 0x3c + + + + .debug_abbrev + 0xab4 + 0xab4 + 0x3c + + + + .debug_abbrev + 0xaf0 + 0xaf0 + 0x2e + + + + .debug_abbrev + 0xb1e + 0xb1e + 0x2e + + + + .debug_abbrev + 0xb4c + 0xb4c + 0x2e + + + + .debug_abbrev + 0xb7a + 0xb7a + 0x2e + + + + .debug_abbrev + 0xba8 + 0xba8 + 0x29 + + + + .debug_abbrev + 0xbd1 + 0xbd1 + 0x58 + + + + .debug_abbrev + 0xc29 + 0xc29 + 0xc0 + + + + .debug_abbrev + 0xce9 + 0xce9 + 0x7e + + + + .debug_abbrev + 0xd67 + 0xd67 + 0x24 + + + + .debug_abbrev + 0xd8b + 0xd8b + 0x24 + + + + .debug_abbrev + 0xdaf + 0xdaf + 0x24 + + + + .debug_abbrev + 0xdd3 + 0xdd3 + 0x4b + + + + .debug_abbrev + 0xe1e + 0xe1e + 0x37 + + + + .debug_abbrev + 0xe55 + 0xe55 + 0x6f + + + + .debug_abbrev + 0xec4 + 0xec4 + 0x24 + + + + .debug_abbrev + 0xee8 + 0xee8 + 0x24 + + + + .debug_abbrev + 0xf0c + 0xf0c + 0x7f + + + + .debug_abbrev + 0xf8b + 0xf8b + 0x55 + + + + .debug_abbrev + 0xfe0 + 0xfe0 + 0x53 + + + + .debug_abbrev + 0x1033 + 0x1033 + 0x37 + + + + .debug_abbrev + 0x106a + 0x106a + 0x74 + + + + .debug_abbrev + 0x10de + 0x10de + 0x24 + + + + .debug_abbrev + 0x1102 + 0x1102 + 0x24 + + + + .debug_abbrev + 0x1126 + 0x1126 + 0x37 + + + + .debug_abbrev + 0x115d + 0x115d + 0x7d + + + + .debug_abbrev + 0x11da + 0x11da + 0x24 + + + + .debug_abbrev + 0x11fe + 0x11fe + 0x35 + + + + .debug_abbrev + 0x1233 + 0x1233 + 0x24 + + + + .debug_abbrev + 0x1257 + 0x1257 + 0x25 + + + + .debug_abbrev + 0x127c + 0x127c + 0x8d + + + + .debug_abbrev + 0x1309 + 0x1309 + 0x71 + + + + .debug_abbrev + 0x137a + 0x137a + 0x70 + + + + .debug_abbrev + 0x13ea + 0x13ea + 0x25 + + + + .debug_abbrev + 0x140f + 0x140f + 0x7f + + + + .debug_abbrev + 0x148e + 0x148e + 0xa8 + + + + .debug_abbrev + 0x1536 + 0x1536 + 0x4d + + + + .debug_abbrev + 0x1583 + 0x1583 + 0x7f + + + + .debug_abbrev + 0x1602 + 0x1602 + 0x25 + + + + .debug_abbrev + 0x1627 + 0x1627 + 0x7f + + + + .debug_abbrev + 0x16a6 + 0x16a6 + 0x35 + + + + .debug_abbrev + 0x16db + 0x16db + 0x8d + + + + .debug_abbrev + 0x1768 + 0x1768 + 0x44 + + + + .debug_abbrev + 0x17ac + 0x17ac + 0x7f + + + + .debug_abbrev + 0x182b + 0x182b + 0x71 + + + + .debug_abbrev + 0x189c + 0x189c + 0x7f + + + + .debug_abbrev + 0x191b + 0x191b + 0x25 + + + + .debug_abbrev + 0x1940 + 0x1940 + 0x7f + + + + .debug_abbrev + 0x19bf + 0x19bf + 0x45 + + + + .debug_abbrev + 0x1a04 + 0x1a04 + 0x45 + + + + .debug_abbrev + 0x1a49 + 0x1a49 + 0x71 + + + + .debug_abbrev + 0x1aba + 0x1aba + 0x27 + + + + .debug_abbrev + 0x1ae1 + 0x1ae1 + 0x63 + + + + .debug_abbrev + 0x1b44 + 0x1b44 + 0x7f + + + + .debug_abbrev + 0x1bc3 + 0x1bc3 + 0x7f + + + + .debug_abbrev + 0x1c42 + 0x1c42 + 0x7f + + + + .debug_abbrev + 0x1cc1 + 0x1cc1 + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2a8 + + + + .debug_str + 0x2a8 + 0x2a8 + 0xfd + + + + .debug_str + 0x3a5 + 0x3a5 + 0x32d + + + + .debug_str + 0x6d2 + 0x6d2 + 0x161 + + + + .debug_str + 0x833 + 0x833 + 0x14f + + + + .debug_str + 0x982 + 0x982 + 0x146 + + + + .debug_str + 0xac8 + 0xac8 + 0xed + + + + .debug_str + 0xbb5 + 0xbb5 + 0x14c + + + + .debug_str + 0xd01 + 0xd01 + 0x147 + + + + .debug_str + 0xe48 + 0xe48 + 0x197 + + + + .debug_str + 0xfdf + 0xfdf + 0x105 + + + + .debug_str + 0x10e4 + 0x10e4 + 0x140 + + + + .debug_str + 0x1224 + 0x1224 + 0x10b + + + + .debug_str + 0x132f + 0x132f + 0x140 + + + + .debug_str + 0x146f + 0x146f + 0x118 + + + + .debug_str + 0x1587 + 0x1587 + 0x16b + + + + .debug_str + 0x16f2 + 0x16f2 + 0x158 + + + + .debug_str + 0x184a + 0x184a + 0xf7 + + + + .debug_str + 0x1941 + 0x1941 + 0x10d + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x20 + + + + .debug_aranges + 0xc0 + 0xc0 + 0x20 + + + + .debug_aranges + 0xe0 + 0xe0 + 0x20 + + + + .debug_aranges + 0x100 + 0x100 + 0x20 + + + + .debug_aranges + 0x120 + 0x120 + 0x110 + + + + .debug_aranges + 0x230 + 0x230 + 0x28 + + + + .debug_aranges + 0x258 + 0x258 + 0x28 + + + + .debug_aranges + 0x280 + 0x280 + 0x20 + + + + .debug_aranges + 0x2a0 + 0x2a0 + 0x20 + + + + .debug_aranges + 0x2c0 + 0x2c0 + 0x20 + + + + .debug_aranges + 0x2e0 + 0x2e0 + 0x20 + + + + .debug_aranges + 0x300 + 0x300 + 0x20 + + + + .debug_aranges + 0x320 + 0x320 + 0x20 + + + + .debug_aranges + 0x340 + 0x340 + 0x20 + + + + .debug_aranges + 0x360 + 0x360 + 0x20 + + + + .debug_aranges + 0x380 + 0x380 + 0x20 + + + + .debug_aranges + 0x3a0 + 0x3a0 + 0x20 + + + + .debug_aranges + 0x3c0 + 0x3c0 + 0x20 + + + + .debug_aranges + 0x3e0 + 0x3e0 + 0x20 + + + + .debug_aranges + 0x400 + 0x400 + 0x20 + + + + .debug_aranges + 0x420 + 0x420 + 0x20 + + + + .debug_aranges + 0x440 + 0x440 + 0x20 + + + + .debug_aranges + 0x460 + 0x460 + 0x20 + + + + .debug_aranges + 0x480 + 0x480 + 0x20 + + + + .debug_aranges + 0x4a0 + 0x4a0 + 0x20 + + + + .debug_aranges + 0x4c0 + 0x4c0 + 0x20 + + + + .debug_aranges + 0x4e0 + 0x4e0 + 0x20 + + + + .debug_aranges + 0x500 + 0x500 + 0x20 + + + + .debug_aranges + 0x520 + 0x520 + 0x20 + + + + .debug_aranges + 0x540 + 0x540 + 0x20 + + + + .debug_aranges + 0x560 + 0x560 + 0x20 + + + + .debug_aranges + 0x580 + 0x580 + 0x28 + + + + .debug_aranges + 0x5a8 + 0x5a8 + 0x20 + + + + .debug_aranges + 0x5c8 + 0x5c8 + 0x20 + + + + .debug_aranges + 0x5e8 + 0x5e8 + 0x20 + + + + .debug_aranges + 0x608 + 0x608 + 0x20 + + + + .debug_aranges + 0x628 + 0x628 + 0x28 + + + + .debug_aranges + 0x650 + 0x650 + 0x20 + + + + .debug_aranges + 0x670 + 0x670 + 0x20 + + + + .debug_aranges + 0x690 + 0x690 + 0x20 + + + + .debug_aranges + 0x6b0 + 0x6b0 + 0x20 + + + + .debug_aranges + 0x6d0 + 0x6d0 + 0x20 + + + + .debug_aranges + 0x6f0 + 0x6f0 + 0x20 + + + + .debug_aranges + 0x710 + 0x710 + 0x20 + + + + .debug_aranges + 0x730 + 0x730 + 0x20 + + + + .debug_aranges + 0x750 + 0x750 + 0x20 + + + + .debug_aranges + 0x770 + 0x770 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x57 + + + + .debug_pubnames + 0x57 + 0x57 + 0x28 + + + + .debug_pubnames + 0x7f + 0x7f + 0x1f + + + + .debug_pubnames + 0x9e + 0x9e + 0x21 + + + + .debug_pubnames + 0xbf + 0xbf + 0x23 + + + + .debug_pubnames + 0xe2 + 0xe2 + 0x20 + + + + .debug_pubnames + 0x102 + 0x102 + 0x21 + + + + .debug_pubnames + 0x123 + 0x123 + 0x1b + + + + .debug_pubnames + 0x13e + 0x13e + 0x20 + + + + .debug_pubnames + 0x15e + 0x15e + 0x1f + + + + .debug_pubnames + 0x17d + 0x17d + 0x19 + + + + .debug_pubnames + 0x196 + 0x196 + 0x1b + + + + .debug_pubnames + 0x1b1 + 0x1b1 + 0x1b + + + + .debug_pubnames + 0x1cc + 0x1cc + 0x1a + + + + .debug_pubnames + 0x1e6 + 0x1e6 + 0x1b + + + + .debug_pubnames + 0x201 + 0x201 + 0x1d + + + + .debug_pubnames + 0x21e + 0x21e + 0x24 + + + + .debug_pubnames + 0x242 + 0x242 + 0x24 + + + + .debug_pubnames + 0x266 + 0x266 + 0x27 + + + + .debug_pubnames + 0x28d + 0x28d + 0x2b + + + + .debug_pubnames + 0x2b8 + 0x2b8 + 0x24 + + + + .debug_pubnames + 0x2dc + 0x2dc + 0x2b + + + + .debug_pubnames + 0x307 + 0x307 + 0x24 + + + + .debug_pubnames + 0x32b + 0x32b + 0x24 + + + + .debug_pubnames + 0x34f + 0x34f + 0x27 + + + + .debug_pubnames + 0x376 + 0x376 + 0x24 + + + + .debug_pubnames + 0x39a + 0x39a + 0x24 + + + + .debug_pubnames + 0x3be + 0x3be + 0x27 + + + + .debug_pubnames + 0x3e5 + 0x3e5 + 0x27 + + + + .debug_pubnames + 0x40c + 0x40c + 0x27 + + + + .debug_pubnames + 0x433 + 0x433 + 0x29 + + + + .debug_pubnames + 0x45c + 0x45c + 0x28 + + + + .debug_pubnames + 0x484 + 0x484 + 0x1d + + + + .debug_pubnames + 0x4a1 + 0x4a1 + 0x26 + + + + .debug_pubnames + 0x4c7 + 0x4c7 + 0x3e + + + + .debug_pubnames + 0x505 + 0x505 + 0x2e + + + + .debug_pubnames + 0x533 + 0x533 + 0x25 + + + + .debug_pubnames + 0x558 + 0x558 + 0x27 + + + + .debug_pubnames + 0x57f + 0x57f + 0x29 + + + + .debug_pubnames + 0x5a8 + 0x5a8 + 0x2b + + + + .debug_pubnames + 0x5d3 + 0x5d3 + 0x2b + + + + .debug_pubnames + 0x5fe + 0x5fe + 0x24 + + + + .debug_pubnames + 0x622 + 0x622 + 0x24 + + + + .debug_pubnames + 0x646 + 0x646 + 0x25 + + + + .debug_pubnames + 0x66b + 0x66b + 0x24 + + + + .debug_pubnames + 0x68f + 0x68f + 0x26 + + + + .debug_pubnames + 0x6b5 + 0x6b5 + 0x23 + + + + .debug_pubnames + 0x6d8 + 0x6d8 + 0x24 + + + + .debug_pubnames + 0x6fc + 0x6fc + 0x24 + + + + .debug_pubnames + 0x720 + 0x720 + 0x24 + + + + .debug_pubnames + 0x744 + 0x744 + 0x25 + + + + .debug_pubnames + 0x769 + 0x769 + 0x1c + + + + .debug_pubnames + 0x785 + 0x785 + 0x1d + + + + .debug_pubnames + 0x7a2 + 0x7a2 + 0x1c + + + + .debug_pubnames + 0x7be + 0x7be + 0x1f + + + + .debug_pubnames + 0x7dd + 0x7dd + 0x25 + + + + .debug_pubnames + 0x802 + 0x802 + 0x25 + + + + .debug_pubnames + 0x827 + 0x827 + 0x23 + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xed + + + + .debug_pubtypes + 0x349 + 0x349 + 0x320 + + + + .debug_pubtypes + 0x669 + 0x669 + 0x3e + + + + .debug_pubtypes + 0x6a7 + 0x6a7 + 0x2b + + + + .debug_pubtypes + 0x6d2 + 0x6d2 + 0x1e + + + + .debug_pubtypes + 0x6f0 + 0x6f0 + 0x32 + + + + .debug_pubtypes + 0x722 + 0x722 + 0x21 + + + + .debug_pubtypes + 0x743 + 0x743 + 0x1f + + + + .debug_pubtypes + 0x762 + 0x762 + 0x50 + + + + .debug_pubtypes + 0x7b2 + 0x7b2 + 0x48 + + + + .debug_pubtypes + 0x7fa + 0x7fa + 0x1d + + + + .debug_pubtypes + 0x817 + 0x817 + 0x48 + + + + .debug_pubtypes + 0x85f + 0x85f + 0x1d + + + + .debug_pubtypes + 0x87c + 0x87c + 0x5d + + + + .debug_pubtypes + 0x8d9 + 0x8d9 + 0x48 + + + + .debug_pubtypes + 0x921 + 0x921 + 0x35 + + + + .debug_pubtypes + 0x956 + 0x956 + 0x30 + + + + .debug_pubtypes + 0x986 + 0x986 + 0x4c + + + + + + .bss + 0x1100 + 0x1c + + + + + + + + + + + + .data + 0x111c + 0x2 + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x30b0 + 0x50 + + + + + + + .text + 0x3100 + 0x3100 + 0x33a0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x64d0 + 0x64d0 + 0x8 + + + + + + .cinit + 0x64d8 + 0x64d8 + 0x18 + + + + + + + + + .const + 0x64a0 + 0x64a0 + 0x30 + + + + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x94b7 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x2442 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0xd18 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x1cd0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x1a4e + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x790 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x84a + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0x9d2 + + + + + + + + + + + + + + + + + + + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x1100 + 0x1e + 0x6 + + + + + + + SEGMENT_1 + 0x30b0 + 0x50 + 0x6 + + + + + + SEGMENT_2 + 0x3100 + 0x3100 + 0x33f0 + 0x5 + + + + + + + + + SEGMENT_3 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_4 + 0xffdc + 0xffdc + 0x24 + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0x6e + 0x1f92 + RWIX + + + 0x1100 + 0x1c + + + + 0x111c + 0x2 + + + + 0x111e + 0x1f92 + + + 0x30b0 + 0x50 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0x33f0 + 0x9ace + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0x33a0 + + + + 0x64a0 + 0x30 + + + + 0x64d0 + 0x8 + + + + 0x64d8 + 0x18 + + + + 0x64f0 + 0x9ace + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x0 + 0x10000 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x64d8 + 0x6 + 0x111c + 0x2 + copy + + + .bss + 0x64e4 + 0x4 + 0x1100 + 0x1c + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __TI_CINIT_Base + 0x64e8 + + + __TI_CINIT_Limit + 0x64f0 + + + __TI_Handler_Table_Base + 0x64de + + + __TI_Handler_Table_Limit + 0x64e4 + + + __STACK_SIZE + 0x50 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + ADCXval + 0x1100 + + + ADCYval + 0x1104 + + + ADCZval + 0x1108 + + + Xper + 0x1110 + + + Yper + 0x1114 + + + Zper + 0x1118 + + + M + 0x110c + + + __TI_int22 + 0xffec + + + + __TI_int23 + 0xffee + + + + TimerA_setup + 0x63e6 + + + + __TI_int17 + 0xffe2 + + + + main + 0x6376 + + + + Port2_ISR + 0x63d2 + + + + UART_setup + 0x6350 + + + + sendData + 0x53c8 + + + + ADC_setup + 0x5ede + + + + UART_putCharacter + 0x6488 + + + + timerA_isr + 0x6396 + + + + ADC12ISR + 0x632a + + + + pow + 0x3100 + + + + powl + 0x3100 + + + + sqrtl + 0x4e10 + + + + sqrt + 0x4e10 + + + + scalbn + 0x59b6 + + + + scalbnl + 0x59b6 + + + + ldexpl + 0x59b6 + + + + ldexp + 0x59b6 + + + + __mspabi_srai + 0x62a8 + + + + __mspabi_srai_8 + 0x62c2 + + + + __mspabi_srai_9 + 0x62c0 + + + + __mspabi_srai_6 + 0x62c6 + + + + __mspabi_srai_7 + 0x62c4 + + + + __mspabi_srai_4 + 0x62ca + + + + __mspabi_srai_5 + 0x62c8 + + + + __mspabi_srai_2 + 0x62ce + + + + __mspabi_srai_3 + 0x62cc + + + + __mspabi_srai_1 + 0x62d0 + + + + __mspabi_srai_15 + 0x62b4 + + + + __mspabi_srai_14 + 0x62b6 + + + + __mspabi_srai_13 + 0x62b8 + + + + __mspabi_srai_12 + 0x62ba + + + + __mspabi_srai_11 + 0x62bc + + + + __mspabi_srai_10 + 0x62be + + + + __mspabi_sral + 0x6458 + + + + __mspabi_sral_15 + 0x61a2 + + + + __mspabi_sral_14 + 0x61a6 + + + + __mspabi_sral_13 + 0x61aa + + + + __mspabi_sral_12 + 0x61ae + + + + __mspabi_sral_11 + 0x61b2 + + + + __mspabi_sral_10 + 0x61b6 + + + + __mspabi_sral_8 + 0x61be + + + + __mspabi_sral_9 + 0x61ba + + + + __mspabi_sral_6 + 0x61c6 + + + + __mspabi_sral_7 + 0x61c2 + + + + __mspabi_sral_4 + 0x61ce + + + + __mspabi_sral_5 + 0x61ca + + + + __mspabi_sral_2 + 0x61d6 + + + + __mspabi_sral_3 + 0x61d2 + + + + __mspabi_sral_1 + 0x61da + + + + __mspabi_func_epilog_2 + 0x6482 + + + + __mspabi_func_epilog_3 + 0x6480 + + + + __mspabi_func_epilog_1 + 0x6484 + + + + __mspabi_func_epilog_6 + 0x647a + + + + __mspabi_func_epilog_7 + 0x6478 + + + + __mspabi_func_epilog_4 + 0x647e + + + + __mspabi_func_epilog_5 + 0x647c + + + + __mspabi_cmpf + 0x60ce + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int20 + 0xffe8 + + + + __TI_int21 + 0xffea + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int26 + 0xfff4 + + + + __TI_int27 + 0xfff6 + + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x64d0 + + + + __mspabi_slli + 0x62d4 + + + + __mspabi_slli_9 + 0x62ec + + + + __mspabi_slli_8 + 0x62ee + + + + __mspabi_slli_7 + 0x62f0 + + + + __mspabi_slli_6 + 0x62f2 + + + + __mspabi_slli_5 + 0x62f4 + + + + __mspabi_slli_4 + 0x62f6 + + + + __mspabi_slli_3 + 0x62f8 + + + + __mspabi_slli_2 + 0x62fa + + + + __mspabi_slli_1 + 0x62fc + + + + __mspabi_slli_15 + 0x62e0 + + + + __mspabi_slli_14 + 0x62e2 + + + + __mspabi_slli_13 + 0x62e4 + + + + __mspabi_slli_12 + 0x62e6 + + + + __mspabi_slli_11 + 0x62e8 + + + + __mspabi_slli_10 + 0x62ea + + + + __mspabi_slll_9 + 0x61f8 + + + + __mspabi_slll_8 + 0x61fc + + + + __mspabi_slll_7 + 0x6200 + + + + __mspabi_slll_6 + 0x6204 + + + + __mspabi_slll_5 + 0x6208 + + + + __mspabi_slll_4 + 0x620c + + + + __mspabi_slll_3 + 0x6210 + + + + __mspabi_slll_2 + 0x6214 + + + + __mspabi_slll_1 + 0x6218 + + + + __mspabi_slll + 0x6468 + + + + __mspabi_slll_15 + 0x61e0 + + + + __mspabi_slll_14 + 0x61e4 + + + + __mspabi_slll_13 + 0x61e8 + + + + __mspabi_slll_12 + 0x61ec + + + + __mspabi_slll_11 + 0x61f0 + + + + __mspabi_slll_10 + 0x61f4 + + + + __mspabi_srli_8 + 0x6018 + + + + __mspabi_srli_9 + 0x6014 + + + + __mspabi_srli_6 + 0x6020 + + + + __mspabi_srli_7 + 0x601c + + + + __mspabi_srli_4 + 0x6028 + + + + __mspabi_srli_5 + 0x6024 + + + + __mspabi_srli_2 + 0x6030 + + + + __mspabi_srli_3 + 0x602c + + + + __mspabi_srli_1 + 0x6034 + + + + __mspabi_srli + 0x5fee + + + + __mspabi_srli_15 + 0x5ffc + + + + __mspabi_srli_14 + 0x6000 + + + + __mspabi_srli_13 + 0x6004 + + + + __mspabi_srli_12 + 0x6008 + + + + __mspabi_srli_11 + 0x600c + + + + __mspabi_srli_10 + 0x6010 + + + + __mspabi_srll_8 + 0x5f64 + + + + __mspabi_srll_9 + 0x5f5e + + + + __mspabi_srll_6 + 0x5f70 + + + + __mspabi_srll_7 + 0x5f6a + + + + __mspabi_srll_4 + 0x5f7c + + + + __mspabi_srll_5 + 0x5f76 + + + + __mspabi_srll_2 + 0x5f88 + + + + __mspabi_srll_3 + 0x5f82 + + + + __mspabi_srll_1 + 0x5f8e + + + + __mspabi_srll_15 + 0x5f3a + + + + __mspabi_srll_14 + 0x5f40 + + + + __mspabi_srll_13 + 0x5f46 + + + + __mspabi_srll_12 + 0x5f4c + + + + __mspabi_srll_11 + 0x5f52 + + + + __mspabi_srll_10 + 0x5f58 + + + + __mspabi_srll + 0x6434 + + + + __mspabi_mpyi_hw + 0x640e + + + + __mspabi_mpyl_hw + 0x627a + + + + __mspabi_mpyull_hw + 0x603a + + + + __mspabi_mpyll_hw + 0x5d5c + + + + _stack + 0x30b0 + + + + _c_int00_noargs + 0x63b6 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x5f96 + + + + __TI_zero_init_nomemset + 0x63fa + + + + __mspabi_srlll + 0x6118 + + + + _system_pre_init + 0x649a + + + + _system_post_cinit + 0x649e + + + + __TI_decompress_none + 0x6422 + + + + __TI_decompress_lzss + 0x5df2 + + + + __mspabi_addd + 0x4262 + + + + __mspabi_cmpd + 0x5b20 + + + + __mspabi_cvtfd + 0x5e6e + + + + __mspabi_divd + 0x560c + + + + __mspabi_fltlid + 0x5cb0 + + + + __TI_frcdivd + 0x4998 + + + + __mspabi_mpyd + 0x5108 + + + + __mspabi_negd + 0x6300 + + + + __mspabi_subd + 0x621e + + + + __mspabi_cvtdf + 0x5bfc + + + + C$$EXIT + 0x6494 + + + + abort + 0x6494 + + + + memcpy + 0x6446 + + + + errno + 0x111c + + + + copysign + 0x624c + + + + copysignl + 0x624c + + + + __mspabi_srall + 0x6084 + + + + __mspabi_sllll + 0x615e + + + + __TI_frcmpyd + 0x5844 + + + + Link successful +
diff --git a/CPE325/Lab10_Part2/Debug/ccsObjs.opt b/CPE325/Lab10_Part2/Debug/ccsObjs.opt new file mode 100644 index 0000000..a973e24 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./Lab10_Part2.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab10_Part2/Debug/main.d b/CPE325/Lab10_Part2/Debug/main.d new file mode 100644 index 0000000..de58a93 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/main.d @@ -0,0 +1,42 @@ +# FIXED + +main.obj: ../main.c +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430xG46x.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/math.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_defs.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_limits.h + +../main.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430xG46x.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/math.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_defs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_limits.h: + diff --git a/CPE325/Lab10_Part2/Debug/makefile b/CPE325/Lab10_Part2/Debug/makefile new file mode 100644 index 0000000..f71dcad --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/makefile @@ -0,0 +1,166 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./Lab10_Part2.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab10_Part2.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab10_Part2.out" \ + +BIN_OUTPUTS += \ +Lab10_Part2.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab10_Part2.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab10_Part2.out" + +# Tool invocations +Lab10_Part2.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"Lab10_Part2.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab10_Part2_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "Lab10_Part2.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab10_Part2.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab10_Part2.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "Lab10_Part2.obj" + -$(RM) "Lab10_Part2.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab10_Part2/Debug/objects.mk b/CPE325/Lab10_Part2/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab10_Part2/Debug/sources.mk b/CPE325/Lab10_Part2/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab10_Part2/Debug/subdir_rules.mk b/CPE325/Lab10_Part2/Debug/subdir_rules.mk new file mode 100644 index 0000000..e995db8 --- /dev/null +++ b/CPE325/Lab10_Part2/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab10_Part2" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --preproc_with_compile --preproc_dependency="$(basename $( +#include + +volatile long int ADCXval, ADCYval, ADCZval; +volatile float Xper, Yper, Zper, M; + +#define SW1_PRESSED ((BIT0&P1IFG)==0) // SW1 Status + +void TimerA_setup(void) { + TACCR0 = 3277; // 3277 / 32768 Hz = 0.1s + TACTL = TASSEL_1 + MC_1; // ACLK, up mode + TACCTL0 = CCIE; // Enabled interrupt +} + +void ADC_setup(void) { + int i =0; + _EINT(); // Enable interrupts + P1IE |= BIT1; // P1.1 interrupt enabled + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + P2DIR |= BIT2; // Set P2 output direction + P2OUT &= ~BIT2; // Turn Off LED2 + P6DIR &= ~BIT3 + ~BIT5 + ~BIT7; // Configure P6.3, P6.5, and P6.7 as input pins + P6SEL |= BIT3 + BIT5 + BIT7; // Configure P6.3, P6.5, and P6.7 as analog pins +// P6DIR &= ~BIT2 + ~BIT4 + ~BIT6; // Configure P6.3, P6.5, and P6.7 as input pins +// P6SEL |= BIT2+ BIT4 + BIT6; // Configure P6.3, P6.5, and P6.7 as analog pins + ADC12CTL0 = ADC12ON + SHT0_6 + MSC; // configure ADC converter + ADC12CTL1 = SHP + CONSEQ_1; // Use sample timer, single sequence + ADC12MCTL0 = INCH_3; // ADC A3 pin - Stick Z-axis + ADC12MCTL1 = INCH_5; // ADC A5 pin - Stick Y-axis + ADC12MCTL2 = INCH_7 + EOS; // ADC A7 pin - Stick X-axis +// ADC12MCTL0 = INCH_2; // ADC A3 pin - Z-axis +// ADC12MCTL1 = INCH_4; // ADC A5 pin - Y-axis +// ADC12MCTL2 = INCH_6 + EOS; // ADC A7 pin - X-axis + // EOS - End of Sequence for Conversions + ADC12IE |= 0x03; // Enable ADC12IFG.1 + for (i = 0; i < 0x3600; i++); // Delay for reference start-up + ADC12CTL0 |= ENC; // Enable conversions +} + +void UART_putCharacter(char c) { + while(!(IFG2 & UCA0TXIFG)); // Wait for previous character to be sent + UCA0TXBUF = c; // Send byte to the buffer for transmitting +} + +void UART_setup(void) { + P2SEL |= BIT4 + BIT5; // Set up Rx and Tx bits + UCA0CTL0 = 0; // Set up default RS-232 protocol + UCA0CTL1 |= BIT0 + UCSSEL_2; // Disable device, set clock + UCA0BR0 = 27; // 1048576 Hz / 38400 + UCA0BR1 = 0; + UCA0MCTL = 0x94; + UCA0CTL1 &= ~BIT0; // Start UART device +} + +void sendData(void) { + int i; + Xper = (((ADCXval*(3.0/4095)) - 1.5)/0.3); // Calculate percentage outputs + Yper = (((ADCYval*(3.0/4095)) - 1.5)/0.3); + Zper = (((ADCZval*(3.0/4095)) - 1.5)/0.3); + M = sqrt(pow(Xper,2)+pow(Yper,2)+pow(Zper,2)); + if(M > 2){ + P2OUT |= BIT2; //Turn LED1 on + } + // Use character pointers to send one byte at a time + char *xpointer=(char *)&Xper; + char *ypointer=(char *)&Yper; + char *zpointer=(char *)&Zper; + + UART_putCharacter(0x55); // Send header + for(i = 0; i < 4; i++) { // Send x percentage - one byte at a time + UART_putCharacter(xpointer[i]); + } + for(i = 0; i < 4; i++) { // Send y percentage - one byte at a time + UART_putCharacter(ypointer[i]); + } + for(i = 0; i < 4; i++) { // Send y percentage - one byte at a time + UART_putCharacter(zpointer[i]); + } +} + +void main(void) { + WDTCTL = WDTPW +WDTHOLD; // Stop WDT + TimerA_setup(); // Setup timer to send ADC data + ADC_setup(); // Setup ADC + UART_setup(); // Setup UART for RS-232 + _EINT(); + while (1){ + ADC12CTL0 |= ADC12SC; // Start conversions + __bis_SR_register(LPM0_bits + GIE); // Enter LPM0 + } +} + +#pragma vector = ADC12_VECTOR +__interrupt void ADC12ISR(void) { + ADCXval = ADC12MEM0; // Move results, IFG is cleared + ADCYval = ADC12MEM1; + ADCZval = ADC12MEM2; + __bic_SR_register_on_exit(LPM0_bits); // Exit LPM0 +} + +#pragma vector = TIMERA0_VECTOR +__interrupt void timerA_isr() { + sendData(); // Send data to serial app + __bic_SR_register_on_exit(LPM0_bits); // Exit LPM0 +} + +// Port 1 interrupt service routine +#pragma vector = PORT2_VECTOR +__interrupt void Port2_ISR (void) { + if(!SW1_PRESSED){ + P2OUT &= ~BIT2; + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + } +} diff --git a/CPE325/Lab10_Part2/lnk_msp430fg4618.cmd b/CPE325/Lab10_Part2/lnk_msp430fg4618.cmd new file mode 100755 index 0000000..557b664 --- /dev/null +++ b/CPE325/Lab10_Part2/lnk_msp430fg4618.cmd @@ -0,0 +1,184 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430fg4618.cmd - LINKER COMMAND FILE FOR LINKING MSP430FG4618 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab10_Part2/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab10_Part2/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab10_Part2/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab10_Part2/targetConfigs/readme.txt b/CPE325/Lab10_Part2/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab10_Part2/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab10_Part3/Debug/Lab10_Part3.d b/CPE325/Lab10_Part3/Debug/Lab10_Part3.d new file mode 100644 index 0000000..dfab371 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/Lab10_Part3.d @@ -0,0 +1,27 @@ +# FIXED + +Lab10_Part3.obj: ../Lab10_Part3.c +Lab10_Part3.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +Lab10_Part3.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h +Lab10_Part3.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +Lab10_Part3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +Lab10_Part3.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +Lab10_Part3.obj: C:/CPE325_Workspace/Lab10_Part3/sawtooth.h +Lab10_Part3.obj: C:/CPE325_Workspace/Lab10_Part3/sine_lut_512.h + +../Lab10_Part3.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/CPE325_Workspace/Lab10_Part3/sawtooth.h: + +C:/CPE325_Workspace/Lab10_Part3/sine_lut_512.h: + diff --git a/CPE325/Lab10_Part3/Debug/Lab10_Part3.map b/CPE325/Lab10_Part3/Debug/Lab10_Part3.map new file mode 100644 index 0000000..4ad3e85 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/Lab10_Part3.map @@ -0,0 +1,810 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Thu Dec 2 20:38:02 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00003100 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 00000854 000017ac RWIX + FLASH 00003100 0000cebe 00000802 0000c6bc RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 00000184 0000fe7c RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00001100 00000804 UNINITIALIZED + 00001100 00000402 Lab10_Part3.obj (.data:sawtooth) + 00001502 00000402 Lab10_Part3.obj (.data:sine) + +.stack 0 000030b0 00000050 UNINITIALIZED + 000030b0 00000004 rts430x_lc_rd_eabi.lib : boot.c.obj (.stack) + 000030b4 0000004c --HOLE-- + +.text:_isr +* 0 00003100 0000002c + 00003100 0000001c rts430x_lc_rd_eabi.lib : boot.c.obj (.text:_isr:_c_int00_noargs) + 0000311c 00000008 Lab10_Part3.obj (.text:_isr:TA0_ISR) + 00003124 00000008 rts430x_lc_rd_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 0000312c 000007d6 + 0000312c 000007c5 (.cinit..data.load) [load image, compression = lzss] + 000038f1 00000001 --HOLE-- [fill = 0] + 000038f2 00000008 (__TI_handler_table) + 000038fa 00000008 (__TI_cinit_table) + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430x_lc_rd_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430x_lc_rd_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430x_lc_rd_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430x_lc_rd_eabi.lib : int17.asm.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430x_lc_rd_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430x_lc_rd_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430x_lc_rd_eabi.lib : int20.asm.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430x_lc_rd_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 Lab10_Part3.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 rts430x_lc_rd_eabi.lib : int23.asm.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430x_lc_rd_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430x_lc_rd_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 rts430x_lc_rd_eabi.lib : int26.asm.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430x_lc_rd_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 rts430x_lc_rd_eabi.lib : int28.asm.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 rts430x_lc_rd_eabi.lib : int29.asm.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430x_lc_rd_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430x_lc_rd_eabi.lib : boot.c.obj (.reset) + +.text 0 00010000 00000184 + 00010000 00000076 rts430x_lc_rd_eabi.lib : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00010076 0000005e Lab10_Part3.obj (.text:main) + 000100d4 00000054 rts430x_lc_rd_eabi.lib : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00010128 00000016 Lab10_Part3.obj (.text:DAC_setup) + 0001013e 00000014 Lab10_Part3.obj (.text:TimerA_setup) + 00010152 00000014 rts430x_lc_rd_eabi.lib : memcpy.c.obj (.text:memcpy) + 00010166 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00010178 00000006 : exit.c.obj (.text:abort) + 0001017e 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00010182 00000002 : startup.c.obj (.text:_system_post_cinit) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + Lab10_Part3.obj 144 2 2052 + +--+----------------------------+------+---------+---------+ + Total: 144 2 2052 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430x_lc_rd_eabi.lib + copy_decompress_lzss.c.obj 118 0 0 + autoinit.c.obj 84 0 0 + boot.c.obj 28 2 0 + memcpy.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int17.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int20.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int23.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int26.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int28.asm.obj 0 2 0 + int29.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+------+---------+---------+ + Total: 288 34 0 + + Stack: 0 0 80 + Linker Generated: 0 2005 0 + +--+----------------------------+------+---------+---------+ + Grand Total: 432 2041 2132 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 000038fa records: 1, size/record: 8, table size: 8 + .data: load addr=0000312c, load size=000007c5 bytes, run addr=00001100, run size=00000804 bytes, compression=lzss + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 000038f2 records: 2, size/record: 4, table size: 8 + index: 0, handler: __TI_decompress_lzss + index: 1, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +00010178 C$$EXIT +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +00010128 DAC_setup +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +0000311c TA0_ISR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +0001013e TimerA_setup +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00000120 WDTCTL +00003100 __STACK_END +00000050 __STACK_SIZE +000038fa __TI_CINIT_Base +00003902 __TI_CINIT_Limit +000038f2 __TI_Handler_Table_Base +000038fa __TI_Handler_Table_Limit +00003124 __TI_ISR_TRAP +000100d4 __TI_auto_init_nobinit_nopinit_hold_wdt +00010000 __TI_decompress_lzss +00010166 __TI_decompress_none +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ +00003100 _c_int00_noargs +0000fffe _reset_vector +000030b0 _stack +00010182 _system_post_cinit +0001017e _system_pre_init +00010178 abort +00010076 main +00010152 memcpy +00001100 sawtooth +00001502 sine + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000050 __STACK_SIZE +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +00001100 sawtooth +00001502 sine +000030b0 _stack +00003100 __STACK_END +00003100 _c_int00_noargs +0000311c TA0_ISR +00003124 __TI_ISR_TRAP +000038f2 __TI_Handler_Table_Base +000038fa __TI_CINIT_Base +000038fa __TI_Handler_Table_Limit +00003902 __TI_CINIT_Limit +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +0000fffe _reset_vector +00010000 __TI_decompress_lzss +00010076 main +000100d4 __TI_auto_init_nobinit_nopinit_hold_wdt +00010128 DAC_setup +0001013e TimerA_setup +00010152 memcpy +00010166 __TI_decompress_none +00010178 C$$EXIT +00010178 abort +0001017e _system_pre_init +00010182 _system_post_cinit +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[291 symbols] diff --git a/CPE325/Lab10_Part3/Debug/Lab10_Part3.obj b/CPE325/Lab10_Part3/Debug/Lab10_Part3.obj new file mode 100644 index 0000000..08e43fd Binary files /dev/null and b/CPE325/Lab10_Part3/Debug/Lab10_Part3.obj differ diff --git a/CPE325/Lab10_Part3/Debug/Lab10_Part3.out b/CPE325/Lab10_Part3/Debug/Lab10_Part3.out new file mode 100644 index 0000000..9e48bfa Binary files /dev/null and b/CPE325/Lab10_Part3/Debug/Lab10_Part3.out differ diff --git a/CPE325/Lab10_Part3/Debug/Lab10_Part3_linkInfo.xml b/CPE325/Lab10_Part3/Debug/Lab10_Part3_linkInfo.xml new file mode 100644 index 0000000..b844b1f --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/Lab10_Part3_linkInfo.xml @@ -0,0 +1,4154 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61a9830a + 0x0 + Lab10_Part3.out + + _c_int00_noargs +
0x3100
+
+ + + .\ + object + Lab10_Part3.obj + Lab10_Part3.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int17.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int20.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int23.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int26.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int28.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int29.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit_gvars.c.obj + + + + + .data:sawtooth + 0x1100 + 0x1100 + 0x402 + + + + .data:sine + 0x1502 + 0x1502 + 0x402 + + + + .stack + true + 0x30b0 + 0x4 + + + + .stack + true + 0x30b0 + 0x0 + + + .text:decompress:lzss:__TI_decompress_lzss + 0x10000 + 0x10000 + 0x76 + + + + .text:main + 0x10076 + 0x10076 + 0x5e + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x100d4 + 0x100d4 + 0x54 + + + + .text:DAC_setup + 0x10128 + 0x10128 + 0x16 + + + + .text:TimerA_setup + 0x1013e + 0x1013e + 0x14 + + + + .text:memcpy + 0x10152 + 0x10152 + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x10166 + 0x10166 + 0x12 + + + + .text:abort + 0x10178 + 0x10178 + 0x6 + + + + .text:_system_pre_init + 0x1017e + 0x1017e + 0x4 + + + + .text:_system_post_cinit + 0x10182 + 0x10182 + 0x2 + + + + .text:_isr:_c_int00_noargs + 0x3100 + 0x3100 + 0x1c + + + + .text:_isr:TA0_ISR + 0x311c + 0x311c + 0x8 + + + + .text:_isr:__TI_ISR_TRAP + 0x3124 + 0x3124 + 0x8 + + + + .cinit..data.load + 0x312c + 0x312c + 0x7c5 + + + __TI_handler_table + 0x38f2 + 0x38f2 + 0x8 + + + __TI_cinit_table + 0x38fa + 0x38fa + 0x8 + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x302 + + + + .debug_info + 0x302 + 0x302 + 0xcc + + + + .debug_info + 0x3ce + 0x3ce + 0xc4 + + + + .debug_info + 0x492 + 0x492 + 0x10c + + + + .debug_info + 0x59e + 0x59e + 0x111 + + + + .debug_info + 0x6af + 0x6af + 0x12f + + + + .debug_info + 0x7de + 0x7de + 0x103 + + + + .debug_info + 0x8e1 + 0x8e1 + 0x84 + + + + .debug_info + 0x965 + 0x965 + 0x10f + + + + .debug_info + 0xa74 + 0xa74 + 0x100 + + + + .debug_info + 0xb74 + 0xb74 + 0x17a + + + + .debug_info + 0xcee + 0xcee + 0x3fc + + + + .debug_info + 0x10ea + 0x10ea + 0x230 + + + + .debug_info + 0x131a + 0x131a + 0x39 + + + + .debug_info + 0x1353 + 0x1353 + 0x2c + + + + .debug_info + 0x137f + 0x137f + 0x2c + + + + .debug_info + 0x13ab + 0x13ab + 0xcd + + + + .debug_info + 0x1478 + 0x1478 + 0x2c + + + + .debug_info + 0x14a4 + 0x14a4 + 0x153 + + + + .debug_info + 0x15f7 + 0x15f7 + 0x150 + + + + .debug_info + 0x1747 + 0x1747 + 0x18f + + + + .debug_info + 0x18d6 + 0x18d6 + 0x1d3 + + + + .debug_info + 0x1aa9 + 0x1aa9 + 0x46 + + + + .debug_info + 0x1aef + 0x1aef + 0x17b + + + + .debug_info + 0x1c6a + 0x1c6a + 0x250 + + + + .debug_info + 0x1eba + 0x1eba + 0x60 + + + + .debug_info + 0x1f1a + 0x1f1a + 0x46 + + + + .debug_info + 0x1f60 + 0x1f60 + 0x39 + + + + .debug_info + 0x1f99 + 0x1f99 + 0x122 + + + + .debug_info + 0x20bb + 0x20bb + 0x19a + + + + .debug_info + 0x2255 + 0x2255 + 0x17a + + + + .debug_info + 0x23cf + 0x23cf + 0x94 + + + .debug_line + 0x0 + 0x0 + 0x5c + + + + .debug_line + 0x5c + 0x5c + 0x4e + + + + .debug_line + 0xaa + 0xaa + 0x52 + + + + .debug_line + 0xfc + 0xfc + 0x49 + + + + .debug_line + 0x145 + 0x145 + 0x50 + + + + .debug_line + 0x195 + 0x195 + 0x65 + + + + .debug_line + 0x1fa + 0x1fa + 0x4a + + + + .debug_line + 0x244 + 0x244 + 0x20 + + + + .debug_line + 0x264 + 0x264 + 0x3d + + + + .debug_line + 0x2a1 + 0x2a1 + 0x2a + + + + .debug_line + 0x2cb + 0x2cb + 0x46 + + + + .debug_line + 0x311 + 0x311 + 0xbf + + + + .debug_line + 0x3d0 + 0x3d0 + 0x80 + + + + .debug_line + 0x450 + 0x450 + 0x2e + + + + .debug_line + 0x47e + 0x47e + 0x9a + + + + .debug_line + 0x518 + 0x518 + 0x97 + + + + .debug_line + 0x5af + 0x5af + 0x93 + + + + .debug_line + 0x642 + 0x642 + 0x92 + + + + .debug_line + 0x6d4 + 0x6d4 + 0x3e + + + + .debug_line + 0x712 + 0x712 + 0x3a + + + + .debug_line + 0x74c + 0x74c + 0x20 + + + + .debug_line + 0x76c + 0x76c + 0x50 + + + + .debug_line + 0x7bc + 0x7bc + 0x3a + + + + .debug_line + 0x7f6 + 0x7f6 + 0x20 + + + + .debug_line + 0x816 + 0x816 + 0x99 + + + + .debug_line + 0x8af + 0x8af + 0x3a + + + + .debug_line + 0x8e9 + 0x8e9 + 0x9a + + + + .debug_line + 0x983 + 0x983 + 0x96 + + + + .debug_line + 0xa19 + 0xa19 + 0x3d + + + + .debug_line + 0xa56 + 0xa56 + 0x20 + + + + .debug_line + 0xa76 + 0xa76 + 0x42 + + + + .debug_frame + 0x0 + 0x0 + 0x3c + + + + .debug_frame + 0x3c + 0x3c + 0x3c + + + + .debug_frame + 0x78 + 0x78 + 0x3c + + + + .debug_frame + 0xb4 + 0xb4 + 0x3c + + + + .debug_frame + 0xf0 + 0xf0 + 0x34 + + + + .debug_frame + 0x124 + 0x124 + 0x48 + + + + .debug_frame + 0x16c + 0x16c + 0x3c + + + + .debug_frame + 0x1a8 + 0x1a8 + 0x3c + + + + .debug_frame + 0x1e4 + 0x1e4 + 0x3c + + + + .debug_frame + 0x220 + 0x220 + 0x48 + + + + .debug_frame + 0x268 + 0x268 + 0x3c + + + + .debug_frame + 0x2a4 + 0x2a4 + 0x3c + + + + .debug_abbrev + 0x0 + 0x0 + 0x97 + + + + .debug_abbrev + 0x97 + 0x97 + 0x29 + + + + .debug_abbrev + 0xc0 + 0xc0 + 0x29 + + + + .debug_abbrev + 0xe9 + 0xe9 + 0x50 + + + + .debug_abbrev + 0x139 + 0x139 + 0x5e + + + + .debug_abbrev + 0x197 + 0x197 + 0x60 + + + + .debug_abbrev + 0x1f7 + 0x1f7 + 0x53 + + + + .debug_abbrev + 0x24a + 0x24a + 0x1f + + + + .debug_abbrev + 0x269 + 0x269 + 0x28 + + + + .debug_abbrev + 0x291 + 0x291 + 0x29 + + + + .debug_abbrev + 0x2ba + 0x2ba + 0x58 + + + + .debug_abbrev + 0x312 + 0x312 + 0xcb + + + + .debug_abbrev + 0x3dd + 0x3dd + 0x7e + + + + .debug_abbrev + 0x45b + 0x45b + 0x24 + + + + .debug_abbrev + 0x47f + 0x47f + 0x24 + + + + .debug_abbrev + 0x4a3 + 0x4a3 + 0x24 + + + + .debug_abbrev + 0x4c7 + 0x4c7 + 0x4b + + + + .debug_abbrev + 0x512 + 0x512 + 0x24 + + + + .debug_abbrev + 0x536 + 0x536 + 0x55 + + + + .debug_abbrev + 0x58b + 0x58b + 0x53 + + + + .debug_abbrev + 0x5de + 0x5de + 0x40 + + + + .debug_abbrev + 0x61e + 0x61e + 0x74 + + + + .debug_abbrev + 0x692 + 0x692 + 0x24 + + + + .debug_abbrev + 0x6b6 + 0x6b6 + 0x40 + + + + .debug_abbrev + 0x6f6 + 0x6f6 + 0x6f + + + + .debug_abbrev + 0x765 + 0x765 + 0x24 + + + + .debug_abbrev + 0x789 + 0x789 + 0x35 + + + + .debug_abbrev + 0x7be + 0x7be + 0x24 + + + + .debug_abbrev + 0x7e2 + 0x7e2 + 0x45 + + + + .debug_abbrev + 0x827 + 0x827 + 0x50 + + + + .debug_abbrev + 0x877 + 0x877 + 0x71 + + + + .debug_abbrev + 0x8e8 + 0x8e8 + 0xf + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + .debug_str + 0xfd + 0xfd + 0xed + + + + .debug_str + 0x1ea + 0x1ea + 0x14c + + + + .debug_str + 0x336 + 0x336 + 0x147 + + + + .debug_str + 0x47d + 0x47d + 0x197 + + + + .debug_str + 0x614 + 0x614 + 0x140 + + + + .debug_str + 0x754 + 0x754 + 0x10b + + + + .debug_str + 0x85f + 0x85f + 0x118 + + + + .debug_str + 0x977 + 0x977 + 0x16b + + + + .debug_str + 0xae2 + 0xae2 + 0x158 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x20 + + + + .debug_aranges + 0xc0 + 0xc0 + 0x20 + + + + .debug_aranges + 0xe0 + 0xe0 + 0x20 + + + + .debug_aranges + 0x100 + 0x100 + 0x20 + + + + .debug_aranges + 0x120 + 0x120 + 0x20 + + + + .debug_aranges + 0x140 + 0x140 + 0x20 + + + + .debug_aranges + 0x160 + 0x160 + 0x20 + + + + .debug_aranges + 0x180 + 0x180 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1f + + + + .debug_pubnames + 0x1f + 0x1f + 0x1b + + + + .debug_pubnames + 0x3a + 0x3a + 0x23 + + + + .debug_pubnames + 0x5d + 0x5d + 0x20 + + + + .debug_pubnames + 0x7d + 0x7d + 0x1b + + + + .debug_pubnames + 0x98 + 0x98 + 0x1e + + + + .debug_pubnames + 0xb6 + 0xb6 + 0x2b + + + + .debug_pubnames + 0xe1 + 0xe1 + 0x1d + + + + .debug_pubnames + 0xfe + 0xfe + 0x26 + + + + .debug_pubnames + 0x124 + 0x124 + 0x3e + + + + .debug_pubnames + 0x162 + 0x162 + 0x27 + + + + .debug_pubnames + 0x189 + 0x189 + 0x29 + + + + .debug_pubnames + 0x1b2 + 0x1b2 + 0x2b + + + + .debug_pubnames + 0x1dd + 0x1dd + 0x2b + + + + .debug_pubnames + 0x208 + 0x208 + 0x1c + + + + .debug_pubnames + 0x224 + 0x224 + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + .debug_pubtypes + 0xed + 0xed + 0x32 + + + + .debug_pubtypes + 0x11f + 0x11f + 0x21 + + + + .debug_pubtypes + 0x140 + 0x140 + 0x1f + + + + .debug_pubtypes + 0x15f + 0x15f + 0x50 + + + + .debug_pubtypes + 0x1af + 0x1af + 0x1d + + + + .debug_pubtypes + 0x1cc + 0x1cc + 0x48 + + + + .debug_pubtypes + 0x214 + 0x214 + 0x5d + + + + .debug_pubtypes + 0x271 + 0x271 + 0x48 + + + + .debug_pubtypes + 0x2b9 + 0x2b9 + 0x35 + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x1100 + 0x804 + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x30b0 + 0x50 + + + + + + + .text + 0x10000 + 0x10000 + 0x184 + + + + + + + + + + + + + + + .text + + + + + + .text:_isr + 0x3100 + 0x3100 + 0x2c + + + + + + + + .cinit + 0x312c + 0x312c + 0x7d6 + + + + + + + + .const + 0x0 + 0x0 + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x2463 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0xab8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x2e0 + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x8f7 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0xc3a + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x1a0 + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x241 + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0x2ee + + + + + + + + + + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x1100 + 0x804 + 0x6 + + + + + + SEGMENT_1 + 0x30b0 + 0x50 + 0x6 + + + + + + SEGMENT_2 + 0x3100 + 0x3100 + 0x802 + 0x5 + + + + + + + SEGMENT_3 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_4 + 0xffdc + 0xffdc + 0x1a8 + 0x5 + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0x854 + 0x17ac + RWIX + + + 0x1100 + 0x804 + + + + 0x1904 + 0x17ac + + + 0x30b0 + 0x50 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0x802 + 0xc6bc + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0x2c + + + + 0x312c + 0x7d6 + + + + 0x3902 + 0xc6bc + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x184 + 0xfe7c + RWIX + + + 0x10000 + 0x184 + + + + 0x10184 + 0xfe7c + + + + + + + __TI_cinit_table + + .data + 0x312c + 0x7c5 + 0x1100 + 0x804 + lzss + + + + + __TI_handler_table + + 0x0 + __TI_decompress_lzss + + + 0x1 + __TI_decompress_none + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __TI_CINIT_Base + 0x38fa + + + __TI_CINIT_Limit + 0x3902 + + + __TI_Handler_Table_Base + 0x38f2 + + + __TI_Handler_Table_Limit + 0x38fa + + + __STACK_SIZE + 0x50 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + sine + 0x1502 + + + + __TI_int22 + 0xffec + + + + TimerA_setup + 0x1013e + + + + TA0_ISR + 0x311c + + + + main + 0x10076 + + + + DAC_setup + 0x10128 + + + + sawtooth + 0x1100 + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int17 + 0xffe2 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int20 + 0xffe8 + + + + __TI_int21 + 0xffea + + + + __TI_int23 + 0xffee + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int26 + 0xfff4 + + + + __TI_int27 + 0xfff6 + + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x3124 + + + + _stack + 0x30b0 + + + + _c_int00_noargs + 0x3100 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x100d4 + + + + _system_pre_init + 0x1017e + + + + _system_post_cinit + 0x10182 + + + + __TI_decompress_none + 0x10166 + + + + __TI_decompress_lzss + 0x10000 + + + + C$$EXIT + 0x10178 + + + + abort + 0x10178 + + + + memcpy + 0x10152 + + + + Link successful +
diff --git a/CPE325/Lab10_Part3/Debug/ccsObjs.opt b/CPE325/Lab10_Part3/Debug/ccsObjs.opt new file mode 100644 index 0000000..eafe476 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./Lab10_Part3.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab10_Part3/Debug/main.d b/CPE325/Lab10_Part3/Debug/main.d new file mode 100644 index 0000000..ed8cf65 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/main.d @@ -0,0 +1,27 @@ +# FIXED + +main.obj: ../main.c +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +main.obj: C:/CPE325_Workspace/Lab10_Part3/sawtooth.h +main.obj: C:/CPE325_Workspace/Lab10_Part3/sine_lut_256.h + +../main.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/CPE325_Workspace/Lab10_Part3/sawtooth.h: + +C:/CPE325_Workspace/Lab10_Part3/sine_lut_256.h: + diff --git a/CPE325/Lab10_Part3/Debug/makefile b/CPE325/Lab10_Part3/Debug/makefile new file mode 100644 index 0000000..4dc3c68 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/makefile @@ -0,0 +1,166 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./Lab10_Part3.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab10_Part3.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab10_Part3.out" \ + +BIN_OUTPUTS += \ +Lab10_Part3.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab10_Part3.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab10_Part3.out" + +# Tool invocations +Lab10_Part3.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"Lab10_Part3.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab10_Part3_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "Lab10_Part3.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab10_Part3.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab10_Part3.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "Lab10_Part3.obj" + -$(RM) "Lab10_Part3.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab10_Part3/Debug/objects.mk b/CPE325/Lab10_Part3/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab10_Part3/Debug/sources.mk b/CPE325/Lab10_Part3/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab10_Part3/Debug/subdir_rules.mk b/CPE325/Lab10_Part3/Debug/subdir_rules.mk new file mode 100644 index 0000000..5098f20 --- /dev/null +++ b/CPE325/Lab10_Part3/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab10_Part3" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --preproc_with_compile --preproc_dependency="$(basename $( sine (10Hz) + * | | + * Input: None + * Output: Sinusoidal wave with 10Hz frequency at P6.6 + * Author: Aleksandar Milenkovic, milenkovic@computer.org + * Max Avula, ma0004@uah.edu + *------------------------------------------------------------------------------*/ +#include +#include /*512 samples are stored in this table */ +#include /*256 samples are stored in this table */ + + +#define SW1 ((P1IN&BIT0)==0) // Switch 1 status +#define SW2 ((P1IN&BIT1)==0) // Switch 2 status + +void TimerA_setup(void) { + TACTL = TASSEL_2 + MC_1; // SMCLK, up mode + TACCR0 = 164; // Sets Timer Freq (1048576*0.1sec/256) + TACCTL0 = CCIE; // CCR0 interrupt enabled +} + +void DAC_setup(void) { + ADC12CTL0 = REF2_5V + REFON; // Turn on 2.5V internal ref volage + unsigned int i = 0; + for (i = 50000; i > 0; i--); // Delay to allow Ref to settle + DAC12_0CTL = DAC12IR + DAC12AMP_5 + DAC12ENC; //Sets DAC12 +} + +void main(void) { + P1DIR &= ~BIT0; // Set P1.1 to output direction + P1DIR &= ~BIT1; // Set P1.0 to output direction + FLL_CTL0 |= DCOPLUS + XCAP18PF; // DCO+ set, freq = xtal x D x N+1 + SCFI0 |= FN_4 + FLLD_2; // DCO range control, multiplier D + SCFQCTL = 63; // (61+1) x 32768 x 2 = 4.19 MHz + WDTCTL = WDTPW + WDTHOLD; // Stop WDT + TimerA_setup(); // Set timer to uniformly distribute the samples + DAC_setup(); // Setup DAC + unsigned int i = 0; + unsigned int o = 0; + while (1) { + __bis_SR_register(LPM0_bits + GIE); // Enter LPM0, interrupts enabled + if(SW1){ + o = sine[i]; + } + else{ + o = sawtooth[i]; + } + if(!SW2){ + o = o>>1; + } + DAC12_0DAT = o; + i=(i+1)%512; + } +} + +#pragma vector = TIMERA0_VECTOR +__interrupt void TA0_ISR(void) { + __bic_SR_register_on_exit(LPM0_bits); // Exit LPMx, interrupts enabled +} diff --git a/CPE325/Lab10_Part3/lnk_msp430fg4618.cmd b/CPE325/Lab10_Part3/lnk_msp430fg4618.cmd new file mode 100755 index 0000000..557b664 --- /dev/null +++ b/CPE325/Lab10_Part3/lnk_msp430fg4618.cmd @@ -0,0 +1,184 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430fg4618.cmd - LINKER COMMAND FILE FOR LINKING MSP430FG4618 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab10_Part3/sawtooth.h b/CPE325/Lab10_Part3/sawtooth.h new file mode 100644 index 0000000..d421c63 --- /dev/null +++ b/CPE325/Lab10_Part3/sawtooth.h @@ -0,0 +1 @@ +int sawtooth[] = {0,16,32,48,64,80,96,112,128,144,160,176,192,208,224,240,256,272,288,304,320,336,352,368,384,400,416,432,448,464,480,496,512,528,544,560,576,592,608,624,640,656,672,688,704,720,736,752,768,784,800,816,832,848,864,880,896,912,928,944,960,976,992,1008,1024,1040,1056,1072,1088,1104,1120,1136,1152,1168,1184,1200,1216,1232,1248,1264,1280,1296,1312,1328,1344,1360,1376,1392,1408,1424,1440,1456,1472,1488,1504,1520,1536,1552,1568,1584,1600,1616,1632,1648,1664,1680,1696,1712,1728,1744,1760,1776,1792,1808,1824,1840,1856,1872,1888,1904,1920,1936,1952,1968,1984,2000,2016,2032,2048,2063,2079,2095,2111,2127,2143,2159,2175,2191,2207,2223,2239,2255,2271,2287,2303,2319,2335,2351,2367,2383,2399,2415,2431,2447,2463,2479,2495,2511,2527,2543,2559,2575,2591,2607,2623,2639,2655,2671,2687,2703,2719,2735,2751,2767,2783,2799,2815,2831,2847,2863,2879,2895,2911,2927,2943,2959,2975,2991,3007,3023,3039,3055,3071,3087,3103,3119,3135,3151,3167,3183,3199,3215,3231,3247,3263,3279,3295,3311,3327,3343,3359,3375,3391,3407,3423,3439,3455,3471,3487,3503,3519,3535,3551,3567,3583,3599,3615,3631,3647,3663,3679,3695,3711,3727,3743,3759,3775,3791,3807,3823,3839,3855,3871,3887,3903,3919,3935,3951,3967,3983,3999,4015,4031,4047,4063,4079,4095,4079,4063,4047,4031,4015,3999,3983,3967,3951,3935,3919,3903,3887,3871,3855,3839,3823,3807,3791,3775,3759,3743,3727,3711,3695,3679,3663,3647,3631,3615,3599,3583,3567,3551,3535,3519,3503,3487,3471,3455,3439,3423,3407,3391,3375,3359,3343,3327,3311,3295,3279,3263,3247,3231,3215,3199,3183,3167,3151,3135,3119,3103,3087,3071,3055,3039,3023,3007,2991,2975,2959,2943,2927,2911,2895,2879,2863,2847,2831,2815,2799,2783,2767,2751,2735,2719,2703,2687,2671,2655,2639,2623,2607,2591,2575,2559,2543,2527,2511,2495,2479,2463,2447,2431,2415,2399,2383,2367,2351,2335,2319,2303,2287,2271,2255,2239,2223,2207,2191,2175,2159,2143,2127,2111,2095,2079,2063,2048,2032,2016,2000,1984,1968,1952,1936,1920,1904,1888,1872,1856,1840,1824,1808,1792,1776,1760,1744,1728,1712,1696,1680,1664,1648,1632,1616,1600,1584,1568,1552,1536,1520,1504,1488,1472,1456,1440,1424,1408,1392,1376,1360,1344,1328,1312,1296,1280,1264,1248,1232,1216,1200,1184,1168,1152,1136,1120,1104,1088,1072,1056,1040,1024,1008,992,976,960,944,928,912,896,880,864,848,832,816,800,784,768,752,736,720,704,688,672,656,640,624,608,592,576,560,544,528,512,496,480,464,448,432,416,400,384,368,352,336,320,304,288,272,256,240,224,208,192,176,160,144,128,112,96,80,64,48,32,16,0}; diff --git a/CPE325/Lab10_Part3/sine_lut_256.h b/CPE325/Lab10_Part3/sine_lut_256.h new file mode 100644 index 0000000..c210ccd --- /dev/null +++ b/CPE325/Lab10_Part3/sine_lut_256.h @@ -0,0 +1 @@ +int LUT256[] = { 2048, 2098, 2148, 2198, 2248, 2298, 2348, 2398, 2447, 2496, 2545, 2594, 2642, 2690, 2737, 2784, 2831, 2877, 2923, 2968, 3013, 3057, 3100, 3143, 3185, 3226, 3267, 3307, 3346, 3385, 3423, 3459, 3495, 3530, 3565, 3598, 3630, 3662, 3692, 3722, 3750, 3777, 3804, 3829, 3853, 3876, 3898, 3919, 3939, 3958, 3975, 3992, 4007, 4021, 4034, 4045, 4056, 4065, 4073, 4080, 4085, 4089, 4093, 4094, 4095, 4094, 4093, 4089, 4085, 4080, 4073, 4065, 4056, 4045, 4034, 4021, 4007, 3992, 3975, 3958, 3939, 3919, 3898, 3876, 3853, 3829, 3804, 3777, 3750, 3722, 3692, 3662, 3630, 3598, 3565, 3530, 3495, 3459, 3423, 3385, 3346, 3307, 3267, 3226, 3185, 3143, 3100, 3057, 3013, 2968, 2923, 2877, 2831, 2784, 2737, 2690, 2642, 2594, 2545, 2496, 2447, 2398, 2348, 2298, 2248, 2198, 2148, 2098, 2048, 1997, 1947, 1897, 1847, 1797, 1747, 1697, 1648, 1599, 1550, 1501, 1453, 1405, 1358, 1311, 1264, 1218, 1172, 1127, 1082, 1038, 995, 952, 910, 869, 828, 788, 749, 710, 672, 636, 600, 565, 530, 497, 465, 433, 403, 373, 345, 318, 291, 266, 242, 219, 197, 176, 156, 137, 120, 103, 88, 74, 61, 50, 39, 30, 22, 15, 10, 6, 2, 1, 0, 1, 2, 6, 10, 15, 22, 30, 39, 50, 61, 74, 88, 103, 120, 137, 156, 176, 197, 219, 242, 266, 291, 318, 345, 373, 403, 433, 465, 497, 530, 565, 600, 636, 672, 710, 749, 788, 828, 869, 910, 952, 995, 1038, 1082, 1127, 1172, 1218, 1264, 1311, 1358, 1405, 1453, 1501, 1550, 1599, 1648, 1697, 1747, 1797, 1847, 1897, 1947, 1997, 2047 }; diff --git a/CPE325/Lab10_Part3/sine_lut_512.h b/CPE325/Lab10_Part3/sine_lut_512.h new file mode 100644 index 0000000..10af175 --- /dev/null +++ b/CPE325/Lab10_Part3/sine_lut_512.h @@ -0,0 +1 @@ +int sine[] = {2048,2073,2098,2123,2148,2173,2198,2223,2248,2273,2298,2323,2348,2373,2398,2422,2447,2472,2496,2521,2545,2569,2594,2618,2642,2666,2690,2714,2737,2761,2784,2808,2831,2854,2877,2900,2923,2946,2968,2990,3013,3035,3057,3078,3100,3122,3143,3164,3185,3206,3226,3247,3267,3287,3307,3327,3346,3366,3385,3404,3423,3441,3459,3477,3495,3513,3530,3548,3565,3581,3598,3614,3630,3646,3662,3677,3692,3707,3722,3736,3750,3764,3777,3791,3804,3816,3829,3841,3853,3865,3876,3888,3898,3909,3919,3929,3939,3949,3958,3967,3975,3984,3992,3999,4007,4014,4021,4027,4034,4040,4045,4051,4056,4060,4065,4069,4073,4076,4080,4083,4085,4087,4089,4091,4093,4094,4094,4095,4095,4095,4094,4094,4093,4091,4089,4087,4085,4083,4080,4076,4073,4069,4065,4060,4056,4051,4045,4040,4034,4027,4021,4014,4007,3999,3992,3984,3975,3967,3958,3949,3939,3929,3919,3909,3898,3888,3876,3865,3853,3841,3829,3816,3804,3791,3777,3764,3750,3736,3722,3707,3692,3677,3662,3646,3630,3614,3598,3581,3565,3548,3530,3513,3495,3477,3459,3441,3423,3404,3385,3366,3346,3327,3307,3287,3267,3247,3226,3206,3185,3164,3143,3122,3100,3078,3057,3035,3013,2990,2968,2946,2923,2900,2877,2854,2831,2808,2784,2761,2737,2714,2690,2666,2642,2618,2594,2569,2545,2521,2496,2472,2447,2422,2398,2373,2348,2323,2298,2273,2248,2223,2198,2173,2148,2123,2098,2073,2048,2022,1997,1972,1947,1922,1897,1872,1847,1822,1797,1772,1747,1722,1697,1673,1648,1623,1599,1574,1550,1526,1501,1477,1453,1429,1405,1381,1358,1334,1311,1287,1264,1241,1218,1195,1172,1149,1127,1105,1082,1060,1038,1017,995,973,952,931,910,889,869,848,828,808,788,768,749,729,710,691,672,654,636,618,600,582,565,547,530,514,497,481,465,449,433,418,403,388,373,359,345,331,318,304,291,279,266,254,242,230,219,207,197,186,176,166,156,146,137,128,120,111,103,96,88,81,74,68,61,55,50,44,39,35,30,26,22,19,15,12,10,8,6,4,2,1,1,0,0,0,1,1,2,4,6,8,10,12,15,19,22,26,30,35,39,44,50,55,61,68,74,81,88,96,103,111,120,128,137,146,156,166,176,186,197,207,219,230,242,254,266,279,291,304,318,331,345,359,373,388,403,418,433,449,465,481,497,514,530,547,565,582,600,618,636,654,672,691,710,729,749,768,788,808,828,848,869,889,910,931,952,973,995,1017,1038,1060,1082,1105,1127,1149,1172,1195,1218,1241,1264,1287,1311,1334,1358,1381,1405,1429,1453,1477,1501,1526,1550,1574,1599,1623,1648,1673,1697,1722,1747,1772,1797,1822,1847,1872,1897,1922,1947,1972,1997,2022,2047}; diff --git a/CPE325/Lab10_Part3/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab10_Part3/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab10_Part3/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab10_Part3/targetConfigs/readme.txt b/CPE325/Lab10_Part3/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab10_Part3/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab11/Debug/ccsObjs.opt b/CPE325/Lab11/Debug/ccsObjs.opt new file mode 100644 index 0000000..4135955 --- /dev/null +++ b/CPE325/Lab11/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab11/Debug/makefile b/CPE325/Lab11/Debug/makefile new file mode 100644 index 0000000..e204bcc --- /dev/null +++ b/CPE325/Lab11/Debug/makefile @@ -0,0 +1,163 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab11.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab11.out" \ + +BIN_OUTPUTS += \ +Lab11.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab11.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab11.out" + +# Tool invocations +Lab11.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"Lab11.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab11_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "Lab11.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab11.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab11.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab11/Debug/objects.mk b/CPE325/Lab11/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab11/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab11/Debug/sources.mk b/CPE325/Lab11/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab11/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab11/Debug/subdir_rules.mk b/CPE325/Lab11/Debug/subdir_rules.mk new file mode 100644 index 0000000..228691f --- /dev/null +++ b/CPE325/Lab11/Debug/subdir_rules.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes + diff --git a/CPE325/Lab11/Debug/subdir_vars.mk b/CPE325/Lab11/Debug/subdir_vars.mk new file mode 100644 index 0000000..dfb26fc --- /dev/null +++ b/CPE325/Lab11/Debug/subdir_vars.mk @@ -0,0 +1,17 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Add inputs and outputs from these tool invocations to the build variables +CMD_SRCS += \ +../lnk_msp430fg4618.cmd + +OUT_SRCS += \ +../crack_me.out + +OUT_SRCS__QUOTED += \ +"../crack_me.out" + + diff --git a/CPE325/Lab11/Log/log.txt b/CPE325/Lab11/Log/log.txt new file mode 100644 index 0000000..77fb612 --- /dev/null +++ b/CPE325/Lab11/Log/log.txt @@ -0,0 +1,493 @@ +Thu Nov 18 19:58:34 2021: * -----/|-------------------------------------------------------------------- * +Thu Nov 18 19:58:34 2021: * / |__ * +Thu Nov 18 19:58:34 2021: * /_ / MSP Flasher v1.3.20 * +Thu Nov 18 19:58:34 2021: * | / * +Thu Nov 18 19:58:34 2021: * -----|/-------------------------------------------------------------------- * +Thu Nov 18 19:58:34 2021: * +Thu Nov 18 19:58:34 2021: * Evaluating triggers...done +Thu Nov 18 19:58:34 2021: * Checking for available FET debuggers: +Thu Nov 18 19:58:34 2021: * Found USB FET @ COM14 <- Selected +Thu Nov 18 19:58:34 2021: * Initializing interface @ COM14...done +Thu Nov 18 19:58:34 2021: * Checking firmware compatibility: +Thu Nov 18 19:58:34 2021: * FET firmware is up to date. +Thu Nov 18 19:58:34 2021: * Reading FW version...done +Thu Nov 18 19:58:34 2021: * Setting VCC to 3000 mV...done +Thu Nov 18 19:58:40 2021: * Accessing device...done +Thu Nov 18 19:58:40 2021: * Reading device information...done +Thu Nov 18 19:58:40 2021: * Loading file into device...done +Thu Nov 18 19:58:41 2021: * Verifying memory (reverse_me_corrected.txt)...done +Thu Nov 18 19:58:41 2021: * +Thu Nov 18 19:58:41 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 19:58:41 2021: * Arguments : -n MSP430FG4618 -w reverse_me_corrected.txt -v -z [Vcc] +Thu Nov 18 19:58:41 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 19:58:41 2021: * Driver : loaded +Thu Nov 18 19:58:41 2021: * Dll Version : 31400000 +Thu Nov 18 19:58:41 2021: * FwVersion : 31200000 +Thu Nov 18 19:58:41 2021: * Interface : TIUSB +Thu Nov 18 19:58:41 2021: * HwVersion : U 3.0 +Thu Nov 18 19:58:41 2021: * JTAG Mode : AUTO +Thu Nov 18 19:58:41 2021: * Device : MSP430FG4618 +Thu Nov 18 19:58:41 2021: * EEM : Level 3, ClockCntrl 2 +Thu Nov 18 19:58:41 2021: * Erase Mode : ERASE_ALL +Thu Nov 18 19:58:41 2021: * Prog.File : reverse_me_corrected.txt +Thu Nov 18 19:58:41 2021: * Verified : TRUE +Thu Nov 18 19:58:41 2021: * BSL Unlock : FALSE +Thu Nov 18 19:58:41 2021: * InfoA Access: FALSE +Thu Nov 18 19:58:41 2021: * VCC ON : 3000 mV +Thu Nov 18 19:58:41 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 19:58:41 2021: * Starting target code execution...done +Thu Nov 18 19:58:42 2021: * Disconnecting from device...done +Thu Nov 18 19:58:42 2021: * +Thu Nov 18 19:58:42 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 19:58:42 2021: * Driver : closed (No error) +Thu Nov 18 19:58:42 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 19:58:42 2021: */ +Thu Nov 18 20:31:36 2021: * -----/|-------------------------------------------------------------------- * +Thu Nov 18 20:31:36 2021: * / |__ * +Thu Nov 18 20:31:36 2021: * /_ / MSP Flasher v1.3.20 * +Thu Nov 18 20:31:36 2021: * | / * +Thu Nov 18 20:31:36 2021: * -----|/-------------------------------------------------------------------- * +Thu Nov 18 20:31:36 2021: * +Thu Nov 18 20:31:36 2021: * Evaluating triggers...done +Thu Nov 18 20:31:36 2021: * Checking for available FET debuggers: +Thu Nov 18 20:31:36 2021: * Found USB FET @ COM14 <- Selected +Thu Nov 18 20:31:36 2021: * Initializing interface @ COM14...done +Thu Nov 18 20:31:37 2021: * Checking firmware compatibility: +Thu Nov 18 20:31:37 2021: * FET firmware is up to date. +Thu Nov 18 20:31:37 2021: * Reading FW version...done +Thu Nov 18 20:31:37 2021: * Setting VCC to 3000 mV...done +Thu Nov 18 20:31:42 2021: * Accessing device...done +Thu Nov 18 20:31:42 2021: * Reading device information...done +Thu Nov 18 20:31:42 2021: * Dumping memory from MAIN into RetrievedHEX.txt...done +Thu Nov 18 20:31:45 2021: * +Thu Nov 18 20:31:45 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 20:31:45 2021: * Arguments : -r [RetrievedHEX.txt MAIN] +Thu Nov 18 20:31:45 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 20:31:45 2021: * Driver : loaded +Thu Nov 18 20:31:45 2021: * Dll Version : 31400000 +Thu Nov 18 20:31:45 2021: * FwVersion : 31200000 +Thu Nov 18 20:31:45 2021: * Interface : TIUSB +Thu Nov 18 20:31:45 2021: * HwVersion : U 3.0 +Thu Nov 18 20:31:45 2021: * JTAG Mode : AUTO +Thu Nov 18 20:31:45 2021: * Device : MSP430FG4618 +Thu Nov 18 20:31:45 2021: * EEM : Level 3, ClockCntrl 2 +Thu Nov 18 20:31:45 2021: * Read File : RetrievedHEX.txt (memory segment = MAIN) +Thu Nov 18 20:31:45 2021: * VCC OFF +Thu Nov 18 20:31:45 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 20:31:45 2021: * Powering down...done +Thu Nov 18 20:31:45 2021: * Disconnecting from device...done +Thu Nov 18 20:31:45 2021: * +Thu Nov 18 20:31:45 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 20:31:45 2021: * Driver : closed (No error) +Thu Nov 18 20:31:45 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 20:31:45 2021: */ +Thu Nov 18 23:17:15 2021: * -----/|-------------------------------------------------------------------- * +Thu Nov 18 23:17:15 2021: * / |__ * +Thu Nov 18 23:17:15 2021: * /_ / MSP Flasher v1.3.20 * +Thu Nov 18 23:17:15 2021: * | / * +Thu Nov 18 23:17:15 2021: * -----|/-------------------------------------------------------------------- * +Thu Nov 18 23:17:15 2021: * +Thu Nov 18 23:17:15 2021: * Evaluating triggers...done +Thu Nov 18 23:17:15 2021: * Checking for available FET debuggers: +Thu Nov 18 23:17:15 2021: * Found USB FET @ COM14 <- Selected +Thu Nov 18 23:17:15 2021: * Initializing interface @ COM14...done +Thu Nov 18 23:17:16 2021: * Checking firmware compatibility: +Thu Nov 18 23:17:16 2021: * FET firmware is up to date. +Thu Nov 18 23:17:16 2021: * Reading FW version...done +Thu Nov 18 23:17:16 2021: * Setting VCC to 3000 mV...done +Thu Nov 18 23:17:16 2021: * Accessing device...done +Thu Nov 18 23:17:16 2021: * Reading device information...done +Thu Nov 18 23:17:16 2021: * Loading file into device...done +Thu Nov 18 23:17:17 2021: * Verifying memory (reverse_me_modified.txt)...done +Thu Nov 18 23:17:18 2021: * +Thu Nov 18 23:17:18 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:18 2021: * Arguments : -n MSP430FG4618 -w reverse_me_modified.txt -v -z [Vcc] +Thu Nov 18 23:17:18 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:18 2021: * Driver : loaded +Thu Nov 18 23:17:18 2021: * Dll Version : 31400000 +Thu Nov 18 23:17:18 2021: * FwVersion : 31200000 +Thu Nov 18 23:17:18 2021: * Interface : TIUSB +Thu Nov 18 23:17:18 2021: * HwVersion : U 3.0 +Thu Nov 18 23:17:18 2021: * JTAG Mode : AUTO +Thu Nov 18 23:17:18 2021: * Device : MSP430FG4618 +Thu Nov 18 23:17:18 2021: * EEM : Level 3, ClockCntrl 2 +Thu Nov 18 23:17:18 2021: * Erase Mode : ERASE_ALL +Thu Nov 18 23:17:18 2021: * Prog.File : reverse_me_modified.txt +Thu Nov 18 23:17:18 2021: * Verified : TRUE +Thu Nov 18 23:17:18 2021: * BSL Unlock : FALSE +Thu Nov 18 23:17:18 2021: * InfoA Access: FALSE +Thu Nov 18 23:17:18 2021: * VCC ON : 3000 mV +Thu Nov 18 23:17:18 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:18 2021: * Starting target code execution...done +Thu Nov 18 23:17:18 2021: * Disconnecting from device...done +Thu Nov 18 23:17:18 2021: * +Thu Nov 18 23:17:18 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:18 2021: * Driver : closed (No error) +Thu Nov 18 23:17:18 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:18 2021: */ +Thu Nov 18 23:17:41 2021: * -----/|-------------------------------------------------------------------- * +Thu Nov 18 23:17:41 2021: * / |__ * +Thu Nov 18 23:17:41 2021: * /_ / MSP Flasher v1.3.20 * +Thu Nov 18 23:17:41 2021: * | / * +Thu Nov 18 23:17:41 2021: * -----|/-------------------------------------------------------------------- * +Thu Nov 18 23:17:41 2021: * +Thu Nov 18 23:17:41 2021: * Evaluating triggers...done +Thu Nov 18 23:17:41 2021: * Checking for available FET debuggers: +Thu Nov 18 23:17:41 2021: * Found USB FET @ COM14 <- Selected +Thu Nov 18 23:17:41 2021: * Initializing interface @ COM14...done +Thu Nov 18 23:17:42 2021: * Checking firmware compatibility: +Thu Nov 18 23:17:42 2021: * FET firmware is up to date. +Thu Nov 18 23:17:42 2021: * Reading FW version...done +Thu Nov 18 23:17:42 2021: * Setting VCC to 3000 mV...done +Thu Nov 18 23:17:42 2021: * Accessing device...done +Thu Nov 18 23:17:42 2021: * Reading device information...done +Thu Nov 18 23:17:42 2021: * Loading file into device...done +Thu Nov 18 23:17:43 2021: * Verifying memory (reverse_me_modified.txt)...done +Thu Nov 18 23:17:44 2021: * +Thu Nov 18 23:17:44 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:44 2021: * Arguments : -n MSP430FG4618 -w reverse_me_modified.txt -v -z [Vcc] +Thu Nov 18 23:17:44 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:44 2021: * Driver : loaded +Thu Nov 18 23:17:44 2021: * Dll Version : 31400000 +Thu Nov 18 23:17:44 2021: * FwVersion : 31200000 +Thu Nov 18 23:17:44 2021: * Interface : TIUSB +Thu Nov 18 23:17:44 2021: * HwVersion : U 3.0 +Thu Nov 18 23:17:44 2021: * JTAG Mode : AUTO +Thu Nov 18 23:17:44 2021: * Device : MSP430FG4618 +Thu Nov 18 23:17:44 2021: * EEM : Level 3, ClockCntrl 2 +Thu Nov 18 23:17:44 2021: * Erase Mode : ERASE_ALL +Thu Nov 18 23:17:44 2021: * Prog.File : reverse_me_modified.txt +Thu Nov 18 23:17:44 2021: * Verified : TRUE +Thu Nov 18 23:17:44 2021: * BSL Unlock : FALSE +Thu Nov 18 23:17:44 2021: * InfoA Access: FALSE +Thu Nov 18 23:17:44 2021: * VCC ON : 3000 mV +Thu Nov 18 23:17:44 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:44 2021: * Starting target code execution...done +Thu Nov 18 23:17:44 2021: * Disconnecting from device...done +Thu Nov 18 23:17:44 2021: * +Thu Nov 18 23:17:44 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:44 2021: * Driver : closed (No error) +Thu Nov 18 23:17:44 2021: * ---------------------------------------------------------------------------- +Thu Nov 18 23:17:44 2021: */ +Fri Nov 19 13:44:24 2021: * -----/|-------------------------------------------------------------------- * +Fri Nov 19 13:44:24 2021: * / |__ * +Fri Nov 19 13:44:24 2021: * /_ / MSP Flasher v1.3.20 * +Fri Nov 19 13:44:24 2021: * | / * +Fri Nov 19 13:44:24 2021: * -----|/-------------------------------------------------------------------- * +Fri Nov 19 13:44:24 2021: * +Fri Nov 19 13:44:24 2021: * Evaluating triggers...done +Fri Nov 19 13:44:24 2021: * Checking for available FET debuggers: +Fri Nov 19 13:44:24 2021: * Found USB FET @ COM16 <- Selected +Fri Nov 19 13:44:24 2021: * Initializing interface @ COM16...done +Fri Nov 19 13:44:25 2021: * Checking firmware compatibility: +Fri Nov 19 13:44:25 2021: * The firmware of your FET is outdated. +Fri Nov 19 13:44:25 2021: - Would you like to update it? (Y/N): Fri Nov 19 13:44:30 2021: y +Fri Nov 19 13:44:30 2021: +********************************************************* +Fri Nov 19 13:44:30 2021: * +Fri Nov 19 13:44:30 2021: * Initializing Update Bootloader. +Fri Nov 19 13:44:30 2021: * Programming new firmware: +Fri Nov 19 13:44:30 2021: Fri Nov 19 13:44:30 2021: * PROGRESS BAR +Fri Nov 19 13:44:38 2021: +* Update was successfully finished. +Fri Nov 19 13:44:38 2021: * +Fri Nov 19 13:44:38 2021: ********************************************************* +Fri Nov 19 13:44:38 2021: +Fri Nov 19 13:44:38 2021: * Exit Update Bootlader and reboot firmware. +Fri Nov 19 13:44:39 2021: * FET firmware is up to date. +Fri Nov 19 13:44:39 2021: * Reading FW version...done +Fri Nov 19 13:44:39 2021: * Setting VCC to 3000 mV...done +Fri Nov 19 13:44:44 2021: * Accessing device...done +Fri Nov 19 13:44:44 2021: * Reading device information...done +Fri Nov 19 13:44:44 2021: * Loading file into device...done +Fri Nov 19 13:44:45 2021: * Verifying memory (reverse_me_corrected.txt)...done +Fri Nov 19 13:44:45 2021: * +Fri Nov 19 13:44:45 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 13:44:45 2021: * Arguments : -n MSP430FG4618 -w reverse_me_corrected.txt -v -z [Vcc] +Fri Nov 19 13:44:45 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 13:44:45 2021: * Driver : loaded +Fri Nov 19 13:44:45 2021: * Dll Version : 31400000 +Fri Nov 19 13:44:45 2021: * FwVersion : 31200000 +Fri Nov 19 13:44:45 2021: * Interface : TIUSB +Fri Nov 19 13:44:45 2021: * HwVersion : U 3.0 +Fri Nov 19 13:44:45 2021: * JTAG Mode : AUTO +Fri Nov 19 13:44:45 2021: * Device : MSP430FG4618 +Fri Nov 19 13:44:45 2021: * EEM : Level 3, ClockCntrl 2 +Fri Nov 19 13:44:45 2021: * Erase Mode : ERASE_ALL +Fri Nov 19 13:44:45 2021: * Prog.File : reverse_me_corrected.txt +Fri Nov 19 13:44:45 2021: * Verified : TRUE +Fri Nov 19 13:44:45 2021: * BSL Unlock : FALSE +Fri Nov 19 13:44:45 2021: * InfoA Access: FALSE +Fri Nov 19 13:44:45 2021: * VCC ON : 3000 mV +Fri Nov 19 13:44:45 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 13:44:45 2021: * Starting target code execution...done +Fri Nov 19 13:44:46 2021: * Disconnecting from device...done +Fri Nov 19 13:44:46 2021: * +Fri Nov 19 13:44:46 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 13:44:46 2021: * Driver : closed (No error) +Fri Nov 19 13:44:46 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 13:44:46 2021: */ +Fri Nov 19 14:09:01 2021: * -----/|-------------------------------------------------------------------- * +Fri Nov 19 14:09:01 2021: * / |__ * +Fri Nov 19 14:09:01 2021: * /_ / MSP Flasher v1.3.20 * +Fri Nov 19 14:09:01 2021: * | / * +Fri Nov 19 14:09:01 2021: * -----|/-------------------------------------------------------------------- * +Fri Nov 19 14:09:01 2021: * +Fri Nov 19 14:09:01 2021: * Evaluating triggers...done +Fri Nov 19 14:09:01 2021: * Checking for available FET debuggers: +Fri Nov 19 14:09:01 2021: * Found USB FET @ COM16 <- Selected +Fri Nov 19 14:09:01 2021: * Initializing interface @ COM16...done +Fri Nov 19 14:09:01 2021: * Checking firmware compatibility: +Fri Nov 19 14:09:01 2021: * FET firmware is up to date. +Fri Nov 19 14:09:01 2021: * Reading FW version...done +Fri Nov 19 14:09:01 2021: * Setting VCC to 3000 mV...done +Fri Nov 19 14:09:02 2021: * Accessing device...done +Fri Nov 19 14:09:02 2021: * Reading device information...done +Fri Nov 19 14:09:02 2021: * Loading file into device...done +Fri Nov 19 14:09:02 2021: * Verifying memory (reverse_me_corrected.txt)...done +Fri Nov 19 14:09:03 2021: * +Fri Nov 19 14:09:03 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:03 2021: * Arguments : -n MSP430FG4618 -w reverse_me_corrected.txt -v -z [Vcc] +Fri Nov 19 14:09:03 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:03 2021: * Driver : loaded +Fri Nov 19 14:09:03 2021: * Dll Version : 31400000 +Fri Nov 19 14:09:03 2021: * FwVersion : 31200000 +Fri Nov 19 14:09:03 2021: * Interface : TIUSB +Fri Nov 19 14:09:03 2021: * HwVersion : U 3.0 +Fri Nov 19 14:09:03 2021: * JTAG Mode : AUTO +Fri Nov 19 14:09:03 2021: * Device : MSP430FG4618 +Fri Nov 19 14:09:03 2021: * EEM : Level 3, ClockCntrl 2 +Fri Nov 19 14:09:03 2021: * Erase Mode : ERASE_ALL +Fri Nov 19 14:09:03 2021: * Prog.File : reverse_me_corrected.txt +Fri Nov 19 14:09:03 2021: * Verified : TRUE +Fri Nov 19 14:09:03 2021: * BSL Unlock : FALSE +Fri Nov 19 14:09:03 2021: * InfoA Access: FALSE +Fri Nov 19 14:09:03 2021: * VCC ON : 3000 mV +Fri Nov 19 14:09:03 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:03 2021: * Starting target code execution...done +Fri Nov 19 14:09:04 2021: * Disconnecting from device...done +Fri Nov 19 14:09:04 2021: * +Fri Nov 19 14:09:04 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:04 2021: * Driver : closed (No error) +Fri Nov 19 14:09:04 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:04 2021: */ +Fri Nov 19 14:09:13 2021: * -----/|-------------------------------------------------------------------- * +Fri Nov 19 14:09:13 2021: * / |__ * +Fri Nov 19 14:09:13 2021: * /_ / MSP Flasher v1.3.20 * +Fri Nov 19 14:09:13 2021: * | / * +Fri Nov 19 14:09:13 2021: * -----|/-------------------------------------------------------------------- * +Fri Nov 19 14:09:13 2021: * +Fri Nov 19 14:09:13 2021: * Evaluating triggers...done +Fri Nov 19 14:09:13 2021: * Checking for available FET debuggers: +Fri Nov 19 14:09:13 2021: * Found USB FET @ COM16 <- Selected +Fri Nov 19 14:09:13 2021: * Initializing interface @ COM16...done +Fri Nov 19 14:09:13 2021: * Checking firmware compatibility: +Fri Nov 19 14:09:13 2021: * FET firmware is up to date. +Fri Nov 19 14:09:13 2021: * Reading FW version...done +Fri Nov 19 14:09:13 2021: * Setting VCC to 3000 mV...done +Fri Nov 19 14:09:13 2021: * Accessing device...done +Fri Nov 19 14:09:13 2021: * Reading device information...done +Fri Nov 19 14:09:13 2021: * Loading file into device...done +Fri Nov 19 14:09:14 2021: * Verifying memory (reverse_me_modified.txt)...done +Fri Nov 19 14:09:15 2021: * +Fri Nov 19 14:09:15 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:15 2021: * Arguments : -n MSP430FG4618 -w reverse_me_modified.txt -v -z [Vcc] +Fri Nov 19 14:09:15 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:15 2021: * Driver : loaded +Fri Nov 19 14:09:15 2021: * Dll Version : 31400000 +Fri Nov 19 14:09:15 2021: * FwVersion : 31200000 +Fri Nov 19 14:09:15 2021: * Interface : TIUSB +Fri Nov 19 14:09:15 2021: * HwVersion : U 3.0 +Fri Nov 19 14:09:15 2021: * JTAG Mode : AUTO +Fri Nov 19 14:09:15 2021: * Device : MSP430FG4618 +Fri Nov 19 14:09:15 2021: * EEM : Level 3, ClockCntrl 2 +Fri Nov 19 14:09:15 2021: * Erase Mode : ERASE_ALL +Fri Nov 19 14:09:15 2021: * Prog.File : reverse_me_modified.txt +Fri Nov 19 14:09:15 2021: * Verified : TRUE +Fri Nov 19 14:09:15 2021: * BSL Unlock : FALSE +Fri Nov 19 14:09:15 2021: * InfoA Access: FALSE +Fri Nov 19 14:09:15 2021: * VCC ON : 3000 mV +Fri Nov 19 14:09:15 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:15 2021: * Starting target code execution...done +Fri Nov 19 14:09:15 2021: * Disconnecting from device...done +Fri Nov 19 14:09:15 2021: * +Fri Nov 19 14:09:15 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:15 2021: * Driver : closed (No error) +Fri Nov 19 14:09:15 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:15 2021: */ +Fri Nov 19 14:09:21 2021: * -----/|-------------------------------------------------------------------- * +Fri Nov 19 14:09:21 2021: * / |__ * +Fri Nov 19 14:09:21 2021: * /_ / MSP Flasher v1.3.20 * +Fri Nov 19 14:09:21 2021: * | / * +Fri Nov 19 14:09:21 2021: * -----|/-------------------------------------------------------------------- * +Fri Nov 19 14:09:21 2021: * +Fri Nov 19 14:09:21 2021: * Evaluating triggers...done +Fri Nov 19 14:09:21 2021: * Checking for available FET debuggers: +Fri Nov 19 14:09:21 2021: * Found USB FET @ COM16 <- Selected +Fri Nov 19 14:09:21 2021: * Initializing interface @ COM16...done +Fri Nov 19 14:09:22 2021: * Checking firmware compatibility: +Fri Nov 19 14:09:22 2021: * FET firmware is up to date. +Fri Nov 19 14:09:22 2021: * Reading FW version...done +Fri Nov 19 14:09:22 2021: * Setting VCC to 3000 mV...done +Fri Nov 19 14:09:22 2021: * Accessing device...done +Fri Nov 19 14:09:22 2021: * Reading device information...done +Fri Nov 19 14:09:22 2021: * Loading file into device...done +Fri Nov 19 14:09:23 2021: * Verifying memory (reverse_me_corrected.txt)...done +Fri Nov 19 14:09:23 2021: * +Fri Nov 19 14:09:23 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:23 2021: * Arguments : -n MSP430FG4618 -w reverse_me_corrected.txt -v -z [Vcc] +Fri Nov 19 14:09:24 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:24 2021: * Driver : loaded +Fri Nov 19 14:09:24 2021: * Dll Version : 31400000 +Fri Nov 19 14:09:24 2021: * FwVersion : 31200000 +Fri Nov 19 14:09:24 2021: * Interface : TIUSB +Fri Nov 19 14:09:24 2021: * HwVersion : U 3.0 +Fri Nov 19 14:09:24 2021: * JTAG Mode : AUTO +Fri Nov 19 14:09:24 2021: * Device : MSP430FG4618 +Fri Nov 19 14:09:24 2021: * EEM : Level 3, ClockCntrl 2 +Fri Nov 19 14:09:24 2021: * Erase Mode : ERASE_ALL +Fri Nov 19 14:09:24 2021: * Prog.File : reverse_me_corrected.txt +Fri Nov 19 14:09:24 2021: * Verified : TRUE +Fri Nov 19 14:09:24 2021: * BSL Unlock : FALSE +Fri Nov 19 14:09:24 2021: * InfoA Access: FALSE +Fri Nov 19 14:09:24 2021: * VCC ON : 3000 mV +Fri Nov 19 14:09:24 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:24 2021: * Starting target code execution...done +Fri Nov 19 14:09:24 2021: * Disconnecting from device...done +Fri Nov 19 14:09:24 2021: * +Fri Nov 19 14:09:24 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:24 2021: * Driver : closed (No error) +Fri Nov 19 14:09:24 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:09:24 2021: */ +Fri Nov 19 14:12:43 2021: * -----/|-------------------------------------------------------------------- * +Fri Nov 19 14:12:43 2021: * / |__ * +Fri Nov 19 14:12:43 2021: * /_ / MSP Flasher v1.3.20 * +Fri Nov 19 14:12:43 2021: * | / * +Fri Nov 19 14:12:43 2021: * -----|/-------------------------------------------------------------------- * +Fri Nov 19 14:12:43 2021: * +Fri Nov 19 14:12:43 2021: * Evaluating triggers...done +Fri Nov 19 14:12:43 2021: * Checking for available FET debuggers: +Fri Nov 19 14:12:43 2021: * Found USB FET @ COM16 <- Selected +Fri Nov 19 14:12:43 2021: * Initializing interface @ COM16...done +Fri Nov 19 14:12:43 2021: * Checking firmware compatibility: +Fri Nov 19 14:12:43 2021: * FET firmware is up to date. +Fri Nov 19 14:12:43 2021: * Reading FW version...done +Fri Nov 19 14:12:43 2021: * Setting VCC to 3000 mV...done +Fri Nov 19 14:12:44 2021: * Accessing device...done +Fri Nov 19 14:12:44 2021: * Reading device information...done +Fri Nov 19 14:12:44 2021: * Loading file into device...done +Fri Nov 19 14:12:45 2021: * Verifying memory (reverse_me_corrected.txt)...done +Fri Nov 19 14:12:45 2021: * +Fri Nov 19 14:12:45 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:12:45 2021: * Arguments : -n MSP430FG4618 -w reverse_me_corrected.txt -v -z [Vcc] +Fri Nov 19 14:12:45 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:12:45 2021: * Driver : loaded +Fri Nov 19 14:12:45 2021: * Dll Version : 31400000 +Fri Nov 19 14:12:45 2021: * FwVersion : 31200000 +Fri Nov 19 14:12:45 2021: * Interface : TIUSB +Fri Nov 19 14:12:45 2021: * HwVersion : U 3.0 +Fri Nov 19 14:12:45 2021: * JTAG Mode : AUTO +Fri Nov 19 14:12:45 2021: * Device : MSP430FG4618 +Fri Nov 19 14:12:45 2021: * EEM : Level 3, ClockCntrl 2 +Fri Nov 19 14:12:45 2021: * Erase Mode : ERASE_ALL +Fri Nov 19 14:12:45 2021: * Prog.File : reverse_me_corrected.txt +Fri Nov 19 14:12:45 2021: * Verified : TRUE +Fri Nov 19 14:12:45 2021: * BSL Unlock : FALSE +Fri Nov 19 14:12:45 2021: * InfoA Access: FALSE +Fri Nov 19 14:12:45 2021: * VCC ON : 3000 mV +Fri Nov 19 14:12:45 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:12:45 2021: * Starting target code execution...done +Fri Nov 19 14:12:46 2021: * Disconnecting from device...done +Fri Nov 19 14:12:46 2021: * +Fri Nov 19 14:12:46 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:12:46 2021: * Driver : closed (No error) +Fri Nov 19 14:12:46 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:12:46 2021: */ +Fri Nov 19 14:16:51 2021: * -----/|-------------------------------------------------------------------- * +Fri Nov 19 14:16:51 2021: * / |__ * +Fri Nov 19 14:16:51 2021: * /_ / MSP Flasher v1.3.20 * +Fri Nov 19 14:16:51 2021: * | / * +Fri Nov 19 14:16:51 2021: * -----|/-------------------------------------------------------------------- * +Fri Nov 19 14:16:51 2021: * +Fri Nov 19 14:16:51 2021: * Evaluating triggers...done +Fri Nov 19 14:16:51 2021: * Checking for available FET debuggers: +Fri Nov 19 14:16:52 2021: * Found USB FET @ COM16 <- Selected +Fri Nov 19 14:16:52 2021: * Initializing interface @ COM16...done +Fri Nov 19 14:16:52 2021: * Checking firmware compatibility: +Fri Nov 19 14:16:52 2021: * FET firmware is up to date. +Fri Nov 19 14:16:52 2021: * Reading FW version...done +Fri Nov 19 14:16:52 2021: * Setting VCC to 3000 mV...done +Fri Nov 19 14:16:52 2021: * Accessing device...done +Fri Nov 19 14:16:52 2021: * Reading device information...done +Fri Nov 19 14:16:52 2021: * Loading file into device...done +Fri Nov 19 14:16:53 2021: * Verifying memory (reverse_me_corrected.txt)...done +Fri Nov 19 14:16:54 2021: * +Fri Nov 19 14:16:54 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:16:54 2021: * Arguments : -n MSP430FG4618 -w reverse_me_corrected.txt -v -z [Vcc] +Fri Nov 19 14:16:54 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:16:54 2021: * Driver : loaded +Fri Nov 19 14:16:54 2021: * Dll Version : 31400000 +Fri Nov 19 14:16:54 2021: * FwVersion : 31200000 +Fri Nov 19 14:16:54 2021: * Interface : TIUSB +Fri Nov 19 14:16:54 2021: * HwVersion : U 3.0 +Fri Nov 19 14:16:54 2021: * JTAG Mode : AUTO +Fri Nov 19 14:16:54 2021: * Device : MSP430FG4618 +Fri Nov 19 14:16:54 2021: * EEM : Level 3, ClockCntrl 2 +Fri Nov 19 14:16:54 2021: * Erase Mode : ERASE_ALL +Fri Nov 19 14:16:54 2021: * Prog.File : reverse_me_corrected.txt +Fri Nov 19 14:16:54 2021: * Verified : TRUE +Fri Nov 19 14:16:54 2021: * BSL Unlock : FALSE +Fri Nov 19 14:16:54 2021: * InfoA Access: FALSE +Fri Nov 19 14:16:54 2021: * VCC ON : 3000 mV +Fri Nov 19 14:16:54 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:16:54 2021: * Starting target code execution...done +Fri Nov 19 14:16:54 2021: * Disconnecting from device...done +Fri Nov 19 14:16:54 2021: * +Fri Nov 19 14:16:54 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:16:54 2021: * Driver : closed (No error) +Fri Nov 19 14:16:54 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:16:54 2021: */ +Fri Nov 19 14:17:17 2021: * -----/|-------------------------------------------------------------------- * +Fri Nov 19 14:17:17 2021: * / |__ * +Fri Nov 19 14:17:17 2021: * /_ / MSP Flasher v1.3.20 * +Fri Nov 19 14:17:17 2021: * | / * +Fri Nov 19 14:17:17 2021: * -----|/-------------------------------------------------------------------- * +Fri Nov 19 14:17:17 2021: * +Fri Nov 19 14:17:17 2021: * Evaluating triggers...done +Fri Nov 19 14:17:17 2021: * Checking for available FET debuggers: +Fri Nov 19 14:17:17 2021: * Found USB FET @ COM16 <- Selected +Fri Nov 19 14:17:17 2021: * Initializing interface @ COM16...done +Fri Nov 19 14:17:18 2021: * Checking firmware compatibility: +Fri Nov 19 14:17:18 2021: * FET firmware is up to date. +Fri Nov 19 14:17:18 2021: * Reading FW version...done +Fri Nov 19 14:17:18 2021: * Setting VCC to 3000 mV...done +Fri Nov 19 14:17:18 2021: * Accessing device...done +Fri Nov 19 14:17:18 2021: * Reading device information...done +Fri Nov 19 14:17:18 2021: * Loading file into device...done +Fri Nov 19 14:17:19 2021: * Verifying memory (reverse_me_modified.txt)...done +Fri Nov 19 14:17:20 2021: * +Fri Nov 19 14:17:20 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:17:20 2021: * Arguments : -n MSP430FG4618 -w reverse_me_modified.txt -v -z [Vcc] +Fri Nov 19 14:17:20 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:17:20 2021: * Driver : loaded +Fri Nov 19 14:17:20 2021: * Dll Version : 31400000 +Fri Nov 19 14:17:20 2021: * FwVersion : 31200000 +Fri Nov 19 14:17:20 2021: * Interface : TIUSB +Fri Nov 19 14:17:20 2021: * HwVersion : U 3.0 +Fri Nov 19 14:17:20 2021: * JTAG Mode : AUTO +Fri Nov 19 14:17:20 2021: * Device : MSP430FG4618 +Fri Nov 19 14:17:20 2021: * EEM : Level 3, ClockCntrl 2 +Fri Nov 19 14:17:20 2021: * Erase Mode : ERASE_ALL +Fri Nov 19 14:17:20 2021: * Prog.File : reverse_me_modified.txt +Fri Nov 19 14:17:20 2021: * Verified : TRUE +Fri Nov 19 14:17:20 2021: * BSL Unlock : FALSE +Fri Nov 19 14:17:20 2021: * InfoA Access: FALSE +Fri Nov 19 14:17:20 2021: * VCC ON : 3000 mV +Fri Nov 19 14:17:20 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:17:20 2021: * Starting target code execution...done +Fri Nov 19 14:17:20 2021: * Disconnecting from device...done +Fri Nov 19 14:17:20 2021: * +Fri Nov 19 14:17:20 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:17:20 2021: * Driver : closed (No error) +Fri Nov 19 14:17:20 2021: * ---------------------------------------------------------------------------- +Fri Nov 19 14:17:20 2021: */ diff --git a/CPE325/Lab11/RetrievedHEX.txt b/CPE325/Lab11/RetrievedHEX.txt new file mode 100644 index 0000000..2f1d7cc --- /dev/null +++ b/CPE325/Lab11/RetrievedHEX.txt @@ -0,0 +1,16 @@ +@3100 +B2 40 80 5A 20 01 E2 D2 2A 00 E2 D3 2A 00 E2 C2 +29 00 E2 C3 29 00 D2 C3 22 00 E2 C3 22 00 E2 B3 +20 00 FD 23 0D 12 3D 40 1D 03 1D 83 FE 23 3D 41 +00 3C E2 B3 20 00 F3 23 E2 B3 20 00 FD 23 0D 12 +3D 40 1D 03 1D 83 FE 23 3D 41 00 3C E2 B3 20 00 +F3 23 D2 B3 20 00 FD 23 0D 12 3D 40 1D 03 1D 83 +FE 23 3D 41 00 3C D2 B3 20 00 F3 23 E2 E2 29 00 +0D 12 0E 12 3D 40 80 8B 0E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 E2 E3 29 00 +0D 12 0E 12 3D 40 44 28 1E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 DF 3F 31 40 +00 31 B0 12 C8 31 0C 43 B0 12 00 31 1C 43 B0 12 +C2 31 03 43 FF 3F 03 43 1C 43 30 41 32 D0 10 00 +FD 3F 03 43 +q diff --git a/CPE325/Lab11/RetrievedHEX_Stripped.txt b/CPE325/Lab11/RetrievedHEX_Stripped.txt new file mode 100644 index 0000000..2f1d7cc --- /dev/null +++ b/CPE325/Lab11/RetrievedHEX_Stripped.txt @@ -0,0 +1,16 @@ +@3100 +B2 40 80 5A 20 01 E2 D2 2A 00 E2 D3 2A 00 E2 C2 +29 00 E2 C3 29 00 D2 C3 22 00 E2 C3 22 00 E2 B3 +20 00 FD 23 0D 12 3D 40 1D 03 1D 83 FE 23 3D 41 +00 3C E2 B3 20 00 F3 23 E2 B3 20 00 FD 23 0D 12 +3D 40 1D 03 1D 83 FE 23 3D 41 00 3C E2 B3 20 00 +F3 23 D2 B3 20 00 FD 23 0D 12 3D 40 1D 03 1D 83 +FE 23 3D 41 00 3C D2 B3 20 00 F3 23 E2 E2 29 00 +0D 12 0E 12 3D 40 80 8B 0E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 E2 E3 29 00 +0D 12 0E 12 3D 40 44 28 1E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 DF 3F 31 40 +00 31 B0 12 C8 31 0C 43 B0 12 00 31 1C 43 B0 12 +C2 31 03 43 FF 3F 03 43 1C 43 30 41 32 D0 10 00 +FD 3F 03 43 +q diff --git a/CPE325/Lab11/ReverseMe.txt b/CPE325/Lab11/ReverseMe.txt new file mode 100644 index 0000000..2ddc3ca Binary files /dev/null and b/CPE325/Lab11/ReverseMe.txt differ diff --git a/CPE325/Lab11/ReverseMe2.txt b/CPE325/Lab11/ReverseMe2.txt new file mode 100644 index 0000000..fd40c0c Binary files /dev/null and b/CPE325/Lab11/ReverseMe2.txt differ diff --git a/CPE325/Lab11/ReverseMeModifed.txt b/CPE325/Lab11/ReverseMeModifed.txt new file mode 100644 index 0000000..9fd971b Binary files /dev/null and b/CPE325/Lab11/ReverseMeModifed.txt differ diff --git a/CPE325/Lab11/ReverseMe_Modified.txt b/CPE325/Lab11/ReverseMe_Modified.txt new file mode 100644 index 0000000..3dc7a08 Binary files /dev/null and b/CPE325/Lab11/ReverseMe_Modified.txt differ diff --git a/CPE325/Lab11/crack_me.out b/CPE325/Lab11/crack_me.out new file mode 100644 index 0000000..036cb89 Binary files /dev/null and b/CPE325/Lab11/crack_me.out differ diff --git a/CPE325/Lab11/crack_me.txt b/CPE325/Lab11/crack_me.txt new file mode 100644 index 0000000..ed2e765 Binary files /dev/null and b/CPE325/Lab11/crack_me.txt differ diff --git a/CPE325/Lab11/disassembly.txt b/CPE325/Lab11/disassembly.txt new file mode 100644 index 0000000..85814e6 Binary files /dev/null and b/CPE325/Lab11/disassembly.txt differ diff --git a/CPE325/Lab11/lnk_msp430fg4618.cmd b/CPE325/Lab11/lnk_msp430fg4618.cmd new file mode 100755 index 0000000..557b664 --- /dev/null +++ b/CPE325/Lab11/lnk_msp430fg4618.cmd @@ -0,0 +1,184 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430fg4618.cmd - LINKER COMMAND FILE FOR LINKING MSP430FG4618 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab11/reverse_me_corrected.txt b/CPE325/Lab11/reverse_me_corrected.txt new file mode 100644 index 0000000..6266189 --- /dev/null +++ b/CPE325/Lab11/reverse_me_corrected.txt @@ -0,0 +1,22 @@ +@3100 +B2 40 80 5A 20 01 E2 D2 2A 00 E2 D3 2A 00 E2 C2 +29 00 E2 C3 29 00 D2 C3 22 00 E2 C3 22 00 E2 B3 +20 00 FD 23 0D 12 3D 40 1D 03 1D 83 FE 23 3D 41 +00 3C E2 B3 20 00 F3 23 E2 B3 20 00 FD 23 0D 12 +3D 40 1D 03 1D 83 FE 23 3D 41 00 3C E2 B3 20 00 +F3 23 D2 B3 20 00 FD 23 0D 12 3D 40 1D 03 1D 83 +FE 23 3D 41 00 3C D2 B3 20 00 F3 23 E2 E2 29 00 +0D 12 0E 12 3D 40 80 8B 0E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 E2 E3 29 00 +0D 12 0E 12 3D 40 44 28 1E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 DF 3F 31 40 +00 31 B0 12 C8 31 0C 43 B0 12 00 31 1C 43 B0 12 +C2 31 03 43 FF 3F 03 43 1C 43 30 41 32 D0 10 00 +FD 3F 03 43 +@ffbe +FF FF +@ffdc +CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 +CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 +CC 31 AE 31 +q diff --git a/CPE325/Lab11/reverse_me_modified.txt b/CPE325/Lab11/reverse_me_modified.txt new file mode 100644 index 0000000..7968ed3 --- /dev/null +++ b/CPE325/Lab11/reverse_me_modified.txt @@ -0,0 +1,22 @@ +@3100 +B2 40 80 5A 20 01 E2 D2 2A 00 E2 D3 2A 00 E2 C2 +29 00 E2 D3 29 00 D2 C3 22 00 E2 C3 22 00 E2 B3 +20 00 FD 23 0D 12 3D 40 1D 03 1D 83 FE 23 3D 41 +00 3C E2 B3 20 00 F3 23 E2 B3 20 00 FD 23 0D 12 +3D 40 1D 03 1D 83 FE 23 3D 41 00 3C E2 B3 20 00 +F3 23 D2 B3 20 00 FD 23 0D 12 3D 40 1D 03 1D 83 +FE 23 3D 41 00 3C D2 B3 20 00 F3 23 E2 E2 29 00 +0D 12 0E 12 3D 40 80 8B 0E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 E2 E3 29 00 +0D 12 0E 12 3D 40 44 28 1E 43 1D 83 0E 73 FD 23 +0D 93 FB 23 3E 41 3D 41 00 3C 03 43 DF 3F 31 40 +00 31 B0 12 C8 31 0C 43 B0 12 00 31 1C 43 B0 12 +C2 31 03 43 FF 3F 03 43 1C 43 30 41 32 D0 10 00 +FD 3F 03 43 +@ffbe +FF FF +@ffdc +CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 +CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 CC 31 +CC 31 AE 31 +q diff --git a/CPE325/Lab11/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab11/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab11/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab11/targetConfigs/readme.txt b/CPE325/Lab11/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab11/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab1_Problem1/Debug/Lab1_Problem1.map b/CPE325/Lab1_Problem1/Debug/Lab1_Problem1.map new file mode 100644 index 0000000..78a907a --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/Lab1_Problem1.map @@ -0,0 +1,2440 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Aug 27 14:28:11 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 0000897e + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 00000494 00001b6c RWIX + FLASH 00004400 0000bb80 000048a2 000072de RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 0000264c 000000fe UNINITIALIZED + 0000264c 00000078 rts430_eabi.lib : defs.c.obj (.data:_ftable) + 000026c4 0000004e : host_device.c.obj (.data:_device) + 00002712 00000028 : host_device.c.obj (.data:_stream) + 0000273a 00000002 : exit_gvars.c.obj (.data:__TI_cleanup_ptr) + 0000273c 00000002 : exit_gvars.c.obj (.data:__TI_dtors_ptr) + 0000273e 00000002 : _lock.c.obj (.data:_lock) + 00002740 00000002 : _lock.c.obj (.data:_unlock) + 00002742 00000002 : defs.c.obj (.data) + 00002744 00000002 : errno.c.obj (.data) + 00002746 00000002 : exit.c.obj (.data) + 00002748 00000002 : memory.c.obj (.data) + +.bss 0 0000274a 000000aa UNINITIALIZED + 0000274a 000000a0 (.common:__TI_tmpnams) + 000027ea 00000008 (.common:parmbuf) + 000027f2 00000002 rts430_eabi.lib : memory.c.obj (.bss) + +.sysmem 0 00002400 0000012c UNINITIALIZED + 00002400 00000008 rts430_eabi.lib : memory.c.obj (.sysmem) + 00002408 00000124 --HOLE-- + +.cio 0 0000252c 00000120 UNINITIALIZED + 0000252c 00000120 rts430_eabi.lib : trgmsg.c.obj (.cio) + +.stack 0 00004360 000000a0 UNINITIALIZED + 00004360 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 00004362 0000009e --HOLE-- + +.text 0 00004400 00004708 + 00004400 00000736 rts430_eabi.lib : addd.c.obj (.text:__mspabi_addd) + 00004b36 00000478 : frcdivd.c.obj (.text:__TI_frcdivd) + 00004fae 00000360 : div64u.c.obj (.text:__mspabi_divull) + 0000530e 000002c0 : mpyd.c.obj (.text:__mspabi_mpyd) + 000055ce 00000288 : _printfi.c.obj (.text:__TI_printfi) + 00005856 0000026e : _printfi.c.obj (.text:_pproc_fgea) + 00005ac4 00000252 : _printfi.c.obj (.text:_setfield) + 00005d16 00000244 : _printfi.c.obj (.text:acvt) + 00005f5a 00000238 : divd.c.obj (.text:__mspabi_divd) + 00006192 000001ec : _printfi.c.obj (.text:_getarg_diouxp) + 0000637e 000001de : _printfi.c.obj (.text:ecvt) + 0000655c 000001c4 : _printfi.c.obj (.text:fcvt) + 00006720 000001a6 : _printfi.c.obj (.text:_pconv_e) + 000068c6 00000174 : _printfi.c.obj (.text:_pconv_a) + 00006a3a 00000172 : frcmpyd.c.obj (.text:__TI_frcmpyd) + 00006bac 0000016a : s_scalbn.c.obj (.text:scalbn) + 00006d16 0000014c : _printfi.c.obj (.text:_pproc_diouxp) + 00006e62 0000012e : _printfi.c.obj (.text:_ltostr) + 00006f90 000000f8 : _printfi.c.obj (.text:_pconv_g) + 00007088 000000f6 : memory.c.obj (.text:aligned_alloc) + 0000717e 000000ea : fputs.c.obj (.text:fputs) + 00007268 000000e0 : _printfi.c.obj (.text:_pproc_fwp) + 00007348 000000dc : cmpd.c.obj (.text:__mspabi_cmpd) + 00007424 000000d2 : memory.c.obj (.text:free) + 000074f6 000000d0 : setvbuf.c.obj (.text:setvbuf) + 000075c6 000000c4 : _printfi.c.obj (.text:_pproc_wstr) + 0000768a 000000b0 : s_frexp.c.obj (.text:frexp) + 0000773a 000000ac : fltlid.c.obj (.text:__mspabi_fltlid) + 000077e6 000000ac : _printfi.c.obj (.text:_pproc_str) + 00007892 00000092 : _printfi.c.obj (.text:_mcpy) + 00007924 00000090 : fputc.c.obj (.text:fputc) + 000079b4 0000008e : _printfi.c.obj (.text:_ecpy) + 00007a42 00000088 : hostlseek.c.obj (.text:HOSTlseek) + 00007aca 00000084 : _ltoa.c.obj (.text:__TI_ltoa) + 00007b4e 0000007c : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00007bca 0000007a : _io_perm.c.obj (.text:__TI_wrt_ok) + 00007c44 0000007a : _printfi.c.obj (.text:_pconv_f) + 00007cbe 00000072 : fclose.c.obj (.text:__TI_closefile) + 00007d30 00000072 : fixdli.c.obj (.text:__mspabi_fixdli) + 00007da2 00000070 : fseek.c.obj (.text:fseek) + 00007e12 0000006a : hostrename.c.obj (.text:HOSTrename) + 00007e7c 00000068 : memory.c.obj (.text:split) + 00007ee4 00000066 : hostopen.c.obj (.text:HOSTopen) + 00007f4a 00000062 : hostwrite.c.obj (.text:HOSTwrite) + 00007fac 00000062 : fflush.c.obj (.text:__TI_doflush) + 0000800e 00000060 : hostread.c.obj (.text:HOSTread) + 0000806e 0000005e : mult64_f5hw.asm.obj (.text:__mpyll) + 000080cc 0000005c : lsr32.asm.obj (.text:l_lsr_const) + 00008128 00000058 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00008180 00000058 : getdevice.c.obj (.text:getdevice) + 000081d8 00000058 : div32u.asm.obj (.text) + 00008230 00000052 : atoi.c.obj (.text:atoi) + 00008282 00000052 P1a.obj (.text:main) + 000082d4 0000004e rts430_eabi.lib : _printfi.c.obj (.text:_fcpy) + 00008322 0000004c : lsr16.asm.obj (.text) + 0000836e 0000004a : asr64.c.obj (.text:__mspabi_srall) + 000083b8 0000004a : close.c.obj (.text:close) + 00008402 00000046 : lsr64.c.obj (.text:__mspabi_srlll) + 00008448 00000044 : hostclose.c.obj (.text:HOSTclose) + 0000848c 00000044 : lsl64.c.obj (.text:__mspabi_sllll) + 000084d0 00000044 : exit.c.obj (.text:exit) + 00008514 00000040 : hostunlink.c.obj (.text:HOSTunlink) + 00008554 00000040 : div32s.asm.obj (.text) + 00008594 0000003e : asr32.asm.obj (.text:l_asr_const) + 000085d2 0000003e : lsl32.asm.obj (.text:l_lsl_const) + 00008610 0000003c : printf.c.obj (.text:printf) + 0000864c 0000003a P1a.obj (.text:prod) + 00008686 00000034 rts430_eabi.lib : fopen.c.obj (.text:__TI_cleanup) + 000086ba 00000034 : getdevice.c.obj (.text:finddevice) + 000086ee 0000002e : trgmsg.c.obj (.text:__TI_writemsg) + 0000871c 0000002e : subd.c.obj (.text:__mspabi_subd) + 0000874a 0000002e : s_copysign.c.obj (.text:copysign) + 00008778 0000002c : trgmsg.c.obj (.text:__TI_readmsg) + 000087a4 0000002c : asr16.asm.obj (.text) + 000087d0 0000002c : lsl16.asm.obj (.text) + 000087fc 0000002c : strncpy.c.obj (.text:strncpy) + 00008828 0000002a : negd.c.obj (.text:__mspabi_negd) + 00008852 00000028 : mult3264_f5hw.asm.obj (.text:__mpyull) + 0000887a 00000028 : fixdi.c.obj (.text:__mspabi_fixdi) + 000088a2 00000028 : memory.c.obj (.text:free_list_insert) + 000088ca 00000028 : unlink.c.obj (.text:unlink) + 000088f2 00000026 : lseek.c.obj (.text:lseek) + 00008918 00000024 : write.c.obj (.text:write) + 0000893c 00000022 : memccpy.c.obj (.text:memccpy) + 0000895e 00000020 : mult32_f5hw.asm.obj (.text) + 0000897e 0000001c : boot.c.obj (.text:_c_int00_noargs) + 0000899a 0000001c : memory.c.obj (.text:free_list_remove) + 000089b6 0000001a : strchr.c.obj (.text:strchr) + 000089d0 00000018 : strcmp.c.obj (.text:strcmp) + 000089e8 00000016 : div16u.asm.obj (.text) + 000089fe 00000014 : copy_zero_init.c.obj (.text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset) + 00008a12 00000014 : memchr.c.obj (.text:memchr) + 00008a26 00000014 : memset.c.obj (.text:memset) + 00008a3a 00000014 : mult16_f5hw.asm.obj (.text) + 00008a4e 00000014 : wcslen.c.obj (.text:wcslen) + 00008a62 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00008a74 00000012 : lsr32.asm.obj (.text:l_lsr) + 00008a86 00000012 : memcpy.c.obj (.text:memcpy) + 00008a98 00000010 : epilog.asm.obj (.text) + 00008aa8 00000010 : strcpy.c.obj (.text:strcpy) + 00008ab8 0000000e : strlen.c.obj (.text:strlen) + 00008ac6 0000000c : fltid.c.obj (.text:__mspabi_fltid) + 00008ad2 0000000c : toupper.c.obj (.text:toupper) + 00008ade 0000000a : abs.c.obj (.text:abs) + 00008ae8 00000008 : memory.c.obj (.text:malloc) + 00008af0 00000006 : printf.c.obj (.text:_outc) + 00008af6 00000006 : exit.c.obj (.text:abort) + 00008afc 00000004 : printf.c.obj (.text:_outs) + 00008b00 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00008b04 00000002 : _lock.c.obj (.text:_nop) + 00008b06 00000002 : startup.c.obj (.text:_system_post_cinit) + +.const 0 00008b08 0000013c + 00008b08 00000101 rts430_eabi.lib : ctype.c.obj (.const:.string:_ctypes_) + 00008c09 00000001 --HOLE-- [fill = 0] + 00008c0a 00000026 : _printfi.c.obj (.const:.string) + 00008c30 00000014 P1a.obj (.const:.string) + +.text:_isr +* 0 00008c44 00000008 + 00008c44 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00008c4c 00000056 + 00008c4c 00000044 (.cinit..data.load) [load image, compression = lzss] + 00008c90 00000006 (__TI_handler_table) + 00008c96 00000004 (.cinit..bss.load) [load image, compression = zero_init] + 00008c9a 00000008 (__TI_cinit_table) + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + P1a.obj 140 20 0 + +--+----------------------------+-------+---------+---------+ + Total: 140 20 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + _printfi.c.obj 6622 38 0 + addd.c.obj 1846 0 0 + frcdivd.c.obj 1144 0 0 + div64u.c.obj 864 0 0 + mpyd.c.obj 704 0 0 + memory.c.obj 636 0 4 + divd.c.obj 568 0 0 + trgmsg.c.obj 90 0 288 + frcmpyd.c.obj 370 0 0 + s_scalbn.c.obj 362 0 0 + defs.c.obj 0 0 282 + ctype.c.obj 0 257 0 + fputs.c.obj 234 0 0 + cmpd.c.obj 220 0 0 + setvbuf.c.obj 208 0 0 + s_frexp.c.obj 176 0 0 + fltlid.c.obj 172 0 0 + fputc.c.obj 144 0 0 + getdevice.c.obj 140 0 0 + hostlseek.c.obj 136 0 0 + _ltoa.c.obj 132 0 0 + copy_decompress_lzss.c.obj 124 0 0 + _io_perm.c.obj 122 0 0 + host_device.c.obj 0 0 118 + fclose.c.obj 114 0 0 + fixdli.c.obj 114 0 0 + fseek.c.obj 112 0 0 + hostopen.c.obj 102 0 8 + lsr32.asm.obj 110 0 0 + hostrename.c.obj 106 0 0 + fflush.c.obj 98 0 0 + hostwrite.c.obj 98 0 0 + hostread.c.obj 96 0 0 + mult64_f5hw.asm.obj 94 0 0 + autoinit.c.obj 88 0 0 + div32u.asm.obj 88 0 0 + atoi.c.obj 82 0 0 + exit.c.obj 74 0 2 + lsr16.asm.obj 76 0 0 + asr64.c.obj 74 0 0 + close.c.obj 74 0 0 + lsr64.c.obj 70 0 0 + printf.c.obj 70 0 0 + hostclose.c.obj 68 0 0 + lsl64.c.obj 68 0 0 + div32s.asm.obj 64 0 0 + hostunlink.c.obj 64 0 0 + asr32.asm.obj 62 0 0 + lsl32.asm.obj 62 0 0 + fopen.c.obj 52 0 0 + s_copysign.c.obj 46 0 0 + subd.c.obj 46 0 0 + asr16.asm.obj 44 0 0 + lsl16.asm.obj 44 0 0 + strncpy.c.obj 44 0 0 + negd.c.obj 42 0 0 + fixdi.c.obj 40 0 0 + mult3264_f5hw.asm.obj 40 0 0 + unlink.c.obj 40 0 0 + lseek.c.obj 38 0 0 + write.c.obj 36 0 0 + memccpy.c.obj 34 0 0 + mult32_f5hw.asm.obj 32 0 0 + boot.c.obj 28 2 0 + strchr.c.obj 26 0 0 + strcmp.c.obj 24 0 0 + div16u.asm.obj 22 0 0 + copy_zero_init.c.obj 20 0 0 + memchr.c.obj 20 0 0 + memset.c.obj 20 0 0 + mult16_f5hw.asm.obj 20 0 0 + wcslen.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + strcpy.c.obj 16 0 0 + strlen.c.obj 14 0 0 + fltid.c.obj 12 0 0 + toupper.c.obj 12 0 0 + abs.c.obj 10 0 0 + isr_trap.asm.obj 8 0 0 + _lock.c.obj 2 0 4 + exit_gvars.c.obj 0 0 4 + pre_init.c.obj 4 0 0 + errno.c.obj 0 0 2 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+-------+---------+---------+ + Total: 18052 341 712 + + Heap: 0 0 300 + Stack: 0 0 160 + Linker Generated: 0 86 0 + +--+----------------------------+-------+---------+---------+ + Grand Total: 18192 447 1172 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 00008c9a records: 2, size/record: 4, table size: 8 + .data: load addr=00008c4c, load size=00000044 bytes, run addr=0000264c, run size=000000fe bytes, compression=lzss + .bss: load addr=00008c96, load size=00000004 bytes, run addr=0000274a, run size=000000aa bytes, compression=zero_init + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 00008c90 records: 3, size/record: 2, table size: 6 + index: 0, handler: __TI_zero_init + index: 1, handler: __TI_decompress_lzss + index: 2, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +00008af6 C$$EXIT +00008718 C$$IO$$ +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +00008448 HOSTclose +00007a42 HOSTlseek +00007ee4 HOSTopen +0000800e HOSTread +00007e12 HOSTrename +00008514 HOSTunlink +00007f4a HOSTwrite +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +0000252c _CIOBUF_ +00004400 __STACK_END +000000a0 __STACK_SIZE +0000012c __SYSMEM_SIZE +00008c9a __TI_CINIT_Base +00008ca2 __TI_CINIT_Limit +00008c90 __TI_Handler_Table_Base +00008c96 __TI_Handler_Table_Limit +00008c44 __TI_ISR_TRAP +00008128 __TI_auto_init_nobinit_nopinit_hold_wdt +00008686 __TI_cleanup +0000273a __TI_cleanup_ptr +00007cbe __TI_closefile +00007b4e __TI_decompress_lzss +00008a62 __TI_decompress_none +00007fac __TI_doflush +0000273c __TI_dtors_ptr +00002746 __TI_enable_exit_profile_output +00004b36 __TI_frcdivd +00006a3a __TI_frcmpyd +00002742 __TI_ft_end +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +00007aca __TI_ltoa +ffffffff __TI_pprof_out_hndl +000055ce __TI_printfi +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +00008778 __TI_readmsg +0000274a __TI_tmpnams +000086ee __TI_writemsg +00007bca __TI_wrt_ok +000089fe __TI_zero_init_nomemset +ffffffff __c_args__ +00004400 __mspabi_addd +00007348 __mspabi_cmpd +00005f5a __mspabi_divd +00008554 __mspabi_divli +000089e8 __mspabi_divu +000081d8 __mspabi_divul +00004fae __mspabi_divull +0000887a __mspabi_fixdi +00007d30 __mspabi_fixdli +00008ac6 __mspabi_fltid +0000773a __mspabi_fltlid +00008aa4 __mspabi_func_epilog_1 +00008aa2 __mspabi_func_epilog_2 +00008aa0 __mspabi_func_epilog_3 +00008a9e __mspabi_func_epilog_4 +00008a9c __mspabi_func_epilog_5 +00008a9a __mspabi_func_epilog_6 +00008a98 __mspabi_func_epilog_7 +0000530e __mspabi_mpyd +00008a3a __mspabi_mpyi_f5hw +0000895e __mspabi_mpyl_f5hw +0000806e __mspabi_mpyll_f5hw +00008852 __mspabi_mpyull_f5hw +00008828 __mspabi_negd +00008554 __mspabi_remli +000089e8 __mspabi_remu +000081d8 __mspabi_remul +000087d0 __mspabi_slli +000087f8 __mspabi_slli_1 +000087e6 __mspabi_slli_10 +000087e4 __mspabi_slli_11 +000087e2 __mspabi_slli_12 +000087e0 __mspabi_slli_13 +000087de __mspabi_slli_14 +000087dc __mspabi_slli_15 +000087f6 __mspabi_slli_2 +000087f4 __mspabi_slli_3 +000087f2 __mspabi_slli_4 +000087f0 __mspabi_slli_5 +000087ee __mspabi_slli_6 +000087ec __mspabi_slli_7 +000087ea __mspabi_slli_8 +000087e8 __mspabi_slli_9 +0000860a __mspabi_slll_1 +000085e6 __mspabi_slll_10 +000085e2 __mspabi_slll_11 +000085de __mspabi_slll_12 +000085da __mspabi_slll_13 +000085d6 __mspabi_slll_14 +000085d2 __mspabi_slll_15 +00008606 __mspabi_slll_2 +00008602 __mspabi_slll_3 +000085fe __mspabi_slll_4 +000085fa __mspabi_slll_5 +000085f6 __mspabi_slll_6 +000085f2 __mspabi_slll_7 +000085ee __mspabi_slll_8 +000085ea __mspabi_slll_9 +0000848c __mspabi_sllll +000087a4 __mspabi_srai +000087cc __mspabi_srai_1 +000087ba __mspabi_srai_10 +000087b8 __mspabi_srai_11 +000087b6 __mspabi_srai_12 +000087b4 __mspabi_srai_13 +000087b2 __mspabi_srai_14 +000087b0 __mspabi_srai_15 +000087ca __mspabi_srai_2 +000087c8 __mspabi_srai_3 +000087c6 __mspabi_srai_4 +000087c4 __mspabi_srai_5 +000087c2 __mspabi_srai_6 +000087c0 __mspabi_srai_7 +000087be __mspabi_srai_8 +000087bc __mspabi_srai_9 +000085cc __mspabi_sral_1 +000085a8 __mspabi_sral_10 +000085a4 __mspabi_sral_11 +000085a0 __mspabi_sral_12 +0000859c __mspabi_sral_13 +00008598 __mspabi_sral_14 +00008594 __mspabi_sral_15 +000085c8 __mspabi_sral_2 +000085c4 __mspabi_sral_3 +000085c0 __mspabi_sral_4 +000085bc __mspabi_sral_5 +000085b8 __mspabi_sral_6 +000085b4 __mspabi_sral_7 +000085b0 __mspabi_sral_8 +000085ac __mspabi_sral_9 +0000836e __mspabi_srall +00008322 __mspabi_srli +00008368 __mspabi_srli_1 +00008344 __mspabi_srli_10 +00008340 __mspabi_srli_11 +0000833c __mspabi_srli_12 +00008338 __mspabi_srli_13 +00008334 __mspabi_srli_14 +00008330 __mspabi_srli_15 +00008364 __mspabi_srli_2 +00008360 __mspabi_srli_3 +0000835c __mspabi_srli_4 +00008358 __mspabi_srli_5 +00008354 __mspabi_srli_6 +00008350 __mspabi_srli_7 +0000834c __mspabi_srli_8 +00008348 __mspabi_srli_9 +00008a74 __mspabi_srll +00008120 __mspabi_srll_1 +000080ea __mspabi_srll_10 +000080e4 __mspabi_srll_11 +000080de __mspabi_srll_12 +000080d8 __mspabi_srll_13 +000080d2 __mspabi_srll_14 +000080cc __mspabi_srll_15 +0000811a __mspabi_srll_2 +00008114 __mspabi_srll_3 +0000810e __mspabi_srll_4 +00008108 __mspabi_srll_5 +00008102 __mspabi_srll_6 +000080fc __mspabi_srll_7 +000080f6 __mspabi_srll_8 +000080f0 __mspabi_srll_9 +00008402 __mspabi_srlll +0000871c __mspabi_subd +0000897e _c_int00_noargs +00008b08 _ctypes_ +000026c4 _device +0000264c _ftable +0000273e _lock +00008b04 _nop +0000fffe _reset_vector +00004360 _stack +00002712 _stream +00002400 _sys_memory +00008b06 _system_post_cinit +00008b00 _system_pre_init +00002740 _unlock +00008af6 abort +00008ade abs +00007088 aligned_alloc +00008230 atoi +000083b8 close +0000874a copysign +0000874a copysignl +00002744 errno +000084d0 exit +000086ba finddevice +00007924 fputc +0000717e fputs +00007424 free +0000768a frexp +0000768a frexpl +00007da2 fseek +00008180 getdevice +00006bac ldexp +00006bac ldexpl +000088f2 lseek +00008282 main +00008ae8 malloc +00007088 memalign +0000893c memccpy +00008a12 memchr +00008a86 memcpy +00008a26 memset +000027ea parmbuf +00008610 printf +0000864c prod +00007924 putc +000088ca remove +00006bac scalbn +00006bac scalbnl +000074f6 setvbuf +000089b6 strchr +000089d0 strcmp +00008aa8 strcpy +00008ab8 strlen +000087fc strncpy +00008ad2 toupper +000088ca unlink +00008a4e wcslen +00008918 write + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +000000a0 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012c __SYSMEM_SIZE +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00002400 _sys_memory +0000252c _CIOBUF_ +0000264c _ftable +000026c4 _device +00002712 _stream +0000273a __TI_cleanup_ptr +0000273c __TI_dtors_ptr +0000273e _lock +00002740 _unlock +00002742 __TI_ft_end +00002744 errno +00002746 __TI_enable_exit_profile_output +0000274a __TI_tmpnams +000027ea parmbuf +00004360 _stack +00004400 __STACK_END +00004400 __mspabi_addd +00004b36 __TI_frcdivd +00004fae __mspabi_divull +0000530e __mspabi_mpyd +000055ce __TI_printfi +00005f5a __mspabi_divd +00006a3a __TI_frcmpyd +00006bac ldexp +00006bac ldexpl +00006bac scalbn +00006bac scalbnl +00007088 aligned_alloc +00007088 memalign +0000717e fputs +00007348 __mspabi_cmpd +00007424 free +000074f6 setvbuf +0000768a frexp +0000768a frexpl +0000773a __mspabi_fltlid +00007924 fputc +00007924 putc +00007a42 HOSTlseek +00007aca __TI_ltoa +00007b4e __TI_decompress_lzss +00007bca __TI_wrt_ok +00007cbe __TI_closefile +00007d30 __mspabi_fixdli +00007da2 fseek +00007e12 HOSTrename +00007ee4 HOSTopen +00007f4a HOSTwrite +00007fac __TI_doflush +0000800e HOSTread +0000806e __mspabi_mpyll_f5hw +000080cc __mspabi_srll_15 +000080d2 __mspabi_srll_14 +000080d8 __mspabi_srll_13 +000080de __mspabi_srll_12 +000080e4 __mspabi_srll_11 +000080ea __mspabi_srll_10 +000080f0 __mspabi_srll_9 +000080f6 __mspabi_srll_8 +000080fc __mspabi_srll_7 +00008102 __mspabi_srll_6 +00008108 __mspabi_srll_5 +0000810e __mspabi_srll_4 +00008114 __mspabi_srll_3 +0000811a __mspabi_srll_2 +00008120 __mspabi_srll_1 +00008128 __TI_auto_init_nobinit_nopinit_hold_wdt +00008180 getdevice +000081d8 __mspabi_divul +000081d8 __mspabi_remul +00008230 atoi +00008282 main +00008322 __mspabi_srli +00008330 __mspabi_srli_15 +00008334 __mspabi_srli_14 +00008338 __mspabi_srli_13 +0000833c __mspabi_srli_12 +00008340 __mspabi_srli_11 +00008344 __mspabi_srli_10 +00008348 __mspabi_srli_9 +0000834c __mspabi_srli_8 +00008350 __mspabi_srli_7 +00008354 __mspabi_srli_6 +00008358 __mspabi_srli_5 +0000835c __mspabi_srli_4 +00008360 __mspabi_srli_3 +00008364 __mspabi_srli_2 +00008368 __mspabi_srli_1 +0000836e __mspabi_srall +000083b8 close +00008402 __mspabi_srlll +00008448 HOSTclose +0000848c __mspabi_sllll +000084d0 exit +00008514 HOSTunlink +00008554 __mspabi_divli +00008554 __mspabi_remli +00008594 __mspabi_sral_15 +00008598 __mspabi_sral_14 +0000859c __mspabi_sral_13 +000085a0 __mspabi_sral_12 +000085a4 __mspabi_sral_11 +000085a8 __mspabi_sral_10 +000085ac __mspabi_sral_9 +000085b0 __mspabi_sral_8 +000085b4 __mspabi_sral_7 +000085b8 __mspabi_sral_6 +000085bc __mspabi_sral_5 +000085c0 __mspabi_sral_4 +000085c4 __mspabi_sral_3 +000085c8 __mspabi_sral_2 +000085cc __mspabi_sral_1 +000085d2 __mspabi_slll_15 +000085d6 __mspabi_slll_14 +000085da __mspabi_slll_13 +000085de __mspabi_slll_12 +000085e2 __mspabi_slll_11 +000085e6 __mspabi_slll_10 +000085ea __mspabi_slll_9 +000085ee __mspabi_slll_8 +000085f2 __mspabi_slll_7 +000085f6 __mspabi_slll_6 +000085fa __mspabi_slll_5 +000085fe __mspabi_slll_4 +00008602 __mspabi_slll_3 +00008606 __mspabi_slll_2 +0000860a __mspabi_slll_1 +00008610 printf +0000864c prod +00008686 __TI_cleanup +000086ba finddevice +000086ee __TI_writemsg +00008718 C$$IO$$ +0000871c __mspabi_subd +0000874a copysign +0000874a copysignl +00008778 __TI_readmsg +000087a4 __mspabi_srai +000087b0 __mspabi_srai_15 +000087b2 __mspabi_srai_14 +000087b4 __mspabi_srai_13 +000087b6 __mspabi_srai_12 +000087b8 __mspabi_srai_11 +000087ba __mspabi_srai_10 +000087bc __mspabi_srai_9 +000087be __mspabi_srai_8 +000087c0 __mspabi_srai_7 +000087c2 __mspabi_srai_6 +000087c4 __mspabi_srai_5 +000087c6 __mspabi_srai_4 +000087c8 __mspabi_srai_3 +000087ca __mspabi_srai_2 +000087cc __mspabi_srai_1 +000087d0 __mspabi_slli +000087dc __mspabi_slli_15 +000087de __mspabi_slli_14 +000087e0 __mspabi_slli_13 +000087e2 __mspabi_slli_12 +000087e4 __mspabi_slli_11 +000087e6 __mspabi_slli_10 +000087e8 __mspabi_slli_9 +000087ea __mspabi_slli_8 +000087ec __mspabi_slli_7 +000087ee __mspabi_slli_6 +000087f0 __mspabi_slli_5 +000087f2 __mspabi_slli_4 +000087f4 __mspabi_slli_3 +000087f6 __mspabi_slli_2 +000087f8 __mspabi_slli_1 +000087fc strncpy +00008828 __mspabi_negd +00008852 __mspabi_mpyull_f5hw +0000887a __mspabi_fixdi +000088ca remove +000088ca unlink +000088f2 lseek +00008918 write +0000893c memccpy +0000895e __mspabi_mpyl_f5hw +0000897e _c_int00_noargs +000089b6 strchr +000089d0 strcmp +000089e8 __mspabi_divu +000089e8 __mspabi_remu +000089fe __TI_zero_init_nomemset +00008a12 memchr +00008a26 memset +00008a3a __mspabi_mpyi_f5hw +00008a4e wcslen +00008a62 __TI_decompress_none +00008a74 __mspabi_srll +00008a86 memcpy +00008a98 __mspabi_func_epilog_7 +00008a9a __mspabi_func_epilog_6 +00008a9c __mspabi_func_epilog_5 +00008a9e __mspabi_func_epilog_4 +00008aa0 __mspabi_func_epilog_3 +00008aa2 __mspabi_func_epilog_2 +00008aa4 __mspabi_func_epilog_1 +00008aa8 strcpy +00008ab8 strlen +00008ac6 __mspabi_fltid +00008ad2 toupper +00008ade abs +00008ae8 malloc +00008af6 C$$EXIT +00008af6 abort +00008b00 _system_pre_init +00008b04 _nop +00008b06 _system_post_cinit +00008b08 _ctypes_ +00008c44 __TI_ISR_TRAP +00008c90 __TI_Handler_Table_Base +00008c96 __TI_Handler_Table_Limit +00008c9a __TI_CINIT_Base +00008ca2 __TI_CINIT_Limit +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[975 symbols] diff --git a/CPE325/Lab1_Problem1/Debug/Lab1_Problem1.out b/CPE325/Lab1_Problem1/Debug/Lab1_Problem1.out new file mode 100644 index 0000000..c308b0c Binary files /dev/null and b/CPE325/Lab1_Problem1/Debug/Lab1_Problem1.out differ diff --git a/CPE325/Lab1_Problem1/Debug/Lab1_Problem1_linkInfo.xml b/CPE325/Lab1_Problem1/Debug/Lab1_Problem1_linkInfo.xml new file mode 100644 index 0000000..92e67d8 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/Lab1_Problem1_linkInfo.xml @@ -0,0 +1,16383 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61293ccb + 0x0 + Lab1_Problem1.out + + _c_int00_noargs +
0x897e
+
+ + + .\ + object + P1a.obj + P1a.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + printf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _printfi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputc.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fputs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _io_perm.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + setvbuf.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + wcslen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_frexp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_scalbn.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div16u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32u.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div64u.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + addd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cmpd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + divd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fixdli.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fltlid.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcdivd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + negd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + subd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _ltoa.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + atoi.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + ctype.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + defs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memccpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memory.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memset.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strchr.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strlen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + toupper.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + write.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + host_device.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + abs.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + errno.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fflush.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + s_copysign.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + div32s.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + asr64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl64.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + frcmpyd.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostlseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostopen.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostread.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostrename.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostunlink.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + hostwrite.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + trgmsg.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + open.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lseek.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + fclose.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + getdevice.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcmp.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + strncpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + remove.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + close.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + unlink.c.obj + + + + + .bss + true + 0x27f2 + 0x2 + + + + .common:__TI_tmpnams + true + 0x274a + 0xa0 + + + .common:parmbuf + true + 0x27ea + 0x8 + + + .data:__TI_cleanup_ptr + 0x273a + 0x273a + 0x2 + + + + .data:__TI_dtors_ptr + 0x273c + 0x273c + 0x2 + + + + .data + 0x2746 + 0x2746 + 0x2 + + + + .data:_lock + 0x273e + 0x273e + 0x2 + + + + .data:_unlock + 0x2740 + 0x2740 + 0x2 + + + + .data:_ftable + 0x264c + 0x264c + 0x78 + + + + .data + 0x2742 + 0x2742 + 0x2 + + + + .data + 0x2748 + 0x2748 + 0x2 + + + + .data:_device + 0x26c4 + 0x26c4 + 0x4e + + + + .data:_stream + 0x2712 + 0x2712 + 0x28 + + + + .data + 0x2744 + 0x2744 + 0x2 + + + + .sysmem + true + 0x2400 + 0x8 + + + + .sysmem + true + 0x2400 + 0x0 + + + .stack + true + 0x4360 + 0x2 + + + + .stack + true + 0x4360 + 0x0 + + + .text:__mspabi_addd + 0x4400 + 0x4400 + 0x736 + + + + .text:__TI_frcdivd + 0x4b36 + 0x4b36 + 0x478 + + + + .text:__mspabi_divull + 0x4fae + 0x4fae + 0x360 + + + + .text:__mspabi_mpyd + 0x530e + 0x530e + 0x2c0 + + + + .text:__TI_printfi + 0x55ce + 0x55ce + 0x288 + + + + .text:_pproc_fgea + 0x5856 + 0x5856 + 0x26e + + + + .text:_setfield + 0x5ac4 + 0x5ac4 + 0x252 + + + + .text:acvt + 0x5d16 + 0x5d16 + 0x244 + + + + .text:__mspabi_divd + 0x5f5a + 0x5f5a + 0x238 + + + + .text:_getarg_diouxp + 0x6192 + 0x6192 + 0x1ec + + + + .text:ecvt + 0x637e + 0x637e + 0x1de + + + + .text:fcvt + 0x655c + 0x655c + 0x1c4 + + + + .text:_pconv_e + 0x6720 + 0x6720 + 0x1a6 + + + + .text:_pconv_a + 0x68c6 + 0x68c6 + 0x174 + + + + .text:__TI_frcmpyd + 0x6a3a + 0x6a3a + 0x172 + + + + .text:scalbn + 0x6bac + 0x6bac + 0x16a + + + + .text:_pproc_diouxp + 0x6d16 + 0x6d16 + 0x14c + + + + .text:_ltostr + 0x6e62 + 0x6e62 + 0x12e + + + + .text:_pconv_g + 0x6f90 + 0x6f90 + 0xf8 + + + + .text:aligned_alloc + 0x7088 + 0x7088 + 0xf6 + + + + .text:fputs + 0x717e + 0x717e + 0xea + + + + .text:_pproc_fwp + 0x7268 + 0x7268 + 0xe0 + + + + .text:__mspabi_cmpd + 0x7348 + 0x7348 + 0xdc + + + + .text:free + 0x7424 + 0x7424 + 0xd2 + + + + .text:setvbuf + 0x74f6 + 0x74f6 + 0xd0 + + + + .text:_pproc_wstr + 0x75c6 + 0x75c6 + 0xc4 + + + + .text:frexp + 0x768a + 0x768a + 0xb0 + + + + .text:__mspabi_fltlid + 0x773a + 0x773a + 0xac + + + + .text:_pproc_str + 0x77e6 + 0x77e6 + 0xac + + + + .text:_mcpy + 0x7892 + 0x7892 + 0x92 + + + + .text:fputc + 0x7924 + 0x7924 + 0x90 + + + + .text:_ecpy + 0x79b4 + 0x79b4 + 0x8e + + + + .text:HOSTlseek + 0x7a42 + 0x7a42 + 0x88 + + + + .text:__TI_ltoa + 0x7aca + 0x7aca + 0x84 + + + + .text:decompress:lzss:__TI_decompress_lzss + 0x7b4e + 0x7b4e + 0x7c + + + + .text:__TI_wrt_ok + 0x7bca + 0x7bca + 0x7a + + + + .text:_pconv_f + 0x7c44 + 0x7c44 + 0x7a + + + + .text:__TI_closefile + 0x7cbe + 0x7cbe + 0x72 + + + + .text:__mspabi_fixdli + 0x7d30 + 0x7d30 + 0x72 + + + + .text:fseek + 0x7da2 + 0x7da2 + 0x70 + + + + .text:HOSTrename + 0x7e12 + 0x7e12 + 0x6a + + + + .text:split + 0x7e7c + 0x7e7c + 0x68 + + + + .text:HOSTopen + 0x7ee4 + 0x7ee4 + 0x66 + + + + .text:HOSTwrite + 0x7f4a + 0x7f4a + 0x62 + + + + .text:__TI_doflush + 0x7fac + 0x7fac + 0x62 + + + + .text:HOSTread + 0x800e + 0x800e + 0x60 + + + + .text:__mpyll + 0x806e + 0x806e + 0x5e + + + + .text:l_lsr_const + 0x80cc + 0x80cc + 0x5c + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x8128 + 0x8128 + 0x58 + + + + .text:getdevice + 0x8180 + 0x8180 + 0x58 + + + + .text + 0x81d8 + 0x81d8 + 0x58 + + + + .text:atoi + 0x8230 + 0x8230 + 0x52 + + + + .text:main + 0x8282 + 0x8282 + 0x52 + + + + .text:_fcpy + 0x82d4 + 0x82d4 + 0x4e + + + + .text + 0x8322 + 0x8322 + 0x4c + + + + .text:__mspabi_srall + 0x836e + 0x836e + 0x4a + + + + .text:close + 0x83b8 + 0x83b8 + 0x4a + + + + .text:__mspabi_srlll + 0x8402 + 0x8402 + 0x46 + + + + .text:HOSTclose + 0x8448 + 0x8448 + 0x44 + + + + .text:__mspabi_sllll + 0x848c + 0x848c + 0x44 + + + + .text:exit + 0x84d0 + 0x84d0 + 0x44 + + + + .text:HOSTunlink + 0x8514 + 0x8514 + 0x40 + + + + .text + 0x8554 + 0x8554 + 0x40 + + + + .text:l_asr_const + 0x8594 + 0x8594 + 0x3e + + + + .text:l_lsl_const + 0x85d2 + 0x85d2 + 0x3e + + + + .text:printf + 0x8610 + 0x8610 + 0x3c + + + + .text:prod + 0x864c + 0x864c + 0x3a + + + + .text:__TI_cleanup + 0x8686 + 0x8686 + 0x34 + + + + .text:finddevice + 0x86ba + 0x86ba + 0x34 + + + + .text:__TI_writemsg + 0x86ee + 0x86ee + 0x2e + + + + .text:__mspabi_subd + 0x871c + 0x871c + 0x2e + + + + .text:copysign + 0x874a + 0x874a + 0x2e + + + + .text:__TI_readmsg + 0x8778 + 0x8778 + 0x2c + + + + .text + 0x87a4 + 0x87a4 + 0x2c + + + + .text + 0x87d0 + 0x87d0 + 0x2c + + + + .text:strncpy + 0x87fc + 0x87fc + 0x2c + + + + .text:__mspabi_negd + 0x8828 + 0x8828 + 0x2a + + + + .text:__mpyull + 0x8852 + 0x8852 + 0x28 + + + + .text:__mspabi_fixdi + 0x887a + 0x887a + 0x28 + + + + .text:free_list_insert + 0x88a2 + 0x88a2 + 0x28 + + + + .text:unlink + 0x88ca + 0x88ca + 0x28 + + + + .text:lseek + 0x88f2 + 0x88f2 + 0x26 + + + + .text:write + 0x8918 + 0x8918 + 0x24 + + + + .text:memccpy + 0x893c + 0x893c + 0x22 + + + + .text + 0x895e + 0x895e + 0x20 + + + + .text:_c_int00_noargs + 0x897e + 0x897e + 0x1c + + + + .text:free_list_remove + 0x899a + 0x899a + 0x1c + + + + .text:strchr + 0x89b6 + 0x89b6 + 0x1a + + + + .text:strcmp + 0x89d0 + 0x89d0 + 0x18 + + + + .text + 0x89e8 + 0x89e8 + 0x16 + + + + .text:decompress:ZI:__TI_zero_init_nomemset:__TI_zero_init_nomemset + 0x89fe + 0x89fe + 0x14 + + + + .text:memchr + 0x8a12 + 0x8a12 + 0x14 + + + + .text:memset + 0x8a26 + 0x8a26 + 0x14 + + + + .text + 0x8a3a + 0x8a3a + 0x14 + + + + .text:wcslen + 0x8a4e + 0x8a4e + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x8a62 + 0x8a62 + 0x12 + + + + .text:l_lsr + 0x8a74 + 0x8a74 + 0x12 + + + + .text:memcpy + 0x8a86 + 0x8a86 + 0x12 + + + + .text + 0x8a98 + 0x8a98 + 0x10 + + + + .text:strcpy + 0x8aa8 + 0x8aa8 + 0x10 + + + + .text:strlen + 0x8ab8 + 0x8ab8 + 0xe + + + + .text:__mspabi_fltid + 0x8ac6 + 0x8ac6 + 0xc + + + + .text:toupper + 0x8ad2 + 0x8ad2 + 0xc + + + + .text:abs + 0x8ade + 0x8ade + 0xa + + + + .text:malloc + 0x8ae8 + 0x8ae8 + 0x8 + + + + .text:_outc + 0x8af0 + 0x8af0 + 0x6 + + + + .text:abort + 0x8af6 + 0x8af6 + 0x6 + + + + .text:_outs + 0x8afc + 0x8afc + 0x4 + + + + .text:_system_pre_init + 0x8b00 + 0x8b00 + 0x4 + + + + .text:_nop + 0x8b04 + 0x8b04 + 0x2 + + + + .text:_system_post_cinit + 0x8b06 + 0x8b06 + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x8c44 + 0x8c44 + 0x8 + + + + .cinit..data.load + 0x8c4c + 0x8c4c + 0x44 + + + __TI_handler_table + 0x8c90 + 0x8c90 + 0x6 + + + .cinit..bss.load + 0x8c96 + 0x8c96 + 0x4 + + + __TI_cinit_table + 0x8c9a + 0x8c9a + 0x8 + + + .const:.string:_ctypes_ + 0x8b08 + 0x8b08 + 0x101 + + + + .const:.string + 0x8c0a + 0x8c0a + 0x26 + + + + .const:.string + 0x8c30 + 0x8c30 + 0x14 + + + + .cio + true + 0x252c + 0x120 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x16a + + + + .debug_info + 0x16a + 0x16a + 0x16a + + + + .debug_info + 0x2d4 + 0x2d4 + 0x151 + + + + .debug_info + 0x425 + 0x425 + 0x26b + + + + .debug_info + 0x690 + 0x690 + 0x84 + + + + .debug_info + 0x714 + 0x714 + 0x282 + + + + .debug_info + 0x996 + 0x996 + 0x2c + + + + .debug_info + 0x9c2 + 0x9c2 + 0x2bd + + + + .debug_info + 0xc7f + 0xc7f + 0x162 + + + + .debug_info + 0xde1 + 0xde1 + 0x166 + + + + .debug_info + 0xf47 + 0xf47 + 0x184 + + + + .debug_info + 0x10cb + 0x10cb + 0xb2 + + + + .debug_info + 0x117d + 0x117d + 0x439 + + + + .debug_info + 0x15b6 + 0x15b6 + 0x22e + + + + .debug_info + 0x17e4 + 0x17e4 + 0x1b3 + + + + .debug_info + 0x1997 + 0x1997 + 0x287 + + + + .debug_info + 0x1c1e + 0x1c1e + 0x24b + + + + .debug_info + 0x1e69 + 0x1e69 + 0x3d0 + + + + .debug_info + 0x2239 + 0x2239 + 0x1bd + + + + .debug_info + 0x23f6 + 0x23f6 + 0x21b + + + + .debug_info + 0x2611 + 0x2611 + 0x26d + + + + .debug_info + 0x287e + 0x287e + 0x3e7 + + + + .debug_info + 0x2c65 + 0x2c65 + 0x206 + + + + .debug_info + 0x2e6b + 0x2e6b + 0x232 + + + + .debug_info + 0x309d + 0x309d + 0x376 + + + + .debug_info + 0x3413 + 0x3413 + 0x45e + + + + .debug_info + 0x3871 + 0x3871 + 0x280 + + + + .debug_info + 0x3af1 + 0x3af1 + 0x395 + + + + .debug_info + 0x3e86 + 0x3e86 + 0x305 + + + + .debug_info + 0x418b + 0x418b + 0x26d + + + + .debug_info + 0x43f8 + 0x43f8 + 0x26a + + + + .debug_info + 0x4662 + 0x4662 + 0x347 + + + + .debug_info + 0x49a9 + 0x49a9 + 0x86 + + + + .debug_info + 0x4a2f + 0x4a2f + 0x39 + + + + .debug_info + 0x4a68 + 0x4a68 + 0x94 + + + + .debug_info + 0x4afc + 0x4afc + 0x46 + + + + .debug_info + 0x4b42 + 0x4b42 + 0x46 + + + + .debug_info + 0x4b88 + 0x4b88 + 0x2c + + + + .debug_info + 0x4bb4 + 0x4bb4 + 0x25b + + + + .debug_info + 0x4e0f + 0x4e0f + 0x1d0 + + + + .debug_info + 0x4fdf + 0x4fdf + 0x2d4 + + + + .debug_info + 0x52b3 + 0x52b3 + 0x2c7 + + + + .debug_info + 0x557a + 0x557a + 0x2c + + + + .debug_info + 0x55a6 + 0x55a6 + 0x1d8 + + + + .debug_info + 0x577e + 0x577e + 0x196 + + + + .debug_info + 0x5914 + 0x5914 + 0xbf + + + + .debug_info + 0x59d3 + 0x59d3 + 0x24c + + + + .debug_info + 0x5c1f + 0x5c1f + 0x1fe + + + + .debug_info + 0x5e1d + 0x5e1d + 0x167 + + + + .debug_info + 0x5f84 + 0x5f84 + 0x15a + + + + .debug_info + 0x60de + 0x60de + 0x39 + + + + .debug_info + 0x6117 + 0x6117 + 0x39 + + + + .debug_info + 0x6150 + 0x6150 + 0x172 + + + + .debug_info + 0x62c2 + 0x62c2 + 0x1c7 + + + + .debug_info + 0x6489 + 0x6489 + 0x46 + + + + .debug_info + 0x64cf + 0x64cf + 0x2c + + + + .debug_info + 0x64fb + 0x64fb + 0x1b0 + + + + .debug_info + 0x66ab + 0x66ab + 0x23b + + + + .debug_info + 0x68e6 + 0x68e6 + 0x120 + + + + .debug_info + 0x6a06 + 0x6a06 + 0x121 + + + + .debug_info + 0x6b27 + 0x6b27 + 0x123 + + + + .debug_info + 0x6c4a + 0x6c4a + 0x12e + + + + .debug_info + 0x6d78 + 0x6d78 + 0x10f + + + + .debug_info + 0x6e87 + 0x6e87 + 0x120 + + + + .debug_info + 0x6fa7 + 0x6fa7 + 0x120 + + + + .debug_info + 0x70c7 + 0x70c7 + 0x125 + + + + .debug_info + 0x71ec + 0x71ec + 0x125 + + + + .debug_info + 0x7311 + 0x7311 + 0x12b + + + + .debug_info + 0x743c + 0x743c + 0x127 + + + + .debug_info + 0x7563 + 0x7563 + 0x100 + + + + .debug_info + 0x7663 + 0x7663 + 0x17a + + + + .debug_info + 0x77dd + 0x77dd + 0x3de + + + + .debug_info + 0x7bbb + 0x7bbb + 0x211 + + + + .debug_info + 0x7dcc + 0x7dcc + 0x39 + + + + .debug_info + 0x7e05 + 0x7e05 + 0x2c + + + + .debug_info + 0x7e31 + 0x7e31 + 0x2c + + + + .debug_info + 0x7e5d + 0x7e5d + 0xcd + + + + .debug_info + 0x7f2a + 0x7f2a + 0x175 + + + + .debug_info + 0x809f + 0x809f + 0x1d2 + + + + .debug_info + 0x8271 + 0x8271 + 0x46 + + + + .debug_info + 0x82b7 + 0x82b7 + 0x103 + + + + .debug_info + 0x83ba + 0x83ba + 0x11a + + + + .debug_info + 0x84d4 + 0x84d4 + 0x116 + + + + .debug_info + 0x85ea + 0x85ea + 0x193 + + + + .debug_info + 0x877d + 0x877d + 0x15c + + + + .debug_info + 0x88d9 + 0x88d9 + 0x337 + + + + .debug_info + 0x8c10 + 0x8c10 + 0x153 + + + + .debug_info + 0x8d63 + 0x8d63 + 0x150 + + + + .debug_info + 0x8eb3 + 0x8eb3 + 0x180 + + + + .debug_info + 0x9033 + 0x9033 + 0x1ea + + + + .debug_info + 0x921d + 0x921d + 0x46 + + + + .debug_info + 0x9263 + 0x9263 + 0x2c + + + + .debug_info + 0x928f + 0x928f + 0x176 + + + + .debug_info + 0x9405 + 0x9405 + 0x292 + + + + .debug_info + 0x9697 + 0x9697 + 0x60 + + + + .debug_info + 0x96f7 + 0x96f7 + 0x46 + + + + .debug_info + 0x973d + 0x973d + 0x39 + + + + .debug_info + 0x9776 + 0x9776 + 0x164 + + + + .debug_info + 0x98da + 0x98da + 0x35e + + + + .debug_info + 0x9c38 + 0x9c38 + 0x1af + + + + .debug_info + 0x9de7 + 0x9de7 + 0x94 + + + + .debug_info + 0x9e7b + 0x9e7b + 0x1a2 + + + + .debug_info + 0xa01d + 0xa01d + 0x28a + + + + .debug_info + 0xa2a7 + 0xa2a7 + 0x171 + + + + .debug_info + 0xa418 + 0xa418 + 0x1c0 + + + + .debug_info + 0xa5d8 + 0xa5d8 + 0x166 + + + + .debug_info + 0xa73e + 0xa73e + 0x16b + + + + .debug_info + 0xa8a9 + 0xa8a9 + 0x21b + + + + .debug_info + 0xaac4 + 0xaac4 + 0x172 + + + + .debug_info + 0xac36 + 0xac36 + 0x5cf + + + + .debug_info + 0xb205 + 0xb205 + 0x197 + + + + .debug_info + 0xb39c + 0xb39c + 0x2d2 + + + + .debug_info + 0xb66e + 0xb66e + 0x158 + + + + .debug_info + 0xb7c6 + 0xb7c6 + 0x18d + + + + .debug_info + 0xb953 + 0xb953 + 0x22e + + + + .debug_info + 0xbb81 + 0xbb81 + 0x132 + + + + .debug_info + 0xbcb3 + 0xbcb3 + 0x122 + + + + .debug_info + 0xbdd5 + 0xbdd5 + 0x197 + + + + .debug_info + 0xbf6c + 0xbf6c + 0x163 + + + + .debug_info + 0xc0cf + 0xc0cf + 0xff + + + + .debug_info + 0xc1ce + 0xc1ce + 0x103 + + + + .debug_info + 0xc2d1 + 0xc2d1 + 0x12e + + + + .debug_info + 0xc3ff + 0xc3ff + 0x174 + + + + .debug_info + 0xc573 + 0xc573 + 0x20e + + + + .debug_info + 0xc781 + 0xc781 + 0x191 + + + + .debug_info + 0xc912 + 0xc912 + 0x190 + + + + .debug_info + 0xcaa2 + 0xcaa2 + 0xf9 + + + + .debug_info + 0xcb9b + 0xcb9b + 0x105 + + + + .debug_info + 0xcca0 + 0xcca0 + 0x13e + + + + .debug_info + 0xcdde + 0xcdde + 0x102 + + + + .debug_info + 0xcee0 + 0xcee0 + 0x10a + + + + .debug_info + 0xcfea + 0xcfea + 0x17f + + + + .debug_info + 0xd169 + 0xd169 + 0x1b8 + + + + .debug_info + 0xd321 + 0xd321 + 0x178 + + + + .debug_info + 0xd499 + 0xd499 + 0x250 + + + + .debug_info + 0xd6e9 + 0xd6e9 + 0x181 + + + + .debug_info + 0xd86a + 0xd86a + 0x17a + + + + .debug_info + 0xd9e4 + 0xd9e4 + 0x22a + + + + .debug_info + 0xdc0e + 0xdc0e + 0x10c + + + + .debug_info + 0xdd1a + 0xdd1a + 0x11f + + + + .debug_info + 0xde39 + 0xde39 + 0x105 + + + + .debug_info + 0xdf3e + 0xdf3e + 0x168 + + + + .debug_info + 0xe0a6 + 0xe0a6 + 0x18e + + + + .debug_info + 0xe234 + 0xe234 + 0x19f + + + + .debug_info + 0xe3d3 + 0xe3d3 + 0x203 + + + + .debug_info + 0xe5d6 + 0xe5d6 + 0x1db + + + + .debug_info + 0xe7b1 + 0xe7b1 + 0x273 + + + + .debug_info + 0xea24 + 0xea24 + 0xb2 + + + + .debug_info + 0xead6 + 0xead6 + 0x2c + + + + .debug_info + 0xeb02 + 0xeb02 + 0x16d + + + + .debug_info + 0xec6f + 0xec6f + 0x275 + + + + .debug_info + 0xeee4 + 0xeee4 + 0x172 + + + + .debug_info + 0xf056 + 0xf056 + 0x268 + + + + .debug_info + 0xf2be + 0xf2be + 0x162 + + + + .debug_info + 0xf420 + 0xf420 + 0x230 + + + + .debug_info + 0xf650 + 0xf650 + 0x18e + + + + .debug_info + 0xf7de + 0xf7de + 0x154 + + + + .debug_info + 0xf932 + 0xf932 + 0x26f + + + + .debug_info + 0xfba1 + 0xfba1 + 0x189 + + + + .debug_info + 0xfd2a + 0xfd2a + 0x120 + + + + .debug_info + 0xfe4a + 0xfe4a + 0x2c + + + + .debug_info + 0xfe76 + 0xfe76 + 0x337 + + + + .debug_info + 0x101ad + 0x101ad + 0x109 + + + + .debug_info + 0x102b6 + 0x102b6 + 0x109 + + + + .debug_info + 0x103bf + 0x103bf + 0x140 + + + + .debug_info + 0x104ff + 0x104ff + 0xfe + + + + .debug_info + 0x105fd + 0x105fd + 0x21f + + + + .debug_info + 0x1081c + 0x1081c + 0x184 + + + + .debug_info + 0x109a0 + 0x109a0 + 0x176 + + + + .debug_info + 0x10b16 + 0x10b16 + 0x1fc + + + + .debug_info + 0x10d12 + 0x10d12 + 0x1df + + + + .debug_info + 0x10ef1 + 0x10ef1 + 0x160 + + + + .debug_info + 0x11051 + 0x11051 + 0x126 + + + + .debug_info + 0x11177 + 0x11177 + 0x138 + + + + .debug_info + 0x112af + 0x112af + 0x126 + + + + .debug_info + 0x113d5 + 0x113d5 + 0x120 + + + + .debug_info + 0x114f5 + 0x114f5 + 0x126 + + + + .debug_info + 0x1161b + 0x1161b + 0x193 + + + + .debug_info + 0x117ae + 0x117ae + 0x193 + + + + .debug_info + 0x11941 + 0x11941 + 0x239 + + + + .debug_info + 0x11b7a + 0x11b7a + 0x24b + + + + .debug_info + 0x11dc5 + 0x11dc5 + 0x1bc + + + + .debug_info + 0x11f81 + 0x11f81 + 0x250 + + + + .debug_info + 0x121d1 + 0x121d1 + 0x226 + + + + .debug_info + 0x123f7 + 0x123f7 + 0x27b + + + + .debug_info + 0x12672 + 0x12672 + 0x20c + + + + .debug_info + 0x1287e + 0x1287e + 0x24f + + + + .debug_info + 0x12acd + 0x12acd + 0x1fb + + + + .debug_info + 0x12cc8 + 0x12cc8 + 0x2ad + + + + .debug_info + 0x12f75 + 0x12f75 + 0x236 + + + + .debug_info + 0x131ab + 0x131ab + 0x26e + + + + .debug_info + 0x13419 + 0x13419 + 0x1c6 + + + + .debug_info + 0x135df + 0x135df + 0x250 + + + + .debug_info + 0x1382f + 0x1382f + 0x200 + + + + .debug_info + 0x13a2f + 0x13a2f + 0x193 + + + + .debug_info + 0x13bc2 + 0x13bc2 + 0x106 + + + + .debug_info + 0x13cc8 + 0x13cc8 + 0x1df + + + + .debug_info + 0x13ea7 + 0x13ea7 + 0x19a + + + + .debug_info + 0x14041 + 0x14041 + 0x26f + + + + .debug_info + 0x142b0 + 0x142b0 + 0x18f + + + + .debug_info + 0x1443f + 0x1443f + 0x27d + + + + .debug_info + 0x146bc + 0x146bc + 0x209 + + + + .debug_info + 0x148c5 + 0x148c5 + 0x304 + + + + .debug_info + 0x14bc9 + 0x14bc9 + 0x198 + + + + .debug_info + 0x14d61 + 0x14d61 + 0x1eb + + + + .debug_info + 0x14f4c + 0x14f4c + 0xea + + + + .debug_info + 0x15036 + 0x15036 + 0x162 + + + + .debug_info + 0x15198 + 0x15198 + 0x273 + + + + .debug_info + 0x1540b + 0x1540b + 0x17c + + + + .debug_info + 0x15587 + 0x15587 + 0x24d + + + + .debug_info + 0x157d4 + 0x157d4 + 0x17d + + + + .debug_info + 0x15951 + 0x15951 + 0x268 + + + + .debug_info + 0x15bb9 + 0x15bb9 + 0x2a7 + + + + .debug_info + 0x15e60 + 0x15e60 + 0x188 + + + + .debug_info + 0x15fe8 + 0x15fe8 + 0x2a9 + + + + .debug_info + 0x16291 + 0x16291 + 0x1a5 + + + + .debug_info + 0x16436 + 0x16436 + 0x96 + + + .debug_line + 0x0 + 0x0 + 0x6d + + + + .debug_line + 0x6d + 0x6d + 0x51 + + + + .debug_line + 0xbe + 0xbe + 0x49 + + + + .debug_line + 0x107 + 0x107 + 0x72 + + + + .debug_line + 0x179 + 0x179 + 0x20 + + + + .debug_line + 0x199 + 0x199 + 0x76 + + + + .debug_line + 0x20f + 0x20f + 0x6e + + + + .debug_line + 0x27d + 0x27d + 0x10e + + + + .debug_line + 0x38b + 0x38b + 0x3c + + + + .debug_line + 0x3c7 + 0x3c7 + 0x3c + + + + .debug_line + 0x403 + 0x403 + 0x49 + + + + .debug_line + 0x44c + 0x44c + 0x91 + + + + .debug_line + 0x4dd + 0x4dd + 0x2d5 + + + + .debug_line + 0x7b2 + 0x7b2 + 0x83 + + + + .debug_line + 0x835 + 0x835 + 0xb9 + + + + .debug_line + 0x8ee + 0x8ee + 0x81 + + + + .debug_line + 0x96f + 0x96f + 0x85 + + + + .debug_line + 0x9f4 + 0x9f4 + 0x101 + + + + .debug_line + 0xaf5 + 0xaf5 + 0x49 + + + + .debug_line + 0xb3e + 0xb3e + 0x6e + + + + .debug_line + 0xbac + 0xbac + 0x8a + + + + .debug_line + 0xc36 + 0xc36 + 0x10e + + + + .debug_line + 0xd44 + 0xd44 + 0x4f + + + + .debug_line + 0xd93 + 0xd93 + 0x4b + + + + .debug_line + 0xdde + 0xdde + 0x85 + + + + .debug_line + 0xe63 + 0xe63 + 0x120 + + + + .debug_line + 0xf83 + 0xf83 + 0x74 + + + + .debug_line + 0xff7 + 0xff7 + 0x128 + + + + .debug_line + 0x111f + 0x111f + 0xde + + + + .debug_line + 0x11fd + 0x11fd + 0x72 + + + + .debug_line + 0x126f + 0x126f + 0x82 + + + + .debug_line + 0x12f1 + 0x12f1 + 0x118 + + + + .debug_line + 0x1409 + 0x1409 + 0x92 + + + + .debug_line + 0x149b + 0x149b + 0x92 + + + + .debug_line + 0x152d + 0x152d + 0x9a + + + + .debug_line + 0x15c7 + 0x15c7 + 0x97 + + + + .debug_line + 0x165e + 0x165e + 0x2e + + + + .debug_line + 0x168c + 0x168c + 0x91 + + + + .debug_line + 0x171d + 0x171d + 0x117 + + + + .debug_line + 0x1834 + 0x1834 + 0x67 + + + + .debug_line + 0x189b + 0x189b + 0x189 + + + + .debug_line + 0x1a24 + 0x1a24 + 0x95 + + + + .debug_line + 0x1ab9 + 0x1ab9 + 0x92 + + + + .debug_line + 0x1b4b + 0x1b4b + 0x91 + + + + .debug_line + 0x1bdc + 0x1bdc + 0x65 + + + + .debug_line + 0x1c41 + 0x1c41 + 0x91 + + + + .debug_line + 0x1cd2 + 0x1cd2 + 0x110 + + + + .debug_line + 0x1de2 + 0x1de2 + 0x88 + + + + .debug_line + 0x1e6a + 0x1e6a + 0x20 + + + + .debug_line + 0x1e8a + 0x1e8a + 0x40 + + + + .debug_line + 0x1eca + 0x1eca + 0x9a + + + + .debug_line + 0x1f64 + 0x1f64 + 0x91 + + + + .debug_line + 0x1ff5 + 0x1ff5 + 0x20 + + + + .debug_line + 0x2015 + 0x2015 + 0x51 + + + + .debug_line + 0x2066 + 0x2066 + 0x9a + + + + .debug_line + 0x2100 + 0x2100 + 0x97 + + + + .debug_line + 0x2197 + 0x2197 + 0x9b + + + + .debug_line + 0x2232 + 0x2232 + 0x72 + + + + .debug_line + 0x22a4 + 0x22a4 + 0x4e + + + + .debug_line + 0x22f2 + 0x22f2 + 0x43 + + + + .debug_line + 0x2335 + 0x2335 + 0x64 + + + + .debug_line + 0x2399 + 0x2399 + 0x40 + + + + .debug_line + 0x23d9 + 0x23d9 + 0x3d + + + + .debug_line + 0x2416 + 0x2416 + 0x4e + + + + .debug_line + 0x2464 + 0x2464 + 0x5e + + + + .debug_line + 0x24c2 + 0x24c2 + 0x44 + + + + .debug_line + 0x2506 + 0x2506 + 0x47 + + + + .debug_line + 0x254d + 0x254d + 0x4e + + + + .debug_line + 0x259b + 0x259b + 0x5b + + + + .debug_line + 0x25f6 + 0x25f6 + 0x2a + + + + .debug_line + 0x2620 + 0x2620 + 0x46 + + + + .debug_line + 0x2666 + 0x2666 + 0xbf + + + + .debug_line + 0x2725 + 0x2725 + 0x89 + + + + .debug_line + 0x27ae + 0x27ae + 0x2e + + + + .debug_line + 0x27dc + 0x27dc + 0x9a + + + + .debug_line + 0x2876 + 0x2876 + 0x97 + + + + .debug_line + 0x290d + 0x290d + 0x93 + + + + .debug_line + 0x29a0 + 0x29a0 + 0x20 + + + + .debug_line + 0x29c0 + 0x29c0 + 0x4f + + + + .debug_line + 0x2a0f + 0x2a0f + 0x34 + + + + .debug_line + 0x2a43 + 0x2a43 + 0x20 + + + + .debug_line + 0x2a63 + 0x2a63 + 0x30 + + + + .debug_line + 0x2a93 + 0x2a93 + 0x30 + + + + .debug_line + 0x2ac3 + 0x2ac3 + 0x53 + + + + .debug_line + 0x2b16 + 0x2b16 + 0x20 + + + + .debug_line + 0x2b36 + 0x2b36 + 0x13b + + + + .debug_line + 0x2c71 + 0x2c71 + 0x3e + + + + .debug_line + 0x2caf + 0x2caf + 0x3a + + + + .debug_line + 0x2ce9 + 0x2ce9 + 0x20 + + + + .debug_line + 0x2d09 + 0x2d09 + 0x50 + + + + .debug_line + 0x2d59 + 0x2d59 + 0x3a + + + + .debug_line + 0x2d93 + 0x2d93 + 0x92 + + + + .debug_line + 0x2e25 + 0x2e25 + 0x20 + + + + .debug_line + 0x2e45 + 0x2e45 + 0x92 + + + + .debug_line + 0x2ed7 + 0x2ed7 + 0x3a + + + + .debug_line + 0x2f11 + 0x2f11 + 0x9a + + + + .debug_line + 0x2fab + 0x2fab + 0x96 + + + + .debug_line + 0x3041 + 0x3041 + 0x20 + + + + .debug_line + 0x3061 + 0x3061 + 0x17f + + + + .debug_line + 0x31e0 + 0x31e0 + 0x75 + + + + .debug_line + 0x3255 + 0x3255 + 0x2e + + + + .debug_line + 0x3283 + 0x3283 + 0x2d + + + + .debug_line + 0x32b0 + 0x32b0 + 0xe9 + + + + .debug_line + 0x3399 + 0x3399 + 0x3d + + + + .debug_line + 0x33d6 + 0x33d6 + 0x5c + + + + .debug_line + 0x3432 + 0x3432 + 0x3d + + + + .debug_line + 0x346f + 0x346f + 0x20 + + + + .debug_line + 0x348f + 0x348f + 0x81 + + + + .debug_line + 0x3510 + 0x3510 + 0x20 + + + + .debug_line + 0x3530 + 0x3530 + 0xdc + + + + .debug_line + 0x360c + 0x360c + 0x2d + + + + .debug_line + 0x3639 + 0x3639 + 0xf0 + + + + .debug_line + 0x3729 + 0x3729 + 0x49 + + + + .debug_line + 0x3772 + 0x3772 + 0x4b + + + + .debug_line + 0x37bd + 0x37bd + 0x10c + + + + .debug_line + 0x38c9 + 0x38c9 + 0x2a + + + + .debug_line + 0x38f3 + 0x38f3 + 0x3d + + + + .debug_line + 0x3930 + 0x3930 + 0x50 + + + + .debug_line + 0x3980 + 0x3980 + 0x20 + + + + .debug_line + 0x39a0 + 0x39a0 + 0x2b + + + + .debug_line + 0x39cb + 0x39cb + 0x2b + + + + .debug_line + 0x39f6 + 0x39f6 + 0x38 + + + + .debug_line + 0x3a2e + 0x3a2e + 0x20 + + + + .debug_line + 0x3a4e + 0x3a4e + 0x51 + + + + .debug_line + 0x3a9f + 0x3a9f + 0x91 + + + + .debug_line + 0x3b30 + 0x3b30 + 0x5d + + + + .debug_line + 0x3b8d + 0x3b8d + 0x20 + + + + .debug_line + 0x3bad + 0x3bad + 0x2b + + + + .debug_line + 0x3bd8 + 0x3bd8 + 0x2a + + + + .debug_line + 0x3c02 + 0x3c02 + 0x2a + + + + .debug_line + 0x3c2c + 0x3c2c + 0x2a + + + + .debug_line + 0x3c56 + 0x3c56 + 0x20 + + + + .debug_line + 0x3c76 + 0x3c76 + 0x48 + + + + .debug_line + 0x3cbe + 0x3cbe + 0x20 + + + + .debug_line + 0x3cde + 0x3cde + 0xb1 + + + + .debug_line + 0x3d8f + 0x3d8f + 0x20 + + + + .debug_line + 0x3daf + 0x3daf + 0x42 + + + + .debug_line + 0x3df1 + 0x3df1 + 0x10f + + + + .debug_line + 0x3f00 + 0x3f00 + 0x2c + + + + .debug_line + 0x3f2c + 0x3f2c + 0x2c + + + + .debug_line + 0x3f58 + 0x3f58 + 0x2c + + + + .debug_line + 0x3f84 + 0x3f84 + 0x3f + + + + .debug_line + 0x3fc3 + 0x3fc3 + 0x4b + + + + .debug_line + 0x400e + 0x400e + 0x55 + + + + .debug_line + 0x4063 + 0x4063 + 0xb4 + + + + .debug_line + 0x4117 + 0x4117 + 0x72 + + + + .debug_line + 0x4189 + 0x4189 + 0xe3 + + + + .debug_line + 0x426c + 0x426c + 0x2c + + + + .debug_line + 0x4298 + 0x4298 + 0x92 + + + + .debug_line + 0x432a + 0x432a + 0x20 + + + + .debug_line + 0x434a + 0x434a + 0xae + + + + .debug_line + 0x43f8 + 0x43f8 + 0x20 + + + + .debug_line + 0x4418 + 0x4418 + 0xaf + + + + .debug_line + 0x44c7 + 0x44c7 + 0x20 + + + + .debug_line + 0x44e7 + 0x44e7 + 0xac + + + + .debug_line + 0x4593 + 0x4593 + 0x91 + + + + .debug_line + 0x4624 + 0x4624 + 0x3d + + + + .debug_line + 0x4661 + 0x4661 + 0x92 + + + + .debug_line + 0x46f3 + 0x46f3 + 0x42 + + + + .debug_line + 0x4735 + 0x4735 + 0x92 + + + + .debug_line + 0x47c7 + 0x47c7 + 0x90 + + + + .debug_line + 0x4857 + 0x4857 + 0x31 + + + + .debug_line + 0x4888 + 0x4888 + 0x31 + + + + .debug_line + 0x48b9 + 0x48b9 + 0x31 + + + + .debug_line + 0x48ea + 0x48ea + 0x3c + + + + .debug_line + 0x4926 + 0x4926 + 0x2b + + + + .debug_line + 0x4951 + 0x4951 + 0x118 + + + + .debug_line + 0x4a69 + 0x4a69 + 0x5e + + + + .debug_line + 0x4ac7 + 0x4ac7 + 0x4b + + + + .debug_line + 0x4b12 + 0x4b12 + 0xa6 + + + + .debug_line + 0x4bb8 + 0x4bb8 + 0x4f + + + + .debug_line + 0x4c07 + 0x4c07 + 0x42 + + + + .debug_line + 0x4c49 + 0x4c49 + 0x56 + + + + .debug_line + 0x4c9f + 0x4c9f + 0x5a + + + + .debug_line + 0x4cf9 + 0x4cf9 + 0x56 + + + + .debug_line + 0x4d4f + 0x4d4f + 0x42 + + + + .debug_line + 0x4d91 + 0x4d91 + 0x65 + + + + .debug_line + 0x4df6 + 0x4df6 + 0x53 + + + + .debug_line + 0x4e49 + 0x4e49 + 0x53 + + + + .debug_line + 0x4e9c + 0x4e9c + 0x65 + + + + .debug_line + 0x4f01 + 0x4f01 + 0x9d + + + + .debug_line + 0x4f9e + 0x4f9e + 0x48 + + + + .debug_line + 0x4fe6 + 0x4fe6 + 0x9d + + + + .debug_line + 0x5083 + 0x5083 + 0x4a + + + + .debug_line + 0x50cd + 0x50cd + 0x11d + + + + .debug_line + 0x51ea + 0x51ea + 0x48 + + + + .debug_line + 0x5232 + 0x5232 + 0x9d + + + + .debug_line + 0x52cf + 0x52cf + 0x4e + + + + .debug_line + 0x531d + 0x531d + 0x10f + + + + .debug_line + 0x542c + 0x542c + 0x4c + + + + .debug_line + 0x5478 + 0x5478 + 0x10f + + + + .debug_line + 0x5587 + 0x5587 + 0x48 + + + + .debug_line + 0x55cf + 0x55cf + 0x9d + + + + .debug_line + 0x566c + 0x566c + 0x4f + + + + .debug_line + 0x56bb + 0x56bb + 0x20 + + + + .debug_line + 0x56db + 0x56db + 0x2c + + + + .debug_line + 0x5707 + 0x5707 + 0x50 + + + + .debug_line + 0x5757 + 0x5757 + 0x51 + + + + .debug_line + 0x57a8 + 0x57a8 + 0x92 + + + + .debug_line + 0x583a + 0x583a + 0x42 + + + + .debug_line + 0x587c + 0x587c + 0x18a + + + + .debug_line + 0x5a06 + 0x5a06 + 0x60 + + + + .debug_line + 0x5a66 + 0x5a66 + 0x9e + + + + .debug_line + 0x5b04 + 0x5b04 + 0x53 + + + + .debug_line + 0x5b57 + 0x5b57 + 0x56 + + + + .debug_line + 0x5bad + 0x5bad + 0x2c + + + + .debug_line + 0x5bd9 + 0x5bd9 + 0x20 + + + + .debug_line + 0x5bf9 + 0x5bf9 + 0xaf + + + + .debug_line + 0x5ca8 + 0x5ca8 + 0x20 + + + + .debug_line + 0x5cc8 + 0x5cc8 + 0xa8 + + + + .debug_line + 0x5d70 + 0x5d70 + 0x20 + + + + .debug_line + 0x5d90 + 0x5d90 + 0xb4 + + + + .debug_line + 0x5e44 + 0x5e44 + 0x103 + + + + .debug_line + 0x5f47 + 0x5f47 + 0x53 + + + + .debug_line + 0x5f9a + 0x5f9a + 0x103 + + + + .debug_line + 0x609d + 0x609d + 0x40 + + + + .debug_frame + 0x0 + 0x0 + 0x40 + + + + .debug_frame + 0x40 + 0x40 + 0x40 + + + + .debug_frame + 0x80 + 0x80 + 0x3c + + + + .debug_frame + 0xbc + 0xbc + 0x3c + + + + .debug_frame + 0xf8 + 0xf8 + 0x48 + + + + .debug_frame + 0x140 + 0x140 + 0x64 + + + + .debug_frame + 0x1a4 + 0x1a4 + 0x4c + + + + .debug_frame + 0x1f0 + 0x1f0 + 0x64 + + + + .debug_frame + 0x254 + 0x254 + 0x64 + + + + .debug_frame + 0x2b8 + 0x2b8 + 0xb4 + + + + .debug_frame + 0x36c + 0x36c + 0x50 + + + + .debug_frame + 0x3bc + 0x3bc + 0x54 + + + + .debug_frame + 0x410 + 0x410 + 0x50 + + + + .debug_frame + 0x460 + 0x460 + 0xb4 + + + + .debug_frame + 0x514 + 0x514 + 0x50 + + + + .debug_frame + 0x564 + 0x564 + 0x54 + + + + .debug_frame + 0x5b8 + 0x5b8 + 0xb4 + + + + .debug_frame + 0x66c + 0x66c + 0xb4 + + + + .debug_frame + 0x720 + 0x720 + 0x64 + + + + .debug_frame + 0x784 + 0x784 + 0xb4 + + + + .debug_frame + 0x838 + 0x838 + 0x64 + + + + .debug_frame + 0x89c + 0x89c + 0x64 + + + + .debug_frame + 0x900 + 0x900 + 0x64 + + + + .debug_frame + 0x964 + 0x964 + 0x74 + + + + .debug_frame + 0x9d8 + 0x9d8 + 0x50 + + + + .debug_frame + 0xa28 + 0xa28 + 0x60 + + + + .debug_frame + 0xa88 + 0xa88 + 0x44 + + + + .debug_frame + 0xacc + 0xacc + 0x50 + + + + .debug_frame + 0xb1c + 0xb1c + 0x3c + + + + .debug_frame + 0xb58 + 0xb58 + 0x60 + + + + .debug_frame + 0xbb8 + 0xbb8 + 0x78 + + + + .debug_frame + 0xc30 + 0xc30 + 0x34 + + + + .debug_frame + 0xc64 + 0xc64 + 0x48 + + + + .debug_frame + 0xcac + 0xcac + 0x3c + + + + .debug_frame + 0xce8 + 0xce8 + 0x44 + + + + .debug_frame + 0xd2c + 0xd2c + 0x90 + + + + .debug_frame + 0xdbc + 0xdbc + 0x3c + + + + .debug_frame + 0xdf8 + 0xdf8 + 0x3c + + + + .debug_frame + 0xe34 + 0xe34 + 0x3c + + + + .debug_frame + 0xe70 + 0xe70 + 0x48 + + + + .debug_frame + 0xeb8 + 0xeb8 + 0x7c + + + + .debug_frame + 0xf34 + 0xf34 + 0x4c + + + + .debug_frame + 0xf80 + 0xf80 + 0x68 + + + + .debug_frame + 0xfe8 + 0xfe8 + 0x40 + + + + .debug_frame + 0x1028 + 0x1028 + 0x44 + + + + .debug_frame + 0x106c + 0x106c + 0x3c + + + + .debug_frame + 0x10a8 + 0x10a8 + 0x48 + + + + .debug_frame + 0x10f0 + 0x10f0 + 0x78 + + + + .debug_frame + 0x1168 + 0x1168 + 0x68 + + + + .debug_frame + 0x11d0 + 0x11d0 + 0x40 + + + + .debug_frame + 0x1210 + 0x1210 + 0x40 + + + + .debug_frame + 0x1250 + 0x1250 + 0x3c + + + + .debug_frame + 0x128c + 0x128c + 0x44 + + + + .debug_frame + 0x12d0 + 0x12d0 + 0x3c + + + + .debug_frame + 0x130c + 0x130c + 0x58 + + + + .debug_frame + 0x1364 + 0x1364 + 0x44 + + + + .debug_frame + 0x13a8 + 0x13a8 + 0x44 + + + + .debug_frame + 0x13ec + 0x13ec + 0x3c + + + + .debug_frame + 0x1428 + 0x1428 + 0x3c + + + + .debug_frame + 0x1464 + 0x1464 + 0x3c + + + + .debug_frame + 0x14a0 + 0x14a0 + 0x3c + + + + .debug_frame + 0x14dc + 0x14dc + 0x3c + + + + .debug_frame + 0x1518 + 0x1518 + 0x44 + + + + .debug_frame + 0x155c + 0x155c + 0x44 + + + + .debug_frame + 0x15a0 + 0x15a0 + 0x50 + + + + .debug_frame + 0x15f0 + 0x15f0 + 0x3c + + + + .debug_frame + 0x162c + 0x162c + 0x40 + + + + .debug_frame + 0x166c + 0x166c + 0x3c + + + + .debug_frame + 0x16a8 + 0x16a8 + 0x3c + + + + .debug_frame + 0x16e4 + 0x16e4 + 0x3c + + + + .debug_frame + 0x1720 + 0x1720 + 0x3c + + + + .debug_frame + 0x175c + 0x175c + 0x44 + + + + .debug_frame + 0x17a0 + 0x17a0 + 0x44 + + + + .debug_frame + 0x17e4 + 0x17e4 + 0x50 + + + + .debug_frame + 0x1834 + 0x1834 + 0x44 + + + + .debug_frame + 0x1878 + 0x1878 + 0x44 + + + + .debug_frame + 0x18bc + 0x18bc + 0x44 + + + + .debug_frame + 0x1900 + 0x1900 + 0x64 + + + + .debug_frame + 0x1964 + 0x1964 + 0x44 + + + + .debug_frame + 0x19a8 + 0x19a8 + 0x50 + + + + .debug_frame + 0x19f8 + 0x19f8 + 0x48 + + + + .debug_frame + 0x1a40 + 0x1a40 + 0x48 + + + + .debug_frame + 0x1a88 + 0x1a88 + 0x4c + + + + .debug_frame + 0x1ad4 + 0x1ad4 + 0x44 + + + + .debug_frame + 0x1b18 + 0x1b18 + 0x48 + + + + .debug_frame + 0x1b60 + 0x1b60 + 0x3c + + + + .debug_frame + 0x1b9c + 0x1b9c + 0x3c + + + + .debug_frame + 0x1bd8 + 0x1bd8 + 0x3c + + + + .debug_frame + 0x1c14 + 0x1c14 + 0x54 + + + + .debug_frame + 0x1c68 + 0x1c68 + 0x4c + + + + .debug_frame + 0x1cb4 + 0x1cb4 + 0x50 + + + + .debug_frame + 0x1d04 + 0x1d04 + 0x3c + + + + .debug_frame + 0x1d40 + 0x1d40 + 0x3c + + + + .debug_frame + 0x1d7c + 0x1d7c + 0x3c + + + + .debug_frame + 0x1db8 + 0x1db8 + 0x44 + + + + .debug_frame + 0x1dfc + 0x1dfc + 0x48 + + + + .debug_abbrev + 0x0 + 0x0 + 0x69 + + + + .debug_abbrev + 0x69 + 0x69 + 0x6e + + + + .debug_abbrev + 0xd7 + 0xd7 + 0x7c + + + + .debug_abbrev + 0x153 + 0x153 + 0x69 + + + + .debug_abbrev + 0x1bc + 0x1bc + 0x1f + + + + .debug_abbrev + 0x1db + 0x1db + 0x35 + + + + .debug_abbrev + 0x210 + 0x210 + 0x24 + + + + .debug_abbrev + 0x234 + 0x234 + 0xb8 + + + + .debug_abbrev + 0x2ec + 0x2ec + 0x74 + + + + .debug_abbrev + 0x360 + 0x360 + 0x66 + + + + .debug_abbrev + 0x3c6 + 0x3c6 + 0x93 + + + + .debug_abbrev + 0x459 + 0x459 + 0x4b + + + + .debug_abbrev + 0x4a4 + 0x4a4 + 0xd0 + + + + .debug_abbrev + 0x574 + 0x574 + 0x89 + + + + .debug_abbrev + 0x5fd + 0x5fd + 0x6f + + + + .debug_abbrev + 0x66c + 0x66c + 0x7d + + + + .debug_abbrev + 0x6e9 + 0x6e9 + 0x7d + + + + .debug_abbrev + 0x766 + 0x766 + 0x8b + + + + .debug_abbrev + 0x7f1 + 0x7f1 + 0x7b + + + + .debug_abbrev + 0x86c + 0x86c + 0x7b + + + + .debug_abbrev + 0x8e7 + 0x8e7 + 0x7b + + + + .debug_abbrev + 0x962 + 0x962 + 0x8b + + + + .debug_abbrev + 0x9ed + 0x9ed + 0x7b + + + + .debug_abbrev + 0xa68 + 0xa68 + 0x7b + + + + .debug_abbrev + 0xae3 + 0xae3 + 0x89 + + + + .debug_abbrev + 0xb6c + 0xb6c + 0x8b + + + + .debug_abbrev + 0xbf7 + 0xbf7 + 0x7b + + + + .debug_abbrev + 0xc72 + 0xc72 + 0x89 + + + + .debug_abbrev + 0xcfb + 0xcfb + 0x7d + + + + .debug_abbrev + 0xd78 + 0xd78 + 0x8a + + + + .debug_abbrev + 0xe02 + 0xe02 + 0x8a + + + + .debug_abbrev + 0xe8c + 0xe8c + 0x9c + + + + .debug_abbrev + 0xf28 + 0xf28 + 0x49 + + + + .debug_abbrev + 0xf71 + 0xf71 + 0x24 + + + + .debug_abbrev + 0xf95 + 0xf95 + 0x35 + + + + .debug_abbrev + 0xfca + 0xfca + 0x24 + + + + .debug_abbrev + 0xfee + 0xfee + 0x24 + + + + .debug_abbrev + 0x1012 + 0x1012 + 0x24 + + + + .debug_abbrev + 0x1036 + 0x1036 + 0x95 + + + + .debug_abbrev + 0x10cb + 0x10cb + 0x8e + + + + .debug_abbrev + 0x1159 + 0x1159 + 0xb4 + + + + .debug_abbrev + 0x120d + 0x120d + 0x8e + + + + .debug_abbrev + 0x129b + 0x129b + 0x24 + + + + .debug_abbrev + 0x12bf + 0x12bf + 0x73 + + + + .debug_abbrev + 0x1332 + 0x1332 + 0x7f + + + + .debug_abbrev + 0x13b1 + 0x13b1 + 0x5c + + + + .debug_abbrev + 0x140d + 0x140d + 0xab + + + + .debug_abbrev + 0x14b8 + 0x14b8 + 0x8e + + + + .debug_abbrev + 0x1546 + 0x1546 + 0x35 + + + + .debug_abbrev + 0x157b + 0x157b + 0x71 + + + + .debug_abbrev + 0x15ec + 0x15ec + 0x24 + + + + .debug_abbrev + 0x1610 + 0x1610 + 0x24 + + + + .debug_abbrev + 0x1634 + 0x1634 + 0x35 + + + + .debug_abbrev + 0x1669 + 0x1669 + 0x7f + + + + .debug_abbrev + 0x16e8 + 0x16e8 + 0x24 + + + + .debug_abbrev + 0x170c + 0x170c + 0x24 + + + + .debug_abbrev + 0x1730 + 0x1730 + 0x5a + + + + .debug_abbrev + 0x178a + 0x178a + 0x8d + + + + .debug_abbrev + 0x1817 + 0x1817 + 0x3c + + + + .debug_abbrev + 0x1853 + 0x1853 + 0x3c + + + + .debug_abbrev + 0x188f + 0x188f + 0x3c + + + + .debug_abbrev + 0x18cb + 0x18cb + 0x3a + + + + .debug_abbrev + 0x1905 + 0x1905 + 0x28 + + + + .debug_abbrev + 0x192d + 0x192d + 0x3c + + + + .debug_abbrev + 0x1969 + 0x1969 + 0x3c + + + + .debug_abbrev + 0x19a5 + 0x19a5 + 0x2e + + + + .debug_abbrev + 0x19d3 + 0x19d3 + 0x2e + + + + .debug_abbrev + 0x1a01 + 0x1a01 + 0x2e + + + + .debug_abbrev + 0x1a2f + 0x1a2f + 0x2e + + + + .debug_abbrev + 0x1a5d + 0x1a5d + 0x29 + + + + .debug_abbrev + 0x1a86 + 0x1a86 + 0x58 + + + + .debug_abbrev + 0x1ade + 0x1ade + 0xc0 + + + + .debug_abbrev + 0x1b9e + 0x1b9e + 0x7e + + + + .debug_abbrev + 0x1c1c + 0x1c1c + 0x24 + + + + .debug_abbrev + 0x1c40 + 0x1c40 + 0x24 + + + + .debug_abbrev + 0x1c64 + 0x1c64 + 0x24 + + + + .debug_abbrev + 0x1c88 + 0x1c88 + 0x4b + + + + .debug_abbrev + 0x1cd3 + 0x1cd3 + 0x37 + + + + .debug_abbrev + 0x1d0a + 0x1d0a + 0x6f + + + + .debug_abbrev + 0x1d79 + 0x1d79 + 0x24 + + + + .debug_abbrev + 0x1d9d + 0x1d9d + 0x33 + + + + .debug_abbrev + 0x1dd0 + 0x1dd0 + 0x29 + + + + .debug_abbrev + 0x1df9 + 0x1df9 + 0x29 + + + + .debug_abbrev + 0x1e22 + 0x1e22 + 0x7f + + + + .debug_abbrev + 0x1ea1 + 0x1ea1 + 0x25 + + + + .debug_abbrev + 0x1ec6 + 0x1ec6 + 0x8d + + + + .debug_abbrev + 0x1f53 + 0x1f53 + 0x55 + + + + .debug_abbrev + 0x1fa8 + 0x1fa8 + 0x53 + + + + .debug_abbrev + 0x1ffb + 0x1ffb + 0x37 + + + + .debug_abbrev + 0x2032 + 0x2032 + 0x74 + + + + .debug_abbrev + 0x20a6 + 0x20a6 + 0x24 + + + + .debug_abbrev + 0x20ca + 0x20ca + 0x24 + + + + .debug_abbrev + 0x20ee + 0x20ee + 0x37 + + + + .debug_abbrev + 0x2125 + 0x2125 + 0x7d + + + + .debug_abbrev + 0x21a2 + 0x21a2 + 0x24 + + + + .debug_abbrev + 0x21c6 + 0x21c6 + 0x35 + + + + .debug_abbrev + 0x21fb + 0x21fb + 0x24 + + + + .debug_abbrev + 0x221f + 0x221f + 0x25 + + + + .debug_abbrev + 0x2244 + 0x2244 + 0x8d + + + + .debug_abbrev + 0x22d1 + 0x22d1 + 0x71 + + + + .debug_abbrev + 0x2342 + 0x2342 + 0x70 + + + + .debug_abbrev + 0x23b2 + 0x23b2 + 0x4d + + + + .debug_abbrev + 0x23ff + 0x23ff + 0x7f + + + + .debug_abbrev + 0x247e + 0x247e + 0x71 + + + + .debug_abbrev + 0x24ef + 0x24ef + 0x7f + + + + .debug_abbrev + 0x256e + 0x256e + 0x68 + + + + .debug_abbrev + 0x25d6 + 0x25d6 + 0x25 + + + + .debug_abbrev + 0x25fb + 0x25fb + 0x7f + + + + .debug_abbrev + 0x267a + 0x267a + 0x35 + + + + .debug_abbrev + 0x26af + 0x26af + 0x8d + + + + .debug_abbrev + 0x273c + 0x273c + 0x44 + + + + .debug_abbrev + 0x2780 + 0x2780 + 0x7f + + + + .debug_abbrev + 0x27ff + 0x27ff + 0x71 + + + + .debug_abbrev + 0x2870 + 0x2870 + 0x7f + + + + .debug_abbrev + 0x28ef + 0x28ef + 0x6f + + + + .debug_abbrev + 0x295e + 0x295e + 0x29 + + + + .debug_abbrev + 0x2987 + 0x2987 + 0x45 + + + + .debug_abbrev + 0x29cc + 0x29cc + 0x8c + + + + .debug_abbrev + 0x2a58 + 0x2a58 + 0x35 + + + + .debug_abbrev + 0x2a8d + 0x2a8d + 0x29 + + + + .debug_abbrev + 0x2ab6 + 0x2ab6 + 0x29 + + + + .debug_abbrev + 0x2adf + 0x2adf + 0x53 + + + + .debug_abbrev + 0x2b32 + 0x2b32 + 0x49 + + + + .debug_abbrev + 0x2b7b + 0x2b7b + 0x7f + + + + .debug_abbrev + 0x2bfa + 0x2bfa + 0x58 + + + + .debug_abbrev + 0x2c52 + 0x2c52 + 0x7f + + + + .debug_abbrev + 0x2cd1 + 0x2cd1 + 0x2e + + + + .debug_abbrev + 0x2cff + 0x2cff + 0x29 + + + + .debug_abbrev + 0x2d28 + 0x2d28 + 0x46 + + + + .debug_abbrev + 0x2d6e + 0x2d6e + 0x29 + + + + .debug_abbrev + 0x2d97 + 0x2d97 + 0x29 + + + + .debug_abbrev + 0x2dc0 + 0x2dc0 + 0x37 + + + + .debug_abbrev + 0x2df7 + 0x2df7 + 0x71 + + + + .debug_abbrev + 0x2e68 + 0x2e68 + 0x37 + + + + .debug_abbrev + 0x2e9f + 0x2e9f + 0x71 + + + + .debug_abbrev + 0x2f10 + 0x2f10 + 0x45 + + + + .debug_abbrev + 0x2f55 + 0x2f55 + 0x71 + + + + .debug_abbrev + 0x2fc6 + 0x2fc6 + 0xab + + + + .debug_abbrev + 0x3071 + 0x3071 + 0x29 + + + + .debug_abbrev + 0x309a + 0x309a + 0x27 + + + + .debug_abbrev + 0x30c1 + 0x30c1 + 0x27 + + + + .debug_abbrev + 0x30e8 + 0x30e8 + 0x76 + + + + .debug_abbrev + 0x315e + 0x315e + 0x6d + + + + .debug_abbrev + 0x31cb + 0x31cb + 0x6d + + + + .debug_abbrev + 0x3238 + 0x3238 + 0x8c + + + + .debug_abbrev + 0x32c4 + 0x32c4 + 0x7b + + + + .debug_abbrev + 0x333f + 0x333f + 0x8e + + + + .debug_abbrev + 0x33cd + 0x33cd + 0x7f + + + + .debug_abbrev + 0x344c + 0x344c + 0x24 + + + + .debug_abbrev + 0x3470 + 0x3470 + 0x35 + + + + .debug_abbrev + 0x34a5 + 0x34a5 + 0x71 + + + + .debug_abbrev + 0x3516 + 0x3516 + 0x3e + + + + .debug_abbrev + 0x3554 + 0x3554 + 0x71 + + + + .debug_abbrev + 0x35c5 + 0x35c5 + 0x2e + + + + .debug_abbrev + 0x35f3 + 0x35f3 + 0x71 + + + + .debug_abbrev + 0x3664 + 0x3664 + 0x4f + + + + .debug_abbrev + 0x36b3 + 0x36b3 + 0x71 + + + + .debug_abbrev + 0x3724 + 0x3724 + 0x7a + + + + .debug_abbrev + 0x379e + 0x379e + 0x80 + + + + .debug_abbrev + 0x381e + 0x381e + 0x5a + + + + .debug_abbrev + 0x3878 + 0x3878 + 0x24 + + + + .debug_abbrev + 0x389c + 0x389c + 0x71 + + + + .debug_abbrev + 0x390d + 0x390d + 0x29 + + + + .debug_abbrev + 0x3936 + 0x3936 + 0x29 + + + + .debug_abbrev + 0x395f + 0x395f + 0x71 + + + + .debug_abbrev + 0x39d0 + 0x39d0 + 0x27 + + + + .debug_abbrev + 0x39f7 + 0x39f7 + 0xab + + + + .debug_abbrev + 0x3aa2 + 0x3aa2 + 0x7f + + + + .debug_abbrev + 0x3b21 + 0x3b21 + 0x6f + + + + .debug_abbrev + 0x3b90 + 0x3b90 + 0x81 + + + + .debug_abbrev + 0x3c11 + 0x3c11 + 0x8e + + + + .debug_abbrev + 0x3c9f + 0x3c9f + 0x63 + + + + .debug_abbrev + 0x3d02 + 0x3d02 + 0x3c + + + + .debug_abbrev + 0x3d3e + 0x3d3e + 0x4a + + + + .debug_abbrev + 0x3d88 + 0x3d88 + 0x3c + + + + .debug_abbrev + 0x3dc4 + 0x3dc4 + 0x3c + + + + .debug_abbrev + 0x3e00 + 0x3e00 + 0x3c + + + + .debug_abbrev + 0x3e3c + 0x3e3c + 0x7f + + + + .debug_abbrev + 0x3ebb + 0x3ebb + 0x7f + + + + .debug_abbrev + 0x3f3a + 0x3f3a + 0x7f + + + + .debug_abbrev + 0x3fb9 + 0x3fb9 + 0x8c + + + + .debug_abbrev + 0x4045 + 0x4045 + 0x8e + + + + .debug_abbrev + 0x40d3 + 0x40d3 + 0x8c + + + + .debug_abbrev + 0x415f + 0x415f + 0x8e + + + + .debug_abbrev + 0x41ed + 0x41ed + 0xc1 + + + + .debug_abbrev + 0x42ae + 0x42ae + 0x8e + + + + .debug_abbrev + 0x433c + 0x433c + 0x93 + + + + .debug_abbrev + 0x43cf + 0x43cf + 0x8e + + + + .debug_abbrev + 0x445d + 0x445d + 0xca + + + + .debug_abbrev + 0x4527 + 0x4527 + 0x8e + + + + .debug_abbrev + 0x45b5 + 0x45b5 + 0xab + + + + .debug_abbrev + 0x4660 + 0x4660 + 0x8e + + + + .debug_abbrev + 0x46ee + 0x46ee + 0x93 + + + + .debug_abbrev + 0x4781 + 0x4781 + 0x8e + + + + .debug_abbrev + 0x480f + 0x480f + 0x52 + + + + .debug_abbrev + 0x4861 + 0x4861 + 0x29 + + + + .debug_abbrev + 0x488a + 0x488a + 0x6f + + + + .debug_abbrev + 0x48f9 + 0x48f9 + 0x6f + + + + .debug_abbrev + 0x4968 + 0x4968 + 0x7a + + + + .debug_abbrev + 0x49e2 + 0x49e2 + 0x80 + + + + .debug_abbrev + 0x4a62 + 0x4a62 + 0xab + + + + .debug_abbrev + 0x4b0d + 0x4b0d + 0x8e + + + + .debug_abbrev + 0x4b9b + 0x4b9b + 0xb8 + + + + .debug_abbrev + 0x4c53 + 0x4c53 + 0x7f + + + + .debug_abbrev + 0x4cd2 + 0x4cd2 + 0x7f + + + + .debug_abbrev + 0x4d51 + 0x4d51 + 0x49 + + + + .debug_abbrev + 0x4d9a + 0x4d9a + 0x2e + + + + .debug_abbrev + 0x4dc8 + 0x4dc8 + 0x71 + + + + .debug_abbrev + 0x4e39 + 0x4e39 + 0x45 + + + + .debug_abbrev + 0x4e7e + 0x4e7e + 0x71 + + + + .debug_abbrev + 0x4eef + 0x4eef + 0x45 + + + + .debug_abbrev + 0x4f34 + 0x4f34 + 0x71 + + + + .debug_abbrev + 0x4fa5 + 0x4fa5 + 0x81 + + + + .debug_abbrev + 0x5026 + 0x5026 + 0x80 + + + + .debug_abbrev + 0x50a6 + 0x50a6 + 0x99 + + + + .debug_abbrev + 0x513f + 0x513f + 0x8e + + + + .debug_abbrev + 0x51cd + 0x51cd + 0xf + + + .debug_str + 0x0 + 0x0 + 0x2aa + + + + .debug_str + 0x2aa + 0x2aa + 0xfd + + + + .debug_str + 0x3a7 + 0x3a7 + 0x32f + + + + .debug_str + 0x6d6 + 0x6d6 + 0xdd + + + + .debug_str + 0x7b3 + 0x7b3 + 0x167 + + + + .debug_str + 0x91a + 0x91a + 0x15d + + + + .debug_str + 0xa77 + 0xa77 + 0x14b + + + + .debug_str + 0xbc2 + 0xbc2 + 0x1a2 + + + + .debug_str + 0xd64 + 0xd64 + 0x15b + + + + .debug_str + 0xebf + 0xebf + 0xef + + + + .debug_str + 0xfae + 0xfae + 0x13f + + + + .debug_str + 0x10ed + 0x10ed + 0x140 + + + + .debug_str + 0x122d + 0x122d + 0x16e + + + + .debug_str + 0x139b + 0x139b + 0x155 + + + + .debug_str + 0x14f0 + 0x14f0 + 0x147 + + + + .debug_str + 0x1637 + 0x1637 + 0x161 + + + + .debug_str + 0x1798 + 0x1798 + 0x146 + + + + .debug_str + 0x18de + 0x18de + 0xed + + + + .debug_str + 0x19cb + 0x19cb + 0x14c + + + + .debug_str + 0x1b17 + 0x1b17 + 0x147 + + + + .debug_str + 0x1c5e + 0x1c5e + 0x197 + + + + .debug_str + 0x1df5 + 0x1df5 + 0x105 + + + + .debug_str + 0x1efa + 0x1efa + 0x10b + + + + .debug_str + 0x2005 + 0x2005 + 0x140 + + + + .debug_str + 0x2145 + 0x2145 + 0x118 + + + + .debug_str + 0x225d + 0x225d + 0x16b + + + + .debug_str + 0x23c8 + 0x23c8 + 0x158 + + + + .debug_str + 0x2520 + 0x2520 + 0xf7 + + + + .debug_str + 0x2617 + 0x2617 + 0x10a + + + + .debug_str + 0x2721 + 0x2721 + 0x140 + + + + .debug_str + 0x2861 + 0x2861 + 0x18a + + + + .debug_str + 0x29eb + 0x29eb + 0x13d + + + + .debug_str + 0x2b28 + 0x2b28 + 0x110 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x28 + + + + .debug_aranges + 0xc8 + 0xc8 + 0x20 + + + + .debug_aranges + 0xe8 + 0xe8 + 0x20 + + + + .debug_aranges + 0x108 + 0x108 + 0x20 + + + + .debug_aranges + 0x128 + 0x128 + 0x40 + + + + .debug_aranges + 0x168 + 0x168 + 0x20 + + + + .debug_aranges + 0x188 + 0x188 + 0x20 + + + + .debug_aranges + 0x1a8 + 0x1a8 + 0x20 + + + + .debug_aranges + 0x1c8 + 0x1c8 + 0x40 + + + + .debug_aranges + 0x208 + 0x208 + 0x20 + + + + .debug_aranges + 0x228 + 0x228 + 0x20 + + + + .debug_aranges + 0x248 + 0x248 + 0x40 + + + + .debug_aranges + 0x288 + 0x288 + 0x40 + + + + .debug_aranges + 0x2c8 + 0x2c8 + 0x20 + + + + .debug_aranges + 0x2e8 + 0x2e8 + 0x40 + + + + .debug_aranges + 0x328 + 0x328 + 0x20 + + + + .debug_aranges + 0x348 + 0x348 + 0x20 + + + + .debug_aranges + 0x368 + 0x368 + 0x20 + + + + .debug_aranges + 0x388 + 0x388 + 0x28 + + + + .debug_aranges + 0x3b0 + 0x3b0 + 0x20 + + + + .debug_aranges + 0x3d0 + 0x3d0 + 0x20 + + + + .debug_aranges + 0x3f0 + 0x3f0 + 0x20 + + + + .debug_aranges + 0x410 + 0x410 + 0x20 + + + + .debug_aranges + 0x430 + 0x430 + 0x20 + + + + .debug_aranges + 0x450 + 0x450 + 0x20 + + + + .debug_aranges + 0x470 + 0x470 + 0x28 + + + + .debug_aranges + 0x498 + 0x498 + 0x20 + + + + .debug_aranges + 0x4b8 + 0x4b8 + 0x20 + + + + .debug_aranges + 0x4d8 + 0x4d8 + 0x20 + + + + .debug_aranges + 0x4f8 + 0x4f8 + 0x20 + + + + .debug_aranges + 0x518 + 0x518 + 0x20 + + + + .debug_aranges + 0x538 + 0x538 + 0x20 + + + + .debug_aranges + 0x558 + 0x558 + 0x20 + + + + .debug_aranges + 0x578 + 0x578 + 0x20 + + + + .debug_aranges + 0x598 + 0x598 + 0x20 + + + + .debug_aranges + 0x5b8 + 0x5b8 + 0x20 + + + + .debug_aranges + 0x5d8 + 0x5d8 + 0x20 + + + + .debug_aranges + 0x5f8 + 0x5f8 + 0x20 + + + + .debug_aranges + 0x618 + 0x618 + 0x20 + + + + .debug_aranges + 0x638 + 0x638 + 0x20 + + + + .debug_aranges + 0x658 + 0x658 + 0x20 + + + + .debug_aranges + 0x678 + 0x678 + 0x30 + + + + .debug_aranges + 0x6a8 + 0x6a8 + 0x20 + + + + .debug_aranges + 0x6c8 + 0x6c8 + 0x20 + + + + .debug_aranges + 0x6e8 + 0x6e8 + 0x20 + + + + .debug_aranges + 0x708 + 0x708 + 0x20 + + + + .debug_aranges + 0x728 + 0x728 + 0x28 + + + + .debug_aranges + 0x750 + 0x750 + 0x20 + + + + .debug_aranges + 0x770 + 0x770 + 0x20 + + + + .debug_aranges + 0x790 + 0x790 + 0x20 + + + + .debug_aranges + 0x7b0 + 0x7b0 + 0x20 + + + + .debug_aranges + 0x7d0 + 0x7d0 + 0x20 + + + + .debug_aranges + 0x7f0 + 0x7f0 + 0x20 + + + + .debug_aranges + 0x810 + 0x810 + 0x28 + + + + .debug_aranges + 0x838 + 0x838 + 0x20 + + + + .debug_aranges + 0x858 + 0x858 + 0x20 + + + + .debug_aranges + 0x878 + 0x878 + 0x20 + + + + .debug_aranges + 0x898 + 0x898 + 0x20 + + + + .debug_aranges + 0x8b8 + 0x8b8 + 0x20 + + + + .debug_aranges + 0x8d8 + 0x8d8 + 0x20 + + + + .debug_aranges + 0x8f8 + 0x8f8 + 0x20 + + + + .debug_aranges + 0x918 + 0x918 + 0x20 + + + + .debug_aranges + 0x938 + 0x938 + 0x20 + + + + .debug_aranges + 0x958 + 0x958 + 0x20 + + + + .debug_aranges + 0x978 + 0x978 + 0x20 + + + + .debug_aranges + 0x998 + 0x998 + 0x20 + + + + .debug_aranges + 0x9b8 + 0x9b8 + 0x20 + + + + .debug_aranges + 0x9d8 + 0x9d8 + 0x20 + + + + .debug_aranges + 0x9f8 + 0x9f8 + 0x20 + + + + .debug_aranges + 0xa18 + 0xa18 + 0x20 + + + + .debug_aranges + 0xa38 + 0xa38 + 0x20 + + + + .debug_aranges + 0xa58 + 0xa58 + 0x20 + + + + .debug_aranges + 0xa78 + 0xa78 + 0x20 + + + + .debug_aranges + 0xa98 + 0xa98 + 0x20 + + + + .debug_aranges + 0xab8 + 0xab8 + 0x20 + + + + .debug_aranges + 0xad8 + 0xad8 + 0x20 + + + + .debug_aranges + 0xaf8 + 0xaf8 + 0x20 + + + + .debug_aranges + 0xb18 + 0xb18 + 0x20 + + + + .debug_aranges + 0xb38 + 0xb38 + 0x20 + + + + .debug_aranges + 0xb58 + 0xb58 + 0x20 + + + + .debug_aranges + 0xb78 + 0xb78 + 0x20 + + + + .debug_aranges + 0xb98 + 0xb98 + 0x20 + + + + .debug_aranges + 0xbb8 + 0xbb8 + 0x20 + + + + .debug_aranges + 0xbd8 + 0xbd8 + 0x20 + + + + .debug_aranges + 0xbf8 + 0xbf8 + 0x20 + + + + .debug_aranges + 0xc18 + 0xc18 + 0x20 + + + + .debug_aranges + 0xc38 + 0xc38 + 0x20 + + + + .debug_aranges + 0xc58 + 0xc58 + 0x20 + + + + .debug_aranges + 0xc78 + 0xc78 + 0x20 + + + + .debug_aranges + 0xc98 + 0xc98 + 0x20 + + + + .debug_aranges + 0xcb8 + 0xcb8 + 0x20 + + + + .debug_aranges + 0xcd8 + 0xcd8 + 0x20 + + + + .debug_aranges + 0xcf8 + 0xcf8 + 0x20 + + + + .debug_aranges + 0xd18 + 0xd18 + 0x20 + + + + .debug_aranges + 0xd38 + 0xd38 + 0x20 + + + + .debug_aranges + 0xd58 + 0xd58 + 0x20 + + + + .debug_aranges + 0xd78 + 0xd78 + 0x20 + + + + .debug_aranges + 0xd98 + 0xd98 + 0x20 + + + + .debug_aranges + 0xdb8 + 0xdb8 + 0x20 + + + + .debug_aranges + 0xdd8 + 0xdd8 + 0x20 + + + + .debug_aranges + 0xdf8 + 0xdf8 + 0x20 + + + + .debug_aranges + 0xe18 + 0xe18 + 0x20 + + + + .debug_aranges + 0xe38 + 0xe38 + 0x20 + + + + .debug_aranges + 0xe58 + 0xe58 + 0x20 + + + + .debug_aranges + 0xe78 + 0xe78 + 0x20 + + + + .debug_aranges + 0xe98 + 0xe98 + 0x20 + + + + .debug_aranges + 0xeb8 + 0xeb8 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1b + + + + .debug_pubnames + 0x1b + 0x1b + 0x1b + + + + .debug_pubnames + 0x36 + 0x36 + 0x1c + + + + .debug_pubnames + 0x52 + 0x52 + 0x1c + + + + .debug_pubnames + 0x6e + 0x6e + 0x1d + + + + .debug_pubnames + 0x8b + 0x8b + 0x21 + + + + .debug_pubnames + 0xac + 0xac + 0x25 + + + + .debug_pubnames + 0xd1 + 0xd1 + 0x1e + + + + .debug_pubnames + 0xef + 0xef + 0x24 + + + + .debug_pubnames + 0x113 + 0x113 + 0x1b + + + + .debug_pubnames + 0x12e + 0x12e + 0x1c + + + + .debug_pubnames + 0x14a + 0x14a + 0x1c + + + + .debug_pubnames + 0x166 + 0x166 + 0x1f + + + + .debug_pubnames + 0x185 + 0x185 + 0x1b + + + + .debug_pubnames + 0x1a0 + 0x1a0 + 0x1c + + + + .debug_pubnames + 0x1bc + 0x1bc + 0x1f + + + + .debug_pubnames + 0x1db + 0x1db + 0x1f + + + + .debug_pubnames + 0x1fa + 0x1fa + 0x1b + + + + .debug_pubnames + 0x215 + 0x215 + 0x1f + + + + .debug_pubnames + 0x234 + 0x234 + 0x22 + + + + .debug_pubnames + 0x256 + 0x256 + 0x20 + + + + .debug_pubnames + 0x276 + 0x276 + 0x21 + + + + .debug_pubnames + 0x297 + 0x297 + 0x22 + + + + .debug_pubnames + 0x2b9 + 0x2b9 + 0x23 + + + + .debug_pubnames + 0x2dc + 0x2dc + 0x1c + + + + .debug_pubnames + 0x2f8 + 0x2f8 + 0x1c + + + + .debug_pubnames + 0x314 + 0x314 + 0x22 + + + + .debug_pubnames + 0x336 + 0x336 + 0x1e + + + + .debug_pubnames + 0x354 + 0x354 + 0x1d + + + + .debug_pubnames + 0x371 + 0x371 + 0x1c + + + + .debug_pubnames + 0x38d + 0x38d + 0x1d + + + + .debug_pubnames + 0x3aa + 0x3aa + 0x24 + + + + .debug_pubnames + 0x3ce + 0x3ce + 0x24 + + + + .debug_pubnames + 0x3f2 + 0x3f2 + 0x25 + + + + .debug_pubnames + 0x417 + 0x417 + 0x2b + + + + .debug_pubnames + 0x442 + 0x442 + 0x2b + + + + .debug_pubnames + 0x46d + 0x46d + 0x24 + + + + .debug_pubnames + 0x491 + 0x491 + 0x24 + + + + .debug_pubnames + 0x4b5 + 0x4b5 + 0x29 + + + + .debug_pubnames + 0x4de + 0x4de + 0x29 + + + + .debug_pubnames + 0x507 + 0x507 + 0x2b + + + + .debug_pubnames + 0x532 + 0x532 + 0x2a + + + + .debug_pubnames + 0x55c + 0x55c + 0x1d + + + + .debug_pubnames + 0x579 + 0x579 + 0x26 + + + + .debug_pubnames + 0x59f + 0x59f + 0x3e + + + + .debug_pubnames + 0x5dd + 0x5dd + 0x2e + + + + .debug_pubnames + 0x60b + 0x60b + 0x27 + + + + .debug_pubnames + 0x632 + 0x632 + 0x25 + + + + .debug_pubnames + 0x657 + 0x657 + 0x25 + + + + .debug_pubnames + 0x67c + 0x67c + 0x26 + + + + .debug_pubnames + 0x6a2 + 0x6a2 + 0x27 + + + + .debug_pubnames + 0x6c9 + 0x6c9 + 0x29 + + + + .debug_pubnames + 0x6f2 + 0x6f2 + 0x2b + + + + .debug_pubnames + 0x71d + 0x71d + 0x2b + + + + .debug_pubnames + 0x748 + 0x748 + 0x24 + + + + .debug_pubnames + 0x76c + 0x76c + 0x24 + + + + .debug_pubnames + 0x790 + 0x790 + 0x24 + + + + .debug_pubnames + 0x7b4 + 0x7b4 + 0x25 + + + + .debug_pubnames + 0x7d9 + 0x7d9 + 0x26 + + + + .debug_pubnames + 0x7ff + 0x7ff + 0x25 + + + + .debug_pubnames + 0x824 + 0x824 + 0x26 + + + + .debug_pubnames + 0x84a + 0x84a + 0x23 + + + + .debug_pubnames + 0x86d + 0x86d + 0x24 + + + + .debug_pubnames + 0x891 + 0x891 + 0x24 + + + + .debug_pubnames + 0x8b5 + 0x8b5 + 0x24 + + + + .debug_pubnames + 0x8d9 + 0x8d9 + 0x36 + + + + .debug_pubnames + 0x90f + 0x90f + 0x1c + + + + .debug_pubnames + 0x92b + 0x92b + 0x1b + + + + .debug_pubnames + 0x946 + 0x946 + 0x1c + + + + .debug_pubnames + 0x962 + 0x962 + 0x1e + + + + .debug_pubnames + 0x980 + 0x980 + 0x1b + + + + .debug_pubnames + 0x99b + 0x99b + 0x20 + + + + .debug_pubnames + 0x9bb + 0x9bb + 0x1b + + + + .debug_pubnames + 0x9d6 + 0x9d6 + 0x1f + + + + .debug_pubnames + 0x9f5 + 0x9f5 + 0x23 + + + + .debug_pubnames + 0xa18 + 0xa18 + 0x1e + + + + .debug_pubnames + 0xa36 + 0xa36 + 0x22 + + + + .debug_pubnames + 0xa58 + 0xa58 + 0x1e + + + + .debug_pubnames + 0xa76 + 0xa76 + 0x1d + + + + .debug_pubnames + 0xa93 + 0xa93 + 0x1d + + + + .debug_pubnames + 0xab0 + 0xab0 + 0x22 + + + + .debug_pubnames + 0xad2 + 0xad2 + 0x2c + + + + .debug_pubnames + 0xafe + 0xafe + 0x1f + + + + .debug_pubnames + 0xb1d + 0xb1d + 0x1d + + + + .debug_pubnames + 0xb3a + 0xb3a + 0x27 + + + + .debug_pubnames + 0xb61 + 0xb61 + 0x27 + + + + .debug_pubnames + 0xb88 + 0xb88 + 0x1b + + + + .debug_pubnames + 0xba3 + 0xba3 + 0x1c + + + + .debug_pubnames + 0xbbf + 0xbbf + 0x24 + + + + .debug_pubnames + 0xbe3 + 0xbe3 + 0x1d + + + + .debug_pubnames + 0xc00 + 0xc00 + 0x1d + + + + .debug_pubnames + 0xc1d + 0xc1d + 0x1d + + + + .debug_pubnames + 0xc3a + 0xc3a + 0x1e + + + + .debug_pubnames + 0xc58 + 0xc58 + 0x1c + + + + .debug_pubnames + 0xc74 + 0xc74 + 0x1e + + + + .debug_pubnames + 0xc92 + 0xc92 + 0x1e + + + + .debug_pubnames + 0xcb0 + 0xcb0 + 0x1a + + + + .debug_pubnames + 0xcca + 0xcca + 0x1c + + + + .debug_pubnames + 0xce6 + 0xce6 + 0x23 + + + + .debug_pubnames + 0xd09 + 0xd09 + 0x23 + + + + .debug_pubnames + 0xd2c + 0xd2c + 0x1c + + + + .debug_pubnames + 0xd48 + 0xd48 + 0x1f + + + + .debug_pubnames + 0xd67 + 0xd67 + 0x27 + + + + .debug_pubnames + 0xd8e + 0xd8e + 0x25 + + + + .debug_pubnames + 0xdb3 + 0xdb3 + 0x27 + + + + .debug_pubnames + 0xdda + 0xdda + 0x24 + + + + .debug_pubnames + 0xdfe + 0xdfe + 0x27 + + + + .debug_pubnames + 0xe25 + 0xe25 + 0x25 + + + + .debug_pubnames + 0xe4a + 0xe4a + 0x25 + + + + .debug_pubnames + 0xe6f + 0xe6f + 0x23 + + + + .debug_pubnames + 0xe92 + 0xe92 + 0x20 + + + + .debug_pubnames + 0xeb2 + 0xeb2 + 0x20 + + + + .debug_pubnames + 0xed2 + 0xed2 + 0x1e + + + + .debug_pubnames + 0xef0 + 0xef0 + 0x1f + + + + .debug_pubnames + 0xf0f + 0xf0f + 0x1f + + + + .debug_pubnames + 0xf2e + 0xf2e + 0x21 + + + + .debug_pubnames + 0xf4f + 0xf4f + 0x21 + + + + .debug_pubnames + 0xf70 + 0xf70 + 0x20 + + + + .debug_pubnames + 0xf90 + 0xf90 + 0x1f + + + + .debug_pubnames + 0xfaf + 0xfaf + 0x24 + + + + .debug_pubnames + 0xfd3 + 0xfd3 + 0x23 + + + + .debug_pubnames + 0xff6 + 0xff6 + 0x1c + + + + .debug_pubnames + 0x1012 + 0x1012 + 0x25 + + + + .debug_pubnames + 0x1037 + 0x1037 + 0x21 + + + + .debug_pubnames + 0x1058 + 0x1058 + 0x20 + + + + .debug_pubnames + 0x1078 + 0x1078 + 0x1d + + + + .debug_pubnames + 0x1095 + 0x1095 + 0x1d + + + + .debug_pubnames + 0x10b2 + 0x10b2 + 0x1e + + + + .debug_pubnames + 0x10d0 + 0x10d0 + 0x1c + + + + .debug_pubnames + 0x10ec + 0x10ec + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0x25c + + + + .debug_pubtypes + 0x25c + 0x25c + 0xed + + + + .debug_pubtypes + 0x349 + 0x349 + 0x320 + + + + .debug_pubtypes + 0x669 + 0x669 + 0x1e + + + + .debug_pubtypes + 0x687 + 0x687 + 0x27 + + + + .debug_pubtypes + 0x6ae + 0x6ae + 0x1e + + + + .debug_pubtypes + 0x6cc + 0x6cc + 0x2c + + + + .debug_pubtypes + 0x6f8 + 0x6f8 + 0x97 + + + + .debug_pubtypes + 0x78f + 0x78f + 0x3b + + + + .debug_pubtypes + 0x7ca + 0x7ca + 0x38 + + + + .debug_pubtypes + 0x802 + 0x802 + 0x1d + + + + .debug_pubtypes + 0x81f + 0x81f + 0x1d + + + + .debug_pubtypes + 0x83c + 0x83c + 0x32 + + + + .debug_pubtypes + 0x86e + 0x86e + 0x2e + + + + .debug_pubtypes + 0x89c + 0x89c + 0x29 + + + + .debug_pubtypes + 0x8c5 + 0x8c5 + 0x3e + + + + .debug_pubtypes + 0x903 + 0x903 + 0x1e + + + + .debug_pubtypes + 0x921 + 0x921 + 0x32 + + + + .debug_pubtypes + 0x953 + 0x953 + 0x21 + + + + .debug_pubtypes + 0x974 + 0x974 + 0x1f + + + + .debug_pubtypes + 0x993 + 0x993 + 0x50 + + + + .debug_pubtypes + 0x9e3 + 0x9e3 + 0x48 + + + + .debug_pubtypes + 0xa2b + 0xa2b + 0x48 + + + + .debug_pubtypes + 0xa73 + 0xa73 + 0x1d + + + + .debug_pubtypes + 0xa90 + 0xa90 + 0x5d + + + + .debug_pubtypes + 0xaed + 0xaed + 0x48 + + + + .debug_pubtypes + 0xb35 + 0xb35 + 0x35 + + + + .debug_pubtypes + 0xb6a + 0xb6a + 0x30 + + + + .debug_pubtypes + 0xb9a + 0xb9a + 0x26 + + + + .debug_pubtypes + 0xbc0 + 0xbc0 + 0x1d + + + + .debug_pubtypes + 0xbdd + 0xbdd + 0x2e + + + + .debug_pubtypes + 0xc0b + 0xc0b + 0x1c + + + + .debug_pubtypes + 0xc27 + 0xc27 + 0x1e + + + + + + .bss + 0x274a + 0xaa + + + + + + + + .data + 0x264c + 0xfe + + + + + + + + + + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x2400 + 0x12c + + + + + + + .stack + 0x4360 + 0xa0 + + + + + + + .text + 0x4400 + 0x4400 + 0x4708 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x8c44 + 0x8c44 + 0x8 + + + + + + .cinit + 0x8c4c + 0x8c4c + 0x56 + + + + + + + + + .const + 0x8b08 + 0x8b08 + 0x13c + + + + + + + + .cio + 0x252c + 0x120 + + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x164cc + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x60dd + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x1e44 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x51dc + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0x2c38 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0xed8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x1109 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xc45 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SEGMENT_0 + 0x2400 + 0x3f4 + 0x6 + + + + + + + + + SEGMENT_1 + 0x4360 + 0xa0 + 0x6 + + + + + + SEGMENT_2 + 0x4400 + 0x4400 + 0x48a2 + 0x5 + + + + + + + + + SEGMENT_3 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x494 + 0x1b6c + RWIX + + + 0x2400 + 0x12c + + + + 0x252c + 0x120 + + + + 0x264c + 0xfe + + + + 0x274a + 0xaa + + + + 0x27f4 + 0x1b6c + + + 0x4360 + 0xa0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x48a2 + 0x72de + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x4708 + + + + 0x8b08 + 0x13c + + + + 0x8c44 + 0x8 + + + + 0x8c4c + 0x56 + + + + 0x8ca2 + 0x72de + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x8c4c + 0x44 + 0x264c + 0xfe + lzss + + + .bss + 0x8c96 + 0x4 + 0x274a + 0xaa + zero_init + + + + + __TI_handler_table + + 0x0 + __TI_zero_init + + + 0x1 + __TI_decompress_lzss + + + 0x2 + __TI_decompress_none + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __TI_CINIT_Base + 0x8c9a + + + __TI_CINIT_Limit + 0x8ca2 + + + __TI_Handler_Table_Base + 0x8c90 + + + __TI_Handler_Table_Limit + 0x8c96 + + + __STACK_SIZE + 0xa0 + + + __STACK_END + 0x4400 + + + __SYSMEM_SIZE + 0x12c + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + prod + 0x864c + + + + main + 0x8282 + + + + printf + 0x8610 + + + + __TI_printfi + 0x55ce + + + + putc + 0x7924 + + + + fputc + 0x7924 + + + + fputs + 0x717e + + + + __TI_wrt_ok + 0x7bca + + + + setvbuf + 0x74f6 + + + + wcslen + 0x8a4e + + + + frexpl + 0x768a + + + + frexp + 0x768a + + + + scalbn + 0x6bac + + + + scalbnl + 0x6bac + + + + ldexpl + 0x6bac + + + + ldexp + 0x6bac + + + + __mspabi_srai + 0x87a4 + + + + __mspabi_srai_8 + 0x87be + + + + __mspabi_srai_9 + 0x87bc + + + + __mspabi_srai_6 + 0x87c2 + + + + __mspabi_srai_7 + 0x87c0 + + + + __mspabi_srai_4 + 0x87c6 + + + + __mspabi_srai_5 + 0x87c4 + + + + __mspabi_srai_2 + 0x87ca + + + + __mspabi_srai_3 + 0x87c8 + + + + __mspabi_srai_1 + 0x87cc + + + + __mspabi_srai_15 + 0x87b0 + + + + __mspabi_srai_14 + 0x87b2 + + + + __mspabi_srai_13 + 0x87b4 + + + + __mspabi_srai_12 + 0x87b6 + + + + __mspabi_srai_11 + 0x87b8 + + + + __mspabi_srai_10 + 0x87ba + + + + __mspabi_remu + 0x89e8 + + + + __mspabi_divu + 0x89e8 + + + + __mspabi_remul + 0x81d8 + + + + __mspabi_divul + 0x81d8 + + + + __mspabi_func_epilog_2 + 0x8aa2 + + + + __mspabi_func_epilog_3 + 0x8aa0 + + + + __mspabi_func_epilog_1 + 0x8aa4 + + + + __mspabi_func_epilog_6 + 0x8a9a + + + + __mspabi_func_epilog_7 + 0x8a98 + + + + __mspabi_func_epilog_4 + 0x8a9e + + + + __mspabi_func_epilog_5 + 0x8a9c + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x8c44 + + + + __mspabi_slli + 0x87d0 + + + + __mspabi_slli_9 + 0x87e8 + + + + __mspabi_slli_8 + 0x87ea + + + + __mspabi_slli_7 + 0x87ec + + + + __mspabi_slli_6 + 0x87ee + + + + __mspabi_slli_5 + 0x87f0 + + + + __mspabi_slli_4 + 0x87f2 + + + + __mspabi_slli_3 + 0x87f4 + + + + __mspabi_slli_2 + 0x87f6 + + + + __mspabi_slli_1 + 0x87f8 + + + + __mspabi_slli_15 + 0x87dc + + + + __mspabi_slli_14 + 0x87de + + + + __mspabi_slli_13 + 0x87e0 + + + + __mspabi_slli_12 + 0x87e2 + + + + __mspabi_slli_11 + 0x87e4 + + + + __mspabi_slli_10 + 0x87e6 + + + + __mspabi_srli_8 + 0x834c + + + + __mspabi_srli_9 + 0x8348 + + + + __mspabi_srli_6 + 0x8354 + + + + __mspabi_srli_7 + 0x8350 + + + + __mspabi_srli_4 + 0x835c + + + + __mspabi_srli_5 + 0x8358 + + + + __mspabi_srli_2 + 0x8364 + + + + __mspabi_srli_3 + 0x8360 + + + + __mspabi_srli_1 + 0x8368 + + + + __mspabi_srli + 0x8322 + + + + __mspabi_srli_15 + 0x8330 + + + + __mspabi_srli_14 + 0x8334 + + + + __mspabi_srli_13 + 0x8338 + + + + __mspabi_srli_12 + 0x833c + + + + __mspabi_srli_11 + 0x8340 + + + + __mspabi_srli_10 + 0x8344 + + + + __mspabi_mpyi_f5hw + 0x8a3a + + + + __mspabi_mpyl_f5hw + 0x895e + + + + __mspabi_mpyull_f5hw + 0x8852 + + + + __mspabi_mpyll_f5hw + 0x806e + + + + _stack + 0x4360 + + + + _c_int00_noargs + 0x897e + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x8128 + + + + __TI_zero_init_nomemset + 0x89fe + + + + __TI_dtors_ptr + 0x273c + + + + __TI_cleanup_ptr + 0x273a + + + + __mspabi_srlll + 0x8402 + + + + __mspabi_divull + 0x4fae + + + + _system_pre_init + 0x8b00 + + + + _system_post_cinit + 0x8b06 + + + + __TI_decompress_none + 0x8a62 + + + + __TI_decompress_lzss + 0x7b4e + + + + __mspabi_addd + 0x4400 + + + + __mspabi_cmpd + 0x7348 + + + + __mspabi_divd + 0x5f5a + + + + __mspabi_fixdi + 0x887a + + + + __mspabi_fixdli + 0x7d30 + + + + __mspabi_fltid + 0x8ac6 + + + + __mspabi_fltlid + 0x773a + + + + __TI_frcdivd + 0x4b36 + + + + __mspabi_mpyd + 0x530e + + + + __mspabi_negd + 0x8828 + + + + __mspabi_subd + 0x871c + + + + C$$EXIT + 0x8af6 + + + + abort + 0x8af6 + + + + __TI_enable_exit_profile_output + 0x2746 + + + + exit + 0x84d0 + + + + _nop + 0x8b04 + + + + _lock + 0x273e + + + + _unlock + 0x2740 + + + + __TI_ltoa + 0x7aca + + + + atoi + 0x8230 + + + + _ctypes_ + 0x8b08 + + + + __TI_tmpnams + 0x274a + + + _ftable + 0x264c + + + + __TI_ft_end + 0x2742 + + + + memccpy + 0x893c + + + + memchr + 0x8a12 + + + + memcpy + 0x8a86 + + + + _sys_memory + 0x2400 + + + + free + 0x7424 + + + + memalign + 0x7088 + + + + malloc + 0x8ae8 + + + + aligned_alloc + 0x7088 + + + + memset + 0x8a26 + + + + strchr + 0x89b6 + + + + strlen + 0x8ab8 + + + + toupper + 0x8ad2 + + + + write + 0x8918 + + + + _stream + 0x2712 + + + + _device + 0x26c4 + + + + abs + 0x8ade + + + + errno + 0x2744 + + + + __TI_doflush + 0x7fac + + + + __TI_cleanup + 0x8686 + + + + fseek + 0x7da2 + + + + copysign + 0x874a + + + + copysignl + 0x874a + + + + __mspabi_sral_15 + 0x8594 + + + + __mspabi_sral_14 + 0x8598 + + + + __mspabi_sral_13 + 0x859c + + + + __mspabi_sral_12 + 0x85a0 + + + + __mspabi_sral_11 + 0x85a4 + + + + __mspabi_sral_10 + 0x85a8 + + + + __mspabi_sral_8 + 0x85b0 + + + + __mspabi_sral_9 + 0x85ac + + + + __mspabi_sral_6 + 0x85b8 + + + + __mspabi_sral_7 + 0x85b4 + + + + __mspabi_sral_4 + 0x85c0 + + + + __mspabi_sral_5 + 0x85bc + + + + __mspabi_sral_2 + 0x85c8 + + + + __mspabi_sral_3 + 0x85c4 + + + + __mspabi_sral_1 + 0x85cc + + + + __mspabi_remli + 0x8554 + + + + __mspabi_divli + 0x8554 + + + + __mspabi_slll_9 + 0x85ea + + + + __mspabi_slll_8 + 0x85ee + + + + __mspabi_slll_7 + 0x85f2 + + + + __mspabi_slll_6 + 0x85f6 + + + + __mspabi_slll_5 + 0x85fa + + + + __mspabi_slll_4 + 0x85fe + + + + __mspabi_slll_3 + 0x8602 + + + + __mspabi_slll_2 + 0x8606 + + + + __mspabi_slll_1 + 0x860a + + + + __mspabi_slll_15 + 0x85d2 + + + + __mspabi_slll_14 + 0x85d6 + + + + __mspabi_slll_13 + 0x85da + + + + __mspabi_slll_12 + 0x85de + + + + __mspabi_slll_11 + 0x85e2 + + + + __mspabi_slll_10 + 0x85e6 + + + + __mspabi_srll_8 + 0x80f6 + + + + __mspabi_srll_9 + 0x80f0 + + + + __mspabi_srll_6 + 0x8102 + + + + __mspabi_srll_7 + 0x80fc + + + + __mspabi_srll_4 + 0x810e + + + + __mspabi_srll_5 + 0x8108 + + + + __mspabi_srll_2 + 0x811a + + + + __mspabi_srll_3 + 0x8114 + + + + __mspabi_srll_1 + 0x8120 + + + + __mspabi_srll_15 + 0x80cc + + + + __mspabi_srll_14 + 0x80d2 + + + + __mspabi_srll_13 + 0x80d8 + + + + __mspabi_srll_12 + 0x80de + + + + __mspabi_srll_11 + 0x80e4 + + + + __mspabi_srll_10 + 0x80ea + + + + __mspabi_srll + 0x8a74 + + + + __mspabi_srall + 0x836e + + + + __mspabi_sllll + 0x848c + + + + __TI_frcmpyd + 0x6a3a + + + + HOSTclose + 0x8448 + + + + HOSTlseek + 0x7a42 + + + + parmbuf + 0x27ea + + + HOSTopen + 0x7ee4 + + + + HOSTread + 0x800e + + + + HOSTrename + 0x7e12 + + + + HOSTunlink + 0x8514 + + + + HOSTwrite + 0x7f4a + + + + __TI_readmsg + 0x8778 + + + + C$$IO$$ + 0x8718 + + + + _CIOBUF_ + 0x252c + + + + __TI_writemsg + 0x86ee + + + + lseek + 0x88f2 + + + + __TI_closefile + 0x7cbe + + + + finddevice + 0x86ba + + + + getdevice + 0x8180 + + + + strcmp + 0x89d0 + + + + strcpy + 0x8aa8 + + + + strncpy + 0x87fc + + + + close + 0x83b8 + + + + unlink + 0x88ca + + + + remove + 0x88ca + + + Link successful +
diff --git a/CPE325/Lab1_Problem1/Debug/P1a.d b/CPE325/Lab1_Problem1/Debug/P1a.d new file mode 100644 index 0000000..807922d --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/P1a.d @@ -0,0 +1,42 @@ +# FIXED + +P1a.obj: ../P1a.c +P1a.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +P1a.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +P1a.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +P1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h + +../P1a.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + diff --git a/CPE325/Lab1_Problem1/Debug/P1a.lst b/CPE325/Lab1_Problem1/Debug/P1a.lst new file mode 100644 index 0000000..b48e1c0 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/P1a.lst @@ -0,0 +1,1539 @@ +MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Fri Aug 27 14:28:10 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../P1a.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\Lab1_Problem1\Debug") + 12 + 13 $C$DW$1 .dwtag DW_TAG_subprogram + 14 .dwattr $C$DW$1, DW_AT_name("printf") + 15 .dwattr $C$DW$1, DW_AT_TI_symbol_name("printf") + 16 .dwattr $C$DW$1, DW_AT_type(*$C$DW$T$10) + 17 .dwattr $C$DW$1, DW_AT_declaration + 18 .dwattr $C$DW$1, DW_AT_external + 19 .dwattr $C$DW$1, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/in + 20 .dwattr $C$DW$1, DW_AT_decl_line(0xf6) + 21 .dwattr $C$DW$1, DW_AT_decl_column(0x19) + 22 $C$DW$2 .dwtag DW_TAG_formal_parameter + 23 .dwattr $C$DW$2, DW_AT_type(*$C$DW$T$39) + 24 + 25 $C$DW$3 .dwtag DW_TAG_unspecified_parameters + 26 + 27 .dwendtag $C$DW$1 + 28 + 29 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 30 000000 .sect ".text:main" + 31 .clink + 32 .global main + 33 + 34 $C$DW$4 .dwtag DW_TAG_subprogram + 35 .dwattr $C$DW$4, DW_AT_name("main") + 36 .dwattr $C$DW$4, DW_AT_low_pc(main) + 37 .dwattr $C$DW$4, DW_AT_high_pc(0x00) + 38 .dwattr $C$DW$4, DW_AT_TI_symbol_name("main") + 39 .dwattr $C$DW$4, DW_AT_external + 40 .dwattr $C$DW$4, DW_AT_type(*$C$DW$T$10) + 41 .dwattr $C$DW$4, DW_AT_TI_begin_file("../P1a.c") + 42 .dwattr $C$DW$4, DW_AT_TI_begin_line(0x11) + 43 .dwattr $C$DW$4, DW_AT_TI_begin_column(0x05) + 44 .dwattr $C$DW$4, DW_AT_decl_file("../P1a.c") + 45 .dwattr $C$DW$4, DW_AT_decl_line(0x11) + 46 .dwattr $C$DW$4, DW_AT_decl_column(0x05) + 47 .dwattr $C$DW$4, DW_AT_TI_max_frame_size(0x14) + 48 .dwpsn file "../P1a.c",line 17,column 12,is_stmt,address main,isa 0 + 49 + 50 .dwfde $C$DW$CIE, main + 51 + 52 ;***************************************************************************** + 53 ;* FUNCTION NAME: main * + 54 ;* * + 55 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 2 + + 56 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 57 ;* Local Frame Size : 8 Args + 10 Auto + 0 Save = 18 byte * + 58 ;***************************************************************************** + 59 000000 main: + 60 ;* --------------------------------------------------------------------------* + 61 .dwcfi cfa_offset, 2 + 62 .dwcfi save_reg_to_mem, 16, -2 + 63 000000 8031 SUB.W #18,SP ; [] + 000002 0012 + 64 .dwcfi cfa_offset, 20 + 65 $C$DW$5 .dwtag DW_TAG_variable + 66 .dwattr $C$DW$5, DW_AT_name("first") + 67 .dwattr $C$DW$5, DW_AT_TI_symbol_name("first") + 68 .dwattr $C$DW$5, DW_AT_type(*$C$DW$T$10) + 69 .dwattr $C$DW$5, DW_AT_location[DW_OP_breg1 8] + 70 + 71 $C$DW$6 .dwtag DW_TAG_variable + 72 .dwattr $C$DW$6, DW_AT_name("second") + 73 .dwattr $C$DW$6, DW_AT_TI_symbol_name("second") + 74 .dwattr $C$DW$6, DW_AT_type(*$C$DW$T$10) + 75 .dwattr $C$DW$6, DW_AT_location[DW_OP_breg1 10] + 76 + 77 $C$DW$7 .dwtag DW_TAG_variable + 78 .dwattr $C$DW$7, DW_AT_name("counter") + 79 .dwattr $C$DW$7, DW_AT_TI_symbol_name("counter") + 80 .dwattr $C$DW$7, DW_AT_type(*$C$DW$T$10) + 81 .dwattr $C$DW$7, DW_AT_location[DW_OP_breg1 12] + 82 + 83 $C$DW$8 .dwtag DW_TAG_variable + 84 .dwattr $C$DW$8, DW_AT_name("pr") + 85 .dwattr $C$DW$8, DW_AT_TI_symbol_name("pr") + 86 .dwattr $C$DW$8, DW_AT_type(*$C$DW$T$10) + 87 .dwattr $C$DW$8, DW_AT_location[DW_OP_breg1 14] + 88 + 89 $C$DW$9 .dwtag DW_TAG_variable + 90 .dwattr $C$DW$9, DW_AT_name("product") + 91 .dwattr $C$DW$9, DW_AT_TI_symbol_name("product") + 92 .dwattr $C$DW$9, DW_AT_type(*$C$DW$T$10) + 93 .dwattr $C$DW$9, DW_AT_location[DW_OP_breg1 16] + 94 + 95 .dwpsn file "../P1a.c",line 20,column 15,is_stmt,isa 0 + 96 000004 42A1 MOV.W #4,8(SP) ; [] |20| + 000006 0008 + 97 .dwpsn file "../P1a.c",line 21,column 16,is_stmt,isa 0 + 98 000008 42B1 MOV.W #8,10(SP) ; [] |21| + 00000a 000A + 99 .dwpsn file "../P1a.c",line 22,column 17,is_stmt,isa 0 + 100 00000c 4381 MOV.W #0,12(SP) ; [] |22| + 00000e 000C + 101 .dwpsn file "../P1a.c",line 23,column 12,is_stmt,isa 0 + 102 000010 4381 MOV.W #0,14(SP) ; [] |23| + 000012 000E + 103 .dwpsn file "../P1a.c",line 24,column 17,is_stmt,isa 0 + 104 000014 4381 MOV.W #0,16(SP) ; [] |24| + 000016 0010 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 3 + + 105 .dwpsn file "../P1a.c",line 26,column 5,is_stmt,isa 0 + 106 000018 411C MOV.W 8(SP),r12 ; [] |26| + 00001a 0008 + 107 00001c 411D MOV.W 10(SP),r13 ; [] |26| + 00001e 000A + 108 000020 411E MOV.W 12(SP),r14 ; [] |26| + 000022 000C + 109 000024 4E0F MOV.W r14,r15 ; [] + 110 $C$DW$10 .dwtag DW_TAG_TI_branch + 111 .dwattr $C$DW$10, DW_AT_low_pc(0x00) + 112 .dwattr $C$DW$10, DW_AT_name("prod") + 113 .dwattr $C$DW$10, DW_AT_TI_call + 114 + 115 000026 12B0 CALL #prod ; [] |26| + 000028 0000! + 116 ; [] |26| + 117 00002a 4C81 MOV.W r12,16(SP) ; [] |26| + 00002c 0010 + 118 .dwpsn file "../P1a.c",line 28,column 5,is_stmt,isa 0 + 119 00002e 40B1 MOV.W #$C$SL1+0,0(SP) ; [] |28| + 000030 0000! + 000032 0000 + 120 000034 4191 MOV.W 8(SP),2(SP) ; [] |28| + 000036 0008 + 000038 0002 + 121 00003a 4191 MOV.W 10(SP),4(SP) ; [] |28| + 00003c 000A + 00003e 0004 + 122 000040 4191 MOV.W 16(SP),6(SP) ; [] |28| + 000042 0010 + 000044 0006 + 123 $C$DW$11 .dwtag DW_TAG_TI_branch + 124 .dwattr $C$DW$11, DW_AT_low_pc(0x00) + 125 .dwattr $C$DW$11, DW_AT_name("printf") + 126 .dwattr $C$DW$11, DW_AT_TI_call + 127 + 128 000046 12B0 CALL #printf ; [] |28| + 000048 0000! + 129 ; [] |28| + 130 .dwpsn file "../P1a.c",line 29,column 1,is_stmt,isa 0 + 131 00004a 430C MOV.W #0,r12 ; [] |29| + 132 00004c 5031 ADD.W #18,SP ; [] + 00004e 0012 + 133 .dwcfi cfa_offset, 2 + 134 $C$DW$12 .dwtag DW_TAG_TI_branch + 135 .dwattr $C$DW$12, DW_AT_low_pc(0x00) + 136 .dwattr $C$DW$12, DW_AT_TI_return + 137 + 138 000050 4130 RET ; [] + 139 ; [] + 140 .dwattr $C$DW$4, DW_AT_TI_end_file("../P1a.c") + 141 .dwattr $C$DW$4, DW_AT_TI_end_line(0x1d) + 142 .dwattr $C$DW$4, DW_AT_TI_end_column(0x01) + 143 .dwendentry + 144 .dwendtag $C$DW$4 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 4 + + 145 + 146 000000 .sect ".text:prod" + 147 .clink + 148 .global prod + 149 + 150 $C$DW$13 .dwtag DW_TAG_subprogram + 151 .dwattr $C$DW$13, DW_AT_name("prod") + 152 .dwattr $C$DW$13, DW_AT_low_pc(prod) + 153 .dwattr $C$DW$13, DW_AT_high_pc(0x00) + 154 .dwattr $C$DW$13, DW_AT_TI_symbol_name("prod") + 155 .dwattr $C$DW$13, DW_AT_external + 156 .dwattr $C$DW$13, DW_AT_type(*$C$DW$T$10) + 157 .dwattr $C$DW$13, DW_AT_TI_begin_file("../P1a.c") + 158 .dwattr $C$DW$13, DW_AT_TI_begin_line(0x1f) + 159 .dwattr $C$DW$13, DW_AT_TI_begin_column(0x05) + 160 .dwattr $C$DW$13, DW_AT_decl_file("../P1a.c") + 161 .dwattr $C$DW$13, DW_AT_decl_line(0x1f) + 162 .dwattr $C$DW$13, DW_AT_decl_column(0x05) + 163 .dwattr $C$DW$13, DW_AT_TI_max_frame_size(0x0a) + 164 .dwpsn file "../P1a.c",line 31,column 37,is_stmt,address prod,isa 0 + 165 + 166 .dwfde $C$DW$CIE, prod + 167 $C$DW$14 .dwtag DW_TAG_formal_parameter + 168 .dwattr $C$DW$14, DW_AT_name("f") + 169 .dwattr $C$DW$14, DW_AT_TI_symbol_name("f") + 170 .dwattr $C$DW$14, DW_AT_type(*$C$DW$T$10) + 171 .dwattr $C$DW$14, DW_AT_location[DW_OP_reg12] + 172 + 173 $C$DW$15 .dwtag DW_TAG_formal_parameter + 174 .dwattr $C$DW$15, DW_AT_name("s") + 175 .dwattr $C$DW$15, DW_AT_TI_symbol_name("s") + 176 .dwattr $C$DW$15, DW_AT_type(*$C$DW$T$10) + 177 .dwattr $C$DW$15, DW_AT_location[DW_OP_reg13] + 178 + 179 $C$DW$16 .dwtag DW_TAG_formal_parameter + 180 .dwattr $C$DW$16, DW_AT_name("c") + 181 .dwattr $C$DW$16, DW_AT_TI_symbol_name("c") + 182 .dwattr $C$DW$16, DW_AT_type(*$C$DW$T$10) + 183 .dwattr $C$DW$16, DW_AT_location[DW_OP_reg14] + 184 + 185 $C$DW$17 .dwtag DW_TAG_formal_parameter + 186 .dwattr $C$DW$17, DW_AT_name("p") + 187 .dwattr $C$DW$17, DW_AT_TI_symbol_name("p") + 188 .dwattr $C$DW$17, DW_AT_type(*$C$DW$T$10) + 189 .dwattr $C$DW$17, DW_AT_location[DW_OP_reg15] + 190 + 191 + 192 ;***************************************************************************** + 193 ;* FUNCTION NAME: prod * + 194 ;* * + 195 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + 196 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 197 ;* Local Frame Size : 0 Args + 8 Auto + 0 Save = 8 byte * + 198 ;***************************************************************************** + 199 000000 prod: + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 5 + + 200 ;* --------------------------------------------------------------------------* + 201 .dwcfi cfa_offset, 2 + 202 .dwcfi save_reg_to_mem, 16, -2 + 203 000000 8231 SUB.W #8,SP ; [] + 204 .dwcfi cfa_offset, 10 + 205 $C$DW$18 .dwtag DW_TAG_variable + 206 .dwattr $C$DW$18, DW_AT_name("f") + 207 .dwattr $C$DW$18, DW_AT_TI_symbol_name("f") + 208 .dwattr $C$DW$18, DW_AT_type(*$C$DW$T$10) + 209 .dwattr $C$DW$18, DW_AT_location[DW_OP_breg1 0] + 210 + 211 $C$DW$19 .dwtag DW_TAG_variable + 212 .dwattr $C$DW$19, DW_AT_name("s") + 213 .dwattr $C$DW$19, DW_AT_TI_symbol_name("s") + 214 .dwattr $C$DW$19, DW_AT_type(*$C$DW$T$10) + 215 .dwattr $C$DW$19, DW_AT_location[DW_OP_breg1 2] + 216 + 217 $C$DW$20 .dwtag DW_TAG_variable + 218 .dwattr $C$DW$20, DW_AT_name("c") + 219 .dwattr $C$DW$20, DW_AT_TI_symbol_name("c") + 220 .dwattr $C$DW$20, DW_AT_type(*$C$DW$T$10) + 221 .dwattr $C$DW$20, DW_AT_location[DW_OP_breg1 4] + 222 + 223 $C$DW$21 .dwtag DW_TAG_variable + 224 .dwattr $C$DW$21, DW_AT_name("p") + 225 .dwattr $C$DW$21, DW_AT_TI_symbol_name("p") + 226 .dwattr $C$DW$21, DW_AT_type(*$C$DW$T$10) + 227 .dwattr $C$DW$21, DW_AT_location[DW_OP_breg1 6] + 228 + 229 000002 4F81 MOV.W r15,6(SP) ; [] |31| + 000004 0006 + 230 000006 4E81 MOV.W r14,4(SP) ; [] |31| + 000008 0004 + 231 00000a 4D81 MOV.W r13,2(SP) ; [] |31| + 00000c 0002 + 232 00000e 4C81 MOV.W r12,0(SP) ; [] |31| + 000010 0000 + 233 .dwpsn file "../P1a.c",line 32,column 5,is_stmt,isa 0 + 234 000012 51A1 ADD.W 0(SP),6(SP) ; [] |32| + 000014 0006 + 235 .dwpsn file "../P1a.c",line 33,column 5,is_stmt,isa 0 + 236 000016 5391 ADD.W #1,4(SP) ; [] |33| + 000018 0004 + 237 .dwpsn file "../P1a.c",line 34,column 5,is_stmt,isa 0 + 238 00001a 9191 CMP.W 2(SP),4(SP) ; [] |34| + 00001c 0002 + 00001e 0004 + 239 000020 2408 JEQ $C$L1 ; [] |34| + 240 ; [] |34| + 241 ;* --------------------------------------------------------------------------* + 242 .dwpsn file "../P1a.c",line 34,column 15,is_stmt,isa 0 + 243 000022 411E MOV.W 4(SP),r14 ; [] |34| + 000024 0004 + 244 000026 411F MOV.W 6(SP),r15 ; [] |34| + 000028 0006 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 6 + + 245 $C$DW$22 .dwtag DW_TAG_TI_branch + 246 .dwattr $C$DW$22, DW_AT_low_pc(0x00) + 247 .dwattr $C$DW$22, DW_AT_name("prod") + 248 .dwattr $C$DW$22, DW_AT_TI_call + 249 + 250 00002a 12B0 CALL #prod ; [] |34| + 00002c 0000! + 251 ; [] |34| + 252 00002e 4C81 MOV.W r12,6(SP) ; [] |34| + 000030 0006 + 253 ;* --------------------------------------------------------------------------* + 254 000032 $C$L1: + 255 .dwpsn file "../P1a.c",line 36,column 5,is_stmt,isa 0 + 256 000032 411C MOV.W 6(SP),r12 ; [] |36| + 000034 0006 + 257 .dwpsn file "../P1a.c",line 37,column 1,is_stmt,isa 0 + 258 000036 5231 ADD.W #8,SP ; [] + 259 .dwcfi cfa_offset, 2 + 260 $C$DW$23 .dwtag DW_TAG_TI_branch + 261 .dwattr $C$DW$23, DW_AT_low_pc(0x00) + 262 .dwattr $C$DW$23, DW_AT_TI_return + 263 + 264 000038 4130 RET ; [] + 265 ; [] + 266 .dwattr $C$DW$13, DW_AT_TI_end_file("../P1a.c") + 267 .dwattr $C$DW$13, DW_AT_TI_end_line(0x25) + 268 .dwattr $C$DW$13, DW_AT_TI_end_column(0x01) + 269 .dwendentry + 270 .dwendtag $C$DW$13 + 271 + 272 ;****************************************************************************** + 273 ;* STRINGS * + 274 ;****************************************************************************** + 275 000000 .sect ".const:.string" + 276 .align 2 + 277 000000 0025 $C$SL1: .string "%d times %d is %d",10,0 + 000001 0064 + 000002 0020 + 000003 0074 + 000004 0069 + 000005 006D + 000006 0065 + 000007 0073 + 000008 0020 + 000009 0025 + 00000a 0064 + 00000b 0020 + 00000c 0069 + 00000d 0073 + 00000e 0020 + 00000f 0025 + 000010 0064 + 000011 000A + 000012 0000 + 278 ;***************************************************************************** + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 7 + + 279 ;* UNDEFINED EXTERNAL REFERENCES * + 280 ;***************************************************************************** + 281 .global printf + 282 + 283 ;****************************************************************************** + 284 ;* BUILD ATTRIBUTES * + 285 ;****************************************************************************** + 286 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 287 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0000000000001111000000000 + 288 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 289 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(2) + 290 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 291 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 292 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 293 + 294 ;****************************************************************************** + 295 ;* TYPE INFORMATION * + 296 ;****************************************************************************** + 297 + 298 $C$DW$T$21 .dwtag DW_TAG_structure_type + 299 .dwattr $C$DW$T$21, DW_AT_byte_size(0x10) + 300 $C$DW$24 .dwtag DW_TAG_member + 301 .dwattr $C$DW$24, DW_AT_type(*$C$DW$T$14) + 302 .dwattr $C$DW$24, DW_AT_name("__max_align1") + 303 .dwattr $C$DW$24, DW_AT_TI_symbol_name("__max_align1") + 304 .dwattr $C$DW$24, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 305 .dwattr $C$DW$24, DW_AT_accessibility(DW_ACCESS_public) + 306 .dwattr $C$DW$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 307 .dwattr $C$DW$24, DW_AT_decl_line(0x7b) + 308 .dwattr $C$DW$24, DW_AT_decl_column(0x0c) + 309 + 310 $C$DW$25 .dwtag DW_TAG_member + 311 .dwattr $C$DW$25, DW_AT_type(*$C$DW$T$18) + 312 .dwattr $C$DW$25, DW_AT_name("__max_align2") + 313 .dwattr $C$DW$25, DW_AT_TI_symbol_name("__max_align2") + 314 .dwattr $C$DW$25, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 315 .dwattr $C$DW$25, DW_AT_accessibility(DW_ACCESS_public) + 316 .dwattr $C$DW$25, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 317 .dwattr $C$DW$25, DW_AT_decl_line(0x7c) + 318 .dwattr $C$DW$25, DW_AT_decl_column(0x0e) + 319 + 320 .dwattr $C$DW$T$21, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 321 .dwattr $C$DW$T$21, DW_AT_decl_line(0x7a) + 322 .dwattr $C$DW$T$21, DW_AT_decl_column(0x10) + 323 .dwendtag $C$DW$T$21 + 324 + 325 $C$DW$T$24 .dwtag DW_TAG_typedef + 326 .dwattr $C$DW$T$24, DW_AT_name("__max_align_t") + 327 .dwattr $C$DW$T$24, DW_AT_type(*$C$DW$T$21) + 328 .dwattr $C$DW$T$24, DW_AT_language(DW_LANG_C) + 329 .dwattr $C$DW$T$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 330 .dwattr $C$DW$T$24, DW_AT_decl_line(0x7d) + 331 .dwattr $C$DW$T$24, DW_AT_decl_column(0x03) + 332 + 333 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 8 + + 334 .dwattr $C$DW$T$2, DW_AT_name("void") + 335 + 336 + 337 $C$DW$T$25 .dwtag DW_TAG_subroutine_type + 338 .dwattr $C$DW$T$25, DW_AT_language(DW_LANG_C) + 339 .dwendtag $C$DW$T$25 + 340 + 341 $C$DW$T$26 .dwtag DW_TAG_pointer_type + 342 .dwattr $C$DW$T$26, DW_AT_type(*$C$DW$T$25) + 343 .dwattr $C$DW$T$26, DW_AT_address_class(0x10) + 344 + 345 $C$DW$T$27 .dwtag DW_TAG_typedef + 346 .dwattr $C$DW$T$27, DW_AT_name("__SFR_FARPTR") + 347 .dwattr $C$DW$T$27, DW_AT_type(*$C$DW$T$26) + 348 .dwattr $C$DW$T$27, DW_AT_language(DW_LANG_C) + 349 .dwattr $C$DW$T$27, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430f5529.h") + 350 .dwattr $C$DW$T$27, DW_AT_decl_line(0x4a) + 351 .dwattr $C$DW$T$27, DW_AT_decl_column(0x11) + 352 + 353 $C$DW$T$4 .dwtag DW_TAG_base_type + 354 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 355 .dwattr $C$DW$T$4, DW_AT_name("bool") + 356 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + 357 + 358 $C$DW$T$5 .dwtag DW_TAG_base_type + 359 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 360 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 361 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 362 + 363 $C$DW$T$28 .dwtag DW_TAG_typedef + 364 .dwattr $C$DW$T$28, DW_AT_name("__int8_t") + 365 .dwattr $C$DW$T$28, DW_AT_type(*$C$DW$T$5) + 366 .dwattr $C$DW$T$28, DW_AT_language(DW_LANG_C) + 367 .dwattr $C$DW$T$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 368 .dwattr $C$DW$T$28, DW_AT_decl_line(0x36) + 369 .dwattr $C$DW$T$28, DW_AT_decl_column(0x16) + 370 + 371 $C$DW$T$29 .dwtag DW_TAG_typedef + 372 .dwattr $C$DW$T$29, DW_AT_name("__int_least8_t") + 373 .dwattr $C$DW$T$29, DW_AT_type(*$C$DW$T$28) + 374 .dwattr $C$DW$T$29, DW_AT_language(DW_LANG_C) + 375 .dwattr $C$DW$T$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 376 .dwattr $C$DW$T$29, DW_AT_decl_line(0x5a) + 377 .dwattr $C$DW$T$29, DW_AT_decl_column(0x12) + 378 + 379 $C$DW$T$6 .dwtag DW_TAG_base_type + 380 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 381 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 382 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 383 + 384 $C$DW$T$22 .dwtag DW_TAG_pointer_type + 385 .dwattr $C$DW$T$22, DW_AT_type(*$C$DW$T$6) + 386 .dwattr $C$DW$T$22, DW_AT_address_class(0x10) + 387 + 388 $C$DW$T$30 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 9 + + 389 .dwattr $C$DW$T$30, DW_AT_name("__uint8_t") + 390 .dwattr $C$DW$T$30, DW_AT_type(*$C$DW$T$6) + 391 .dwattr $C$DW$T$30, DW_AT_language(DW_LANG_C) + 392 .dwattr $C$DW$T$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 393 .dwattr $C$DW$T$30, DW_AT_decl_line(0x37) + 394 .dwattr $C$DW$T$30, DW_AT_decl_column(0x18) + 395 + 396 $C$DW$T$31 .dwtag DW_TAG_typedef + 397 .dwattr $C$DW$T$31, DW_AT_name("__sa_family_t") + 398 .dwattr $C$DW$T$31, DW_AT_type(*$C$DW$T$30) + 399 .dwattr $C$DW$T$31, DW_AT_language(DW_LANG_C) + 400 .dwattr $C$DW$T$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 401 .dwattr $C$DW$T$31, DW_AT_decl_line(0x47) + 402 .dwattr $C$DW$T$31, DW_AT_decl_column(0x13) + 403 + 404 $C$DW$T$32 .dwtag DW_TAG_typedef + 405 .dwattr $C$DW$T$32, DW_AT_name("__uint_least8_t") + 406 .dwattr $C$DW$T$32, DW_AT_type(*$C$DW$T$30) + 407 .dwattr $C$DW$T$32, DW_AT_language(DW_LANG_C) + 408 .dwattr $C$DW$T$32, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 409 .dwattr $C$DW$T$32, DW_AT_decl_line(0x74) + 410 .dwattr $C$DW$T$32, DW_AT_decl_column(0x13) + 411 + 412 $C$DW$T$7 .dwtag DW_TAG_base_type + 413 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 414 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 415 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 416 + 417 $C$DW$T$8 .dwtag DW_TAG_base_type + 418 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 419 .dwattr $C$DW$T$8, DW_AT_name("short") + 420 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 421 + 422 $C$DW$T$9 .dwtag DW_TAG_base_type + 423 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 424 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 425 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 426 + 427 $C$DW$T$10 .dwtag DW_TAG_base_type + 428 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 429 .dwattr $C$DW$T$10, DW_AT_name("int") + 430 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 431 + 432 $C$DW$T$33 .dwtag DW_TAG_typedef + 433 .dwattr $C$DW$T$33, DW_AT_name("_Mbstatet") + 434 .dwattr $C$DW$T$33, DW_AT_type(*$C$DW$T$10) + 435 .dwattr $C$DW$T$33, DW_AT_language(DW_LANG_C) + 436 .dwattr $C$DW$T$33, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 437 .dwattr $C$DW$T$33, DW_AT_decl_line(0x8f) + 438 .dwattr $C$DW$T$33, DW_AT_decl_column(0x0d) + 439 + 440 $C$DW$T$34 .dwtag DW_TAG_typedef + 441 .dwattr $C$DW$T$34, DW_AT_name("__mbstate_t") + 442 .dwattr $C$DW$T$34, DW_AT_type(*$C$DW$T$33) + 443 .dwattr $C$DW$T$34, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 10 + + 444 .dwattr $C$DW$T$34, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 445 .dwattr $C$DW$T$34, DW_AT_decl_line(0x92) + 446 .dwattr $C$DW$T$34, DW_AT_decl_column(0x13) + 447 + 448 $C$DW$T$35 .dwtag DW_TAG_typedef + 449 .dwattr $C$DW$T$35, DW_AT_name("__accmode_t") + 450 .dwattr $C$DW$T$35, DW_AT_type(*$C$DW$T$10) + 451 .dwattr $C$DW$T$35, DW_AT_language(DW_LANG_C) + 452 .dwattr $C$DW$T$35, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 453 .dwattr $C$DW$T$35, DW_AT_decl_line(0x3b) + 454 .dwattr $C$DW$T$35, DW_AT_decl_column(0x0e) + 455 + 456 $C$DW$T$45 .dwtag DW_TAG_typedef + 457 .dwattr $C$DW$T$45, DW_AT_name("__cpulevel_t") + 458 .dwattr $C$DW$T$45, DW_AT_type(*$C$DW$T$10) + 459 .dwattr $C$DW$T$45, DW_AT_language(DW_LANG_C) + 460 .dwattr $C$DW$T$45, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 461 .dwattr $C$DW$T$45, DW_AT_decl_line(0x50) + 462 .dwattr $C$DW$T$45, DW_AT_decl_column(0x0e) + 463 + 464 $C$DW$T$46 .dwtag DW_TAG_typedef + 465 .dwattr $C$DW$T$46, DW_AT_name("__cpusetid_t") + 466 .dwattr $C$DW$T$46, DW_AT_type(*$C$DW$T$10) + 467 .dwattr $C$DW$T$46, DW_AT_language(DW_LANG_C) + 468 .dwattr $C$DW$T$46, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 469 .dwattr $C$DW$T$46, DW_AT_decl_line(0x51) + 470 .dwattr $C$DW$T$46, DW_AT_decl_column(0x0e) + 471 + 472 $C$DW$T$47 .dwtag DW_TAG_typedef + 473 .dwattr $C$DW$T$47, DW_AT_name("__cpuwhich_t") + 474 .dwattr $C$DW$T$47, DW_AT_type(*$C$DW$T$10) + 475 .dwattr $C$DW$T$47, DW_AT_language(DW_LANG_C) + 476 .dwattr $C$DW$T$47, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 477 .dwattr $C$DW$T$47, DW_AT_decl_line(0x4f) + 478 .dwattr $C$DW$T$47, DW_AT_decl_column(0x0e) + 479 + 480 $C$DW$T$48 .dwtag DW_TAG_typedef + 481 .dwattr $C$DW$T$48, DW_AT_name("__ct_rune_t") + 482 .dwattr $C$DW$T$48, DW_AT_type(*$C$DW$T$10) + 483 .dwattr $C$DW$T$48, DW_AT_language(DW_LANG_C) + 484 .dwattr $C$DW$T$48, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 485 .dwattr $C$DW$T$48, DW_AT_decl_line(0x69) + 486 .dwattr $C$DW$T$48, DW_AT_decl_column(0x0e) + 487 + 488 $C$DW$T$49 .dwtag DW_TAG_typedef + 489 .dwattr $C$DW$T$49, DW_AT_name("__rune_t") + 490 .dwattr $C$DW$T$49, DW_AT_type(*$C$DW$T$48) + 491 .dwattr $C$DW$T$49, DW_AT_language(DW_LANG_C) + 492 .dwattr $C$DW$T$49, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 493 .dwattr $C$DW$T$49, DW_AT_decl_line(0x6c) + 494 .dwattr $C$DW$T$49, DW_AT_decl_column(0x15) + 495 + 496 $C$DW$T$50 .dwtag DW_TAG_typedef + 497 .dwattr $C$DW$T$50, DW_AT_name("__wint_t") + 498 .dwattr $C$DW$T$50, DW_AT_type(*$C$DW$T$48) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 11 + + 499 .dwattr $C$DW$T$50, DW_AT_language(DW_LANG_C) + 500 .dwattr $C$DW$T$50, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 501 .dwattr $C$DW$T$50, DW_AT_decl_line(0x6d) + 502 .dwattr $C$DW$T$50, DW_AT_decl_column(0x15) + 503 + 504 $C$DW$T$51 .dwtag DW_TAG_typedef + 505 .dwattr $C$DW$T$51, DW_AT_name("__int16_t") + 506 .dwattr $C$DW$T$51, DW_AT_type(*$C$DW$T$10) + 507 .dwattr $C$DW$T$51, DW_AT_language(DW_LANG_C) + 508 .dwattr $C$DW$T$51, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 509 .dwattr $C$DW$T$51, DW_AT_decl_line(0x38) + 510 .dwattr $C$DW$T$51, DW_AT_decl_column(0x0f) + 511 + 512 $C$DW$T$52 .dwtag DW_TAG_typedef + 513 .dwattr $C$DW$T$52, DW_AT_name("__int_fast16_t") + 514 .dwattr $C$DW$T$52, DW_AT_type(*$C$DW$T$51) + 515 .dwattr $C$DW$T$52, DW_AT_language(DW_LANG_C) + 516 .dwattr $C$DW$T$52, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 517 .dwattr $C$DW$T$52, DW_AT_decl_line(0x57) + 518 .dwattr $C$DW$T$52, DW_AT_decl_column(0x13) + 519 + 520 $C$DW$T$53 .dwtag DW_TAG_typedef + 521 .dwattr $C$DW$T$53, DW_AT_name("__int_fast8_t") + 522 .dwattr $C$DW$T$53, DW_AT_type(*$C$DW$T$51) + 523 .dwattr $C$DW$T$53, DW_AT_language(DW_LANG_C) + 524 .dwattr $C$DW$T$53, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 525 .dwattr $C$DW$T$53, DW_AT_decl_line(0x56) + 526 .dwattr $C$DW$T$53, DW_AT_decl_column(0x13) + 527 + 528 $C$DW$T$54 .dwtag DW_TAG_typedef + 529 .dwattr $C$DW$T$54, DW_AT_name("__int_least16_t") + 530 .dwattr $C$DW$T$54, DW_AT_type(*$C$DW$T$51) + 531 .dwattr $C$DW$T$54, DW_AT_language(DW_LANG_C) + 532 .dwattr $C$DW$T$54, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 533 .dwattr $C$DW$T$54, DW_AT_decl_line(0x5b) + 534 .dwattr $C$DW$T$54, DW_AT_decl_column(0x13) + 535 + 536 $C$DW$T$55 .dwtag DW_TAG_typedef + 537 .dwattr $C$DW$T$55, DW_AT_name("__intptr_t") + 538 .dwattr $C$DW$T$55, DW_AT_type(*$C$DW$T$51) + 539 .dwattr $C$DW$T$55, DW_AT_language(DW_LANG_C) + 540 .dwattr $C$DW$T$55, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 541 .dwattr $C$DW$T$55, DW_AT_decl_line(0x53) + 542 .dwattr $C$DW$T$55, DW_AT_decl_column(0x19) + 543 + 544 $C$DW$T$56 .dwtag DW_TAG_typedef + 545 .dwattr $C$DW$T$56, DW_AT_name("__register_t") + 546 .dwattr $C$DW$T$56, DW_AT_type(*$C$DW$T$51) + 547 .dwattr $C$DW$T$56, DW_AT_language(DW_LANG_C) + 548 .dwattr $C$DW$T$56, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 549 .dwattr $C$DW$T$56, DW_AT_decl_line(0x5f) + 550 .dwattr $C$DW$T$56, DW_AT_decl_column(0x13) + 551 + 552 $C$DW$T$57 .dwtag DW_TAG_typedef + 553 .dwattr $C$DW$T$57, DW_AT_name("__nl_item") + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 12 + + 554 .dwattr $C$DW$T$57, DW_AT_type(*$C$DW$T$10) + 555 .dwattr $C$DW$T$57, DW_AT_language(DW_LANG_C) + 556 .dwattr $C$DW$T$57, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 557 .dwattr $C$DW$T$57, DW_AT_decl_line(0x3c) + 558 .dwattr $C$DW$T$57, DW_AT_decl_column(0x0e) + 559 + 560 $C$DW$T$58 .dwtag DW_TAG_typedef + 561 .dwattr $C$DW$T$58, DW_AT_name("__ptrdiff_t") + 562 .dwattr $C$DW$T$58, DW_AT_type(*$C$DW$T$10) + 563 .dwattr $C$DW$T$58, DW_AT_language(DW_LANG_C) + 564 .dwattr $C$DW$T$58, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 565 .dwattr $C$DW$T$58, DW_AT_decl_line(0x5e) + 566 .dwattr $C$DW$T$58, DW_AT_decl_column(0x1c) + 567 + 568 $C$DW$T$11 .dwtag DW_TAG_base_type + 569 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 570 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 571 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 572 + 573 $C$DW$T$59 .dwtag DW_TAG_typedef + 574 .dwattr $C$DW$T$59, DW_AT_name("___wchar_t") + 575 .dwattr $C$DW$T$59, DW_AT_type(*$C$DW$T$11) + 576 .dwattr $C$DW$T$59, DW_AT_language(DW_LANG_C) + 577 .dwattr $C$DW$T$59, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 578 .dwattr $C$DW$T$59, DW_AT_decl_line(0x7d) + 579 .dwattr $C$DW$T$59, DW_AT_decl_column(0x1a) + 580 + 581 $C$DW$T$60 .dwtag DW_TAG_typedef + 582 .dwattr $C$DW$T$60, DW_AT_name("__size_t") + 583 .dwattr $C$DW$T$60, DW_AT_type(*$C$DW$T$11) + 584 .dwattr $C$DW$T$60, DW_AT_language(DW_LANG_C) + 585 .dwattr $C$DW$T$60, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 586 .dwattr $C$DW$T$60, DW_AT_decl_line(0x61) + 587 .dwattr $C$DW$T$60, DW_AT_decl_column(0x19) + 588 + 589 $C$DW$T$61 .dwtag DW_TAG_typedef + 590 .dwattr $C$DW$T$61, DW_AT_name("__uint16_t") + 591 .dwattr $C$DW$T$61, DW_AT_type(*$C$DW$T$11) + 592 .dwattr $C$DW$T$61, DW_AT_language(DW_LANG_C) + 593 .dwattr $C$DW$T$61, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 594 .dwattr $C$DW$T$61, DW_AT_decl_line(0x39) + 595 .dwattr $C$DW$T$61, DW_AT_decl_column(0x17) + 596 + 597 $C$DW$T$62 .dwtag DW_TAG_typedef + 598 .dwattr $C$DW$T$62, DW_AT_name("__mode_t") + 599 .dwattr $C$DW$T$62, DW_AT_type(*$C$DW$T$61) + 600 .dwattr $C$DW$T$62, DW_AT_language(DW_LANG_C) + 601 .dwattr $C$DW$T$62, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 602 .dwattr $C$DW$T$62, DW_AT_decl_line(0x3a) + 603 .dwattr $C$DW$T$62, DW_AT_decl_column(0x14) + 604 + 605 $C$DW$T$63 .dwtag DW_TAG_typedef + 606 .dwattr $C$DW$T$63, DW_AT_name("__u_register_t") + 607 .dwattr $C$DW$T$63, DW_AT_type(*$C$DW$T$61) + 608 .dwattr $C$DW$T$63, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 13 + + 609 .dwattr $C$DW$T$63, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 610 .dwattr $C$DW$T$63, DW_AT_decl_line(0x78) + 611 .dwattr $C$DW$T$63, DW_AT_decl_column(0x14) + 612 + 613 $C$DW$T$64 .dwtag DW_TAG_typedef + 614 .dwattr $C$DW$T$64, DW_AT_name("__uint_fast16_t") + 615 .dwattr $C$DW$T$64, DW_AT_type(*$C$DW$T$61) + 616 .dwattr $C$DW$T$64, DW_AT_language(DW_LANG_C) + 617 .dwattr $C$DW$T$64, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 618 .dwattr $C$DW$T$64, DW_AT_decl_line(0x71) + 619 .dwattr $C$DW$T$64, DW_AT_decl_column(0x14) + 620 + 621 $C$DW$T$65 .dwtag DW_TAG_typedef + 622 .dwattr $C$DW$T$65, DW_AT_name("__uint_fast8_t") + 623 .dwattr $C$DW$T$65, DW_AT_type(*$C$DW$T$61) + 624 .dwattr $C$DW$T$65, DW_AT_language(DW_LANG_C) + 625 .dwattr $C$DW$T$65, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 626 .dwattr $C$DW$T$65, DW_AT_decl_line(0x70) + 627 .dwattr $C$DW$T$65, DW_AT_decl_column(0x14) + 628 + 629 $C$DW$T$66 .dwtag DW_TAG_typedef + 630 .dwattr $C$DW$T$66, DW_AT_name("__uint_least16_t") + 631 .dwattr $C$DW$T$66, DW_AT_type(*$C$DW$T$61) + 632 .dwattr $C$DW$T$66, DW_AT_language(DW_LANG_C) + 633 .dwattr $C$DW$T$66, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 634 .dwattr $C$DW$T$66, DW_AT_decl_line(0x75) + 635 .dwattr $C$DW$T$66, DW_AT_decl_column(0x14) + 636 + 637 $C$DW$T$67 .dwtag DW_TAG_typedef + 638 .dwattr $C$DW$T$67, DW_AT_name("__char16_t") + 639 .dwattr $C$DW$T$67, DW_AT_type(*$C$DW$T$66) + 640 .dwattr $C$DW$T$67, DW_AT_language(DW_LANG_C) + 641 .dwattr $C$DW$T$67, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 642 .dwattr $C$DW$T$67, DW_AT_decl_line(0x71) + 643 .dwattr $C$DW$T$67, DW_AT_decl_column(0x1a) + 644 + 645 $C$DW$T$68 .dwtag DW_TAG_typedef + 646 .dwattr $C$DW$T$68, DW_AT_name("__uintptr_t") + 647 .dwattr $C$DW$T$68, DW_AT_type(*$C$DW$T$61) + 648 .dwattr $C$DW$T$68, DW_AT_language(DW_LANG_C) + 649 .dwattr $C$DW$T$68, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 650 .dwattr $C$DW$T$68, DW_AT_decl_line(0x6d) + 651 .dwattr $C$DW$T$68, DW_AT_decl_column(0x14) + 652 + 653 $C$DW$T$69 .dwtag DW_TAG_typedef + 654 .dwattr $C$DW$T$69, DW_AT_name("__useconds_t") + 655 .dwattr $C$DW$T$69, DW_AT_type(*$C$DW$T$11) + 656 .dwattr $C$DW$T$69, DW_AT_language(DW_LANG_C) + 657 .dwattr $C$DW$T$69, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 658 .dwattr $C$DW$T$69, DW_AT_decl_line(0x4e) + 659 .dwattr $C$DW$T$69, DW_AT_decl_column(0x16) + 660 + 661 $C$DW$T$70 .dwtag DW_TAG_typedef + 662 .dwattr $C$DW$T$70, DW_AT_name("size_t") + 663 .dwattr $C$DW$T$70, DW_AT_type(*$C$DW$T$11) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 14 + + 664 .dwattr $C$DW$T$70, DW_AT_language(DW_LANG_C) + 665 .dwattr $C$DW$T$70, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 666 .dwattr $C$DW$T$70, DW_AT_decl_line(0x4d) + 667 .dwattr $C$DW$T$70, DW_AT_decl_column(0x19) + 668 + 669 $C$DW$T$12 .dwtag DW_TAG_base_type + 670 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 671 .dwattr $C$DW$T$12, DW_AT_name("long") + 672 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 673 + 674 $C$DW$T$71 .dwtag DW_TAG_typedef + 675 .dwattr $C$DW$T$71, DW_AT_name("__int32_t") + 676 .dwattr $C$DW$T$71, DW_AT_type(*$C$DW$T$12) + 677 .dwattr $C$DW$T$71, DW_AT_language(DW_LANG_C) + 678 .dwattr $C$DW$T$71, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 679 .dwattr $C$DW$T$71, DW_AT_decl_line(0x3a) + 680 .dwattr $C$DW$T$71, DW_AT_decl_column(0x10) + 681 + 682 $C$DW$T$72 .dwtag DW_TAG_typedef + 683 .dwattr $C$DW$T$72, DW_AT_name("__blksize_t") + 684 .dwattr $C$DW$T$72, DW_AT_type(*$C$DW$T$71) + 685 .dwattr $C$DW$T$72, DW_AT_language(DW_LANG_C) + 686 .dwattr $C$DW$T$72, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 687 .dwattr $C$DW$T$72, DW_AT_decl_line(0x2f) + 688 .dwattr $C$DW$T$72, DW_AT_decl_column(0x13) + 689 + 690 $C$DW$T$73 .dwtag DW_TAG_typedef + 691 .dwattr $C$DW$T$73, DW_AT_name("__clockid_t") + 692 .dwattr $C$DW$T$73, DW_AT_type(*$C$DW$T$71) + 693 .dwattr $C$DW$T$73, DW_AT_language(DW_LANG_C) + 694 .dwattr $C$DW$T$73, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 695 .dwattr $C$DW$T$73, DW_AT_decl_line(0x31) + 696 .dwattr $C$DW$T$73, DW_AT_decl_column(0x13) + 697 + 698 $C$DW$T$74 .dwtag DW_TAG_typedef + 699 .dwattr $C$DW$T$74, DW_AT_name("__critical_t") + 700 .dwattr $C$DW$T$74, DW_AT_type(*$C$DW$T$71) + 701 .dwattr $C$DW$T$74, DW_AT_language(DW_LANG_C) + 702 .dwattr $C$DW$T$74, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 703 .dwattr $C$DW$T$74, DW_AT_decl_line(0x4b) + 704 .dwattr $C$DW$T$74, DW_AT_decl_column(0x13) + 705 + 706 $C$DW$T$75 .dwtag DW_TAG_typedef + 707 .dwattr $C$DW$T$75, DW_AT_name("__int_fast32_t") + 708 .dwattr $C$DW$T$75, DW_AT_type(*$C$DW$T$71) + 709 .dwattr $C$DW$T$75, DW_AT_language(DW_LANG_C) + 710 .dwattr $C$DW$T$75, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 711 .dwattr $C$DW$T$75, DW_AT_decl_line(0x58) + 712 .dwattr $C$DW$T$75, DW_AT_decl_column(0x13) + 713 + 714 $C$DW$T$76 .dwtag DW_TAG_typedef + 715 .dwattr $C$DW$T$76, DW_AT_name("__int_least32_t") + 716 .dwattr $C$DW$T$76, DW_AT_type(*$C$DW$T$71) + 717 .dwattr $C$DW$T$76, DW_AT_language(DW_LANG_C) + 718 .dwattr $C$DW$T$76, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 15 + + 719 .dwattr $C$DW$T$76, DW_AT_decl_line(0x5c) + 720 .dwattr $C$DW$T$76, DW_AT_decl_column(0x13) + 721 + 722 $C$DW$T$77 .dwtag DW_TAG_typedef + 723 .dwattr $C$DW$T$77, DW_AT_name("__intfptr_t") + 724 .dwattr $C$DW$T$77, DW_AT_type(*$C$DW$T$71) + 725 .dwattr $C$DW$T$77, DW_AT_language(DW_LANG_C) + 726 .dwattr $C$DW$T$77, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 727 .dwattr $C$DW$T$77, DW_AT_decl_line(0x4e) + 728 .dwattr $C$DW$T$77, DW_AT_decl_column(0x13) + 729 + 730 $C$DW$T$78 .dwtag DW_TAG_typedef + 731 .dwattr $C$DW$T$78, DW_AT_name("__lwpid_t") + 732 .dwattr $C$DW$T$78, DW_AT_type(*$C$DW$T$71) + 733 .dwattr $C$DW$T$78, DW_AT_language(DW_LANG_C) + 734 .dwattr $C$DW$T$78, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 735 .dwattr $C$DW$T$78, DW_AT_decl_line(0x39) + 736 .dwattr $C$DW$T$78, DW_AT_decl_column(0x13) + 737 + 738 $C$DW$T$79 .dwtag DW_TAG_typedef + 739 .dwattr $C$DW$T$79, DW_AT_name("__pid_t") + 740 .dwattr $C$DW$T$79, DW_AT_type(*$C$DW$T$71) + 741 .dwattr $C$DW$T$79, DW_AT_language(DW_LANG_C) + 742 .dwattr $C$DW$T$79, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 743 .dwattr $C$DW$T$79, DW_AT_decl_line(0x40) + 744 .dwattr $C$DW$T$79, DW_AT_decl_column(0x13) + 745 + 746 $C$DW$T$80 .dwtag DW_TAG_typedef + 747 .dwattr $C$DW$T$80, DW_AT_name("__segsz_t") + 748 .dwattr $C$DW$T$80, DW_AT_type(*$C$DW$T$71) + 749 .dwattr $C$DW$T$80, DW_AT_language(DW_LANG_C) + 750 .dwattr $C$DW$T$80, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 751 .dwattr $C$DW$T$80, DW_AT_decl_line(0x60) + 752 .dwattr $C$DW$T$80, DW_AT_decl_column(0x13) + 753 + 754 $C$DW$T$81 .dwtag DW_TAG_typedef + 755 .dwattr $C$DW$T$81, DW_AT_name("__ssize_t") + 756 .dwattr $C$DW$T$81, DW_AT_type(*$C$DW$T$71) + 757 .dwattr $C$DW$T$81, DW_AT_language(DW_LANG_C) + 758 .dwattr $C$DW$T$81, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 759 .dwattr $C$DW$T$81, DW_AT_decl_line(0x62) + 760 .dwattr $C$DW$T$81, DW_AT_decl_column(0x13) + 761 + 762 $C$DW$T$82 .dwtag DW_TAG_typedef + 763 .dwattr $C$DW$T$82, DW_AT_name("__key_t") + 764 .dwattr $C$DW$T$82, DW_AT_type(*$C$DW$T$12) + 765 .dwattr $C$DW$T$82, DW_AT_language(DW_LANG_C) + 766 .dwattr $C$DW$T$82, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 767 .dwattr $C$DW$T$82, DW_AT_decl_line(0x38) + 768 .dwattr $C$DW$T$82, DW_AT_decl_column(0x0f) + 769 + 770 $C$DW$T$83 .dwtag DW_TAG_typedef + 771 .dwattr $C$DW$T$83, DW_AT_name("__suseconds_t") + 772 .dwattr $C$DW$T$83, DW_AT_type(*$C$DW$T$12) + 773 .dwattr $C$DW$T$83, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 16 + + 774 .dwattr $C$DW$T$83, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 775 .dwattr $C$DW$T$83, DW_AT_decl_line(0x4a) + 776 .dwattr $C$DW$T$83, DW_AT_decl_column(0x0f) + 777 + 778 $C$DW$T$84 .dwtag DW_TAG_typedef + 779 .dwattr $C$DW$T$84, DW_AT_name("_off_t") + 780 .dwattr $C$DW$T$84, DW_AT_type(*$C$DW$T$12) + 781 .dwattr $C$DW$T$84, DW_AT_language(DW_LANG_C) + 782 .dwattr $C$DW$T$84, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 783 .dwattr $C$DW$T$84, DW_AT_decl_line(0x8d) + 784 .dwattr $C$DW$T$84, DW_AT_decl_column(0x12) + 785 + 786 $C$DW$T$85 .dwtag DW_TAG_typedef + 787 .dwattr $C$DW$T$85, DW_AT_name("__off_t") + 788 .dwattr $C$DW$T$85, DW_AT_type(*$C$DW$T$84) + 789 .dwattr $C$DW$T$85, DW_AT_language(DW_LANG_C) + 790 .dwattr $C$DW$T$85, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 791 .dwattr $C$DW$T$85, DW_AT_decl_line(0x3e) + 792 .dwattr $C$DW$T$85, DW_AT_decl_column(0x18) + 793 + 794 $C$DW$T$86 .dwtag DW_TAG_typedef + 795 .dwattr $C$DW$T$86, DW_AT_name("fpos_t") + 796 .dwattr $C$DW$T$86, DW_AT_type(*$C$DW$T$12) + 797 .dwattr $C$DW$T$86, DW_AT_language(DW_LANG_C) + 798 .dwattr $C$DW$T$86, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 799 .dwattr $C$DW$T$86, DW_AT_decl_line(0x6b) + 800 .dwattr $C$DW$T$86, DW_AT_decl_column(0x0e) + 801 + 802 $C$DW$T$13 .dwtag DW_TAG_base_type + 803 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 804 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 805 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 806 + 807 $C$DW$T$87 .dwtag DW_TAG_typedef + 808 .dwattr $C$DW$T$87, DW_AT_name("__uint32_t") + 809 .dwattr $C$DW$T$87, DW_AT_type(*$C$DW$T$13) + 810 .dwattr $C$DW$T$87, DW_AT_language(DW_LANG_C) + 811 .dwattr $C$DW$T$87, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 812 .dwattr $C$DW$T$87, DW_AT_decl_line(0x3b) + 813 .dwattr $C$DW$T$87, DW_AT_decl_column(0x18) + 814 + 815 $C$DW$T$88 .dwtag DW_TAG_typedef + 816 .dwattr $C$DW$T$88, DW_AT_name("__clock_t") + 817 .dwattr $C$DW$T$88, DW_AT_type(*$C$DW$T$87) + 818 .dwattr $C$DW$T$88, DW_AT_language(DW_LANG_C) + 819 .dwattr $C$DW$T$88, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 820 .dwattr $C$DW$T$88, DW_AT_decl_line(0x4a) + 821 .dwattr $C$DW$T$88, DW_AT_decl_column(0x14) + 822 + 823 $C$DW$T$89 .dwtag DW_TAG_typedef + 824 .dwattr $C$DW$T$89, DW_AT_name("__fflags_t") + 825 .dwattr $C$DW$T$89, DW_AT_type(*$C$DW$T$87) + 826 .dwattr $C$DW$T$89, DW_AT_language(DW_LANG_C) + 827 .dwattr $C$DW$T$89, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 828 .dwattr $C$DW$T$89, DW_AT_decl_line(0x32) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 17 + + 829 .dwattr $C$DW$T$89, DW_AT_decl_column(0x14) + 830 + 831 $C$DW$T$90 .dwtag DW_TAG_typedef + 832 .dwattr $C$DW$T$90, DW_AT_name("__fixpt_t") + 833 .dwattr $C$DW$T$90, DW_AT_type(*$C$DW$T$87) + 834 .dwattr $C$DW$T$90, DW_AT_language(DW_LANG_C) + 835 .dwattr $C$DW$T$90, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 836 .dwattr $C$DW$T$90, DW_AT_decl_line(0x81) + 837 .dwattr $C$DW$T$90, DW_AT_decl_column(0x14) + 838 + 839 $C$DW$T$91 .dwtag DW_TAG_typedef + 840 .dwattr $C$DW$T$91, DW_AT_name("__gid_t") + 841 .dwattr $C$DW$T$91, DW_AT_type(*$C$DW$T$87) + 842 .dwattr $C$DW$T$91, DW_AT_language(DW_LANG_C) + 843 .dwattr $C$DW$T$91, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 844 .dwattr $C$DW$T$91, DW_AT_decl_line(0x35) + 845 .dwattr $C$DW$T$91, DW_AT_decl_column(0x14) + 846 + 847 $C$DW$T$92 .dwtag DW_TAG_typedef + 848 .dwattr $C$DW$T$92, DW_AT_name("__socklen_t") + 849 .dwattr $C$DW$T$92, DW_AT_type(*$C$DW$T$87) + 850 .dwattr $C$DW$T$92, DW_AT_language(DW_LANG_C) + 851 .dwattr $C$DW$T$92, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 852 .dwattr $C$DW$T$92, DW_AT_decl_line(0x49) + 853 .dwattr $C$DW$T$92, DW_AT_decl_column(0x14) + 854 + 855 $C$DW$T$93 .dwtag DW_TAG_typedef + 856 .dwattr $C$DW$T$93, DW_AT_name("__time_t") + 857 .dwattr $C$DW$T$93, DW_AT_type(*$C$DW$T$87) + 858 .dwattr $C$DW$T$93, DW_AT_language(DW_LANG_C) + 859 .dwattr $C$DW$T$93, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 860 .dwattr $C$DW$T$93, DW_AT_decl_line(0x66) + 861 .dwattr $C$DW$T$93, DW_AT_decl_column(0x19) + 862 + 863 $C$DW$T$94 .dwtag DW_TAG_typedef + 864 .dwattr $C$DW$T$94, DW_AT_name("__uid_t") + 865 .dwattr $C$DW$T$94, DW_AT_type(*$C$DW$T$87) + 866 .dwattr $C$DW$T$94, DW_AT_language(DW_LANG_C) + 867 .dwattr $C$DW$T$94, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 868 .dwattr $C$DW$T$94, DW_AT_decl_line(0x4d) + 869 .dwattr $C$DW$T$94, DW_AT_decl_column(0x14) + 870 + 871 $C$DW$T$95 .dwtag DW_TAG_typedef + 872 .dwattr $C$DW$T$95, DW_AT_name("__uint_fast32_t") + 873 .dwattr $C$DW$T$95, DW_AT_type(*$C$DW$T$87) + 874 .dwattr $C$DW$T$95, DW_AT_language(DW_LANG_C) + 875 .dwattr $C$DW$T$95, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 876 .dwattr $C$DW$T$95, DW_AT_decl_line(0x72) + 877 .dwattr $C$DW$T$95, DW_AT_decl_column(0x14) + 878 + 879 $C$DW$T$96 .dwtag DW_TAG_typedef + 880 .dwattr $C$DW$T$96, DW_AT_name("__uint_least32_t") + 881 .dwattr $C$DW$T$96, DW_AT_type(*$C$DW$T$87) + 882 .dwattr $C$DW$T$96, DW_AT_language(DW_LANG_C) + 883 .dwattr $C$DW$T$96, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 18 + + 884 .dwattr $C$DW$T$96, DW_AT_decl_line(0x76) + 885 .dwattr $C$DW$T$96, DW_AT_decl_column(0x14) + 886 + 887 $C$DW$T$97 .dwtag DW_TAG_typedef + 888 .dwattr $C$DW$T$97, DW_AT_name("__char32_t") + 889 .dwattr $C$DW$T$97, DW_AT_type(*$C$DW$T$96) + 890 .dwattr $C$DW$T$97, DW_AT_language(DW_LANG_C) + 891 .dwattr $C$DW$T$97, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 892 .dwattr $C$DW$T$97, DW_AT_decl_line(0x72) + 893 .dwattr $C$DW$T$97, DW_AT_decl_column(0x1a) + 894 + 895 $C$DW$T$98 .dwtag DW_TAG_typedef + 896 .dwattr $C$DW$T$98, DW_AT_name("__uintfptr_t") + 897 .dwattr $C$DW$T$98, DW_AT_type(*$C$DW$T$87) + 898 .dwattr $C$DW$T$98, DW_AT_language(DW_LANG_C) + 899 .dwattr $C$DW$T$98, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 900 .dwattr $C$DW$T$98, DW_AT_decl_line(0x68) + 901 .dwattr $C$DW$T$98, DW_AT_decl_column(0x14) + 902 + 903 $C$DW$T$99 .dwtag DW_TAG_typedef + 904 .dwattr $C$DW$T$99, DW_AT_name("__vm_offset_t") + 905 .dwattr $C$DW$T$99, DW_AT_type(*$C$DW$T$87) + 906 .dwattr $C$DW$T$99, DW_AT_language(DW_LANG_C) + 907 .dwattr $C$DW$T$99, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 908 .dwattr $C$DW$T$99, DW_AT_decl_line(0x79) + 909 .dwattr $C$DW$T$99, DW_AT_decl_column(0x14) + 910 + 911 $C$DW$T$100 .dwtag DW_TAG_typedef + 912 .dwattr $C$DW$T$100, DW_AT_name("__vm_paddr_t") + 913 .dwattr $C$DW$T$100, DW_AT_type(*$C$DW$T$87) + 914 .dwattr $C$DW$T$100, DW_AT_language(DW_LANG_C) + 915 .dwattr $C$DW$T$100, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 916 .dwattr $C$DW$T$100, DW_AT_decl_line(0x7a) + 917 .dwattr $C$DW$T$100, DW_AT_decl_column(0x14) + 918 + 919 $C$DW$T$101 .dwtag DW_TAG_typedef + 920 .dwattr $C$DW$T$101, DW_AT_name("__vm_size_t") + 921 .dwattr $C$DW$T$101, DW_AT_type(*$C$DW$T$87) + 922 .dwattr $C$DW$T$101, DW_AT_language(DW_LANG_C) + 923 .dwattr $C$DW$T$101, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 924 .dwattr $C$DW$T$101, DW_AT_decl_line(0x7b) + 925 .dwattr $C$DW$T$101, DW_AT_decl_column(0x14) + 926 + 927 $C$DW$T$14 .dwtag DW_TAG_base_type + 928 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 929 .dwattr $C$DW$T$14, DW_AT_name("long long") + 930 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 931 + 932 $C$DW$T$102 .dwtag DW_TAG_typedef + 933 .dwattr $C$DW$T$102, DW_AT_name("__int64_t") + 934 .dwattr $C$DW$T$102, DW_AT_type(*$C$DW$T$14) + 935 .dwattr $C$DW$T$102, DW_AT_language(DW_LANG_C) + 936 .dwattr $C$DW$T$102, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 937 .dwattr $C$DW$T$102, DW_AT_decl_line(0x40) + 938 .dwattr $C$DW$T$102, DW_AT_decl_column(0x14) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 19 + + 939 + 940 $C$DW$T$103 .dwtag DW_TAG_typedef + 941 .dwattr $C$DW$T$103, DW_AT_name("__blkcnt_t") + 942 .dwattr $C$DW$T$103, DW_AT_type(*$C$DW$T$102) + 943 .dwattr $C$DW$T$103, DW_AT_language(DW_LANG_C) + 944 .dwattr $C$DW$T$103, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 945 .dwattr $C$DW$T$103, DW_AT_decl_line(0x30) + 946 .dwattr $C$DW$T$103, DW_AT_decl_column(0x13) + 947 + 948 $C$DW$T$104 .dwtag DW_TAG_typedef + 949 .dwattr $C$DW$T$104, DW_AT_name("__id_t") + 950 .dwattr $C$DW$T$104, DW_AT_type(*$C$DW$T$102) + 951 .dwattr $C$DW$T$104, DW_AT_language(DW_LANG_C) + 952 .dwattr $C$DW$T$104, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 953 .dwattr $C$DW$T$104, DW_AT_decl_line(0x36) + 954 .dwattr $C$DW$T$104, DW_AT_decl_column(0x13) + 955 + 956 $C$DW$T$105 .dwtag DW_TAG_typedef + 957 .dwattr $C$DW$T$105, DW_AT_name("__int_fast64_t") + 958 .dwattr $C$DW$T$105, DW_AT_type(*$C$DW$T$102) + 959 .dwattr $C$DW$T$105, DW_AT_language(DW_LANG_C) + 960 .dwattr $C$DW$T$105, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 961 .dwattr $C$DW$T$105, DW_AT_decl_line(0x59) + 962 .dwattr $C$DW$T$105, DW_AT_decl_column(0x13) + 963 + 964 $C$DW$T$106 .dwtag DW_TAG_typedef + 965 .dwattr $C$DW$T$106, DW_AT_name("__int_least64_t") + 966 .dwattr $C$DW$T$106, DW_AT_type(*$C$DW$T$102) + 967 .dwattr $C$DW$T$106, DW_AT_language(DW_LANG_C) + 968 .dwattr $C$DW$T$106, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 969 .dwattr $C$DW$T$106, DW_AT_decl_line(0x5d) + 970 .dwattr $C$DW$T$106, DW_AT_decl_column(0x13) + 971 + 972 $C$DW$T$107 .dwtag DW_TAG_typedef + 973 .dwattr $C$DW$T$107, DW_AT_name("__intmax_t") + 974 .dwattr $C$DW$T$107, DW_AT_type(*$C$DW$T$102) + 975 .dwattr $C$DW$T$107, DW_AT_language(DW_LANG_C) + 976 .dwattr $C$DW$T$107, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 977 .dwattr $C$DW$T$107, DW_AT_decl_line(0x4f) + 978 .dwattr $C$DW$T$107, DW_AT_decl_column(0x13) + 979 + 980 $C$DW$T$108 .dwtag DW_TAG_typedef + 981 .dwattr $C$DW$T$108, DW_AT_name("__off64_t") + 982 .dwattr $C$DW$T$108, DW_AT_type(*$C$DW$T$102) + 983 .dwattr $C$DW$T$108, DW_AT_language(DW_LANG_C) + 984 .dwattr $C$DW$T$108, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 985 .dwattr $C$DW$T$108, DW_AT_decl_line(0x3f) + 986 .dwattr $C$DW$T$108, DW_AT_decl_column(0x13) + 987 + 988 $C$DW$T$109 .dwtag DW_TAG_typedef + 989 .dwattr $C$DW$T$109, DW_AT_name("__rlim_t") + 990 .dwattr $C$DW$T$109, DW_AT_type(*$C$DW$T$102) + 991 .dwattr $C$DW$T$109, DW_AT_language(DW_LANG_C) + 992 .dwattr $C$DW$T$109, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 993 .dwattr $C$DW$T$109, DW_AT_decl_line(0x41) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 20 + + 994 .dwattr $C$DW$T$109, DW_AT_decl_column(0x13) + 995 + 996 $C$DW$T$15 .dwtag DW_TAG_base_type + 997 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 998 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 999 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 1000 + 1001 $C$DW$T$110 .dwtag DW_TAG_typedef + 1002 .dwattr $C$DW$T$110, DW_AT_name("__uint64_t") + 1003 .dwattr $C$DW$T$110, DW_AT_type(*$C$DW$T$15) + 1004 .dwattr $C$DW$T$110, DW_AT_language(DW_LANG_C) + 1005 .dwattr $C$DW$T$110, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1006 .dwattr $C$DW$T$110, DW_AT_decl_line(0x45) + 1007 .dwattr $C$DW$T$110, DW_AT_decl_column(0x1c) + 1008 + 1009 $C$DW$T$111 .dwtag DW_TAG_typedef + 1010 .dwattr $C$DW$T$111, DW_AT_name("__dev_t") + 1011 .dwattr $C$DW$T$111, DW_AT_type(*$C$DW$T$110) + 1012 .dwattr $C$DW$T$111, DW_AT_language(DW_LANG_C) + 1013 .dwattr $C$DW$T$111, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1014 .dwattr $C$DW$T$111, DW_AT_decl_line(0x7f) + 1015 .dwattr $C$DW$T$111, DW_AT_decl_column(0x14) + 1016 + 1017 $C$DW$T$112 .dwtag DW_TAG_typedef + 1018 .dwattr $C$DW$T$112, DW_AT_name("__fsblkcnt_t") + 1019 .dwattr $C$DW$T$112, DW_AT_type(*$C$DW$T$110) + 1020 .dwattr $C$DW$T$112, DW_AT_language(DW_LANG_C) + 1021 .dwattr $C$DW$T$112, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1022 .dwattr $C$DW$T$112, DW_AT_decl_line(0x33) + 1023 .dwattr $C$DW$T$112, DW_AT_decl_column(0x14) + 1024 + 1025 $C$DW$T$113 .dwtag DW_TAG_typedef + 1026 .dwattr $C$DW$T$113, DW_AT_name("__fsfilcnt_t") + 1027 .dwattr $C$DW$T$113, DW_AT_type(*$C$DW$T$110) + 1028 .dwattr $C$DW$T$113, DW_AT_language(DW_LANG_C) + 1029 .dwattr $C$DW$T$113, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1030 .dwattr $C$DW$T$113, DW_AT_decl_line(0x34) + 1031 .dwattr $C$DW$T$113, DW_AT_decl_column(0x14) + 1032 + 1033 $C$DW$T$114 .dwtag DW_TAG_typedef + 1034 .dwattr $C$DW$T$114, DW_AT_name("__ino_t") + 1035 .dwattr $C$DW$T$114, DW_AT_type(*$C$DW$T$110) + 1036 .dwattr $C$DW$T$114, DW_AT_language(DW_LANG_C) + 1037 .dwattr $C$DW$T$114, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1038 .dwattr $C$DW$T$114, DW_AT_decl_line(0x37) + 1039 .dwattr $C$DW$T$114, DW_AT_decl_column(0x14) + 1040 + 1041 $C$DW$T$115 .dwtag DW_TAG_typedef + 1042 .dwattr $C$DW$T$115, DW_AT_name("__nlink_t") + 1043 .dwattr $C$DW$T$115, DW_AT_type(*$C$DW$T$110) + 1044 .dwattr $C$DW$T$115, DW_AT_language(DW_LANG_C) + 1045 .dwattr $C$DW$T$115, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1046 .dwattr $C$DW$T$115, DW_AT_decl_line(0x3d) + 1047 .dwattr $C$DW$T$115, DW_AT_decl_column(0x14) + 1048 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 21 + + 1049 $C$DW$T$116 .dwtag DW_TAG_typedef + 1050 .dwattr $C$DW$T$116, DW_AT_name("__uint_fast64_t") + 1051 .dwattr $C$DW$T$116, DW_AT_type(*$C$DW$T$110) + 1052 .dwattr $C$DW$T$116, DW_AT_language(DW_LANG_C) + 1053 .dwattr $C$DW$T$116, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1054 .dwattr $C$DW$T$116, DW_AT_decl_line(0x73) + 1055 .dwattr $C$DW$T$116, DW_AT_decl_column(0x14) + 1056 + 1057 $C$DW$T$117 .dwtag DW_TAG_typedef + 1058 .dwattr $C$DW$T$117, DW_AT_name("__uint_least64_t") + 1059 .dwattr $C$DW$T$117, DW_AT_type(*$C$DW$T$110) + 1060 .dwattr $C$DW$T$117, DW_AT_language(DW_LANG_C) + 1061 .dwattr $C$DW$T$117, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1062 .dwattr $C$DW$T$117, DW_AT_decl_line(0x77) + 1063 .dwattr $C$DW$T$117, DW_AT_decl_column(0x14) + 1064 + 1065 $C$DW$T$118 .dwtag DW_TAG_typedef + 1066 .dwattr $C$DW$T$118, DW_AT_name("__uintmax_t") + 1067 .dwattr $C$DW$T$118, DW_AT_type(*$C$DW$T$110) + 1068 .dwattr $C$DW$T$118, DW_AT_language(DW_LANG_C) + 1069 .dwattr $C$DW$T$118, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1070 .dwattr $C$DW$T$118, DW_AT_decl_line(0x69) + 1071 .dwattr $C$DW$T$118, DW_AT_decl_column(0x14) + 1072 + 1073 $C$DW$T$119 .dwtag DW_TAG_typedef + 1074 .dwattr $C$DW$T$119, DW_AT_name("__rman_res_t") + 1075 .dwattr $C$DW$T$119, DW_AT_type(*$C$DW$T$118) + 1076 .dwattr $C$DW$T$119, DW_AT_language(DW_LANG_C) + 1077 .dwattr $C$DW$T$119, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1078 .dwattr $C$DW$T$119, DW_AT_decl_line(0x9a) + 1079 .dwattr $C$DW$T$119, DW_AT_decl_column(0x19) + 1080 + 1081 $C$DW$T$16 .dwtag DW_TAG_base_type + 1082 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 1083 .dwattr $C$DW$T$16, DW_AT_name("float") + 1084 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 1085 + 1086 $C$DW$T$120 .dwtag DW_TAG_typedef + 1087 .dwattr $C$DW$T$120, DW_AT_name("__float_t") + 1088 .dwattr $C$DW$T$120, DW_AT_type(*$C$DW$T$16) + 1089 .dwattr $C$DW$T$120, DW_AT_language(DW_LANG_C) + 1090 .dwattr $C$DW$T$120, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1091 .dwattr $C$DW$T$120, DW_AT_decl_line(0x4d) + 1092 .dwattr $C$DW$T$120, DW_AT_decl_column(0x10) + 1093 + 1094 $C$DW$T$17 .dwtag DW_TAG_base_type + 1095 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 1096 .dwattr $C$DW$T$17, DW_AT_name("double") + 1097 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 1098 + 1099 $C$DW$T$121 .dwtag DW_TAG_typedef + 1100 .dwattr $C$DW$T$121, DW_AT_name("__double_t") + 1101 .dwattr $C$DW$T$121, DW_AT_type(*$C$DW$T$17) + 1102 .dwattr $C$DW$T$121, DW_AT_language(DW_LANG_C) + 1103 .dwattr $C$DW$T$121, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 22 + + 1104 .dwattr $C$DW$T$121, DW_AT_decl_line(0x4c) + 1105 .dwattr $C$DW$T$121, DW_AT_decl_column(0x11) + 1106 + 1107 $C$DW$T$18 .dwtag DW_TAG_base_type + 1108 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 1109 .dwattr $C$DW$T$18, DW_AT_name("long double") + 1110 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 1111 + 1112 $C$DW$T$37 .dwtag DW_TAG_const_type + 1113 .dwattr $C$DW$T$37, DW_AT_type(*$C$DW$T$6) + 1114 + 1115 $C$DW$T$38 .dwtag DW_TAG_pointer_type + 1116 .dwattr $C$DW$T$38, DW_AT_type(*$C$DW$T$37) + 1117 .dwattr $C$DW$T$38, DW_AT_address_class(0x10) + 1118 + 1119 $C$DW$T$39 .dwtag DW_TAG_restrict_type + 1120 .dwattr $C$DW$T$39, DW_AT_type(*$C$DW$T$38) + 1121 + 1122 $C$DW$T$122 .dwtag DW_TAG_pointer_type + 1123 .dwattr $C$DW$T$122, DW_AT_type(*$C$DW$T$6) + 1124 .dwattr $C$DW$T$122, DW_AT_address_class(0x10) + 1125 + 1126 $C$DW$T$123 .dwtag DW_TAG_typedef + 1127 .dwattr $C$DW$T$123, DW_AT_name("__va_list") + 1128 .dwattr $C$DW$T$123, DW_AT_type(*$C$DW$T$122) + 1129 .dwattr $C$DW$T$123, DW_AT_language(DW_LANG_C) + 1130 .dwattr $C$DW$T$123, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1131 .dwattr $C$DW$T$123, DW_AT_decl_line(0x92) + 1132 .dwattr $C$DW$T$123, DW_AT_decl_column(0x0f) + 1133 + 1134 $C$DW$T$124 .dwtag DW_TAG_typedef + 1135 .dwattr $C$DW$T$124, DW_AT_name("va_list") + 1136 .dwattr $C$DW$T$124, DW_AT_type(*$C$DW$T$123) + 1137 .dwattr $C$DW$T$124, DW_AT_language(DW_LANG_C) + 1138 .dwattr $C$DW$T$124, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1139 .dwattr $C$DW$T$124, DW_AT_decl_line(0x33) + 1140 .dwattr $C$DW$T$124, DW_AT_decl_column(0x13) + 1141 + 1142 + 1143 $C$DW$T$19 .dwtag DW_TAG_structure_type + 1144 .dwattr $C$DW$T$19, DW_AT_name("__mq") + 1145 .dwattr $C$DW$T$19, DW_AT_declaration + 1146 .dwattr $C$DW$T$19, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1147 .dwattr $C$DW$T$19, DW_AT_decl_line(0x4c) + 1148 .dwattr $C$DW$T$19, DW_AT_decl_column(0x10) + 1149 .dwendtag $C$DW$T$19 + 1150 + 1151 $C$DW$T$125 .dwtag DW_TAG_pointer_type + 1152 .dwattr $C$DW$T$125, DW_AT_type(*$C$DW$T$19) + 1153 .dwattr $C$DW$T$125, DW_AT_address_class(0x10) + 1154 + 1155 $C$DW$T$126 .dwtag DW_TAG_typedef + 1156 .dwattr $C$DW$T$126, DW_AT_name("__mqd_t") + 1157 .dwattr $C$DW$T$126, DW_AT_type(*$C$DW$T$125) + 1158 .dwattr $C$DW$T$126, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 23 + + 1159 .dwattr $C$DW$T$126, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1160 .dwattr $C$DW$T$126, DW_AT_decl_line(0x4c) + 1161 .dwattr $C$DW$T$126, DW_AT_decl_column(0x16) + 1162 + 1163 + 1164 $C$DW$T$23 .dwtag DW_TAG_structure_type + 1165 .dwattr $C$DW$T$23, DW_AT_name("__sFILE") + 1166 .dwattr $C$DW$T$23, DW_AT_byte_size(0x0c) + 1167 $C$DW$26 .dwtag DW_TAG_member + 1168 .dwattr $C$DW$26, DW_AT_type(*$C$DW$T$10) + 1169 .dwattr $C$DW$26, DW_AT_name("fd") + 1170 .dwattr $C$DW$26, DW_AT_TI_symbol_name("fd") + 1171 .dwattr $C$DW$26, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 1172 .dwattr $C$DW$26, DW_AT_accessibility(DW_ACCESS_public) + 1173 .dwattr $C$DW$26, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1174 .dwattr $C$DW$26, DW_AT_decl_line(0x52) + 1175 .dwattr $C$DW$26, DW_AT_decl_column(0x0b) + 1176 + 1177 $C$DW$27 .dwtag DW_TAG_member + 1178 .dwattr $C$DW$27, DW_AT_type(*$C$DW$T$22) + 1179 .dwattr $C$DW$27, DW_AT_name("buf") + 1180 .dwattr $C$DW$27, DW_AT_TI_symbol_name("buf") + 1181 .dwattr $C$DW$27, DW_AT_data_member_location[DW_OP_plus_uconst 0x2] + 1182 .dwattr $C$DW$27, DW_AT_accessibility(DW_ACCESS_public) + 1183 .dwattr $C$DW$27, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1184 .dwattr $C$DW$27, DW_AT_decl_line(0x53) + 1185 .dwattr $C$DW$27, DW_AT_decl_column(0x16) + 1186 + 1187 $C$DW$28 .dwtag DW_TAG_member + 1188 .dwattr $C$DW$28, DW_AT_type(*$C$DW$T$22) + 1189 .dwattr $C$DW$28, DW_AT_name("pos") + 1190 .dwattr $C$DW$28, DW_AT_TI_symbol_name("pos") + 1191 .dwattr $C$DW$28, DW_AT_data_member_location[DW_OP_plus_uconst 0x4] + 1192 .dwattr $C$DW$28, DW_AT_accessibility(DW_ACCESS_public) + 1193 .dwattr $C$DW$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1194 .dwattr $C$DW$28, DW_AT_decl_line(0x54) + 1195 .dwattr $C$DW$28, DW_AT_decl_column(0x16) + 1196 + 1197 $C$DW$29 .dwtag DW_TAG_member + 1198 .dwattr $C$DW$29, DW_AT_type(*$C$DW$T$22) + 1199 .dwattr $C$DW$29, DW_AT_name("bufend") + 1200 .dwattr $C$DW$29, DW_AT_TI_symbol_name("bufend") + 1201 .dwattr $C$DW$29, DW_AT_data_member_location[DW_OP_plus_uconst 0x6] + 1202 .dwattr $C$DW$29, DW_AT_accessibility(DW_ACCESS_public) + 1203 .dwattr $C$DW$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1204 .dwattr $C$DW$29, DW_AT_decl_line(0x55) + 1205 .dwattr $C$DW$29, DW_AT_decl_column(0x16) + 1206 + 1207 $C$DW$30 .dwtag DW_TAG_member + 1208 .dwattr $C$DW$30, DW_AT_type(*$C$DW$T$22) + 1209 .dwattr $C$DW$30, DW_AT_name("buff_stop") + 1210 .dwattr $C$DW$30, DW_AT_TI_symbol_name("buff_stop") + 1211 .dwattr $C$DW$30, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 1212 .dwattr $C$DW$30, DW_AT_accessibility(DW_ACCESS_public) + 1213 .dwattr $C$DW$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 24 + + 1214 .dwattr $C$DW$30, DW_AT_decl_line(0x56) + 1215 .dwattr $C$DW$30, DW_AT_decl_column(0x16) + 1216 + 1217 $C$DW$31 .dwtag DW_TAG_member + 1218 .dwattr $C$DW$31, DW_AT_type(*$C$DW$T$11) + 1219 .dwattr $C$DW$31, DW_AT_name("flags") + 1220 .dwattr $C$DW$31, DW_AT_TI_symbol_name("flags") + 1221 .dwattr $C$DW$31, DW_AT_data_member_location[DW_OP_plus_uconst 0xa] + 1222 .dwattr $C$DW$31, DW_AT_accessibility(DW_ACCESS_public) + 1223 .dwattr $C$DW$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1224 .dwattr $C$DW$31, DW_AT_decl_line(0x57) + 1225 .dwattr $C$DW$31, DW_AT_decl_column(0x16) + 1226 + 1227 .dwattr $C$DW$T$23, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1228 .dwattr $C$DW$T$23, DW_AT_decl_line(0x51) + 1229 .dwattr $C$DW$T$23, DW_AT_decl_column(0x08) + 1230 .dwendtag $C$DW$T$23 + 1231 + 1232 $C$DW$T$127 .dwtag DW_TAG_typedef + 1233 .dwattr $C$DW$T$127, DW_AT_name("FILE") + 1234 .dwattr $C$DW$T$127, DW_AT_type(*$C$DW$T$23) + 1235 .dwattr $C$DW$T$127, DW_AT_language(DW_LANG_C) + 1236 .dwattr $C$DW$T$127, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1237 .dwattr $C$DW$T$127, DW_AT_decl_line(0x5c) + 1238 .dwattr $C$DW$T$127, DW_AT_decl_column(0x18) + 1239 + 1240 + 1241 $C$DW$T$20 .dwtag DW_TAG_structure_type + 1242 .dwattr $C$DW$T$20, DW_AT_name("__timer") + 1243 .dwattr $C$DW$T$20, DW_AT_declaration + 1244 .dwattr $C$DW$T$20, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1245 .dwattr $C$DW$T$20, DW_AT_decl_line(0x4b) + 1246 .dwattr $C$DW$T$20, DW_AT_decl_column(0x10) + 1247 .dwendtag $C$DW$T$20 + 1248 + 1249 $C$DW$T$128 .dwtag DW_TAG_pointer_type + 1250 .dwattr $C$DW$T$128, DW_AT_type(*$C$DW$T$20) + 1251 .dwattr $C$DW$T$128, DW_AT_address_class(0x10) + 1252 + 1253 $C$DW$T$129 .dwtag DW_TAG_typedef + 1254 .dwattr $C$DW$T$129, DW_AT_name("__timer_t") + 1255 .dwattr $C$DW$T$129, DW_AT_type(*$C$DW$T$128) + 1256 .dwattr $C$DW$T$129, DW_AT_language(DW_LANG_C) + 1257 .dwattr $C$DW$T$129, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1258 .dwattr $C$DW$T$129, DW_AT_decl_line(0x4b) + 1259 .dwattr $C$DW$T$129, DW_AT_decl_column(0x19) + 1260 + 1261 .dwattr $C$DW$CU, DW_AT_language(DW_LANG_C) + 1262 + 1263 ;*************************************************************** + 1264 ;* DWARF CIE ENTRIES * + 1265 ;*************************************************************** + 1266 + 1267 $C$DW$CIE .dwcie 16 + 1268 .dwcfi cfa_register, 1 + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 25 + + 1269 .dwcfi cfa_offset, 0 + 1270 .dwcfi same_value, 0 + 1271 .dwcfi same_value, 1 + 1272 .dwcfi same_value, 3 + 1273 .dwcfi same_value, 4 + 1274 .dwcfi same_value, 5 + 1275 .dwcfi same_value, 6 + 1276 .dwcfi same_value, 7 + 1277 .dwcfi same_value, 8 + 1278 .dwcfi same_value, 9 + 1279 .dwcfi same_value, 10 + 1280 .dwendentry + 1281 + 1282 ;*************************************************************** + 1283 ;* DWARF REGISTER MAP * + 1284 ;*************************************************************** + 1285 + 1286 $C$DW$32 .dwtag DW_TAG_TI_assign_register + 1287 .dwattr $C$DW$32, DW_AT_name("PC") + 1288 .dwattr $C$DW$32, DW_AT_location[DW_OP_reg0] + 1289 + 1290 $C$DW$33 .dwtag DW_TAG_TI_assign_register + 1291 .dwattr $C$DW$33, DW_AT_name("SP") + 1292 .dwattr $C$DW$33, DW_AT_location[DW_OP_reg1] + 1293 + 1294 $C$DW$34 .dwtag DW_TAG_TI_assign_register + 1295 .dwattr $C$DW$34, DW_AT_name("SR") + 1296 .dwattr $C$DW$34, DW_AT_location[DW_OP_reg2] + 1297 + 1298 $C$DW$35 .dwtag DW_TAG_TI_assign_register + 1299 .dwattr $C$DW$35, DW_AT_name("CG") + 1300 .dwattr $C$DW$35, DW_AT_location[DW_OP_reg3] + 1301 + 1302 $C$DW$36 .dwtag DW_TAG_TI_assign_register + 1303 .dwattr $C$DW$36, DW_AT_name("r4") + 1304 .dwattr $C$DW$36, DW_AT_location[DW_OP_reg4] + 1305 + 1306 $C$DW$37 .dwtag DW_TAG_TI_assign_register + 1307 .dwattr $C$DW$37, DW_AT_name("r5") + 1308 .dwattr $C$DW$37, DW_AT_location[DW_OP_reg5] + 1309 + 1310 $C$DW$38 .dwtag DW_TAG_TI_assign_register + 1311 .dwattr $C$DW$38, DW_AT_name("r6") + 1312 .dwattr $C$DW$38, DW_AT_location[DW_OP_reg6] + 1313 + 1314 $C$DW$39 .dwtag DW_TAG_TI_assign_register + 1315 .dwattr $C$DW$39, DW_AT_name("r7") + 1316 .dwattr $C$DW$39, DW_AT_location[DW_OP_reg7] + 1317 + 1318 $C$DW$40 .dwtag DW_TAG_TI_assign_register + 1319 .dwattr $C$DW$40, DW_AT_name("r8") + 1320 .dwattr $C$DW$40, DW_AT_location[DW_OP_reg8] + 1321 + 1322 $C$DW$41 .dwtag DW_TAG_TI_assign_register + 1323 .dwattr $C$DW$41, DW_AT_name("r9") + MSP430 Assembler PC v20.2.5 Fri Aug 27 14:28:10 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{8A6638BE-D8A3-476E-B8E4-BD42D2E29C23} PAGE 26 + + 1324 .dwattr $C$DW$41, DW_AT_location[DW_OP_reg9] + 1325 + 1326 $C$DW$42 .dwtag DW_TAG_TI_assign_register + 1327 .dwattr $C$DW$42, DW_AT_name("r10") + 1328 .dwattr $C$DW$42, DW_AT_location[DW_OP_reg10] + 1329 + 1330 $C$DW$43 .dwtag DW_TAG_TI_assign_register + 1331 .dwattr $C$DW$43, DW_AT_name("r11") + 1332 .dwattr $C$DW$43, DW_AT_location[DW_OP_reg11] + 1333 + 1334 $C$DW$44 .dwtag DW_TAG_TI_assign_register + 1335 .dwattr $C$DW$44, DW_AT_name("r12") + 1336 .dwattr $C$DW$44, DW_AT_location[DW_OP_reg12] + 1337 + 1338 $C$DW$45 .dwtag DW_TAG_TI_assign_register + 1339 .dwattr $C$DW$45, DW_AT_name("r13") + 1340 .dwattr $C$DW$45, DW_AT_location[DW_OP_reg13] + 1341 + 1342 $C$DW$46 .dwtag DW_TAG_TI_assign_register + 1343 .dwattr $C$DW$46, DW_AT_name("r14") + 1344 .dwattr $C$DW$46, DW_AT_location[DW_OP_reg14] + 1345 + 1346 $C$DW$47 .dwtag DW_TAG_TI_assign_register + 1347 .dwattr $C$DW$47, DW_AT_name("r15") + 1348 .dwattr $C$DW$47, DW_AT_location[DW_OP_reg15] + 1349 + 1350 $C$DW$48 .dwtag DW_TAG_TI_assign_register + 1351 .dwattr $C$DW$48, DW_AT_name("CIE_RETA") + 1352 .dwattr $C$DW$48, DW_AT_location[DW_OP_reg16] + 1353 + 1354 .dwendtag $C$DW$CU + 1355 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/Lab1_Problem1/Debug/P1a.obj b/CPE325/Lab1_Problem1/Debug/P1a.obj new file mode 100644 index 0000000..595e4da Binary files /dev/null and b/CPE325/Lab1_Problem1/Debug/P1a.obj differ diff --git a/CPE325/Lab1_Problem1/Debug/Problem1.d b/CPE325/Lab1_Problem1/Debug/Problem1.d new file mode 100644 index 0000000..07aed86 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/Problem1.d @@ -0,0 +1,42 @@ +# FIXED + +Problem1.obj: ../Problem1.c +Problem1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +Problem1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +Problem1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +Problem1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h + +../Problem1.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + diff --git a/CPE325/Lab1_Problem1/Debug/Problem1.lst b/CPE325/Lab1_Problem1/Debug/Problem1.lst new file mode 100644 index 0000000..03972b3 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/Problem1.lst @@ -0,0 +1,1544 @@ +MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Mon Aug 23 10:52:59 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../Problem1.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\Lab1_Problem1\Debug") + 12 + 13 $C$DW$1 .dwtag DW_TAG_subprogram + 14 .dwattr $C$DW$1, DW_AT_name("printf") + 15 .dwattr $C$DW$1, DW_AT_TI_symbol_name("printf") + 16 .dwattr $C$DW$1, DW_AT_type(*$C$DW$T$10) + 17 .dwattr $C$DW$1, DW_AT_declaration + 18 .dwattr $C$DW$1, DW_AT_external + 19 .dwattr $C$DW$1, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/in + 20 .dwattr $C$DW$1, DW_AT_decl_line(0xf6) + 21 .dwattr $C$DW$1, DW_AT_decl_column(0x19) + 22 $C$DW$2 .dwtag DW_TAG_formal_parameter + 23 .dwattr $C$DW$2, DW_AT_type(*$C$DW$T$39) + 24 + 25 $C$DW$3 .dwtag DW_TAG_unspecified_parameters + 26 + 27 .dwendtag $C$DW$1 + 28 + 29 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 30 000000 .sect ".text:main" + 31 .clink + 32 .global main + 33 + 34 $C$DW$4 .dwtag DW_TAG_subprogram + 35 .dwattr $C$DW$4, DW_AT_name("main") + 36 .dwattr $C$DW$4, DW_AT_low_pc(main) + 37 .dwattr $C$DW$4, DW_AT_high_pc(0x00) + 38 .dwattr $C$DW$4, DW_AT_TI_symbol_name("main") + 39 .dwattr $C$DW$4, DW_AT_external + 40 .dwattr $C$DW$4, DW_AT_type(*$C$DW$T$10) + 41 .dwattr $C$DW$4, DW_AT_TI_begin_file("../Problem1.c") + 42 .dwattr $C$DW$4, DW_AT_TI_begin_line(0x10) + 43 .dwattr $C$DW$4, DW_AT_TI_begin_column(0x05) + 44 .dwattr $C$DW$4, DW_AT_decl_file("../Problem1.c") + 45 .dwattr $C$DW$4, DW_AT_decl_line(0x10) + 46 .dwattr $C$DW$4, DW_AT_decl_column(0x05) + 47 .dwattr $C$DW$4, DW_AT_TI_max_frame_size(0x14) + 48 .dwpsn file "../Problem1.c",line 16,column 12,is_stmt,address main,isa 0 + 49 + 50 .dwfde $C$DW$CIE, main + 51 + 52 ;***************************************************************************** + 53 ;* FUNCTION NAME: main * + 54 ;* * + 55 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 2 + + 56 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 57 ;* Local Frame Size : 8 Args + 10 Auto + 0 Save = 18 byte * + 58 ;***************************************************************************** + 59 000000 main: + 60 ;* --------------------------------------------------------------------------* + 61 .dwcfi cfa_offset, 2 + 62 .dwcfi save_reg_to_mem, 16, -2 + 63 000000 8031 SUB.W #18,SP ; [] + 000002 0012 + 64 .dwcfi cfa_offset, 20 + 65 $C$DW$5 .dwtag DW_TAG_variable + 66 .dwattr $C$DW$5, DW_AT_name("first") + 67 .dwattr $C$DW$5, DW_AT_TI_symbol_name("first") + 68 .dwattr $C$DW$5, DW_AT_type(*$C$DW$T$10) + 69 .dwattr $C$DW$5, DW_AT_location[DW_OP_breg1 8] + 70 + 71 $C$DW$6 .dwtag DW_TAG_variable + 72 .dwattr $C$DW$6, DW_AT_name("second") + 73 .dwattr $C$DW$6, DW_AT_TI_symbol_name("second") + 74 .dwattr $C$DW$6, DW_AT_type(*$C$DW$T$10) + 75 .dwattr $C$DW$6, DW_AT_location[DW_OP_breg1 10] + 76 + 77 $C$DW$7 .dwtag DW_TAG_variable + 78 .dwattr $C$DW$7, DW_AT_name("counter") + 79 .dwattr $C$DW$7, DW_AT_TI_symbol_name("counter") + 80 .dwattr $C$DW$7, DW_AT_type(*$C$DW$T$10) + 81 .dwattr $C$DW$7, DW_AT_location[DW_OP_breg1 12] + 82 + 83 $C$DW$8 .dwtag DW_TAG_variable + 84 .dwattr $C$DW$8, DW_AT_name("pr") + 85 .dwattr $C$DW$8, DW_AT_TI_symbol_name("pr") + 86 .dwattr $C$DW$8, DW_AT_type(*$C$DW$T$10) + 87 .dwattr $C$DW$8, DW_AT_location[DW_OP_breg1 14] + 88 + 89 $C$DW$9 .dwtag DW_TAG_variable + 90 .dwattr $C$DW$9, DW_AT_name("product") + 91 .dwattr $C$DW$9, DW_AT_TI_symbol_name("product") + 92 .dwattr $C$DW$9, DW_AT_type(*$C$DW$T$10) + 93 .dwattr $C$DW$9, DW_AT_location[DW_OP_breg1 16] + 94 + 95 .dwpsn file "../Problem1.c",line 17,column 12,is_stmt,isa 0 + 96 000004 42A1 MOV.W #4,8(SP) ; [] |17| + 000006 0008 + 97 .dwpsn file "../Problem1.c",line 18,column 13,is_stmt,isa 0 + 98 000008 42B1 MOV.W #8,10(SP) ; [] |18| + 00000a 000A + 99 .dwpsn file "../Problem1.c",line 19,column 14,is_stmt,isa 0 + 100 00000c 4381 MOV.W #0,12(SP) ; [] |19| + 00000e 000C + 101 .dwpsn file "../Problem1.c",line 20,column 9,is_stmt,isa 0 + 102 000010 4381 MOV.W #0,14(SP) ; [] |20| + 000012 000E + 103 .dwpsn file "../Problem1.c",line 21,column 14,is_stmt,isa 0 + 104 000014 4381 MOV.W #0,16(SP) ; [] |21| + 000016 0010 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 3 + + 105 .dwpsn file "../Problem1.c",line 22,column 2,is_stmt,isa 0 + 106 000018 411C MOV.W 8(SP),r12 ; [] |22| + 00001a 0008 + 107 00001c 411D MOV.W 10(SP),r13 ; [] |22| + 00001e 000A + 108 000020 411E MOV.W 12(SP),r14 ; [] |22| + 000022 000C + 109 000024 4E0F MOV.W r14,r15 ; [] + 110 $C$DW$10 .dwtag DW_TAG_TI_branch + 111 .dwattr $C$DW$10, DW_AT_low_pc(0x00) + 112 .dwattr $C$DW$10, DW_AT_name("prod") + 113 .dwattr $C$DW$10, DW_AT_TI_call + 114 + 115 000026 12B0 CALL #prod ; [] |22| + 000028 0000! + 116 ; [] |22| + 117 00002a 4C81 MOV.W r12,16(SP) ; [] |22| + 00002c 0010 + 118 .dwpsn file "../Problem1.c",line 23,column 2,is_stmt,isa 0 + 119 00002e 40B1 MOV.W #$C$SL1+0,0(SP) ; [] |23| + 000030 0000! + 000032 0000 + 120 000034 4191 MOV.W 8(SP),2(SP) ; [] |23| + 000036 0008 + 000038 0002 + 121 00003a 4191 MOV.W 10(SP),4(SP) ; [] |23| + 00003c 000A + 00003e 0004 + 122 000040 4191 MOV.W 16(SP),6(SP) ; [] |23| + 000042 0010 + 000044 0006 + 123 $C$DW$11 .dwtag DW_TAG_TI_branch + 124 .dwattr $C$DW$11, DW_AT_low_pc(0x00) + 125 .dwattr $C$DW$11, DW_AT_name("printf") + 126 .dwattr $C$DW$11, DW_AT_TI_call + 127 + 128 000046 12B0 CALL #printf ; [] |23| + 000048 0000! + 129 ; [] |23| + 130 .dwpsn file "../Problem1.c",line 24,column 1,is_stmt,isa 0 + 131 00004a 430C MOV.W #0,r12 ; [] |24| + 132 00004c 5031 ADD.W #18,SP ; [] + 00004e 0012 + 133 .dwcfi cfa_offset, 2 + 134 $C$DW$12 .dwtag DW_TAG_TI_branch + 135 .dwattr $C$DW$12, DW_AT_low_pc(0x00) + 136 .dwattr $C$DW$12, DW_AT_TI_return + 137 + 138 000050 4130 RET ; [] + 139 ; [] + 140 .dwattr $C$DW$4, DW_AT_TI_end_file("../Problem1.c") + 141 .dwattr $C$DW$4, DW_AT_TI_end_line(0x18) + 142 .dwattr $C$DW$4, DW_AT_TI_end_column(0x01) + 143 .dwendentry + 144 .dwendtag $C$DW$4 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 4 + + 145 + 146 000000 .sect ".text:prod" + 147 .clink + 148 .global prod + 149 + 150 $C$DW$13 .dwtag DW_TAG_subprogram + 151 .dwattr $C$DW$13, DW_AT_name("prod") + 152 .dwattr $C$DW$13, DW_AT_low_pc(prod) + 153 .dwattr $C$DW$13, DW_AT_high_pc(0x00) + 154 .dwattr $C$DW$13, DW_AT_TI_symbol_name("prod") + 155 .dwattr $C$DW$13, DW_AT_external + 156 .dwattr $C$DW$13, DW_AT_type(*$C$DW$T$10) + 157 .dwattr $C$DW$13, DW_AT_TI_begin_file("../Problem1.c") + 158 .dwattr $C$DW$13, DW_AT_TI_begin_line(0x1a) + 159 .dwattr $C$DW$13, DW_AT_TI_begin_column(0x05) + 160 .dwattr $C$DW$13, DW_AT_decl_file("../Problem1.c") + 161 .dwattr $C$DW$13, DW_AT_decl_line(0x1a) + 162 .dwattr $C$DW$13, DW_AT_decl_column(0x05) + 163 .dwattr $C$DW$13, DW_AT_TI_max_frame_size(0x0a) + 164 .dwpsn file "../Problem1.c",line 26,column 37,is_stmt,address prod,isa 0 + 165 + 166 .dwfde $C$DW$CIE, prod + 167 $C$DW$14 .dwtag DW_TAG_formal_parameter + 168 .dwattr $C$DW$14, DW_AT_name("f") + 169 .dwattr $C$DW$14, DW_AT_TI_symbol_name("f") + 170 .dwattr $C$DW$14, DW_AT_type(*$C$DW$T$10) + 171 .dwattr $C$DW$14, DW_AT_location[DW_OP_reg12] + 172 + 173 $C$DW$15 .dwtag DW_TAG_formal_parameter + 174 .dwattr $C$DW$15, DW_AT_name("s") + 175 .dwattr $C$DW$15, DW_AT_TI_symbol_name("s") + 176 .dwattr $C$DW$15, DW_AT_type(*$C$DW$T$10) + 177 .dwattr $C$DW$15, DW_AT_location[DW_OP_reg13] + 178 + 179 $C$DW$16 .dwtag DW_TAG_formal_parameter + 180 .dwattr $C$DW$16, DW_AT_name("c") + 181 .dwattr $C$DW$16, DW_AT_TI_symbol_name("c") + 182 .dwattr $C$DW$16, DW_AT_type(*$C$DW$T$10) + 183 .dwattr $C$DW$16, DW_AT_location[DW_OP_reg14] + 184 + 185 $C$DW$17 .dwtag DW_TAG_formal_parameter + 186 .dwattr $C$DW$17, DW_AT_name("p") + 187 .dwattr $C$DW$17, DW_AT_TI_symbol_name("p") + 188 .dwattr $C$DW$17, DW_AT_type(*$C$DW$T$10) + 189 .dwattr $C$DW$17, DW_AT_location[DW_OP_reg15] + 190 + 191 + 192 ;***************************************************************************** + 193 ;* FUNCTION NAME: prod * + 194 ;* * + 195 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + 196 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 197 ;* Local Frame Size : 0 Args + 8 Auto + 0 Save = 8 byte * + 198 ;***************************************************************************** + 199 000000 prod: + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 5 + + 200 ;* --------------------------------------------------------------------------* + 201 .dwcfi cfa_offset, 2 + 202 .dwcfi save_reg_to_mem, 16, -2 + 203 000000 8231 SUB.W #8,SP ; [] + 204 .dwcfi cfa_offset, 10 + 205 $C$DW$18 .dwtag DW_TAG_variable + 206 .dwattr $C$DW$18, DW_AT_name("f") + 207 .dwattr $C$DW$18, DW_AT_TI_symbol_name("f") + 208 .dwattr $C$DW$18, DW_AT_type(*$C$DW$T$10) + 209 .dwattr $C$DW$18, DW_AT_location[DW_OP_breg1 0] + 210 + 211 $C$DW$19 .dwtag DW_TAG_variable + 212 .dwattr $C$DW$19, DW_AT_name("s") + 213 .dwattr $C$DW$19, DW_AT_TI_symbol_name("s") + 214 .dwattr $C$DW$19, DW_AT_type(*$C$DW$T$10) + 215 .dwattr $C$DW$19, DW_AT_location[DW_OP_breg1 2] + 216 + 217 $C$DW$20 .dwtag DW_TAG_variable + 218 .dwattr $C$DW$20, DW_AT_name("c") + 219 .dwattr $C$DW$20, DW_AT_TI_symbol_name("c") + 220 .dwattr $C$DW$20, DW_AT_type(*$C$DW$T$10) + 221 .dwattr $C$DW$20, DW_AT_location[DW_OP_breg1 4] + 222 + 223 $C$DW$21 .dwtag DW_TAG_variable + 224 .dwattr $C$DW$21, DW_AT_name("p") + 225 .dwattr $C$DW$21, DW_AT_TI_symbol_name("p") + 226 .dwattr $C$DW$21, DW_AT_type(*$C$DW$T$10) + 227 .dwattr $C$DW$21, DW_AT_location[DW_OP_breg1 6] + 228 + 229 000002 4F81 MOV.W r15,6(SP) ; [] |26| + 000004 0006 + 230 000006 4E81 MOV.W r14,4(SP) ; [] |26| + 000008 0004 + 231 00000a 4D81 MOV.W r13,2(SP) ; [] |26| + 00000c 0002 + 232 00000e 4C81 MOV.W r12,0(SP) ; [] |26| + 000010 0000 + 233 .dwpsn file "../Problem1.c",line 27,column 2,is_stmt,isa 0 + 234 000012 51A1 ADD.W 0(SP),6(SP) ; [] |27| + 000014 0006 + 235 .dwpsn file "../Problem1.c",line 28,column 2,is_stmt,isa 0 + 236 000016 5391 ADD.W #1,4(SP) ; [] |28| + 000018 0004 + 237 .dwpsn file "../Problem1.c",line 29,column 2,is_stmt,isa 0 + 238 00001a 9191 CMP.W 2(SP),4(SP) ; [] |29| + 00001c 0002 + 00001e 0004 + 239 000020 2407 JEQ $C$L1 ; [] |29| + 240 ; [] |29| + 241 ;* --------------------------------------------------------------------------* + 242 .dwpsn file "../Problem1.c",line 29,column 12,is_stmt,isa 0 + 243 000022 411E MOV.W 4(SP),r14 ; [] |29| + 000024 0004 + 244 000026 411F MOV.W 6(SP),r15 ; [] |29| + 000028 0006 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 6 + + 245 $C$DW$22 .dwtag DW_TAG_TI_branch + 246 .dwattr $C$DW$22, DW_AT_low_pc(0x00) + 247 .dwattr $C$DW$22, DW_AT_name("prod") + 248 .dwattr $C$DW$22, DW_AT_TI_call + 249 + 250 00002a 12B0 CALL #prod ; [] |29| + 00002c 0000! + 251 ; [] |29| + 252 00002e 3C03 JMP $C$L2 ; [] + 253 ; [] + 254 ;* --------------------------------------------------------------------------* + 255 000030 $C$L1: + 256 .dwpsn file "../Problem1.c",line 31,column 4,is_stmt,isa 0 + 257 000030 411C MOV.W 6(SP),r12 ; [] |31| + 000032 0006 + 258 000034 3C00 JMP $C$L2 ; [] |31| + 259 ; [] |31| + 260 ;* --------------------------------------------------------------------------* + 261 ;* --------------------------------------------------------------------------* + 262 000036 $C$L2: + 263 .dwpsn file "../Problem1.c",line 33,column 1,is_stmt,isa 0 + 264 000036 5231 ADD.W #8,SP ; [] + 265 .dwcfi cfa_offset, 2 + 266 $C$DW$23 .dwtag DW_TAG_TI_branch + 267 .dwattr $C$DW$23, DW_AT_low_pc(0x00) + 268 .dwattr $C$DW$23, DW_AT_TI_return + 269 + 270 000038 4130 RET ; [] + 271 ; [] + 272 .dwattr $C$DW$13, DW_AT_TI_end_file("../Problem1.c") + 273 .dwattr $C$DW$13, DW_AT_TI_end_line(0x21) + 274 .dwattr $C$DW$13, DW_AT_TI_end_column(0x01) + 275 .dwendentry + 276 .dwendtag $C$DW$13 + 277 + 278 ;****************************************************************************** + 279 ;* STRINGS * + 280 ;****************************************************************************** + 281 000000 .sect ".const:.string" + 282 .align 2 + 283 000000 0025 $C$SL1: .string "%d times %d is %d",10,0 + 000001 0064 + 000002 0020 + 000003 0074 + 000004 0069 + 000005 006D + 000006 0065 + 000007 0073 + 000008 0020 + 000009 0025 + 00000a 0064 + 00000b 0020 + 00000c 0069 + 00000d 0073 + 00000e 0020 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 7 + + 00000f 0025 + 000010 0064 + 000011 000A + 000012 0000 + 284 ;***************************************************************************** + 285 ;* UNDEFINED EXTERNAL REFERENCES * + 286 ;***************************************************************************** + 287 .global printf + 288 + 289 ;****************************************************************************** + 290 ;* BUILD ATTRIBUTES * + 291 ;****************************************************************************** + 292 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 293 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0000000000001111000000000 + 294 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 295 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(2) + 296 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 297 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 298 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 299 + 300 ;****************************************************************************** + 301 ;* TYPE INFORMATION * + 302 ;****************************************************************************** + 303 + 304 $C$DW$T$21 .dwtag DW_TAG_structure_type + 305 .dwattr $C$DW$T$21, DW_AT_byte_size(0x10) + 306 $C$DW$24 .dwtag DW_TAG_member + 307 .dwattr $C$DW$24, DW_AT_type(*$C$DW$T$14) + 308 .dwattr $C$DW$24, DW_AT_name("__max_align1") + 309 .dwattr $C$DW$24, DW_AT_TI_symbol_name("__max_align1") + 310 .dwattr $C$DW$24, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 311 .dwattr $C$DW$24, DW_AT_accessibility(DW_ACCESS_public) + 312 .dwattr $C$DW$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 313 .dwattr $C$DW$24, DW_AT_decl_line(0x7b) + 314 .dwattr $C$DW$24, DW_AT_decl_column(0x0c) + 315 + 316 $C$DW$25 .dwtag DW_TAG_member + 317 .dwattr $C$DW$25, DW_AT_type(*$C$DW$T$18) + 318 .dwattr $C$DW$25, DW_AT_name("__max_align2") + 319 .dwattr $C$DW$25, DW_AT_TI_symbol_name("__max_align2") + 320 .dwattr $C$DW$25, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 321 .dwattr $C$DW$25, DW_AT_accessibility(DW_ACCESS_public) + 322 .dwattr $C$DW$25, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 323 .dwattr $C$DW$25, DW_AT_decl_line(0x7c) + 324 .dwattr $C$DW$25, DW_AT_decl_column(0x0e) + 325 + 326 .dwattr $C$DW$T$21, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 327 .dwattr $C$DW$T$21, DW_AT_decl_line(0x7a) + 328 .dwattr $C$DW$T$21, DW_AT_decl_column(0x10) + 329 .dwendtag $C$DW$T$21 + 330 + 331 $C$DW$T$24 .dwtag DW_TAG_typedef + 332 .dwattr $C$DW$T$24, DW_AT_name("__max_align_t") + 333 .dwattr $C$DW$T$24, DW_AT_type(*$C$DW$T$21) + 334 .dwattr $C$DW$T$24, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 8 + + 335 .dwattr $C$DW$T$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 336 .dwattr $C$DW$T$24, DW_AT_decl_line(0x7d) + 337 .dwattr $C$DW$T$24, DW_AT_decl_column(0x03) + 338 + 339 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + 340 .dwattr $C$DW$T$2, DW_AT_name("void") + 341 + 342 + 343 $C$DW$T$25 .dwtag DW_TAG_subroutine_type + 344 .dwattr $C$DW$T$25, DW_AT_language(DW_LANG_C) + 345 .dwendtag $C$DW$T$25 + 346 + 347 $C$DW$T$26 .dwtag DW_TAG_pointer_type + 348 .dwattr $C$DW$T$26, DW_AT_type(*$C$DW$T$25) + 349 .dwattr $C$DW$T$26, DW_AT_address_class(0x10) + 350 + 351 $C$DW$T$27 .dwtag DW_TAG_typedef + 352 .dwattr $C$DW$T$27, DW_AT_name("__SFR_FARPTR") + 353 .dwattr $C$DW$T$27, DW_AT_type(*$C$DW$T$26) + 354 .dwattr $C$DW$T$27, DW_AT_language(DW_LANG_C) + 355 .dwattr $C$DW$T$27, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430f5529.h") + 356 .dwattr $C$DW$T$27, DW_AT_decl_line(0x4a) + 357 .dwattr $C$DW$T$27, DW_AT_decl_column(0x11) + 358 + 359 $C$DW$T$4 .dwtag DW_TAG_base_type + 360 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 361 .dwattr $C$DW$T$4, DW_AT_name("bool") + 362 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + 363 + 364 $C$DW$T$5 .dwtag DW_TAG_base_type + 365 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 366 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 367 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 368 + 369 $C$DW$T$28 .dwtag DW_TAG_typedef + 370 .dwattr $C$DW$T$28, DW_AT_name("__int8_t") + 371 .dwattr $C$DW$T$28, DW_AT_type(*$C$DW$T$5) + 372 .dwattr $C$DW$T$28, DW_AT_language(DW_LANG_C) + 373 .dwattr $C$DW$T$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 374 .dwattr $C$DW$T$28, DW_AT_decl_line(0x36) + 375 .dwattr $C$DW$T$28, DW_AT_decl_column(0x16) + 376 + 377 $C$DW$T$29 .dwtag DW_TAG_typedef + 378 .dwattr $C$DW$T$29, DW_AT_name("__int_least8_t") + 379 .dwattr $C$DW$T$29, DW_AT_type(*$C$DW$T$28) + 380 .dwattr $C$DW$T$29, DW_AT_language(DW_LANG_C) + 381 .dwattr $C$DW$T$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 382 .dwattr $C$DW$T$29, DW_AT_decl_line(0x5a) + 383 .dwattr $C$DW$T$29, DW_AT_decl_column(0x12) + 384 + 385 $C$DW$T$6 .dwtag DW_TAG_base_type + 386 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 387 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 388 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 389 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 9 + + 390 $C$DW$T$22 .dwtag DW_TAG_pointer_type + 391 .dwattr $C$DW$T$22, DW_AT_type(*$C$DW$T$6) + 392 .dwattr $C$DW$T$22, DW_AT_address_class(0x10) + 393 + 394 $C$DW$T$30 .dwtag DW_TAG_typedef + 395 .dwattr $C$DW$T$30, DW_AT_name("__uint8_t") + 396 .dwattr $C$DW$T$30, DW_AT_type(*$C$DW$T$6) + 397 .dwattr $C$DW$T$30, DW_AT_language(DW_LANG_C) + 398 .dwattr $C$DW$T$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 399 .dwattr $C$DW$T$30, DW_AT_decl_line(0x37) + 400 .dwattr $C$DW$T$30, DW_AT_decl_column(0x18) + 401 + 402 $C$DW$T$31 .dwtag DW_TAG_typedef + 403 .dwattr $C$DW$T$31, DW_AT_name("__sa_family_t") + 404 .dwattr $C$DW$T$31, DW_AT_type(*$C$DW$T$30) + 405 .dwattr $C$DW$T$31, DW_AT_language(DW_LANG_C) + 406 .dwattr $C$DW$T$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 407 .dwattr $C$DW$T$31, DW_AT_decl_line(0x47) + 408 .dwattr $C$DW$T$31, DW_AT_decl_column(0x13) + 409 + 410 $C$DW$T$32 .dwtag DW_TAG_typedef + 411 .dwattr $C$DW$T$32, DW_AT_name("__uint_least8_t") + 412 .dwattr $C$DW$T$32, DW_AT_type(*$C$DW$T$30) + 413 .dwattr $C$DW$T$32, DW_AT_language(DW_LANG_C) + 414 .dwattr $C$DW$T$32, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 415 .dwattr $C$DW$T$32, DW_AT_decl_line(0x74) + 416 .dwattr $C$DW$T$32, DW_AT_decl_column(0x13) + 417 + 418 $C$DW$T$7 .dwtag DW_TAG_base_type + 419 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 420 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 421 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 422 + 423 $C$DW$T$8 .dwtag DW_TAG_base_type + 424 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 425 .dwattr $C$DW$T$8, DW_AT_name("short") + 426 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 427 + 428 $C$DW$T$9 .dwtag DW_TAG_base_type + 429 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 430 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 431 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 432 + 433 $C$DW$T$10 .dwtag DW_TAG_base_type + 434 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 435 .dwattr $C$DW$T$10, DW_AT_name("int") + 436 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 437 + 438 $C$DW$T$33 .dwtag DW_TAG_typedef + 439 .dwattr $C$DW$T$33, DW_AT_name("_Mbstatet") + 440 .dwattr $C$DW$T$33, DW_AT_type(*$C$DW$T$10) + 441 .dwattr $C$DW$T$33, DW_AT_language(DW_LANG_C) + 442 .dwattr $C$DW$T$33, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 443 .dwattr $C$DW$T$33, DW_AT_decl_line(0x8f) + 444 .dwattr $C$DW$T$33, DW_AT_decl_column(0x0d) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 10 + + 445 + 446 $C$DW$T$34 .dwtag DW_TAG_typedef + 447 .dwattr $C$DW$T$34, DW_AT_name("__mbstate_t") + 448 .dwattr $C$DW$T$34, DW_AT_type(*$C$DW$T$33) + 449 .dwattr $C$DW$T$34, DW_AT_language(DW_LANG_C) + 450 .dwattr $C$DW$T$34, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 451 .dwattr $C$DW$T$34, DW_AT_decl_line(0x92) + 452 .dwattr $C$DW$T$34, DW_AT_decl_column(0x13) + 453 + 454 $C$DW$T$35 .dwtag DW_TAG_typedef + 455 .dwattr $C$DW$T$35, DW_AT_name("__accmode_t") + 456 .dwattr $C$DW$T$35, DW_AT_type(*$C$DW$T$10) + 457 .dwattr $C$DW$T$35, DW_AT_language(DW_LANG_C) + 458 .dwattr $C$DW$T$35, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 459 .dwattr $C$DW$T$35, DW_AT_decl_line(0x3b) + 460 .dwattr $C$DW$T$35, DW_AT_decl_column(0x0e) + 461 + 462 $C$DW$T$45 .dwtag DW_TAG_typedef + 463 .dwattr $C$DW$T$45, DW_AT_name("__cpulevel_t") + 464 .dwattr $C$DW$T$45, DW_AT_type(*$C$DW$T$10) + 465 .dwattr $C$DW$T$45, DW_AT_language(DW_LANG_C) + 466 .dwattr $C$DW$T$45, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 467 .dwattr $C$DW$T$45, DW_AT_decl_line(0x50) + 468 .dwattr $C$DW$T$45, DW_AT_decl_column(0x0e) + 469 + 470 $C$DW$T$46 .dwtag DW_TAG_typedef + 471 .dwattr $C$DW$T$46, DW_AT_name("__cpusetid_t") + 472 .dwattr $C$DW$T$46, DW_AT_type(*$C$DW$T$10) + 473 .dwattr $C$DW$T$46, DW_AT_language(DW_LANG_C) + 474 .dwattr $C$DW$T$46, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 475 .dwattr $C$DW$T$46, DW_AT_decl_line(0x51) + 476 .dwattr $C$DW$T$46, DW_AT_decl_column(0x0e) + 477 + 478 $C$DW$T$47 .dwtag DW_TAG_typedef + 479 .dwattr $C$DW$T$47, DW_AT_name("__cpuwhich_t") + 480 .dwattr $C$DW$T$47, DW_AT_type(*$C$DW$T$10) + 481 .dwattr $C$DW$T$47, DW_AT_language(DW_LANG_C) + 482 .dwattr $C$DW$T$47, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 483 .dwattr $C$DW$T$47, DW_AT_decl_line(0x4f) + 484 .dwattr $C$DW$T$47, DW_AT_decl_column(0x0e) + 485 + 486 $C$DW$T$48 .dwtag DW_TAG_typedef + 487 .dwattr $C$DW$T$48, DW_AT_name("__ct_rune_t") + 488 .dwattr $C$DW$T$48, DW_AT_type(*$C$DW$T$10) + 489 .dwattr $C$DW$T$48, DW_AT_language(DW_LANG_C) + 490 .dwattr $C$DW$T$48, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 491 .dwattr $C$DW$T$48, DW_AT_decl_line(0x69) + 492 .dwattr $C$DW$T$48, DW_AT_decl_column(0x0e) + 493 + 494 $C$DW$T$49 .dwtag DW_TAG_typedef + 495 .dwattr $C$DW$T$49, DW_AT_name("__rune_t") + 496 .dwattr $C$DW$T$49, DW_AT_type(*$C$DW$T$48) + 497 .dwattr $C$DW$T$49, DW_AT_language(DW_LANG_C) + 498 .dwattr $C$DW$T$49, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 499 .dwattr $C$DW$T$49, DW_AT_decl_line(0x6c) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 11 + + 500 .dwattr $C$DW$T$49, DW_AT_decl_column(0x15) + 501 + 502 $C$DW$T$50 .dwtag DW_TAG_typedef + 503 .dwattr $C$DW$T$50, DW_AT_name("__wint_t") + 504 .dwattr $C$DW$T$50, DW_AT_type(*$C$DW$T$48) + 505 .dwattr $C$DW$T$50, DW_AT_language(DW_LANG_C) + 506 .dwattr $C$DW$T$50, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 507 .dwattr $C$DW$T$50, DW_AT_decl_line(0x6d) + 508 .dwattr $C$DW$T$50, DW_AT_decl_column(0x15) + 509 + 510 $C$DW$T$51 .dwtag DW_TAG_typedef + 511 .dwattr $C$DW$T$51, DW_AT_name("__int16_t") + 512 .dwattr $C$DW$T$51, DW_AT_type(*$C$DW$T$10) + 513 .dwattr $C$DW$T$51, DW_AT_language(DW_LANG_C) + 514 .dwattr $C$DW$T$51, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 515 .dwattr $C$DW$T$51, DW_AT_decl_line(0x38) + 516 .dwattr $C$DW$T$51, DW_AT_decl_column(0x0f) + 517 + 518 $C$DW$T$52 .dwtag DW_TAG_typedef + 519 .dwattr $C$DW$T$52, DW_AT_name("__int_fast16_t") + 520 .dwattr $C$DW$T$52, DW_AT_type(*$C$DW$T$51) + 521 .dwattr $C$DW$T$52, DW_AT_language(DW_LANG_C) + 522 .dwattr $C$DW$T$52, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 523 .dwattr $C$DW$T$52, DW_AT_decl_line(0x57) + 524 .dwattr $C$DW$T$52, DW_AT_decl_column(0x13) + 525 + 526 $C$DW$T$53 .dwtag DW_TAG_typedef + 527 .dwattr $C$DW$T$53, DW_AT_name("__int_fast8_t") + 528 .dwattr $C$DW$T$53, DW_AT_type(*$C$DW$T$51) + 529 .dwattr $C$DW$T$53, DW_AT_language(DW_LANG_C) + 530 .dwattr $C$DW$T$53, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 531 .dwattr $C$DW$T$53, DW_AT_decl_line(0x56) + 532 .dwattr $C$DW$T$53, DW_AT_decl_column(0x13) + 533 + 534 $C$DW$T$54 .dwtag DW_TAG_typedef + 535 .dwattr $C$DW$T$54, DW_AT_name("__int_least16_t") + 536 .dwattr $C$DW$T$54, DW_AT_type(*$C$DW$T$51) + 537 .dwattr $C$DW$T$54, DW_AT_language(DW_LANG_C) + 538 .dwattr $C$DW$T$54, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 539 .dwattr $C$DW$T$54, DW_AT_decl_line(0x5b) + 540 .dwattr $C$DW$T$54, DW_AT_decl_column(0x13) + 541 + 542 $C$DW$T$55 .dwtag DW_TAG_typedef + 543 .dwattr $C$DW$T$55, DW_AT_name("__intptr_t") + 544 .dwattr $C$DW$T$55, DW_AT_type(*$C$DW$T$51) + 545 .dwattr $C$DW$T$55, DW_AT_language(DW_LANG_C) + 546 .dwattr $C$DW$T$55, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 547 .dwattr $C$DW$T$55, DW_AT_decl_line(0x53) + 548 .dwattr $C$DW$T$55, DW_AT_decl_column(0x19) + 549 + 550 $C$DW$T$56 .dwtag DW_TAG_typedef + 551 .dwattr $C$DW$T$56, DW_AT_name("__register_t") + 552 .dwattr $C$DW$T$56, DW_AT_type(*$C$DW$T$51) + 553 .dwattr $C$DW$T$56, DW_AT_language(DW_LANG_C) + 554 .dwattr $C$DW$T$56, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 12 + + 555 .dwattr $C$DW$T$56, DW_AT_decl_line(0x5f) + 556 .dwattr $C$DW$T$56, DW_AT_decl_column(0x13) + 557 + 558 $C$DW$T$57 .dwtag DW_TAG_typedef + 559 .dwattr $C$DW$T$57, DW_AT_name("__nl_item") + 560 .dwattr $C$DW$T$57, DW_AT_type(*$C$DW$T$10) + 561 .dwattr $C$DW$T$57, DW_AT_language(DW_LANG_C) + 562 .dwattr $C$DW$T$57, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 563 .dwattr $C$DW$T$57, DW_AT_decl_line(0x3c) + 564 .dwattr $C$DW$T$57, DW_AT_decl_column(0x0e) + 565 + 566 $C$DW$T$58 .dwtag DW_TAG_typedef + 567 .dwattr $C$DW$T$58, DW_AT_name("__ptrdiff_t") + 568 .dwattr $C$DW$T$58, DW_AT_type(*$C$DW$T$10) + 569 .dwattr $C$DW$T$58, DW_AT_language(DW_LANG_C) + 570 .dwattr $C$DW$T$58, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 571 .dwattr $C$DW$T$58, DW_AT_decl_line(0x5e) + 572 .dwattr $C$DW$T$58, DW_AT_decl_column(0x1c) + 573 + 574 $C$DW$T$11 .dwtag DW_TAG_base_type + 575 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 576 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 577 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 578 + 579 $C$DW$T$59 .dwtag DW_TAG_typedef + 580 .dwattr $C$DW$T$59, DW_AT_name("___wchar_t") + 581 .dwattr $C$DW$T$59, DW_AT_type(*$C$DW$T$11) + 582 .dwattr $C$DW$T$59, DW_AT_language(DW_LANG_C) + 583 .dwattr $C$DW$T$59, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 584 .dwattr $C$DW$T$59, DW_AT_decl_line(0x7d) + 585 .dwattr $C$DW$T$59, DW_AT_decl_column(0x1a) + 586 + 587 $C$DW$T$60 .dwtag DW_TAG_typedef + 588 .dwattr $C$DW$T$60, DW_AT_name("__size_t") + 589 .dwattr $C$DW$T$60, DW_AT_type(*$C$DW$T$11) + 590 .dwattr $C$DW$T$60, DW_AT_language(DW_LANG_C) + 591 .dwattr $C$DW$T$60, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 592 .dwattr $C$DW$T$60, DW_AT_decl_line(0x61) + 593 .dwattr $C$DW$T$60, DW_AT_decl_column(0x19) + 594 + 595 $C$DW$T$61 .dwtag DW_TAG_typedef + 596 .dwattr $C$DW$T$61, DW_AT_name("__uint16_t") + 597 .dwattr $C$DW$T$61, DW_AT_type(*$C$DW$T$11) + 598 .dwattr $C$DW$T$61, DW_AT_language(DW_LANG_C) + 599 .dwattr $C$DW$T$61, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 600 .dwattr $C$DW$T$61, DW_AT_decl_line(0x39) + 601 .dwattr $C$DW$T$61, DW_AT_decl_column(0x17) + 602 + 603 $C$DW$T$62 .dwtag DW_TAG_typedef + 604 .dwattr $C$DW$T$62, DW_AT_name("__mode_t") + 605 .dwattr $C$DW$T$62, DW_AT_type(*$C$DW$T$61) + 606 .dwattr $C$DW$T$62, DW_AT_language(DW_LANG_C) + 607 .dwattr $C$DW$T$62, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 608 .dwattr $C$DW$T$62, DW_AT_decl_line(0x3a) + 609 .dwattr $C$DW$T$62, DW_AT_decl_column(0x14) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 13 + + 610 + 611 $C$DW$T$63 .dwtag DW_TAG_typedef + 612 .dwattr $C$DW$T$63, DW_AT_name("__u_register_t") + 613 .dwattr $C$DW$T$63, DW_AT_type(*$C$DW$T$61) + 614 .dwattr $C$DW$T$63, DW_AT_language(DW_LANG_C) + 615 .dwattr $C$DW$T$63, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 616 .dwattr $C$DW$T$63, DW_AT_decl_line(0x78) + 617 .dwattr $C$DW$T$63, DW_AT_decl_column(0x14) + 618 + 619 $C$DW$T$64 .dwtag DW_TAG_typedef + 620 .dwattr $C$DW$T$64, DW_AT_name("__uint_fast16_t") + 621 .dwattr $C$DW$T$64, DW_AT_type(*$C$DW$T$61) + 622 .dwattr $C$DW$T$64, DW_AT_language(DW_LANG_C) + 623 .dwattr $C$DW$T$64, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 624 .dwattr $C$DW$T$64, DW_AT_decl_line(0x71) + 625 .dwattr $C$DW$T$64, DW_AT_decl_column(0x14) + 626 + 627 $C$DW$T$65 .dwtag DW_TAG_typedef + 628 .dwattr $C$DW$T$65, DW_AT_name("__uint_fast8_t") + 629 .dwattr $C$DW$T$65, DW_AT_type(*$C$DW$T$61) + 630 .dwattr $C$DW$T$65, DW_AT_language(DW_LANG_C) + 631 .dwattr $C$DW$T$65, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 632 .dwattr $C$DW$T$65, DW_AT_decl_line(0x70) + 633 .dwattr $C$DW$T$65, DW_AT_decl_column(0x14) + 634 + 635 $C$DW$T$66 .dwtag DW_TAG_typedef + 636 .dwattr $C$DW$T$66, DW_AT_name("__uint_least16_t") + 637 .dwattr $C$DW$T$66, DW_AT_type(*$C$DW$T$61) + 638 .dwattr $C$DW$T$66, DW_AT_language(DW_LANG_C) + 639 .dwattr $C$DW$T$66, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 640 .dwattr $C$DW$T$66, DW_AT_decl_line(0x75) + 641 .dwattr $C$DW$T$66, DW_AT_decl_column(0x14) + 642 + 643 $C$DW$T$67 .dwtag DW_TAG_typedef + 644 .dwattr $C$DW$T$67, DW_AT_name("__char16_t") + 645 .dwattr $C$DW$T$67, DW_AT_type(*$C$DW$T$66) + 646 .dwattr $C$DW$T$67, DW_AT_language(DW_LANG_C) + 647 .dwattr $C$DW$T$67, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 648 .dwattr $C$DW$T$67, DW_AT_decl_line(0x71) + 649 .dwattr $C$DW$T$67, DW_AT_decl_column(0x1a) + 650 + 651 $C$DW$T$68 .dwtag DW_TAG_typedef + 652 .dwattr $C$DW$T$68, DW_AT_name("__uintptr_t") + 653 .dwattr $C$DW$T$68, DW_AT_type(*$C$DW$T$61) + 654 .dwattr $C$DW$T$68, DW_AT_language(DW_LANG_C) + 655 .dwattr $C$DW$T$68, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 656 .dwattr $C$DW$T$68, DW_AT_decl_line(0x6d) + 657 .dwattr $C$DW$T$68, DW_AT_decl_column(0x14) + 658 + 659 $C$DW$T$69 .dwtag DW_TAG_typedef + 660 .dwattr $C$DW$T$69, DW_AT_name("__useconds_t") + 661 .dwattr $C$DW$T$69, DW_AT_type(*$C$DW$T$11) + 662 .dwattr $C$DW$T$69, DW_AT_language(DW_LANG_C) + 663 .dwattr $C$DW$T$69, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 664 .dwattr $C$DW$T$69, DW_AT_decl_line(0x4e) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 14 + + 665 .dwattr $C$DW$T$69, DW_AT_decl_column(0x16) + 666 + 667 $C$DW$T$70 .dwtag DW_TAG_typedef + 668 .dwattr $C$DW$T$70, DW_AT_name("size_t") + 669 .dwattr $C$DW$T$70, DW_AT_type(*$C$DW$T$11) + 670 .dwattr $C$DW$T$70, DW_AT_language(DW_LANG_C) + 671 .dwattr $C$DW$T$70, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 672 .dwattr $C$DW$T$70, DW_AT_decl_line(0x4d) + 673 .dwattr $C$DW$T$70, DW_AT_decl_column(0x19) + 674 + 675 $C$DW$T$12 .dwtag DW_TAG_base_type + 676 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 677 .dwattr $C$DW$T$12, DW_AT_name("long") + 678 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 679 + 680 $C$DW$T$71 .dwtag DW_TAG_typedef + 681 .dwattr $C$DW$T$71, DW_AT_name("__int32_t") + 682 .dwattr $C$DW$T$71, DW_AT_type(*$C$DW$T$12) + 683 .dwattr $C$DW$T$71, DW_AT_language(DW_LANG_C) + 684 .dwattr $C$DW$T$71, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 685 .dwattr $C$DW$T$71, DW_AT_decl_line(0x3a) + 686 .dwattr $C$DW$T$71, DW_AT_decl_column(0x10) + 687 + 688 $C$DW$T$72 .dwtag DW_TAG_typedef + 689 .dwattr $C$DW$T$72, DW_AT_name("__blksize_t") + 690 .dwattr $C$DW$T$72, DW_AT_type(*$C$DW$T$71) + 691 .dwattr $C$DW$T$72, DW_AT_language(DW_LANG_C) + 692 .dwattr $C$DW$T$72, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 693 .dwattr $C$DW$T$72, DW_AT_decl_line(0x2f) + 694 .dwattr $C$DW$T$72, DW_AT_decl_column(0x13) + 695 + 696 $C$DW$T$73 .dwtag DW_TAG_typedef + 697 .dwattr $C$DW$T$73, DW_AT_name("__clockid_t") + 698 .dwattr $C$DW$T$73, DW_AT_type(*$C$DW$T$71) + 699 .dwattr $C$DW$T$73, DW_AT_language(DW_LANG_C) + 700 .dwattr $C$DW$T$73, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 701 .dwattr $C$DW$T$73, DW_AT_decl_line(0x31) + 702 .dwattr $C$DW$T$73, DW_AT_decl_column(0x13) + 703 + 704 $C$DW$T$74 .dwtag DW_TAG_typedef + 705 .dwattr $C$DW$T$74, DW_AT_name("__critical_t") + 706 .dwattr $C$DW$T$74, DW_AT_type(*$C$DW$T$71) + 707 .dwattr $C$DW$T$74, DW_AT_language(DW_LANG_C) + 708 .dwattr $C$DW$T$74, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 709 .dwattr $C$DW$T$74, DW_AT_decl_line(0x4b) + 710 .dwattr $C$DW$T$74, DW_AT_decl_column(0x13) + 711 + 712 $C$DW$T$75 .dwtag DW_TAG_typedef + 713 .dwattr $C$DW$T$75, DW_AT_name("__int_fast32_t") + 714 .dwattr $C$DW$T$75, DW_AT_type(*$C$DW$T$71) + 715 .dwattr $C$DW$T$75, DW_AT_language(DW_LANG_C) + 716 .dwattr $C$DW$T$75, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 717 .dwattr $C$DW$T$75, DW_AT_decl_line(0x58) + 718 .dwattr $C$DW$T$75, DW_AT_decl_column(0x13) + 719 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 15 + + 720 $C$DW$T$76 .dwtag DW_TAG_typedef + 721 .dwattr $C$DW$T$76, DW_AT_name("__int_least32_t") + 722 .dwattr $C$DW$T$76, DW_AT_type(*$C$DW$T$71) + 723 .dwattr $C$DW$T$76, DW_AT_language(DW_LANG_C) + 724 .dwattr $C$DW$T$76, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 725 .dwattr $C$DW$T$76, DW_AT_decl_line(0x5c) + 726 .dwattr $C$DW$T$76, DW_AT_decl_column(0x13) + 727 + 728 $C$DW$T$77 .dwtag DW_TAG_typedef + 729 .dwattr $C$DW$T$77, DW_AT_name("__intfptr_t") + 730 .dwattr $C$DW$T$77, DW_AT_type(*$C$DW$T$71) + 731 .dwattr $C$DW$T$77, DW_AT_language(DW_LANG_C) + 732 .dwattr $C$DW$T$77, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 733 .dwattr $C$DW$T$77, DW_AT_decl_line(0x4e) + 734 .dwattr $C$DW$T$77, DW_AT_decl_column(0x13) + 735 + 736 $C$DW$T$78 .dwtag DW_TAG_typedef + 737 .dwattr $C$DW$T$78, DW_AT_name("__lwpid_t") + 738 .dwattr $C$DW$T$78, DW_AT_type(*$C$DW$T$71) + 739 .dwattr $C$DW$T$78, DW_AT_language(DW_LANG_C) + 740 .dwattr $C$DW$T$78, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 741 .dwattr $C$DW$T$78, DW_AT_decl_line(0x39) + 742 .dwattr $C$DW$T$78, DW_AT_decl_column(0x13) + 743 + 744 $C$DW$T$79 .dwtag DW_TAG_typedef + 745 .dwattr $C$DW$T$79, DW_AT_name("__pid_t") + 746 .dwattr $C$DW$T$79, DW_AT_type(*$C$DW$T$71) + 747 .dwattr $C$DW$T$79, DW_AT_language(DW_LANG_C) + 748 .dwattr $C$DW$T$79, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 749 .dwattr $C$DW$T$79, DW_AT_decl_line(0x40) + 750 .dwattr $C$DW$T$79, DW_AT_decl_column(0x13) + 751 + 752 $C$DW$T$80 .dwtag DW_TAG_typedef + 753 .dwattr $C$DW$T$80, DW_AT_name("__segsz_t") + 754 .dwattr $C$DW$T$80, DW_AT_type(*$C$DW$T$71) + 755 .dwattr $C$DW$T$80, DW_AT_language(DW_LANG_C) + 756 .dwattr $C$DW$T$80, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 757 .dwattr $C$DW$T$80, DW_AT_decl_line(0x60) + 758 .dwattr $C$DW$T$80, DW_AT_decl_column(0x13) + 759 + 760 $C$DW$T$81 .dwtag DW_TAG_typedef + 761 .dwattr $C$DW$T$81, DW_AT_name("__ssize_t") + 762 .dwattr $C$DW$T$81, DW_AT_type(*$C$DW$T$71) + 763 .dwattr $C$DW$T$81, DW_AT_language(DW_LANG_C) + 764 .dwattr $C$DW$T$81, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 765 .dwattr $C$DW$T$81, DW_AT_decl_line(0x62) + 766 .dwattr $C$DW$T$81, DW_AT_decl_column(0x13) + 767 + 768 $C$DW$T$82 .dwtag DW_TAG_typedef + 769 .dwattr $C$DW$T$82, DW_AT_name("__key_t") + 770 .dwattr $C$DW$T$82, DW_AT_type(*$C$DW$T$12) + 771 .dwattr $C$DW$T$82, DW_AT_language(DW_LANG_C) + 772 .dwattr $C$DW$T$82, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 773 .dwattr $C$DW$T$82, DW_AT_decl_line(0x38) + 774 .dwattr $C$DW$T$82, DW_AT_decl_column(0x0f) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 16 + + 775 + 776 $C$DW$T$83 .dwtag DW_TAG_typedef + 777 .dwattr $C$DW$T$83, DW_AT_name("__suseconds_t") + 778 .dwattr $C$DW$T$83, DW_AT_type(*$C$DW$T$12) + 779 .dwattr $C$DW$T$83, DW_AT_language(DW_LANG_C) + 780 .dwattr $C$DW$T$83, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 781 .dwattr $C$DW$T$83, DW_AT_decl_line(0x4a) + 782 .dwattr $C$DW$T$83, DW_AT_decl_column(0x0f) + 783 + 784 $C$DW$T$84 .dwtag DW_TAG_typedef + 785 .dwattr $C$DW$T$84, DW_AT_name("_off_t") + 786 .dwattr $C$DW$T$84, DW_AT_type(*$C$DW$T$12) + 787 .dwattr $C$DW$T$84, DW_AT_language(DW_LANG_C) + 788 .dwattr $C$DW$T$84, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 789 .dwattr $C$DW$T$84, DW_AT_decl_line(0x8d) + 790 .dwattr $C$DW$T$84, DW_AT_decl_column(0x12) + 791 + 792 $C$DW$T$85 .dwtag DW_TAG_typedef + 793 .dwattr $C$DW$T$85, DW_AT_name("__off_t") + 794 .dwattr $C$DW$T$85, DW_AT_type(*$C$DW$T$84) + 795 .dwattr $C$DW$T$85, DW_AT_language(DW_LANG_C) + 796 .dwattr $C$DW$T$85, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 797 .dwattr $C$DW$T$85, DW_AT_decl_line(0x3e) + 798 .dwattr $C$DW$T$85, DW_AT_decl_column(0x18) + 799 + 800 $C$DW$T$86 .dwtag DW_TAG_typedef + 801 .dwattr $C$DW$T$86, DW_AT_name("fpos_t") + 802 .dwattr $C$DW$T$86, DW_AT_type(*$C$DW$T$12) + 803 .dwattr $C$DW$T$86, DW_AT_language(DW_LANG_C) + 804 .dwattr $C$DW$T$86, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 805 .dwattr $C$DW$T$86, DW_AT_decl_line(0x6b) + 806 .dwattr $C$DW$T$86, DW_AT_decl_column(0x0e) + 807 + 808 $C$DW$T$13 .dwtag DW_TAG_base_type + 809 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 810 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 811 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 812 + 813 $C$DW$T$87 .dwtag DW_TAG_typedef + 814 .dwattr $C$DW$T$87, DW_AT_name("__uint32_t") + 815 .dwattr $C$DW$T$87, DW_AT_type(*$C$DW$T$13) + 816 .dwattr $C$DW$T$87, DW_AT_language(DW_LANG_C) + 817 .dwattr $C$DW$T$87, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 818 .dwattr $C$DW$T$87, DW_AT_decl_line(0x3b) + 819 .dwattr $C$DW$T$87, DW_AT_decl_column(0x18) + 820 + 821 $C$DW$T$88 .dwtag DW_TAG_typedef + 822 .dwattr $C$DW$T$88, DW_AT_name("__clock_t") + 823 .dwattr $C$DW$T$88, DW_AT_type(*$C$DW$T$87) + 824 .dwattr $C$DW$T$88, DW_AT_language(DW_LANG_C) + 825 .dwattr $C$DW$T$88, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 826 .dwattr $C$DW$T$88, DW_AT_decl_line(0x4a) + 827 .dwattr $C$DW$T$88, DW_AT_decl_column(0x14) + 828 + 829 $C$DW$T$89 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 17 + + 830 .dwattr $C$DW$T$89, DW_AT_name("__fflags_t") + 831 .dwattr $C$DW$T$89, DW_AT_type(*$C$DW$T$87) + 832 .dwattr $C$DW$T$89, DW_AT_language(DW_LANG_C) + 833 .dwattr $C$DW$T$89, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 834 .dwattr $C$DW$T$89, DW_AT_decl_line(0x32) + 835 .dwattr $C$DW$T$89, DW_AT_decl_column(0x14) + 836 + 837 $C$DW$T$90 .dwtag DW_TAG_typedef + 838 .dwattr $C$DW$T$90, DW_AT_name("__fixpt_t") + 839 .dwattr $C$DW$T$90, DW_AT_type(*$C$DW$T$87) + 840 .dwattr $C$DW$T$90, DW_AT_language(DW_LANG_C) + 841 .dwattr $C$DW$T$90, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 842 .dwattr $C$DW$T$90, DW_AT_decl_line(0x81) + 843 .dwattr $C$DW$T$90, DW_AT_decl_column(0x14) + 844 + 845 $C$DW$T$91 .dwtag DW_TAG_typedef + 846 .dwattr $C$DW$T$91, DW_AT_name("__gid_t") + 847 .dwattr $C$DW$T$91, DW_AT_type(*$C$DW$T$87) + 848 .dwattr $C$DW$T$91, DW_AT_language(DW_LANG_C) + 849 .dwattr $C$DW$T$91, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 850 .dwattr $C$DW$T$91, DW_AT_decl_line(0x35) + 851 .dwattr $C$DW$T$91, DW_AT_decl_column(0x14) + 852 + 853 $C$DW$T$92 .dwtag DW_TAG_typedef + 854 .dwattr $C$DW$T$92, DW_AT_name("__socklen_t") + 855 .dwattr $C$DW$T$92, DW_AT_type(*$C$DW$T$87) + 856 .dwattr $C$DW$T$92, DW_AT_language(DW_LANG_C) + 857 .dwattr $C$DW$T$92, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 858 .dwattr $C$DW$T$92, DW_AT_decl_line(0x49) + 859 .dwattr $C$DW$T$92, DW_AT_decl_column(0x14) + 860 + 861 $C$DW$T$93 .dwtag DW_TAG_typedef + 862 .dwattr $C$DW$T$93, DW_AT_name("__time_t") + 863 .dwattr $C$DW$T$93, DW_AT_type(*$C$DW$T$87) + 864 .dwattr $C$DW$T$93, DW_AT_language(DW_LANG_C) + 865 .dwattr $C$DW$T$93, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 866 .dwattr $C$DW$T$93, DW_AT_decl_line(0x66) + 867 .dwattr $C$DW$T$93, DW_AT_decl_column(0x19) + 868 + 869 $C$DW$T$94 .dwtag DW_TAG_typedef + 870 .dwattr $C$DW$T$94, DW_AT_name("__uid_t") + 871 .dwattr $C$DW$T$94, DW_AT_type(*$C$DW$T$87) + 872 .dwattr $C$DW$T$94, DW_AT_language(DW_LANG_C) + 873 .dwattr $C$DW$T$94, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 874 .dwattr $C$DW$T$94, DW_AT_decl_line(0x4d) + 875 .dwattr $C$DW$T$94, DW_AT_decl_column(0x14) + 876 + 877 $C$DW$T$95 .dwtag DW_TAG_typedef + 878 .dwattr $C$DW$T$95, DW_AT_name("__uint_fast32_t") + 879 .dwattr $C$DW$T$95, DW_AT_type(*$C$DW$T$87) + 880 .dwattr $C$DW$T$95, DW_AT_language(DW_LANG_C) + 881 .dwattr $C$DW$T$95, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 882 .dwattr $C$DW$T$95, DW_AT_decl_line(0x72) + 883 .dwattr $C$DW$T$95, DW_AT_decl_column(0x14) + 884 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 18 + + 885 $C$DW$T$96 .dwtag DW_TAG_typedef + 886 .dwattr $C$DW$T$96, DW_AT_name("__uint_least32_t") + 887 .dwattr $C$DW$T$96, DW_AT_type(*$C$DW$T$87) + 888 .dwattr $C$DW$T$96, DW_AT_language(DW_LANG_C) + 889 .dwattr $C$DW$T$96, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 890 .dwattr $C$DW$T$96, DW_AT_decl_line(0x76) + 891 .dwattr $C$DW$T$96, DW_AT_decl_column(0x14) + 892 + 893 $C$DW$T$97 .dwtag DW_TAG_typedef + 894 .dwattr $C$DW$T$97, DW_AT_name("__char32_t") + 895 .dwattr $C$DW$T$97, DW_AT_type(*$C$DW$T$96) + 896 .dwattr $C$DW$T$97, DW_AT_language(DW_LANG_C) + 897 .dwattr $C$DW$T$97, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 898 .dwattr $C$DW$T$97, DW_AT_decl_line(0x72) + 899 .dwattr $C$DW$T$97, DW_AT_decl_column(0x1a) + 900 + 901 $C$DW$T$98 .dwtag DW_TAG_typedef + 902 .dwattr $C$DW$T$98, DW_AT_name("__uintfptr_t") + 903 .dwattr $C$DW$T$98, DW_AT_type(*$C$DW$T$87) + 904 .dwattr $C$DW$T$98, DW_AT_language(DW_LANG_C) + 905 .dwattr $C$DW$T$98, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 906 .dwattr $C$DW$T$98, DW_AT_decl_line(0x68) + 907 .dwattr $C$DW$T$98, DW_AT_decl_column(0x14) + 908 + 909 $C$DW$T$99 .dwtag DW_TAG_typedef + 910 .dwattr $C$DW$T$99, DW_AT_name("__vm_offset_t") + 911 .dwattr $C$DW$T$99, DW_AT_type(*$C$DW$T$87) + 912 .dwattr $C$DW$T$99, DW_AT_language(DW_LANG_C) + 913 .dwattr $C$DW$T$99, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 914 .dwattr $C$DW$T$99, DW_AT_decl_line(0x79) + 915 .dwattr $C$DW$T$99, DW_AT_decl_column(0x14) + 916 + 917 $C$DW$T$100 .dwtag DW_TAG_typedef + 918 .dwattr $C$DW$T$100, DW_AT_name("__vm_paddr_t") + 919 .dwattr $C$DW$T$100, DW_AT_type(*$C$DW$T$87) + 920 .dwattr $C$DW$T$100, DW_AT_language(DW_LANG_C) + 921 .dwattr $C$DW$T$100, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 922 .dwattr $C$DW$T$100, DW_AT_decl_line(0x7a) + 923 .dwattr $C$DW$T$100, DW_AT_decl_column(0x14) + 924 + 925 $C$DW$T$101 .dwtag DW_TAG_typedef + 926 .dwattr $C$DW$T$101, DW_AT_name("__vm_size_t") + 927 .dwattr $C$DW$T$101, DW_AT_type(*$C$DW$T$87) + 928 .dwattr $C$DW$T$101, DW_AT_language(DW_LANG_C) + 929 .dwattr $C$DW$T$101, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 930 .dwattr $C$DW$T$101, DW_AT_decl_line(0x7b) + 931 .dwattr $C$DW$T$101, DW_AT_decl_column(0x14) + 932 + 933 $C$DW$T$14 .dwtag DW_TAG_base_type + 934 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 935 .dwattr $C$DW$T$14, DW_AT_name("long long") + 936 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 937 + 938 $C$DW$T$102 .dwtag DW_TAG_typedef + 939 .dwattr $C$DW$T$102, DW_AT_name("__int64_t") + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 19 + + 940 .dwattr $C$DW$T$102, DW_AT_type(*$C$DW$T$14) + 941 .dwattr $C$DW$T$102, DW_AT_language(DW_LANG_C) + 942 .dwattr $C$DW$T$102, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 943 .dwattr $C$DW$T$102, DW_AT_decl_line(0x40) + 944 .dwattr $C$DW$T$102, DW_AT_decl_column(0x14) + 945 + 946 $C$DW$T$103 .dwtag DW_TAG_typedef + 947 .dwattr $C$DW$T$103, DW_AT_name("__blkcnt_t") + 948 .dwattr $C$DW$T$103, DW_AT_type(*$C$DW$T$102) + 949 .dwattr $C$DW$T$103, DW_AT_language(DW_LANG_C) + 950 .dwattr $C$DW$T$103, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 951 .dwattr $C$DW$T$103, DW_AT_decl_line(0x30) + 952 .dwattr $C$DW$T$103, DW_AT_decl_column(0x13) + 953 + 954 $C$DW$T$104 .dwtag DW_TAG_typedef + 955 .dwattr $C$DW$T$104, DW_AT_name("__id_t") + 956 .dwattr $C$DW$T$104, DW_AT_type(*$C$DW$T$102) + 957 .dwattr $C$DW$T$104, DW_AT_language(DW_LANG_C) + 958 .dwattr $C$DW$T$104, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 959 .dwattr $C$DW$T$104, DW_AT_decl_line(0x36) + 960 .dwattr $C$DW$T$104, DW_AT_decl_column(0x13) + 961 + 962 $C$DW$T$105 .dwtag DW_TAG_typedef + 963 .dwattr $C$DW$T$105, DW_AT_name("__int_fast64_t") + 964 .dwattr $C$DW$T$105, DW_AT_type(*$C$DW$T$102) + 965 .dwattr $C$DW$T$105, DW_AT_language(DW_LANG_C) + 966 .dwattr $C$DW$T$105, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 967 .dwattr $C$DW$T$105, DW_AT_decl_line(0x59) + 968 .dwattr $C$DW$T$105, DW_AT_decl_column(0x13) + 969 + 970 $C$DW$T$106 .dwtag DW_TAG_typedef + 971 .dwattr $C$DW$T$106, DW_AT_name("__int_least64_t") + 972 .dwattr $C$DW$T$106, DW_AT_type(*$C$DW$T$102) + 973 .dwattr $C$DW$T$106, DW_AT_language(DW_LANG_C) + 974 .dwattr $C$DW$T$106, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 975 .dwattr $C$DW$T$106, DW_AT_decl_line(0x5d) + 976 .dwattr $C$DW$T$106, DW_AT_decl_column(0x13) + 977 + 978 $C$DW$T$107 .dwtag DW_TAG_typedef + 979 .dwattr $C$DW$T$107, DW_AT_name("__intmax_t") + 980 .dwattr $C$DW$T$107, DW_AT_type(*$C$DW$T$102) + 981 .dwattr $C$DW$T$107, DW_AT_language(DW_LANG_C) + 982 .dwattr $C$DW$T$107, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 983 .dwattr $C$DW$T$107, DW_AT_decl_line(0x4f) + 984 .dwattr $C$DW$T$107, DW_AT_decl_column(0x13) + 985 + 986 $C$DW$T$108 .dwtag DW_TAG_typedef + 987 .dwattr $C$DW$T$108, DW_AT_name("__off64_t") + 988 .dwattr $C$DW$T$108, DW_AT_type(*$C$DW$T$102) + 989 .dwattr $C$DW$T$108, DW_AT_language(DW_LANG_C) + 990 .dwattr $C$DW$T$108, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 991 .dwattr $C$DW$T$108, DW_AT_decl_line(0x3f) + 992 .dwattr $C$DW$T$108, DW_AT_decl_column(0x13) + 993 + 994 $C$DW$T$109 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 20 + + 995 .dwattr $C$DW$T$109, DW_AT_name("__rlim_t") + 996 .dwattr $C$DW$T$109, DW_AT_type(*$C$DW$T$102) + 997 .dwattr $C$DW$T$109, DW_AT_language(DW_LANG_C) + 998 .dwattr $C$DW$T$109, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 999 .dwattr $C$DW$T$109, DW_AT_decl_line(0x41) + 1000 .dwattr $C$DW$T$109, DW_AT_decl_column(0x13) + 1001 + 1002 $C$DW$T$15 .dwtag DW_TAG_base_type + 1003 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 1004 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 1005 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 1006 + 1007 $C$DW$T$110 .dwtag DW_TAG_typedef + 1008 .dwattr $C$DW$T$110, DW_AT_name("__uint64_t") + 1009 .dwattr $C$DW$T$110, DW_AT_type(*$C$DW$T$15) + 1010 .dwattr $C$DW$T$110, DW_AT_language(DW_LANG_C) + 1011 .dwattr $C$DW$T$110, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1012 .dwattr $C$DW$T$110, DW_AT_decl_line(0x45) + 1013 .dwattr $C$DW$T$110, DW_AT_decl_column(0x1c) + 1014 + 1015 $C$DW$T$111 .dwtag DW_TAG_typedef + 1016 .dwattr $C$DW$T$111, DW_AT_name("__dev_t") + 1017 .dwattr $C$DW$T$111, DW_AT_type(*$C$DW$T$110) + 1018 .dwattr $C$DW$T$111, DW_AT_language(DW_LANG_C) + 1019 .dwattr $C$DW$T$111, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1020 .dwattr $C$DW$T$111, DW_AT_decl_line(0x7f) + 1021 .dwattr $C$DW$T$111, DW_AT_decl_column(0x14) + 1022 + 1023 $C$DW$T$112 .dwtag DW_TAG_typedef + 1024 .dwattr $C$DW$T$112, DW_AT_name("__fsblkcnt_t") + 1025 .dwattr $C$DW$T$112, DW_AT_type(*$C$DW$T$110) + 1026 .dwattr $C$DW$T$112, DW_AT_language(DW_LANG_C) + 1027 .dwattr $C$DW$T$112, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1028 .dwattr $C$DW$T$112, DW_AT_decl_line(0x33) + 1029 .dwattr $C$DW$T$112, DW_AT_decl_column(0x14) + 1030 + 1031 $C$DW$T$113 .dwtag DW_TAG_typedef + 1032 .dwattr $C$DW$T$113, DW_AT_name("__fsfilcnt_t") + 1033 .dwattr $C$DW$T$113, DW_AT_type(*$C$DW$T$110) + 1034 .dwattr $C$DW$T$113, DW_AT_language(DW_LANG_C) + 1035 .dwattr $C$DW$T$113, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1036 .dwattr $C$DW$T$113, DW_AT_decl_line(0x34) + 1037 .dwattr $C$DW$T$113, DW_AT_decl_column(0x14) + 1038 + 1039 $C$DW$T$114 .dwtag DW_TAG_typedef + 1040 .dwattr $C$DW$T$114, DW_AT_name("__ino_t") + 1041 .dwattr $C$DW$T$114, DW_AT_type(*$C$DW$T$110) + 1042 .dwattr $C$DW$T$114, DW_AT_language(DW_LANG_C) + 1043 .dwattr $C$DW$T$114, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1044 .dwattr $C$DW$T$114, DW_AT_decl_line(0x37) + 1045 .dwattr $C$DW$T$114, DW_AT_decl_column(0x14) + 1046 + 1047 $C$DW$T$115 .dwtag DW_TAG_typedef + 1048 .dwattr $C$DW$T$115, DW_AT_name("__nlink_t") + 1049 .dwattr $C$DW$T$115, DW_AT_type(*$C$DW$T$110) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 21 + + 1050 .dwattr $C$DW$T$115, DW_AT_language(DW_LANG_C) + 1051 .dwattr $C$DW$T$115, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1052 .dwattr $C$DW$T$115, DW_AT_decl_line(0x3d) + 1053 .dwattr $C$DW$T$115, DW_AT_decl_column(0x14) + 1054 + 1055 $C$DW$T$116 .dwtag DW_TAG_typedef + 1056 .dwattr $C$DW$T$116, DW_AT_name("__uint_fast64_t") + 1057 .dwattr $C$DW$T$116, DW_AT_type(*$C$DW$T$110) + 1058 .dwattr $C$DW$T$116, DW_AT_language(DW_LANG_C) + 1059 .dwattr $C$DW$T$116, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1060 .dwattr $C$DW$T$116, DW_AT_decl_line(0x73) + 1061 .dwattr $C$DW$T$116, DW_AT_decl_column(0x14) + 1062 + 1063 $C$DW$T$117 .dwtag DW_TAG_typedef + 1064 .dwattr $C$DW$T$117, DW_AT_name("__uint_least64_t") + 1065 .dwattr $C$DW$T$117, DW_AT_type(*$C$DW$T$110) + 1066 .dwattr $C$DW$T$117, DW_AT_language(DW_LANG_C) + 1067 .dwattr $C$DW$T$117, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1068 .dwattr $C$DW$T$117, DW_AT_decl_line(0x77) + 1069 .dwattr $C$DW$T$117, DW_AT_decl_column(0x14) + 1070 + 1071 $C$DW$T$118 .dwtag DW_TAG_typedef + 1072 .dwattr $C$DW$T$118, DW_AT_name("__uintmax_t") + 1073 .dwattr $C$DW$T$118, DW_AT_type(*$C$DW$T$110) + 1074 .dwattr $C$DW$T$118, DW_AT_language(DW_LANG_C) + 1075 .dwattr $C$DW$T$118, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1076 .dwattr $C$DW$T$118, DW_AT_decl_line(0x69) + 1077 .dwattr $C$DW$T$118, DW_AT_decl_column(0x14) + 1078 + 1079 $C$DW$T$119 .dwtag DW_TAG_typedef + 1080 .dwattr $C$DW$T$119, DW_AT_name("__rman_res_t") + 1081 .dwattr $C$DW$T$119, DW_AT_type(*$C$DW$T$118) + 1082 .dwattr $C$DW$T$119, DW_AT_language(DW_LANG_C) + 1083 .dwattr $C$DW$T$119, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1084 .dwattr $C$DW$T$119, DW_AT_decl_line(0x9a) + 1085 .dwattr $C$DW$T$119, DW_AT_decl_column(0x19) + 1086 + 1087 $C$DW$T$16 .dwtag DW_TAG_base_type + 1088 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 1089 .dwattr $C$DW$T$16, DW_AT_name("float") + 1090 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 1091 + 1092 $C$DW$T$120 .dwtag DW_TAG_typedef + 1093 .dwattr $C$DW$T$120, DW_AT_name("__float_t") + 1094 .dwattr $C$DW$T$120, DW_AT_type(*$C$DW$T$16) + 1095 .dwattr $C$DW$T$120, DW_AT_language(DW_LANG_C) + 1096 .dwattr $C$DW$T$120, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1097 .dwattr $C$DW$T$120, DW_AT_decl_line(0x4d) + 1098 .dwattr $C$DW$T$120, DW_AT_decl_column(0x10) + 1099 + 1100 $C$DW$T$17 .dwtag DW_TAG_base_type + 1101 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 1102 .dwattr $C$DW$T$17, DW_AT_name("double") + 1103 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 1104 + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 22 + + 1105 $C$DW$T$121 .dwtag DW_TAG_typedef + 1106 .dwattr $C$DW$T$121, DW_AT_name("__double_t") + 1107 .dwattr $C$DW$T$121, DW_AT_type(*$C$DW$T$17) + 1108 .dwattr $C$DW$T$121, DW_AT_language(DW_LANG_C) + 1109 .dwattr $C$DW$T$121, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1110 .dwattr $C$DW$T$121, DW_AT_decl_line(0x4c) + 1111 .dwattr $C$DW$T$121, DW_AT_decl_column(0x11) + 1112 + 1113 $C$DW$T$18 .dwtag DW_TAG_base_type + 1114 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 1115 .dwattr $C$DW$T$18, DW_AT_name("long double") + 1116 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 1117 + 1118 $C$DW$T$37 .dwtag DW_TAG_const_type + 1119 .dwattr $C$DW$T$37, DW_AT_type(*$C$DW$T$6) + 1120 + 1121 $C$DW$T$38 .dwtag DW_TAG_pointer_type + 1122 .dwattr $C$DW$T$38, DW_AT_type(*$C$DW$T$37) + 1123 .dwattr $C$DW$T$38, DW_AT_address_class(0x10) + 1124 + 1125 $C$DW$T$39 .dwtag DW_TAG_restrict_type + 1126 .dwattr $C$DW$T$39, DW_AT_type(*$C$DW$T$38) + 1127 + 1128 $C$DW$T$122 .dwtag DW_TAG_pointer_type + 1129 .dwattr $C$DW$T$122, DW_AT_type(*$C$DW$T$6) + 1130 .dwattr $C$DW$T$122, DW_AT_address_class(0x10) + 1131 + 1132 $C$DW$T$123 .dwtag DW_TAG_typedef + 1133 .dwattr $C$DW$T$123, DW_AT_name("__va_list") + 1134 .dwattr $C$DW$T$123, DW_AT_type(*$C$DW$T$122) + 1135 .dwattr $C$DW$T$123, DW_AT_language(DW_LANG_C) + 1136 .dwattr $C$DW$T$123, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1137 .dwattr $C$DW$T$123, DW_AT_decl_line(0x92) + 1138 .dwattr $C$DW$T$123, DW_AT_decl_column(0x0f) + 1139 + 1140 $C$DW$T$124 .dwtag DW_TAG_typedef + 1141 .dwattr $C$DW$T$124, DW_AT_name("va_list") + 1142 .dwattr $C$DW$T$124, DW_AT_type(*$C$DW$T$123) + 1143 .dwattr $C$DW$T$124, DW_AT_language(DW_LANG_C) + 1144 .dwattr $C$DW$T$124, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1145 .dwattr $C$DW$T$124, DW_AT_decl_line(0x33) + 1146 .dwattr $C$DW$T$124, DW_AT_decl_column(0x13) + 1147 + 1148 + 1149 $C$DW$T$19 .dwtag DW_TAG_structure_type + 1150 .dwattr $C$DW$T$19, DW_AT_name("__mq") + 1151 .dwattr $C$DW$T$19, DW_AT_declaration + 1152 .dwattr $C$DW$T$19, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1153 .dwattr $C$DW$T$19, DW_AT_decl_line(0x4c) + 1154 .dwattr $C$DW$T$19, DW_AT_decl_column(0x10) + 1155 .dwendtag $C$DW$T$19 + 1156 + 1157 $C$DW$T$125 .dwtag DW_TAG_pointer_type + 1158 .dwattr $C$DW$T$125, DW_AT_type(*$C$DW$T$19) + 1159 .dwattr $C$DW$T$125, DW_AT_address_class(0x10) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 23 + + 1160 + 1161 $C$DW$T$126 .dwtag DW_TAG_typedef + 1162 .dwattr $C$DW$T$126, DW_AT_name("__mqd_t") + 1163 .dwattr $C$DW$T$126, DW_AT_type(*$C$DW$T$125) + 1164 .dwattr $C$DW$T$126, DW_AT_language(DW_LANG_C) + 1165 .dwattr $C$DW$T$126, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1166 .dwattr $C$DW$T$126, DW_AT_decl_line(0x4c) + 1167 .dwattr $C$DW$T$126, DW_AT_decl_column(0x16) + 1168 + 1169 + 1170 $C$DW$T$23 .dwtag DW_TAG_structure_type + 1171 .dwattr $C$DW$T$23, DW_AT_name("__sFILE") + 1172 .dwattr $C$DW$T$23, DW_AT_byte_size(0x0c) + 1173 $C$DW$26 .dwtag DW_TAG_member + 1174 .dwattr $C$DW$26, DW_AT_type(*$C$DW$T$10) + 1175 .dwattr $C$DW$26, DW_AT_name("fd") + 1176 .dwattr $C$DW$26, DW_AT_TI_symbol_name("fd") + 1177 .dwattr $C$DW$26, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 1178 .dwattr $C$DW$26, DW_AT_accessibility(DW_ACCESS_public) + 1179 .dwattr $C$DW$26, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1180 .dwattr $C$DW$26, DW_AT_decl_line(0x52) + 1181 .dwattr $C$DW$26, DW_AT_decl_column(0x0b) + 1182 + 1183 $C$DW$27 .dwtag DW_TAG_member + 1184 .dwattr $C$DW$27, DW_AT_type(*$C$DW$T$22) + 1185 .dwattr $C$DW$27, DW_AT_name("buf") + 1186 .dwattr $C$DW$27, DW_AT_TI_symbol_name("buf") + 1187 .dwattr $C$DW$27, DW_AT_data_member_location[DW_OP_plus_uconst 0x2] + 1188 .dwattr $C$DW$27, DW_AT_accessibility(DW_ACCESS_public) + 1189 .dwattr $C$DW$27, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1190 .dwattr $C$DW$27, DW_AT_decl_line(0x53) + 1191 .dwattr $C$DW$27, DW_AT_decl_column(0x16) + 1192 + 1193 $C$DW$28 .dwtag DW_TAG_member + 1194 .dwattr $C$DW$28, DW_AT_type(*$C$DW$T$22) + 1195 .dwattr $C$DW$28, DW_AT_name("pos") + 1196 .dwattr $C$DW$28, DW_AT_TI_symbol_name("pos") + 1197 .dwattr $C$DW$28, DW_AT_data_member_location[DW_OP_plus_uconst 0x4] + 1198 .dwattr $C$DW$28, DW_AT_accessibility(DW_ACCESS_public) + 1199 .dwattr $C$DW$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1200 .dwattr $C$DW$28, DW_AT_decl_line(0x54) + 1201 .dwattr $C$DW$28, DW_AT_decl_column(0x16) + 1202 + 1203 $C$DW$29 .dwtag DW_TAG_member + 1204 .dwattr $C$DW$29, DW_AT_type(*$C$DW$T$22) + 1205 .dwattr $C$DW$29, DW_AT_name("bufend") + 1206 .dwattr $C$DW$29, DW_AT_TI_symbol_name("bufend") + 1207 .dwattr $C$DW$29, DW_AT_data_member_location[DW_OP_plus_uconst 0x6] + 1208 .dwattr $C$DW$29, DW_AT_accessibility(DW_ACCESS_public) + 1209 .dwattr $C$DW$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1210 .dwattr $C$DW$29, DW_AT_decl_line(0x55) + 1211 .dwattr $C$DW$29, DW_AT_decl_column(0x16) + 1212 + 1213 $C$DW$30 .dwtag DW_TAG_member + 1214 .dwattr $C$DW$30, DW_AT_type(*$C$DW$T$22) + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 24 + + 1215 .dwattr $C$DW$30, DW_AT_name("buff_stop") + 1216 .dwattr $C$DW$30, DW_AT_TI_symbol_name("buff_stop") + 1217 .dwattr $C$DW$30, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 1218 .dwattr $C$DW$30, DW_AT_accessibility(DW_ACCESS_public) + 1219 .dwattr $C$DW$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1220 .dwattr $C$DW$30, DW_AT_decl_line(0x56) + 1221 .dwattr $C$DW$30, DW_AT_decl_column(0x16) + 1222 + 1223 $C$DW$31 .dwtag DW_TAG_member + 1224 .dwattr $C$DW$31, DW_AT_type(*$C$DW$T$11) + 1225 .dwattr $C$DW$31, DW_AT_name("flags") + 1226 .dwattr $C$DW$31, DW_AT_TI_symbol_name("flags") + 1227 .dwattr $C$DW$31, DW_AT_data_member_location[DW_OP_plus_uconst 0xa] + 1228 .dwattr $C$DW$31, DW_AT_accessibility(DW_ACCESS_public) + 1229 .dwattr $C$DW$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1230 .dwattr $C$DW$31, DW_AT_decl_line(0x57) + 1231 .dwattr $C$DW$31, DW_AT_decl_column(0x16) + 1232 + 1233 .dwattr $C$DW$T$23, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1234 .dwattr $C$DW$T$23, DW_AT_decl_line(0x51) + 1235 .dwattr $C$DW$T$23, DW_AT_decl_column(0x08) + 1236 .dwendtag $C$DW$T$23 + 1237 + 1238 $C$DW$T$127 .dwtag DW_TAG_typedef + 1239 .dwattr $C$DW$T$127, DW_AT_name("FILE") + 1240 .dwattr $C$DW$T$127, DW_AT_type(*$C$DW$T$23) + 1241 .dwattr $C$DW$T$127, DW_AT_language(DW_LANG_C) + 1242 .dwattr $C$DW$T$127, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1243 .dwattr $C$DW$T$127, DW_AT_decl_line(0x5c) + 1244 .dwattr $C$DW$T$127, DW_AT_decl_column(0x18) + 1245 + 1246 + 1247 $C$DW$T$20 .dwtag DW_TAG_structure_type + 1248 .dwattr $C$DW$T$20, DW_AT_name("__timer") + 1249 .dwattr $C$DW$T$20, DW_AT_declaration + 1250 .dwattr $C$DW$T$20, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1251 .dwattr $C$DW$T$20, DW_AT_decl_line(0x4b) + 1252 .dwattr $C$DW$T$20, DW_AT_decl_column(0x10) + 1253 .dwendtag $C$DW$T$20 + 1254 + 1255 $C$DW$T$128 .dwtag DW_TAG_pointer_type + 1256 .dwattr $C$DW$T$128, DW_AT_type(*$C$DW$T$20) + 1257 .dwattr $C$DW$T$128, DW_AT_address_class(0x10) + 1258 + 1259 $C$DW$T$129 .dwtag DW_TAG_typedef + 1260 .dwattr $C$DW$T$129, DW_AT_name("__timer_t") + 1261 .dwattr $C$DW$T$129, DW_AT_type(*$C$DW$T$128) + 1262 .dwattr $C$DW$T$129, DW_AT_language(DW_LANG_C) + 1263 .dwattr $C$DW$T$129, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1264 .dwattr $C$DW$T$129, DW_AT_decl_line(0x4b) + 1265 .dwattr $C$DW$T$129, DW_AT_decl_column(0x19) + 1266 + 1267 .dwattr $C$DW$CU, DW_AT_language(DW_LANG_C) + 1268 + 1269 ;*************************************************************** + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 25 + + 1270 ;* DWARF CIE ENTRIES * + 1271 ;*************************************************************** + 1272 + 1273 $C$DW$CIE .dwcie 16 + 1274 .dwcfi cfa_register, 1 + 1275 .dwcfi cfa_offset, 0 + 1276 .dwcfi same_value, 0 + 1277 .dwcfi same_value, 1 + 1278 .dwcfi same_value, 3 + 1279 .dwcfi same_value, 4 + 1280 .dwcfi same_value, 5 + 1281 .dwcfi same_value, 6 + 1282 .dwcfi same_value, 7 + 1283 .dwcfi same_value, 8 + 1284 .dwcfi same_value, 9 + 1285 .dwcfi same_value, 10 + 1286 .dwendentry + 1287 + 1288 ;*************************************************************** + 1289 ;* DWARF REGISTER MAP * + 1290 ;*************************************************************** + 1291 + 1292 $C$DW$32 .dwtag DW_TAG_TI_assign_register + 1293 .dwattr $C$DW$32, DW_AT_name("PC") + 1294 .dwattr $C$DW$32, DW_AT_location[DW_OP_reg0] + 1295 + 1296 $C$DW$33 .dwtag DW_TAG_TI_assign_register + 1297 .dwattr $C$DW$33, DW_AT_name("SP") + 1298 .dwattr $C$DW$33, DW_AT_location[DW_OP_reg1] + 1299 + 1300 $C$DW$34 .dwtag DW_TAG_TI_assign_register + 1301 .dwattr $C$DW$34, DW_AT_name("SR") + 1302 .dwattr $C$DW$34, DW_AT_location[DW_OP_reg2] + 1303 + 1304 $C$DW$35 .dwtag DW_TAG_TI_assign_register + 1305 .dwattr $C$DW$35, DW_AT_name("CG") + 1306 .dwattr $C$DW$35, DW_AT_location[DW_OP_reg3] + 1307 + 1308 $C$DW$36 .dwtag DW_TAG_TI_assign_register + 1309 .dwattr $C$DW$36, DW_AT_name("r4") + 1310 .dwattr $C$DW$36, DW_AT_location[DW_OP_reg4] + 1311 + 1312 $C$DW$37 .dwtag DW_TAG_TI_assign_register + 1313 .dwattr $C$DW$37, DW_AT_name("r5") + 1314 .dwattr $C$DW$37, DW_AT_location[DW_OP_reg5] + 1315 + 1316 $C$DW$38 .dwtag DW_TAG_TI_assign_register + 1317 .dwattr $C$DW$38, DW_AT_name("r6") + 1318 .dwattr $C$DW$38, DW_AT_location[DW_OP_reg6] + 1319 + 1320 $C$DW$39 .dwtag DW_TAG_TI_assign_register + 1321 .dwattr $C$DW$39, DW_AT_name("r7") + 1322 .dwattr $C$DW$39, DW_AT_location[DW_OP_reg7] + 1323 + 1324 $C$DW$40 .dwtag DW_TAG_TI_assign_register + MSP430 Assembler PC v20.2.5 Mon Aug 23 10:52:59 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{A7EDCDCA-598D-4880-9FB3-A8E795AAD2E9} PAGE 26 + + 1325 .dwattr $C$DW$40, DW_AT_name("r8") + 1326 .dwattr $C$DW$40, DW_AT_location[DW_OP_reg8] + 1327 + 1328 $C$DW$41 .dwtag DW_TAG_TI_assign_register + 1329 .dwattr $C$DW$41, DW_AT_name("r9") + 1330 .dwattr $C$DW$41, DW_AT_location[DW_OP_reg9] + 1331 + 1332 $C$DW$42 .dwtag DW_TAG_TI_assign_register + 1333 .dwattr $C$DW$42, DW_AT_name("r10") + 1334 .dwattr $C$DW$42, DW_AT_location[DW_OP_reg10] + 1335 + 1336 $C$DW$43 .dwtag DW_TAG_TI_assign_register + 1337 .dwattr $C$DW$43, DW_AT_name("r11") + 1338 .dwattr $C$DW$43, DW_AT_location[DW_OP_reg11] + 1339 + 1340 $C$DW$44 .dwtag DW_TAG_TI_assign_register + 1341 .dwattr $C$DW$44, DW_AT_name("r12") + 1342 .dwattr $C$DW$44, DW_AT_location[DW_OP_reg12] + 1343 + 1344 $C$DW$45 .dwtag DW_TAG_TI_assign_register + 1345 .dwattr $C$DW$45, DW_AT_name("r13") + 1346 .dwattr $C$DW$45, DW_AT_location[DW_OP_reg13] + 1347 + 1348 $C$DW$46 .dwtag DW_TAG_TI_assign_register + 1349 .dwattr $C$DW$46, DW_AT_name("r14") + 1350 .dwattr $C$DW$46, DW_AT_location[DW_OP_reg14] + 1351 + 1352 $C$DW$47 .dwtag DW_TAG_TI_assign_register + 1353 .dwattr $C$DW$47, DW_AT_name("r15") + 1354 .dwattr $C$DW$47, DW_AT_location[DW_OP_reg15] + 1355 + 1356 $C$DW$48 .dwtag DW_TAG_TI_assign_register + 1357 .dwattr $C$DW$48, DW_AT_name("CIE_RETA") + 1358 .dwattr $C$DW$48, DW_AT_location[DW_OP_reg16] + 1359 + 1360 .dwendtag $C$DW$CU + 1361 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/Lab1_Problem1/Debug/Problem1a.d b/CPE325/Lab1_Problem1/Debug/Problem1a.d new file mode 100644 index 0000000..5c7463e --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/Problem1a.d @@ -0,0 +1,42 @@ +# FIXED + +Problem1a.obj: ../Problem1a.c +Problem1a.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +Problem1a.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h +Problem1a.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h +Problem1a.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h + +../Problem1a.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430f5529.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdio.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/_ti_config.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/linkage.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/stdarg.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/_types.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/sys/cdefs.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/machine/_types.h: + diff --git a/CPE325/Lab1_Problem1/Debug/Problem1a.lst b/CPE325/Lab1_Problem1/Debug/Problem1a.lst new file mode 100644 index 0000000..62de2b3 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/Problem1a.lst @@ -0,0 +1,1539 @@ +MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Fri Aug 27 13:51:57 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../Problem1a.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\Lab1_Problem1\Debug") + 12 + 13 $C$DW$1 .dwtag DW_TAG_subprogram + 14 .dwattr $C$DW$1, DW_AT_name("printf") + 15 .dwattr $C$DW$1, DW_AT_TI_symbol_name("printf") + 16 .dwattr $C$DW$1, DW_AT_type(*$C$DW$T$10) + 17 .dwattr $C$DW$1, DW_AT_declaration + 18 .dwattr $C$DW$1, DW_AT_external + 19 .dwattr $C$DW$1, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/in + 20 .dwattr $C$DW$1, DW_AT_decl_line(0xf6) + 21 .dwattr $C$DW$1, DW_AT_decl_column(0x19) + 22 $C$DW$2 .dwtag DW_TAG_formal_parameter + 23 .dwattr $C$DW$2, DW_AT_type(*$C$DW$T$39) + 24 + 25 $C$DW$3 .dwtag DW_TAG_unspecified_parameters + 26 + 27 .dwendtag $C$DW$1 + 28 + 29 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 30 000000 .sect ".text:main" + 31 .clink + 32 .global main + 33 + 34 $C$DW$4 .dwtag DW_TAG_subprogram + 35 .dwattr $C$DW$4, DW_AT_name("main") + 36 .dwattr $C$DW$4, DW_AT_low_pc(main) + 37 .dwattr $C$DW$4, DW_AT_high_pc(0x00) + 38 .dwattr $C$DW$4, DW_AT_TI_symbol_name("main") + 39 .dwattr $C$DW$4, DW_AT_external + 40 .dwattr $C$DW$4, DW_AT_type(*$C$DW$T$10) + 41 .dwattr $C$DW$4, DW_AT_TI_begin_file("../Problem1a.c") + 42 .dwattr $C$DW$4, DW_AT_TI_begin_line(0x11) + 43 .dwattr $C$DW$4, DW_AT_TI_begin_column(0x05) + 44 .dwattr $C$DW$4, DW_AT_decl_file("../Problem1a.c") + 45 .dwattr $C$DW$4, DW_AT_decl_line(0x11) + 46 .dwattr $C$DW$4, DW_AT_decl_column(0x05) + 47 .dwattr $C$DW$4, DW_AT_TI_max_frame_size(0x14) + 48 .dwpsn file "../Problem1a.c",line 17,column 12,is_stmt,address main,isa 0 + 49 + 50 .dwfde $C$DW$CIE, main + 51 + 52 ;***************************************************************************** + 53 ;* FUNCTION NAME: main * + 54 ;* * + 55 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 2 + + 56 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 57 ;* Local Frame Size : 8 Args + 10 Auto + 0 Save = 18 byte * + 58 ;***************************************************************************** + 59 000000 main: + 60 ;* --------------------------------------------------------------------------* + 61 .dwcfi cfa_offset, 2 + 62 .dwcfi save_reg_to_mem, 16, -2 + 63 000000 8031 SUB.W #18,SP ; [] + 000002 0012 + 64 .dwcfi cfa_offset, 20 + 65 $C$DW$5 .dwtag DW_TAG_variable + 66 .dwattr $C$DW$5, DW_AT_name("first") + 67 .dwattr $C$DW$5, DW_AT_TI_symbol_name("first") + 68 .dwattr $C$DW$5, DW_AT_type(*$C$DW$T$10) + 69 .dwattr $C$DW$5, DW_AT_location[DW_OP_breg1 8] + 70 + 71 $C$DW$6 .dwtag DW_TAG_variable + 72 .dwattr $C$DW$6, DW_AT_name("second") + 73 .dwattr $C$DW$6, DW_AT_TI_symbol_name("second") + 74 .dwattr $C$DW$6, DW_AT_type(*$C$DW$T$10) + 75 .dwattr $C$DW$6, DW_AT_location[DW_OP_breg1 10] + 76 + 77 $C$DW$7 .dwtag DW_TAG_variable + 78 .dwattr $C$DW$7, DW_AT_name("counter") + 79 .dwattr $C$DW$7, DW_AT_TI_symbol_name("counter") + 80 .dwattr $C$DW$7, DW_AT_type(*$C$DW$T$10) + 81 .dwattr $C$DW$7, DW_AT_location[DW_OP_breg1 12] + 82 + 83 $C$DW$8 .dwtag DW_TAG_variable + 84 .dwattr $C$DW$8, DW_AT_name("pr") + 85 .dwattr $C$DW$8, DW_AT_TI_symbol_name("pr") + 86 .dwattr $C$DW$8, DW_AT_type(*$C$DW$T$10) + 87 .dwattr $C$DW$8, DW_AT_location[DW_OP_breg1 14] + 88 + 89 $C$DW$9 .dwtag DW_TAG_variable + 90 .dwattr $C$DW$9, DW_AT_name("product") + 91 .dwattr $C$DW$9, DW_AT_TI_symbol_name("product") + 92 .dwattr $C$DW$9, DW_AT_type(*$C$DW$T$10) + 93 .dwattr $C$DW$9, DW_AT_location[DW_OP_breg1 16] + 94 + 95 .dwpsn file "../Problem1a.c",line 19,column 12,is_stmt,isa 0 + 96 000004 42A1 MOV.W #4,8(SP) ; [] |19| + 000006 0008 + 97 .dwpsn file "../Problem1a.c",line 20,column 13,is_stmt,isa 0 + 98 000008 42B1 MOV.W #8,10(SP) ; [] |20| + 00000a 000A + 99 .dwpsn file "../Problem1a.c",line 21,column 14,is_stmt,isa 0 + 100 00000c 4381 MOV.W #0,12(SP) ; [] |21| + 00000e 000C + 101 .dwpsn file "../Problem1a.c",line 22,column 9,is_stmt,isa 0 + 102 000010 4381 MOV.W #0,14(SP) ; [] |22| + 000012 000E + 103 .dwpsn file "../Problem1a.c",line 23,column 14,is_stmt,isa 0 + 104 000014 4381 MOV.W #0,16(SP) ; [] |23| + 000016 0010 + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 3 + + 105 .dwpsn file "../Problem1a.c",line 25,column 2,is_stmt,isa 0 + 106 000018 411C MOV.W 8(SP),r12 ; [] |25| + 00001a 0008 + 107 00001c 411D MOV.W 10(SP),r13 ; [] |25| + 00001e 000A + 108 000020 411E MOV.W 12(SP),r14 ; [] |25| + 000022 000C + 109 000024 4E0F MOV.W r14,r15 ; [] + 110 $C$DW$10 .dwtag DW_TAG_TI_branch + 111 .dwattr $C$DW$10, DW_AT_low_pc(0x00) + 112 .dwattr $C$DW$10, DW_AT_name("prod") + 113 .dwattr $C$DW$10, DW_AT_TI_call + 114 + 115 000026 12B0 CALL #prod ; [] |25| + 000028 0000! + 116 ; [] |25| + 117 00002a 4C81 MOV.W r12,16(SP) ; [] |25| + 00002c 0010 + 118 .dwpsn file "../Problem1a.c",line 27,column 2,is_stmt,isa 0 + 119 00002e 40B1 MOV.W #$C$SL1+0,0(SP) ; [] |27| + 000030 0000! + 000032 0000 + 120 000034 4191 MOV.W 8(SP),2(SP) ; [] |27| + 000036 0008 + 000038 0002 + 121 00003a 4191 MOV.W 10(SP),4(SP) ; [] |27| + 00003c 000A + 00003e 0004 + 122 000040 4191 MOV.W 16(SP),6(SP) ; [] |27| + 000042 0010 + 000044 0006 + 123 $C$DW$11 .dwtag DW_TAG_TI_branch + 124 .dwattr $C$DW$11, DW_AT_low_pc(0x00) + 125 .dwattr $C$DW$11, DW_AT_name("printf") + 126 .dwattr $C$DW$11, DW_AT_TI_call + 127 + 128 000046 12B0 CALL #printf ; [] |27| + 000048 0000! + 129 ; [] |27| + 130 .dwpsn file "../Problem1a.c",line 28,column 1,is_stmt,isa 0 + 131 00004a 430C MOV.W #0,r12 ; [] |28| + 132 00004c 5031 ADD.W #18,SP ; [] + 00004e 0012 + 133 .dwcfi cfa_offset, 2 + 134 $C$DW$12 .dwtag DW_TAG_TI_branch + 135 .dwattr $C$DW$12, DW_AT_low_pc(0x00) + 136 .dwattr $C$DW$12, DW_AT_TI_return + 137 + 138 000050 4130 RET ; [] + 139 ; [] + 140 .dwattr $C$DW$4, DW_AT_TI_end_file("../Problem1a.c") + 141 .dwattr $C$DW$4, DW_AT_TI_end_line(0x1c) + 142 .dwattr $C$DW$4, DW_AT_TI_end_column(0x01) + 143 .dwendentry + 144 .dwendtag $C$DW$4 + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 4 + + 145 + 146 000000 .sect ".text:prod" + 147 .clink + 148 .global prod + 149 + 150 $C$DW$13 .dwtag DW_TAG_subprogram + 151 .dwattr $C$DW$13, DW_AT_name("prod") + 152 .dwattr $C$DW$13, DW_AT_low_pc(prod) + 153 .dwattr $C$DW$13, DW_AT_high_pc(0x00) + 154 .dwattr $C$DW$13, DW_AT_TI_symbol_name("prod") + 155 .dwattr $C$DW$13, DW_AT_external + 156 .dwattr $C$DW$13, DW_AT_type(*$C$DW$T$10) + 157 .dwattr $C$DW$13, DW_AT_TI_begin_file("../Problem1a.c") + 158 .dwattr $C$DW$13, DW_AT_TI_begin_line(0x1e) + 159 .dwattr $C$DW$13, DW_AT_TI_begin_column(0x05) + 160 .dwattr $C$DW$13, DW_AT_decl_file("../Problem1a.c") + 161 .dwattr $C$DW$13, DW_AT_decl_line(0x1e) + 162 .dwattr $C$DW$13, DW_AT_decl_column(0x05) + 163 .dwattr $C$DW$13, DW_AT_TI_max_frame_size(0x0a) + 164 .dwpsn file "../Problem1a.c",line 30,column 37,is_stmt,address prod,isa 0 + 165 + 166 .dwfde $C$DW$CIE, prod + 167 $C$DW$14 .dwtag DW_TAG_formal_parameter + 168 .dwattr $C$DW$14, DW_AT_name("f") + 169 .dwattr $C$DW$14, DW_AT_TI_symbol_name("f") + 170 .dwattr $C$DW$14, DW_AT_type(*$C$DW$T$10) + 171 .dwattr $C$DW$14, DW_AT_location[DW_OP_reg12] + 172 + 173 $C$DW$15 .dwtag DW_TAG_formal_parameter + 174 .dwattr $C$DW$15, DW_AT_name("s") + 175 .dwattr $C$DW$15, DW_AT_TI_symbol_name("s") + 176 .dwattr $C$DW$15, DW_AT_type(*$C$DW$T$10) + 177 .dwattr $C$DW$15, DW_AT_location[DW_OP_reg13] + 178 + 179 $C$DW$16 .dwtag DW_TAG_formal_parameter + 180 .dwattr $C$DW$16, DW_AT_name("c") + 181 .dwattr $C$DW$16, DW_AT_TI_symbol_name("c") + 182 .dwattr $C$DW$16, DW_AT_type(*$C$DW$T$10) + 183 .dwattr $C$DW$16, DW_AT_location[DW_OP_reg14] + 184 + 185 $C$DW$17 .dwtag DW_TAG_formal_parameter + 186 .dwattr $C$DW$17, DW_AT_name("p") + 187 .dwattr $C$DW$17, DW_AT_TI_symbol_name("p") + 188 .dwattr $C$DW$17, DW_AT_type(*$C$DW$T$10) + 189 .dwattr $C$DW$17, DW_AT_location[DW_OP_reg15] + 190 + 191 + 192 ;***************************************************************************** + 193 ;* FUNCTION NAME: prod * + 194 ;* * + 195 ;* Regs Modified : SP,SR,r11,r12,r13,r14,r15 * + 196 ;* Regs Used : SP,SR,r11,r12,r13,r14,r15 * + 197 ;* Local Frame Size : 0 Args + 8 Auto + 0 Save = 8 byte * + 198 ;***************************************************************************** + 199 000000 prod: + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 5 + + 200 ;* --------------------------------------------------------------------------* + 201 .dwcfi cfa_offset, 2 + 202 .dwcfi save_reg_to_mem, 16, -2 + 203 000000 8231 SUB.W #8,SP ; [] + 204 .dwcfi cfa_offset, 10 + 205 $C$DW$18 .dwtag DW_TAG_variable + 206 .dwattr $C$DW$18, DW_AT_name("f") + 207 .dwattr $C$DW$18, DW_AT_TI_symbol_name("f") + 208 .dwattr $C$DW$18, DW_AT_type(*$C$DW$T$10) + 209 .dwattr $C$DW$18, DW_AT_location[DW_OP_breg1 0] + 210 + 211 $C$DW$19 .dwtag DW_TAG_variable + 212 .dwattr $C$DW$19, DW_AT_name("s") + 213 .dwattr $C$DW$19, DW_AT_TI_symbol_name("s") + 214 .dwattr $C$DW$19, DW_AT_type(*$C$DW$T$10) + 215 .dwattr $C$DW$19, DW_AT_location[DW_OP_breg1 2] + 216 + 217 $C$DW$20 .dwtag DW_TAG_variable + 218 .dwattr $C$DW$20, DW_AT_name("c") + 219 .dwattr $C$DW$20, DW_AT_TI_symbol_name("c") + 220 .dwattr $C$DW$20, DW_AT_type(*$C$DW$T$10) + 221 .dwattr $C$DW$20, DW_AT_location[DW_OP_breg1 4] + 222 + 223 $C$DW$21 .dwtag DW_TAG_variable + 224 .dwattr $C$DW$21, DW_AT_name("p") + 225 .dwattr $C$DW$21, DW_AT_TI_symbol_name("p") + 226 .dwattr $C$DW$21, DW_AT_type(*$C$DW$T$10) + 227 .dwattr $C$DW$21, DW_AT_location[DW_OP_breg1 6] + 228 + 229 000002 4F81 MOV.W r15,6(SP) ; [] |30| + 000004 0006 + 230 000006 4E81 MOV.W r14,4(SP) ; [] |30| + 000008 0004 + 231 00000a 4D81 MOV.W r13,2(SP) ; [] |30| + 00000c 0002 + 232 00000e 4C81 MOV.W r12,0(SP) ; [] |30| + 000010 0000 + 233 .dwpsn file "../Problem1a.c",line 31,column 2,is_stmt,isa 0 + 234 000012 51A1 ADD.W 0(SP),6(SP) ; [] |31| + 000014 0006 + 235 .dwpsn file "../Problem1a.c",line 32,column 2,is_stmt,isa 0 + 236 000016 5391 ADD.W #1,4(SP) ; [] |32| + 000018 0004 + 237 .dwpsn file "../Problem1a.c",line 33,column 2,is_stmt,isa 0 + 238 00001a 9191 CMP.W 2(SP),4(SP) ; [] |33| + 00001c 0002 + 00001e 0004 + 239 000020 2408 JEQ $C$L1 ; [] |33| + 240 ; [] |33| + 241 ;* --------------------------------------------------------------------------* + 242 .dwpsn file "../Problem1a.c",line 33,column 12,is_stmt,isa 0 + 243 000022 411E MOV.W 4(SP),r14 ; [] |33| + 000024 0004 + 244 000026 411F MOV.W 6(SP),r15 ; [] |33| + 000028 0006 + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 6 + + 245 $C$DW$22 .dwtag DW_TAG_TI_branch + 246 .dwattr $C$DW$22, DW_AT_low_pc(0x00) + 247 .dwattr $C$DW$22, DW_AT_name("prod") + 248 .dwattr $C$DW$22, DW_AT_TI_call + 249 + 250 00002a 12B0 CALL #prod ; [] |33| + 00002c 0000! + 251 ; [] |33| + 252 00002e 4C81 MOV.W r12,6(SP) ; [] |33| + 000030 0006 + 253 ;* --------------------------------------------------------------------------* + 254 000032 $C$L1: + 255 .dwpsn file "../Problem1a.c",line 35,column 2,is_stmt,isa 0 + 256 000032 411C MOV.W 6(SP),r12 ; [] |35| + 000034 0006 + 257 .dwpsn file "../Problem1a.c",line 36,column 1,is_stmt,isa 0 + 258 000036 5231 ADD.W #8,SP ; [] + 259 .dwcfi cfa_offset, 2 + 260 $C$DW$23 .dwtag DW_TAG_TI_branch + 261 .dwattr $C$DW$23, DW_AT_low_pc(0x00) + 262 .dwattr $C$DW$23, DW_AT_TI_return + 263 + 264 000038 4130 RET ; [] + 265 ; [] + 266 .dwattr $C$DW$13, DW_AT_TI_end_file("../Problem1a.c") + 267 .dwattr $C$DW$13, DW_AT_TI_end_line(0x24) + 268 .dwattr $C$DW$13, DW_AT_TI_end_column(0x01) + 269 .dwendentry + 270 .dwendtag $C$DW$13 + 271 + 272 ;****************************************************************************** + 273 ;* STRINGS * + 274 ;****************************************************************************** + 275 000000 .sect ".const:.string" + 276 .align 2 + 277 000000 0025 $C$SL1: .string "%d times %d is %d",10,0 + 000001 0064 + 000002 0020 + 000003 0074 + 000004 0069 + 000005 006D + 000006 0065 + 000007 0073 + 000008 0020 + 000009 0025 + 00000a 0064 + 00000b 0020 + 00000c 0069 + 00000d 0073 + 00000e 0020 + 00000f 0025 + 000010 0064 + 000011 000A + 000012 0000 + 278 ;***************************************************************************** + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 7 + + 279 ;* UNDEFINED EXTERNAL REFERENCES * + 280 ;***************************************************************************** + 281 .global printf + 282 + 283 ;****************************************************************************** + 284 ;* BUILD ATTRIBUTES * + 285 ;****************************************************************************** + 286 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 287 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0000000000001111000000000 + 288 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 289 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(2) + 290 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 291 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 292 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 293 + 294 ;****************************************************************************** + 295 ;* TYPE INFORMATION * + 296 ;****************************************************************************** + 297 + 298 $C$DW$T$21 .dwtag DW_TAG_structure_type + 299 .dwattr $C$DW$T$21, DW_AT_byte_size(0x10) + 300 $C$DW$24 .dwtag DW_TAG_member + 301 .dwattr $C$DW$24, DW_AT_type(*$C$DW$T$14) + 302 .dwattr $C$DW$24, DW_AT_name("__max_align1") + 303 .dwattr $C$DW$24, DW_AT_TI_symbol_name("__max_align1") + 304 .dwattr $C$DW$24, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 305 .dwattr $C$DW$24, DW_AT_accessibility(DW_ACCESS_public) + 306 .dwattr $C$DW$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 307 .dwattr $C$DW$24, DW_AT_decl_line(0x7b) + 308 .dwattr $C$DW$24, DW_AT_decl_column(0x0c) + 309 + 310 $C$DW$25 .dwtag DW_TAG_member + 311 .dwattr $C$DW$25, DW_AT_type(*$C$DW$T$18) + 312 .dwattr $C$DW$25, DW_AT_name("__max_align2") + 313 .dwattr $C$DW$25, DW_AT_TI_symbol_name("__max_align2") + 314 .dwattr $C$DW$25, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 315 .dwattr $C$DW$25, DW_AT_accessibility(DW_ACCESS_public) + 316 .dwattr $C$DW$25, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 317 .dwattr $C$DW$25, DW_AT_decl_line(0x7c) + 318 .dwattr $C$DW$25, DW_AT_decl_column(0x0e) + 319 + 320 .dwattr $C$DW$T$21, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 321 .dwattr $C$DW$T$21, DW_AT_decl_line(0x7a) + 322 .dwattr $C$DW$T$21, DW_AT_decl_column(0x10) + 323 .dwendtag $C$DW$T$21 + 324 + 325 $C$DW$T$24 .dwtag DW_TAG_typedef + 326 .dwattr $C$DW$T$24, DW_AT_name("__max_align_t") + 327 .dwattr $C$DW$T$24, DW_AT_type(*$C$DW$T$21) + 328 .dwattr $C$DW$T$24, DW_AT_language(DW_LANG_C) + 329 .dwattr $C$DW$T$24, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 330 .dwattr $C$DW$T$24, DW_AT_decl_line(0x7d) + 331 .dwattr $C$DW$T$24, DW_AT_decl_column(0x03) + 332 + 333 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 8 + + 334 .dwattr $C$DW$T$2, DW_AT_name("void") + 335 + 336 + 337 $C$DW$T$25 .dwtag DW_TAG_subroutine_type + 338 .dwattr $C$DW$T$25, DW_AT_language(DW_LANG_C) + 339 .dwendtag $C$DW$T$25 + 340 + 341 $C$DW$T$26 .dwtag DW_TAG_pointer_type + 342 .dwattr $C$DW$T$26, DW_AT_type(*$C$DW$T$25) + 343 .dwattr $C$DW$T$26, DW_AT_address_class(0x10) + 344 + 345 $C$DW$T$27 .dwtag DW_TAG_typedef + 346 .dwattr $C$DW$T$27, DW_AT_name("__SFR_FARPTR") + 347 .dwattr $C$DW$T$27, DW_AT_type(*$C$DW$T$26) + 348 .dwattr $C$DW$T$27, DW_AT_language(DW_LANG_C) + 349 .dwattr $C$DW$T$27, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430f5529.h") + 350 .dwattr $C$DW$T$27, DW_AT_decl_line(0x4a) + 351 .dwattr $C$DW$T$27, DW_AT_decl_column(0x11) + 352 + 353 $C$DW$T$4 .dwtag DW_TAG_base_type + 354 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 355 .dwattr $C$DW$T$4, DW_AT_name("bool") + 356 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + 357 + 358 $C$DW$T$5 .dwtag DW_TAG_base_type + 359 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 360 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 361 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 362 + 363 $C$DW$T$28 .dwtag DW_TAG_typedef + 364 .dwattr $C$DW$T$28, DW_AT_name("__int8_t") + 365 .dwattr $C$DW$T$28, DW_AT_type(*$C$DW$T$5) + 366 .dwattr $C$DW$T$28, DW_AT_language(DW_LANG_C) + 367 .dwattr $C$DW$T$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 368 .dwattr $C$DW$T$28, DW_AT_decl_line(0x36) + 369 .dwattr $C$DW$T$28, DW_AT_decl_column(0x16) + 370 + 371 $C$DW$T$29 .dwtag DW_TAG_typedef + 372 .dwattr $C$DW$T$29, DW_AT_name("__int_least8_t") + 373 .dwattr $C$DW$T$29, DW_AT_type(*$C$DW$T$28) + 374 .dwattr $C$DW$T$29, DW_AT_language(DW_LANG_C) + 375 .dwattr $C$DW$T$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 376 .dwattr $C$DW$T$29, DW_AT_decl_line(0x5a) + 377 .dwattr $C$DW$T$29, DW_AT_decl_column(0x12) + 378 + 379 $C$DW$T$6 .dwtag DW_TAG_base_type + 380 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 381 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 382 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 383 + 384 $C$DW$T$22 .dwtag DW_TAG_pointer_type + 385 .dwattr $C$DW$T$22, DW_AT_type(*$C$DW$T$6) + 386 .dwattr $C$DW$T$22, DW_AT_address_class(0x10) + 387 + 388 $C$DW$T$30 .dwtag DW_TAG_typedef + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 9 + + 389 .dwattr $C$DW$T$30, DW_AT_name("__uint8_t") + 390 .dwattr $C$DW$T$30, DW_AT_type(*$C$DW$T$6) + 391 .dwattr $C$DW$T$30, DW_AT_language(DW_LANG_C) + 392 .dwattr $C$DW$T$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 393 .dwattr $C$DW$T$30, DW_AT_decl_line(0x37) + 394 .dwattr $C$DW$T$30, DW_AT_decl_column(0x18) + 395 + 396 $C$DW$T$31 .dwtag DW_TAG_typedef + 397 .dwattr $C$DW$T$31, DW_AT_name("__sa_family_t") + 398 .dwattr $C$DW$T$31, DW_AT_type(*$C$DW$T$30) + 399 .dwattr $C$DW$T$31, DW_AT_language(DW_LANG_C) + 400 .dwattr $C$DW$T$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 401 .dwattr $C$DW$T$31, DW_AT_decl_line(0x47) + 402 .dwattr $C$DW$T$31, DW_AT_decl_column(0x13) + 403 + 404 $C$DW$T$32 .dwtag DW_TAG_typedef + 405 .dwattr $C$DW$T$32, DW_AT_name("__uint_least8_t") + 406 .dwattr $C$DW$T$32, DW_AT_type(*$C$DW$T$30) + 407 .dwattr $C$DW$T$32, DW_AT_language(DW_LANG_C) + 408 .dwattr $C$DW$T$32, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 409 .dwattr $C$DW$T$32, DW_AT_decl_line(0x74) + 410 .dwattr $C$DW$T$32, DW_AT_decl_column(0x13) + 411 + 412 $C$DW$T$7 .dwtag DW_TAG_base_type + 413 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 414 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 415 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 416 + 417 $C$DW$T$8 .dwtag DW_TAG_base_type + 418 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 419 .dwattr $C$DW$T$8, DW_AT_name("short") + 420 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 421 + 422 $C$DW$T$9 .dwtag DW_TAG_base_type + 423 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 424 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 425 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 426 + 427 $C$DW$T$10 .dwtag DW_TAG_base_type + 428 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 429 .dwattr $C$DW$T$10, DW_AT_name("int") + 430 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 431 + 432 $C$DW$T$33 .dwtag DW_TAG_typedef + 433 .dwattr $C$DW$T$33, DW_AT_name("_Mbstatet") + 434 .dwattr $C$DW$T$33, DW_AT_type(*$C$DW$T$10) + 435 .dwattr $C$DW$T$33, DW_AT_language(DW_LANG_C) + 436 .dwattr $C$DW$T$33, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 437 .dwattr $C$DW$T$33, DW_AT_decl_line(0x8f) + 438 .dwattr $C$DW$T$33, DW_AT_decl_column(0x0d) + 439 + 440 $C$DW$T$34 .dwtag DW_TAG_typedef + 441 .dwattr $C$DW$T$34, DW_AT_name("__mbstate_t") + 442 .dwattr $C$DW$T$34, DW_AT_type(*$C$DW$T$33) + 443 .dwattr $C$DW$T$34, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 10 + + 444 .dwattr $C$DW$T$34, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 445 .dwattr $C$DW$T$34, DW_AT_decl_line(0x92) + 446 .dwattr $C$DW$T$34, DW_AT_decl_column(0x13) + 447 + 448 $C$DW$T$35 .dwtag DW_TAG_typedef + 449 .dwattr $C$DW$T$35, DW_AT_name("__accmode_t") + 450 .dwattr $C$DW$T$35, DW_AT_type(*$C$DW$T$10) + 451 .dwattr $C$DW$T$35, DW_AT_language(DW_LANG_C) + 452 .dwattr $C$DW$T$35, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 453 .dwattr $C$DW$T$35, DW_AT_decl_line(0x3b) + 454 .dwattr $C$DW$T$35, DW_AT_decl_column(0x0e) + 455 + 456 $C$DW$T$45 .dwtag DW_TAG_typedef + 457 .dwattr $C$DW$T$45, DW_AT_name("__cpulevel_t") + 458 .dwattr $C$DW$T$45, DW_AT_type(*$C$DW$T$10) + 459 .dwattr $C$DW$T$45, DW_AT_language(DW_LANG_C) + 460 .dwattr $C$DW$T$45, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 461 .dwattr $C$DW$T$45, DW_AT_decl_line(0x50) + 462 .dwattr $C$DW$T$45, DW_AT_decl_column(0x0e) + 463 + 464 $C$DW$T$46 .dwtag DW_TAG_typedef + 465 .dwattr $C$DW$T$46, DW_AT_name("__cpusetid_t") + 466 .dwattr $C$DW$T$46, DW_AT_type(*$C$DW$T$10) + 467 .dwattr $C$DW$T$46, DW_AT_language(DW_LANG_C) + 468 .dwattr $C$DW$T$46, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 469 .dwattr $C$DW$T$46, DW_AT_decl_line(0x51) + 470 .dwattr $C$DW$T$46, DW_AT_decl_column(0x0e) + 471 + 472 $C$DW$T$47 .dwtag DW_TAG_typedef + 473 .dwattr $C$DW$T$47, DW_AT_name("__cpuwhich_t") + 474 .dwattr $C$DW$T$47, DW_AT_type(*$C$DW$T$10) + 475 .dwattr $C$DW$T$47, DW_AT_language(DW_LANG_C) + 476 .dwattr $C$DW$T$47, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 477 .dwattr $C$DW$T$47, DW_AT_decl_line(0x4f) + 478 .dwattr $C$DW$T$47, DW_AT_decl_column(0x0e) + 479 + 480 $C$DW$T$48 .dwtag DW_TAG_typedef + 481 .dwattr $C$DW$T$48, DW_AT_name("__ct_rune_t") + 482 .dwattr $C$DW$T$48, DW_AT_type(*$C$DW$T$10) + 483 .dwattr $C$DW$T$48, DW_AT_language(DW_LANG_C) + 484 .dwattr $C$DW$T$48, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 485 .dwattr $C$DW$T$48, DW_AT_decl_line(0x69) + 486 .dwattr $C$DW$T$48, DW_AT_decl_column(0x0e) + 487 + 488 $C$DW$T$49 .dwtag DW_TAG_typedef + 489 .dwattr $C$DW$T$49, DW_AT_name("__rune_t") + 490 .dwattr $C$DW$T$49, DW_AT_type(*$C$DW$T$48) + 491 .dwattr $C$DW$T$49, DW_AT_language(DW_LANG_C) + 492 .dwattr $C$DW$T$49, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 493 .dwattr $C$DW$T$49, DW_AT_decl_line(0x6c) + 494 .dwattr $C$DW$T$49, DW_AT_decl_column(0x15) + 495 + 496 $C$DW$T$50 .dwtag DW_TAG_typedef + 497 .dwattr $C$DW$T$50, DW_AT_name("__wint_t") + 498 .dwattr $C$DW$T$50, DW_AT_type(*$C$DW$T$48) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 11 + + 499 .dwattr $C$DW$T$50, DW_AT_language(DW_LANG_C) + 500 .dwattr $C$DW$T$50, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 501 .dwattr $C$DW$T$50, DW_AT_decl_line(0x6d) + 502 .dwattr $C$DW$T$50, DW_AT_decl_column(0x15) + 503 + 504 $C$DW$T$51 .dwtag DW_TAG_typedef + 505 .dwattr $C$DW$T$51, DW_AT_name("__int16_t") + 506 .dwattr $C$DW$T$51, DW_AT_type(*$C$DW$T$10) + 507 .dwattr $C$DW$T$51, DW_AT_language(DW_LANG_C) + 508 .dwattr $C$DW$T$51, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 509 .dwattr $C$DW$T$51, DW_AT_decl_line(0x38) + 510 .dwattr $C$DW$T$51, DW_AT_decl_column(0x0f) + 511 + 512 $C$DW$T$52 .dwtag DW_TAG_typedef + 513 .dwattr $C$DW$T$52, DW_AT_name("__int_fast16_t") + 514 .dwattr $C$DW$T$52, DW_AT_type(*$C$DW$T$51) + 515 .dwattr $C$DW$T$52, DW_AT_language(DW_LANG_C) + 516 .dwattr $C$DW$T$52, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 517 .dwattr $C$DW$T$52, DW_AT_decl_line(0x57) + 518 .dwattr $C$DW$T$52, DW_AT_decl_column(0x13) + 519 + 520 $C$DW$T$53 .dwtag DW_TAG_typedef + 521 .dwattr $C$DW$T$53, DW_AT_name("__int_fast8_t") + 522 .dwattr $C$DW$T$53, DW_AT_type(*$C$DW$T$51) + 523 .dwattr $C$DW$T$53, DW_AT_language(DW_LANG_C) + 524 .dwattr $C$DW$T$53, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 525 .dwattr $C$DW$T$53, DW_AT_decl_line(0x56) + 526 .dwattr $C$DW$T$53, DW_AT_decl_column(0x13) + 527 + 528 $C$DW$T$54 .dwtag DW_TAG_typedef + 529 .dwattr $C$DW$T$54, DW_AT_name("__int_least16_t") + 530 .dwattr $C$DW$T$54, DW_AT_type(*$C$DW$T$51) + 531 .dwattr $C$DW$T$54, DW_AT_language(DW_LANG_C) + 532 .dwattr $C$DW$T$54, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 533 .dwattr $C$DW$T$54, DW_AT_decl_line(0x5b) + 534 .dwattr $C$DW$T$54, DW_AT_decl_column(0x13) + 535 + 536 $C$DW$T$55 .dwtag DW_TAG_typedef + 537 .dwattr $C$DW$T$55, DW_AT_name("__intptr_t") + 538 .dwattr $C$DW$T$55, DW_AT_type(*$C$DW$T$51) + 539 .dwattr $C$DW$T$55, DW_AT_language(DW_LANG_C) + 540 .dwattr $C$DW$T$55, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 541 .dwattr $C$DW$T$55, DW_AT_decl_line(0x53) + 542 .dwattr $C$DW$T$55, DW_AT_decl_column(0x19) + 543 + 544 $C$DW$T$56 .dwtag DW_TAG_typedef + 545 .dwattr $C$DW$T$56, DW_AT_name("__register_t") + 546 .dwattr $C$DW$T$56, DW_AT_type(*$C$DW$T$51) + 547 .dwattr $C$DW$T$56, DW_AT_language(DW_LANG_C) + 548 .dwattr $C$DW$T$56, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 549 .dwattr $C$DW$T$56, DW_AT_decl_line(0x5f) + 550 .dwattr $C$DW$T$56, DW_AT_decl_column(0x13) + 551 + 552 $C$DW$T$57 .dwtag DW_TAG_typedef + 553 .dwattr $C$DW$T$57, DW_AT_name("__nl_item") + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 12 + + 554 .dwattr $C$DW$T$57, DW_AT_type(*$C$DW$T$10) + 555 .dwattr $C$DW$T$57, DW_AT_language(DW_LANG_C) + 556 .dwattr $C$DW$T$57, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 557 .dwattr $C$DW$T$57, DW_AT_decl_line(0x3c) + 558 .dwattr $C$DW$T$57, DW_AT_decl_column(0x0e) + 559 + 560 $C$DW$T$58 .dwtag DW_TAG_typedef + 561 .dwattr $C$DW$T$58, DW_AT_name("__ptrdiff_t") + 562 .dwattr $C$DW$T$58, DW_AT_type(*$C$DW$T$10) + 563 .dwattr $C$DW$T$58, DW_AT_language(DW_LANG_C) + 564 .dwattr $C$DW$T$58, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 565 .dwattr $C$DW$T$58, DW_AT_decl_line(0x5e) + 566 .dwattr $C$DW$T$58, DW_AT_decl_column(0x1c) + 567 + 568 $C$DW$T$11 .dwtag DW_TAG_base_type + 569 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 570 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 571 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 572 + 573 $C$DW$T$59 .dwtag DW_TAG_typedef + 574 .dwattr $C$DW$T$59, DW_AT_name("___wchar_t") + 575 .dwattr $C$DW$T$59, DW_AT_type(*$C$DW$T$11) + 576 .dwattr $C$DW$T$59, DW_AT_language(DW_LANG_C) + 577 .dwattr $C$DW$T$59, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 578 .dwattr $C$DW$T$59, DW_AT_decl_line(0x7d) + 579 .dwattr $C$DW$T$59, DW_AT_decl_column(0x1a) + 580 + 581 $C$DW$T$60 .dwtag DW_TAG_typedef + 582 .dwattr $C$DW$T$60, DW_AT_name("__size_t") + 583 .dwattr $C$DW$T$60, DW_AT_type(*$C$DW$T$11) + 584 .dwattr $C$DW$T$60, DW_AT_language(DW_LANG_C) + 585 .dwattr $C$DW$T$60, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 586 .dwattr $C$DW$T$60, DW_AT_decl_line(0x61) + 587 .dwattr $C$DW$T$60, DW_AT_decl_column(0x19) + 588 + 589 $C$DW$T$61 .dwtag DW_TAG_typedef + 590 .dwattr $C$DW$T$61, DW_AT_name("__uint16_t") + 591 .dwattr $C$DW$T$61, DW_AT_type(*$C$DW$T$11) + 592 .dwattr $C$DW$T$61, DW_AT_language(DW_LANG_C) + 593 .dwattr $C$DW$T$61, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 594 .dwattr $C$DW$T$61, DW_AT_decl_line(0x39) + 595 .dwattr $C$DW$T$61, DW_AT_decl_column(0x17) + 596 + 597 $C$DW$T$62 .dwtag DW_TAG_typedef + 598 .dwattr $C$DW$T$62, DW_AT_name("__mode_t") + 599 .dwattr $C$DW$T$62, DW_AT_type(*$C$DW$T$61) + 600 .dwattr $C$DW$T$62, DW_AT_language(DW_LANG_C) + 601 .dwattr $C$DW$T$62, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 602 .dwattr $C$DW$T$62, DW_AT_decl_line(0x3a) + 603 .dwattr $C$DW$T$62, DW_AT_decl_column(0x14) + 604 + 605 $C$DW$T$63 .dwtag DW_TAG_typedef + 606 .dwattr $C$DW$T$63, DW_AT_name("__u_register_t") + 607 .dwattr $C$DW$T$63, DW_AT_type(*$C$DW$T$61) + 608 .dwattr $C$DW$T$63, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 13 + + 609 .dwattr $C$DW$T$63, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 610 .dwattr $C$DW$T$63, DW_AT_decl_line(0x78) + 611 .dwattr $C$DW$T$63, DW_AT_decl_column(0x14) + 612 + 613 $C$DW$T$64 .dwtag DW_TAG_typedef + 614 .dwattr $C$DW$T$64, DW_AT_name("__uint_fast16_t") + 615 .dwattr $C$DW$T$64, DW_AT_type(*$C$DW$T$61) + 616 .dwattr $C$DW$T$64, DW_AT_language(DW_LANG_C) + 617 .dwattr $C$DW$T$64, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 618 .dwattr $C$DW$T$64, DW_AT_decl_line(0x71) + 619 .dwattr $C$DW$T$64, DW_AT_decl_column(0x14) + 620 + 621 $C$DW$T$65 .dwtag DW_TAG_typedef + 622 .dwattr $C$DW$T$65, DW_AT_name("__uint_fast8_t") + 623 .dwattr $C$DW$T$65, DW_AT_type(*$C$DW$T$61) + 624 .dwattr $C$DW$T$65, DW_AT_language(DW_LANG_C) + 625 .dwattr $C$DW$T$65, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 626 .dwattr $C$DW$T$65, DW_AT_decl_line(0x70) + 627 .dwattr $C$DW$T$65, DW_AT_decl_column(0x14) + 628 + 629 $C$DW$T$66 .dwtag DW_TAG_typedef + 630 .dwattr $C$DW$T$66, DW_AT_name("__uint_least16_t") + 631 .dwattr $C$DW$T$66, DW_AT_type(*$C$DW$T$61) + 632 .dwattr $C$DW$T$66, DW_AT_language(DW_LANG_C) + 633 .dwattr $C$DW$T$66, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 634 .dwattr $C$DW$T$66, DW_AT_decl_line(0x75) + 635 .dwattr $C$DW$T$66, DW_AT_decl_column(0x14) + 636 + 637 $C$DW$T$67 .dwtag DW_TAG_typedef + 638 .dwattr $C$DW$T$67, DW_AT_name("__char16_t") + 639 .dwattr $C$DW$T$67, DW_AT_type(*$C$DW$T$66) + 640 .dwattr $C$DW$T$67, DW_AT_language(DW_LANG_C) + 641 .dwattr $C$DW$T$67, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 642 .dwattr $C$DW$T$67, DW_AT_decl_line(0x71) + 643 .dwattr $C$DW$T$67, DW_AT_decl_column(0x1a) + 644 + 645 $C$DW$T$68 .dwtag DW_TAG_typedef + 646 .dwattr $C$DW$T$68, DW_AT_name("__uintptr_t") + 647 .dwattr $C$DW$T$68, DW_AT_type(*$C$DW$T$61) + 648 .dwattr $C$DW$T$68, DW_AT_language(DW_LANG_C) + 649 .dwattr $C$DW$T$68, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 650 .dwattr $C$DW$T$68, DW_AT_decl_line(0x6d) + 651 .dwattr $C$DW$T$68, DW_AT_decl_column(0x14) + 652 + 653 $C$DW$T$69 .dwtag DW_TAG_typedef + 654 .dwattr $C$DW$T$69, DW_AT_name("__useconds_t") + 655 .dwattr $C$DW$T$69, DW_AT_type(*$C$DW$T$11) + 656 .dwattr $C$DW$T$69, DW_AT_language(DW_LANG_C) + 657 .dwattr $C$DW$T$69, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 658 .dwattr $C$DW$T$69, DW_AT_decl_line(0x4e) + 659 .dwattr $C$DW$T$69, DW_AT_decl_column(0x16) + 660 + 661 $C$DW$T$70 .dwtag DW_TAG_typedef + 662 .dwattr $C$DW$T$70, DW_AT_name("size_t") + 663 .dwattr $C$DW$T$70, DW_AT_type(*$C$DW$T$11) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 14 + + 664 .dwattr $C$DW$T$70, DW_AT_language(DW_LANG_C) + 665 .dwattr $C$DW$T$70, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 666 .dwattr $C$DW$T$70, DW_AT_decl_line(0x4d) + 667 .dwattr $C$DW$T$70, DW_AT_decl_column(0x19) + 668 + 669 $C$DW$T$12 .dwtag DW_TAG_base_type + 670 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 671 .dwattr $C$DW$T$12, DW_AT_name("long") + 672 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 673 + 674 $C$DW$T$71 .dwtag DW_TAG_typedef + 675 .dwattr $C$DW$T$71, DW_AT_name("__int32_t") + 676 .dwattr $C$DW$T$71, DW_AT_type(*$C$DW$T$12) + 677 .dwattr $C$DW$T$71, DW_AT_language(DW_LANG_C) + 678 .dwattr $C$DW$T$71, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 679 .dwattr $C$DW$T$71, DW_AT_decl_line(0x3a) + 680 .dwattr $C$DW$T$71, DW_AT_decl_column(0x10) + 681 + 682 $C$DW$T$72 .dwtag DW_TAG_typedef + 683 .dwattr $C$DW$T$72, DW_AT_name("__blksize_t") + 684 .dwattr $C$DW$T$72, DW_AT_type(*$C$DW$T$71) + 685 .dwattr $C$DW$T$72, DW_AT_language(DW_LANG_C) + 686 .dwattr $C$DW$T$72, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 687 .dwattr $C$DW$T$72, DW_AT_decl_line(0x2f) + 688 .dwattr $C$DW$T$72, DW_AT_decl_column(0x13) + 689 + 690 $C$DW$T$73 .dwtag DW_TAG_typedef + 691 .dwattr $C$DW$T$73, DW_AT_name("__clockid_t") + 692 .dwattr $C$DW$T$73, DW_AT_type(*$C$DW$T$71) + 693 .dwattr $C$DW$T$73, DW_AT_language(DW_LANG_C) + 694 .dwattr $C$DW$T$73, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 695 .dwattr $C$DW$T$73, DW_AT_decl_line(0x31) + 696 .dwattr $C$DW$T$73, DW_AT_decl_column(0x13) + 697 + 698 $C$DW$T$74 .dwtag DW_TAG_typedef + 699 .dwattr $C$DW$T$74, DW_AT_name("__critical_t") + 700 .dwattr $C$DW$T$74, DW_AT_type(*$C$DW$T$71) + 701 .dwattr $C$DW$T$74, DW_AT_language(DW_LANG_C) + 702 .dwattr $C$DW$T$74, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 703 .dwattr $C$DW$T$74, DW_AT_decl_line(0x4b) + 704 .dwattr $C$DW$T$74, DW_AT_decl_column(0x13) + 705 + 706 $C$DW$T$75 .dwtag DW_TAG_typedef + 707 .dwattr $C$DW$T$75, DW_AT_name("__int_fast32_t") + 708 .dwattr $C$DW$T$75, DW_AT_type(*$C$DW$T$71) + 709 .dwattr $C$DW$T$75, DW_AT_language(DW_LANG_C) + 710 .dwattr $C$DW$T$75, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 711 .dwattr $C$DW$T$75, DW_AT_decl_line(0x58) + 712 .dwattr $C$DW$T$75, DW_AT_decl_column(0x13) + 713 + 714 $C$DW$T$76 .dwtag DW_TAG_typedef + 715 .dwattr $C$DW$T$76, DW_AT_name("__int_least32_t") + 716 .dwattr $C$DW$T$76, DW_AT_type(*$C$DW$T$71) + 717 .dwattr $C$DW$T$76, DW_AT_language(DW_LANG_C) + 718 .dwattr $C$DW$T$76, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 15 + + 719 .dwattr $C$DW$T$76, DW_AT_decl_line(0x5c) + 720 .dwattr $C$DW$T$76, DW_AT_decl_column(0x13) + 721 + 722 $C$DW$T$77 .dwtag DW_TAG_typedef + 723 .dwattr $C$DW$T$77, DW_AT_name("__intfptr_t") + 724 .dwattr $C$DW$T$77, DW_AT_type(*$C$DW$T$71) + 725 .dwattr $C$DW$T$77, DW_AT_language(DW_LANG_C) + 726 .dwattr $C$DW$T$77, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 727 .dwattr $C$DW$T$77, DW_AT_decl_line(0x4e) + 728 .dwattr $C$DW$T$77, DW_AT_decl_column(0x13) + 729 + 730 $C$DW$T$78 .dwtag DW_TAG_typedef + 731 .dwattr $C$DW$T$78, DW_AT_name("__lwpid_t") + 732 .dwattr $C$DW$T$78, DW_AT_type(*$C$DW$T$71) + 733 .dwattr $C$DW$T$78, DW_AT_language(DW_LANG_C) + 734 .dwattr $C$DW$T$78, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 735 .dwattr $C$DW$T$78, DW_AT_decl_line(0x39) + 736 .dwattr $C$DW$T$78, DW_AT_decl_column(0x13) + 737 + 738 $C$DW$T$79 .dwtag DW_TAG_typedef + 739 .dwattr $C$DW$T$79, DW_AT_name("__pid_t") + 740 .dwattr $C$DW$T$79, DW_AT_type(*$C$DW$T$71) + 741 .dwattr $C$DW$T$79, DW_AT_language(DW_LANG_C) + 742 .dwattr $C$DW$T$79, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 743 .dwattr $C$DW$T$79, DW_AT_decl_line(0x40) + 744 .dwattr $C$DW$T$79, DW_AT_decl_column(0x13) + 745 + 746 $C$DW$T$80 .dwtag DW_TAG_typedef + 747 .dwattr $C$DW$T$80, DW_AT_name("__segsz_t") + 748 .dwattr $C$DW$T$80, DW_AT_type(*$C$DW$T$71) + 749 .dwattr $C$DW$T$80, DW_AT_language(DW_LANG_C) + 750 .dwattr $C$DW$T$80, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 751 .dwattr $C$DW$T$80, DW_AT_decl_line(0x60) + 752 .dwattr $C$DW$T$80, DW_AT_decl_column(0x13) + 753 + 754 $C$DW$T$81 .dwtag DW_TAG_typedef + 755 .dwattr $C$DW$T$81, DW_AT_name("__ssize_t") + 756 .dwattr $C$DW$T$81, DW_AT_type(*$C$DW$T$71) + 757 .dwattr $C$DW$T$81, DW_AT_language(DW_LANG_C) + 758 .dwattr $C$DW$T$81, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 759 .dwattr $C$DW$T$81, DW_AT_decl_line(0x62) + 760 .dwattr $C$DW$T$81, DW_AT_decl_column(0x13) + 761 + 762 $C$DW$T$82 .dwtag DW_TAG_typedef + 763 .dwattr $C$DW$T$82, DW_AT_name("__key_t") + 764 .dwattr $C$DW$T$82, DW_AT_type(*$C$DW$T$12) + 765 .dwattr $C$DW$T$82, DW_AT_language(DW_LANG_C) + 766 .dwattr $C$DW$T$82, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 767 .dwattr $C$DW$T$82, DW_AT_decl_line(0x38) + 768 .dwattr $C$DW$T$82, DW_AT_decl_column(0x0f) + 769 + 770 $C$DW$T$83 .dwtag DW_TAG_typedef + 771 .dwattr $C$DW$T$83, DW_AT_name("__suseconds_t") + 772 .dwattr $C$DW$T$83, DW_AT_type(*$C$DW$T$12) + 773 .dwattr $C$DW$T$83, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 16 + + 774 .dwattr $C$DW$T$83, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 775 .dwattr $C$DW$T$83, DW_AT_decl_line(0x4a) + 776 .dwattr $C$DW$T$83, DW_AT_decl_column(0x0f) + 777 + 778 $C$DW$T$84 .dwtag DW_TAG_typedef + 779 .dwattr $C$DW$T$84, DW_AT_name("_off_t") + 780 .dwattr $C$DW$T$84, DW_AT_type(*$C$DW$T$12) + 781 .dwattr $C$DW$T$84, DW_AT_language(DW_LANG_C) + 782 .dwattr $C$DW$T$84, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 783 .dwattr $C$DW$T$84, DW_AT_decl_line(0x8d) + 784 .dwattr $C$DW$T$84, DW_AT_decl_column(0x12) + 785 + 786 $C$DW$T$85 .dwtag DW_TAG_typedef + 787 .dwattr $C$DW$T$85, DW_AT_name("__off_t") + 788 .dwattr $C$DW$T$85, DW_AT_type(*$C$DW$T$84) + 789 .dwattr $C$DW$T$85, DW_AT_language(DW_LANG_C) + 790 .dwattr $C$DW$T$85, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 791 .dwattr $C$DW$T$85, DW_AT_decl_line(0x3e) + 792 .dwattr $C$DW$T$85, DW_AT_decl_column(0x18) + 793 + 794 $C$DW$T$86 .dwtag DW_TAG_typedef + 795 .dwattr $C$DW$T$86, DW_AT_name("fpos_t") + 796 .dwattr $C$DW$T$86, DW_AT_type(*$C$DW$T$12) + 797 .dwattr $C$DW$T$86, DW_AT_language(DW_LANG_C) + 798 .dwattr $C$DW$T$86, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 799 .dwattr $C$DW$T$86, DW_AT_decl_line(0x6b) + 800 .dwattr $C$DW$T$86, DW_AT_decl_column(0x0e) + 801 + 802 $C$DW$T$13 .dwtag DW_TAG_base_type + 803 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 804 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 805 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 806 + 807 $C$DW$T$87 .dwtag DW_TAG_typedef + 808 .dwattr $C$DW$T$87, DW_AT_name("__uint32_t") + 809 .dwattr $C$DW$T$87, DW_AT_type(*$C$DW$T$13) + 810 .dwattr $C$DW$T$87, DW_AT_language(DW_LANG_C) + 811 .dwattr $C$DW$T$87, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 812 .dwattr $C$DW$T$87, DW_AT_decl_line(0x3b) + 813 .dwattr $C$DW$T$87, DW_AT_decl_column(0x18) + 814 + 815 $C$DW$T$88 .dwtag DW_TAG_typedef + 816 .dwattr $C$DW$T$88, DW_AT_name("__clock_t") + 817 .dwattr $C$DW$T$88, DW_AT_type(*$C$DW$T$87) + 818 .dwattr $C$DW$T$88, DW_AT_language(DW_LANG_C) + 819 .dwattr $C$DW$T$88, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 820 .dwattr $C$DW$T$88, DW_AT_decl_line(0x4a) + 821 .dwattr $C$DW$T$88, DW_AT_decl_column(0x14) + 822 + 823 $C$DW$T$89 .dwtag DW_TAG_typedef + 824 .dwattr $C$DW$T$89, DW_AT_name("__fflags_t") + 825 .dwattr $C$DW$T$89, DW_AT_type(*$C$DW$T$87) + 826 .dwattr $C$DW$T$89, DW_AT_language(DW_LANG_C) + 827 .dwattr $C$DW$T$89, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 828 .dwattr $C$DW$T$89, DW_AT_decl_line(0x32) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 17 + + 829 .dwattr $C$DW$T$89, DW_AT_decl_column(0x14) + 830 + 831 $C$DW$T$90 .dwtag DW_TAG_typedef + 832 .dwattr $C$DW$T$90, DW_AT_name("__fixpt_t") + 833 .dwattr $C$DW$T$90, DW_AT_type(*$C$DW$T$87) + 834 .dwattr $C$DW$T$90, DW_AT_language(DW_LANG_C) + 835 .dwattr $C$DW$T$90, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 836 .dwattr $C$DW$T$90, DW_AT_decl_line(0x81) + 837 .dwattr $C$DW$T$90, DW_AT_decl_column(0x14) + 838 + 839 $C$DW$T$91 .dwtag DW_TAG_typedef + 840 .dwattr $C$DW$T$91, DW_AT_name("__gid_t") + 841 .dwattr $C$DW$T$91, DW_AT_type(*$C$DW$T$87) + 842 .dwattr $C$DW$T$91, DW_AT_language(DW_LANG_C) + 843 .dwattr $C$DW$T$91, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 844 .dwattr $C$DW$T$91, DW_AT_decl_line(0x35) + 845 .dwattr $C$DW$T$91, DW_AT_decl_column(0x14) + 846 + 847 $C$DW$T$92 .dwtag DW_TAG_typedef + 848 .dwattr $C$DW$T$92, DW_AT_name("__socklen_t") + 849 .dwattr $C$DW$T$92, DW_AT_type(*$C$DW$T$87) + 850 .dwattr $C$DW$T$92, DW_AT_language(DW_LANG_C) + 851 .dwattr $C$DW$T$92, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 852 .dwattr $C$DW$T$92, DW_AT_decl_line(0x49) + 853 .dwattr $C$DW$T$92, DW_AT_decl_column(0x14) + 854 + 855 $C$DW$T$93 .dwtag DW_TAG_typedef + 856 .dwattr $C$DW$T$93, DW_AT_name("__time_t") + 857 .dwattr $C$DW$T$93, DW_AT_type(*$C$DW$T$87) + 858 .dwattr $C$DW$T$93, DW_AT_language(DW_LANG_C) + 859 .dwattr $C$DW$T$93, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 860 .dwattr $C$DW$T$93, DW_AT_decl_line(0x66) + 861 .dwattr $C$DW$T$93, DW_AT_decl_column(0x19) + 862 + 863 $C$DW$T$94 .dwtag DW_TAG_typedef + 864 .dwattr $C$DW$T$94, DW_AT_name("__uid_t") + 865 .dwattr $C$DW$T$94, DW_AT_type(*$C$DW$T$87) + 866 .dwattr $C$DW$T$94, DW_AT_language(DW_LANG_C) + 867 .dwattr $C$DW$T$94, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 868 .dwattr $C$DW$T$94, DW_AT_decl_line(0x4d) + 869 .dwattr $C$DW$T$94, DW_AT_decl_column(0x14) + 870 + 871 $C$DW$T$95 .dwtag DW_TAG_typedef + 872 .dwattr $C$DW$T$95, DW_AT_name("__uint_fast32_t") + 873 .dwattr $C$DW$T$95, DW_AT_type(*$C$DW$T$87) + 874 .dwattr $C$DW$T$95, DW_AT_language(DW_LANG_C) + 875 .dwattr $C$DW$T$95, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 876 .dwattr $C$DW$T$95, DW_AT_decl_line(0x72) + 877 .dwattr $C$DW$T$95, DW_AT_decl_column(0x14) + 878 + 879 $C$DW$T$96 .dwtag DW_TAG_typedef + 880 .dwattr $C$DW$T$96, DW_AT_name("__uint_least32_t") + 881 .dwattr $C$DW$T$96, DW_AT_type(*$C$DW$T$87) + 882 .dwattr $C$DW$T$96, DW_AT_language(DW_LANG_C) + 883 .dwattr $C$DW$T$96, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 18 + + 884 .dwattr $C$DW$T$96, DW_AT_decl_line(0x76) + 885 .dwattr $C$DW$T$96, DW_AT_decl_column(0x14) + 886 + 887 $C$DW$T$97 .dwtag DW_TAG_typedef + 888 .dwattr $C$DW$T$97, DW_AT_name("__char32_t") + 889 .dwattr $C$DW$T$97, DW_AT_type(*$C$DW$T$96) + 890 .dwattr $C$DW$T$97, DW_AT_language(DW_LANG_C) + 891 .dwattr $C$DW$T$97, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 892 .dwattr $C$DW$T$97, DW_AT_decl_line(0x72) + 893 .dwattr $C$DW$T$97, DW_AT_decl_column(0x1a) + 894 + 895 $C$DW$T$98 .dwtag DW_TAG_typedef + 896 .dwattr $C$DW$T$98, DW_AT_name("__uintfptr_t") + 897 .dwattr $C$DW$T$98, DW_AT_type(*$C$DW$T$87) + 898 .dwattr $C$DW$T$98, DW_AT_language(DW_LANG_C) + 899 .dwattr $C$DW$T$98, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 900 .dwattr $C$DW$T$98, DW_AT_decl_line(0x68) + 901 .dwattr $C$DW$T$98, DW_AT_decl_column(0x14) + 902 + 903 $C$DW$T$99 .dwtag DW_TAG_typedef + 904 .dwattr $C$DW$T$99, DW_AT_name("__vm_offset_t") + 905 .dwattr $C$DW$T$99, DW_AT_type(*$C$DW$T$87) + 906 .dwattr $C$DW$T$99, DW_AT_language(DW_LANG_C) + 907 .dwattr $C$DW$T$99, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 908 .dwattr $C$DW$T$99, DW_AT_decl_line(0x79) + 909 .dwattr $C$DW$T$99, DW_AT_decl_column(0x14) + 910 + 911 $C$DW$T$100 .dwtag DW_TAG_typedef + 912 .dwattr $C$DW$T$100, DW_AT_name("__vm_paddr_t") + 913 .dwattr $C$DW$T$100, DW_AT_type(*$C$DW$T$87) + 914 .dwattr $C$DW$T$100, DW_AT_language(DW_LANG_C) + 915 .dwattr $C$DW$T$100, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 916 .dwattr $C$DW$T$100, DW_AT_decl_line(0x7a) + 917 .dwattr $C$DW$T$100, DW_AT_decl_column(0x14) + 918 + 919 $C$DW$T$101 .dwtag DW_TAG_typedef + 920 .dwattr $C$DW$T$101, DW_AT_name("__vm_size_t") + 921 .dwattr $C$DW$T$101, DW_AT_type(*$C$DW$T$87) + 922 .dwattr $C$DW$T$101, DW_AT_language(DW_LANG_C) + 923 .dwattr $C$DW$T$101, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 924 .dwattr $C$DW$T$101, DW_AT_decl_line(0x7b) + 925 .dwattr $C$DW$T$101, DW_AT_decl_column(0x14) + 926 + 927 $C$DW$T$14 .dwtag DW_TAG_base_type + 928 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 929 .dwattr $C$DW$T$14, DW_AT_name("long long") + 930 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 931 + 932 $C$DW$T$102 .dwtag DW_TAG_typedef + 933 .dwattr $C$DW$T$102, DW_AT_name("__int64_t") + 934 .dwattr $C$DW$T$102, DW_AT_type(*$C$DW$T$14) + 935 .dwattr $C$DW$T$102, DW_AT_language(DW_LANG_C) + 936 .dwattr $C$DW$T$102, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 937 .dwattr $C$DW$T$102, DW_AT_decl_line(0x40) + 938 .dwattr $C$DW$T$102, DW_AT_decl_column(0x14) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 19 + + 939 + 940 $C$DW$T$103 .dwtag DW_TAG_typedef + 941 .dwattr $C$DW$T$103, DW_AT_name("__blkcnt_t") + 942 .dwattr $C$DW$T$103, DW_AT_type(*$C$DW$T$102) + 943 .dwattr $C$DW$T$103, DW_AT_language(DW_LANG_C) + 944 .dwattr $C$DW$T$103, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 945 .dwattr $C$DW$T$103, DW_AT_decl_line(0x30) + 946 .dwattr $C$DW$T$103, DW_AT_decl_column(0x13) + 947 + 948 $C$DW$T$104 .dwtag DW_TAG_typedef + 949 .dwattr $C$DW$T$104, DW_AT_name("__id_t") + 950 .dwattr $C$DW$T$104, DW_AT_type(*$C$DW$T$102) + 951 .dwattr $C$DW$T$104, DW_AT_language(DW_LANG_C) + 952 .dwattr $C$DW$T$104, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 953 .dwattr $C$DW$T$104, DW_AT_decl_line(0x36) + 954 .dwattr $C$DW$T$104, DW_AT_decl_column(0x13) + 955 + 956 $C$DW$T$105 .dwtag DW_TAG_typedef + 957 .dwattr $C$DW$T$105, DW_AT_name("__int_fast64_t") + 958 .dwattr $C$DW$T$105, DW_AT_type(*$C$DW$T$102) + 959 .dwattr $C$DW$T$105, DW_AT_language(DW_LANG_C) + 960 .dwattr $C$DW$T$105, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 961 .dwattr $C$DW$T$105, DW_AT_decl_line(0x59) + 962 .dwattr $C$DW$T$105, DW_AT_decl_column(0x13) + 963 + 964 $C$DW$T$106 .dwtag DW_TAG_typedef + 965 .dwattr $C$DW$T$106, DW_AT_name("__int_least64_t") + 966 .dwattr $C$DW$T$106, DW_AT_type(*$C$DW$T$102) + 967 .dwattr $C$DW$T$106, DW_AT_language(DW_LANG_C) + 968 .dwattr $C$DW$T$106, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 969 .dwattr $C$DW$T$106, DW_AT_decl_line(0x5d) + 970 .dwattr $C$DW$T$106, DW_AT_decl_column(0x13) + 971 + 972 $C$DW$T$107 .dwtag DW_TAG_typedef + 973 .dwattr $C$DW$T$107, DW_AT_name("__intmax_t") + 974 .dwattr $C$DW$T$107, DW_AT_type(*$C$DW$T$102) + 975 .dwattr $C$DW$T$107, DW_AT_language(DW_LANG_C) + 976 .dwattr $C$DW$T$107, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 977 .dwattr $C$DW$T$107, DW_AT_decl_line(0x4f) + 978 .dwattr $C$DW$T$107, DW_AT_decl_column(0x13) + 979 + 980 $C$DW$T$108 .dwtag DW_TAG_typedef + 981 .dwattr $C$DW$T$108, DW_AT_name("__off64_t") + 982 .dwattr $C$DW$T$108, DW_AT_type(*$C$DW$T$102) + 983 .dwattr $C$DW$T$108, DW_AT_language(DW_LANG_C) + 984 .dwattr $C$DW$T$108, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 985 .dwattr $C$DW$T$108, DW_AT_decl_line(0x3f) + 986 .dwattr $C$DW$T$108, DW_AT_decl_column(0x13) + 987 + 988 $C$DW$T$109 .dwtag DW_TAG_typedef + 989 .dwattr $C$DW$T$109, DW_AT_name("__rlim_t") + 990 .dwattr $C$DW$T$109, DW_AT_type(*$C$DW$T$102) + 991 .dwattr $C$DW$T$109, DW_AT_language(DW_LANG_C) + 992 .dwattr $C$DW$T$109, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 993 .dwattr $C$DW$T$109, DW_AT_decl_line(0x41) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 20 + + 994 .dwattr $C$DW$T$109, DW_AT_decl_column(0x13) + 995 + 996 $C$DW$T$15 .dwtag DW_TAG_base_type + 997 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 998 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 999 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 1000 + 1001 $C$DW$T$110 .dwtag DW_TAG_typedef + 1002 .dwattr $C$DW$T$110, DW_AT_name("__uint64_t") + 1003 .dwattr $C$DW$T$110, DW_AT_type(*$C$DW$T$15) + 1004 .dwattr $C$DW$T$110, DW_AT_language(DW_LANG_C) + 1005 .dwattr $C$DW$T$110, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1006 .dwattr $C$DW$T$110, DW_AT_decl_line(0x45) + 1007 .dwattr $C$DW$T$110, DW_AT_decl_column(0x1c) + 1008 + 1009 $C$DW$T$111 .dwtag DW_TAG_typedef + 1010 .dwattr $C$DW$T$111, DW_AT_name("__dev_t") + 1011 .dwattr $C$DW$T$111, DW_AT_type(*$C$DW$T$110) + 1012 .dwattr $C$DW$T$111, DW_AT_language(DW_LANG_C) + 1013 .dwattr $C$DW$T$111, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1014 .dwattr $C$DW$T$111, DW_AT_decl_line(0x7f) + 1015 .dwattr $C$DW$T$111, DW_AT_decl_column(0x14) + 1016 + 1017 $C$DW$T$112 .dwtag DW_TAG_typedef + 1018 .dwattr $C$DW$T$112, DW_AT_name("__fsblkcnt_t") + 1019 .dwattr $C$DW$T$112, DW_AT_type(*$C$DW$T$110) + 1020 .dwattr $C$DW$T$112, DW_AT_language(DW_LANG_C) + 1021 .dwattr $C$DW$T$112, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1022 .dwattr $C$DW$T$112, DW_AT_decl_line(0x33) + 1023 .dwattr $C$DW$T$112, DW_AT_decl_column(0x14) + 1024 + 1025 $C$DW$T$113 .dwtag DW_TAG_typedef + 1026 .dwattr $C$DW$T$113, DW_AT_name("__fsfilcnt_t") + 1027 .dwattr $C$DW$T$113, DW_AT_type(*$C$DW$T$110) + 1028 .dwattr $C$DW$T$113, DW_AT_language(DW_LANG_C) + 1029 .dwattr $C$DW$T$113, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1030 .dwattr $C$DW$T$113, DW_AT_decl_line(0x34) + 1031 .dwattr $C$DW$T$113, DW_AT_decl_column(0x14) + 1032 + 1033 $C$DW$T$114 .dwtag DW_TAG_typedef + 1034 .dwattr $C$DW$T$114, DW_AT_name("__ino_t") + 1035 .dwattr $C$DW$T$114, DW_AT_type(*$C$DW$T$110) + 1036 .dwattr $C$DW$T$114, DW_AT_language(DW_LANG_C) + 1037 .dwattr $C$DW$T$114, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1038 .dwattr $C$DW$T$114, DW_AT_decl_line(0x37) + 1039 .dwattr $C$DW$T$114, DW_AT_decl_column(0x14) + 1040 + 1041 $C$DW$T$115 .dwtag DW_TAG_typedef + 1042 .dwattr $C$DW$T$115, DW_AT_name("__nlink_t") + 1043 .dwattr $C$DW$T$115, DW_AT_type(*$C$DW$T$110) + 1044 .dwattr $C$DW$T$115, DW_AT_language(DW_LANG_C) + 1045 .dwattr $C$DW$T$115, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1046 .dwattr $C$DW$T$115, DW_AT_decl_line(0x3d) + 1047 .dwattr $C$DW$T$115, DW_AT_decl_column(0x14) + 1048 + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 21 + + 1049 $C$DW$T$116 .dwtag DW_TAG_typedef + 1050 .dwattr $C$DW$T$116, DW_AT_name("__uint_fast64_t") + 1051 .dwattr $C$DW$T$116, DW_AT_type(*$C$DW$T$110) + 1052 .dwattr $C$DW$T$116, DW_AT_language(DW_LANG_C) + 1053 .dwattr $C$DW$T$116, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1054 .dwattr $C$DW$T$116, DW_AT_decl_line(0x73) + 1055 .dwattr $C$DW$T$116, DW_AT_decl_column(0x14) + 1056 + 1057 $C$DW$T$117 .dwtag DW_TAG_typedef + 1058 .dwattr $C$DW$T$117, DW_AT_name("__uint_least64_t") + 1059 .dwattr $C$DW$T$117, DW_AT_type(*$C$DW$T$110) + 1060 .dwattr $C$DW$T$117, DW_AT_language(DW_LANG_C) + 1061 .dwattr $C$DW$T$117, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1062 .dwattr $C$DW$T$117, DW_AT_decl_line(0x77) + 1063 .dwattr $C$DW$T$117, DW_AT_decl_column(0x14) + 1064 + 1065 $C$DW$T$118 .dwtag DW_TAG_typedef + 1066 .dwattr $C$DW$T$118, DW_AT_name("__uintmax_t") + 1067 .dwattr $C$DW$T$118, DW_AT_type(*$C$DW$T$110) + 1068 .dwattr $C$DW$T$118, DW_AT_language(DW_LANG_C) + 1069 .dwattr $C$DW$T$118, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1070 .dwattr $C$DW$T$118, DW_AT_decl_line(0x69) + 1071 .dwattr $C$DW$T$118, DW_AT_decl_column(0x14) + 1072 + 1073 $C$DW$T$119 .dwtag DW_TAG_typedef + 1074 .dwattr $C$DW$T$119, DW_AT_name("__rman_res_t") + 1075 .dwattr $C$DW$T$119, DW_AT_type(*$C$DW$T$118) + 1076 .dwattr $C$DW$T$119, DW_AT_language(DW_LANG_C) + 1077 .dwattr $C$DW$T$119, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1078 .dwattr $C$DW$T$119, DW_AT_decl_line(0x9a) + 1079 .dwattr $C$DW$T$119, DW_AT_decl_column(0x19) + 1080 + 1081 $C$DW$T$16 .dwtag DW_TAG_base_type + 1082 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 1083 .dwattr $C$DW$T$16, DW_AT_name("float") + 1084 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 1085 + 1086 $C$DW$T$120 .dwtag DW_TAG_typedef + 1087 .dwattr $C$DW$T$120, DW_AT_name("__float_t") + 1088 .dwattr $C$DW$T$120, DW_AT_type(*$C$DW$T$16) + 1089 .dwattr $C$DW$T$120, DW_AT_language(DW_LANG_C) + 1090 .dwattr $C$DW$T$120, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1091 .dwattr $C$DW$T$120, DW_AT_decl_line(0x4d) + 1092 .dwattr $C$DW$T$120, DW_AT_decl_column(0x10) + 1093 + 1094 $C$DW$T$17 .dwtag DW_TAG_base_type + 1095 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 1096 .dwattr $C$DW$T$17, DW_AT_name("double") + 1097 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 1098 + 1099 $C$DW$T$121 .dwtag DW_TAG_typedef + 1100 .dwattr $C$DW$T$121, DW_AT_name("__double_t") + 1101 .dwattr $C$DW$T$121, DW_AT_type(*$C$DW$T$17) + 1102 .dwattr $C$DW$T$121, DW_AT_language(DW_LANG_C) + 1103 .dwattr $C$DW$T$121, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 22 + + 1104 .dwattr $C$DW$T$121, DW_AT_decl_line(0x4c) + 1105 .dwattr $C$DW$T$121, DW_AT_decl_column(0x11) + 1106 + 1107 $C$DW$T$18 .dwtag DW_TAG_base_type + 1108 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 1109 .dwattr $C$DW$T$18, DW_AT_name("long double") + 1110 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 1111 + 1112 $C$DW$T$37 .dwtag DW_TAG_const_type + 1113 .dwattr $C$DW$T$37, DW_AT_type(*$C$DW$T$6) + 1114 + 1115 $C$DW$T$38 .dwtag DW_TAG_pointer_type + 1116 .dwattr $C$DW$T$38, DW_AT_type(*$C$DW$T$37) + 1117 .dwattr $C$DW$T$38, DW_AT_address_class(0x10) + 1118 + 1119 $C$DW$T$39 .dwtag DW_TAG_restrict_type + 1120 .dwattr $C$DW$T$39, DW_AT_type(*$C$DW$T$38) + 1121 + 1122 $C$DW$T$122 .dwtag DW_TAG_pointer_type + 1123 .dwattr $C$DW$T$122, DW_AT_type(*$C$DW$T$6) + 1124 .dwattr $C$DW$T$122, DW_AT_address_class(0x10) + 1125 + 1126 $C$DW$T$123 .dwtag DW_TAG_typedef + 1127 .dwattr $C$DW$T$123, DW_AT_name("__va_list") + 1128 .dwattr $C$DW$T$123, DW_AT_type(*$C$DW$T$122) + 1129 .dwattr $C$DW$T$123, DW_AT_language(DW_LANG_C) + 1130 .dwattr $C$DW$T$123, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1131 .dwattr $C$DW$T$123, DW_AT_decl_line(0x92) + 1132 .dwattr $C$DW$T$123, DW_AT_decl_column(0x0f) + 1133 + 1134 $C$DW$T$124 .dwtag DW_TAG_typedef + 1135 .dwattr $C$DW$T$124, DW_AT_name("va_list") + 1136 .dwattr $C$DW$T$124, DW_AT_type(*$C$DW$T$123) + 1137 .dwattr $C$DW$T$124, DW_AT_language(DW_LANG_C) + 1138 .dwattr $C$DW$T$124, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1139 .dwattr $C$DW$T$124, DW_AT_decl_line(0x33) + 1140 .dwattr $C$DW$T$124, DW_AT_decl_column(0x13) + 1141 + 1142 + 1143 $C$DW$T$19 .dwtag DW_TAG_structure_type + 1144 .dwattr $C$DW$T$19, DW_AT_name("__mq") + 1145 .dwattr $C$DW$T$19, DW_AT_declaration + 1146 .dwattr $C$DW$T$19, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1147 .dwattr $C$DW$T$19, DW_AT_decl_line(0x4c) + 1148 .dwattr $C$DW$T$19, DW_AT_decl_column(0x10) + 1149 .dwendtag $C$DW$T$19 + 1150 + 1151 $C$DW$T$125 .dwtag DW_TAG_pointer_type + 1152 .dwattr $C$DW$T$125, DW_AT_type(*$C$DW$T$19) + 1153 .dwattr $C$DW$T$125, DW_AT_address_class(0x10) + 1154 + 1155 $C$DW$T$126 .dwtag DW_TAG_typedef + 1156 .dwattr $C$DW$T$126, DW_AT_name("__mqd_t") + 1157 .dwattr $C$DW$T$126, DW_AT_type(*$C$DW$T$125) + 1158 .dwattr $C$DW$T$126, DW_AT_language(DW_LANG_C) + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 23 + + 1159 .dwattr $C$DW$T$126, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1160 .dwattr $C$DW$T$126, DW_AT_decl_line(0x4c) + 1161 .dwattr $C$DW$T$126, DW_AT_decl_column(0x16) + 1162 + 1163 + 1164 $C$DW$T$23 .dwtag DW_TAG_structure_type + 1165 .dwattr $C$DW$T$23, DW_AT_name("__sFILE") + 1166 .dwattr $C$DW$T$23, DW_AT_byte_size(0x0c) + 1167 $C$DW$26 .dwtag DW_TAG_member + 1168 .dwattr $C$DW$26, DW_AT_type(*$C$DW$T$10) + 1169 .dwattr $C$DW$26, DW_AT_name("fd") + 1170 .dwattr $C$DW$26, DW_AT_TI_symbol_name("fd") + 1171 .dwattr $C$DW$26, DW_AT_data_member_location[DW_OP_plus_uconst 0x0] + 1172 .dwattr $C$DW$26, DW_AT_accessibility(DW_ACCESS_public) + 1173 .dwattr $C$DW$26, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1174 .dwattr $C$DW$26, DW_AT_decl_line(0x52) + 1175 .dwattr $C$DW$26, DW_AT_decl_column(0x0b) + 1176 + 1177 $C$DW$27 .dwtag DW_TAG_member + 1178 .dwattr $C$DW$27, DW_AT_type(*$C$DW$T$22) + 1179 .dwattr $C$DW$27, DW_AT_name("buf") + 1180 .dwattr $C$DW$27, DW_AT_TI_symbol_name("buf") + 1181 .dwattr $C$DW$27, DW_AT_data_member_location[DW_OP_plus_uconst 0x2] + 1182 .dwattr $C$DW$27, DW_AT_accessibility(DW_ACCESS_public) + 1183 .dwattr $C$DW$27, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1184 .dwattr $C$DW$27, DW_AT_decl_line(0x53) + 1185 .dwattr $C$DW$27, DW_AT_decl_column(0x16) + 1186 + 1187 $C$DW$28 .dwtag DW_TAG_member + 1188 .dwattr $C$DW$28, DW_AT_type(*$C$DW$T$22) + 1189 .dwattr $C$DW$28, DW_AT_name("pos") + 1190 .dwattr $C$DW$28, DW_AT_TI_symbol_name("pos") + 1191 .dwattr $C$DW$28, DW_AT_data_member_location[DW_OP_plus_uconst 0x4] + 1192 .dwattr $C$DW$28, DW_AT_accessibility(DW_ACCESS_public) + 1193 .dwattr $C$DW$28, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1194 .dwattr $C$DW$28, DW_AT_decl_line(0x54) + 1195 .dwattr $C$DW$28, DW_AT_decl_column(0x16) + 1196 + 1197 $C$DW$29 .dwtag DW_TAG_member + 1198 .dwattr $C$DW$29, DW_AT_type(*$C$DW$T$22) + 1199 .dwattr $C$DW$29, DW_AT_name("bufend") + 1200 .dwattr $C$DW$29, DW_AT_TI_symbol_name("bufend") + 1201 .dwattr $C$DW$29, DW_AT_data_member_location[DW_OP_plus_uconst 0x6] + 1202 .dwattr $C$DW$29, DW_AT_accessibility(DW_ACCESS_public) + 1203 .dwattr $C$DW$29, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1204 .dwattr $C$DW$29, DW_AT_decl_line(0x55) + 1205 .dwattr $C$DW$29, DW_AT_decl_column(0x16) + 1206 + 1207 $C$DW$30 .dwtag DW_TAG_member + 1208 .dwattr $C$DW$30, DW_AT_type(*$C$DW$T$22) + 1209 .dwattr $C$DW$30, DW_AT_name("buff_stop") + 1210 .dwattr $C$DW$30, DW_AT_TI_symbol_name("buff_stop") + 1211 .dwattr $C$DW$30, DW_AT_data_member_location[DW_OP_plus_uconst 0x8] + 1212 .dwattr $C$DW$30, DW_AT_accessibility(DW_ACCESS_public) + 1213 .dwattr $C$DW$30, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 24 + + 1214 .dwattr $C$DW$30, DW_AT_decl_line(0x56) + 1215 .dwattr $C$DW$30, DW_AT_decl_column(0x16) + 1216 + 1217 $C$DW$31 .dwtag DW_TAG_member + 1218 .dwattr $C$DW$31, DW_AT_type(*$C$DW$T$11) + 1219 .dwattr $C$DW$31, DW_AT_name("flags") + 1220 .dwattr $C$DW$31, DW_AT_TI_symbol_name("flags") + 1221 .dwattr $C$DW$31, DW_AT_data_member_location[DW_OP_plus_uconst 0xa] + 1222 .dwattr $C$DW$31, DW_AT_accessibility(DW_ACCESS_public) + 1223 .dwattr $C$DW$31, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/i + 1224 .dwattr $C$DW$31, DW_AT_decl_line(0x57) + 1225 .dwattr $C$DW$31, DW_AT_decl_column(0x16) + 1226 + 1227 .dwattr $C$DW$T$23, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1228 .dwattr $C$DW$T$23, DW_AT_decl_line(0x51) + 1229 .dwattr $C$DW$T$23, DW_AT_decl_column(0x08) + 1230 .dwendtag $C$DW$T$23 + 1231 + 1232 $C$DW$T$127 .dwtag DW_TAG_typedef + 1233 .dwattr $C$DW$T$127, DW_AT_name("FILE") + 1234 .dwattr $C$DW$T$127, DW_AT_type(*$C$DW$T$23) + 1235 .dwattr $C$DW$T$127, DW_AT_language(DW_LANG_C) + 1236 .dwattr $C$DW$T$127, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1237 .dwattr $C$DW$T$127, DW_AT_decl_line(0x5c) + 1238 .dwattr $C$DW$T$127, DW_AT_decl_column(0x18) + 1239 + 1240 + 1241 $C$DW$T$20 .dwtag DW_TAG_structure_type + 1242 .dwattr $C$DW$T$20, DW_AT_name("__timer") + 1243 .dwattr $C$DW$T$20, DW_AT_declaration + 1244 .dwattr $C$DW$T$20, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + 1245 .dwattr $C$DW$T$20, DW_AT_decl_line(0x4b) + 1246 .dwattr $C$DW$T$20, DW_AT_decl_column(0x10) + 1247 .dwendtag $C$DW$T$20 + 1248 + 1249 $C$DW$T$128 .dwtag DW_TAG_pointer_type + 1250 .dwattr $C$DW$T$128, DW_AT_type(*$C$DW$T$20) + 1251 .dwattr $C$DW$T$128, DW_AT_address_class(0x10) + 1252 + 1253 $C$DW$T$129 .dwtag DW_TAG_typedef + 1254 .dwattr $C$DW$T$129, DW_AT_name("__timer_t") + 1255 .dwattr $C$DW$T$129, DW_AT_type(*$C$DW$T$128) + 1256 .dwattr $C$DW$T$129, DW_AT_language(DW_LANG_C) + 1257 .dwattr $C$DW$T$129, DW_AT_decl_file("C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LT + 1258 .dwattr $C$DW$T$129, DW_AT_decl_line(0x4b) + 1259 .dwattr $C$DW$T$129, DW_AT_decl_column(0x19) + 1260 + 1261 .dwattr $C$DW$CU, DW_AT_language(DW_LANG_C) + 1262 + 1263 ;*************************************************************** + 1264 ;* DWARF CIE ENTRIES * + 1265 ;*************************************************************** + 1266 + 1267 $C$DW$CIE .dwcie 16 + 1268 .dwcfi cfa_register, 1 + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 25 + + 1269 .dwcfi cfa_offset, 0 + 1270 .dwcfi same_value, 0 + 1271 .dwcfi same_value, 1 + 1272 .dwcfi same_value, 3 + 1273 .dwcfi same_value, 4 + 1274 .dwcfi same_value, 5 + 1275 .dwcfi same_value, 6 + 1276 .dwcfi same_value, 7 + 1277 .dwcfi same_value, 8 + 1278 .dwcfi same_value, 9 + 1279 .dwcfi same_value, 10 + 1280 .dwendentry + 1281 + 1282 ;*************************************************************** + 1283 ;* DWARF REGISTER MAP * + 1284 ;*************************************************************** + 1285 + 1286 $C$DW$32 .dwtag DW_TAG_TI_assign_register + 1287 .dwattr $C$DW$32, DW_AT_name("PC") + 1288 .dwattr $C$DW$32, DW_AT_location[DW_OP_reg0] + 1289 + 1290 $C$DW$33 .dwtag DW_TAG_TI_assign_register + 1291 .dwattr $C$DW$33, DW_AT_name("SP") + 1292 .dwattr $C$DW$33, DW_AT_location[DW_OP_reg1] + 1293 + 1294 $C$DW$34 .dwtag DW_TAG_TI_assign_register + 1295 .dwattr $C$DW$34, DW_AT_name("SR") + 1296 .dwattr $C$DW$34, DW_AT_location[DW_OP_reg2] + 1297 + 1298 $C$DW$35 .dwtag DW_TAG_TI_assign_register + 1299 .dwattr $C$DW$35, DW_AT_name("CG") + 1300 .dwattr $C$DW$35, DW_AT_location[DW_OP_reg3] + 1301 + 1302 $C$DW$36 .dwtag DW_TAG_TI_assign_register + 1303 .dwattr $C$DW$36, DW_AT_name("r4") + 1304 .dwattr $C$DW$36, DW_AT_location[DW_OP_reg4] + 1305 + 1306 $C$DW$37 .dwtag DW_TAG_TI_assign_register + 1307 .dwattr $C$DW$37, DW_AT_name("r5") + 1308 .dwattr $C$DW$37, DW_AT_location[DW_OP_reg5] + 1309 + 1310 $C$DW$38 .dwtag DW_TAG_TI_assign_register + 1311 .dwattr $C$DW$38, DW_AT_name("r6") + 1312 .dwattr $C$DW$38, DW_AT_location[DW_OP_reg6] + 1313 + 1314 $C$DW$39 .dwtag DW_TAG_TI_assign_register + 1315 .dwattr $C$DW$39, DW_AT_name("r7") + 1316 .dwattr $C$DW$39, DW_AT_location[DW_OP_reg7] + 1317 + 1318 $C$DW$40 .dwtag DW_TAG_TI_assign_register + 1319 .dwattr $C$DW$40, DW_AT_name("r8") + 1320 .dwattr $C$DW$40, DW_AT_location[DW_OP_reg8] + 1321 + 1322 $C$DW$41 .dwtag DW_TAG_TI_assign_register + 1323 .dwattr $C$DW$41, DW_AT_name("r9") + MSP430 Assembler PC v20.2.5 Fri Aug 27 13:51:57 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{C5D659B1-256F-488C-8D8B-384A069659A3} PAGE 26 + + 1324 .dwattr $C$DW$41, DW_AT_location[DW_OP_reg9] + 1325 + 1326 $C$DW$42 .dwtag DW_TAG_TI_assign_register + 1327 .dwattr $C$DW$42, DW_AT_name("r10") + 1328 .dwattr $C$DW$42, DW_AT_location[DW_OP_reg10] + 1329 + 1330 $C$DW$43 .dwtag DW_TAG_TI_assign_register + 1331 .dwattr $C$DW$43, DW_AT_name("r11") + 1332 .dwattr $C$DW$43, DW_AT_location[DW_OP_reg11] + 1333 + 1334 $C$DW$44 .dwtag DW_TAG_TI_assign_register + 1335 .dwattr $C$DW$44, DW_AT_name("r12") + 1336 .dwattr $C$DW$44, DW_AT_location[DW_OP_reg12] + 1337 + 1338 $C$DW$45 .dwtag DW_TAG_TI_assign_register + 1339 .dwattr $C$DW$45, DW_AT_name("r13") + 1340 .dwattr $C$DW$45, DW_AT_location[DW_OP_reg13] + 1341 + 1342 $C$DW$46 .dwtag DW_TAG_TI_assign_register + 1343 .dwattr $C$DW$46, DW_AT_name("r14") + 1344 .dwattr $C$DW$46, DW_AT_location[DW_OP_reg14] + 1345 + 1346 $C$DW$47 .dwtag DW_TAG_TI_assign_register + 1347 .dwattr $C$DW$47, DW_AT_name("r15") + 1348 .dwattr $C$DW$47, DW_AT_location[DW_OP_reg15] + 1349 + 1350 $C$DW$48 .dwtag DW_TAG_TI_assign_register + 1351 .dwattr $C$DW$48, DW_AT_name("CIE_RETA") + 1352 .dwattr $C$DW$48, DW_AT_location[DW_OP_reg16] + 1353 + 1354 .dwendtag $C$DW$CU + 1355 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/Lab1_Problem1/Debug/ccsObjs.opt b/CPE325/Lab1_Problem1/Debug/ccsObjs.opt new file mode 100644 index 0000000..c3038ce --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./P1a.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/Lab1_Problem1/Debug/for.d b/CPE325/Lab1_Problem1/Debug/for.d new file mode 100644 index 0000000..ae195ba --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/for.d @@ -0,0 +1,6 @@ +# FIXED + +for.obj: ../for.c + +../for.c: + diff --git a/CPE325/Lab1_Problem1/Debug/for.lst b/CPE325/Lab1_Problem1/Debug/for.lst new file mode 100644 index 0000000..7fbf6f0 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/for.lst @@ -0,0 +1,119 @@ +MSP430 Assembler PC v20.2.5 Sun Aug 22 14:01:15 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{D9BF6471-3FB4-4F61-BC55-D1489D24AE1E} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Sun Aug 22 14:01:15 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../for.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\Lab1_Problem1\Debug") + 12 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 13 + 14 ;****************************************************************************** + 15 ;* BUILD ATTRIBUTES * + 16 ;****************************************************************************** + 17 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 18 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0000000000000000000000000 + 19 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 20 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(1) + 21 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 22 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 23 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 24 + 25 ;****************************************************************************** + 26 ;* TYPE INFORMATION * + 27 ;****************************************************************************** + 28 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + 29 .dwattr $C$DW$T$2, DW_AT_name("void") + 30 + 31 $C$DW$T$4 .dwtag DW_TAG_base_type + 32 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 33 .dwattr $C$DW$T$4, DW_AT_name("bool") + 34 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + 35 + 36 $C$DW$T$5 .dwtag DW_TAG_base_type + 37 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 38 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 39 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 40 + 41 $C$DW$T$6 .dwtag DW_TAG_base_type + 42 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 43 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 44 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 45 + 46 $C$DW$T$7 .dwtag DW_TAG_base_type + 47 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 48 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 49 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 50 + 51 $C$DW$T$8 .dwtag DW_TAG_base_type + 52 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 53 .dwattr $C$DW$T$8, DW_AT_name("short") + 54 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 55 + MSP430 Assembler PC v20.2.5 Sun Aug 22 14:01:15 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{D9BF6471-3FB4-4F61-BC55-D1489D24AE1E} PAGE 2 + + 56 $C$DW$T$9 .dwtag DW_TAG_base_type + 57 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 58 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 59 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 60 + 61 $C$DW$T$10 .dwtag DW_TAG_base_type + 62 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 63 .dwattr $C$DW$T$10, DW_AT_name("int") + 64 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 65 + 66 $C$DW$T$11 .dwtag DW_TAG_base_type + 67 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 68 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 69 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 70 + 71 $C$DW$T$12 .dwtag DW_TAG_base_type + 72 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 73 .dwattr $C$DW$T$12, DW_AT_name("long") + 74 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 75 + 76 $C$DW$T$13 .dwtag DW_TAG_base_type + 77 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 78 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 79 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 80 + 81 $C$DW$T$14 .dwtag DW_TAG_base_type + 82 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 83 .dwattr $C$DW$T$14, DW_AT_name("long long") + 84 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 85 + 86 $C$DW$T$15 .dwtag DW_TAG_base_type + 87 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 88 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 89 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 90 + 91 $C$DW$T$16 .dwtag DW_TAG_base_type + 92 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 93 .dwattr $C$DW$T$16, DW_AT_name("float") + 94 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 95 + 96 $C$DW$T$17 .dwtag DW_TAG_base_type + 97 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 98 .dwattr $C$DW$T$17, DW_AT_name("double") + 99 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 100 + 101 $C$DW$T$18 .dwtag DW_TAG_base_type + 102 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 103 .dwattr $C$DW$T$18, DW_AT_name("long double") + 104 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 105 + 106 .dwendtag $C$DW$CU + 107 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/Lab1_Problem1/Debug/makefile b/CPE325/Lab1_Problem1/Debug/makefile new file mode 100644 index 0000000..dc214f9 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/makefile @@ -0,0 +1,168 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./P1a.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab1_Problem1.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab1_Problem1.out" \ + +BIN_OUTPUTS += \ +Lab1_Problem1.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab1_Problem1.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab1_Problem1.out" + +# Tool invocations +Lab1_Problem1.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing -z -m"Lab1_Problem1.map" --heap_size=300 --stack_size=160 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab1_Problem1_linkInfo.xml" --use_hw_mpy=F5 --rom_model -o "Lab1_Problem1.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab1_Problem1.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab1_Problem1.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "P1a.lst" + -$(RM) "P1a.obj" + -$(RM) "P1a.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab1_Problem1/Debug/objects.mk b/CPE325/Lab1_Problem1/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/Lab1_Problem1/Debug/sources.mk b/CPE325/Lab1_Problem1/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab1_Problem1/Debug/subdir_rules.mk b/CPE325/Lab1_Problem1/Debug/subdir_rules.mk new file mode 100644 index 0000000..fa9aff4 --- /dev/null +++ b/CPE325/Lab1_Problem1/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab1_Problem1" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430F5529__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --asm_listing --preproc_with_compile --preproc_dependency="$(basename $( +#include +int prod(int f, int s, int c, int p); + +int main() { + + //Intialize variables + int first = 4; + int second = 8; + int counter = 0; + int pr = 0; + int product = 0; + //Call loop + product =prod(first,second,counter,pr); + //Print output + printf ("%d times %d is %d\n", first,second,product); +} + +int prod(int f, int s, int c, int p){ + p =p+ f; //Add first number to product + c=c+1; //Increment counter by 1 + if (c!=s) p= prod(f,s,c,p); // If counter is not equal to the second number, + // call the function agian + return p; +} + diff --git a/CPE325/Lab1_Problem1/lnk_msp430f5529.cmd b/CPE325/Lab1_Problem1/lnk_msp430f5529.cmd new file mode 100755 index 0000000..d595380 --- /dev/null +++ b/CPE325/Lab1_Problem1/lnk_msp430f5529.cmd @@ -0,0 +1,251 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430f5529.cmd - LINKER COMMAND FILE FOR LINKING MSP430F5529 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/Lab1_Problem1/targetConfigs/MSP430F5529.ccxml b/CPE325/Lab1_Problem1/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/Lab1_Problem1/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab1_Problem1/targetConfigs/readme.txt b/CPE325/Lab1_Problem1/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab1_Problem1/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab4_Problem1/Debug/Lab4.map b/CPE325/Lab4_Problem1/Debug/Lab4.map new file mode 100644 index 0000000..985ed4e --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/Lab4.map @@ -0,0 +1,1760 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Sep 10 13:42:44 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "RESET" address: 0000441e + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 00000000 00002000 RWIX + FLASH 00004400 0000bb80 0000005a 0000bb26 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000000 00000002 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.stack 0 00004400 00000000 + +.text 0 00004400 00000052 + 00004400 00000048 Lab04_D1.obj (.text) + 00004448 0000000a main.obj (.text) + +.text:_isr +* 0 00004452 00000008 + 00004452 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00004400 00000000 UNINITIALIZED + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +.reset 0 00000000 00000004 FAILED TO ALLOCATE +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + Lab04_D1.obj 72 0 0 + main.obj 10 0 0 + +--+------------------+------+---------+---------+ + Total: 82 0 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + isr_trap.asm.obj 8 0 0 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + +--+------------------+------+---------+---------+ + Total: 8 44 0 + + +--+------------------+------+---------+---------+ + Grand Total: 90 44 0 + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +0000441e RESET +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +00004400 __STACK_END +00000000 __STACK_SIZE +00004452 __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00004400 __STACK_END +0000441e RESET +00004452 __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[760 symbols] diff --git a/CPE325/Lab4_Problem1/Debug/Lab4_Problem1.map b/CPE325/Lab4_Problem1/Debug/Lab4_Problem1.map new file mode 100644 index 0000000..7e4dc9f --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/Lab4_Problem1.map @@ -0,0 +1,1763 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Sep 17 14:19:03 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "RESET" address: 00004400 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 00000022 00001fde RWIX + FLASH 00004400 0000bb80 00000056 0000bb2a RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00002400 00000022 + 00002400 00000022 main.obj (.data) + +.stack 0 00004400 00000000 + +.text 0 00004400 0000004e + 00004400 0000004e main.obj (.text) + +.text:_isr +* 0 0000444e 00000008 + 0000444e 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00004400 00000000 UNINITIALIZED + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 main.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + main.obj 78 2 34 + +--+------------------+------+---------+---------+ + Total: 78 2 34 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + isr_trap.asm.obj 8 0 0 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + +--+------------------+------+---------+---------+ + Total: 8 44 0 + + +--+------------------+------+---------+---------+ + Grand Total: 86 46 34 + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +00004400 RESET +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +00004400 __STACK_END +00000000 __STACK_SIZE +0000444e __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00004400 RESET +00004400 __STACK_END +0000444e __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[760 symbols] diff --git a/CPE325/Lab4_Problem1/Debug/Lab4_Problem1.out b/CPE325/Lab4_Problem1/Debug/Lab4_Problem1.out new file mode 100644 index 0000000..5f6f69d Binary files /dev/null and b/CPE325/Lab4_Problem1/Debug/Lab4_Problem1.out differ diff --git a/CPE325/Lab4_Problem1/Debug/Lab4_Problem1_linkInfo.xml b/CPE325/Lab4_Problem1/Debug/Lab4_Problem1_linkInfo.xml new file mode 100644 index 0000000..5b3f6ff --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/Lab4_Problem1_linkInfo.xml @@ -0,0 +1,5279 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x6144ea27 + 0x0 + Lab4_Problem1.out + + RESET +
0x4400
+
+ + + .\ + object + main.obj + main.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + + + .data + 0x2400 + 0x2400 + 0x22 + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + .text + 0x4400 + 0x4400 + 0x4e + + + + .text:_isr:__TI_ISR_TRAP + 0x444e + 0x444e + 0x8 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0xc2 + + + + .debug_info + 0xc2 + 0xc2 + 0x10f + + + + .debug_info + 0x1d1 + 0x1d1 + 0x96 + + + .debug_line + 0x0 + 0x0 + 0x54 + + + + .debug_line + 0x54 + 0x54 + 0x3d + + + + .debug_abbrev + 0x0 + 0x0 + 0x25 + + + + .debug_abbrev + 0x25 + 0x25 + 0x28 + + + + .debug_abbrev + 0x4d + 0x4d + 0xf + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x2a + + + + .debug_pubnames + 0x2a + 0x2a + 0x2b + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x2400 + 0x2400 + 0x22 + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + + + + + .text + 0x4400 + 0x4400 + 0x4e + + + + + + .text:_isr + 0x444e + 0x444e + 0x8 + + + + + + .cinit + 0x0 + 0x0 + + + + + .const + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x267 + + + + + + + + .debug_line + 0x0 + 0x0 + 0x91 + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x5c + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x40 + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x55 + + + + + + + SEGMENT_0 + 0x2400 + 0x2400 + 0x22 + 0x6 + + + + + + SEGMENT_1 + 0x4400 + 0x4400 + 0x56 + 0x5 + + + + + + + SEGMENT_2 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x22 + 0x1fde + RWIX + + + 0x2400 + 0x22 + + + + 0x2422 + 0x1fde + + + 0x4400 + 0x0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x56 + 0xbb2a + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x4e + + + + 0x444e + 0x8 + + + + 0x4456 + 0xbb2a + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __STACK_SIZE + 0x0 + + + __STACK_END + 0x4400 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + RESET + 0x4400 + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x444e + + + + Link successful +
diff --git a/CPE325/Lab4_Problem1/Debug/Lab4_linkInfo.xml b/CPE325/Lab4_Problem1/Debug/Lab4_linkInfo.xml new file mode 100644 index 0000000..b16e1f3 --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/Lab4_linkInfo.xml @@ -0,0 +1,5329 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x613ba724 + 0x2 + Lab4.out + + RESET +
0x441e
+
+ + + .\ + object + Lab04_D1.obj + Lab04_D1.obj + + + .\ + object + main.obj + main.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + .text + 0x4400 + 0x4400 + 0x48 + + + + .text + 0x4448 + 0x4448 + 0xa + + + + .text:_isr:__TI_ISR_TRAP + 0x4452 + 0x4452 + 0x8 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0x0 + 0x0 + 0x2 + + + + .reset + 0x2 + 0x2 + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0xc1 + + + + .debug_info + 0xc1 + 0xc1 + 0xb9 + + + + .debug_info + 0x17a + 0x17a + 0x10f + + + + .debug_info + 0x289 + 0x289 + 0x8d + + + .debug_line + 0x0 + 0x0 + 0x4b + + + + .debug_line + 0x4b + 0x4b + 0x3b + + + + .debug_line + 0x86 + 0x86 + 0x3d + + + + .debug_abbrev + 0x0 + 0x0 + 0x25 + + + + .debug_abbrev + 0x25 + 0x25 + 0x25 + + + + .debug_abbrev + 0x4a + 0x4a + 0x28 + + + + .debug_abbrev + 0x72 + 0x72 + 0xf + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x2e + + + + .debug_pubnames + 0x2e + 0x2e + 0x2a + + + + .debug_pubnames + 0x58 + 0x58 + 0x2b + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x0 + 0x0 + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + + + + + + .text + 0x4400 + 0x4400 + 0x52 + + + + + + + .text:_isr + 0x4452 + 0x4452 + 0x8 + + + + + + .cinit + 0x0 + 0x0 + + + + + .const + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0x0 + 0x0 + 0x4 + + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x316 + + + + + + + + + .debug_line + 0x0 + 0x0 + 0xc3 + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x81 + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x60 + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x83 + + + + + + + + SEGMENT_0 + 0x0 + 0x0 + 0x4 + 0x4 + + + + + + SEGMENT_1 + 0x4400 + 0x4400 + 0x5a + 0x5 + + + + + + + SEGMENT_2 + 0xffd2 + 0xffd2 + 0x2c + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x0 + 0x2000 + RWIX + + + 0x2400 + 0x2000 + + + 0x4400 + 0x0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x5a + 0xbb26 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x52 + + + + 0x4452 + 0x8 + + + + 0x445a + 0xbb26 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x0 + 0x2 + RWIX + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __STACK_SIZE + 0x0 + + + __STACK_END + 0x4400 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + RESET + 0x441e + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x4452 + + + + Link errors: red sections failed placement,available memory ranges in purple +
diff --git a/CPE325/Lab4_Problem1/Debug/ccsObjs.opt b/CPE325/Lab4_Problem1/Debug/ccsObjs.opt new file mode 100644 index 0000000..d254a20 --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./main.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/Lab4_Problem1/Debug/main.obj b/CPE325/Lab4_Problem1/Debug/main.obj new file mode 100644 index 0000000..a5b4f16 Binary files /dev/null and b/CPE325/Lab4_Problem1/Debug/main.obj differ diff --git a/CPE325/Lab4_Problem1/Debug/makefile b/CPE325/Lab4_Problem1/Debug/makefile new file mode 100644 index 0000000..ed3786e --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/makefile @@ -0,0 +1,167 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./main.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab4_Problem1.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab4_Problem1.out" \ + +BIN_OUTPUTS += \ +Lab4_Problem1.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab4_Problem1.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab4_Problem1.out" + +# Tool invocations +Lab4_Problem1.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --code_model=small --data_model=small --use_hw_mpy=F5 --advice:power="all" --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 -z -m"Lab4_Problem1.map" --heap_size=0 --stack_size=0 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab4_Problem1_linkInfo.xml" --entry_point=RESET --use_hw_mpy=F5 -o "Lab4_Problem1.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab4_Problem1.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab4_Problem1.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "main.obj" + -$(RM) "main.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab4_Problem1/Debug/objects.mk b/CPE325/Lab4_Problem1/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/Lab4_Problem1/Debug/sources.mk b/CPE325/Lab4_Problem1/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab4_Problem1/Debug/subdir_rules.mk b/CPE325/Lab4_Problem1/Debug/subdir_rules.mk new file mode 100644 index 0000000..ddd4e56 --- /dev/null +++ b/CPE325/Lab4_Problem1/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.asm $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --code_model=small --data_model=small --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab4_Problem1" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power="all" --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/Lab4_Problem1/main.asm b/CPE325/Lab4_Problem1/main.asm new file mode 100644 index 0000000..564ca40 --- /dev/null +++ b/CPE325/Lab4_Problem1/main.asm @@ -0,0 +1,69 @@ +;------------------------------------------------------------------------------- +; MSP430 Assembler Code Template for use with TI Code Composer Studio +; +; +;------------------------------------------------------------------------------- + .cdecls C,LIST,"msp430.h" ; Include device header file + +;------------------------------------------------------------------------------- + .def RESET ; Export program entry-point to + ; make it known to linker. +;------------------------------------------------------------------------------- + .data +myStr: .cstring "!#$%&()*+,-./:;<=>?@[\\]^_`{|}~" ; Define cstring variable +symb_count: .int 0 ; counter variable + .text ; Assemble into program memory. + .retain ; Override ELF conditional linking + ; and retain current section. + .retainrefs ; And retain any sections that have + ; references to current section. + +;------------------------------------------------------------------------------- +RESET mov.w #__STACK_END,SP ; Initialize stackpointer +StopWDT mov.w #WDTPW|WDTHOLD,&WDTCTL ; Stop watchdog timer + + +;------------------------------------------------------------------------------- +; Main loop here +;------------------------------------------------------------------------------- +main: mov.w #myStr, R4 ; Move the starting address of myStr into register 4 + clr.b R5 ; Register 5 will serve as a counter +gnext: mov.b @R4+, R6 ; get a new character + cmp.b #0, R6 ; check for null character + jeq lend ; if null, go to end + cmp.b #97, R6 ; check if 'a' + jeq gnext ; if equal, get next character + jge range2 ; if greater or equal, jump to range2 + jl capitals ; if less than, jump to numbers label + +range2: cmp.b #123, R6 ; is it '{' char + jge incr ; if it is, jump to incr + jl gnext ; if less than, get next character + +capitals: cmp.b #91, R6 ; is it '[' + jge incr ; if it is or greater, jump to incr + cmp.b #65, R6 ; is it 'A' + jge gnext ; if equal or greater, get next character + cmp.b #58, R6 ; is it ';' + jge incr ; if greater or equal, jump to incr + cmp.b #48, R6 ; is it '0' + jge gnext ; if equal or greater, get next character +incr: inc.w R5 ; increment counter + jmp gnext ; get next character + +lend: mov.w R5,&P1OUT ; Write result in P1OUT (not visible on port pins) + bis.w #LPM4, SR ; LPM4 + nop ; Required only for debugger + +;------------------------------------------------------------------------------- +; Stack Pointer definition +;------------------------------------------------------------------------------- + .global __STACK_END + .sect .stack + +;------------------------------------------------------------------------------- +; Interrupt Vectors +;------------------------------------------------------------------------------- + .sect ".reset" ; MSP430 RESET Vector + .short RESET + diff --git a/CPE325/Lab4_Problem1/targetConfigs/MSP430F5529.ccxml b/CPE325/Lab4_Problem1/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/Lab4_Problem1/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab4_Problem1/targetConfigs/readme.txt b/CPE325/Lab4_Problem1/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab4_Problem1/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab4_Problem2/Debug/Lab4_Problem2.map b/CPE325/Lab4_Problem2/Debug/Lab4_Problem2.map new file mode 100644 index 0000000..b304da0 --- /dev/null +++ b/CPE325/Lab4_Problem2/Debug/Lab4_Problem2.map @@ -0,0 +1,1763 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Sep 17 14:25:58 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "RESET" address: 00004400 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOD 00001800 00000080 00000000 00000080 RWIX + INFOC 00001880 00000080 00000000 00000080 RWIX + INFOB 00001900 00000080 00000000 00000080 RWIX + INFOA 00001980 00000080 00000000 00000080 RWIX + USBRAM 00001c00 00000800 00000000 00000800 RWIX + RAM 00002400 00002000 00000004 00001ffc RWIX + FLASH 00004400 0000bb80 0000007c 0000bb04 RWIX + INT00 0000ff80 00000002 00000000 00000002 RWIX + INT01 0000ff82 00000002 00000000 00000002 RWIX + INT02 0000ff84 00000002 00000000 00000002 RWIX + INT03 0000ff86 00000002 00000000 00000002 RWIX + INT04 0000ff88 00000002 00000000 00000002 RWIX + INT05 0000ff8a 00000002 00000000 00000002 RWIX + INT06 0000ff8c 00000002 00000000 00000002 RWIX + INT07 0000ff8e 00000002 00000000 00000002 RWIX + INT08 0000ff90 00000002 00000000 00000002 RWIX + INT09 0000ff92 00000002 00000000 00000002 RWIX + INT10 0000ff94 00000002 00000000 00000002 RWIX + INT11 0000ff96 00000002 00000000 00000002 RWIX + INT12 0000ff98 00000002 00000000 00000002 RWIX + INT13 0000ff9a 00000002 00000000 00000002 RWIX + INT14 0000ff9c 00000002 00000000 00000002 RWIX + INT15 0000ff9e 00000002 00000000 00000002 RWIX + INT16 0000ffa0 00000002 00000000 00000002 RWIX + INT17 0000ffa2 00000002 00000000 00000002 RWIX + INT18 0000ffa4 00000002 00000000 00000002 RWIX + INT19 0000ffa6 00000002 00000000 00000002 RWIX + INT20 0000ffa8 00000002 00000000 00000002 RWIX + INT21 0000ffaa 00000002 00000000 00000002 RWIX + INT22 0000ffac 00000002 00000000 00000002 RWIX + INT23 0000ffae 00000002 00000000 00000002 RWIX + INT24 0000ffb0 00000002 00000000 00000002 RWIX + INT25 0000ffb2 00000002 00000000 00000002 RWIX + INT26 0000ffb4 00000002 00000000 00000002 RWIX + INT27 0000ffb6 00000002 00000000 00000002 RWIX + INT28 0000ffb8 00000002 00000000 00000002 RWIX + INT29 0000ffba 00000002 00000000 00000002 RWIX + INT30 0000ffbc 00000002 00000000 00000002 RWIX + INT31 0000ffbe 00000002 00000000 00000002 RWIX + INT32 0000ffc0 00000002 00000000 00000002 RWIX + INT33 0000ffc2 00000002 00000000 00000002 RWIX + INT34 0000ffc4 00000002 00000000 00000002 RWIX + INT35 0000ffc6 00000002 00000000 00000002 RWIX + INT36 0000ffc8 00000002 00000000 00000002 RWIX + INT37 0000ffca 00000002 00000000 00000002 RWIX + INT38 0000ffcc 00000002 00000000 00000002 RWIX + INT39 0000ffce 00000002 00000000 00000002 RWIX + INT40 0000ffd0 00000002 00000000 00000002 RWIX + INT41 0000ffd2 00000002 00000002 00000000 RWIX + INT42 0000ffd4 00000002 00000002 00000000 RWIX + INT43 0000ffd6 00000002 00000002 00000000 RWIX + INT44 0000ffd8 00000002 00000002 00000000 RWIX + INT45 0000ffda 00000002 00000002 00000000 RWIX + INT46 0000ffdc 00000002 00000002 00000000 RWIX + INT47 0000ffde 00000002 00000002 00000000 RWIX + INT48 0000ffe0 00000002 00000002 00000000 RWIX + INT49 0000ffe2 00000002 00000002 00000000 RWIX + INT50 0000ffe4 00000002 00000002 00000000 RWIX + INT51 0000ffe6 00000002 00000002 00000000 RWIX + INT52 0000ffe8 00000002 00000002 00000000 RWIX + INT53 0000ffea 00000002 00000002 00000000 RWIX + INT54 0000ffec 00000002 00000002 00000000 RWIX + INT55 0000ffee 00000002 00000002 00000000 RWIX + INT56 0000fff0 00000002 00000002 00000000 RWIX + INT57 0000fff2 00000002 00000002 00000000 RWIX + INT58 0000fff4 00000002 00000002 00000000 RWIX + INT59 0000fff6 00000002 00000002 00000000 RWIX + INT60 0000fff8 00000002 00000002 00000000 RWIX + INT61 0000fffa 00000002 00000002 00000000 RWIX + INT62 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 000143f8 00000000 000143f8 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00002400 00000004 + 00002400 00000004 main.obj (.data) + +.stack 0 00004400 00000000 + +.text 0 00004400 00000074 + 00004400 00000074 main.obj (.text) + +.text:_isr +* 0 00004474 00000008 + 00004474 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00004400 00000000 UNINITIALIZED + +.binit 0 00004400 00000000 + +.init_array +* 0 00004400 00000000 UNINITIALIZED + +RTC 0 0000ffd2 00000002 + 0000ffd2 00000002 rts430_eabi.lib : int41.asm.obj (.int41) + +PORT2 0 0000ffd4 00000002 + 0000ffd4 00000002 rts430_eabi.lib : int42.asm.obj (.int42) + +TIMER2_A1 +* 0 0000ffd6 00000002 + 0000ffd6 00000002 rts430_eabi.lib : int43.asm.obj (.int43) + +TIMER2_A0 +* 0 0000ffd8 00000002 + 0000ffd8 00000002 rts430_eabi.lib : int44.asm.obj (.int44) + +USCI_B1 0 0000ffda 00000002 + 0000ffda 00000002 rts430_eabi.lib : int45.asm.obj (.int45) + +USCI_A1 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int46.asm.obj (.int46) + +PORT1 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int47.asm.obj (.int47) + +TIMER1_A1 +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int48.asm.obj (.int48) + +TIMER1_A0 +* 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int49.asm.obj (.int49) + +DMA 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int50.asm.obj (.int50) + +USB_UBM 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int51.asm.obj (.int51) + +TIMER0_A1 +* 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430_eabi.lib : int52.asm.obj (.int52) + +TIMER0_A0 +* 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int53.asm.obj (.int53) + +ADC12 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int54.asm.obj (.int54) + +USCI_B0 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int55.asm.obj (.int55) + +USCI_A0 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int56.asm.obj (.int56) + +WDT 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int57.asm.obj (.int57) + +TIMER0_B1 +* 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int58.asm.obj (.int58) + +TIMER0_B0 +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int59.asm.obj (.int59) + +COMP_B 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int60.asm.obj (.int60) + +UNMI 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int61.asm.obj (.int61) + +SYSNMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int62.asm.obj (.int62) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 main.obj (.reset) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + main.obj 116 2 4 + +--+------------------+------+---------+---------+ + Total: 116 2 4 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + isr_trap.asm.obj 8 0 0 + int41.asm.obj 0 2 0 + int42.asm.obj 0 2 0 + int43.asm.obj 0 2 0 + int44.asm.obj 0 2 0 + int45.asm.obj 0 2 0 + int46.asm.obj 0 2 0 + int47.asm.obj 0 2 0 + int48.asm.obj 0 2 0 + int49.asm.obj 0 2 0 + int50.asm.obj 0 2 0 + int51.asm.obj 0 2 0 + int52.asm.obj 0 2 0 + int53.asm.obj 0 2 0 + int54.asm.obj 0 2 0 + int55.asm.obj 0 2 0 + int56.asm.obj 0 2 0 + int57.asm.obj 0 2 0 + int58.asm.obj 0 2 0 + int59.asm.obj 0 2 0 + int60.asm.obj 0 2 0 + int61.asm.obj 0 2 0 + int62.asm.obj 0 2 0 + +--+------------------+------+---------+---------+ + Total: 8 44 0 + + +--+------------------+------+---------+---------+ + Grand Total: 124 46 4 + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +00000700 ADC12CTL0 +00000701 ADC12CTL0_H +00000700 ADC12CTL0_L +00000702 ADC12CTL1 +00000703 ADC12CTL1_H +00000702 ADC12CTL1_L +00000704 ADC12CTL2 +00000705 ADC12CTL2_H +00000704 ADC12CTL2_L +0000070c ADC12IE +0000070d ADC12IE_H +0000070c ADC12IE_L +0000070a ADC12IFG +0000070b ADC12IFG_H +0000070a ADC12IFG_L +0000070e ADC12IV +0000070f ADC12IV_H +0000070e ADC12IV_L +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +00000720 ADC12MEM0 +00000721 ADC12MEM0_H +00000720 ADC12MEM0_L +00000722 ADC12MEM1 +00000734 ADC12MEM10 +00000735 ADC12MEM10_H +00000734 ADC12MEM10_L +00000736 ADC12MEM11 +00000737 ADC12MEM11_H +00000736 ADC12MEM11_L +00000738 ADC12MEM12 +00000739 ADC12MEM12_H +00000738 ADC12MEM12_L +0000073a ADC12MEM13 +0000073b ADC12MEM13_H +0000073a ADC12MEM13_L +0000073c ADC12MEM14 +0000073d ADC12MEM14_H +0000073c ADC12MEM14_L +0000073e ADC12MEM15 +0000073f ADC12MEM15_H +0000073e ADC12MEM15_L +00000723 ADC12MEM1_H +00000722 ADC12MEM1_L +00000724 ADC12MEM2 +00000725 ADC12MEM2_H +00000724 ADC12MEM2_L +00000726 ADC12MEM3 +00000727 ADC12MEM3_H +00000726 ADC12MEM3_L +00000728 ADC12MEM4 +00000729 ADC12MEM4_H +00000728 ADC12MEM4_L +0000072a ADC12MEM5 +0000072b ADC12MEM5_H +0000072a ADC12MEM5_L +0000072c ADC12MEM6 +0000072d ADC12MEM6_H +0000072c ADC12MEM6_L +0000072e ADC12MEM7 +0000072f ADC12MEM7_H +0000072e ADC12MEM7_L +00000730 ADC12MEM8 +00000731 ADC12MEM8_H +00000730 ADC12MEM8_L +00000732 ADC12MEM9 +00000733 ADC12MEM9_H +00000732 ADC12MEM9_L +000008c0 CBCTL0 +000008c1 CBCTL0_H +000008c0 CBCTL0_L +000008c2 CBCTL1 +000008c3 CBCTL1_H +000008c2 CBCTL1_L +000008c4 CBCTL2 +000008c5 CBCTL2_H +000008c4 CBCTL2_L +000008c6 CBCTL3 +000008c7 CBCTL3_H +000008c6 CBCTL3_L +000008cc CBINT +000008cd CBINT_H +000008cc CBINT_L +000008ce CBIV +00000150 CRCDI +00000152 CRCDIRB +00000153 CRCDIRB_H +00000152 CRCDIRB_L +00000151 CRCDI_H +00000150 CRCDI_L +00000154 CRCINIRES +00000155 CRCINIRES_H +00000154 CRCINIRES_L +00000156 CRCRESR +00000157 CRCRESR_H +00000156 CRCRESR_L +00000510 DMA0CTL +00000516 DMA0DA +00000518 DMA0DAH +00000516 DMA0DAL +00000512 DMA0SA +00000514 DMA0SAH +00000512 DMA0SAL +0000051a DMA0SZ +00000520 DMA1CTL +00000526 DMA1DA +00000528 DMA1DAH +00000526 DMA1DAL +00000522 DMA1SA +00000524 DMA1SAH +00000522 DMA1SAL +0000052a DMA1SZ +00000530 DMA2CTL +00000536 DMA2DA +00000538 DMA2DAH +00000536 DMA2DAL +00000532 DMA2SA +00000534 DMA2SAH +00000532 DMA2SAL +0000053a DMA2SZ +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000140 FCTL1 +00000141 FCTL1_H +00000140 FCTL1_L +00000144 FCTL3 +00000145 FCTL3_H +00000144 FCTL3_L +00000146 FCTL4 +00000147 FCTL4_H +00000146 FCTL4_L +000004c4 MAC +000004da MAC32H +000004db MAC32H_H +000004da MAC32H_L +000004d8 MAC32L +000004d9 MAC32L_H +000004d8 MAC32L_L +000004c6 MACS +000004de MACS32H +000004df MACS32H_H +000004de MACS32H_L +000004dc MACS32L +000004dd MACS32L_H +000004dc MACS32L_L +000004c7 MACS_H +000004c6 MACS_L +000004c5 MAC_H +000004c4 MAC_L +000004c0 MPY +000004ec MPY32CTL0 +000004ed MPY32CTL0_H +000004ec MPY32CTL0_L +000004d2 MPY32H +000004d3 MPY32H_H +000004d2 MPY32H_L +000004d0 MPY32L +000004d1 MPY32L_H +000004d0 MPY32L_L +000004c2 MPYS +000004d6 MPYS32H +000004d7 MPYS32H_H +000004d6 MPYS32H_L +000004d4 MPYS32L +000004d5 MPYS32L_H +000004d4 MPYS32L_L +000004c3 MPYS_H +000004c2 MPYS_L +000004c1 MPY_H +000004c0 MPY_L +000004c8 OP2 +000004e2 OP2H +000004e3 OP2H_H +000004e2 OP2H_L +000004e0 OP2L +000004e1 OP2L_H +000004e0 OP2L_L +000004c9 OP2_H +000004c8 OP2_L +0000020e P1IV +0000021e P2IV +000001e0 P4MAP01 +000001e1 P4MAP01_H +000001e0 P4MAP01_L +000001e2 P4MAP23 +000001e3 P4MAP23_H +000001e2 P4MAP23_L +000001e4 P4MAP45 +000001e5 P4MAP45_H +000001e4 P4MAP45_L +000001e6 P4MAP67 +000001e7 P4MAP67_H +000001e6 P4MAP67_L +00000204 PADIR +00000205 PADIR_H +00000204 PADIR_L +00000208 PADS +00000209 PADS_H +00000208 PADS_L +0000021a PAIE +00000218 PAIES +00000219 PAIES_H +00000218 PAIES_L +0000021b PAIE_H +0000021a PAIE_L +0000021c PAIFG +0000021d PAIFG_H +0000021c PAIFG_L +00000200 PAIN +00000201 PAIN_H +00000200 PAIN_L +00000202 PAOUT +00000203 PAOUT_H +00000202 PAOUT_L +00000206 PAREN +00000207 PAREN_H +00000206 PAREN_L +0000020a PASEL +0000020b PASEL_H +0000020a PASEL_L +00000224 PBDIR +00000225 PBDIR_H +00000224 PBDIR_L +00000228 PBDS +00000229 PBDS_H +00000228 PBDS_L +00000220 PBIN +00000221 PBIN_H +00000220 PBIN_L +00000222 PBOUT +00000223 PBOUT_H +00000222 PBOUT_L +00000226 PBREN +00000227 PBREN_H +00000226 PBREN_L +0000022a PBSEL +0000022b PBSEL_H +0000022a PBSEL_L +00000244 PCDIR +00000245 PCDIR_H +00000244 PCDIR_L +00000248 PCDS +00000249 PCDS_H +00000248 PCDS_L +00000240 PCIN +00000241 PCIN_H +00000240 PCIN_L +00000242 PCOUT +00000243 PCOUT_H +00000242 PCOUT_L +00000246 PCREN +00000247 PCREN_H +00000246 PCREN_L +0000024a PCSEL +0000024b PCSEL_H +0000024a PCSEL_L +00000264 PDDIR +00000265 PDDIR_H +00000264 PDDIR_L +00000268 PDDS +00000269 PDDS_H +00000268 PDDS_L +00000260 PDIN +00000261 PDIN_H +00000260 PDIN_L +00000262 PDOUT +00000263 PDOUT_H +00000262 PDOUT_L +00000266 PDREN +00000267 PDREN_H +00000266 PDREN_L +0000026a PDSEL +0000026b PDSEL_H +0000026a PDSEL_L +00000324 PJDIR +00000325 PJDIR_H +00000324 PJDIR_L +00000328 PJDS +00000329 PJDS_H +00000328 PJDS_L +00000320 PJIN +00000321 PJIN_H +00000320 PJIN_L +00000322 PJOUT +00000323 PJOUT_H +00000322 PJOUT_L +00000326 PJREN +00000327 PJREN_H +00000326 PJREN_L +00000130 PM5CTL0 +00000131 PM5CTL0_H +00000130 PM5CTL0_L +000001c2 PMAPCTL +000001c3 PMAPCTL_H +000001c2 PMAPCTL_L +000001c0 PMAPKEYID +000001c1 PMAPKEYID_H +000001c0 PMAPKEYID_L +00000120 PMMCTL0 +00000121 PMMCTL0_H +00000120 PMMCTL0_L +00000122 PMMCTL1 +00000123 PMMCTL1_H +00000122 PMMCTL1_L +0000012c PMMIFG +0000012d PMMIFG_H +0000012c PMMIFG_L +0000012e PMMRIE +0000012f PMMRIE_H +0000012e PMMRIE_L +00000158 RCCTL0 +00000159 RCCTL0_H +00000158 RCCTL0_L +000001b0 REFCTL0 +000001b1 REFCTL0_H +000001b0 REFCTL0_L +000004e4 RES0 +000004e5 RES0_H +000004e4 RES0_L +000004e6 RES1 +000004e7 RES1_H +000004e6 RES1_L +000004e8 RES2 +000004e9 RES2_H +000004e8 RES2_L +000004ea RES3 +000004eb RES3_H +000004ea RES3_L +00004400 RESET +000004cc RESHI +000004cd RESHI_H +000004cc RESHI_L +000004ca RESLO +000004cb RESLO_H +000004ca RESLO_L +000004ba RTCADOWDAY +000004bb RTCADOWDAY_H +000004ba RTCADOWDAY_L +000004b8 RTCAMINHR +000004b9 RTCAMINHR_H +000004b8 RTCAMINHR_L +000004a0 RTCCTL01 +000004a1 RTCCTL01_H +000004a0 RTCCTL01_L +000004a2 RTCCTL23 +000004a3 RTCCTL23_H +000004a2 RTCCTL23_L +000004b4 RTCDATE +000004b5 RTCDATE_H +000004b4 RTCDATE_L +000004ae RTCIV +000004ac RTCPS +000004a8 RTCPS0CTL +000004a9 RTCPS0CTL_H +000004a8 RTCPS0CTL_L +000004aa RTCPS1CTL +000004ab RTCPS1CTL_H +000004aa RTCPS1CTL_L +000004ad RTCPS_H +000004ac RTCPS_L +000004b0 RTCTIM0 +000004b1 RTCTIM0_H +000004b0 RTCTIM0_L +000004b2 RTCTIM1 +000004b3 RTCTIM1_H +000004b2 RTCTIM1_L +000004b6 RTCYEAR +000004b7 RTCYEAR_H +000004b6 RTCYEAR_L +00000100 SFRIE1 +00000101 SFRIE1_H +00000100 SFRIE1_L +00000102 SFRIFG1 +00000103 SFRIFG1_H +00000102 SFRIFG1_L +00000104 SFRRPCR +00000105 SFRRPCR_H +00000104 SFRRPCR_L +000004ce SUMEXT +000004cf SUMEXT_H +000004ce SUMEXT_L +00000124 SVSMHCTL +00000125 SVSMHCTL_H +00000124 SVSMHCTL_L +00000128 SVSMIO +00000129 SVSMIO_H +00000128 SVSMIO_L +00000126 SVSMLCTL +00000127 SVSMLCTL_H +00000126 SVSMLCTL_L +00000198 SYSBERRIV +00000199 SYSBERRIV_H +00000198 SYSBERRIV_L +00000182 SYSBSLC +00000183 SYSBSLC_H +00000182 SYSBSLC_L +00000180 SYSCTL +00000181 SYSCTL_H +00000180 SYSCTL_L +00000186 SYSJMBC +00000187 SYSJMBC_H +00000186 SYSJMBC_L +00000188 SYSJMBI0 +00000189 SYSJMBI0_H +00000188 SYSJMBI0_L +0000018a SYSJMBI1 +0000018b SYSJMBI1_H +0000018a SYSJMBI1_L +0000018c SYSJMBO0 +0000018d SYSJMBO0_H +0000018c SYSJMBO0_L +0000018e SYSJMBO1 +0000018f SYSJMBO1_H +0000018e SYSJMBO1_L +0000019e SYSRSTIV +0000019f SYSRSTIV_H +0000019e SYSRSTIV_L +0000019c SYSSNIV +0000019d SYSSNIV_H +0000019c SYSSNIV_L +0000019a SYSUNIV +0000019b SYSUNIV_H +0000019a SYSUNIV_L +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000340 TA0CTL +00000360 TA0EX0 +0000036e TA0IV +00000350 TA0R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000380 TA1CTL +000003a0 TA1EX0 +000003ae TA1IV +00000390 TA1R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000400 TA2CTL +00000420 TA2EX0 +0000042e TA2IV +00000410 TA2R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003c0 TB0CTL +000003e0 TB0EX0 +000003ee TB0IV +000003d0 TB0R +000005d0 UCA0ABCTL +000005c6 UCA0BRW +000005c7 UCA0BRW_H +000005c6 UCA0BRW_L +000005c0 UCA0CTLW0 +000005c1 UCA0CTLW0_H +000005c0 UCA0CTLW0_L +000005dc UCA0ICTL +000005dd UCA0ICTL_H +000005dc UCA0ICTL_L +000005d2 UCA0IRCTL +000005d3 UCA0IRCTL_H +000005d2 UCA0IRCTL_L +000005de UCA0IV +000005c8 UCA0MCTL +000005cc UCA0RXBUF +000005ca UCA0STAT +000005ce UCA0TXBUF +00000610 UCA1ABCTL +00000606 UCA1BRW +00000607 UCA1BRW_H +00000606 UCA1BRW_L +00000600 UCA1CTLW0 +00000601 UCA1CTLW0_H +00000600 UCA1CTLW0_L +0000061c UCA1ICTL +0000061d UCA1ICTL_H +0000061c UCA1ICTL_L +00000612 UCA1IRCTL +00000613 UCA1IRCTL_H +00000612 UCA1IRCTL_L +0000061e UCA1IV +00000608 UCA1MCTL +0000060c UCA1RXBUF +0000060a UCA1STAT +0000060e UCA1TXBUF +000005e6 UCB0BRW +000005e7 UCB0BRW_H +000005e6 UCB0BRW_L +000005e0 UCB0CTLW0 +000005e1 UCB0CTLW0_H +000005e0 UCB0CTLW0_L +000005f0 UCB0I2COA +000005f1 UCB0I2COA_H +000005f0 UCB0I2COA_L +000005f2 UCB0I2CSA +000005f3 UCB0I2CSA_H +000005f2 UCB0I2CSA_L +000005fc UCB0ICTL +000005fd UCB0ICTL_H +000005fc UCB0ICTL_L +000005fe UCB0IV +000005ec UCB0RXBUF +000005ea UCB0STAT +000005ee UCB0TXBUF +00000626 UCB1BRW +00000627 UCB1BRW_H +00000626 UCB1BRW_L +00000620 UCB1CTLW0 +00000621 UCB1CTLW0_H +00000620 UCB1CTLW0_L +00000630 UCB1I2COA +00000631 UCB1I2COA_H +00000630 UCB1I2COA_L +00000632 UCB1I2CSA +00000633 UCB1I2CSA_H +00000632 UCB1I2CSA_L +0000063c UCB1ICTL +0000063d UCB1ICTL_H +0000063c UCB1ICTL_L +0000063e UCB1IV +0000062c UCB1RXBUF +0000062a UCB1STAT +0000062e UCB1TXBUF +00000160 UCSCTL0 +00000161 UCSCTL0_H +00000160 UCSCTL0_L +00000162 UCSCTL1 +00000163 UCSCTL1_H +00000162 UCSCTL1_L +00000164 UCSCTL2 +00000165 UCSCTL2_H +00000164 UCSCTL2_L +00000166 UCSCTL3 +00000167 UCSCTL3_H +00000166 UCSCTL3_L +00000168 UCSCTL4 +00000169 UCSCTL4_H +00000168 UCSCTL4_L +0000016a UCSCTL5 +0000016b UCSCTL5_H +0000016a UCSCTL5_L +0000016c UCSCTL6 +0000016d UCSCTL6_H +0000016c UCSCTL6_L +0000016e UCSCTL7 +0000016f UCSCTL7_H +0000016e UCSCTL7_L +00000170 UCSCTL8 +00000171 UCSCTL8_H +00000170 UCSCTL8_L +00000902 USBCNF +00000903 USBCNF_H +00000902 USBCNF_L +0000093c USBCTL +0000093a USBFN +0000093b USBFN_H +0000093a USBFN_L +0000093f USBFUNADR +0000093d USBIE +00002378 USBIEP0BUF +000023c9 USBIEPBBAX_1 +000023d1 USBIEPBBAX_2 +000023d9 USBIEPBBAX_3 +000023e1 USBIEPBBAX_4 +000023e9 USBIEPBBAX_5 +000023f1 USBIEPBBAX_6 +000023f9 USBIEPBBAX_7 +000023cd USBIEPBBAY_1 +000023d5 USBIEPBBAY_2 +000023dd USBIEPBBAY_3 +000023e5 USBIEPBBAY_4 +000023ed USBIEPBBAY_5 +000023f5 USBIEPBBAY_6 +000023fd USBIEPBBAY_7 +000023ca USBIEPBCTX_1 +000023d2 USBIEPBCTX_2 +000023da USBIEPBCTX_3 +000023e2 USBIEPBCTX_4 +000023ea USBIEPBCTX_5 +000023f2 USBIEPBCTX_6 +000023fa USBIEPBCTX_7 +000023ce USBIEPBCTY_1 +000023d6 USBIEPBCTY_2 +000023de USBIEPBCTY_3 +000023e6 USBIEPBCTY_4 +000023ee USBIEPBCTY_5 +000023f6 USBIEPBCTY_6 +000023fe USBIEPBCTY_7 +00000920 USBIEPCNF_0 +000023c8 USBIEPCNF_1 +000023d0 USBIEPCNF_2 +000023d8 USBIEPCNF_3 +000023e0 USBIEPCNF_4 +000023e8 USBIEPCNF_5 +000023f0 USBIEPCNF_6 +000023f8 USBIEPCNF_7 +00000921 USBIEPCNT_0 +0000092e USBIEPIE +00000930 USBIEPIFG +000023cf USBIEPSIZXY_1 +000023d7 USBIEPSIZXY_2 +000023df USBIEPSIZXY_3 +000023e7 USBIEPSIZXY_4 +000023ef USBIEPSIZXY_5 +000023f7 USBIEPSIZXY_6 +000023ff USBIEPSIZXY_7 +0000093e USBIFG +00000900 USBKEYID +00000901 USBKEYID_H +00000900 USBKEYID_L +00000936 USBMAINT +00000937 USBMAINT_H +00000936 USBMAINT_L +00002370 USBOEP0BUF +00002389 USBOEPBBAX_1 +00002391 USBOEPBBAX_2 +00002399 USBOEPBBAX_3 +000023a1 USBOEPBBAX_4 +000023a9 USBOEPBBAX_5 +000023b1 USBOEPBBAX_6 +000023b9 USBOEPBBAX_7 +0000238d USBOEPBBAY_1 +00002395 USBOEPBBAY_2 +0000239d USBOEPBBAY_3 +000023a5 USBOEPBBAY_4 +000023ad USBOEPBBAY_5 +000023b5 USBOEPBBAY_6 +000023bd USBOEPBBAY_7 +0000238a USBOEPBCTX_1 +00002392 USBOEPBCTX_2 +0000239a USBOEPBCTX_3 +000023a2 USBOEPBCTX_4 +000023aa USBOEPBCTX_5 +000023b2 USBOEPBCTX_6 +000023ba USBOEPBCTX_7 +0000238e USBOEPBCTY_1 +00002396 USBOEPBCTY_2 +0000239e USBOEPBCTY_3 +000023a6 USBOEPBCTY_4 +000023ae USBOEPBCTY_5 +000023b6 USBOEPBCTY_6 +000023be USBOEPBCTY_7 +00000922 USBOEPCNF_0 +00002388 USBOEPCNF_1 +00002390 USBOEPCNF_2 +00002398 USBOEPCNF_3 +000023a0 USBOEPCNF_4 +000023a8 USBOEPCNF_5 +000023b0 USBOEPCNF_6 +000023b8 USBOEPCNF_7 +00000923 USBOEPCNT_0 +0000092f USBOEPIE +00000931 USBOEPIFG +0000238f USBOEPSIZXY_1 +00002397 USBOEPSIZXY_2 +0000239f USBOEPSIZXY_3 +000023a7 USBOEPSIZXY_4 +000023af USBOEPSIZXY_5 +000023b7 USBOEPSIZXY_6 +000023bf USBOEPSIZXY_7 +00000904 USBPHYCTL +00000905 USBPHYCTL_H +00000904 USBPHYCTL_L +00000910 USBPLLCTL +00000911 USBPLLCTL_H +00000910 USBPLLCTL_L +00000912 USBPLLDIVB +00000913 USBPLLDIVB_H +00000912 USBPLLDIVB_L +00000914 USBPLLIR +00000915 USBPLLIR_H +00000914 USBPLLIR_L +00000908 USBPWRCTL +00000909 USBPWRCTL_H +00000908 USBPWRCTL_L +00001c00 USBSTABUFF +00002380 USBSUBLK +0000236f USBTOPBUFF +00000938 USBTSREG +00000939 USBTSREG_H +00000938 USBTSREG_L +00000932 USBVECINT +00000933 USBVECINT_H +00000932 USBVECINT_L +0000015c WDTCTL +0000015d WDTCTL_H +0000015c WDTCTL_L +00004400 __STACK_END +00000000 __STACK_SIZE +00004474 __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 __STACK_SIZE +00000100 SFRIE1 +00000100 SFRIE1_L +00000101 SFRIE1_H +00000102 SFRIFG1 +00000102 SFRIFG1_L +00000103 SFRIFG1_H +00000104 SFRRPCR +00000104 SFRRPCR_L +00000105 SFRRPCR_H +00000120 PMMCTL0 +00000120 PMMCTL0_L +00000121 PMMCTL0_H +00000122 PMMCTL1 +00000122 PMMCTL1_L +00000123 PMMCTL1_H +00000124 SVSMHCTL +00000124 SVSMHCTL_L +00000125 SVSMHCTL_H +00000126 SVSMLCTL +00000126 SVSMLCTL_L +00000127 SVSMLCTL_H +00000128 SVSMIO +00000128 SVSMIO_L +00000129 SVSMIO_H +0000012c PMMIFG +0000012c PMMIFG_L +0000012d PMMIFG_H +0000012e PMMRIE +0000012e PMMRIE_L +0000012f PMMRIE_H +00000130 PM5CTL0 +00000130 PM5CTL0_L +00000131 PM5CTL0_H +00000140 FCTL1 +00000140 FCTL1_L +00000141 FCTL1_H +00000144 FCTL3 +00000144 FCTL3_L +00000145 FCTL3_H +00000146 FCTL4 +00000146 FCTL4_L +00000147 FCTL4_H +00000150 CRCDI +00000150 CRCDI_L +00000151 CRCDI_H +00000152 CRCDIRB +00000152 CRCDIRB_L +00000153 CRCDIRB_H +00000154 CRCINIRES +00000154 CRCINIRES_L +00000155 CRCINIRES_H +00000156 CRCRESR +00000156 CRCRESR_L +00000157 CRCRESR_H +00000158 RCCTL0 +00000158 RCCTL0_L +00000159 RCCTL0_H +0000015c WDTCTL +0000015c WDTCTL_L +0000015d WDTCTL_H +00000160 UCSCTL0 +00000160 UCSCTL0_L +00000161 UCSCTL0_H +00000162 UCSCTL1 +00000162 UCSCTL1_L +00000163 UCSCTL1_H +00000164 UCSCTL2 +00000164 UCSCTL2_L +00000165 UCSCTL2_H +00000166 UCSCTL3 +00000166 UCSCTL3_L +00000167 UCSCTL3_H +00000168 UCSCTL4 +00000168 UCSCTL4_L +00000169 UCSCTL4_H +0000016a UCSCTL5 +0000016a UCSCTL5_L +0000016b UCSCTL5_H +0000016c UCSCTL6 +0000016c UCSCTL6_L +0000016d UCSCTL6_H +0000016e UCSCTL7 +0000016e UCSCTL7_L +0000016f UCSCTL7_H +00000170 UCSCTL8 +00000170 UCSCTL8_L +00000171 UCSCTL8_H +00000180 SYSCTL +00000180 SYSCTL_L +00000181 SYSCTL_H +00000182 SYSBSLC +00000182 SYSBSLC_L +00000183 SYSBSLC_H +00000186 SYSJMBC +00000186 SYSJMBC_L +00000187 SYSJMBC_H +00000188 SYSJMBI0 +00000188 SYSJMBI0_L +00000189 SYSJMBI0_H +0000018a SYSJMBI1 +0000018a SYSJMBI1_L +0000018b SYSJMBI1_H +0000018c SYSJMBO0 +0000018c SYSJMBO0_L +0000018d SYSJMBO0_H +0000018e SYSJMBO1 +0000018e SYSJMBO1_L +0000018f SYSJMBO1_H +00000198 SYSBERRIV +00000198 SYSBERRIV_L +00000199 SYSBERRIV_H +0000019a SYSUNIV +0000019a SYSUNIV_L +0000019b SYSUNIV_H +0000019c SYSSNIV +0000019c SYSSNIV_L +0000019d SYSSNIV_H +0000019e SYSRSTIV +0000019e SYSRSTIV_L +0000019f SYSRSTIV_H +000001b0 REFCTL0 +000001b0 REFCTL0_L +000001b1 REFCTL0_H +000001c0 PMAPKEYID +000001c0 PMAPKEYID_L +000001c1 PMAPKEYID_H +000001c2 PMAPCTL +000001c2 PMAPCTL_L +000001c3 PMAPCTL_H +000001e0 P4MAP01 +000001e0 P4MAP01_L +000001e1 P4MAP01_H +000001e2 P4MAP23 +000001e2 P4MAP23_L +000001e3 P4MAP23_H +000001e4 P4MAP45 +000001e4 P4MAP45_L +000001e5 P4MAP45_H +000001e6 P4MAP67 +000001e6 P4MAP67_L +000001e7 P4MAP67_H +00000200 PAIN +00000200 PAIN_L +00000201 PAIN_H +00000202 PAOUT +00000202 PAOUT_L +00000203 PAOUT_H +00000204 PADIR +00000204 PADIR_L +00000205 PADIR_H +00000206 PAREN +00000206 PAREN_L +00000207 PAREN_H +00000208 PADS +00000208 PADS_L +00000209 PADS_H +0000020a PASEL +0000020a PASEL_L +0000020b PASEL_H +0000020e P1IV +00000218 PAIES +00000218 PAIES_L +00000219 PAIES_H +0000021a PAIE +0000021a PAIE_L +0000021b PAIE_H +0000021c PAIFG +0000021c PAIFG_L +0000021d PAIFG_H +0000021e P2IV +00000220 PBIN +00000220 PBIN_L +00000221 PBIN_H +00000222 PBOUT +00000222 PBOUT_L +00000223 PBOUT_H +00000224 PBDIR +00000224 PBDIR_L +00000225 PBDIR_H +00000226 PBREN +00000226 PBREN_L +00000227 PBREN_H +00000228 PBDS +00000228 PBDS_L +00000229 PBDS_H +0000022a PBSEL +0000022a PBSEL_L +0000022b PBSEL_H +00000240 PCIN +00000240 PCIN_L +00000241 PCIN_H +00000242 PCOUT +00000242 PCOUT_L +00000243 PCOUT_H +00000244 PCDIR +00000244 PCDIR_L +00000245 PCDIR_H +00000246 PCREN +00000246 PCREN_L +00000247 PCREN_H +00000248 PCDS +00000248 PCDS_L +00000249 PCDS_H +0000024a PCSEL +0000024a PCSEL_L +0000024b PCSEL_H +00000260 PDIN +00000260 PDIN_L +00000261 PDIN_H +00000262 PDOUT +00000262 PDOUT_L +00000263 PDOUT_H +00000264 PDDIR +00000264 PDDIR_L +00000265 PDDIR_H +00000266 PDREN +00000266 PDREN_L +00000267 PDREN_H +00000268 PDDS +00000268 PDDS_L +00000269 PDDS_H +0000026a PDSEL +0000026a PDSEL_L +0000026b PDSEL_H +00000320 PJIN +00000320 PJIN_L +00000321 PJIN_H +00000322 PJOUT +00000322 PJOUT_L +00000323 PJOUT_H +00000324 PJDIR +00000324 PJDIR_L +00000325 PJDIR_H +00000326 PJREN +00000326 PJREN_L +00000327 PJREN_H +00000328 PJDS +00000328 PJDS_L +00000329 PJDS_H +00000340 TA0CTL +00000342 TA0CCTL0 +00000344 TA0CCTL1 +00000346 TA0CCTL2 +00000348 TA0CCTL3 +0000034a TA0CCTL4 +00000350 TA0R +00000352 TA0CCR0 +00000354 TA0CCR1 +00000356 TA0CCR2 +00000358 TA0CCR3 +0000035a TA0CCR4 +00000360 TA0EX0 +0000036e TA0IV +00000380 TA1CTL +00000382 TA1CCTL0 +00000384 TA1CCTL1 +00000386 TA1CCTL2 +00000390 TA1R +00000392 TA1CCR0 +00000394 TA1CCR1 +00000396 TA1CCR2 +000003a0 TA1EX0 +000003ae TA1IV +000003c0 TB0CTL +000003c2 TB0CCTL0 +000003c4 TB0CCTL1 +000003c6 TB0CCTL2 +000003c8 TB0CCTL3 +000003ca TB0CCTL4 +000003cc TB0CCTL5 +000003ce TB0CCTL6 +000003d0 TB0R +000003d2 TB0CCR0 +000003d4 TB0CCR1 +000003d6 TB0CCR2 +000003d8 TB0CCR3 +000003da TB0CCR4 +000003dc TB0CCR5 +000003de TB0CCR6 +000003e0 TB0EX0 +000003ee TB0IV +00000400 TA2CTL +00000402 TA2CCTL0 +00000404 TA2CCTL1 +00000406 TA2CCTL2 +00000410 TA2R +00000412 TA2CCR0 +00000414 TA2CCR1 +00000416 TA2CCR2 +00000420 TA2EX0 +0000042e TA2IV +000004a0 RTCCTL01 +000004a0 RTCCTL01_L +000004a1 RTCCTL01_H +000004a2 RTCCTL23 +000004a2 RTCCTL23_L +000004a3 RTCCTL23_H +000004a8 RTCPS0CTL +000004a8 RTCPS0CTL_L +000004a9 RTCPS0CTL_H +000004aa RTCPS1CTL +000004aa RTCPS1CTL_L +000004ab RTCPS1CTL_H +000004ac RTCPS +000004ac RTCPS_L +000004ad RTCPS_H +000004ae RTCIV +000004b0 RTCTIM0 +000004b0 RTCTIM0_L +000004b1 RTCTIM0_H +000004b2 RTCTIM1 +000004b2 RTCTIM1_L +000004b3 RTCTIM1_H +000004b4 RTCDATE +000004b4 RTCDATE_L +000004b5 RTCDATE_H +000004b6 RTCYEAR +000004b6 RTCYEAR_L +000004b7 RTCYEAR_H +000004b8 RTCAMINHR +000004b8 RTCAMINHR_L +000004b9 RTCAMINHR_H +000004ba RTCADOWDAY +000004ba RTCADOWDAY_L +000004bb RTCADOWDAY_H +000004c0 MPY +000004c0 MPY_L +000004c1 MPY_H +000004c2 MPYS +000004c2 MPYS_L +000004c3 MPYS_H +000004c4 MAC +000004c4 MAC_L +000004c5 MAC_H +000004c6 MACS +000004c6 MACS_L +000004c7 MACS_H +000004c8 OP2 +000004c8 OP2_L +000004c9 OP2_H +000004ca RESLO +000004ca RESLO_L +000004cb RESLO_H +000004cc RESHI +000004cc RESHI_L +000004cd RESHI_H +000004ce SUMEXT +000004ce SUMEXT_L +000004cf SUMEXT_H +000004d0 MPY32L +000004d0 MPY32L_L +000004d1 MPY32L_H +000004d2 MPY32H +000004d2 MPY32H_L +000004d3 MPY32H_H +000004d4 MPYS32L +000004d4 MPYS32L_L +000004d5 MPYS32L_H +000004d6 MPYS32H +000004d6 MPYS32H_L +000004d7 MPYS32H_H +000004d8 MAC32L +000004d8 MAC32L_L +000004d9 MAC32L_H +000004da MAC32H +000004da MAC32H_L +000004db MAC32H_H +000004dc MACS32L +000004dc MACS32L_L +000004dd MACS32L_H +000004de MACS32H +000004de MACS32H_L +000004df MACS32H_H +000004e0 OP2L +000004e0 OP2L_L +000004e1 OP2L_H +000004e2 OP2H +000004e2 OP2H_L +000004e3 OP2H_H +000004e4 RES0 +000004e4 RES0_L +000004e5 RES0_H +000004e6 RES1 +000004e6 RES1_L +000004e7 RES1_H +000004e8 RES2 +000004e8 RES2_L +000004e9 RES2_H +000004ea RES3 +000004ea RES3_L +000004eb RES3_H +000004ec MPY32CTL0 +000004ec MPY32CTL0_L +000004ed MPY32CTL0_H +00000500 DMACTL0 +00000502 DMACTL1 +00000504 DMACTL2 +00000506 DMACTL3 +00000508 DMACTL4 +0000050e DMAIV +00000510 DMA0CTL +00000512 DMA0SA +00000512 DMA0SAL +00000514 DMA0SAH +00000516 DMA0DA +00000516 DMA0DAL +00000518 DMA0DAH +0000051a DMA0SZ +00000520 DMA1CTL +00000522 DMA1SA +00000522 DMA1SAL +00000524 DMA1SAH +00000526 DMA1DA +00000526 DMA1DAL +00000528 DMA1DAH +0000052a DMA1SZ +00000530 DMA2CTL +00000532 DMA2SA +00000532 DMA2SAL +00000534 DMA2SAH +00000536 DMA2DA +00000536 DMA2DAL +00000538 DMA2DAH +0000053a DMA2SZ +000005c0 UCA0CTLW0 +000005c0 UCA0CTLW0_L +000005c1 UCA0CTLW0_H +000005c6 UCA0BRW +000005c6 UCA0BRW_L +000005c7 UCA0BRW_H +000005c8 UCA0MCTL +000005ca UCA0STAT +000005cc UCA0RXBUF +000005ce UCA0TXBUF +000005d0 UCA0ABCTL +000005d2 UCA0IRCTL +000005d2 UCA0IRCTL_L +000005d3 UCA0IRCTL_H +000005dc UCA0ICTL +000005dc UCA0ICTL_L +000005dd UCA0ICTL_H +000005de UCA0IV +000005e0 UCB0CTLW0 +000005e0 UCB0CTLW0_L +000005e1 UCB0CTLW0_H +000005e6 UCB0BRW +000005e6 UCB0BRW_L +000005e7 UCB0BRW_H +000005ea UCB0STAT +000005ec UCB0RXBUF +000005ee UCB0TXBUF +000005f0 UCB0I2COA +000005f0 UCB0I2COA_L +000005f1 UCB0I2COA_H +000005f2 UCB0I2CSA +000005f2 UCB0I2CSA_L +000005f3 UCB0I2CSA_H +000005fc UCB0ICTL +000005fc UCB0ICTL_L +000005fd UCB0ICTL_H +000005fe UCB0IV +00000600 UCA1CTLW0 +00000600 UCA1CTLW0_L +00000601 UCA1CTLW0_H +00000606 UCA1BRW +00000606 UCA1BRW_L +00000607 UCA1BRW_H +00000608 UCA1MCTL +0000060a UCA1STAT +0000060c UCA1RXBUF +0000060e UCA1TXBUF +00000610 UCA1ABCTL +00000612 UCA1IRCTL +00000612 UCA1IRCTL_L +00000613 UCA1IRCTL_H +0000061c UCA1ICTL +0000061c UCA1ICTL_L +0000061d UCA1ICTL_H +0000061e UCA1IV +00000620 UCB1CTLW0 +00000620 UCB1CTLW0_L +00000621 UCB1CTLW0_H +00000626 UCB1BRW +00000626 UCB1BRW_L +00000627 UCB1BRW_H +0000062a UCB1STAT +0000062c UCB1RXBUF +0000062e UCB1TXBUF +00000630 UCB1I2COA +00000630 UCB1I2COA_L +00000631 UCB1I2COA_H +00000632 UCB1I2CSA +00000632 UCB1I2CSA_L +00000633 UCB1I2CSA_H +0000063c UCB1ICTL +0000063c UCB1ICTL_L +0000063d UCB1ICTL_H +0000063e UCB1IV +00000700 ADC12CTL0 +00000700 ADC12CTL0_L +00000701 ADC12CTL0_H +00000702 ADC12CTL1 +00000702 ADC12CTL1_L +00000703 ADC12CTL1_H +00000704 ADC12CTL2 +00000704 ADC12CTL2_L +00000705 ADC12CTL2_H +0000070a ADC12IFG +0000070a ADC12IFG_L +0000070b ADC12IFG_H +0000070c ADC12IE +0000070c ADC12IE_L +0000070d ADC12IE_H +0000070e ADC12IV +0000070e ADC12IV_L +0000070f ADC12IV_H +00000710 ADC12MCTL0 +00000711 ADC12MCTL1 +00000712 ADC12MCTL2 +00000713 ADC12MCTL3 +00000714 ADC12MCTL4 +00000715 ADC12MCTL5 +00000716 ADC12MCTL6 +00000717 ADC12MCTL7 +00000718 ADC12MCTL8 +00000719 ADC12MCTL9 +0000071a ADC12MCTL10 +0000071b ADC12MCTL11 +0000071c ADC12MCTL12 +0000071d ADC12MCTL13 +0000071e ADC12MCTL14 +0000071f ADC12MCTL15 +00000720 ADC12MEM0 +00000720 ADC12MEM0_L +00000721 ADC12MEM0_H +00000722 ADC12MEM1 +00000722 ADC12MEM1_L +00000723 ADC12MEM1_H +00000724 ADC12MEM2 +00000724 ADC12MEM2_L +00000725 ADC12MEM2_H +00000726 ADC12MEM3 +00000726 ADC12MEM3_L +00000727 ADC12MEM3_H +00000728 ADC12MEM4 +00000728 ADC12MEM4_L +00000729 ADC12MEM4_H +0000072a ADC12MEM5 +0000072a ADC12MEM5_L +0000072b ADC12MEM5_H +0000072c ADC12MEM6 +0000072c ADC12MEM6_L +0000072d ADC12MEM6_H +0000072e ADC12MEM7 +0000072e ADC12MEM7_L +0000072f ADC12MEM7_H +00000730 ADC12MEM8 +00000730 ADC12MEM8_L +00000731 ADC12MEM8_H +00000732 ADC12MEM9 +00000732 ADC12MEM9_L +00000733 ADC12MEM9_H +00000734 ADC12MEM10 +00000734 ADC12MEM10_L +00000735 ADC12MEM10_H +00000736 ADC12MEM11 +00000736 ADC12MEM11_L +00000737 ADC12MEM11_H +00000738 ADC12MEM12 +00000738 ADC12MEM12_L +00000739 ADC12MEM12_H +0000073a ADC12MEM13 +0000073a ADC12MEM13_L +0000073b ADC12MEM13_H +0000073c ADC12MEM14 +0000073c ADC12MEM14_L +0000073d ADC12MEM14_H +0000073e ADC12MEM15 +0000073e ADC12MEM15_L +0000073f ADC12MEM15_H +000008c0 CBCTL0 +000008c0 CBCTL0_L +000008c1 CBCTL0_H +000008c2 CBCTL1 +000008c2 CBCTL1_L +000008c3 CBCTL1_H +000008c4 CBCTL2 +000008c4 CBCTL2_L +000008c5 CBCTL2_H +000008c6 CBCTL3 +000008c6 CBCTL3_L +000008c7 CBCTL3_H +000008cc CBINT +000008cc CBINT_L +000008cd CBINT_H +000008ce CBIV +00000900 USBKEYID +00000900 USBKEYID_L +00000901 USBKEYID_H +00000902 USBCNF +00000902 USBCNF_L +00000903 USBCNF_H +00000904 USBPHYCTL +00000904 USBPHYCTL_L +00000905 USBPHYCTL_H +00000908 USBPWRCTL +00000908 USBPWRCTL_L +00000909 USBPWRCTL_H +00000910 USBPLLCTL +00000910 USBPLLCTL_L +00000911 USBPLLCTL_H +00000912 USBPLLDIVB +00000912 USBPLLDIVB_L +00000913 USBPLLDIVB_H +00000914 USBPLLIR +00000914 USBPLLIR_L +00000915 USBPLLIR_H +00000920 USBIEPCNF_0 +00000921 USBIEPCNT_0 +00000922 USBOEPCNF_0 +00000923 USBOEPCNT_0 +0000092e USBIEPIE +0000092f USBOEPIE +00000930 USBIEPIFG +00000931 USBOEPIFG +00000932 USBVECINT +00000932 USBVECINT_L +00000933 USBVECINT_H +00000936 USBMAINT +00000936 USBMAINT_L +00000937 USBMAINT_H +00000938 USBTSREG +00000938 USBTSREG_L +00000939 USBTSREG_H +0000093a USBFN +0000093a USBFN_L +0000093b USBFN_H +0000093c USBCTL +0000093d USBIE +0000093e USBIFG +0000093f USBFUNADR +00001c00 USBSTABUFF +0000236f USBTOPBUFF +00002370 USBOEP0BUF +00002378 USBIEP0BUF +00002380 USBSUBLK +00002388 USBOEPCNF_1 +00002389 USBOEPBBAX_1 +0000238a USBOEPBCTX_1 +0000238d USBOEPBBAY_1 +0000238e USBOEPBCTY_1 +0000238f USBOEPSIZXY_1 +00002390 USBOEPCNF_2 +00002391 USBOEPBBAX_2 +00002392 USBOEPBCTX_2 +00002395 USBOEPBBAY_2 +00002396 USBOEPBCTY_2 +00002397 USBOEPSIZXY_2 +00002398 USBOEPCNF_3 +00002399 USBOEPBBAX_3 +0000239a USBOEPBCTX_3 +0000239d USBOEPBBAY_3 +0000239e USBOEPBCTY_3 +0000239f USBOEPSIZXY_3 +000023a0 USBOEPCNF_4 +000023a1 USBOEPBBAX_4 +000023a2 USBOEPBCTX_4 +000023a5 USBOEPBBAY_4 +000023a6 USBOEPBCTY_4 +000023a7 USBOEPSIZXY_4 +000023a8 USBOEPCNF_5 +000023a9 USBOEPBBAX_5 +000023aa USBOEPBCTX_5 +000023ad USBOEPBBAY_5 +000023ae USBOEPBCTY_5 +000023af USBOEPSIZXY_5 +000023b0 USBOEPCNF_6 +000023b1 USBOEPBBAX_6 +000023b2 USBOEPBCTX_6 +000023b5 USBOEPBBAY_6 +000023b6 USBOEPBCTY_6 +000023b7 USBOEPSIZXY_6 +000023b8 USBOEPCNF_7 +000023b9 USBOEPBBAX_7 +000023ba USBOEPBCTX_7 +000023bd USBOEPBBAY_7 +000023be USBOEPBCTY_7 +000023bf USBOEPSIZXY_7 +000023c8 USBIEPCNF_1 +000023c9 USBIEPBBAX_1 +000023ca USBIEPBCTX_1 +000023cd USBIEPBBAY_1 +000023ce USBIEPBCTY_1 +000023cf USBIEPSIZXY_1 +000023d0 USBIEPCNF_2 +000023d1 USBIEPBBAX_2 +000023d2 USBIEPBCTX_2 +000023d5 USBIEPBBAY_2 +000023d6 USBIEPBCTY_2 +000023d7 USBIEPSIZXY_2 +000023d8 USBIEPCNF_3 +000023d9 USBIEPBBAX_3 +000023da USBIEPBCTX_3 +000023dd USBIEPBBAY_3 +000023de USBIEPBCTY_3 +000023df USBIEPSIZXY_3 +000023e0 USBIEPCNF_4 +000023e1 USBIEPBBAX_4 +000023e2 USBIEPBCTX_4 +000023e5 USBIEPBBAY_4 +000023e6 USBIEPBCTY_4 +000023e7 USBIEPSIZXY_4 +000023e8 USBIEPCNF_5 +000023e9 USBIEPBBAX_5 +000023ea USBIEPBCTX_5 +000023ed USBIEPBBAY_5 +000023ee USBIEPBCTY_5 +000023ef USBIEPSIZXY_5 +000023f0 USBIEPCNF_6 +000023f1 USBIEPBBAX_6 +000023f2 USBIEPBCTX_6 +000023f5 USBIEPBBAY_6 +000023f6 USBIEPBCTY_6 +000023f7 USBIEPSIZXY_6 +000023f8 USBIEPCNF_7 +000023f9 USBIEPBBAX_7 +000023fa USBIEPBCTX_7 +000023fd USBIEPBBAY_7 +000023fe USBIEPBCTY_7 +000023ff USBIEPSIZXY_7 +00004400 RESET +00004400 __STACK_END +00004474 __TI_ISR_TRAP +0000ffd2 __TI_int41 +0000ffd4 __TI_int42 +0000ffd6 __TI_int43 +0000ffd8 __TI_int44 +0000ffda __TI_int45 +0000ffdc __TI_int46 +0000ffde __TI_int47 +0000ffe0 __TI_int48 +0000ffe2 __TI_int49 +0000ffe4 __TI_int50 +0000ffe6 __TI_int51 +0000ffe8 __TI_int52 +0000ffea __TI_int53 +0000ffec __TI_int54 +0000ffee __TI_int55 +0000fff0 __TI_int56 +0000fff2 __TI_int57 +0000fff4 __TI_int58 +0000fff6 __TI_int59 +0000fff8 __TI_int60 +0000fffa __TI_int61 +0000fffc __TI_int62 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[760 symbols] diff --git a/CPE325/Lab4_Problem2/Debug/Lab4_Problem2.out b/CPE325/Lab4_Problem2/Debug/Lab4_Problem2.out new file mode 100644 index 0000000..317301e Binary files /dev/null and b/CPE325/Lab4_Problem2/Debug/Lab4_Problem2.out differ diff --git a/CPE325/Lab4_Problem2/Debug/Lab4_Problem2_linkInfo.xml b/CPE325/Lab4_Problem2/Debug/Lab4_Problem2_linkInfo.xml new file mode 100644 index 0000000..e1ed397 --- /dev/null +++ b/CPE325/Lab4_Problem2/Debug/Lab4_Problem2_linkInfo.xml @@ -0,0 +1,5279 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x6144ebc6 + 0x0 + Lab4_Problem2.out + + RESET +
0x4400
+
+ + + .\ + object + main.obj + main.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int41.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int42.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int43.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int44.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int45.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int46.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int47.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int48.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int49.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int50.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int51.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int52.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int53.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int54.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int55.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int56.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int57.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int58.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int59.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int60.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int61.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int62.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_f5hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_f5hw.asm.obj + + + + + .data + 0x2400 + 0x2400 + 0x4 + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + .text + 0x4400 + 0x4400 + 0x74 + + + + .text:_isr:__TI_ISR_TRAP + 0x4474 + 0x4474 + 0x8 + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + .int41 + 0xffd2 + 0xffd2 + 0x2 + + + + .int42 + 0xffd4 + 0xffd4 + 0x2 + + + + .int43 + 0xffd6 + 0xffd6 + 0x2 + + + + .int44 + 0xffd8 + 0xffd8 + 0x2 + + + + .int45 + 0xffda + 0xffda + 0x2 + + + + .int46 + 0xffdc + 0xffdc + 0x2 + + + + .int47 + 0xffde + 0xffde + 0x2 + + + + .int48 + 0xffe0 + 0xffe0 + 0x2 + + + + .int49 + 0xffe2 + 0xffe2 + 0x2 + + + + .int50 + 0xffe4 + 0xffe4 + 0x2 + + + + .int51 + 0xffe6 + 0xffe6 + 0x2 + + + + .int52 + 0xffe8 + 0xffe8 + 0x2 + + + + .int53 + 0xffea + 0xffea + 0x2 + + + + .int54 + 0xffec + 0xffec + 0x2 + + + + .int55 + 0xffee + 0xffee + 0x2 + + + + .int56 + 0xfff0 + 0xfff0 + 0x2 + + + + .int57 + 0xfff2 + 0xfff2 + 0x2 + + + + .int58 + 0xfff4 + 0xfff4 + 0x2 + + + + .int59 + 0xfff6 + 0xfff6 + 0x2 + + + + .int60 + 0xfff8 + 0xfff8 + 0x2 + + + + .int61 + 0xfffa + 0xfffa + 0x2 + + + + .int62 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0xc2 + + + + .debug_info + 0xc2 + 0xc2 + 0x10f + + + + .debug_info + 0x1d1 + 0x1d1 + 0x96 + + + .debug_line + 0x0 + 0x0 + 0x65 + + + + .debug_line + 0x65 + 0x65 + 0x3d + + + + .debug_abbrev + 0x0 + 0x0 + 0x25 + + + + .debug_abbrev + 0x25 + 0x25 + 0x28 + + + + .debug_abbrev + 0x4d + 0x4d + 0xf + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x2a + + + + .debug_pubnames + 0x2a + 0x2a + 0x2b + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x2400 + 0x2400 + 0x4 + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x4400 + 0x4400 + 0x0 + + + + + + + .text + 0x4400 + 0x4400 + 0x74 + + + + + + .text:_isr + 0x4474 + 0x4474 + 0x8 + + + + + + .cinit + 0x0 + 0x0 + + + + + .const + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x4400 + 0x4400 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .infoC + 0x0 + 0x0 + + + + + .infoD + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + .int14 + 0x0 + 0x0 + + + + + .int15 + 0x0 + 0x0 + + + + + .int16 + 0x0 + 0x0 + + + + + .int17 + 0x0 + 0x0 + + + + + .int18 + 0x0 + 0x0 + + + + + .int19 + 0x0 + 0x0 + + + + + .int20 + 0x0 + 0x0 + + + + + .int21 + 0x0 + 0x0 + + + + + .int22 + 0x0 + 0x0 + + + + + .int23 + 0x0 + 0x0 + + + + + .int24 + 0x0 + 0x0 + + + + + .int25 + 0x0 + 0x0 + + + + + .int26 + 0x0 + 0x0 + + + + + .int27 + 0x0 + 0x0 + + + + + .int28 + 0x0 + 0x0 + + + + + .int29 + 0x0 + 0x0 + + + + + .int30 + 0x0 + 0x0 + + + + + .int31 + 0x0 + 0x0 + + + + + .int32 + 0x0 + 0x0 + + + + + .int33 + 0x0 + 0x0 + + + + + .int34 + 0x0 + 0x0 + + + + + .int35 + 0x0 + 0x0 + + + + + .int36 + 0x0 + 0x0 + + + + + .int37 + 0x0 + 0x0 + + + + + .int38 + 0x0 + 0x0 + + + + + .int39 + 0x0 + 0x0 + + + + + .int40 + 0x0 + 0x0 + + + + + RTC + 0xffd2 + 0xffd2 + 0x2 + + + + + + PORT2 + 0xffd4 + 0xffd4 + 0x2 + + + + + + TIMER2_A1 + 0xffd6 + 0xffd6 + 0x2 + + + + + + TIMER2_A0 + 0xffd8 + 0xffd8 + 0x2 + + + + + + USCI_B1 + 0xffda + 0xffda + 0x2 + + + + + + USCI_A1 + 0xffdc + 0xffdc + 0x2 + + + + + + PORT1 + 0xffde + 0xffde + 0x2 + + + + + + TIMER1_A1 + 0xffe0 + 0xffe0 + 0x2 + + + + + + TIMER1_A0 + 0xffe2 + 0xffe2 + 0x2 + + + + + + DMA + 0xffe4 + 0xffe4 + 0x2 + + + + + + USB_UBM + 0xffe6 + 0xffe6 + 0x2 + + + + + + TIMER0_A1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMER0_A0 + 0xffea + 0xffea + 0x2 + + + + + + ADC12 + 0xffec + 0xffec + 0x2 + + + + + + USCI_B0 + 0xffee + 0xffee + 0x2 + + + + + + USCI_A0 + 0xfff0 + 0xfff0 + 0x2 + + + + + + WDT + 0xfff2 + 0xfff2 + 0x2 + + + + + + TIMER0_B1 + 0xfff4 + 0xfff4 + 0x2 + + + + + + TIMER0_B0 + 0xfff6 + 0xfff6 + 0x2 + + + + + + COMP_B + 0xfff8 + 0xfff8 + 0x2 + + + + + + UNMI + 0xfffa + 0xfffa + 0x2 + + + + + + SYSNMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x267 + + + + + + + + .debug_line + 0x0 + 0x0 + 0xa2 + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x5c + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x40 + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x55 + + + + + + + SEGMENT_0 + 0x2400 + 0x2400 + 0x4 + 0x6 + + + + + + SEGMENT_1 + 0x4400 + 0x4400 + 0x7c + 0x5 + + + + + + + SEGMENT_2 + 0xffd2 + 0xffd2 + 0x2e + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOD + 0x0 + 0x1800 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOC + 0x0 + 0x1880 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOB + 0x0 + 0x1900 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1980 + 0x80 + 0x0 + 0x80 + RWIX + + + + + USBRAM + 0x0 + 0x1c00 + 0x800 + 0x0 + 0x800 + RWIX + + + + + RAM + 0x0 + 0x2400 + 0x2000 + 0x4 + 0x1ffc + RWIX + + + 0x2400 + 0x4 + + + + 0x2404 + 0x1ffc + + + 0x4400 + 0x0 + + + + + + FLASH + 0x0 + 0x4400 + 0xbb80 + 0x7c + 0xbb04 + RWIX + + + 0x4400 + 0x0 + + + + 0x4400 + 0x74 + + + + 0x4474 + 0x8 + + + + 0x447c + 0xbb04 + + + + + INT00 + 0x0 + 0xff80 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xff82 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xff84 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xff86 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xff88 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xff8a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xff8c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xff8e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xff90 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xff92 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xff94 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xff96 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xff98 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xff9a + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xff9c + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT15 + 0x0 + 0xff9e + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT16 + 0x0 + 0xffa0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT17 + 0x0 + 0xffa2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT18 + 0x0 + 0xffa4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT19 + 0x0 + 0xffa6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT20 + 0x0 + 0xffa8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT21 + 0x0 + 0xffaa + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT22 + 0x0 + 0xffac + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT23 + 0x0 + 0xffae + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT24 + 0x0 + 0xffb0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT25 + 0x0 + 0xffb2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT26 + 0x0 + 0xffb4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT27 + 0x0 + 0xffb6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT28 + 0x0 + 0xffb8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT29 + 0x0 + 0xffba + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT30 + 0x0 + 0xffbc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT31 + 0x0 + 0xffbe + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT32 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT33 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT34 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT35 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT36 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT37 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT38 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT39 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT40 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT41 + 0x0 + 0xffd2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd2 + 0x2 + + + + + + INT42 + 0x0 + 0xffd4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd4 + 0x2 + + + + + + INT43 + 0x0 + 0xffd6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd6 + 0x2 + + + + + + INT44 + 0x0 + 0xffd8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffd8 + 0x2 + + + + + + INT45 + 0x0 + 0xffda + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffda + 0x2 + + + + + + INT46 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT47 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT48 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT49 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT50 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT51 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT52 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT53 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT54 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT55 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT56 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT57 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT58 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT59 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT60 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT61 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT62 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x143f8 + 0x0 + 0x143f8 + RWIX + + + + + + + ADC12CTL0 + 0x700 + + + ADC12CTL0_L + 0x700 + + + ADC12CTL0_H + 0x701 + + + ADC12CTL1 + 0x702 + + + ADC12CTL1_L + 0x702 + + + ADC12CTL1_H + 0x703 + + + ADC12CTL2 + 0x704 + + + ADC12CTL2_L + 0x704 + + + ADC12CTL2_H + 0x705 + + + ADC12IFG + 0x70a + + + ADC12IFG_L + 0x70a + + + ADC12IFG_H + 0x70b + + + ADC12IE + 0x70c + + + ADC12IE_L + 0x70c + + + ADC12IE_H + 0x70d + + + ADC12IV + 0x70e + + + ADC12IV_L + 0x70e + + + ADC12IV_H + 0x70f + + + ADC12MEM0 + 0x720 + + + ADC12MEM0_L + 0x720 + + + ADC12MEM0_H + 0x721 + + + ADC12MEM1 + 0x722 + + + ADC12MEM1_L + 0x722 + + + ADC12MEM1_H + 0x723 + + + ADC12MEM2 + 0x724 + + + ADC12MEM2_L + 0x724 + + + ADC12MEM2_H + 0x725 + + + ADC12MEM3 + 0x726 + + + ADC12MEM3_L + 0x726 + + + ADC12MEM3_H + 0x727 + + + ADC12MEM4 + 0x728 + + + ADC12MEM4_L + 0x728 + + + ADC12MEM4_H + 0x729 + + + ADC12MEM5 + 0x72a + + + ADC12MEM5_L + 0x72a + + + ADC12MEM5_H + 0x72b + + + ADC12MEM6 + 0x72c + + + ADC12MEM6_L + 0x72c + + + ADC12MEM6_H + 0x72d + + + ADC12MEM7 + 0x72e + + + ADC12MEM7_L + 0x72e + + + ADC12MEM7_H + 0x72f + + + ADC12MEM8 + 0x730 + + + ADC12MEM8_L + 0x730 + + + ADC12MEM8_H + 0x731 + + + ADC12MEM9 + 0x732 + + + ADC12MEM9_L + 0x732 + + + ADC12MEM9_H + 0x733 + + + ADC12MEM10 + 0x734 + + + ADC12MEM10_L + 0x734 + + + ADC12MEM10_H + 0x735 + + + ADC12MEM11 + 0x736 + + + ADC12MEM11_L + 0x736 + + + ADC12MEM11_H + 0x737 + + + ADC12MEM12 + 0x738 + + + ADC12MEM12_L + 0x738 + + + ADC12MEM12_H + 0x739 + + + ADC12MEM13 + 0x73a + + + ADC12MEM13_L + 0x73a + + + ADC12MEM13_H + 0x73b + + + ADC12MEM14 + 0x73c + + + ADC12MEM14_L + 0x73c + + + ADC12MEM14_H + 0x73d + + + ADC12MEM15 + 0x73e + + + ADC12MEM15_L + 0x73e + + + ADC12MEM15_H + 0x73f + + + ADC12MCTL0 + 0x710 + + + ADC12MCTL1 + 0x711 + + + ADC12MCTL2 + 0x712 + + + ADC12MCTL3 + 0x713 + + + ADC12MCTL4 + 0x714 + + + ADC12MCTL5 + 0x715 + + + ADC12MCTL6 + 0x716 + + + ADC12MCTL7 + 0x717 + + + ADC12MCTL8 + 0x718 + + + ADC12MCTL9 + 0x719 + + + ADC12MCTL10 + 0x71a + + + ADC12MCTL11 + 0x71b + + + ADC12MCTL12 + 0x71c + + + ADC12MCTL13 + 0x71d + + + ADC12MCTL14 + 0x71e + + + ADC12MCTL15 + 0x71f + + + CBCTL0 + 0x8c0 + + + CBCTL0_L + 0x8c0 + + + CBCTL0_H + 0x8c1 + + + CBCTL1 + 0x8c2 + + + CBCTL1_L + 0x8c2 + + + CBCTL1_H + 0x8c3 + + + CBCTL2 + 0x8c4 + + + CBCTL2_L + 0x8c4 + + + CBCTL2_H + 0x8c5 + + + CBCTL3 + 0x8c6 + + + CBCTL3_L + 0x8c6 + + + CBCTL3_H + 0x8c7 + + + CBINT + 0x8cc + + + CBINT_L + 0x8cc + + + CBINT_H + 0x8cd + + + CBIV + 0x8ce + + + CRCDI + 0x150 + + + CRCDI_L + 0x150 + + + CRCDI_H + 0x151 + + + CRCDIRB + 0x152 + + + CRCDIRB_L + 0x152 + + + CRCDIRB_H + 0x153 + + + CRCINIRES + 0x154 + + + CRCINIRES_L + 0x154 + + + CRCINIRES_H + 0x155 + + + CRCRESR + 0x156 + + + CRCRESR_L + 0x156 + + + CRCRESR_H + 0x157 + + + DMACTL0 + 0x500 + + + DMACTL1 + 0x502 + + + DMACTL2 + 0x504 + + + DMACTL3 + 0x506 + + + DMACTL4 + 0x508 + + + DMAIV + 0x50e + + + DMA0CTL + 0x510 + + + DMA0SA + 0x512 + + + DMA0SAL + 0x512 + + + DMA0SAH + 0x514 + + + DMA0DA + 0x516 + + + DMA0DAL + 0x516 + + + DMA0DAH + 0x518 + + + DMA0SZ + 0x51a + + + DMA1CTL + 0x520 + + + DMA1SA + 0x522 + + + DMA1SAL + 0x522 + + + DMA1SAH + 0x524 + + + DMA1DA + 0x526 + + + DMA1DAL + 0x526 + + + DMA1DAH + 0x528 + + + DMA1SZ + 0x52a + + + DMA2CTL + 0x530 + + + DMA2SA + 0x532 + + + DMA2SAL + 0x532 + + + DMA2SAH + 0x534 + + + DMA2DA + 0x536 + + + DMA2DAL + 0x536 + + + DMA2DAH + 0x538 + + + DMA2SZ + 0x53a + + + FCTL1 + 0x140 + + + FCTL1_L + 0x140 + + + FCTL1_H + 0x141 + + + FCTL3 + 0x144 + + + FCTL3_L + 0x144 + + + FCTL3_H + 0x145 + + + FCTL4 + 0x146 + + + FCTL4_L + 0x146 + + + FCTL4_H + 0x147 + + + MPY + 0x4c0 + + + MPY_L + 0x4c0 + + + MPY_H + 0x4c1 + + + MPYS + 0x4c2 + + + MPYS_L + 0x4c2 + + + MPYS_H + 0x4c3 + + + MAC + 0x4c4 + + + MAC_L + 0x4c4 + + + MAC_H + 0x4c5 + + + MACS + 0x4c6 + + + MACS_L + 0x4c6 + + + MACS_H + 0x4c7 + + + OP2 + 0x4c8 + + + OP2_L + 0x4c8 + + + OP2_H + 0x4c9 + + + RESLO + 0x4ca + + + RESLO_L + 0x4ca + + + RESLO_H + 0x4cb + + + RESHI + 0x4cc + + + RESHI_L + 0x4cc + + + RESHI_H + 0x4cd + + + SUMEXT + 0x4ce + + + SUMEXT_L + 0x4ce + + + SUMEXT_H + 0x4cf + + + MPY32L + 0x4d0 + + + MPY32L_L + 0x4d0 + + + MPY32L_H + 0x4d1 + + + MPY32H + 0x4d2 + + + MPY32H_L + 0x4d2 + + + MPY32H_H + 0x4d3 + + + MPYS32L + 0x4d4 + + + MPYS32L_L + 0x4d4 + + + MPYS32L_H + 0x4d5 + + + MPYS32H + 0x4d6 + + + MPYS32H_L + 0x4d6 + + + MPYS32H_H + 0x4d7 + + + MAC32L + 0x4d8 + + + MAC32L_L + 0x4d8 + + + MAC32L_H + 0x4d9 + + + MAC32H + 0x4da + + + MAC32H_L + 0x4da + + + MAC32H_H + 0x4db + + + MACS32L + 0x4dc + + + MACS32L_L + 0x4dc + + + MACS32L_H + 0x4dd + + + MACS32H + 0x4de + + + MACS32H_L + 0x4de + + + MACS32H_H + 0x4df + + + OP2L + 0x4e0 + + + OP2L_L + 0x4e0 + + + OP2L_H + 0x4e1 + + + OP2H + 0x4e2 + + + OP2H_L + 0x4e2 + + + OP2H_H + 0x4e3 + + + RES0 + 0x4e4 + + + RES0_L + 0x4e4 + + + RES0_H + 0x4e5 + + + RES1 + 0x4e6 + + + RES1_L + 0x4e6 + + + RES1_H + 0x4e7 + + + RES2 + 0x4e8 + + + RES2_L + 0x4e8 + + + RES2_H + 0x4e9 + + + RES3 + 0x4ea + + + RES3_L + 0x4ea + + + RES3_H + 0x4eb + + + MPY32CTL0 + 0x4ec + + + MPY32CTL0_L + 0x4ec + + + MPY32CTL0_H + 0x4ed + + + PAIN + 0x200 + + + PAIN_L + 0x200 + + + PAIN_H + 0x201 + + + PAOUT + 0x202 + + + PAOUT_L + 0x202 + + + PAOUT_H + 0x203 + + + PADIR + 0x204 + + + PADIR_L + 0x204 + + + PADIR_H + 0x205 + + + PAREN + 0x206 + + + PAREN_L + 0x206 + + + PAREN_H + 0x207 + + + PADS + 0x208 + + + PADS_L + 0x208 + + + PADS_H + 0x209 + + + PASEL + 0x20a + + + PASEL_L + 0x20a + + + PASEL_H + 0x20b + + + PAIES + 0x218 + + + PAIES_L + 0x218 + + + PAIES_H + 0x219 + + + PAIE + 0x21a + + + PAIE_L + 0x21a + + + PAIE_H + 0x21b + + + PAIFG + 0x21c + + + PAIFG_L + 0x21c + + + PAIFG_H + 0x21d + + + P1IV + 0x20e + + + P2IV + 0x21e + + + PBIN + 0x220 + + + PBIN_L + 0x220 + + + PBIN_H + 0x221 + + + PBOUT + 0x222 + + + PBOUT_L + 0x222 + + + PBOUT_H + 0x223 + + + PBDIR + 0x224 + + + PBDIR_L + 0x224 + + + PBDIR_H + 0x225 + + + PBREN + 0x226 + + + PBREN_L + 0x226 + + + PBREN_H + 0x227 + + + PBDS + 0x228 + + + PBDS_L + 0x228 + + + PBDS_H + 0x229 + + + PBSEL + 0x22a + + + PBSEL_L + 0x22a + + + PBSEL_H + 0x22b + + + PCIN + 0x240 + + + PCIN_L + 0x240 + + + PCIN_H + 0x241 + + + PCOUT + 0x242 + + + PCOUT_L + 0x242 + + + PCOUT_H + 0x243 + + + PCDIR + 0x244 + + + PCDIR_L + 0x244 + + + PCDIR_H + 0x245 + + + PCREN + 0x246 + + + PCREN_L + 0x246 + + + PCREN_H + 0x247 + + + PCDS + 0x248 + + + PCDS_L + 0x248 + + + PCDS_H + 0x249 + + + PCSEL + 0x24a + + + PCSEL_L + 0x24a + + + PCSEL_H + 0x24b + + + PDIN + 0x260 + + + PDIN_L + 0x260 + + + PDIN_H + 0x261 + + + PDOUT + 0x262 + + + PDOUT_L + 0x262 + + + PDOUT_H + 0x263 + + + PDDIR + 0x264 + + + PDDIR_L + 0x264 + + + PDDIR_H + 0x265 + + + PDREN + 0x266 + + + PDREN_L + 0x266 + + + PDREN_H + 0x267 + + + PDDS + 0x268 + + + PDDS_L + 0x268 + + + PDDS_H + 0x269 + + + PDSEL + 0x26a + + + PDSEL_L + 0x26a + + + PDSEL_H + 0x26b + + + PJIN + 0x320 + + + PJIN_L + 0x320 + + + PJIN_H + 0x321 + + + PJOUT + 0x322 + + + PJOUT_L + 0x322 + + + PJOUT_H + 0x323 + + + PJDIR + 0x324 + + + PJDIR_L + 0x324 + + + PJDIR_H + 0x325 + + + PJREN + 0x326 + + + PJREN_L + 0x326 + + + PJREN_H + 0x327 + + + PJDS + 0x328 + + + PJDS_L + 0x328 + + + PJDS_H + 0x329 + + + PMAPKEYID + 0x1c0 + + + PMAPKEYID_L + 0x1c0 + + + PMAPKEYID_H + 0x1c1 + + + PMAPCTL + 0x1c2 + + + PMAPCTL_L + 0x1c2 + + + PMAPCTL_H + 0x1c3 + + + P4MAP01 + 0x1e0 + + + P4MAP01_L + 0x1e0 + + + P4MAP01_H + 0x1e1 + + + P4MAP23 + 0x1e2 + + + P4MAP23_L + 0x1e2 + + + P4MAP23_H + 0x1e3 + + + P4MAP45 + 0x1e4 + + + P4MAP45_L + 0x1e4 + + + P4MAP45_H + 0x1e5 + + + P4MAP67 + 0x1e6 + + + P4MAP67_L + 0x1e6 + + + P4MAP67_H + 0x1e7 + + + PMMCTL0 + 0x120 + + + PMMCTL0_L + 0x120 + + + PMMCTL0_H + 0x121 + + + PMMCTL1 + 0x122 + + + PMMCTL1_L + 0x122 + + + PMMCTL1_H + 0x123 + + + SVSMHCTL + 0x124 + + + SVSMHCTL_L + 0x124 + + + SVSMHCTL_H + 0x125 + + + SVSMLCTL + 0x126 + + + SVSMLCTL_L + 0x126 + + + SVSMLCTL_H + 0x127 + + + SVSMIO + 0x128 + + + SVSMIO_L + 0x128 + + + SVSMIO_H + 0x129 + + + PMMIFG + 0x12c + + + PMMIFG_L + 0x12c + + + PMMIFG_H + 0x12d + + + PMMRIE + 0x12e + + + PMMRIE_L + 0x12e + + + PMMRIE_H + 0x12f + + + PM5CTL0 + 0x130 + + + PM5CTL0_L + 0x130 + + + PM5CTL0_H + 0x131 + + + RCCTL0 + 0x158 + + + RCCTL0_L + 0x158 + + + RCCTL0_H + 0x159 + + + REFCTL0 + 0x1b0 + + + REFCTL0_L + 0x1b0 + + + REFCTL0_H + 0x1b1 + + + RTCCTL01 + 0x4a0 + + + RTCCTL01_L + 0x4a0 + + + RTCCTL01_H + 0x4a1 + + + RTCCTL23 + 0x4a2 + + + RTCCTL23_L + 0x4a2 + + + RTCCTL23_H + 0x4a3 + + + RTCPS0CTL + 0x4a8 + + + RTCPS0CTL_L + 0x4a8 + + + RTCPS0CTL_H + 0x4a9 + + + RTCPS1CTL + 0x4aa + + + RTCPS1CTL_L + 0x4aa + + + RTCPS1CTL_H + 0x4ab + + + RTCPS + 0x4ac + + + RTCPS_L + 0x4ac + + + RTCPS_H + 0x4ad + + + RTCIV + 0x4ae + + + RTCTIM0 + 0x4b0 + + + RTCTIM0_L + 0x4b0 + + + RTCTIM0_H + 0x4b1 + + + RTCTIM1 + 0x4b2 + + + RTCTIM1_L + 0x4b2 + + + RTCTIM1_H + 0x4b3 + + + RTCDATE + 0x4b4 + + + RTCDATE_L + 0x4b4 + + + RTCDATE_H + 0x4b5 + + + RTCYEAR + 0x4b6 + + + RTCYEAR_L + 0x4b6 + + + RTCYEAR_H + 0x4b7 + + + RTCAMINHR + 0x4b8 + + + RTCAMINHR_L + 0x4b8 + + + RTCAMINHR_H + 0x4b9 + + + RTCADOWDAY + 0x4ba + + + RTCADOWDAY_L + 0x4ba + + + RTCADOWDAY_H + 0x4bb + + + SFRIE1 + 0x100 + + + SFRIE1_L + 0x100 + + + SFRIE1_H + 0x101 + + + SFRIFG1 + 0x102 + + + SFRIFG1_L + 0x102 + + + SFRIFG1_H + 0x103 + + + SFRRPCR + 0x104 + + + SFRRPCR_L + 0x104 + + + SFRRPCR_H + 0x105 + + + SYSCTL + 0x180 + + + SYSCTL_L + 0x180 + + + SYSCTL_H + 0x181 + + + SYSBSLC + 0x182 + + + SYSBSLC_L + 0x182 + + + SYSBSLC_H + 0x183 + + + SYSJMBC + 0x186 + + + SYSJMBC_L + 0x186 + + + SYSJMBC_H + 0x187 + + + SYSJMBI0 + 0x188 + + + SYSJMBI0_L + 0x188 + + + SYSJMBI0_H + 0x189 + + + SYSJMBI1 + 0x18a + + + SYSJMBI1_L + 0x18a + + + SYSJMBI1_H + 0x18b + + + SYSJMBO0 + 0x18c + + + SYSJMBO0_L + 0x18c + + + SYSJMBO0_H + 0x18d + + + SYSJMBO1 + 0x18e + + + SYSJMBO1_L + 0x18e + + + SYSJMBO1_H + 0x18f + + + SYSBERRIV + 0x198 + + + SYSBERRIV_L + 0x198 + + + SYSBERRIV_H + 0x199 + + + SYSUNIV + 0x19a + + + SYSUNIV_L + 0x19a + + + SYSUNIV_H + 0x19b + + + SYSSNIV + 0x19c + + + SYSSNIV_L + 0x19c + + + SYSSNIV_H + 0x19d + + + SYSRSTIV + 0x19e + + + SYSRSTIV_L + 0x19e + + + SYSRSTIV_H + 0x19f + + + TA0CTL + 0x340 + + + TA0CCTL0 + 0x342 + + + TA0CCTL1 + 0x344 + + + TA0CCTL2 + 0x346 + + + TA0CCTL3 + 0x348 + + + TA0CCTL4 + 0x34a + + + TA0R + 0x350 + + + TA0CCR0 + 0x352 + + + TA0CCR1 + 0x354 + + + TA0CCR2 + 0x356 + + + TA0CCR3 + 0x358 + + + TA0CCR4 + 0x35a + + + TA0IV + 0x36e + + + TA0EX0 + 0x360 + + + TA1CTL + 0x380 + + + TA1CCTL0 + 0x382 + + + TA1CCTL1 + 0x384 + + + TA1CCTL2 + 0x386 + + + TA1R + 0x390 + + + TA1CCR0 + 0x392 + + + TA1CCR1 + 0x394 + + + TA1CCR2 + 0x396 + + + TA1IV + 0x3ae + + + TA1EX0 + 0x3a0 + + + TA2CTL + 0x400 + + + TA2CCTL0 + 0x402 + + + TA2CCTL1 + 0x404 + + + TA2CCTL2 + 0x406 + + + TA2R + 0x410 + + + TA2CCR0 + 0x412 + + + TA2CCR1 + 0x414 + + + TA2CCR2 + 0x416 + + + TA2IV + 0x42e + + + TA2EX0 + 0x420 + + + TB0CTL + 0x3c0 + + + TB0CCTL0 + 0x3c2 + + + TB0CCTL1 + 0x3c4 + + + TB0CCTL2 + 0x3c6 + + + TB0CCTL3 + 0x3c8 + + + TB0CCTL4 + 0x3ca + + + TB0CCTL5 + 0x3cc + + + TB0CCTL6 + 0x3ce + + + TB0R + 0x3d0 + + + TB0CCR0 + 0x3d2 + + + TB0CCR1 + 0x3d4 + + + TB0CCR2 + 0x3d6 + + + TB0CCR3 + 0x3d8 + + + TB0CCR4 + 0x3da + + + TB0CCR5 + 0x3dc + + + TB0CCR6 + 0x3de + + + TB0EX0 + 0x3e0 + + + TB0IV + 0x3ee + + + USBKEYID + 0x900 + + + USBKEYID_L + 0x900 + + + USBKEYID_H + 0x901 + + + USBCNF + 0x902 + + + USBCNF_L + 0x902 + + + USBCNF_H + 0x903 + + + USBPHYCTL + 0x904 + + + USBPHYCTL_L + 0x904 + + + USBPHYCTL_H + 0x905 + + + USBPWRCTL + 0x908 + + + USBPWRCTL_L + 0x908 + + + USBPWRCTL_H + 0x909 + + + USBPLLCTL + 0x910 + + + USBPLLCTL_L + 0x910 + + + USBPLLCTL_H + 0x911 + + + USBPLLDIVB + 0x912 + + + USBPLLDIVB_L + 0x912 + + + USBPLLDIVB_H + 0x913 + + + USBPLLIR + 0x914 + + + USBPLLIR_L + 0x914 + + + USBPLLIR_H + 0x915 + + + USBIEPCNF_0 + 0x920 + + + USBIEPCNT_0 + 0x921 + + + USBOEPCNF_0 + 0x922 + + + USBOEPCNT_0 + 0x923 + + + USBIEPIE + 0x92e + + + USBOEPIE + 0x92f + + + USBIEPIFG + 0x930 + + + USBOEPIFG + 0x931 + + + USBVECINT + 0x932 + + + USBVECINT_L + 0x932 + + + USBVECINT_H + 0x933 + + + USBMAINT + 0x936 + + + USBMAINT_L + 0x936 + + + USBMAINT_H + 0x937 + + + USBTSREG + 0x938 + + + USBTSREG_L + 0x938 + + + USBTSREG_H + 0x939 + + + USBFN + 0x93a + + + USBFN_L + 0x93a + + + USBFN_H + 0x93b + + + USBCTL + 0x93c + + + USBIE + 0x93d + + + USBIFG + 0x93e + + + USBFUNADR + 0x93f + + + USBIEPSIZXY_7 + 0x23ff + + + USBIEPBCTY_7 + 0x23fe + + + USBIEPBBAY_7 + 0x23fd + + + USBIEPBCTX_7 + 0x23fa + + + USBIEPBBAX_7 + 0x23f9 + + + USBIEPCNF_7 + 0x23f8 + + + USBIEPSIZXY_6 + 0x23f7 + + + USBIEPBCTY_6 + 0x23f6 + + + USBIEPBBAY_6 + 0x23f5 + + + USBIEPBCTX_6 + 0x23f2 + + + USBIEPBBAX_6 + 0x23f1 + + + USBIEPCNF_6 + 0x23f0 + + + USBIEPSIZXY_5 + 0x23ef + + + USBIEPBCTY_5 + 0x23ee + + + USBIEPBBAY_5 + 0x23ed + + + USBIEPBCTX_5 + 0x23ea + + + USBIEPBBAX_5 + 0x23e9 + + + USBIEPCNF_5 + 0x23e8 + + + USBIEPSIZXY_4 + 0x23e7 + + + USBIEPBCTY_4 + 0x23e6 + + + USBIEPBBAY_4 + 0x23e5 + + + USBIEPBCTX_4 + 0x23e2 + + + USBIEPBBAX_4 + 0x23e1 + + + USBIEPCNF_4 + 0x23e0 + + + USBIEPSIZXY_3 + 0x23df + + + USBIEPBCTY_3 + 0x23de + + + USBIEPBBAY_3 + 0x23dd + + + USBIEPBCTX_3 + 0x23da + + + USBIEPBBAX_3 + 0x23d9 + + + USBIEPCNF_3 + 0x23d8 + + + USBIEPSIZXY_2 + 0x23d7 + + + USBIEPBCTY_2 + 0x23d6 + + + USBIEPBBAY_2 + 0x23d5 + + + USBIEPBCTX_2 + 0x23d2 + + + USBIEPBBAX_2 + 0x23d1 + + + USBIEPCNF_2 + 0x23d0 + + + USBIEPSIZXY_1 + 0x23cf + + + USBIEPBCTY_1 + 0x23ce + + + USBIEPBBAY_1 + 0x23cd + + + USBIEPBCTX_1 + 0x23ca + + + USBIEPBBAX_1 + 0x23c9 + + + USBIEPCNF_1 + 0x23c8 + + + USBOEPSIZXY_7 + 0x23bf + + + USBOEPBCTY_7 + 0x23be + + + USBOEPBBAY_7 + 0x23bd + + + USBOEPBCTX_7 + 0x23ba + + + USBOEPBBAX_7 + 0x23b9 + + + USBOEPCNF_7 + 0x23b8 + + + USBOEPSIZXY_6 + 0x23b7 + + + USBOEPBCTY_6 + 0x23b6 + + + USBOEPBBAY_6 + 0x23b5 + + + USBOEPBCTX_6 + 0x23b2 + + + USBOEPBBAX_6 + 0x23b1 + + + USBOEPCNF_6 + 0x23b0 + + + USBOEPSIZXY_5 + 0x23af + + + USBOEPBCTY_5 + 0x23ae + + + USBOEPBBAY_5 + 0x23ad + + + USBOEPBCTX_5 + 0x23aa + + + USBOEPBBAX_5 + 0x23a9 + + + USBOEPCNF_5 + 0x23a8 + + + USBOEPSIZXY_4 + 0x23a7 + + + USBOEPBCTY_4 + 0x23a6 + + + USBOEPBBAY_4 + 0x23a5 + + + USBOEPBCTX_4 + 0x23a2 + + + USBOEPBBAX_4 + 0x23a1 + + + USBOEPCNF_4 + 0x23a0 + + + USBOEPSIZXY_3 + 0x239f + + + USBOEPBCTY_3 + 0x239e + + + USBOEPBBAY_3 + 0x239d + + + USBOEPBCTX_3 + 0x239a + + + USBOEPBBAX_3 + 0x2399 + + + USBOEPCNF_3 + 0x2398 + + + USBOEPSIZXY_2 + 0x2397 + + + USBOEPBCTY_2 + 0x2396 + + + USBOEPBBAY_2 + 0x2395 + + + USBOEPBCTX_2 + 0x2392 + + + USBOEPBBAX_2 + 0x2391 + + + USBOEPCNF_2 + 0x2390 + + + USBOEPSIZXY_1 + 0x238f + + + USBOEPBCTY_1 + 0x238e + + + USBOEPBBAY_1 + 0x238d + + + USBOEPBCTX_1 + 0x238a + + + USBOEPBBAX_1 + 0x2389 + + + USBOEPCNF_1 + 0x2388 + + + USBSUBLK + 0x2380 + + + USBIEP0BUF + 0x2378 + + + USBOEP0BUF + 0x2370 + + + USBTOPBUFF + 0x236f + + + USBSTABUFF + 0x1c00 + + + UCSCTL0 + 0x160 + + + UCSCTL0_L + 0x160 + + + UCSCTL0_H + 0x161 + + + UCSCTL1 + 0x162 + + + UCSCTL1_L + 0x162 + + + UCSCTL1_H + 0x163 + + + UCSCTL2 + 0x164 + + + UCSCTL2_L + 0x164 + + + UCSCTL2_H + 0x165 + + + UCSCTL3 + 0x166 + + + UCSCTL3_L + 0x166 + + + UCSCTL3_H + 0x167 + + + UCSCTL4 + 0x168 + + + UCSCTL4_L + 0x168 + + + UCSCTL4_H + 0x169 + + + UCSCTL5 + 0x16a + + + UCSCTL5_L + 0x16a + + + UCSCTL5_H + 0x16b + + + UCSCTL6 + 0x16c + + + UCSCTL6_L + 0x16c + + + UCSCTL6_H + 0x16d + + + UCSCTL7 + 0x16e + + + UCSCTL7_L + 0x16e + + + UCSCTL7_H + 0x16f + + + UCSCTL8 + 0x170 + + + UCSCTL8_L + 0x170 + + + UCSCTL8_H + 0x171 + + + UCA0CTLW0 + 0x5c0 + + + UCA0CTLW0_L + 0x5c0 + + + UCA0CTLW0_H + 0x5c1 + + + UCA0BRW + 0x5c6 + + + UCA0BRW_L + 0x5c6 + + + UCA0BRW_H + 0x5c7 + + + UCA0MCTL + 0x5c8 + + + UCA0STAT + 0x5ca + + + UCA0RXBUF + 0x5cc + + + UCA0TXBUF + 0x5ce + + + UCA0ABCTL + 0x5d0 + + + UCA0IRCTL + 0x5d2 + + + UCA0IRCTL_L + 0x5d2 + + + UCA0IRCTL_H + 0x5d3 + + + UCA0ICTL + 0x5dc + + + UCA0ICTL_L + 0x5dc + + + UCA0ICTL_H + 0x5dd + + + UCA0IV + 0x5de + + + UCB0CTLW0 + 0x5e0 + + + UCB0CTLW0_L + 0x5e0 + + + UCB0CTLW0_H + 0x5e1 + + + UCB0BRW + 0x5e6 + + + UCB0BRW_L + 0x5e6 + + + UCB0BRW_H + 0x5e7 + + + UCB0STAT + 0x5ea + + + UCB0RXBUF + 0x5ec + + + UCB0TXBUF + 0x5ee + + + UCB0I2COA + 0x5f0 + + + UCB0I2COA_L + 0x5f0 + + + UCB0I2COA_H + 0x5f1 + + + UCB0I2CSA + 0x5f2 + + + UCB0I2CSA_L + 0x5f2 + + + UCB0I2CSA_H + 0x5f3 + + + UCB0ICTL + 0x5fc + + + UCB0ICTL_L + 0x5fc + + + UCB0ICTL_H + 0x5fd + + + UCB0IV + 0x5fe + + + UCA1CTLW0 + 0x600 + + + UCA1CTLW0_L + 0x600 + + + UCA1CTLW0_H + 0x601 + + + UCA1BRW + 0x606 + + + UCA1BRW_L + 0x606 + + + UCA1BRW_H + 0x607 + + + UCA1MCTL + 0x608 + + + UCA1STAT + 0x60a + + + UCA1RXBUF + 0x60c + + + UCA1TXBUF + 0x60e + + + UCA1ABCTL + 0x610 + + + UCA1IRCTL + 0x612 + + + UCA1IRCTL_L + 0x612 + + + UCA1IRCTL_H + 0x613 + + + UCA1ICTL + 0x61c + + + UCA1ICTL_L + 0x61c + + + UCA1ICTL_H + 0x61d + + + UCA1IV + 0x61e + + + UCB1CTLW0 + 0x620 + + + UCB1CTLW0_L + 0x620 + + + UCB1CTLW0_H + 0x621 + + + UCB1BRW + 0x626 + + + UCB1BRW_L + 0x626 + + + UCB1BRW_H + 0x627 + + + UCB1STAT + 0x62a + + + UCB1RXBUF + 0x62c + + + UCB1TXBUF + 0x62e + + + UCB1I2COA + 0x630 + + + UCB1I2COA_L + 0x630 + + + UCB1I2COA_H + 0x631 + + + UCB1I2CSA + 0x632 + + + UCB1I2CSA_L + 0x632 + + + UCB1I2CSA_H + 0x633 + + + UCB1ICTL + 0x63c + + + UCB1ICTL_L + 0x63c + + + UCB1ICTL_H + 0x63d + + + UCB1IV + 0x63e + + + WDTCTL + 0x15c + + + WDTCTL_L + 0x15c + + + WDTCTL_H + 0x15d + + + __STACK_SIZE + 0x0 + + + __STACK_END + 0x4400 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + RESET + 0x4400 + + + + __TI_int41 + 0xffd2 + + + + __TI_int42 + 0xffd4 + + + + __TI_int43 + 0xffd6 + + + + __TI_int44 + 0xffd8 + + + + __TI_int45 + 0xffda + + + + __TI_int46 + 0xffdc + + + + __TI_int47 + 0xffde + + + + __TI_int48 + 0xffe0 + + + + __TI_int49 + 0xffe2 + + + + __TI_int50 + 0xffe4 + + + + __TI_int51 + 0xffe6 + + + + __TI_int52 + 0xffe8 + + + + __TI_int53 + 0xffea + + + + __TI_int54 + 0xffec + + + + __TI_int55 + 0xffee + + + + __TI_int56 + 0xfff0 + + + + __TI_int57 + 0xfff2 + + + + __TI_int58 + 0xfff4 + + + + __TI_int59 + 0xfff6 + + + + __TI_int60 + 0xfff8 + + + + __TI_int61 + 0xfffa + + + + __TI_int62 + 0xfffc + + + + __TI_ISR_TRAP + 0x4474 + + + + Link successful +
diff --git a/CPE325/Lab4_Problem2/Debug/ccsObjs.opt b/CPE325/Lab4_Problem2/Debug/ccsObjs.opt new file mode 100644 index 0000000..d254a20 --- /dev/null +++ b/CPE325/Lab4_Problem2/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./main.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/Lab4_Problem2/Debug/main.obj b/CPE325/Lab4_Problem2/Debug/main.obj new file mode 100644 index 0000000..803c43b Binary files /dev/null and b/CPE325/Lab4_Problem2/Debug/main.obj differ diff --git a/CPE325/Lab4_Problem2/Debug/makefile b/CPE325/Lab4_Problem2/Debug/makefile new file mode 100644 index 0000000..183161b --- /dev/null +++ b/CPE325/Lab4_Problem2/Debug/makefile @@ -0,0 +1,167 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./main.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab4_Problem2.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab4_Problem2.out" \ + +BIN_OUTPUTS += \ +Lab4_Problem2.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab4_Problem2.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab4_Problem2.out" + +# Tool invocations +Lab4_Problem2.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --code_model=small --data_model=small --use_hw_mpy=F5 --advice:power=all --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 -z -m"Lab4_Problem2.map" --heap_size=0 --stack_size=0 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab4_Problem2_linkInfo.xml" --entry_point=RESET --use_hw_mpy=F5 -o "Lab4_Problem2.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab4_Problem2.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab4_Problem2.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "main.obj" + -$(RM) "main.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab4_Problem2/Debug/objects.mk b/CPE325/Lab4_Problem2/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/Lab4_Problem2/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/Lab4_Problem2/Debug/sources.mk b/CPE325/Lab4_Problem2/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab4_Problem2/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab4_Problem2/Debug/subdir_rules.mk b/CPE325/Lab4_Problem2/Debug/subdir_rules.mk new file mode 100644 index 0000000..a3c3fd0 --- /dev/null +++ b/CPE325/Lab4_Problem2/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.asm $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --code_model=small --data_model=small --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab4_Problem2" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/Lab4_Problem2/main.asm b/CPE325/Lab4_Problem2/main.asm new file mode 100644 index 0000000..9debe15 --- /dev/null +++ b/CPE325/Lab4_Problem2/main.asm @@ -0,0 +1,87 @@ +;------------------------------------------------------------------------------- +; MSP430 Assembler Code Template for use with TI Code Composer Studio +; +; +;------------------------------------------------------------------------------- + .cdecls C,LIST,"msp430.h" ; Include device header file + +;------------------------------------------------------------------------------- + .def RESET ; Export program entry-point to + ; make it known to linker. + + .data +myStr: .cstring "6*8" ; define string +;------------------------------------------------------------------------------- + .text ; Assemble into program memory. + .retain ; Override ELF conditional linking + ; and retain current section. + .retainrefs ; And retain any sections that have + ; references to current section. + +;------------------------------------------------------------------------------- +RESET mov.w #__STACK_END,SP ; Initialize stackpointer +StopWDT mov.w #WDTPW|WDTHOLD,&WDTCTL ; Stop watchdog timer + + +;------------------------------------------------------------------------------- +; Main loop here +;------------------------------------------------------------------------------- +main: mov.w #myStr, R4 ; Move the starting address of myStr into register 4 + clr.b R5 ; Use R5 to hold the character + clr.b R6 ; use r6 for first number + clr.b R7 ; use R7 for second + mov.b #0, R3 ; use r3 as counter + mov.b @R4+, R5 ; get a new character + cmp.b #58, R5 ; check for ':' character + jge error ; if equal or greater, jump to error + cmp.b #48, R5 ; is it a '0' + jl error ; if less, jump to error + mov.b R4, R6 ; move first number into Register 6 + mov.b @R4+, R5 ; get a new character + cmp #'*', R5 ; is it '*' + jne error ; if not equal, jump to error + mov.b @R4+, R5 ; get next character + cmp.b #58, R5 ; check for ':' character + jge error ; if equal or greater, jump to error + cmp.b #48, R5 ; is it a '0' + jl error ; if less, jump to error + mov.b @R4+, R5 ; get next char + cmp.b #0, R5 ; is it NULL + jne error ; error if not + mov.b R4, R7 ; move next number to register 7 + cmp.b R7, R5 ; which is less + jge firstNum ; if first is greater jump to firstNum + jl secondNum ; less than, jump to secondNum + +firstNum: cmp R3, R5 ; comparing r3 to loop top + jz endOne ; when 0 end loop + dadd.b R7, R7 ; add bigger number to itself + dadd.b r3,r3 ; increment counter + jmp firstNum ; jump to firstNum + +secondNum: cmp R3, R7 ; comparing r3 to loop top + jz endTwo ; when 0 end loop + dadd.b R5, R5 ; add bigger number to itself + dadd.b r3,r3 ; increment counter + jmp secondNum ; jump to secondNum +error: mov #0xFF, &P2OUT ; move FF to P2OUT + jmp errorEnd + +endOne: mov.w R7,&P2OUT ; Write result in P1OUT (not visible on port pins) +endTwo: mov.w R5,&P2OUT ; Write result in P1OUT (not visible on port pins) +errorEnd: + bis.w #LPM4, SR ; LPM4 + nop ; Required only for debugger + +;------------------------------------------------------------------------------- +; Stack Pointer definition +;------------------------------------------------------------------------------- + .global __STACK_END + .sect .stack + +;------------------------------------------------------------------------------- +; Interrupt Vectors +;------------------------------------------------------------------------------- + .sect ".reset" ; MSP430 RESET Vector + .short RESET + diff --git a/CPE325/Lab4_Problem2/targetConfigs/MSP430F5529.ccxml b/CPE325/Lab4_Problem2/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/Lab4_Problem2/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab4_Problem2/targetConfigs/readme.txt b/CPE325/Lab4_Problem2/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab4_Problem2/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab5/Debug/ccsObjs.opt b/CPE325/Lab5/Debug/ccsObjs.opt new file mode 100644 index 0000000..d254a20 --- /dev/null +++ b/CPE325/Lab5/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./main.obj" "../lnk_msp430f5529.cmd" -llibmath.a -llibc.a \ No newline at end of file diff --git a/CPE325/Lab5/Debug/makefile b/CPE325/Lab5/Debug/makefile new file mode 100644 index 0000000..beb74a4 --- /dev/null +++ b/CPE325/Lab5/Debug/makefile @@ -0,0 +1,167 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./main.obj" \ +"../lnk_msp430f5529.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibmath.a \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab5.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab5.out" \ + +BIN_OUTPUTS += \ +Lab5.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab5.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab5.out" + +# Tool invocations +Lab5.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --code_model=small --data_model=small --use_hw_mpy=F5 --advice:power=all --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 -z -m"Lab5.map" --heap_size=0 --stack_size=0 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/ccs_base/msp430/lib/5xx_6xx_FRxx" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab5_linkInfo.xml" --entry_point=RESET --use_hw_mpy=F5 -o "Lab5.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab5.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab5.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "main.obj" + -$(RM) "main.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab5/Debug/objects.mk b/CPE325/Lab5/Debug/objects.mk new file mode 100644 index 0000000..0b4f51f --- /dev/null +++ b/CPE325/Lab5/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibmath.a -llibc.a + diff --git a/CPE325/Lab5/Debug/sources.mk b/CPE325/Lab5/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab5/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab5/Debug/subdir_rules.mk b/CPE325/Lab5/Debug/subdir_rules.mk new file mode 100644 index 0000000..b9be351 --- /dev/null +++ b/CPE325/Lab5/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.asm $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --code_model=small --data_model=small --use_hw_mpy=F5 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab5" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430F5529__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU21 --silicon_errata=CPU22 --silicon_errata=CPU23 --silicon_errata=CPU40 --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x2400, length = 0x2000 + USBRAM : origin = 0x1C00, length = 0x0800 + INFOA : origin = 0x1980, length = 0x0080 + INFOB : origin = 0x1900, length = 0x0080 + INFOC : origin = 0x1880, length = 0x0080 + INFOD : origin = 0x1800, length = 0x0080 + FLASH : origin = 0x4400, length = 0xBB80 + FLASH2 : origin = 0x10000,length = 0x143F8 /* Boundaries changed to fix CPU47 */ + INT00 : origin = 0xFF80, length = 0x0002 + INT01 : origin = 0xFF82, length = 0x0002 + INT02 : origin = 0xFF84, length = 0x0002 + INT03 : origin = 0xFF86, length = 0x0002 + INT04 : origin = 0xFF88, length = 0x0002 + INT05 : origin = 0xFF8A, length = 0x0002 + INT06 : origin = 0xFF8C, length = 0x0002 + INT07 : origin = 0xFF8E, length = 0x0002 + INT08 : origin = 0xFF90, length = 0x0002 + INT09 : origin = 0xFF92, length = 0x0002 + INT10 : origin = 0xFF94, length = 0x0002 + INT11 : origin = 0xFF96, length = 0x0002 + INT12 : origin = 0xFF98, length = 0x0002 + INT13 : origin = 0xFF9A, length = 0x0002 + INT14 : origin = 0xFF9C, length = 0x0002 + INT15 : origin = 0xFF9E, length = 0x0002 + INT16 : origin = 0xFFA0, length = 0x0002 + INT17 : origin = 0xFFA2, length = 0x0002 + INT18 : origin = 0xFFA4, length = 0x0002 + INT19 : origin = 0xFFA6, length = 0x0002 + INT20 : origin = 0xFFA8, length = 0x0002 + INT21 : origin = 0xFFAA, length = 0x0002 + INT22 : origin = 0xFFAC, length = 0x0002 + INT23 : origin = 0xFFAE, length = 0x0002 + INT24 : origin = 0xFFB0, length = 0x0002 + INT25 : origin = 0xFFB2, length = 0x0002 + INT26 : origin = 0xFFB4, length = 0x0002 + INT27 : origin = 0xFFB6, length = 0x0002 + INT28 : origin = 0xFFB8, length = 0x0002 + INT29 : origin = 0xFFBA, length = 0x0002 + INT30 : origin = 0xFFBC, length = 0x0002 + INT31 : origin = 0xFFBE, length = 0x0002 + INT32 : origin = 0xFFC0, length = 0x0002 + INT33 : origin = 0xFFC2, length = 0x0002 + INT34 : origin = 0xFFC4, length = 0x0002 + INT35 : origin = 0xFFC6, length = 0x0002 + INT36 : origin = 0xFFC8, length = 0x0002 + INT37 : origin = 0xFFCA, length = 0x0002 + INT38 : origin = 0xFFCC, length = 0x0002 + INT39 : origin = 0xFFCE, length = 0x0002 + INT40 : origin = 0xFFD0, length = 0x0002 + INT41 : origin = 0xFFD2, length = 0x0002 + INT42 : origin = 0xFFD4, length = 0x0002 + INT43 : origin = 0xFFD6, length = 0x0002 + INT44 : origin = 0xFFD8, length = 0x0002 + INT45 : origin = 0xFFDA, length = 0x0002 + INT46 : origin = 0xFFDC, length = 0x0002 + INT47 : origin = 0xFFDE, length = 0x0002 + INT48 : origin = 0xFFE0, length = 0x0002 + INT49 : origin = 0xFFE2, length = 0x0002 + INT50 : origin = 0xFFE4, length = 0x0002 + INT51 : origin = 0xFFE6, length = 0x0002 + INT52 : origin = 0xFFE8, length = 0x0002 + INT53 : origin = 0xFFEA, length = 0x0002 + INT54 : origin = 0xFFEC, length = 0x0002 + INT55 : origin = 0xFFEE, length = 0x0002 + INT56 : origin = 0xFFF0, length = 0x0002 + INT57 : origin = 0xFFF2, length = 0x0002 + INT58 : origin = 0xFFF4, length = 0x0002 + INT59 : origin = 0xFFF6, length = 0x0002 + INT60 : origin = 0xFFF8, length = 0x0002 + INT61 : origin = 0xFFFA, length = 0x0002 + INT62 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + .infoC : {} > INFOC + .infoD : {} > INFOD + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + .int14 : {} > INT14 + .int15 : {} > INT15 + .int16 : {} > INT16 + .int17 : {} > INT17 + .int18 : {} > INT18 + .int19 : {} > INT19 + .int20 : {} > INT20 + .int21 : {} > INT21 + .int22 : {} > INT22 + .int23 : {} > INT23 + .int24 : {} > INT24 + .int25 : {} > INT25 + .int26 : {} > INT26 + .int27 : {} > INT27 + .int28 : {} > INT28 + .int29 : {} > INT29 + .int30 : {} > INT30 + .int31 : {} > INT31 + .int32 : {} > INT32 + .int33 : {} > INT33 + .int34 : {} > INT34 + .int35 : {} > INT35 + .int36 : {} > INT36 + .int37 : {} > INT37 + .int38 : {} > INT38 + .int39 : {} > INT39 + .int40 : {} > INT40 + RTC : { * ( .int41 ) } > INT41 type = VECT_INIT + PORT2 : { * ( .int42 ) } > INT42 type = VECT_INIT + TIMER2_A1 : { * ( .int43 ) } > INT43 type = VECT_INIT + TIMER2_A0 : { * ( .int44 ) } > INT44 type = VECT_INIT + USCI_B1 : { * ( .int45 ) } > INT45 type = VECT_INIT + USCI_A1 : { * ( .int46 ) } > INT46 type = VECT_INIT + PORT1 : { * ( .int47 ) } > INT47 type = VECT_INIT + TIMER1_A1 : { * ( .int48 ) } > INT48 type = VECT_INIT + TIMER1_A0 : { * ( .int49 ) } > INT49 type = VECT_INIT + DMA : { * ( .int50 ) } > INT50 type = VECT_INIT + USB_UBM : { * ( .int51 ) } > INT51 type = VECT_INIT + TIMER0_A1 : { * ( .int52 ) } > INT52 type = VECT_INIT + TIMER0_A0 : { * ( .int53 ) } > INT53 type = VECT_INIT + ADC12 : { * ( .int54 ) } > INT54 type = VECT_INIT + USCI_B0 : { * ( .int55 ) } > INT55 type = VECT_INIT + USCI_A0 : { * ( .int56 ) } > INT56 type = VECT_INIT + WDT : { * ( .int57 ) } > INT57 type = VECT_INIT + TIMER0_B1 : { * ( .int58 ) } > INT58 type = VECT_INIT + TIMER0_B0 : { * ( .int59 ) } > INT59 type = VECT_INIT + COMP_B : { * ( .int60 ) } > INT60 type = VECT_INIT + UNMI : { * ( .int61 ) } > INT61 type = VECT_INIT + SYSNMI : { * ( .int62 ) } > INT62 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430f5529.cmd + diff --git a/CPE325/Lab5/main.asm b/CPE325/Lab5/main.asm new file mode 100644 index 0000000..03e4f9e --- /dev/null +++ b/CPE325/Lab5/main.asm @@ -0,0 +1,76 @@ +;------------------------------------------------------------------------------- +; MSP430 Assembler Code Template for use with TI Code Composer Studio +; +; +;------------------------------------------------------------------------------- + .cdecls C,LIST,"msp430.h" ; Include device header file + +;------------------------------------------------------------------------------- + .def RESET ; Export program entry-point to + ; make it known to linker. + .data +arr: .int "-25", "5", "22", "8", "-6", "14" +arrSize .int "6" +;------------------------------------------------------------------------------- + .text ; Assemble into program memory. + .retain ; Override ELF conditional linking + ; and retain current section. + .retainrefs ; And retain any sections that have + ; references to current section. + +;------------------------------------------------------------------------------- +RESET mov.w #__STACK_END,SP ; Initialize stackpointer +StopWDT mov.w #WDTPW|WDTHOLD,&WDTCTL ; Stop watchdog timer + + +;------------------------------------------------------------------------------- +; Main loop here +;------------------------------------------------------------------------------- +main: push #arr ; Move the starting address of arr onto the stack + push #arraySize ; Push the arraySize onto the stack + call #SW_Mult + mov.w @SP, #6 + + + + + + +SW_Mult: push R7 ; save r7, the sum + push R6 ; save R6, array size + push r4 ; save r4, pointer to array + mov.w 10(SP), R6 ; retreive array size + mov.w 12(SP, R7 ; retreive starting address + clr.w r8 + clr.w r9 + addIndex: mov.w @r4+, r5 ; increment byte counter + jmp comp + +comp: cmp #0, r5 + clr.w r8 ; clear result + jl ext +sum2 cmp #16, r10 + jz step2 + ; sxt r5 ; sign-extend value + +ext: sxt r5 ; sign-extend value + jmp sum2 +step2: add + + + + + +;------------------------------------------------------------------------------- +; Stack Pointer definition +;------------------------------------------------------------------------------- + .global __STACK_END + .sect .stack + + +;------------------------------------------------------------------------------- +; Interrupt Vectors +;------------------------------------------------------------------------------- + .sect ".reset" ; MSP430 RESET Vector + .short RESET + diff --git a/CPE325/Lab5/targetConfigs/MSP430F5529.ccxml b/CPE325/Lab5/targetConfigs/MSP430F5529.ccxml new file mode 100644 index 0000000..965ea95 --- /dev/null +++ b/CPE325/Lab5/targetConfigs/MSP430F5529.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab5/targetConfigs/readme.txt b/CPE325/Lab5/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab5/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab6_Problem1/Debug/Lab6_Problem1.map b/CPE325/Lab6_Problem1/Debug/Lab6_Problem1.map new file mode 100644 index 0000000..bc9a6b1 --- /dev/null +++ b/CPE325/Lab6_Problem1/Debug/Lab6_Problem1.map @@ -0,0 +1,726 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Fri Oct 1 15:16:30 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "RESET" address: 00003100 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 00000000 00002000 RWIX + FLASH 00003100 0000cebe 00000088 0000ce36 RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 00000000 00010000 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.stack 0 00003100 00000000 + +.text 0 00003100 00000080 + 00003100 00000080 main.obj (.text) + +.text:_isr +* 0 00003180 00000008 + 00003180 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00003100 00000000 UNINITIALIZED + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int17.asm.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 main.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int22.asm.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int23.asm.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int26.asm.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int28.asm.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int29.asm.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 main.obj (.reset) + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + main.obj 128 4 0 + +--+------------------+------+---------+---------+ + Total: 128 4 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + isr_trap.asm.obj 8 0 0 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int17.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int22.asm.obj 0 2 0 + int23.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int26.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int28.asm.obj 0 2 0 + int29.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + +--+------------------+------+---------+---------+ + Total: 8 32 0 + + +--+------------------+------+---------+---------+ + Grand Total: 136 36 0 + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +00003100 RESET +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00000120 WDTCTL +00003100 __STACK_END +00000000 __STACK_SIZE +00003180 __TI_ISR_TRAP +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000000 __STACK_SIZE +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +00003100 RESET +00003100 __STACK_END +00003180 __TI_ISR_TRAP +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[271 symbols] diff --git a/CPE325/Lab6_Problem1/Debug/Lab6_Problem1.out b/CPE325/Lab6_Problem1/Debug/Lab6_Problem1.out new file mode 100644 index 0000000..5b1c1a9 Binary files /dev/null and b/CPE325/Lab6_Problem1/Debug/Lab6_Problem1.out differ diff --git a/CPE325/Lab6_Problem1/Debug/Lab6_Problem1_linkInfo.xml b/CPE325/Lab6_Problem1/Debug/Lab6_Problem1_linkInfo.xml new file mode 100644 index 0000000..16d58cf --- /dev/null +++ b/CPE325/Lab6_Problem1/Debug/Lab6_Problem1_linkInfo.xml @@ -0,0 +1,2610 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61576c9e + 0x0 + Lab6_Problem1.out + + RESET +
0x3100
+
+ + + .\ + object + main.obj + main.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int17.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int22.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int23.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int26.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int28.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int29.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + + + .stack + 0x3100 + 0x3100 + 0x0 + + + + .stack + 0x3100 + 0x3100 + 0x0 + + + .text + 0x3100 + 0x3100 + 0x80 + + + + .text:_isr:__TI_ISR_TRAP + 0x3180 + 0x3180 + 0x8 + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0xc2 + + + + .debug_info + 0xc2 + 0xc2 + 0x10f + + + + .debug_info + 0x1d1 + 0x1d1 + 0x96 + + + .debug_line + 0x0 + 0x0 + 0x68 + + + + .debug_line + 0x68 + 0x68 + 0x3d + + + + .debug_abbrev + 0x0 + 0x0 + 0x25 + + + + .debug_abbrev + 0x25 + 0x25 + 0x28 + + + + .debug_abbrev + 0x4d + 0x4d + 0xf + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x2a + + + + .debug_pubnames + 0x2a + 0x2a + 0x2b + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x0 + 0x0 + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x3100 + 0x3100 + 0x0 + + + + + + + .text + 0x3100 + 0x3100 + 0x80 + + + + + + .text:_isr + 0x3180 + 0x3180 + 0x8 + + + + + + .cinit + 0x0 + 0x0 + + + + + .const + 0x0 + 0x0 + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x267 + + + + + + + + .debug_line + 0x0 + 0x0 + 0xa5 + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x5c + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x40 + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x55 + + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x3100 + 0x3100 + 0x88 + 0x5 + + + + + + + SEGMENT_1 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_2 + 0xffdc + 0xffdc + 0x24 + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0x0 + 0x2000 + RWIX + + + 0x1100 + 0x2000 + + + 0x3100 + 0x0 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0x88 + 0xce36 + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0x80 + + + + 0x3180 + 0x8 + + + + 0x3188 + 0xce36 + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x0 + 0x10000 + RWIX + + + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __STACK_SIZE + 0x0 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + RESET + 0x3100 + + + + __TI_int20 + 0xffe8 + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int17 + 0xffe2 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int21 + 0xffea + + + + __TI_int22 + 0xffec + + + + __TI_int23 + 0xffee + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int26 + 0xfff4 + + + + __TI_int27 + 0xfff6 + + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x3180 + + + + Link successful +
diff --git a/CPE325/Lab6_Problem1/Debug/ccsObjs.opt b/CPE325/Lab6_Problem1/Debug/ccsObjs.opt new file mode 100644 index 0000000..2f36690 --- /dev/null +++ b/CPE325/Lab6_Problem1/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./main.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab6_Problem1/Debug/main.obj b/CPE325/Lab6_Problem1/Debug/main.obj new file mode 100644 index 0000000..702410e Binary files /dev/null and b/CPE325/Lab6_Problem1/Debug/main.obj differ diff --git a/CPE325/Lab6_Problem1/Debug/makefile b/CPE325/Lab6_Problem1/Debug/makefile new file mode 100644 index 0000000..4b9d62d --- /dev/null +++ b/CPE325/Lab6_Problem1/Debug/makefile @@ -0,0 +1,166 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./main.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab6_Problem1.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab6_Problem1.out" \ + +BIN_OUTPUTS += \ +Lab6_Problem1.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab6_Problem1.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab6_Problem1.out" + +# Tool invocations +Lab6_Problem1.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --code_model=small --data_model=small --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"Lab6_Problem1.map" --heap_size=0 --stack_size=0 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab6_Problem1_linkInfo.xml" --entry_point=RESET --use_hw_mpy=16 -o "Lab6_Problem1.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab6_Problem1.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab6_Problem1.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "main.obj" + -$(RM) "main.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab6_Problem1/Debug/objects.mk b/CPE325/Lab6_Problem1/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab6_Problem1/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab6_Problem1/Debug/sources.mk b/CPE325/Lab6_Problem1/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab6_Problem1/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab6_Problem1/Debug/subdir_rules.mk b/CPE325/Lab6_Problem1/Debug/subdir_rules.mk new file mode 100644 index 0000000..9f14dde --- /dev/null +++ b/CPE325/Lab6_Problem1/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.asm $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --code_model=small --data_model=small --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab6_Problem1" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --preproc_with_compile --preproc_dependency="$(basename $(LED1(GREEN) +; | P2.1|-->LED2(YELLOW) +; +; Author: Aleksandar Milenkovic, milenkovic@computer.org +; Date: September 14, 2018 +;------------------------------------------------------------------------------- + .cdecls C,LIST,"msp430.h" ; Include device header file + +;------------------------------------------------------------------------------- + .def RESET ; Export program entry-point to + ; make it known to linker. +;------------------------------------------------------------------------------- + .text ; Assemble into program memory. + .retain ; Override ELF conditional linking + ; and retain current section. + .retainrefs ; And retain any sections that have + ; references to current section. + +;------------------------------------------------------------------------------- +RESET: mov.w #__STACK_END,SP ; Initialize stack pointer +StopWDT: mov.w #WDTPW|WDTHOLD,&WDTCTL ; Stop watchdog timer +Setup: bis.b #0x06,&P2DIR ; Set P2.2 and P2.1 to output + ; direction (0000_0110) + bic.b #0x04,&P2OUT ; Set P2OUT to 0x0000_0100 (LEDS off) +InfLoop: mov.w #0xFFFF, R5 ; Software delay (65,535*16cc/2^20 ~ 1s) +SWDelay1: nop ; 1cc (total delay is 16 cc) + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + nop + dec.w R5 ; 1cc + jnz SWDelay1 ; 2cc + xor.b #0x06, P2OUT ; toggle LEDs + jmp InfLoop ; goto InfLoop + +;------------------------------------------------------------------------------- +; Stack Pointer definition +;------------------------------------------------------------------------------- + .global __STACK_END + .sect .stack + +;------------------------------------------------------------------------------- +; Interrupt Vectors +;------------------------------------------------------------------------------- + .sect ".reset" ; MSP430 RESET Vector + .short RESET + diff --git a/CPE325/Lab6_Problem1/Lab6_D2.asm b/CPE325/Lab6_Problem1/Lab6_D2.asm new file mode 100644 index 0000000..6f5054b --- /dev/null +++ b/CPE325/Lab6_Problem1/Lab6_D2.asm @@ -0,0 +1,80 @@ +;------------------------------------------------------------------------------- +; File: Lab6_D2.asm +; Description: The program demonstrates Press/Release using SW1 and LED1. +; LED1 is initialized off. +; When SW1 press is detected, a software delay of 20 ms +; is used to implement debouncing. The switch is checked +; again, and if on, LED1 is turned on until SW1 is released. +; +; Clocks: ACLK = 32.768kHz, MCLK = SMCLK = default DCO = 2^20=1,048,576 Hz +; Platform: TI Experimenter's Board +; +; MSP430xG461x +; ----------------- +; /|\| | +; | | | +; --|RST | +; | P2.2|-->LED1(GREEN) +; | P1.0|<--SW1 +; +; Author: Aleksandar Milenkovic, milenkovic@computer.org +; Date: September 14, 2018 +;------------------------------------------------------------------------------- + + .cdecls C,LIST,"msp430.h" ; Include device header file + +;------------------------------------------------------------------------------- + .def RESET ; Export program entry-point to + ; make it known to linker. +;------------------------------------------------------------------------------- + .text ; Assemble into program memory. + .retain ; Override ELF conditional linking + ; and retain current section. + .retainrefs ; And retain any sections that have + ; references to current section. + +;------------------------------------------------------------------------------- +RESET: mov.w #__STACK_END,SP ; Initialize stack pointer +StopWDT: mov.w #WDTPW|WDTHOLD,&WDTCTL ; Stop watchdog timer +;------------------------------------------------------------------------------- +SetupP2: + bis.b #004h, &P2DIR ; Set P2.2 to output + ; direction (0000_0100) + bic.b #004h, &P2OUT ; Set P2OUT to 0x0000_0100 (ensure + ; LED1 is off) +ChkSW1: bit.b #01h, &P1IN ; Check if SW1 is pressed + ; (0000_0001 on P1IN) + jnz ChkSW1 ; If not zero, SW is not pressed + ; loop and check again +Debounce: + mov.w #2000, R15 ; Set to (2000 * 10 cc = 20,000 cc) +SWD20ms: dec.w R15 ; Decrement R15 + nop + nop + nop + nop + nop + nop + nop + jnz SWD20ms ; Delay over? + bit.b #00000001b, &P1IN ; Verify SW1 is still pressed + jnz ChkSW1 ; If not, wait for SW1 press + +LEDon: bis.b #004h, &P2OUT ; Turn on LED1 +SW1wait: bit.b #001h, &P1IN ; Test SW1 + jz SW1wait ; Wait until SW1 is released + bic.b #004, &P2OUT ; Turn off LED1 + jmp ChkSW1 ; Loop to beginning + +;------------------------------------------------------------------------------- +; Stack Pointer definition +;------------------------------------------------------------------------------- + .global __STACK_END + .sect .stack + +;------------------------------------------------------------------------------- +; Interrupt Vectors +;------------------------------------------------------------------------------- + .sect ".reset" ; MSP430 RESET Vector + .short RESET + .end diff --git a/CPE325/Lab6_Problem1/lnk_msp430fg4618.cmd b/CPE325/Lab6_Problem1/lnk_msp430fg4618.cmd new file mode 100755 index 0000000..557b664 --- /dev/null +++ b/CPE325/Lab6_Problem1/lnk_msp430fg4618.cmd @@ -0,0 +1,184 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430fg4618.cmd - LINKER COMMAND FILE FOR LINKING MSP430FG4618 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab6_Problem1/main.asm b/CPE325/Lab6_Problem1/main.asm new file mode 100644 index 0000000..11977b9 --- /dev/null +++ b/CPE325/Lab6_Problem1/main.asm @@ -0,0 +1,94 @@ +;------------------------------------------------------------------------------- +; MSP430 Assembler Code Template for use with TI Code Composer Studio +; +; +;------------------------------------------------------------------------------- + .cdecls C,LIST,"msp430.h" ; Include device header file + +;------------------------------------------------------------------------------- + .def RESET ; Export program entry-point to + ; make it known to linker. +;------------------------------------------------------------------------------- + .text ; Assemble into program memory. + .retain ; Override ELF conditional linking + ; and retain current section. + .retainrefs ; And retain any sections that have + ; references to current section. + +;------------------------------------------------------------------------------- +RESET mov.w #__STACK_END,SP ; Initialize stackpointer +StopWDT mov.w #WDTPW|WDTHOLD,&WDTCTL ; Stop watchdog timer + + +;------------------------------------------------------------------------------- +; Main loop here +;------------------------------------------------------------------------------- +Setup: + bis.b #004h, &P2DIR ; Set P2.2 to output + ; direction (0000_0100) + bic.b #004h, &P2OUT ; Set P2OUT to 0x0000_0100 (ensure + ; LED1 is off) + bis.b #002h, &P2DIR ; Set P2.1 to output + ; direction (0000_0010) + bic.b #002h, &P2OUT ; Set P2OUT to 0x0000_0010 (ensure + ; LED1 is off) + + bis.w #GIE, SR ; Enable Global Interrupts + bis.b #001h, &P1IE ; Enable Port 1 interrupt from bit 0 + bis.b #001h, &P1IES ; Set interrupt to call from hi to low + bic.b #001h, &P1IFG ; Clear interrupt flag + ;mov.w #0, R8 ; Counter for inner loop + bic.b #0x04,&P2OUT ; Set P2OUT to 0x0000_0100 (LEDS off) +InfLoop: mov.w #0xFFFF, R5 ; Software delay (65,535*16cc/2^20 ~ 1s) + mov.w #0, R8 ; Counter for inner loop +Iter: +SWDelay1: nop ; 1cc (total delay is 16 cc) + nop + nop + nop + nop + nop + nop + nop + nop + nop + dec.w R5 ; 1cc + jnz SWDelay1 ; 2cc + inc.b R8 ; 3cc + cmp #2, R8 ; 4cc + jnz Iter ; jump to Iter + xor.b #0x02, P2OUT ; toggle LED 2 + jmp InfLoop ; goto InfLoop + +SW1_ISR: bic.b #001h, &P1IFG ; Clear interrupt flag +ChkSw1: bit.b #01h, &P1IN ; Check if SW1 is pressed + ; (0000_0001 on P1IN) + jnz LExit ; If not zero, SW is not pressed + ; loop and check again +Debounce: mov.w #2000, R15 ; Set to (2000 * 10 cc ) +SWD20ms: dec.w R15 ; Decrement R15 + nop + nop + nop + nop + nop + nop + nop + jnz SWD20ms ; Delay over? + bit.b #00000001b,&P1IN ; Verify SW1 is still pressed + jnz LExit ; If not, wait for SW1 press +LEDon: xor.b #0x04,P2OUT ; Turn on LED1 +LExit: reti ; Return from interrupt +;------------------------------------------------------------------------------- +; Stack Pointer definition +;------------------------------------------------------------------------------- + .global __STACK_END + .sect .stack +;------------------------------------------------------------------------------- +; Interrupt Vectors +;------------------------------------------------------------------------------- + .sect ".reset" ; MSP430 RESET Vector + .short RESET + .sect ".int20" ; + .short SW1_ISR ; set SW1 Interupt service routine + .end diff --git a/CPE325/Lab6_Problem1/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab6_Problem1/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab6_Problem1/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab6_Problem1/targetConfigs/readme.txt b/CPE325/Lab6_Problem1/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab6_Problem1/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab6_Problem2/Debug/Lab6_Problem2.map b/CPE325/Lab6_Problem2/Debug/Lab6_Problem2.map new file mode 100644 index 0000000..7975eb6 --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/Lab6_Problem2.map @@ -0,0 +1,750 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Thu Dec 2 20:38:06 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noinit_noargs" address: 000031b0 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 00000050 00001fb0 RWIX + FLASH 00003100 0000cebe 000000d6 0000cde8 RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 00000000 00010000 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.stack 0 000030b0 00000050 UNINITIALIZED + 000030b0 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 000030b2 0000004e --HOLE-- + +.text 0 00003100 000000ce + 00003100 0000005c main.obj (.text:main) + 0000315c 00000054 main.obj (.text:Port1_ISR) + 000031b0 00000014 rts430_eabi.lib : boot.c.obj (.text:_c_int00_noinit_noargs) + 000031c4 00000006 : exit.c.obj (.text:abort) + 000031ca 00000004 : pre_init.c.obj (.text:_system_pre_init) + +.text:_isr +* 0 000031ce 00000008 + 000031ce 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00003100 00000000 UNINITIALIZED + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int17.asm.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 main.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int22.asm.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int23.asm.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 rts430_eabi.lib : int26.asm.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 rts430_eabi.lib : int28.asm.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 rts430_eabi.lib : int29.asm.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + main.obj 176 2 0 + +--+------------------+------+---------+---------+ + Total: 176 2 0 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + boot.c.obj 20 2 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int17.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int22.asm.obj 0 2 0 + int23.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int26.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int28.asm.obj 0 2 0 + int29.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + +--+------------------+------+---------+---------+ + Total: 38 34 0 + + Stack: 0 0 80 + +--+------------------+------+---------+---------+ + Grand Total: 214 36 80 + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +000031c4 C$$EXIT +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +0000315c Port1_ISR +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00000120 WDTCTL +00003100 __STACK_END +00000050 __STACK_SIZE +000031ce __TI_ISR_TRAP +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ +000031b0 _c_int00_noinit_noargs +0000fffe _reset_vector +000030b0 _stack +000031ca _system_pre_init +000031c4 abort +00003100 main + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000050 __STACK_SIZE +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +000030b0 _stack +00003100 __STACK_END +00003100 main +0000315c Port1_ISR +000031b0 _c_int00_noinit_noargs +000031c4 C$$EXIT +000031c4 abort +000031ca _system_pre_init +000031ce __TI_ISR_TRAP +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[278 symbols] diff --git a/CPE325/Lab6_Problem2/Debug/Lab6_Problem2.out b/CPE325/Lab6_Problem2/Debug/Lab6_Problem2.out new file mode 100644 index 0000000..96223c1 Binary files /dev/null and b/CPE325/Lab6_Problem2/Debug/Lab6_Problem2.out differ diff --git a/CPE325/Lab6_Problem2/Debug/Lab6_Problem2_linkInfo.xml b/CPE325/Lab6_Problem2/Debug/Lab6_Problem2_linkInfo.xml new file mode 100644 index 0000000..77e1b6b --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/Lab6_Problem2_linkInfo.xml @@ -0,0 +1,3083 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61a9830e + 0x0 + Lab6_Problem2.out + + _c_int00_noinit_noargs +
0x31b0
+
+ + + .\ + object + main.obj + main.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int17.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int22.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int23.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int26.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int28.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int29.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + + + .stack + true + 0x30b0 + 0x2 + + + + .stack + true + 0x30b0 + 0x0 + + + .text:main + 0x3100 + 0x3100 + 0x5c + + + + .text:Port1_ISR + 0x315c + 0x315c + 0x54 + + + + .text:_c_int00_noinit_noargs + 0x31b0 + 0x31b0 + 0x14 + + + + .text:abort + 0x31c4 + 0x31c4 + 0x6 + + + + .text:_system_pre_init + 0x31ca + 0x31ca + 0x4 + + + + .text:_isr:__TI_ISR_TRAP + 0x31ce + 0x31ce + 0x8 + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0xe2 + + + + .debug_info + 0xe2 + 0xe2 + 0xf4 + + + + .debug_info + 0x1d6 + 0x1d6 + 0x84 + + + + .debug_info + 0x25a + 0x25a + 0x10f + + + + .debug_info + 0x369 + 0x369 + 0x100 + + + + .debug_info + 0x469 + 0x469 + 0x177 + + + + .debug_info + 0x5e0 + 0x5e0 + 0x153 + + + + .debug_info + 0x733 + 0x733 + 0x122 + + + + .debug_info + 0x855 + 0x855 + 0x96 + + + .debug_line + 0x0 + 0x0 + 0x55 + + + + .debug_line + 0x55 + 0x55 + 0x55 + + + + .debug_line + 0xaa + 0xaa + 0x20 + + + + .debug_line + 0xca + 0xca + 0x3d + + + + .debug_line + 0x107 + 0x107 + 0x2a + + + + .debug_line + 0x131 + 0x131 + 0x43 + + + + .debug_line + 0x174 + 0x174 + 0x3e + + + + .debug_line + 0x1b2 + 0x1b2 + 0x3d + + + + .debug_frame + 0x0 + 0x0 + 0x3c + + + + .debug_frame + 0x3c + 0x3c + 0x3c + + + + .debug_frame + 0x78 + 0x78 + 0x34 + + + + .debug_frame + 0xac + 0xac + 0x3c + + + + .debug_frame + 0xe8 + 0xe8 + 0x3c + + + + .debug_abbrev + 0x0 + 0x0 + 0x44 + + + + .debug_abbrev + 0x44 + 0x44 + 0x53 + + + + .debug_abbrev + 0x97 + 0x97 + 0x1f + + + + .debug_abbrev + 0xb6 + 0xb6 + 0x28 + + + + .debug_abbrev + 0xde + 0xde + 0x29 + + + + .debug_abbrev + 0x107 + 0x107 + 0x58 + + + + .debug_abbrev + 0x15f + 0x15f + 0x55 + + + + .debug_abbrev + 0x1b4 + 0x1b4 + 0x45 + + + + .debug_abbrev + 0x1f9 + 0x1f9 + 0xf + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1b + + + + .debug_pubnames + 0x1b + 0x1b + 0x20 + + + + .debug_pubnames + 0x3b + 0x3b + 0x2b + + + + .debug_pubnames + 0x66 + 0x66 + 0x1d + + + + .debug_pubnames + 0x83 + 0x83 + 0x2d + + + + .debug_pubnames + 0xb0 + 0xb0 + 0x27 + + + + .debug_pubnames + 0xd7 + 0xd7 + 0x1c + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x0 + 0x0 + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x30b0 + 0x50 + + + + + + + .text + 0x3100 + 0x3100 + 0xce + + + + + + + + + + .text:_isr + 0x31ce + 0x31ce + 0x8 + + + + + + .cinit + 0x0 + 0x0 + + + + + .const + 0x0 + 0x0 + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x8eb + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x1ef + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x124 + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x208 + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + + + .debug_aranges + 0x0 + 0x0 + 0xc0 + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0xf3 + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x30b0 + 0x50 + 0x6 + + + + + + SEGMENT_1 + 0x3100 + 0x3100 + 0xd6 + 0x5 + + + + + + + SEGMENT_2 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_3 + 0xffdc + 0xffdc + 0x24 + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0x50 + 0x1fb0 + RWIX + + + 0x1100 + 0x1fb0 + + + 0x30b0 + 0x50 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0xd6 + 0xcde8 + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0xce + + + + 0x31ce + 0x8 + + + + 0x31d6 + 0xcde8 + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x0 + 0x10000 + RWIX + + + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __STACK_SIZE + 0x50 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + __TI_int20 + 0xffe8 + + + + Port1_ISR + 0x315c + + + + main + 0x3100 + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int17 + 0xffe2 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int21 + 0xffea + + + + __TI_int22 + 0xffec + + + + __TI_int23 + 0xffee + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int26 + 0xfff4 + + + + __TI_int27 + 0xfff6 + + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x31ce + + + + _c_int00_noinit_noargs + 0x31b0 + + + + _stack + 0x30b0 + + + + _reset_vector + 0xfffe + + + + _system_pre_init + 0x31ca + + + + C$$EXIT + 0x31c4 + + + + abort + 0x31c4 + + + + Link successful +
diff --git a/CPE325/Lab6_Problem2/Debug/ccsObjs.opt b/CPE325/Lab6_Problem2/Debug/ccsObjs.opt new file mode 100644 index 0000000..2f36690 --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./main.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab6_Problem2/Debug/main.d b/CPE325/Lab6_Problem2/Debug/main.d new file mode 100644 index 0000000..15f98dd --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/main.d @@ -0,0 +1,21 @@ +# FIXED + +main.obj: ../main.c +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h + +../main.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + diff --git a/CPE325/Lab6_Problem2/Debug/main.lst b/CPE325/Lab6_Problem2/Debug/main.lst new file mode 100644 index 0000000..b881034 --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/main.lst @@ -0,0 +1,614 @@ +MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 1 + + 1 ;****************************************************************************** + 2 ;* MSP430 G3 C/C++ Codegen PC v20.2.5.LTS * + 3 ;* Date/Time created: Thu Dec 2 20:38:06 2021 * + 4 ;****************************************************************************** + 5 .compiler_opts --abi=eabi --diag_wrap=off --hll_source=on --mem_model:code=small --mem_model:d + 6 + 7 $C$DW$CU .dwtag DW_TAG_compile_unit + 8 .dwattr $C$DW$CU, DW_AT_name("../main.c") + 9 .dwattr $C$DW$CU, DW_AT_producer("TI MSP430 G3 C/C++ Codegen PC v20.2.5.LTS Copyright (c) 2003 + 10 .dwattr $C$DW$CU, DW_AT_TI_version(0x01) + 11 .dwattr $C$DW$CU, DW_AT_comp_dir("C:\CPE325_Workspace\Lab6_Problem2\Debug") + 12 ; Interrupt vector table mappings + 13 000000 .intvec ".int20", Port1_ISR + 000000 + 14 $C$DW$1 .dwtag DW_TAG_variable + 15 .dwattr $C$DW$1, DW_AT_name("SCFI0") + 16 .dwattr $C$DW$1, DW_AT_TI_symbol_name("SCFI0") + 17 .dwattr $C$DW$1, DW_AT_type(*$C$DW$T$23) + 18 .dwattr $C$DW$1, DW_AT_declaration + 19 .dwattr $C$DW$1, DW_AT_external + 20 .dwattr $C$DW$1, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 21 .dwattr $C$DW$1, DW_AT_decl_line(0x331) + 22 .dwattr $C$DW$1, DW_AT_decl_column(0x01) + 23 + 24 $C$DW$2 .dwtag DW_TAG_variable + 25 .dwattr $C$DW$2, DW_AT_name("SCFQCTL") + 26 .dwattr $C$DW$2, DW_AT_TI_symbol_name("SCFQCTL") + 27 .dwattr $C$DW$2, DW_AT_type(*$C$DW$T$23) + 28 .dwattr $C$DW$2, DW_AT_declaration + 29 .dwattr $C$DW$2, DW_AT_external + 30 .dwattr $C$DW$2, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 31 .dwattr $C$DW$2, DW_AT_decl_line(0x33f) + 32 .dwattr $C$DW$2, DW_AT_decl_column(0x01) + 33 + 34 $C$DW$3 .dwtag DW_TAG_variable + 35 .dwattr $C$DW$3, DW_AT_name("FLL_CTL0") + 36 .dwattr $C$DW$3, DW_AT_TI_symbol_name("FLL_CTL0") + 37 .dwattr $C$DW$3, DW_AT_type(*$C$DW$T$23) + 38 .dwattr $C$DW$3, DW_AT_declaration + 39 .dwattr $C$DW$3, DW_AT_external + 40 .dwattr $C$DW$3, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 41 .dwattr $C$DW$3, DW_AT_decl_line(0x34b) + 42 .dwattr $C$DW$3, DW_AT_decl_column(0x01) + 43 + 44 $C$DW$4 .dwtag DW_TAG_variable + 45 .dwattr $C$DW$4, DW_AT_name("P1IFG") + 46 .dwattr $C$DW$4, DW_AT_TI_symbol_name("P1IFG") + 47 .dwattr $C$DW$4, DW_AT_type(*$C$DW$T$23) + 48 .dwattr $C$DW$4, DW_AT_declaration + 49 .dwattr $C$DW$4, DW_AT_external + 50 .dwattr $C$DW$4, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 51 .dwattr $C$DW$4, DW_AT_decl_line(0x450) + 52 .dwattr $C$DW$4, DW_AT_decl_column(0x01) + 53 + 54 $C$DW$5 .dwtag DW_TAG_variable + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 2 + + 55 .dwattr $C$DW$5, DW_AT_name("P1IES") + 56 .dwattr $C$DW$5, DW_AT_TI_symbol_name("P1IES") + 57 .dwattr $C$DW$5, DW_AT_type(*$C$DW$T$23) + 58 .dwattr $C$DW$5, DW_AT_declaration + 59 .dwattr $C$DW$5, DW_AT_external + 60 .dwattr $C$DW$5, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 61 .dwattr $C$DW$5, DW_AT_decl_line(0x451) + 62 .dwattr $C$DW$5, DW_AT_decl_column(0x01) + 63 + 64 $C$DW$6 .dwtag DW_TAG_variable + 65 .dwattr $C$DW$6, DW_AT_name("P1IE") + 66 .dwattr $C$DW$6, DW_AT_TI_symbol_name("P1IE") + 67 .dwattr $C$DW$6, DW_AT_type(*$C$DW$T$23) + 68 .dwattr $C$DW$6, DW_AT_declaration + 69 .dwattr $C$DW$6, DW_AT_external + 70 .dwattr $C$DW$6, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 71 .dwattr $C$DW$6, DW_AT_decl_line(0x452) + 72 .dwattr $C$DW$6, DW_AT_decl_column(0x01) + 73 + 74 $C$DW$7 .dwtag DW_TAG_variable + 75 .dwattr $C$DW$7, DW_AT_name("P2OUT") + 76 .dwattr $C$DW$7, DW_AT_TI_symbol_name("P2OUT") + 77 .dwattr $C$DW$7, DW_AT_type(*$C$DW$T$23) + 78 .dwattr $C$DW$7, DW_AT_declaration + 79 .dwattr $C$DW$7, DW_AT_external + 80 .dwattr $C$DW$7, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 81 .dwattr $C$DW$7, DW_AT_decl_line(0x456) + 82 .dwattr $C$DW$7, DW_AT_decl_column(0x01) + 83 + 84 $C$DW$8 .dwtag DW_TAG_variable + 85 .dwattr $C$DW$8, DW_AT_name("P2DIR") + 86 .dwattr $C$DW$8, DW_AT_TI_symbol_name("P2DIR") + 87 .dwattr $C$DW$8, DW_AT_type(*$C$DW$T$23) + 88 .dwattr $C$DW$8, DW_AT_declaration + 89 .dwattr $C$DW$8, DW_AT_external + 90 .dwattr $C$DW$8, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 91 .dwattr $C$DW$8, DW_AT_decl_line(0x457) + 92 .dwattr $C$DW$8, DW_AT_decl_column(0x01) + 93 + 94 $C$DW$9 .dwtag DW_TAG_variable + 95 .dwattr $C$DW$9, DW_AT_name("WDTCTL") + 96 .dwattr $C$DW$9, DW_AT_TI_symbol_name("WDTCTL") + 97 .dwattr $C$DW$9, DW_AT_type(*$C$DW$T$25) + 98 .dwattr $C$DW$9, DW_AT_declaration + 99 .dwattr $C$DW$9, DW_AT_external + 100 .dwattr $C$DW$9, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h") + 101 .dwattr $C$DW$9, DW_AT_decl_line(0x6df) + 102 .dwattr $C$DW$9, DW_AT_decl_column(0x01) + 103 + 104 ; C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\bin\acpia430.exe -@C:\\Users\\LIBRAR + 105 000000 .sect ".text:main" + 106 .clink + 107 .global main + 108 + 109 $C$DW$10 .dwtag DW_TAG_subprogram + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 3 + + 110 .dwattr $C$DW$10, DW_AT_name("main") + 111 .dwattr $C$DW$10, DW_AT_low_pc(main) + 112 .dwattr $C$DW$10, DW_AT_high_pc(0x00) + 113 .dwattr $C$DW$10, DW_AT_TI_symbol_name("main") + 114 .dwattr $C$DW$10, DW_AT_external + 115 .dwattr $C$DW$10, DW_AT_type(*$C$DW$T$10) + 116 .dwattr $C$DW$10, DW_AT_TI_begin_file("../main.c") + 117 .dwattr $C$DW$10, DW_AT_TI_begin_line(0x0b) + 118 .dwattr $C$DW$10, DW_AT_TI_begin_column(0x05) + 119 .dwattr $C$DW$10, DW_AT_decl_file("../main.c") + 120 .dwattr $C$DW$10, DW_AT_decl_line(0x0b) + 121 .dwattr $C$DW$10, DW_AT_decl_column(0x05) + 122 .dwattr $C$DW$10, DW_AT_TI_max_frame_size(0x02) + 123 .dwpsn file "../main.c",line 12,column 1,is_stmt,address main,isa 0 + 124 + 125 .dwfde $C$DW$CIE, main + 126 + 127 ;***************************************************************************** + 128 ;* FUNCTION NAME: main * + 129 ;* * + 130 ;* Regs Modified : SP,SR * + 131 ;* Regs Used : SP,SR * + 132 ;* Local Frame Size : 0 Args + 0 Auto + 0 Save = 0 byte * + 133 ;***************************************************************************** + 134 000000 main: + 135 ;* --------------------------------------------------------------------------* + 136 .dwcfi cfa_offset, 2 + 137 .dwcfi save_reg_to_mem, 16, -2 + 138 .dwpsn file "../main.c",line 13,column 2,is_stmt,isa 0 + 139 000000 40B2 MOV.W #23168,&WDTCTL+0 ; [] |13| + 000002 5A80 + 000004 0000! + 140 .dwpsn file "../main.c",line 14,column 2,is_stmt,isa 0 + 141 000006 D2E2 OR.B #4,&P2DIR+0 ; [] |14| + 000008 0000! + 142 .dwpsn file "../main.c",line 15,column 2,is_stmt,isa 0 + 143 00000a 43C2 MOV.B #0,&P2OUT+0 ; [] |15| + 00000c 0000! + 144 .dwpsn file "../main.c",line 16,column 2,is_stmt,isa 0 + 145 00000e D232 EINT ; [] |16| + 146 .dwpsn file "../main.c",line 17,column 2,is_stmt,isa 0 + 147 000010 D3D2 OR.B #1,&P1IE+0 ; [] |17| + 000012 0000! + 148 .dwpsn file "../main.c",line 18,column 2,is_stmt,isa 0 + 149 000014 D3E2 OR.B #2,&P1IE+0 ; [] |18| + 000016 0000! + 150 .dwpsn file "../main.c",line 19,column 2,is_stmt,isa 0 + 151 000018 D3D2 OR.B #1,&P1IES+0 ; [] |19| + 00001a 0000! + 152 .dwpsn file "../main.c",line 20,column 2,is_stmt,isa 0 + 153 00001c C3D2 BIC.B #1,&P1IFG+0 ; [] |20| + 00001e 0000! + 154 .dwpsn file "../main.c",line 21,column 2,is_stmt,isa 0 + 155 000020 D3E2 OR.B #2,&P1IES+0 ; [] |21| + 000022 0000! + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 4 + + 156 .dwpsn file "../main.c",line 22,column 2,is_stmt,isa 0 + 157 000024 C3E2 BIC.B #2,&P1IFG+0 ; [] |22| + 000026 0000! + 158 .dwpsn file "../main.c",line 23,column 2,is_stmt,isa 0 + 159 000028 D0F2 OR.B #176,&FLL_CTL0+0 ; [] |23| + 00002a 00B0 + 00002c 0000! + 160 .dwpsn file "../main.c",line 24,column 5,is_stmt,isa 0 + 161 00002e D0F2 OR.B #80,&SCFI0+0 ; [] |24| + 000030 0050 + 000032 0000! + 162 .dwpsn file "../main.c",line 25,column 5,is_stmt,isa 0 + 163 000034 40F2 MOV.B #63,&SCFQCTL+0 ; [] |25| + 000036 003F + 000038 0000! + 164 .dwpsn file "../main.c",line 26,column 8,is_stmt,isa 0 + 165 ;* --------------------------------------------------------------------------* + 166 ;* BEGIN LOOP $C$L1 + 167 ;* + 168 ;* Loop source line : 26 + 169 ;* Loop closing brace source line : 29 + 170 ;* Known Minimum Trip Count : 1 + 171 ;* Known Maximum Trip Count : 4294967295 + 172 ;* Known Max Trip Count Factor : 1 + 173 ;* --------------------------------------------------------------------------* + 174 00003a $C$L1: + 175 .dwpsn file "../main.c",line 27,column 6,is_stmt,isa 0 + 176 ; Begin 1048576 cycle delay + 177 .newblock + 178 00003a 120D PUSH r13 + 179 00003c 120E PUSH r14 + 180 00003e 403D MOV.W #16380, r13 + 000040 3FFC + 181 000042 403E MOV.W #3, r14 + 000044 0003 + 182 000046 831D $1: SUB.W #1, r13 + 183 000048 730E SUBC.W #0, r14 + 184 00004a 23FD JNE $1 + 185 00004c 930D TST.W r13 + 186 00004e 23FB JNE $1 + 187 000050 413E POP r14 + 188 000052 413D POP r13 + 189 000054 3C00 JMP ($ + 2) + 190 ; End 1048576 cycle delay ; [] |27| + 191 .dwpsn file "../main.c",line 28,column 6,is_stmt,isa 0 + 192 000056 E2E2 XOR.B #4,&P2OUT+0 ; [] |28| + 000058 0000! + 193 .dwpsn file "../main.c",line 26,column 8,is_stmt,isa 0 + 194 00005a 3FEF JMP $C$L1 ; [] |26| + 195 ; [] |26| + 196 ;* --------------------------------------------------------------------------* + 197 .dwattr $C$DW$10, DW_AT_TI_end_file("../main.c") + 198 .dwattr $C$DW$10, DW_AT_TI_end_line(0x1e) + 199 .dwattr $C$DW$10, DW_AT_TI_end_column(0x01) + 200 .dwendentry + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 5 + + 201 .dwendtag $C$DW$10 + 202 + 203 000000 .sect ".text:Port1_ISR" + 204 .clink + 205 .global Port1_ISR + 206 + 207 $C$DW$11 .dwtag DW_TAG_subprogram + 208 .dwattr $C$DW$11, DW_AT_name("Port1_ISR") + 209 .dwattr $C$DW$11, DW_AT_low_pc(Port1_ISR) + 210 .dwattr $C$DW$11, DW_AT_high_pc(0x00) + 211 .dwattr $C$DW$11, DW_AT_TI_symbol_name("Port1_ISR") + 212 .dwattr $C$DW$11, DW_AT_external + 213 .dwattr $C$DW$11, DW_AT_TI_begin_file("../main.c") + 214 .dwattr $C$DW$11, DW_AT_TI_begin_line(0x21) + 215 .dwattr $C$DW$11, DW_AT_TI_begin_column(0x12) + 216 .dwattr $C$DW$11, DW_AT_decl_file("../main.c") + 217 .dwattr $C$DW$11, DW_AT_decl_line(0x21) + 218 .dwattr $C$DW$11, DW_AT_decl_column(0x12) + 219 .dwattr $C$DW$11, DW_AT_TI_interrupt + 220 .dwattr $C$DW$11, DW_AT_TI_max_frame_size(0x02) + 221 .dwpsn file "../main.c",line 33,column 35,is_stmt,address Port1_ISR,isa 0 + 222 + 223 .dwfde $C$DW$CIE, Port1_ISR + 224 + 225 ;***************************************************************************** + 226 ;* FUNCTION NAME: Port1_ISR * + 227 ;* * + 228 ;* Regs Modified : SP,SR * + 229 ;* Regs Used : SP,SR * + 230 ;* Local Frame Size : 0 Args + 0 Auto + 0 Save = 0 byte * + 231 ;***************************************************************************** + 232 000000 Port1_ISR: + 233 ;* --------------------------------------------------------------------------* + 234 .dwcfi cfa_offset, 2 + 235 .dwcfi save_reg_to_mem, 16, -2 + 236 .dwpsn file "../main.c",line 34,column 5,is_stmt,isa 0 + 237 000000 B3D2 BIT.B #1,&P1IFG+0 ; [] |34| + 000002 0000! + 238 000004 2412 JEQ $C$L2 ; [] |34| + 239 ; [] |34| + 240 ;* --------------------------------------------------------------------------* + 241 .dwpsn file "../main.c",line 36,column 11,is_stmt,isa 0 + 242 000006 D0F2 OR.B #176,&FLL_CTL0+0 ; [] |36| + 000008 00B0 + 00000a 0000! + 243 .dwpsn file "../main.c",line 37,column 11,is_stmt,isa 0 + 244 00000c D0F2 OR.B #80,&SCFI0+0 ; [] |37| + 00000e 0050 + 000010 0000! + 245 .dwpsn file "../main.c",line 38,column 11,is_stmt,isa 0 + 246 000012 40F2 MOV.B #127,&SCFQCTL+0 ; [] |38| + 000014 007F + 000016 0000! + 247 .dwpsn file "../main.c",line 39,column 11,is_stmt,isa 0 + 248 000018 D3D2 OR.B #1,&P1IES+0 ; [] |39| + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 6 + + 00001a 0000! + 249 .dwpsn file "../main.c",line 40,column 11,is_stmt,isa 0 + 250 00001c C3D2 BIC.B #1,&P1IFG+0 ; [] |40| + 00001e 0000! + 251 .dwpsn file "../main.c",line 41,column 11,is_stmt,isa 0 + 252 000020 D3E2 OR.B #2,&P1IES+0 ; [] |41| + 000022 0000! + 253 .dwpsn file "../main.c",line 42,column 11,is_stmt,isa 0 + 254 000024 C3E2 BIC.B #2,&P1IFG+0 ; [] |42| + 000026 0000! + 255 000028 3C14 JMP $C$L3 ; [] + 256 ; [] + 257 ;* --------------------------------------------------------------------------* + 258 00002a $C$L2: + 259 .dwpsn file "../main.c",line 44,column 10,is_stmt,isa 0 + 260 00002a B3E2 BIT.B #2,&P1IFG+0 ; [] |44| + 00002c 0000! + 261 00002e 2411 JEQ $C$L3 ; [] |44| + 262 ; [] |44| + 263 ;* --------------------------------------------------------------------------* + 264 .dwpsn file "../main.c",line 46,column 11,is_stmt,isa 0 + 265 000030 D0F2 OR.B #176,&FLL_CTL0+0 ; [] |46| + 000032 00B0 + 000034 0000! + 266 .dwpsn file "../main.c",line 47,column 11,is_stmt,isa 0 + 267 000036 D0F2 OR.B #80,&SCFI0+0 ; [] |47| + 000038 0050 + 00003a 0000! + 268 .dwpsn file "../main.c",line 48,column 11,is_stmt,isa 0 + 269 00003c 40F2 MOV.B #31,&SCFQCTL+0 ; [] |48| + 00003e 001F + 000040 0000! + 270 .dwpsn file "../main.c",line 49,column 11,is_stmt,isa 0 + 271 000042 D3D2 OR.B #1,&P1IES+0 ; [] |49| + 000044 0000! + 272 .dwpsn file "../main.c",line 50,column 11,is_stmt,isa 0 + 273 000046 C3D2 BIC.B #1,&P1IFG+0 ; [] |50| + 000048 0000! + 274 .dwpsn file "../main.c",line 51,column 11,is_stmt,isa 0 + 275 00004a D3E2 OR.B #2,&P1IES+0 ; [] |51| + 00004c 0000! + 276 .dwpsn file "../main.c",line 52,column 11,is_stmt,isa 0 + 277 00004e C3E2 BIC.B #2,&P1IFG+0 ; [] |52| + 000050 0000! + 278 .dwpsn file "../main.c",line 54,column 1,is_stmt,isa 0 + 279 ;* --------------------------------------------------------------------------* + 280 $C$L3: + 281 $C$DW$12 .dwtag DW_TAG_TI_branch + 282 .dwattr $C$DW$12, DW_AT_low_pc(0x00) + 283 .dwattr $C$DW$12, DW_AT_TI_return + 284 + 285 000052 1300 RETI ; [] + 286 ; [] + 287 .dwattr $C$DW$11, DW_AT_TI_end_file("../main.c") + 288 .dwattr $C$DW$11, DW_AT_TI_end_line(0x36) + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 7 + + 289 .dwattr $C$DW$11, DW_AT_TI_end_column(0x01) + 290 .dwendentry + 291 .dwendtag $C$DW$11 + 292 + 293 ;***************************************************************************** + 294 ;* UNDEFINED EXTERNAL REFERENCES * + 295 ;***************************************************************************** + 296 .global SCFI0 + 297 .global SCFQCTL + 298 .global FLL_CTL0 + 299 .global P1IFG + 300 .global P1IES + 301 .global P1IE + 302 .global P2OUT + 303 .global P2DIR + 304 .global WDTCTL + 305 + 306 ;****************************************************************************** + 307 ;* BUILD ATTRIBUTES * + 308 ;****************************************************************************** + 309 .battr "TI", Tag_File, 1, Tag_LPM_INFO(1) + 310 .battr "TI", Tag_File, 1, Tag_PORTS_INIT_INFO("012345678901ABCDEFGHIJ0111111111101100000000001 + 311 .battr "TI", Tag_File, 1, Tag_LEA_INFO(1) + 312 .battr "TI", Tag_File, 1, Tag_HW_MPY32_INFO(1) + 313 .battr "TI", Tag_File, 1, Tag_HW_MPY_ISR_INFO(1) + 314 .battr "TI", Tag_File, 1, Tag_HW_MPY_INLINE_INFO(1) + 315 .battr "mspabi", Tag_File, 1, Tag_enum_size(3) + 316 + 317 ;****************************************************************************** + 318 ;* TYPE INFORMATION * + 319 ;****************************************************************************** + 320 $C$DW$T$2 .dwtag DW_TAG_unspecified_type + 321 .dwattr $C$DW$T$2, DW_AT_name("void") + 322 + 323 + 324 $C$DW$T$20 .dwtag DW_TAG_subroutine_type + 325 .dwattr $C$DW$T$20, DW_AT_language(DW_LANG_C) + 326 .dwendtag $C$DW$T$20 + 327 + 328 $C$DW$T$21 .dwtag DW_TAG_pointer_type + 329 .dwattr $C$DW$T$21, DW_AT_type(*$C$DW$T$20) + 330 .dwattr $C$DW$T$21, DW_AT_address_class(0x10) + 331 + 332 $C$DW$T$22 .dwtag DW_TAG_typedef + 333 .dwattr $C$DW$T$22, DW_AT_name("__SFR_FARPTR") + 334 .dwattr $C$DW$T$22, DW_AT_type(*$C$DW$T$21) + 335 .dwattr $C$DW$T$22, DW_AT_language(DW_LANG_C) + 336 .dwattr $C$DW$T$22, DW_AT_decl_file("C:\ti\ccs1040\ccs\ccs_base\msp430\include\msp430fg4618.h" + 337 .dwattr $C$DW$T$22, DW_AT_decl_line(0x4d) + 338 .dwattr $C$DW$T$22, DW_AT_decl_column(0x11) + 339 + 340 $C$DW$T$4 .dwtag DW_TAG_base_type + 341 .dwattr $C$DW$T$4, DW_AT_encoding(DW_ATE_boolean) + 342 .dwattr $C$DW$T$4, DW_AT_name("bool") + 343 .dwattr $C$DW$T$4, DW_AT_byte_size(0x01) + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 8 + + 344 + 345 $C$DW$T$5 .dwtag DW_TAG_base_type + 346 .dwattr $C$DW$T$5, DW_AT_encoding(DW_ATE_signed_char) + 347 .dwattr $C$DW$T$5, DW_AT_name("signed char") + 348 .dwattr $C$DW$T$5, DW_AT_byte_size(0x01) + 349 + 350 $C$DW$T$6 .dwtag DW_TAG_base_type + 351 .dwattr $C$DW$T$6, DW_AT_encoding(DW_ATE_unsigned_char) + 352 .dwattr $C$DW$T$6, DW_AT_name("unsigned char") + 353 .dwattr $C$DW$T$6, DW_AT_byte_size(0x01) + 354 + 355 $C$DW$T$23 .dwtag DW_TAG_volatile_type + 356 .dwattr $C$DW$T$23, DW_AT_type(*$C$DW$T$6) + 357 + 358 $C$DW$T$7 .dwtag DW_TAG_base_type + 359 .dwattr $C$DW$T$7, DW_AT_encoding(DW_ATE_signed_char) + 360 .dwattr $C$DW$T$7, DW_AT_name("wchar_t") + 361 .dwattr $C$DW$T$7, DW_AT_byte_size(0x02) + 362 + 363 $C$DW$T$8 .dwtag DW_TAG_base_type + 364 .dwattr $C$DW$T$8, DW_AT_encoding(DW_ATE_signed) + 365 .dwattr $C$DW$T$8, DW_AT_name("short") + 366 .dwattr $C$DW$T$8, DW_AT_byte_size(0x02) + 367 + 368 $C$DW$T$9 .dwtag DW_TAG_base_type + 369 .dwattr $C$DW$T$9, DW_AT_encoding(DW_ATE_unsigned) + 370 .dwattr $C$DW$T$9, DW_AT_name("unsigned short") + 371 .dwattr $C$DW$T$9, DW_AT_byte_size(0x02) + 372 + 373 $C$DW$T$10 .dwtag DW_TAG_base_type + 374 .dwattr $C$DW$T$10, DW_AT_encoding(DW_ATE_signed) + 375 .dwattr $C$DW$T$10, DW_AT_name("int") + 376 .dwattr $C$DW$T$10, DW_AT_byte_size(0x02) + 377 + 378 $C$DW$T$11 .dwtag DW_TAG_base_type + 379 .dwattr $C$DW$T$11, DW_AT_encoding(DW_ATE_unsigned) + 380 .dwattr $C$DW$T$11, DW_AT_name("unsigned int") + 381 .dwattr $C$DW$T$11, DW_AT_byte_size(0x02) + 382 + 383 $C$DW$T$25 .dwtag DW_TAG_volatile_type + 384 .dwattr $C$DW$T$25, DW_AT_type(*$C$DW$T$11) + 385 + 386 $C$DW$T$12 .dwtag DW_TAG_base_type + 387 .dwattr $C$DW$T$12, DW_AT_encoding(DW_ATE_signed) + 388 .dwattr $C$DW$T$12, DW_AT_name("long") + 389 .dwattr $C$DW$T$12, DW_AT_byte_size(0x04) + 390 + 391 $C$DW$T$13 .dwtag DW_TAG_base_type + 392 .dwattr $C$DW$T$13, DW_AT_encoding(DW_ATE_unsigned) + 393 .dwattr $C$DW$T$13, DW_AT_name("unsigned long") + 394 .dwattr $C$DW$T$13, DW_AT_byte_size(0x04) + 395 + 396 $C$DW$T$14 .dwtag DW_TAG_base_type + 397 .dwattr $C$DW$T$14, DW_AT_encoding(DW_ATE_signed) + 398 .dwattr $C$DW$T$14, DW_AT_name("long long") + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 9 + + 399 .dwattr $C$DW$T$14, DW_AT_byte_size(0x08) + 400 + 401 $C$DW$T$15 .dwtag DW_TAG_base_type + 402 .dwattr $C$DW$T$15, DW_AT_encoding(DW_ATE_unsigned) + 403 .dwattr $C$DW$T$15, DW_AT_name("unsigned long long") + 404 .dwattr $C$DW$T$15, DW_AT_byte_size(0x08) + 405 + 406 $C$DW$T$16 .dwtag DW_TAG_base_type + 407 .dwattr $C$DW$T$16, DW_AT_encoding(DW_ATE_float) + 408 .dwattr $C$DW$T$16, DW_AT_name("float") + 409 .dwattr $C$DW$T$16, DW_AT_byte_size(0x04) + 410 + 411 $C$DW$T$17 .dwtag DW_TAG_base_type + 412 .dwattr $C$DW$T$17, DW_AT_encoding(DW_ATE_float) + 413 .dwattr $C$DW$T$17, DW_AT_name("double") + 414 .dwattr $C$DW$T$17, DW_AT_byte_size(0x08) + 415 + 416 $C$DW$T$18 .dwtag DW_TAG_base_type + 417 .dwattr $C$DW$T$18, DW_AT_encoding(DW_ATE_float) + 418 .dwattr $C$DW$T$18, DW_AT_name("long double") + 419 .dwattr $C$DW$T$18, DW_AT_byte_size(0x08) + 420 + 421 .dwattr $C$DW$CU, DW_AT_language(DW_LANG_C) + 422 + 423 ;*************************************************************** + 424 ;* DWARF CIE ENTRIES * + 425 ;*************************************************************** + 426 + 427 $C$DW$CIE .dwcie 16 + 428 .dwcfi cfa_register, 1 + 429 .dwcfi cfa_offset, 0 + 430 .dwcfi same_value, 0 + 431 .dwcfi same_value, 1 + 432 .dwcfi same_value, 3 + 433 .dwcfi same_value, 4 + 434 .dwcfi same_value, 5 + 435 .dwcfi same_value, 6 + 436 .dwcfi same_value, 7 + 437 .dwcfi same_value, 8 + 438 .dwcfi same_value, 9 + 439 .dwcfi same_value, 10 + 440 .dwendentry + 441 + 442 ;*************************************************************** + 443 ;* DWARF REGISTER MAP * + 444 ;*************************************************************** + 445 + 446 $C$DW$13 .dwtag DW_TAG_TI_assign_register + 447 .dwattr $C$DW$13, DW_AT_name("PC") + 448 .dwattr $C$DW$13, DW_AT_location[DW_OP_reg0] + 449 + 450 $C$DW$14 .dwtag DW_TAG_TI_assign_register + 451 .dwattr $C$DW$14, DW_AT_name("SP") + 452 .dwattr $C$DW$14, DW_AT_location[DW_OP_reg1] + 453 + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 10 + + 454 $C$DW$15 .dwtag DW_TAG_TI_assign_register + 455 .dwattr $C$DW$15, DW_AT_name("SR") + 456 .dwattr $C$DW$15, DW_AT_location[DW_OP_reg2] + 457 + 458 $C$DW$16 .dwtag DW_TAG_TI_assign_register + 459 .dwattr $C$DW$16, DW_AT_name("CG") + 460 .dwattr $C$DW$16, DW_AT_location[DW_OP_reg3] + 461 + 462 $C$DW$17 .dwtag DW_TAG_TI_assign_register + 463 .dwattr $C$DW$17, DW_AT_name("r4") + 464 .dwattr $C$DW$17, DW_AT_location[DW_OP_reg4] + 465 + 466 $C$DW$18 .dwtag DW_TAG_TI_assign_register + 467 .dwattr $C$DW$18, DW_AT_name("r5") + 468 .dwattr $C$DW$18, DW_AT_location[DW_OP_reg5] + 469 + 470 $C$DW$19 .dwtag DW_TAG_TI_assign_register + 471 .dwattr $C$DW$19, DW_AT_name("r6") + 472 .dwattr $C$DW$19, DW_AT_location[DW_OP_reg6] + 473 + 474 $C$DW$20 .dwtag DW_TAG_TI_assign_register + 475 .dwattr $C$DW$20, DW_AT_name("r7") + 476 .dwattr $C$DW$20, DW_AT_location[DW_OP_reg7] + 477 + 478 $C$DW$21 .dwtag DW_TAG_TI_assign_register + 479 .dwattr $C$DW$21, DW_AT_name("r8") + 480 .dwattr $C$DW$21, DW_AT_location[DW_OP_reg8] + 481 + 482 $C$DW$22 .dwtag DW_TAG_TI_assign_register + 483 .dwattr $C$DW$22, DW_AT_name("r9") + 484 .dwattr $C$DW$22, DW_AT_location[DW_OP_reg9] + 485 + 486 $C$DW$23 .dwtag DW_TAG_TI_assign_register + 487 .dwattr $C$DW$23, DW_AT_name("r10") + 488 .dwattr $C$DW$23, DW_AT_location[DW_OP_reg10] + 489 + 490 $C$DW$24 .dwtag DW_TAG_TI_assign_register + 491 .dwattr $C$DW$24, DW_AT_name("r11") + 492 .dwattr $C$DW$24, DW_AT_location[DW_OP_reg11] + 493 + 494 $C$DW$25 .dwtag DW_TAG_TI_assign_register + 495 .dwattr $C$DW$25, DW_AT_name("r12") + 496 .dwattr $C$DW$25, DW_AT_location[DW_OP_reg12] + 497 + 498 $C$DW$26 .dwtag DW_TAG_TI_assign_register + 499 .dwattr $C$DW$26, DW_AT_name("r13") + 500 .dwattr $C$DW$26, DW_AT_location[DW_OP_reg13] + 501 + 502 $C$DW$27 .dwtag DW_TAG_TI_assign_register + 503 .dwattr $C$DW$27, DW_AT_name("r14") + 504 .dwattr $C$DW$27, DW_AT_location[DW_OP_reg14] + 505 + 506 $C$DW$28 .dwtag DW_TAG_TI_assign_register + 507 .dwattr $C$DW$28, DW_AT_name("r15") + 508 .dwattr $C$DW$28, DW_AT_location[DW_OP_reg15] + MSP430 Assembler PC v20.2.5 Thu Dec 2 20:38:06 2021 + +Copyright (c) 2003-2018 Texas Instruments Incorporated +C:\Users\LIBRAR~1\AppData\Local\Temp\{B3212681-677C-4407-B7A6-59626703CBB1} PAGE 11 + + 509 + 510 $C$DW$29 .dwtag DW_TAG_TI_assign_register + 511 .dwattr $C$DW$29, DW_AT_name("CIE_RETA") + 512 .dwattr $C$DW$29, DW_AT_location[DW_OP_reg16] + 513 + 514 .dwendtag $C$DW$CU + 515 + +No Assembly Errors, No Assembly Warnings diff --git a/CPE325/Lab6_Problem2/Debug/main.obj b/CPE325/Lab6_Problem2/Debug/main.obj new file mode 100644 index 0000000..cd5eb64 Binary files /dev/null and b/CPE325/Lab6_Problem2/Debug/main.obj differ diff --git a/CPE325/Lab6_Problem2/Debug/makefile b/CPE325/Lab6_Problem2/Debug/makefile new file mode 100644 index 0000000..f7021c4 --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/makefile @@ -0,0 +1,167 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./main.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab6_Problem2.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab6_Problem2.out" \ + +BIN_OUTPUTS += \ +Lab6_Problem2.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab6_Problem2.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab6_Problem2.out" + +# Tool invocations +Lab6_Problem2.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --asm_listing -z -m"Lab6_Problem2.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab6_Problem2_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "Lab6_Problem2.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab6_Problem2.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab6_Problem2.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "main.lst" + -$(RM) "main.obj" + -$(RM) "main.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab6_Problem2/Debug/objects.mk b/CPE325/Lab6_Problem2/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab6_Problem2/Debug/sources.mk b/CPE325/Lab6_Problem2/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab6_Problem2/Debug/subdir_rules.mk b/CPE325/Lab6_Problem2/Debug/subdir_rules.mk new file mode 100644 index 0000000..746d0fb --- /dev/null +++ b/CPE325/Lab6_Problem2/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small -Ooff --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab6_Problem2" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=full --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --asm_listing --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab6_Problem2/main.c b/CPE325/Lab6_Problem2/main.c new file mode 100644 index 0000000..706eedf --- /dev/null +++ b/CPE325/Lab6_Problem2/main.c @@ -0,0 +1,54 @@ +/* --------------------------------------------------------------------------------------- + * + * + * + * + */ + +#include +#define SW1_PRESSED ((BIT0&P1IFG)==0) // SW1 Status +#define SW2_PRESSED ((BIT1&P1IFG)==0) // SW2 Status +int main(void) +{ + WDTCTL = WDTPW | WDTHOLD; // stop watchdog timer + P2DIR |= BIT2; // Set LED1 as output + P2OUT = 0x00; // clear LED1 status + _EINT(); // enable interrupts + P1IE |= BIT0; // P1.0 interrupt enabled + P1IE |= BIT1; // P1.1 interrupt enabled + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + FLL_CTL0 |= DCOPLUS + XCAP18PF; // DCO+ set, freq = xtal x D x N+1 + SCFI0 |= FN_4 + FLLD_2; // DCO range control, multiplier D + SCFQCTL = 63; // (61+1) x 32768 x 2 = 4.19 MHz + while(1){ + _delay_cycles(1048576); // Delay + P2OUT ^= BIT2; // LED1 is turned ON + } +} +// Port 1 interrupt service routine +#pragma vector = PORT1_VECTOR +__interrupt void Port1_ISR (void) { + if(!SW1_PRESSED) { + //SW1pressed = 1; + FLL_CTL0 |= DCOPLUS + XCAP18PF; // DCO+ set, freq = xtal x D x N+1 + SCFI0 |= FN_4 + FLLD_2; // DCO range control, multiplier D + SCFQCTL = 127; // (127+1) x 32768 x 2 = 8.39 MHz + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + } + else if(!SW2_PRESSED) { + //SW1pressed = 0; + FLL_CTL0 |= DCOPLUS + XCAP18PF; // DCO+ set, freq = xtal x D x N+1 + SCFI0 |= FN_4 + FLLD_2; // DCO range control, multiplier D + SCFQCTL = 31; // (31+1) x 32768 x 2 = 2.10 MHz + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + } +} diff --git a/CPE325/Lab6_Problem2/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab6_Problem2/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab6_Problem2/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab6_Problem2/targetConfigs/readme.txt b/CPE325/Lab6_Problem2/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab6_Problem2/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab7_P1/Debug/Lab7_P1.map b/CPE325/Lab7_P1/Debug/Lab7_P1.map new file mode 100644 index 0000000..5b64db8 --- /dev/null +++ b/CPE325/Lab7_P1/Debug/Lab7_P1.map @@ -0,0 +1,890 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Thu Dec 2 20:38:08 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 000032f8 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 00000052 00001fae RWIX + FLASH 00003100 0000cebe 0000027e 0000cc40 RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 00000000 00010000 RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00001100 00000002 UNINITIALIZED + 00001100 00000002 Lab7_Part1.obj (.data) + +.stack 0 000030b0 00000050 UNINITIALIZED + 000030b0 00000002 rts430_eabi.lib : boot.c.obj (.stack) + 000030b2 0000004e --HOLE-- + +.text 0 00003100 00000268 + 00003100 0000007c rts430_eabi.lib : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 0000317c 00000062 Lab7_Part1.obj (.text:main) + 000031de 00000058 rts430_eabi.lib : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 00003236 0000004c : lsr16.asm.obj (.text) + 00003282 0000002c Lab7_Part1.obj (.text:Port1_ISR) + 000032ae 0000002c rts430_eabi.lib : lsl16.asm.obj (.text) + 000032da 0000001e Lab7_Part1.obj (.text:watchdog_timer) + 000032f8 0000001c rts430_eabi.lib : boot.c.obj (.text:_c_int00_noargs) + 00003314 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00003326 00000012 : memcpy.c.obj (.text:memcpy) + 00003338 00000010 : epilog.asm.obj (.text) + 00003348 0000000a Lab7_Part1.obj (.text:timerISR2) + 00003352 0000000a Lab7_Part1.obj (.text:timerISR) + 0000335c 00000006 rts430_eabi.lib : exit.c.obj (.text:abort) + 00003362 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00003366 00000002 : startup.c.obj (.text:_system_post_cinit) + +.text:_isr +* 0 00003368 00000008 + 00003368 00000008 rts430_eabi.lib : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 00003370 0000000e + 00003370 00000006 (.cinit..data.load) [load image] + 00003376 00000004 (__TI_handler_table) + 0000337a 00000004 (__TI_cinit_table) + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430_eabi.lib : int17.asm.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 Lab7_Part1.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 rts430_eabi.lib : int22.asm.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 rts430_eabi.lib : int23.asm.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 Lab7_Part1.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 Lab7_Part1.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 Lab7_Part1.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430_eabi.lib : boot.c.obj (.reset) + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + Lab7_Part1.obj 192 8 2 + +--+----------------------------+------+---------+---------+ + Total: 192 8 2 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430_eabi.lib + copy_decompress_lzss.c.obj 124 0 0 + autoinit.c.obj 88 0 0 + lsr16.asm.obj 76 0 0 + lsl16.asm.obj 44 0 0 + boot.c.obj 28 2 0 + copy_decompress_none.c.obj 18 0 0 + memcpy.c.obj 18 0 0 + epilog.asm.obj 16 0 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int17.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int22.asm.obj 0 2 0 + int23.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+------+---------+---------+ + Total: 432 28 0 + + Stack: 0 0 80 + Linker Generated: 0 14 0 + +--+----------------------------+------+---------+---------+ + Grand Total: 624 50 82 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 0000337a records: 1, size/record: 4, table size: 4 + .data: load addr=00003370, load size=00000006 bytes, run addr=00001100, run size=00000002 bytes, compression=copy + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 00003376 records: 2, size/record: 2, table size: 4 + index: 0, handler: __TI_decompress_lzss + index: 1, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +0000335c C$$EXIT +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +00003282 Port1_ISR +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00001100 Up +00000120 WDTCTL +00003100 __STACK_END +00000050 __STACK_SIZE +0000337a __TI_CINIT_Base +0000337e __TI_CINIT_Limit +00003376 __TI_Handler_Table_Base +0000337a __TI_Handler_Table_Limit +00003368 __TI_ISR_TRAP +000031de __TI_auto_init_nobinit_nopinit_hold_wdt +00003100 __TI_decompress_lzss +00003314 __TI_decompress_none +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ +00003344 __mspabi_func_epilog_1 +00003342 __mspabi_func_epilog_2 +00003340 __mspabi_func_epilog_3 +0000333e __mspabi_func_epilog_4 +0000333c __mspabi_func_epilog_5 +0000333a __mspabi_func_epilog_6 +00003338 __mspabi_func_epilog_7 +000032ae __mspabi_slli +000032d6 __mspabi_slli_1 +000032c4 __mspabi_slli_10 +000032c2 __mspabi_slli_11 +000032c0 __mspabi_slli_12 +000032be __mspabi_slli_13 +000032bc __mspabi_slli_14 +000032ba __mspabi_slli_15 +000032d4 __mspabi_slli_2 +000032d2 __mspabi_slli_3 +000032d0 __mspabi_slli_4 +000032ce __mspabi_slli_5 +000032cc __mspabi_slli_6 +000032ca __mspabi_slli_7 +000032c8 __mspabi_slli_8 +000032c6 __mspabi_slli_9 +00003236 __mspabi_srli +0000327c __mspabi_srli_1 +00003258 __mspabi_srli_10 +00003254 __mspabi_srli_11 +00003250 __mspabi_srli_12 +0000324c __mspabi_srli_13 +00003248 __mspabi_srli_14 +00003244 __mspabi_srli_15 +00003278 __mspabi_srli_2 +00003274 __mspabi_srli_3 +00003270 __mspabi_srli_4 +0000326c __mspabi_srli_5 +00003268 __mspabi_srli_6 +00003264 __mspabi_srli_7 +00003260 __mspabi_srli_8 +0000325c __mspabi_srli_9 +000032f8 _c_int00_noargs +0000fffe _reset_vector +000030b0 _stack +00003366 _system_post_cinit +00003362 _system_pre_init +0000335c abort +0000317c main +00003326 memcpy +00003352 timerISR +00003348 timerISR2 +000032da watchdog_timer + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000050 __STACK_SIZE +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +00001100 Up +000030b0 _stack +00003100 __STACK_END +00003100 __TI_decompress_lzss +0000317c main +000031de __TI_auto_init_nobinit_nopinit_hold_wdt +00003236 __mspabi_srli +00003244 __mspabi_srli_15 +00003248 __mspabi_srli_14 +0000324c __mspabi_srli_13 +00003250 __mspabi_srli_12 +00003254 __mspabi_srli_11 +00003258 __mspabi_srli_10 +0000325c __mspabi_srli_9 +00003260 __mspabi_srli_8 +00003264 __mspabi_srli_7 +00003268 __mspabi_srli_6 +0000326c __mspabi_srli_5 +00003270 __mspabi_srli_4 +00003274 __mspabi_srli_3 +00003278 __mspabi_srli_2 +0000327c __mspabi_srli_1 +00003282 Port1_ISR +000032ae __mspabi_slli +000032ba __mspabi_slli_15 +000032bc __mspabi_slli_14 +000032be __mspabi_slli_13 +000032c0 __mspabi_slli_12 +000032c2 __mspabi_slli_11 +000032c4 __mspabi_slli_10 +000032c6 __mspabi_slli_9 +000032c8 __mspabi_slli_8 +000032ca __mspabi_slli_7 +000032cc __mspabi_slli_6 +000032ce __mspabi_slli_5 +000032d0 __mspabi_slli_4 +000032d2 __mspabi_slli_3 +000032d4 __mspabi_slli_2 +000032d6 __mspabi_slli_1 +000032da watchdog_timer +000032f8 _c_int00_noargs +00003314 __TI_decompress_none +00003326 memcpy +00003338 __mspabi_func_epilog_7 +0000333a __mspabi_func_epilog_6 +0000333c __mspabi_func_epilog_5 +0000333e __mspabi_func_epilog_4 +00003340 __mspabi_func_epilog_3 +00003342 __mspabi_func_epilog_2 +00003344 __mspabi_func_epilog_1 +00003348 timerISR2 +00003352 timerISR +0000335c C$$EXIT +0000335c abort +00003362 _system_pre_init +00003366 _system_post_cinit +00003368 __TI_ISR_TRAP +00003376 __TI_Handler_Table_Base +0000337a __TI_CINIT_Base +0000337a __TI_Handler_Table_Limit +0000337e __TI_CINIT_Limit +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +0000fffe _reset_vector +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[330 symbols] diff --git a/CPE325/Lab7_P1/Debug/Lab7_P1.out b/CPE325/Lab7_P1/Debug/Lab7_P1.out new file mode 100644 index 0000000..5ca7537 Binary files /dev/null and b/CPE325/Lab7_P1/Debug/Lab7_P1.out differ diff --git a/CPE325/Lab7_P1/Debug/Lab7_P1_linkInfo.xml b/CPE325/Lab7_P1/Debug/Lab7_P1_linkInfo.xml new file mode 100644 index 0000000..553d835 --- /dev/null +++ b/CPE325/Lab7_P1/Debug/Lab7_P1_linkInfo.xml @@ -0,0 +1,4515 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61a98310 + 0x0 + Lab7_P1.out + + _c_int00_noargs +
0x32f8
+
+ + + .\ + object + Lab7_Part1.obj + Lab7_Part1.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int17.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int22.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int23.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + epilog.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsl16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + lsr16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430_eabi.lib + exit_gvars.c.obj + + + + + .data + 0x1100 + 0x1100 + 0x2 + + + + .stack + true + 0x30b0 + 0x2 + + + + .stack + true + 0x30b0 + 0x0 + + + .text:decompress:lzss:__TI_decompress_lzss + 0x3100 + 0x3100 + 0x7c + + + + .text:main + 0x317c + 0x317c + 0x62 + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x31de + 0x31de + 0x58 + + + + .text + 0x3236 + 0x3236 + 0x4c + + + + .text:Port1_ISR + 0x3282 + 0x3282 + 0x2c + + + + .text + 0x32ae + 0x32ae + 0x2c + + + + .text:watchdog_timer + 0x32da + 0x32da + 0x1e + + + + .text:_c_int00_noargs + 0x32f8 + 0x32f8 + 0x1c + + + + .text:decompress:none:__TI_decompress_none + 0x3314 + 0x3314 + 0x12 + + + + .text:memcpy + 0x3326 + 0x3326 + 0x12 + + + + .text + 0x3338 + 0x3338 + 0x10 + + + + .text:timerISR2 + 0x3348 + 0x3348 + 0xa + + + + .text:timerISR + 0x3352 + 0x3352 + 0xa + + + + .text:abort + 0x335c + 0x335c + 0x6 + + + + .text:_system_pre_init + 0x3362 + 0x3362 + 0x4 + + + + .text:_system_post_cinit + 0x3366 + 0x3366 + 0x2 + + + + .text:_isr:__TI_ISR_TRAP + 0x3368 + 0x3368 + 0x8 + + + + .cinit..data.load + 0x3370 + 0x3370 + 0x6 + + + __TI_handler_table + 0x3376 + 0x3376 + 0x4 + + + __TI_cinit_table + 0x337a + 0x337a + 0x4 + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0xbb + + + + .debug_info + 0xbb + 0xbb + 0x10a + + + + .debug_info + 0x1c5 + 0x1c5 + 0x100 + + + + .debug_info + 0x2c5 + 0x2c5 + 0xfe + + + + .debug_info + 0x3c3 + 0x3c3 + 0xf9 + + + + .debug_info + 0x4bc + 0x4bc + 0x100 + + + + .debug_info + 0x5bc + 0x5bc + 0x84 + + + + .debug_info + 0x640 + 0x640 + 0x10f + + + + .debug_info + 0x74f + 0x74f + 0x100 + + + + .debug_info + 0x84f + 0x84f + 0x17a + + + + .debug_info + 0x9c9 + 0x9c9 + 0x3de + + + + .debug_info + 0xda7 + 0xda7 + 0x211 + + + + .debug_info + 0xfb8 + 0xfb8 + 0x39 + + + + .debug_info + 0xff1 + 0xff1 + 0x2c + + + + .debug_info + 0x101d + 0x101d + 0x2c + + + + .debug_info + 0x1049 + 0x1049 + 0xcd + + + + .debug_info + 0x1116 + 0x1116 + 0x2c + + + + .debug_info + 0x1142 + 0x1142 + 0x153 + + + + .debug_info + 0x1295 + 0x1295 + 0x150 + + + + .debug_info + 0x13e5 + 0x13e5 + 0x180 + + + + .debug_info + 0x1565 + 0x1565 + 0x1ea + + + + .debug_info + 0x174f + 0x174f + 0x46 + + + + .debug_info + 0x1795 + 0x1795 + 0x2c + + + + .debug_info + 0x17c1 + 0x17c1 + 0x176 + + + + .debug_info + 0x1937 + 0x1937 + 0x292 + + + + .debug_info + 0x1bc9 + 0x1bc9 + 0x60 + + + + .debug_info + 0x1c29 + 0x1c29 + 0x46 + + + + .debug_info + 0x1c6f + 0x1c6f + 0x39 + + + + .debug_info + 0x1ca8 + 0x1ca8 + 0x122 + + + + .debug_info + 0x1dca + 0x1dca + 0x181 + + + + .debug_info + 0x1f4b + 0x1f4b + 0x17a + + + + .debug_info + 0x20c5 + 0x20c5 + 0x12e + + + + .debug_info + 0x21f3 + 0x21f3 + 0x120 + + + + .debug_info + 0x2313 + 0x2313 + 0x120 + + + + .debug_info + 0x2433 + 0x2433 + 0x90 + + + .debug_line + 0x0 + 0x0 + 0x33 + + + + .debug_line + 0x33 + 0x33 + 0x52 + + + + .debug_line + 0x85 + 0x85 + 0x47 + + + + .debug_line + 0xcc + 0xcc + 0x47 + + + + .debug_line + 0x113 + 0x113 + 0x5b + + + + .debug_line + 0x16e + 0x16e + 0x56 + + + + .debug_line + 0x1c4 + 0x1c4 + 0x20 + + + + .debug_line + 0x1e4 + 0x1e4 + 0x3d + + + + .debug_line + 0x221 + 0x221 + 0x2a + + + + .debug_line + 0x24b + 0x24b + 0x46 + + + + .debug_line + 0x291 + 0x291 + 0xbf + + + + .debug_line + 0x350 + 0x350 + 0x89 + + + + .debug_line + 0x3d9 + 0x3d9 + 0x2e + + + + .debug_line + 0x407 + 0x407 + 0x9a + + + + .debug_line + 0x4a1 + 0x4a1 + 0x97 + + + + .debug_line + 0x538 + 0x538 + 0x93 + + + + .debug_line + 0x5cb + 0x5cb + 0x92 + + + + .debug_line + 0x65d + 0x65d + 0x3e + + + + .debug_line + 0x69b + 0x69b + 0x3a + + + + .debug_line + 0x6d5 + 0x6d5 + 0x20 + + + + .debug_line + 0x6f5 + 0x6f5 + 0x50 + + + + .debug_line + 0x745 + 0x745 + 0x3a + + + + .debug_line + 0x77f + 0x77f + 0x92 + + + + .debug_line + 0x811 + 0x811 + 0x20 + + + + .debug_line + 0x831 + 0x831 + 0x92 + + + + .debug_line + 0x8c3 + 0x8c3 + 0x3a + + + + .debug_line + 0x8fd + 0x8fd + 0x9a + + + + .debug_line + 0x997 + 0x997 + 0x96 + + + + .debug_line + 0xa2d + 0xa2d + 0x3d + + + + .debug_line + 0xa6a + 0xa6a + 0x20 + + + + .debug_line + 0xa8a + 0xa8a + 0x42 + + + + .debug_line + 0xacc + 0xacc + 0x40 + + + + .debug_line + 0xb0c + 0xb0c + 0x4e + + + + .debug_line + 0xb5a + 0xb5a + 0x5e + + + + .debug_frame + 0x0 + 0x0 + 0x3c + + + + .debug_frame + 0x3c + 0x3c + 0x3c + + + + .debug_frame + 0x78 + 0x78 + 0x3c + + + + .debug_frame + 0xb4 + 0xb4 + 0x3c + + + + .debug_frame + 0xf0 + 0xf0 + 0x3c + + + + .debug_frame + 0x12c + 0x12c + 0x34 + + + + .debug_frame + 0x160 + 0x160 + 0x48 + + + + .debug_frame + 0x1a8 + 0x1a8 + 0x3c + + + + .debug_frame + 0x1e4 + 0x1e4 + 0x3c + + + + .debug_frame + 0x220 + 0x220 + 0x3c + + + + .debug_frame + 0x25c + 0x25c + 0x48 + + + + .debug_frame + 0x2a4 + 0x2a4 + 0x3c + + + + .debug_frame + 0x2e0 + 0x2e0 + 0x3c + + + + .debug_abbrev + 0x0 + 0x0 + 0x29 + + + + .debug_abbrev + 0x29 + 0x29 + 0x53 + + + + .debug_abbrev + 0x7c + 0x7c + 0x53 + + + + .debug_abbrev + 0xcf + 0xcf + 0x53 + + + + .debug_abbrev + 0x122 + 0x122 + 0x52 + + + + .debug_abbrev + 0x174 + 0x174 + 0x53 + + + + .debug_abbrev + 0x1c7 + 0x1c7 + 0x1f + + + + .debug_abbrev + 0x1e6 + 0x1e6 + 0x28 + + + + .debug_abbrev + 0x20e + 0x20e + 0x29 + + + + .debug_abbrev + 0x237 + 0x237 + 0x58 + + + + .debug_abbrev + 0x28f + 0x28f + 0xc0 + + + + .debug_abbrev + 0x34f + 0x34f + 0x7e + + + + .debug_abbrev + 0x3cd + 0x3cd + 0x24 + + + + .debug_abbrev + 0x3f1 + 0x3f1 + 0x24 + + + + .debug_abbrev + 0x415 + 0x415 + 0x24 + + + + .debug_abbrev + 0x439 + 0x439 + 0x4b + + + + .debug_abbrev + 0x484 + 0x484 + 0x24 + + + + .debug_abbrev + 0x4a8 + 0x4a8 + 0x55 + + + + .debug_abbrev + 0x4fd + 0x4fd + 0x53 + + + + .debug_abbrev + 0x550 + 0x550 + 0x37 + + + + .debug_abbrev + 0x587 + 0x587 + 0x74 + + + + .debug_abbrev + 0x5fb + 0x5fb + 0x24 + + + + .debug_abbrev + 0x61f + 0x61f + 0x24 + + + + .debug_abbrev + 0x643 + 0x643 + 0x37 + + + + .debug_abbrev + 0x67a + 0x67a + 0x7d + + + + .debug_abbrev + 0x6f7 + 0x6f7 + 0x24 + + + + .debug_abbrev + 0x71b + 0x71b + 0x35 + + + + .debug_abbrev + 0x750 + 0x750 + 0x24 + + + + .debug_abbrev + 0x774 + 0x774 + 0x45 + + + + .debug_abbrev + 0x7b9 + 0x7b9 + 0x45 + + + + .debug_abbrev + 0x7fe + 0x7fe + 0x71 + + + + .debug_abbrev + 0x86f + 0x86f + 0x3a + + + + .debug_abbrev + 0x8a9 + 0x8a9 + 0x3c + + + + .debug_abbrev + 0x8e5 + 0x8e5 + 0x3c + + + + .debug_abbrev + 0x921 + 0x921 + 0xf + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + .debug_str + 0xfd + 0xfd + 0xed + + + + .debug_str + 0x1ea + 0x1ea + 0x14c + + + + .debug_str + 0x336 + 0x336 + 0x147 + + + + .debug_str + 0x47d + 0x47d + 0x197 + + + + .debug_str + 0x614 + 0x614 + 0x140 + + + + .debug_str + 0x754 + 0x754 + 0x10b + + + + .debug_str + 0x85f + 0x85f + 0x140 + + + + .debug_str + 0x99f + 0x99f + 0x118 + + + + .debug_str + 0xab7 + 0xab7 + 0x16b + + + + .debug_str + 0xc22 + 0xc22 + 0x158 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x20 + + + + .debug_aranges + 0xc0 + 0xc0 + 0x20 + + + + .debug_aranges + 0xe0 + 0xe0 + 0x20 + + + + .debug_aranges + 0x100 + 0x100 + 0x20 + + + + .debug_aranges + 0x120 + 0x120 + 0x20 + + + + .debug_aranges + 0x140 + 0x140 + 0x20 + + + + .debug_aranges + 0x160 + 0x160 + 0x20 + + + + .debug_aranges + 0x180 + 0x180 + 0x20 + + + + .debug_aranges + 0x1a0 + 0x1a0 + 0x20 + + + + .debug_aranges + 0x1c0 + 0x1c0 + 0x20 + + + + .debug_aranges + 0x1e0 + 0x1e0 + 0x20 + + + + .debug_aranges + 0x200 + 0x200 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x19 + + + + .debug_pubnames + 0x19 + 0x19 + 0x25 + + + + .debug_pubnames + 0x3e + 0x3e + 0x20 + + + + .debug_pubnames + 0x5e + 0x5e + 0x1f + + + + .debug_pubnames + 0x7d + 0x7d + 0x1b + + + + .debug_pubnames + 0x98 + 0x98 + 0x20 + + + + .debug_pubnames + 0xb8 + 0xb8 + 0x2b + + + + .debug_pubnames + 0xe3 + 0xe3 + 0x1d + + + + .debug_pubnames + 0x100 + 0x100 + 0x26 + + + + .debug_pubnames + 0x126 + 0x126 + 0x3e + + + + .debug_pubnames + 0x164 + 0x164 + 0x27 + + + + .debug_pubnames + 0x18b + 0x18b + 0x29 + + + + .debug_pubnames + 0x1b4 + 0x1b4 + 0x2b + + + + .debug_pubnames + 0x1df + 0x1df + 0x2b + + + + .debug_pubnames + 0x20a + 0x20a + 0x1c + + + + .debug_pubnames + 0x226 + 0x226 + 0x1d + + + + .debug_pubnames + 0x243 + 0x243 + 0x2b + + + + .debug_pubnames + 0x26e + 0x26e + 0x24 + + + + .debug_pubnames + 0x292 + 0x292 + 0x24 + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + .debug_pubtypes + 0xed + 0xed + 0x32 + + + + .debug_pubtypes + 0x11f + 0x11f + 0x21 + + + + .debug_pubtypes + 0x140 + 0x140 + 0x1f + + + + .debug_pubtypes + 0x15f + 0x15f + 0x50 + + + + .debug_pubtypes + 0x1af + 0x1af + 0x1d + + + + .debug_pubtypes + 0x1cc + 0x1cc + 0x48 + + + + .debug_pubtypes + 0x214 + 0x214 + 0x1d + + + + .debug_pubtypes + 0x231 + 0x231 + 0x5d + + + + .debug_pubtypes + 0x28e + 0x28e + 0x48 + + + + .debug_pubtypes + 0x2d6 + 0x2d6 + 0x35 + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x1100 + 0x2 + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x30b0 + 0x50 + + + + + + + .text + 0x3100 + 0x3100 + 0x268 + + + + + + + + + + + + + + + + + + + + + .text:_isr + 0x3368 + 0x3368 + 0x8 + + + + + + .cinit + 0x3370 + 0x3370 + 0xe + + + + + + + + .const + 0x0 + 0x0 + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x24c3 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0xbb8 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x31c + + + + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x930 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0xd7a + + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x220 + + + + + + + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x2b6 + + + + + + + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0x30b + + + + + + + + + + + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x1100 + 0x2 + 0x6 + + + + + + SEGMENT_1 + 0x30b0 + 0x50 + 0x6 + + + + + + SEGMENT_2 + 0x3100 + 0x3100 + 0x27e + 0x5 + + + + + + + + SEGMENT_3 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_4 + 0xffdc + 0xffdc + 0x24 + 0x4 + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0x52 + 0x1fae + RWIX + + + 0x1100 + 0x2 + + + + 0x1102 + 0x1fae + + + 0x30b0 + 0x50 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0x27e + 0xcc40 + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0x268 + + + + 0x3368 + 0x8 + + + + 0x3370 + 0xe + + + + 0x337e + 0xcc40 + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x0 + 0x10000 + RWIX + + + + + + + __TI_cinit_table + + .data + 0x3370 + 0x6 + 0x1100 + 0x2 + copy + + + + + __TI_handler_table + + 0x0 + __TI_decompress_lzss + + + 0x1 + __TI_decompress_none + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __TI_CINIT_Base + 0x337a + + + __TI_CINIT_Limit + 0x337e + + + __TI_Handler_Table_Base + 0x3376 + + + __TI_Handler_Table_Limit + 0x337a + + + __STACK_SIZE + 0x50 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int26 + 0xfff4 + + + + __TI_int20 + 0xffe8 + + + + Up + 0x1100 + + + + Port1_ISR + 0x3282 + + + + watchdog_timer + 0x32da + + + + main + 0x317c + + + + timerISR2 + 0x3348 + + + + timerISR + 0x3352 + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int17 + 0xffe2 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int21 + 0xffea + + + + __TI_int22 + 0xffec + + + + __TI_int23 + 0xffee + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int27 + 0xfff6 + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x3368 + + + + _stack + 0x30b0 + + + + _c_int00_noargs + 0x32f8 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x31de + + + + _system_pre_init + 0x3362 + + + + _system_post_cinit + 0x3366 + + + + __TI_decompress_none + 0x3314 + + + + __TI_decompress_lzss + 0x3100 + + + + C$$EXIT + 0x335c + + + + abort + 0x335c + + + + memcpy + 0x3326 + + + + __mspabi_func_epilog_2 + 0x3342 + + + + __mspabi_func_epilog_3 + 0x3340 + + + + __mspabi_func_epilog_1 + 0x3344 + + + + __mspabi_func_epilog_6 + 0x333a + + + + __mspabi_func_epilog_7 + 0x3338 + + + + __mspabi_func_epilog_4 + 0x333e + + + + __mspabi_func_epilog_5 + 0x333c + + + + __mspabi_slli + 0x32ae + + + + __mspabi_slli_9 + 0x32c6 + + + + __mspabi_slli_8 + 0x32c8 + + + + __mspabi_slli_7 + 0x32ca + + + + __mspabi_slli_6 + 0x32cc + + + + __mspabi_slli_5 + 0x32ce + + + + __mspabi_slli_4 + 0x32d0 + + + + __mspabi_slli_3 + 0x32d2 + + + + __mspabi_slli_2 + 0x32d4 + + + + __mspabi_slli_1 + 0x32d6 + + + + __mspabi_slli_15 + 0x32ba + + + + __mspabi_slli_14 + 0x32bc + + + + __mspabi_slli_13 + 0x32be + + + + __mspabi_slli_12 + 0x32c0 + + + + __mspabi_slli_11 + 0x32c2 + + + + __mspabi_slli_10 + 0x32c4 + + + + __mspabi_srli_8 + 0x3260 + + + + __mspabi_srli_9 + 0x325c + + + + __mspabi_srli_6 + 0x3268 + + + + __mspabi_srli_7 + 0x3264 + + + + __mspabi_srli_4 + 0x3270 + + + + __mspabi_srli_5 + 0x326c + + + + __mspabi_srli_2 + 0x3278 + + + + __mspabi_srli_3 + 0x3274 + + + + __mspabi_srli_1 + 0x327c + + + + __mspabi_srli + 0x3236 + + + + __mspabi_srli_15 + 0x3244 + + + + __mspabi_srli_14 + 0x3248 + + + + __mspabi_srli_13 + 0x324c + + + + __mspabi_srli_12 + 0x3250 + + + + __mspabi_srli_11 + 0x3254 + + + + __mspabi_srli_10 + 0x3258 + + + + Link successful +
diff --git a/CPE325/Lab7_P1/Debug/Lab7_Part1.d b/CPE325/Lab7_P1/Debug/Lab7_Part1.d new file mode 100644 index 0000000..4d4000d --- /dev/null +++ b/CPE325/Lab7_P1/Debug/Lab7_Part1.d @@ -0,0 +1,21 @@ +# FIXED + +Lab7_Part1.obj: ../Lab7_Part1.c +Lab7_Part1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +Lab7_Part1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h +Lab7_Part1.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +Lab7_Part1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +Lab7_Part1.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h + +../Lab7_Part1.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + diff --git a/CPE325/Lab7_P1/Debug/Lab7_Part1.obj b/CPE325/Lab7_P1/Debug/Lab7_Part1.obj new file mode 100644 index 0000000..6e66ac0 Binary files /dev/null and b/CPE325/Lab7_P1/Debug/Lab7_Part1.obj differ diff --git a/CPE325/Lab7_P1/Debug/ccsObjs.opt b/CPE325/Lab7_P1/Debug/ccsObjs.opt new file mode 100644 index 0000000..2fc5ac6 --- /dev/null +++ b/CPE325/Lab7_P1/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./Lab7_Part1.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab7_P1/Debug/main.d b/CPE325/Lab7_P1/Debug/main.d new file mode 100644 index 0000000..15f98dd --- /dev/null +++ b/CPE325/Lab7_P1/Debug/main.d @@ -0,0 +1,21 @@ +# FIXED + +main.obj: ../main.c +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h + +../main.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + diff --git a/CPE325/Lab7_P1/Debug/main.obj b/CPE325/Lab7_P1/Debug/main.obj new file mode 100644 index 0000000..dd9e6d6 Binary files /dev/null and b/CPE325/Lab7_P1/Debug/main.obj differ diff --git a/CPE325/Lab7_P1/Debug/makefile b/CPE325/Lab7_P1/Debug/makefile new file mode 100644 index 0000000..0965624 --- /dev/null +++ b/CPE325/Lab7_P1/Debug/makefile @@ -0,0 +1,166 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./Lab7_Part1.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab7_P1.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab7_P1.out" \ + +BIN_OUTPUTS += \ +Lab7_P1.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab7_P1.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab7_P1.out" + +# Tool invocations +Lab7_P1.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"Lab7_P1.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab7_P1_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "Lab7_P1.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab7_P1.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab7_P1.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "Lab7_Part1.obj" + -$(RM) "Lab7_Part1.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab7_P1/Debug/objects.mk b/CPE325/Lab7_P1/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab7_P1/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab7_P1/Debug/sources.mk b/CPE325/Lab7_P1/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab7_P1/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab7_P1/Debug/subdir_rules.mk b/CPE325/Lab7_P1/Debug/subdir_rules.mk new file mode 100644 index 0000000..2ce64c7 --- /dev/null +++ b/CPE325/Lab7_P1/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmsp --data_model=small --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab7_P1" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --preproc_with_compile --preproc_dependency="$(basename $( +#define SW1_PRESSED ((BIT0&P1IFG)==0) // SW1 Status +#define SW2_PRESSED ((BIT1&P1IFG)==0) // SW2 Status + +int Up =1; + +int main(void) +{ + WDTCTL = WDT_MDLY_8; // 8 ms interval + _EINT(); // Enable interrupts + IE1 |= WDTIE; // Enable WDT interrupt + P2DIR |= (BIT1 + BIT2); // P2.1 and P2.2 set up as output + P2SEL &= ~BIT1; // Clear P2.1 + P2OUT |= BIT1; // Turn on LED2 + P2OUT &= ~BIT2; // Turn Off LED1 + P2SEL |= BIT2; // P2.2 special function (TB0 output) + TB0CTL = TBSSEL_2 | MC_1; // Upmode + TB0CCTL1 |= (OUTMOD_2 + CCIE); // TB1 output is in Up mode and Interrupt + TB0CCTL0 = CCIE; // TB0 count triggers interrupt + TB0CCR0 = 750; // Set TB0 (and maximum) count value + TB0CCR1 = Up; // Set TB1 count value + P1IE |= BIT0; // P1.0 interrupt enabled + P1IE |= BIT1; // P1.1 interrupt enabled + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + _BIS_SR(LPM0_bits + GIE); // Enter Low Power Mode 0 +} + +#pragma vector = TIMERB0_VECTOR +__interrupt void timerISR(void) +{ + P2OUT |= BIT1; // Turn off LED2 + TB0CCTL0 &= ~CCIFG; // Clear interrupt flag +} + +#pragma vector = TIMERB1_VECTOR +__interrupt void timerISR2(void) +{ + P2OUT &= ~BIT1; // Toggle LED2 + TB0CCTL1 &= ~CCIFG; // Clear interrupt flag +} + +// Watchdog Timer interrupt service routine +#pragma vector=WDT_VECTOR +__interrupt void watchdog_timer(void) +{ + TB0CCR1 += Up; // Up=1, CCR1 will increase; Up = -1 CCR1 will decrease + if(TB0CCR1 == 750){ // If CCR1 is upper-bound, Up becomes -1 + Up = -1; + } + if(TB0CCR1 ==0 ){ // If CCR1 is upper-bound, Up becomes 1 + Up = 1; + } +} + +// Port 1 interrupt service routine +#pragma vector = PORT1_VECTOR +__interrupt void Port1_ISR (void) { + if(!SW1_PRESSED) + WDTCTL = WDTPW | WDTHOLD; // stop watchdog timer + else if(!SW2_PRESSED) + WDTCTL = WDT_MDLY_8; // 8 ms interval + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared +} + diff --git a/CPE325/Lab7_P1/lnk_msp430fg4618.cmd b/CPE325/Lab7_P1/lnk_msp430fg4618.cmd new file mode 100755 index 0000000..557b664 --- /dev/null +++ b/CPE325/Lab7_P1/lnk_msp430fg4618.cmd @@ -0,0 +1,184 @@ +/* ============================================================================ */ +/* Copyright (c) 2020, Texas Instruments Incorporated */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following conditions */ +/* are met: */ +/* */ +/* * Redistributions of source code must retain the above copyright */ +/* notice, this list of conditions and the following disclaimer. */ +/* */ +/* * Redistributions in binary form must reproduce the above copyright */ +/* notice, this list of conditions and the following disclaimer in the */ +/* documentation and/or other materials provided with the distribution. */ +/* */ +/* * Neither the name of Texas Instruments Incorporated nor the names of */ +/* its contributors may be used to endorse or promote products derived */ +/* from this software without specific prior written permission. */ +/* */ +/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */ +/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */ +/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */ +/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */ +/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */ +/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */ +/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */ +/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */ +/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */ +/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ============================================================================ */ + +/******************************************************************************/ +/* lnk_msp430fg4618.cmd - LINKER COMMAND FILE FOR LINKING MSP430FG4618 PROGRAMS */ +/* */ +/* Usage: lnk430 -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab7_P1/main.c b/CPE325/Lab7_P1/main.c new file mode 100644 index 0000000..6ba1091 --- /dev/null +++ b/CPE325/Lab7_P1/main.c @@ -0,0 +1,81 @@ +/*------------------------------------------------------------------------------ + * File: p1.c + * Function: Prints out variables according to their Data Type with the size and the ranges of the variables + * Description: + * Input: None + * Output: " + * Author(s): Noah Woodlee + * Lab Section: 09 + * Date: Nov 1, 2021 + *----------------------------------------------------------------------------*/ + +#include +#define SW1_PRESSED ((BIT0&P1IFG)==0) // SW1 Status +#define SW2_PRESSED ((BIT1&P1IFG)==0) // SW2 Status + +int Up =1; + +int main(void) +{ + WDTCTL = WDT_MDLY_8; // 8 ms interval + _EINT(); // Enable interrupts + IE1 |= WDTIE; // Enable WDT interrupt + P2DIR |= (BIT1 + BIT2); // P2.1 and P2.2 set up as output + P2SEL &= ~BIT1; // Clear P2.1 + P2OUT |= BIT1; // Turn on LED2 + P2OUT &= ~BIT2; // Turn Off LED1 + P2SEL |= BIT2; // P2.2 special function (TB0 output) + TB0CTL = TBSSEL_2 | MC_1; // Upmode + TB0CCTL1 |= (OUTMOD_2 + CCIE); // TB1 output is in Up mode and Interrupt + TB0CCTL0 = CCIE; // TB0 count triggers interrupt + TB0CCR0 = 750; // Set TB0 (and maximum) count value + TB0CCR1 = Up; // Set TB1 count value + P1IE |= BIT0; // P1.0 interrupt enabled + P1IE |= BIT1; // P1.1 interrupt enabled + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared + _BIS_SR(LPM0_bits + GIE); // Enter Low Power Mode 3 +} + +#pragma vector = TIMERB0_VECTOR +__interrupt void timerISR(void) +{ + P2OUT |= BIT1; // Turn off LED2 + TB0CCTL0 &= ~CCIFG; // Clear interrupt flag +} + +#pragma vector = TIMERB1_VECTOR +__interrupt void timerISR2(void) +{ + P2OUT &= ~BIT1; // Toggle LED2 + TB0CCTL1 &= ~CCIFG; // Clear interrupt flag +} + +// Watchdog Timer interrupt service routine +#pragma vector=WDT_VECTOR +__interrupt void watchdog_timer(void) +{ + TB0CCR1 += Up; // Up=1, CCR1 will increase; Up = -1 CCR1 will decrease + if(TB0CCR1 == 750){ // If CCR1 is upper-bound, Up becomes -1 + Up = -1; + } + if(TB0CCR1 ==0 ){ // If CCR1 is upper-bound, Up becomes 1 + Up = 1; + } +} + +// Port 1 interrupt service routine +#pragma vector = PORT1_VECTOR +__interrupt void Port1_ISR (void) { + if(!SW1_PRESSED) + WDTCTL = WDTPW | WDTHOLD; // stop watchdog timer + } + else if(!SW2_PRESSED) WDTCTL = WDT_MDLY_8; // 8 ms interval + P1IES |= BIT0; // P1.0 hi/low edge + P1IFG &= ~BIT0; // P1.0 IFG cleared + P1IES |= BIT1; // P1.1 hi/low edge + P1IFG &= ~BIT1; // P1.1 IFG cleared +} + diff --git a/CPE325/Lab7_P1/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab7_P1/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab7_P1/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab7_P1/targetConfigs/readme.txt b/CPE325/Lab7_P1/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab7_P1/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE325/Lab7_P2/Debug/Lab7_P2.map b/CPE325/Lab7_P2/Debug/Lab7_P2.map new file mode 100644 index 0000000..905ffd8 --- /dev/null +++ b/CPE325/Lab7_P2/Debug/Lab7_P2.map @@ -0,0 +1,802 @@ +****************************************************************************** + MSP430 Linker PC v20.2.5 +****************************************************************************** +>> Linked Thu Dec 2 20:38:09 2021 + +OUTPUT FILE NAME: +ENTRY POINT SYMBOL: "_c_int00_noargs" address: 00003176 + + +MEMORY CONFIGURATION + + name origin length used unused attr fill +---------------------- -------- --------- -------- -------- ---- -------- + SFR 00000000 00000010 00000000 00000010 RWIX + PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX + PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX + INFOB 00001000 00000080 00000000 00000080 RWIX + INFOA 00001080 00000080 00000000 00000080 RWIX + RAM 00001100 00002000 0000005a 00001fa6 RWIX + FLASH 00003100 0000cebe 000000ba 0000ce04 RWIX + BSLSIGNATURE 0000ffbe 00000002 00000002 00000000 RWIX ffff + INT00 0000ffc0 00000002 00000000 00000002 RWIX + INT01 0000ffc2 00000002 00000000 00000002 RWIX + INT02 0000ffc4 00000002 00000000 00000002 RWIX + INT03 0000ffc6 00000002 00000000 00000002 RWIX + INT04 0000ffc8 00000002 00000000 00000002 RWIX + INT05 0000ffca 00000002 00000000 00000002 RWIX + INT06 0000ffcc 00000002 00000000 00000002 RWIX + INT07 0000ffce 00000002 00000000 00000002 RWIX + INT08 0000ffd0 00000002 00000000 00000002 RWIX + INT09 0000ffd2 00000002 00000000 00000002 RWIX + INT10 0000ffd4 00000002 00000000 00000002 RWIX + INT11 0000ffd6 00000002 00000000 00000002 RWIX + INT12 0000ffd8 00000002 00000000 00000002 RWIX + INT13 0000ffda 00000002 00000000 00000002 RWIX + INT14 0000ffdc 00000002 00000002 00000000 RWIX + INT15 0000ffde 00000002 00000002 00000000 RWIX + INT16 0000ffe0 00000002 00000002 00000000 RWIX + INT17 0000ffe2 00000002 00000002 00000000 RWIX + INT18 0000ffe4 00000002 00000002 00000000 RWIX + INT19 0000ffe6 00000002 00000002 00000000 RWIX + INT20 0000ffe8 00000002 00000002 00000000 RWIX + INT21 0000ffea 00000002 00000002 00000000 RWIX + INT22 0000ffec 00000002 00000002 00000000 RWIX + INT23 0000ffee 00000002 00000002 00000000 RWIX + INT24 0000fff0 00000002 00000002 00000000 RWIX + INT25 0000fff2 00000002 00000002 00000000 RWIX + INT26 0000fff4 00000002 00000002 00000000 RWIX + INT27 0000fff6 00000002 00000002 00000000 RWIX + INT28 0000fff8 00000002 00000002 00000000 RWIX + INT29 0000fffa 00000002 00000002 00000000 RWIX + INT30 0000fffc 00000002 00000002 00000000 RWIX + RESET 0000fffe 00000002 00000002 00000000 RWIX + FLASH2 00010000 00010000 00000144 0000febc RWIX + + +SECTION ALLOCATION MAP + + output attributes/ +section page origin length input sections +-------- ---- ---------- ---------- ---------------- +.data 0 00001100 0000000a UNINITIALIZED + 00001100 00000008 main.obj (.data:freq) + 00001108 00000002 main.obj (.data) + +.stack 0 000030b0 00000050 UNINITIALIZED + 000030b0 00000004 rts430x_lc_rd_eabi.lib : boot.c.obj (.stack) + 000030b4 0000004c --HOLE-- + +.text:_isr +* 0 00003100 0000009a + 00003100 00000076 main.obj (.text:_isr:watchdog_timer) + 00003176 0000001c rts430x_lc_rd_eabi.lib : boot.c.obj (.text:_isr:_c_int00_noargs) + 00003192 00000008 : isr_trap.asm.obj (.text:_isr:__TI_ISR_TRAP) + +.cinit 0 0000319a 00000020 + 0000319a 0000000f (.cinit..data.load) [load image, compression = lzss] + 000031a9 00000001 --HOLE-- [fill = 0] + 000031aa 00000008 (__TI_handler_table) + 000031b2 00000008 (__TI_cinit_table) + +.binit 0 00003100 00000000 + +.init_array +* 0 00003100 00000000 UNINITIALIZED + +$fill000 0 0000ffbe 00000002 + 0000ffbe 00000002 --HOLE-- [fill = ffff] + +DAC12 0 0000ffdc 00000002 + 0000ffdc 00000002 rts430x_lc_rd_eabi.lib : int14.asm.obj (.int14) + +DMA 0 0000ffde 00000002 + 0000ffde 00000002 rts430x_lc_rd_eabi.lib : int15.asm.obj (.int15) + +BASICTIMER +* 0 0000ffe0 00000002 + 0000ffe0 00000002 rts430x_lc_rd_eabi.lib : int16.asm.obj (.int16) + +PORT2 0 0000ffe2 00000002 + 0000ffe2 00000002 rts430x_lc_rd_eabi.lib : int17.asm.obj (.int17) + +USART1TX 0 0000ffe4 00000002 + 0000ffe4 00000002 rts430x_lc_rd_eabi.lib : int18.asm.obj (.int18) + +USART1RX 0 0000ffe6 00000002 + 0000ffe6 00000002 rts430x_lc_rd_eabi.lib : int19.asm.obj (.int19) + +PORT1 0 0000ffe8 00000002 + 0000ffe8 00000002 rts430x_lc_rd_eabi.lib : int20.asm.obj (.int20) + +TIMERA1 0 0000ffea 00000002 + 0000ffea 00000002 rts430x_lc_rd_eabi.lib : int21.asm.obj (.int21) + +TIMERA0 0 0000ffec 00000002 + 0000ffec 00000002 rts430x_lc_rd_eabi.lib : int22.asm.obj (.int22) + +ADC12 0 0000ffee 00000002 + 0000ffee 00000002 rts430x_lc_rd_eabi.lib : int23.asm.obj (.int23) + +USCIAB0TX +* 0 0000fff0 00000002 + 0000fff0 00000002 rts430x_lc_rd_eabi.lib : int24.asm.obj (.int24) + +USCIAB0RX +* 0 0000fff2 00000002 + 0000fff2 00000002 rts430x_lc_rd_eabi.lib : int25.asm.obj (.int25) + +WDT 0 0000fff4 00000002 + 0000fff4 00000002 main.obj (.int26) + +COMPARATORA +* 0 0000fff6 00000002 + 0000fff6 00000002 rts430x_lc_rd_eabi.lib : int27.asm.obj (.int27) + +TIMERB1 0 0000fff8 00000002 + 0000fff8 00000002 rts430x_lc_rd_eabi.lib : int28.asm.obj (.int28) + +TIMERB0 0 0000fffa 00000002 + 0000fffa 00000002 rts430x_lc_rd_eabi.lib : int29.asm.obj (.int29) + +NMI 0 0000fffc 00000002 + 0000fffc 00000002 rts430x_lc_rd_eabi.lib : int30.asm.obj (.int30) + +.reset 0 0000fffe 00000002 + 0000fffe 00000002 rts430x_lc_rd_eabi.lib : boot.c.obj (.reset) + +.text 0 00010000 00000144 + 00010000 00000076 rts430x_lc_rd_eabi.lib : copy_decompress_lzss.c.obj (.text:decompress:lzss:__TI_decompress_lzss) + 00010076 00000054 : autoinit.c.obj (.text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt) + 000100ca 00000048 main.obj (.text:main) + 00010112 00000014 rts430x_lc_rd_eabi.lib : memcpy.c.obj (.text:memcpy) + 00010126 00000012 : copy_decompress_none.c.obj (.text:decompress:none:__TI_decompress_none) + 00010138 00000006 : exit.c.obj (.text:abort) + 0001013e 00000004 : pre_init.c.obj (.text:_system_pre_init) + 00010142 00000002 : startup.c.obj (.text:_system_post_cinit) + +MODULE SUMMARY + + Module code ro data rw data + ------ ---- ------- ------- + .\ + main.obj 190 2 10 + +--+----------------------------+------+---------+---------+ + Total: 190 2 10 + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\rts430x_lc_rd_eabi.lib + copy_decompress_lzss.c.obj 118 0 0 + autoinit.c.obj 84 0 0 + boot.c.obj 28 2 0 + memcpy.c.obj 20 0 0 + copy_decompress_none.c.obj 18 0 0 + isr_trap.asm.obj 8 0 0 + exit.c.obj 6 0 0 + pre_init.c.obj 4 0 0 + int14.asm.obj 0 2 0 + int15.asm.obj 0 2 0 + int16.asm.obj 0 2 0 + int17.asm.obj 0 2 0 + int18.asm.obj 0 2 0 + int19.asm.obj 0 2 0 + int20.asm.obj 0 2 0 + int21.asm.obj 0 2 0 + int22.asm.obj 0 2 0 + int23.asm.obj 0 2 0 + int24.asm.obj 0 2 0 + int25.asm.obj 0 2 0 + int27.asm.obj 0 2 0 + int28.asm.obj 0 2 0 + int29.asm.obj 0 2 0 + int30.asm.obj 0 2 0 + startup.c.obj 2 0 0 + +--+----------------------------+------+---------+---------+ + Total: 288 34 0 + + Stack: 0 0 80 + Linker Generated: 0 31 0 + +--+----------------------------+------+---------+---------+ + Grand Total: 478 67 90 + + +LINKER GENERATED COPY TABLES + +__TI_cinit_table @ 000031b2 records: 1, size/record: 8, table size: 8 + .data: load addr=0000319a, load size=0000000f bytes, run addr=00001100, run size=0000000a bytes, compression=lzss + + +LINKER GENERATED HANDLER TABLE + +__TI_handler_table @ 000031aa records: 2, size/record: 4, table size: 8 + index: 0, handler: __TI_decompress_lzss + index: 1, handler: __TI_decompress_none + + +GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name + +address name +------- ---- +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a6 ADC12IE +000001a4 ADC12IFG +000001a8 ADC12IV +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +00000040 BTCTL +00010138 C$$EXIT +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +000001c0 DAC12_0CTL +000001c8 DAC12_0DAT +000001c2 DAC12_1CTL +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d6 DMA0DA +000001d6 DMA0DAL +000001d2 DMA0SA +000001d2 DMA0SAL +000001da DMA0SZ +000001dc DMA1CTL +000001e2 DMA1DA +000001e2 DMA1DAL +000001de DMA1SA +000001de DMA1SAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ee DMA2DA +000001ee DMA2DAL +000001ea DMA2SA +000001ea DMA2SAL +000001f2 DMA2SZ +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000090 LCDACTL +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +00000091 LCDM1 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +00000092 LCDM2 +000000a4 LCDM20 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +00000134 MAC +00000136 MACS +00000005 ME2 +00000130 MPY +00000132 MPYS +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000138 OP2 +0000000d P10DIR +00000009 P10IN +0000000b P10OUT +0000000f P10SEL +00000022 P1DIR +00000025 P1IE +00000024 P1IES +00000023 P1IFG +00000020 P1IN +00000021 P1OUT +00000026 P1SEL +0000002a P2DIR +0000002d P2IE +0000002c P2IES +0000002b P2IFG +00000028 P2IN +00000029 P2OUT +0000002e P2SEL +0000001a P3DIR +00000018 P3IN +00000019 P3OUT +0000001b P3SEL +0000001e P4DIR +0000001c P4IN +0000001d P4OUT +0000001f P4SEL +00000032 P5DIR +00000030 P5IN +00000031 P5OUT +00000033 P5SEL +00000036 P6DIR +00000034 P6IN +00000035 P6OUT +00000037 P6SEL +0000003c P7DIR +00000038 P7IN +0000003a P7OUT +0000003e P7SEL +0000003d P8DIR +00000039 P8IN +0000003b P8OUT +0000003f P8SEL +0000000c P9DIR +00000008 P9IN +0000000a P9OUT +0000000e P9SEL +0000003c PADIR +00000038 PAIN +0000003a PAOUT +0000003e PASEL +0000000c PBDIR +00000008 PBIN +0000000a PBOUT +0000000e PBSEL +0000013c RESHI +0000013a RESLO +00000041 RTCCTL +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +00000042 RTCNT1 +00000043 RTCNT2 +00000044 RTCNT3 +00000045 RTCNT4 +00000042 RTCTIM0 +00000044 RTCTIM1 +00000040 RTCTL +0000004e RTCYEAR +0000004f RTCYEARH +0000004e RTCYEARL +00000050 SCFI0 +00000051 SCFI1 +00000052 SCFQCTL +0000013e SUMEXT +00000056 SVSCTL +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000160 TACTL +0000012e TAIV +00000170 TAR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000180 TBCTL +0000011e TBIV +00000190 TBR +0000007c U1BR0 +0000007d U1BR1 +00000078 U1CTL +0000007b U1MCTL +0000007a U1RCTL +0000007e U1RXBUF +00000079 U1TCTL +0000007f U1TXBUF +0000005d UCA0ABCTL +00000062 UCA0BR0 +00000063 UCA0BR1 +00000060 UCA0CTL0 +00000061 UCA0CTL1 +0000005f UCA0IRRCTL +0000005e UCA0IRTCTL +00000064 UCA0MCTL +00000066 UCA0RXBUF +00000065 UCA0STAT +00000067 UCA0TXBUF +0000006a UCB0BR0 +0000006b UCB0BR1 +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006c UCB0I2CIE +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000006e UCB0RXBUF +0000006d UCB0STAT +0000006f UCB0TXBUF +00000120 WDTCTL +00003100 __STACK_END +00000050 __STACK_SIZE +000031b2 __TI_CINIT_Base +000031ba __TI_CINIT_Limit +000031aa __TI_Handler_Table_Base +000031b2 __TI_Handler_Table_Limit +00003192 __TI_ISR_TRAP +00010076 __TI_auto_init_nobinit_nopinit_hold_wdt +00010000 __TI_decompress_lzss +00010126 __TI_decompress_none +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ +00003176 _c_int00_noargs +0000fffe _reset_vector +000030b0 _stack +00010142 _system_post_cinit +0001013e _system_pre_init +00010138 abort +00001100 freq +000100ca main +00010112 memcpy +00003100 watchdog_timer + + +GLOBAL SYMBOLS: SORTED BY Symbol Address + +address name +------- ---- +00000000 IE1 +00000001 IE2 +00000002 IFG1 +00000003 IFG2 +00000005 ME2 +00000008 P9IN +00000008 PBIN +00000009 P10IN +0000000a P9OUT +0000000a PBOUT +0000000b P10OUT +0000000c P9DIR +0000000c PBDIR +0000000d P10DIR +0000000e P9SEL +0000000e PBSEL +0000000f P10SEL +00000018 P3IN +00000019 P3OUT +0000001a P3DIR +0000001b P3SEL +0000001c P4IN +0000001d P4OUT +0000001e P4DIR +0000001f P4SEL +00000020 P1IN +00000021 P1OUT +00000022 P1DIR +00000023 P1IFG +00000024 P1IES +00000025 P1IE +00000026 P1SEL +00000028 P2IN +00000029 P2OUT +0000002a P2DIR +0000002b P2IFG +0000002c P2IES +0000002d P2IE +0000002e P2SEL +00000030 P5IN +00000031 P5OUT +00000032 P5DIR +00000033 P5SEL +00000034 P6IN +00000035 P6OUT +00000036 P6DIR +00000037 P6SEL +00000038 P7IN +00000038 PAIN +00000039 P8IN +0000003a P7OUT +0000003a PAOUT +0000003b P8OUT +0000003c P7DIR +0000003c PADIR +0000003d P8DIR +0000003e P7SEL +0000003e PASEL +0000003f P8SEL +00000040 BTCTL +00000040 RTCTL +00000041 RTCCTL +00000042 RTCNT1 +00000042 RTCTIM0 +00000043 RTCNT2 +00000044 RTCNT3 +00000044 RTCTIM1 +00000045 RTCNT4 +00000046 BTCNT1 +00000046 BTCNT12 +00000047 BTCNT2 +0000004c RTCDATE +0000004c RTCDAY +0000004d RTCMON +0000004e RTCYEAR +0000004e RTCYEARL +0000004f RTCYEARH +00000050 SCFI0 +00000050 __STACK_SIZE +00000051 SCFI1 +00000052 SCFQCTL +00000053 FLL_CTL0 +00000054 FLL_CTL1 +00000056 SVSCTL +00000059 CACTL1 +0000005a CACTL2 +0000005b CAPD +0000005d UCA0ABCTL +0000005e UCA0IRTCTL +0000005f UCA0IRRCTL +00000060 UCA0CTL0 +00000061 UCA0CTL1 +00000062 UCA0BR0 +00000063 UCA0BR1 +00000064 UCA0MCTL +00000065 UCA0STAT +00000066 UCA0RXBUF +00000067 UCA0TXBUF +00000068 UCB0CTL0 +00000069 UCB0CTL1 +0000006a UCB0BR0 +0000006b UCB0BR1 +0000006c UCB0I2CIE +0000006d UCB0STAT +0000006e UCB0RXBUF +0000006f UCB0TXBUF +00000078 U1CTL +00000079 U1TCTL +0000007a U1RCTL +0000007b U1MCTL +0000007c U1BR0 +0000007d U1BR1 +0000007e U1RXBUF +0000007f U1TXBUF +00000080 ADC12MCTL0 +00000081 ADC12MCTL1 +00000082 ADC12MCTL2 +00000083 ADC12MCTL3 +00000084 ADC12MCTL4 +00000085 ADC12MCTL5 +00000086 ADC12MCTL6 +00000087 ADC12MCTL7 +00000088 ADC12MCTL8 +00000089 ADC12MCTL9 +0000008a ADC12MCTL10 +0000008b ADC12MCTL11 +0000008c ADC12MCTL12 +0000008d ADC12MCTL13 +0000008e ADC12MCTL14 +0000008f ADC12MCTL15 +00000090 LCDACTL +00000091 LCDM1 +00000092 LCDM2 +00000093 LCDM3 +00000094 LCDM4 +00000095 LCDM5 +00000096 LCDM6 +00000097 LCDM7 +00000098 LCDM8 +00000099 LCDM9 +0000009a LCDM10 +0000009b LCDM11 +0000009c LCDM12 +0000009d LCDM13 +0000009e LCDM14 +0000009f LCDM15 +000000a0 LCDM16 +000000a1 LCDM17 +000000a2 LCDM18 +000000a3 LCDM19 +000000a4 LCDM20 +000000ac LCDAPCTL0 +000000ad LCDAPCTL1 +000000ae LCDAVCTL0 +000000af LCDAVCTL1 +000000c0 OA0CTL0 +000000c1 OA0CTL1 +000000c2 OA1CTL0 +000000c3 OA1CTL1 +000000c4 OA2CTL0 +000000c5 OA2CTL1 +00000118 UCB0I2COA +0000011a UCB0I2CSA +0000011e TBIV +00000120 WDTCTL +00000122 DMACTL0 +00000124 DMACTL1 +00000126 DMAIV +00000128 FCTL1 +0000012a FCTL2 +0000012c FCTL3 +0000012e TAIV +00000130 MPY +00000132 MPYS +00000134 MAC +00000136 MACS +00000138 OP2 +0000013a RESLO +0000013c RESHI +0000013e SUMEXT +00000140 ADC12MEM0 +00000142 ADC12MEM1 +00000144 ADC12MEM2 +00000146 ADC12MEM3 +00000148 ADC12MEM4 +0000014a ADC12MEM5 +0000014c ADC12MEM6 +0000014e ADC12MEM7 +00000150 ADC12MEM8 +00000152 ADC12MEM9 +00000154 ADC12MEM10 +00000156 ADC12MEM11 +00000158 ADC12MEM12 +0000015a ADC12MEM13 +0000015c ADC12MEM14 +0000015e ADC12MEM15 +00000160 TACTL +00000162 TACCTL0 +00000164 TACCTL1 +00000166 TACCTL2 +00000170 TAR +00000172 TACCR0 +00000174 TACCR1 +00000176 TACCR2 +00000180 TBCTL +00000182 TBCCTL0 +00000184 TBCCTL1 +00000186 TBCCTL2 +00000188 TBCCTL3 +0000018a TBCCTL4 +0000018c TBCCTL5 +0000018e TBCCTL6 +00000190 TBR +00000192 TBCCR0 +00000194 TBCCR1 +00000196 TBCCR2 +00000198 TBCCR3 +0000019a TBCCR4 +0000019c TBCCR5 +0000019e TBCCR6 +000001a0 ADC12CTL0 +000001a2 ADC12CTL1 +000001a4 ADC12IFG +000001a6 ADC12IE +000001a8 ADC12IV +000001c0 DAC12_0CTL +000001c2 DAC12_1CTL +000001c8 DAC12_0DAT +000001ca DAC12_1DAT +000001d0 DMA0CTL +000001d2 DMA0SA +000001d2 DMA0SAL +000001d6 DMA0DA +000001d6 DMA0DAL +000001da DMA0SZ +000001dc DMA1CTL +000001de DMA1SA +000001de DMA1SAL +000001e2 DMA1DA +000001e2 DMA1DAL +000001e6 DMA1SZ +000001e8 DMA2CTL +000001ea DMA2SA +000001ea DMA2SAL +000001ee DMA2DA +000001ee DMA2DAL +000001f2 DMA2SZ +00001100 freq +000030b0 _stack +00003100 __STACK_END +00003100 watchdog_timer +00003176 _c_int00_noargs +00003192 __TI_ISR_TRAP +000031aa __TI_Handler_Table_Base +000031b2 __TI_CINIT_Base +000031b2 __TI_Handler_Table_Limit +000031ba __TI_CINIT_Limit +0000ffdc __TI_int14 +0000ffde __TI_int15 +0000ffe0 __TI_int16 +0000ffe2 __TI_int17 +0000ffe4 __TI_int18 +0000ffe6 __TI_int19 +0000ffe8 __TI_int20 +0000ffea __TI_int21 +0000ffec __TI_int22 +0000ffee __TI_int23 +0000fff0 __TI_int24 +0000fff2 __TI_int25 +0000fff4 __TI_int26 +0000fff6 __TI_int27 +0000fff8 __TI_int28 +0000fffa __TI_int29 +0000fffc __TI_int30 +0000fffe _reset_vector +00010000 __TI_decompress_lzss +00010076 __TI_auto_init_nobinit_nopinit_hold_wdt +000100ca main +00010112 memcpy +00010126 __TI_decompress_none +00010138 C$$EXIT +00010138 abort +0001013e _system_pre_init +00010142 _system_post_cinit +ffffffff __TI_pprof_out_hndl +ffffffff __TI_prof_data_size +ffffffff __TI_prof_data_start +ffffffff __c_args__ + +[288 symbols] diff --git a/CPE325/Lab7_P2/Debug/Lab7_P2.out b/CPE325/Lab7_P2/Debug/Lab7_P2.out new file mode 100644 index 0000000..e8de310 Binary files /dev/null and b/CPE325/Lab7_P2/Debug/Lab7_P2.out differ diff --git a/CPE325/Lab7_P2/Debug/Lab7_P2_linkInfo.xml b/CPE325/Lab7_P2/Debug/Lab7_P2_linkInfo.xml new file mode 100644 index 0000000..6b8c511 --- /dev/null +++ b/CPE325/Lab7_P2/Debug/Lab7_P2_linkInfo.xml @@ -0,0 +1,3995 @@ + + + MSP430 Linker PC v20.2.5.LTS + Copyright (c) 2003-2018 Texas Instruments Incorporated + 0x61a98311 + 0x0 + Lab7_P2.out + + _c_int00_noargs +
0x3176
+
+ + + .\ + object + main.obj + main.obj + + + object + <internal> + <internal> + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int14.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int15.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int17.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int18.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int19.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int20.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int21.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int22.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int23.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int24.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int25.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int27.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int28.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int29.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + int30.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + isr_trap.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult1632_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult32_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult3264_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult64_hw.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + boot.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + autoinit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_zero_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + cpy_tbl.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mpu_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + pre_init.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + startup.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_none.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + copy_decompress_lzss.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + _lock.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + args_main.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + memcpy.c.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + mult16.asm.obj + + + C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ + archive + rts430x_lc_rd_eabi.lib + exit_gvars.c.obj + + + + + .data:freq + 0x1100 + 0x1100 + 0x8 + + + + .data + 0x1108 + 0x1108 + 0x2 + + + + .stack + true + 0x30b0 + 0x4 + + + + .stack + true + 0x30b0 + 0x0 + + + .text:decompress:lzss:__TI_decompress_lzss + 0x10000 + 0x10000 + 0x76 + + + + .text:__TI_auto_init_nobinit_nopinit_hold_wdt:__TI_auto_init_nobinit_nopinit_hold_wdt + 0x10076 + 0x10076 + 0x54 + + + + .text:main + 0x100ca + 0x100ca + 0x48 + + + + .text:memcpy + 0x10112 + 0x10112 + 0x14 + + + + .text:decompress:none:__TI_decompress_none + 0x10126 + 0x10126 + 0x12 + + + + .text:abort + 0x10138 + 0x10138 + 0x6 + + + + .text:_system_pre_init + 0x1013e + 0x1013e + 0x4 + + + + .text:_system_post_cinit + 0x10142 + 0x10142 + 0x2 + + + + .text:_isr:watchdog_timer + 0x3100 + 0x3100 + 0x76 + + + + .text:_isr:_c_int00_noargs + 0x3176 + 0x3176 + 0x1c + + + + .text:_isr:__TI_ISR_TRAP + 0x3192 + 0x3192 + 0x8 + + + + .cinit..data.load + 0x319a + 0x319a + 0xf + + + __TI_handler_table + 0x31aa + 0x31aa + 0x8 + + + __TI_cinit_table + 0x31b2 + 0x31b2 + 0x8 + + + .binit + 0x3100 + 0x3100 + 0x0 + + + .int14 + 0xffdc + 0xffdc + 0x2 + + + + .int15 + 0xffde + 0xffde + 0x2 + + + + .int16 + 0xffe0 + 0xffe0 + 0x2 + + + + .int17 + 0xffe2 + 0xffe2 + 0x2 + + + + .int18 + 0xffe4 + 0xffe4 + 0x2 + + + + .int19 + 0xffe6 + 0xffe6 + 0x2 + + + + .int20 + 0xffe8 + 0xffe8 + 0x2 + + + + .int21 + 0xffea + 0xffea + 0x2 + + + + .int22 + 0xffec + 0xffec + 0x2 + + + + .int23 + 0xffee + 0xffee + 0x2 + + + + .int24 + 0xfff0 + 0xfff0 + 0x2 + + + + .int25 + 0xfff2 + 0xfff2 + 0x2 + + + + .int26 + 0xfff4 + 0xfff4 + 0x2 + + + + .int27 + 0xfff6 + 0xfff6 + 0x2 + + + + .int28 + 0xfff8 + 0xfff8 + 0x2 + + + + .int29 + 0xfffa + 0xfffa + 0x2 + + + + .int30 + 0xfffc + 0xfffc + 0x2 + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + .debug_info + 0x0 + 0x0 + 0x26e + + + + .debug_info + 0x26e + 0x26e + 0xb9 + + + + .debug_info + 0x327 + 0x327 + 0x109 + + + + .debug_info + 0x430 + 0x430 + 0xe7 + + + + .debug_info + 0x517 + 0x517 + 0x84 + + + + .debug_info + 0x59b + 0x59b + 0x10f + + + + .debug_info + 0x6aa + 0x6aa + 0x100 + + + + .debug_info + 0x7aa + 0x7aa + 0x17a + + + + .debug_info + 0x924 + 0x924 + 0x3fc + + + + .debug_info + 0xd20 + 0xd20 + 0x230 + + + + .debug_info + 0xf50 + 0xf50 + 0x39 + + + + .debug_info + 0xf89 + 0xf89 + 0x2c + + + + .debug_info + 0xfb5 + 0xfb5 + 0x2c + + + + .debug_info + 0xfe1 + 0xfe1 + 0xcd + + + + .debug_info + 0x10ae + 0x10ae + 0x2c + + + + .debug_info + 0x10da + 0x10da + 0x153 + + + + .debug_info + 0x122d + 0x122d + 0x150 + + + + .debug_info + 0x137d + 0x137d + 0x18f + + + + .debug_info + 0x150c + 0x150c + 0x1d3 + + + + .debug_info + 0x16df + 0x16df + 0x46 + + + + .debug_info + 0x1725 + 0x1725 + 0x17b + + + + .debug_info + 0x18a0 + 0x18a0 + 0x250 + + + + .debug_info + 0x1af0 + 0x1af0 + 0x60 + + + + .debug_info + 0x1b50 + 0x1b50 + 0x46 + + + + .debug_info + 0x1b96 + 0x1b96 + 0x39 + + + + .debug_info + 0x1bcf + 0x1bcf + 0x122 + + + + .debug_info + 0x1cf1 + 0x1cf1 + 0x19a + + + + .debug_info + 0x1e8b + 0x1e8b + 0x17a + + + + .debug_info + 0x2005 + 0x2005 + 0x90 + + + .debug_line + 0x0 + 0x0 + 0x5c + + + + .debug_line + 0x5c + 0x5c + 0x2d + + + + .debug_line + 0x89 + 0x89 + 0x5f + + + + .debug_line + 0xe8 + 0xe8 + 0x4b + + + + .debug_line + 0x133 + 0x133 + 0x20 + + + + .debug_line + 0x153 + 0x153 + 0x3d + + + + .debug_line + 0x190 + 0x190 + 0x2a + + + + .debug_line + 0x1ba + 0x1ba + 0x46 + + + + .debug_line + 0x200 + 0x200 + 0xbf + + + + .debug_line + 0x2bf + 0x2bf + 0x80 + + + + .debug_line + 0x33f + 0x33f + 0x2e + + + + .debug_line + 0x36d + 0x36d + 0x9a + + + + .debug_line + 0x407 + 0x407 + 0x97 + + + + .debug_line + 0x49e + 0x49e + 0x93 + + + + .debug_line + 0x531 + 0x531 + 0x92 + + + + .debug_line + 0x5c3 + 0x5c3 + 0x3e + + + + .debug_line + 0x601 + 0x601 + 0x3a + + + + .debug_line + 0x63b + 0x63b + 0x20 + + + + .debug_line + 0x65b + 0x65b + 0x50 + + + + .debug_line + 0x6ab + 0x6ab + 0x3a + + + + .debug_line + 0x6e5 + 0x6e5 + 0x20 + + + + .debug_line + 0x705 + 0x705 + 0x99 + + + + .debug_line + 0x79e + 0x79e + 0x3a + + + + .debug_line + 0x7d8 + 0x7d8 + 0x9a + + + + .debug_line + 0x872 + 0x872 + 0x96 + + + + .debug_line + 0x908 + 0x908 + 0x3d + + + + .debug_line + 0x945 + 0x945 + 0x20 + + + + .debug_line + 0x965 + 0x965 + 0x42 + + + + .debug_frame + 0x0 + 0x0 + 0x3c + + + + .debug_frame + 0x3c + 0x3c + 0x3c + + + + .debug_frame + 0x78 + 0x78 + 0x34 + + + + .debug_frame + 0xac + 0xac + 0x48 + + + + .debug_frame + 0xf4 + 0xf4 + 0x3c + + + + .debug_frame + 0x130 + 0x130 + 0x3c + + + + .debug_frame + 0x16c + 0x16c + 0x3c + + + + .debug_frame + 0x1a8 + 0x1a8 + 0x48 + + + + .debug_frame + 0x1f0 + 0x1f0 + 0x3c + + + + .debug_frame + 0x22c + 0x22c + 0x3c + + + + .debug_abbrev + 0x0 + 0x0 + 0xa5 + + + + .debug_abbrev + 0xa5 + 0xa5 + 0x29 + + + + .debug_abbrev + 0xce + 0xce + 0x61 + + + + .debug_abbrev + 0x12f + 0x12f + 0x52 + + + + .debug_abbrev + 0x181 + 0x181 + 0x1f + + + + .debug_abbrev + 0x1a0 + 0x1a0 + 0x28 + + + + .debug_abbrev + 0x1c8 + 0x1c8 + 0x29 + + + + .debug_abbrev + 0x1f1 + 0x1f1 + 0x58 + + + + .debug_abbrev + 0x249 + 0x249 + 0xcb + + + + .debug_abbrev + 0x314 + 0x314 + 0x7e + + + + .debug_abbrev + 0x392 + 0x392 + 0x24 + + + + .debug_abbrev + 0x3b6 + 0x3b6 + 0x24 + + + + .debug_abbrev + 0x3da + 0x3da + 0x24 + + + + .debug_abbrev + 0x3fe + 0x3fe + 0x4b + + + + .debug_abbrev + 0x449 + 0x449 + 0x24 + + + + .debug_abbrev + 0x46d + 0x46d + 0x55 + + + + .debug_abbrev + 0x4c2 + 0x4c2 + 0x53 + + + + .debug_abbrev + 0x515 + 0x515 + 0x40 + + + + .debug_abbrev + 0x555 + 0x555 + 0x74 + + + + .debug_abbrev + 0x5c9 + 0x5c9 + 0x24 + + + + .debug_abbrev + 0x5ed + 0x5ed + 0x40 + + + + .debug_abbrev + 0x62d + 0x62d + 0x6f + + + + .debug_abbrev + 0x69c + 0x69c + 0x24 + + + + .debug_abbrev + 0x6c0 + 0x6c0 + 0x35 + + + + .debug_abbrev + 0x6f5 + 0x6f5 + 0x24 + + + + .debug_abbrev + 0x719 + 0x719 + 0x45 + + + + .debug_abbrev + 0x75e + 0x75e + 0x50 + + + + .debug_abbrev + 0x7ae + 0x7ae + 0x71 + + + + .debug_abbrev + 0x81f + 0x81f + 0xf + + + .debug_str + 0x0 + 0x0 + 0xfd + + + + .debug_str + 0xfd + 0xfd + 0xed + + + + .debug_str + 0x1ea + 0x1ea + 0x14c + + + + .debug_str + 0x336 + 0x336 + 0x147 + + + + .debug_str + 0x47d + 0x47d + 0x197 + + + + .debug_str + 0x614 + 0x614 + 0x140 + + + + .debug_str + 0x754 + 0x754 + 0x10b + + + + .debug_str + 0x85f + 0x85f + 0x118 + + + + .debug_str + 0x977 + 0x977 + 0x16b + + + + .debug_str + 0xae2 + 0xae2 + 0x158 + + + + .debug_aranges + 0x0 + 0x0 + 0x20 + + + + .debug_aranges + 0x20 + 0x20 + 0x20 + + + + .debug_aranges + 0x40 + 0x40 + 0x20 + + + + .debug_aranges + 0x60 + 0x60 + 0x20 + + + + .debug_aranges + 0x80 + 0x80 + 0x20 + + + + .debug_aranges + 0xa0 + 0xa0 + 0x20 + + + + .debug_aranges + 0xc0 + 0xc0 + 0x20 + + + + .debug_aranges + 0xe0 + 0xe0 + 0x20 + + + + .debug_aranges + 0x100 + 0x100 + 0x20 + + + + .debug_aranges + 0x120 + 0x120 + 0x20 + + + + .debug_aranges + 0x140 + 0x140 + 0x20 + + + + .debug_pubnames + 0x0 + 0x0 + 0x1b + + + + .debug_pubnames + 0x1b + 0x1b + 0x25 + + + + .debug_pubnames + 0x40 + 0x40 + 0x1b + + + + .debug_pubnames + 0x5b + 0x5b + 0x2b + + + + .debug_pubnames + 0x86 + 0x86 + 0x1d + + + + .debug_pubnames + 0xa3 + 0xa3 + 0x26 + + + + .debug_pubnames + 0xc9 + 0xc9 + 0x3e + + + + .debug_pubnames + 0x107 + 0x107 + 0x27 + + + + .debug_pubnames + 0x12e + 0x12e + 0x29 + + + + .debug_pubnames + 0x157 + 0x157 + 0x2b + + + + .debug_pubnames + 0x182 + 0x182 + 0x2b + + + + .debug_pubnames + 0x1ad + 0x1ad + 0x1c + + + + .debug_pubnames + 0x1c9 + 0x1c9 + 0x1d + + + + .debug_pubtypes + 0x0 + 0x0 + 0xed + + + + .debug_pubtypes + 0xed + 0xed + 0x32 + + + + .debug_pubtypes + 0x11f + 0x11f + 0x21 + + + + .debug_pubtypes + 0x140 + 0x140 + 0x1f + + + + .debug_pubtypes + 0x15f + 0x15f + 0x50 + + + + .debug_pubtypes + 0x1af + 0x1af + 0x1d + + + + .debug_pubtypes + 0x1cc + 0x1cc + 0x48 + + + + .debug_pubtypes + 0x214 + 0x214 + 0x5d + + + + .debug_pubtypes + 0x271 + 0x271 + 0x48 + + + + .debug_pubtypes + 0x2b9 + 0x2b9 + 0x35 + + + + + + .bss + 0x0 + 0x0 + + + + + .data + 0x1100 + 0xa + + + + + + + .TI.noinit + 0x0 + 0x0 + + + + + .sysmem + 0x0 + 0x0 + + + + + .stack + 0x30b0 + 0x50 + + + + + + + .text + 0x10000 + 0x10000 + 0x144 + + + + + + + + + + + + + .text + + + + + + .text:_isr + 0x3100 + 0x3100 + 0x9a + + + + + + + + .cinit + 0x319a + 0x319a + 0x20 + + + + + + + + .const + 0x0 + 0x0 + + + + + .bslsignature + 0x0 + 0x0 + + + + + .cio + 0x0 + 0x0 + + + + + .pinit + 0x0 + 0x0 + + + + + .binit + 0x3100 + 0x3100 + 0x0 + + + + + + .init_array + 0x0 + 0x0 + + + + + .mspabi.exidx + 0x0 + 0x0 + + + + + .mspabi.extab + 0x0 + 0x0 + + + + + .TI.ramfunc + 0x0 + 0x0 + + + + + .infoA + 0x0 + 0x0 + + + + + .infoB + 0x0 + 0x0 + + + + + .int00 + 0x0 + 0x0 + + + + + .int01 + 0x0 + 0x0 + + + + + .int02 + 0x0 + 0x0 + + + + + .int03 + 0x0 + 0x0 + + + + + .int04 + 0x0 + 0x0 + + + + + .int05 + 0x0 + 0x0 + + + + + .int06 + 0x0 + 0x0 + + + + + .int07 + 0x0 + 0x0 + + + + + .int08 + 0x0 + 0x0 + + + + + .int09 + 0x0 + 0x0 + + + + + .int10 + 0x0 + 0x0 + + + + + .int11 + 0x0 + 0x0 + + + + + .int12 + 0x0 + 0x0 + + + + + .int13 + 0x0 + 0x0 + + + + + DAC12 + 0xffdc + 0xffdc + 0x2 + + + + + + DMA + 0xffde + 0xffde + 0x2 + + + + + + BASICTIMER + 0xffe0 + 0xffe0 + 0x2 + + + + + + PORT2 + 0xffe2 + 0xffe2 + 0x2 + + + + + + USART1TX + 0xffe4 + 0xffe4 + 0x2 + + + + + + USART1RX + 0xffe6 + 0xffe6 + 0x2 + + + + + + PORT1 + 0xffe8 + 0xffe8 + 0x2 + + + + + + TIMERA1 + 0xffea + 0xffea + 0x2 + + + + + + TIMERA0 + 0xffec + 0xffec + 0x2 + + + + + + ADC12 + 0xffee + 0xffee + 0x2 + + + + + + USCIAB0TX + 0xfff0 + 0xfff0 + 0x2 + + + + + + USCIAB0RX + 0xfff2 + 0xfff2 + 0x2 + + + + + + WDT + 0xfff4 + 0xfff4 + 0x2 + + + + + + COMPARATORA + 0xfff6 + 0xfff6 + 0x2 + + + + + + TIMERB1 + 0xfff8 + 0xfff8 + 0x2 + + + + + + TIMERB0 + 0xfffa + 0xfffa + 0x2 + + + + + + NMI + 0xfffc + 0xfffc + 0x2 + + + + + + .reset + 0xfffe + 0xfffe + 0x2 + + + + + + .TI.persistent + 0x0 + 0x0 + + + + + .debug_info + 0x0 + 0x0 + 0x2095 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_line + 0x0 + 0x0 + 0x9a7 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_frame + 0x0 + 0x0 + 0x268 + + + + + + + + + + + + + + + .debug_abbrev + 0x0 + 0x0 + 0x82e + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + .debug_str + 0x0 + 0x0 + 0xc3a + + + + + + + + + + + + + + + .debug_aranges + 0x0 + 0x0 + 0x160 + + + + + + + + + + + + + + + + .debug_pubnames + 0x0 + 0x0 + 0x1e6 + + + + + + + + + + + + + + + + + + .debug_pubtypes + 0x0 + 0x0 + 0x2ee + + + + + + + + + + + + + + + $fill000 + 0xffbe + 0xffbe + 0x2 + + + + + SEGMENT_0 + 0x1100 + 0xa + 0x6 + + + + + + SEGMENT_1 + 0x30b0 + 0x50 + 0x6 + + + + + + SEGMENT_2 + 0x3100 + 0x3100 + 0xba + 0x5 + + + + + + + SEGMENT_3 + 0xffbe + 0xffbe + 0x2 + 0x4 + + + + + + SEGMENT_4 + 0xffdc + 0xffdc + 0x168 + 0x5 + + + + + + + + + + + + + + + + + + + + + + + + + + SFR + 0x0 + 0x0 + 0x10 + 0x0 + 0x10 + RWIX + + + + + PERIPHERALS_8BIT + 0x0 + 0x10 + 0xf0 + 0x0 + 0xf0 + RWIX + + + + + PERIPHERALS_16BIT + 0x0 + 0x100 + 0x100 + 0x0 + 0x100 + RWIX + + + + + INFOB + 0x0 + 0x1000 + 0x80 + 0x0 + 0x80 + RWIX + + + + + INFOA + 0x0 + 0x1080 + 0x80 + 0x0 + 0x80 + RWIX + + + + + RAM + 0x0 + 0x1100 + 0x2000 + 0x5a + 0x1fa6 + RWIX + + + 0x1100 + 0xa + + + + 0x110a + 0x1fa6 + + + 0x30b0 + 0x50 + + + + + + FLASH + 0x0 + 0x3100 + 0xcebe + 0xba + 0xce04 + RWIX + + + 0x3100 + 0x0 + + + + 0x3100 + 0x9a + + + + 0x319a + 0x20 + + + + 0x31ba + 0xce04 + + + + + BSLSIGNATURE + 0x0 + 0xffbe + 0x2 + 0x2 + 0x0 + RWIX + 0xffff + 0x10 + 0x0 + + + 0xffbe + 0x2 + + + + + + INT00 + 0x0 + 0xffc0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT01 + 0x0 + 0xffc2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT02 + 0x0 + 0xffc4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT03 + 0x0 + 0xffc6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT04 + 0x0 + 0xffc8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT05 + 0x0 + 0xffca + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT06 + 0x0 + 0xffcc + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT07 + 0x0 + 0xffce + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT08 + 0x0 + 0xffd0 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT09 + 0x0 + 0xffd2 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT10 + 0x0 + 0xffd4 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT11 + 0x0 + 0xffd6 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT12 + 0x0 + 0xffd8 + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT13 + 0x0 + 0xffda + 0x2 + 0x0 + 0x2 + RWIX + + + + + INT14 + 0x0 + 0xffdc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffdc + 0x2 + + + + + + INT15 + 0x0 + 0xffde + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffde + 0x2 + + + + + + INT16 + 0x0 + 0xffe0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe0 + 0x2 + + + + + + INT17 + 0x0 + 0xffe2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe2 + 0x2 + + + + + + INT18 + 0x0 + 0xffe4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe4 + 0x2 + + + + + + INT19 + 0x0 + 0xffe6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe6 + 0x2 + + + + + + INT20 + 0x0 + 0xffe8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffe8 + 0x2 + + + + + + INT21 + 0x0 + 0xffea + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffea + 0x2 + + + + + + INT22 + 0x0 + 0xffec + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffec + 0x2 + + + + + + INT23 + 0x0 + 0xffee + 0x2 + 0x2 + 0x0 + RWIX + + + 0xffee + 0x2 + + + + + + INT24 + 0x0 + 0xfff0 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff0 + 0x2 + + + + + + INT25 + 0x0 + 0xfff2 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff2 + 0x2 + + + + + + INT26 + 0x0 + 0xfff4 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff4 + 0x2 + + + + + + INT27 + 0x0 + 0xfff6 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff6 + 0x2 + + + + + + INT28 + 0x0 + 0xfff8 + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfff8 + 0x2 + + + + + + INT29 + 0x0 + 0xfffa + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffa + 0x2 + + + + + + INT30 + 0x0 + 0xfffc + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffc + 0x2 + + + + + + RESET + 0x0 + 0xfffe + 0x2 + 0x2 + 0x0 + RWIX + + + 0xfffe + 0x2 + + + + + + FLASH2 + 0x0 + 0x10000 + 0x10000 + 0x144 + 0xfebc + RWIX + + + 0x10000 + 0x144 + + + + 0x10144 + 0xfebc + + + + + + + __TI_cinit_table + + .data + 0x319a + 0xf + 0x1100 + 0xa + lzss + + + + + __TI_handler_table + + 0x0 + __TI_decompress_lzss + + + 0x1 + __TI_decompress_none + + + + + IE1 + 0x0 + + + IFG1 + 0x2 + + + IE2 + 0x1 + + + IFG2 + 0x3 + + + ME2 + 0x5 + + + ADC12CTL0 + 0x1a0 + + + ADC12CTL1 + 0x1a2 + + + ADC12IFG + 0x1a4 + + + ADC12IE + 0x1a6 + + + ADC12IV + 0x1a8 + + + ADC12MEM0 + 0x140 + + + ADC12MEM1 + 0x142 + + + ADC12MEM2 + 0x144 + + + ADC12MEM3 + 0x146 + + + ADC12MEM4 + 0x148 + + + ADC12MEM5 + 0x14a + + + ADC12MEM6 + 0x14c + + + ADC12MEM7 + 0x14e + + + ADC12MEM8 + 0x150 + + + ADC12MEM9 + 0x152 + + + ADC12MEM10 + 0x154 + + + ADC12MEM11 + 0x156 + + + ADC12MEM12 + 0x158 + + + ADC12MEM13 + 0x15a + + + ADC12MEM14 + 0x15c + + + ADC12MEM15 + 0x15e + + + ADC12MCTL0 + 0x80 + + + ADC12MCTL1 + 0x81 + + + ADC12MCTL2 + 0x82 + + + ADC12MCTL3 + 0x83 + + + ADC12MCTL4 + 0x84 + + + ADC12MCTL5 + 0x85 + + + ADC12MCTL6 + 0x86 + + + ADC12MCTL7 + 0x87 + + + ADC12MCTL8 + 0x88 + + + ADC12MCTL9 + 0x89 + + + ADC12MCTL10 + 0x8a + + + ADC12MCTL11 + 0x8b + + + ADC12MCTL12 + 0x8c + + + ADC12MCTL13 + 0x8d + + + ADC12MCTL14 + 0x8e + + + ADC12MCTL15 + 0x8f + + + BTCTL + 0x40 + + + RTCCTL + 0x41 + + + RTCNT1 + 0x42 + + + RTCNT2 + 0x43 + + + RTCNT3 + 0x44 + + + RTCNT4 + 0x45 + + + BTCNT1 + 0x46 + + + BTCNT2 + 0x47 + + + RTCDAY + 0x4c + + + RTCMON + 0x4d + + + RTCYEARL + 0x4e + + + RTCYEARH + 0x4f + + + RTCTL + 0x40 + + + RTCTIM0 + 0x42 + + + RTCTIM1 + 0x44 + + + BTCNT12 + 0x46 + + + RTCDATE + 0x4c + + + RTCYEAR + 0x4e + + + CACTL1 + 0x59 + + + CACTL2 + 0x5a + + + CAPD + 0x5b + + + DAC12_0CTL + 0x1c0 + + + DAC12_1CTL + 0x1c2 + + + DAC12_0DAT + 0x1c8 + + + DAC12_1DAT + 0x1ca + + + DMACTL0 + 0x122 + + + DMACTL1 + 0x124 + + + DMAIV + 0x126 + + + DMA0CTL + 0x1d0 + + + DMA1CTL + 0x1dc + + + DMA2CTL + 0x1e8 + + + DMA0SA + 0x1d2 + + + DMA0SAL + 0x1d2 + + + DMA0DA + 0x1d6 + + + DMA0DAL + 0x1d6 + + + DMA0SZ + 0x1da + + + DMA1SA + 0x1de + + + DMA1SAL + 0x1de + + + DMA1DA + 0x1e2 + + + DMA1DAL + 0x1e2 + + + DMA1SZ + 0x1e6 + + + DMA2SA + 0x1ea + + + DMA2SAL + 0x1ea + + + DMA2DA + 0x1ee + + + DMA2DAL + 0x1ee + + + DMA2SZ + 0x1f2 + + + FCTL1 + 0x128 + + + FCTL2 + 0x12a + + + FCTL3 + 0x12c + + + SCFI0 + 0x50 + + + SCFI1 + 0x51 + + + SCFQCTL + 0x52 + + + FLL_CTL0 + 0x53 + + + FLL_CTL1 + 0x54 + + + LCDACTL + 0x90 + + + LCDAPCTL0 + 0xac + + + LCDAPCTL1 + 0xad + + + LCDAVCTL0 + 0xae + + + LCDAVCTL1 + 0xaf + + + LCDM1 + 0x91 + + + LCDM2 + 0x92 + + + LCDM3 + 0x93 + + + LCDM4 + 0x94 + + + LCDM5 + 0x95 + + + LCDM6 + 0x96 + + + LCDM7 + 0x97 + + + LCDM8 + 0x98 + + + LCDM9 + 0x99 + + + LCDM10 + 0x9a + + + LCDM11 + 0x9b + + + LCDM12 + 0x9c + + + LCDM13 + 0x9d + + + LCDM14 + 0x9e + + + LCDM15 + 0x9f + + + LCDM16 + 0xa0 + + + LCDM17 + 0xa1 + + + LCDM18 + 0xa2 + + + LCDM19 + 0xa3 + + + LCDM20 + 0xa4 + + + MPY + 0x130 + + + MPYS + 0x132 + + + MAC + 0x134 + + + MACS + 0x136 + + + OP2 + 0x138 + + + RESLO + 0x13a + + + RESHI + 0x13c + + + SUMEXT + 0x13e + + + OA0CTL0 + 0xc0 + + + OA0CTL1 + 0xc1 + + + OA1CTL0 + 0xc2 + + + OA1CTL1 + 0xc3 + + + OA2CTL0 + 0xc4 + + + OA2CTL1 + 0xc5 + + + P1IN + 0x20 + + + P1OUT + 0x21 + + + P1DIR + 0x22 + + + P1IFG + 0x23 + + + P1IES + 0x24 + + + P1IE + 0x25 + + + P1SEL + 0x26 + + + P2IN + 0x28 + + + P2OUT + 0x29 + + + P2DIR + 0x2a + + + P2IFG + 0x2b + + + P2IES + 0x2c + + + P2IE + 0x2d + + + P2SEL + 0x2e + + + P3IN + 0x18 + + + P3OUT + 0x19 + + + P3DIR + 0x1a + + + P3SEL + 0x1b + + + P4IN + 0x1c + + + P4OUT + 0x1d + + + P4DIR + 0x1e + + + P4SEL + 0x1f + + + P5IN + 0x30 + + + P5OUT + 0x31 + + + P5DIR + 0x32 + + + P5SEL + 0x33 + + + P6IN + 0x34 + + + P6OUT + 0x35 + + + P6DIR + 0x36 + + + P6SEL + 0x37 + + + P7IN + 0x38 + + + P7OUT + 0x3a + + + P7DIR + 0x3c + + + P7SEL + 0x3e + + + P8IN + 0x39 + + + P8OUT + 0x3b + + + P8DIR + 0x3d + + + P8SEL + 0x3f + + + PAIN + 0x38 + + + PAOUT + 0x3a + + + PADIR + 0x3c + + + PASEL + 0x3e + + + P9IN + 0x8 + + + P9OUT + 0xa + + + P9DIR + 0xc + + + P9SEL + 0xe + + + P10IN + 0x9 + + + P10OUT + 0xb + + + P10DIR + 0xd + + + P10SEL + 0xf + + + PBIN + 0x8 + + + PBOUT + 0xa + + + PBDIR + 0xc + + + PBSEL + 0xe + + + SVSCTL + 0x56 + + + TAIV + 0x12e + + + TACTL + 0x160 + + + TACCTL0 + 0x162 + + + TACCTL1 + 0x164 + + + TACCTL2 + 0x166 + + + TAR + 0x170 + + + TACCR0 + 0x172 + + + TACCR1 + 0x174 + + + TACCR2 + 0x176 + + + TBIV + 0x11e + + + TBCTL + 0x180 + + + TBCCTL0 + 0x182 + + + TBCCTL1 + 0x184 + + + TBCCTL2 + 0x186 + + + TBCCTL3 + 0x188 + + + TBCCTL4 + 0x18a + + + TBCCTL5 + 0x18c + + + TBCCTL6 + 0x18e + + + TBR + 0x190 + + + TBCCR0 + 0x192 + + + TBCCR1 + 0x194 + + + TBCCR2 + 0x196 + + + TBCCR3 + 0x198 + + + TBCCR4 + 0x19a + + + TBCCR5 + 0x19c + + + TBCCR6 + 0x19e + + + UCA0CTL0 + 0x60 + + + UCA0CTL1 + 0x61 + + + UCA0BR0 + 0x62 + + + UCA0BR1 + 0x63 + + + UCA0MCTL + 0x64 + + + UCA0STAT + 0x65 + + + UCA0RXBUF + 0x66 + + + UCA0TXBUF + 0x67 + + + UCA0ABCTL + 0x5d + + + UCA0IRTCTL + 0x5e + + + UCA0IRRCTL + 0x5f + + + UCB0CTL0 + 0x68 + + + UCB0CTL1 + 0x69 + + + UCB0BR0 + 0x6a + + + UCB0BR1 + 0x6b + + + UCB0I2CIE + 0x6c + + + UCB0STAT + 0x6d + + + UCB0RXBUF + 0x6e + + + UCB0TXBUF + 0x6f + + + UCB0I2COA + 0x118 + + + UCB0I2CSA + 0x11a + + + U1CTL + 0x78 + + + U1TCTL + 0x79 + + + U1RCTL + 0x7a + + + U1MCTL + 0x7b + + + U1BR0 + 0x7c + + + U1BR1 + 0x7d + + + U1RXBUF + 0x7e + + + U1TXBUF + 0x7f + + + WDTCTL + 0x120 + + + __TI_CINIT_Base + 0x31b2 + + + __TI_CINIT_Limit + 0x31ba + + + __TI_Handler_Table_Base + 0x31aa + + + __TI_Handler_Table_Limit + 0x31b2 + + + __STACK_SIZE + 0x50 + + + __STACK_END + 0x3100 + + + __c_args__ + 0xffffffff + + + __TI_pprof_out_hndl + 0xffffffff + + + __TI_prof_data_start + 0xffffffff + + + __TI_prof_data_size + 0xffffffff + + + __TI_int26 + 0xfff4 + + + + freq + 0x1100 + + + + watchdog_timer + 0x3100 + + + + main + 0x100ca + + + + __TI_int14 + 0xffdc + + + + __TI_int15 + 0xffde + + + + __TI_int16 + 0xffe0 + + + + __TI_int17 + 0xffe2 + + + + __TI_int18 + 0xffe4 + + + + __TI_int19 + 0xffe6 + + + + __TI_int20 + 0xffe8 + + + + __TI_int21 + 0xffea + + + + __TI_int22 + 0xffec + + + + __TI_int23 + 0xffee + + + + __TI_int24 + 0xfff0 + + + + __TI_int25 + 0xfff2 + + + + __TI_int27 + 0xfff6 + + + + __TI_int28 + 0xfff8 + + + + __TI_int29 + 0xfffa + + + + __TI_int30 + 0xfffc + + + + __TI_ISR_TRAP + 0x3192 + + + + _stack + 0x30b0 + + + + _c_int00_noargs + 0x3176 + + + + _reset_vector + 0xfffe + + + + __TI_auto_init_nobinit_nopinit_hold_wdt + 0x10076 + + + + _system_pre_init + 0x1013e + + + + _system_post_cinit + 0x10142 + + + + __TI_decompress_none + 0x10126 + + + + __TI_decompress_lzss + 0x10000 + + + + C$$EXIT + 0x10138 + + + + abort + 0x10138 + + + + memcpy + 0x10112 + + + + Link successful +
diff --git a/CPE325/Lab7_P2/Debug/ccsObjs.opt b/CPE325/Lab7_P2/Debug/ccsObjs.opt new file mode 100644 index 0000000..2f36690 --- /dev/null +++ b/CPE325/Lab7_P2/Debug/ccsObjs.opt @@ -0,0 +1 @@ +"./main.obj" "../lnk_msp430fg4618.cmd" -llibc.a \ No newline at end of file diff --git a/CPE325/Lab7_P2/Debug/main.d b/CPE325/Lab7_P2/Debug/main.d new file mode 100644 index 0000000..15f98dd --- /dev/null +++ b/CPE325/Lab7_P2/Debug/main.d @@ -0,0 +1,21 @@ +# FIXED + +main.obj: ../main.c +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h +main.obj: C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h +main.obj: C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h + +../main.c: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/msp430fg4618.h: + +C:/ti/ccs1040/ccs/ccs_base/msp430/include/in430.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics.h: + +C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include/intrinsics_legacy_undefs.h: + diff --git a/CPE325/Lab7_P2/Debug/main.obj b/CPE325/Lab7_P2/Debug/main.obj new file mode 100644 index 0000000..114001c Binary files /dev/null and b/CPE325/Lab7_P2/Debug/main.obj differ diff --git a/CPE325/Lab7_P2/Debug/makefile b/CPE325/Lab7_P2/Debug/makefile new file mode 100644 index 0000000..810f98d --- /dev/null +++ b/CPE325/Lab7_P2/Debug/makefile @@ -0,0 +1,166 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +CG_TOOL_ROOT := C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS + +GEN_OPTS__FLAG := +GEN_CMDS__FLAG := + +ORDERED_OBJS += \ +"./main.obj" \ +"../lnk_msp430fg4618.cmd" \ +$(GEN_CMDS__FLAG) \ +-llibc.a \ + +-include ../makefile.init + +RM := DEL /F +RMDIR := RMDIR /S/Q + +# All of the sources participating in the build are defined here +-include sources.mk +-include subdir_vars.mk +-include subdir_rules.mk +-include objects.mk + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C55_DEPS)),) +-include $(C55_DEPS) +endif +ifneq ($(strip $(C_UPPER_DEPS)),) +-include $(C_UPPER_DEPS) +endif +ifneq ($(strip $(S67_DEPS)),) +-include $(S67_DEPS) +endif +ifneq ($(strip $(S62_DEPS)),) +-include $(S62_DEPS) +endif +ifneq ($(strip $(S_DEPS)),) +-include $(S_DEPS) +endif +ifneq ($(strip $(OPT_DEPS)),) +-include $(OPT_DEPS) +endif +ifneq ($(strip $(C??_DEPS)),) +-include $(C??_DEPS) +endif +ifneq ($(strip $(ASM_UPPER_DEPS)),) +-include $(ASM_UPPER_DEPS) +endif +ifneq ($(strip $(S??_DEPS)),) +-include $(S??_DEPS) +endif +ifneq ($(strip $(C64_DEPS)),) +-include $(C64_DEPS) +endif +ifneq ($(strip $(CXX_DEPS)),) +-include $(CXX_DEPS) +endif +ifneq ($(strip $(S64_DEPS)),) +-include $(S64_DEPS) +endif +ifneq ($(strip $(INO_DEPS)),) +-include $(INO_DEPS) +endif +ifneq ($(strip $(CLA_DEPS)),) +-include $(CLA_DEPS) +endif +ifneq ($(strip $(S55_DEPS)),) +-include $(S55_DEPS) +endif +ifneq ($(strip $(SV7A_DEPS)),) +-include $(SV7A_DEPS) +endif +ifneq ($(strip $(C62_DEPS)),) +-include $(C62_DEPS) +endif +ifneq ($(strip $(C67_DEPS)),) +-include $(C67_DEPS) +endif +ifneq ($(strip $(PDE_DEPS)),) +-include $(PDE_DEPS) +endif +ifneq ($(strip $(K_DEPS)),) +-include $(K_DEPS) +endif +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +ifneq ($(strip $(CC_DEPS)),) +-include $(CC_DEPS) +endif +ifneq ($(strip $(C++_DEPS)),) +-include $(C++_DEPS) +endif +ifneq ($(strip $(C43_DEPS)),) +-include $(C43_DEPS) +endif +ifneq ($(strip $(S43_DEPS)),) +-include $(S43_DEPS) +endif +ifneq ($(strip $(ASM_DEPS)),) +-include $(ASM_DEPS) +endif +ifneq ($(strip $(S_UPPER_DEPS)),) +-include $(S_UPPER_DEPS) +endif +ifneq ($(strip $(CPP_DEPS)),) +-include $(CPP_DEPS) +endif +ifneq ($(strip $(SA_DEPS)),) +-include $(SA_DEPS) +endif +endif + +-include ../makefile.defs + +# Add inputs and outputs from these tool invocations to the build variables +EXE_OUTPUTS += \ +Lab7_P2.out \ + +EXE_OUTPUTS__QUOTED += \ +"Lab7_P2.out" \ + +BIN_OUTPUTS += \ +Lab7_P2.hex \ + +BIN_OUTPUTS__QUOTED += \ +"Lab7_P2.hex" \ + + +# All Target +all: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @$(MAKE) --no-print-directory -Onone "Lab7_P2.out" + +# Tool invocations +Lab7_P2.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS) + @echo 'Building target: "$@"' + @echo 'Invoking: MSP430 Linker' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 -z -m"Lab7_P2.map" --heap_size=80 --stack_size=80 --cinit_hold_wdt=on -i"C:/ti/ccs1040/ccs/ccs_base/msp430/include" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/lib" -i"C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --reread_libs --diag_wrap=off --display_error_number --warn_sections --xml_link_info="Lab7_P2_linkInfo.xml" --use_hw_mpy=16 --rom_model -o "Lab7_P2.out" $(ORDERED_OBJS) + @echo 'Finished building target: "$@"' + @echo ' ' + +Lab7_P2.hex: $(EXE_OUTPUTS) + @echo 'Building secondary target: "$@"' + @echo 'Invoking: MSP430 Hex Utility' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/hex430" --memwidth=8 --romwidth=8 --diag_wrap=off -o "Lab7_P2.hex" $(EXE_OUTPUTS__QUOTED) + @echo 'Finished building secondary target: "$@"' + @echo ' ' + +# Other Targets +clean: + -$(RM) $(BIN_OUTPUTS__QUOTED)$(EXE_OUTPUTS__QUOTED) + -$(RM) "main.obj" + -$(RM) "main.d" + -@echo 'Finished clean' + -@echo ' ' + +.PHONY: all clean dependents +.SECONDARY: + +-include ../makefile.targets + diff --git a/CPE325/Lab7_P2/Debug/objects.mk b/CPE325/Lab7_P2/Debug/objects.mk new file mode 100644 index 0000000..9ca4b12 --- /dev/null +++ b/CPE325/Lab7_P2/Debug/objects.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +USER_OBJS := + +LIBS := -llibc.a + diff --git a/CPE325/Lab7_P2/Debug/sources.mk b/CPE325/Lab7_P2/Debug/sources.mk new file mode 100644 index 0000000..fb4f5d4 --- /dev/null +++ b/CPE325/Lab7_P2/Debug/sources.mk @@ -0,0 +1,115 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +C55_SRCS := +A_SRCS := +ASM_UPPER_SRCS := +EXE_SRCS := +LDS_UPPER_SRCS := +CPP_SRCS := +CMD_SRCS := +O_SRCS := +ELF_SRCS := +C??_SRCS := +C64_SRCS := +C67_SRCS := +SA_SRCS := +S64_SRCS := +OPT_SRCS := +CXX_SRCS := +S67_SRCS := +S??_SRCS := +PDE_SRCS := +SV7A_SRCS := +K_SRCS := +CLA_SRCS := +S55_SRCS := +LD_UPPER_SRCS := +OUT_SRCS := +INO_SRCS := +LIB_SRCS := +ASM_SRCS := +S_UPPER_SRCS := +S43_SRCS := +LD_SRCS := +CMD_UPPER_SRCS := +C_UPPER_SRCS := +C++_SRCS := +C43_SRCS := +OBJ_SRCS := +LDS_SRCS := +S_SRCS := +CC_SRCS := +S62_SRCS := +C62_SRCS := +C_SRCS := +C55_DEPS := +C_UPPER_DEPS := +S67_DEPS := +S62_DEPS := +S_DEPS := +OPT_DEPS := +C??_DEPS := +ASM_UPPER_DEPS := +S??_DEPS := +C64_DEPS := +CXX_DEPS := +S64_DEPS := +INO_DEPS := +CLA_DEPS := +S55_DEPS := +SV7A_DEPS := +EXE_OUTPUTS := +C62_DEPS := +C67_DEPS := +PDE_DEPS := +K_DEPS := +C_DEPS := +CC_DEPS := +BIN_OUTPUTS := +C++_DEPS := +C43_DEPS := +S43_DEPS := +OBJS := +ASM_DEPS := +S_UPPER_DEPS := +CPP_DEPS := +SA_DEPS := +C++_DEPS__QUOTED := +OPT_DEPS__QUOTED := +S_UPPER_DEPS__QUOTED := +SA_DEPS__QUOTED := +C??_DEPS__QUOTED := +S67_DEPS__QUOTED := +C55_DEPS__QUOTED := +CC_DEPS__QUOTED := +ASM_UPPER_DEPS__QUOTED := +SV7A_DEPS__QUOTED := +S??_DEPS__QUOTED := +OBJS__QUOTED := +C67_DEPS__QUOTED := +K_DEPS__QUOTED := +S55_DEPS__QUOTED := +INO_DEPS__QUOTED := +C62_DEPS__QUOTED := +C_DEPS__QUOTED := +C_UPPER_DEPS__QUOTED := +C43_DEPS__QUOTED := +CPP_DEPS__QUOTED := +BIN_OUTPUTS__QUOTED := +C64_DEPS__QUOTED := +CXX_DEPS__QUOTED := +CLA_DEPS__QUOTED := +S_DEPS__QUOTED := +ASM_DEPS__QUOTED := +S43_DEPS__QUOTED := +EXE_OUTPUTS__QUOTED := +S64_DEPS__QUOTED := +S62_DEPS__QUOTED := +PDE_DEPS__QUOTED := + +# Every subdirectory with source files must be described here +SUBDIRS := \ +. \ + diff --git a/CPE325/Lab7_P2/Debug/subdir_rules.mk b/CPE325/Lab7_P2/Debug/subdir_rules.mk new file mode 100644 index 0000000..6ab1087 --- /dev/null +++ b/CPE325/Lab7_P2/Debug/subdir_rules.mk @@ -0,0 +1,15 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL = cmd.exe + +# Each subdirectory must supply rules for building sources it contributes +%.obj: ../%.c $(GEN_OPTS) | $(GEN_FILES) $(GEN_MISC_FILES) + @echo 'Building file: "$<"' + @echo 'Invoking: MSP430 Compiler' + "C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/bin/cl430" -vmspx --data_model=restricted --use_hw_mpy=16 --include_path="C:/ti/ccs1040/ccs/ccs_base/msp430/include" --include_path="C:/CPE325_Workspace/Lab7_P2" --include_path="C:/ti/ccs1040/ccs/tools/compiler/ti-cgt-msp430_20.2.5.LTS/include" --advice:power=all --define=__MSP430FG4618__ -g --printf_support=minimal --diag_warning=225 --diag_wrap=off --display_error_number --silicon_errata=CPU19 --preproc_with_compile --preproc_dependency="$(basename $( -o -m lnk.cmd */ +/* cl430 -z -o -m lnk.cmd */ +/* */ +/*----------------------------------------------------------------------------*/ +/* These linker options are for command line linking only. For IDE linking, */ +/* you should set your linker options in Project Properties */ +/* -c LINK USING C CONVENTIONS */ +/* -stack 0x0100 SOFTWARE STACK SIZE */ +/* -heap 0x0100 HEAP AREA SIZE */ +/* */ +/*----------------------------------------------------------------------------*/ +/* Version: 1.211 */ +/*----------------------------------------------------------------------------*/ + +/****************************************************************************/ +/* Specify the system memory map */ +/****************************************************************************/ + +MEMORY +{ + SFR : origin = 0x0000, length = 0x0010 + PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0 + PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100 + RAM : origin = 0x1100, length = 0x2000 + INFOA : origin = 0x1080, length = 0x0080 + INFOB : origin = 0x1000, length = 0x0080 + FLASH : origin = 0x3100, length = 0xCEBE + FLASH2 : origin = 0x10000,length = 0x10000 + BSLSIGNATURE : origin = 0xFFBE, length = 0x0002, fill = 0xFFFF + INT00 : origin = 0xFFC0, length = 0x0002 + INT01 : origin = 0xFFC2, length = 0x0002 + INT02 : origin = 0xFFC4, length = 0x0002 + INT03 : origin = 0xFFC6, length = 0x0002 + INT04 : origin = 0xFFC8, length = 0x0002 + INT05 : origin = 0xFFCA, length = 0x0002 + INT06 : origin = 0xFFCC, length = 0x0002 + INT07 : origin = 0xFFCE, length = 0x0002 + INT08 : origin = 0xFFD0, length = 0x0002 + INT09 : origin = 0xFFD2, length = 0x0002 + INT10 : origin = 0xFFD4, length = 0x0002 + INT11 : origin = 0xFFD6, length = 0x0002 + INT12 : origin = 0xFFD8, length = 0x0002 + INT13 : origin = 0xFFDA, length = 0x0002 + INT14 : origin = 0xFFDC, length = 0x0002 + INT15 : origin = 0xFFDE, length = 0x0002 + INT16 : origin = 0xFFE0, length = 0x0002 + INT17 : origin = 0xFFE2, length = 0x0002 + INT18 : origin = 0xFFE4, length = 0x0002 + INT19 : origin = 0xFFE6, length = 0x0002 + INT20 : origin = 0xFFE8, length = 0x0002 + INT21 : origin = 0xFFEA, length = 0x0002 + INT22 : origin = 0xFFEC, length = 0x0002 + INT23 : origin = 0xFFEE, length = 0x0002 + INT24 : origin = 0xFFF0, length = 0x0002 + INT25 : origin = 0xFFF2, length = 0x0002 + INT26 : origin = 0xFFF4, length = 0x0002 + INT27 : origin = 0xFFF6, length = 0x0002 + INT28 : origin = 0xFFF8, length = 0x0002 + INT29 : origin = 0xFFFA, length = 0x0002 + INT30 : origin = 0xFFFC, length = 0x0002 + RESET : origin = 0xFFFE, length = 0x0002 +} + +/****************************************************************************/ +/* Specify the sections allocation into memory */ +/****************************************************************************/ + +SECTIONS +{ + .bss : {} > RAM /* Global & static vars */ + .data : {} > RAM /* Global & static vars */ + .TI.noinit : {} > RAM /* For #pragma noinit */ + .sysmem : {} > RAM /* Dynamic memory allocation area */ + .stack : {} > RAM (HIGH) /* Software system stack */ + +#ifndef __LARGE_CODE_MODEL__ + .text : {} > FLASH /* Code */ +#else + .text : {} >> FLASH2 | FLASH /* Code */ +#endif + .text:_isr : {} > FLASH /* ISR Code space */ + .cinit : {} > FLASH /* Initialization tables */ +#ifndef __LARGE_DATA_MODEL__ + .const : {} > FLASH /* Constant data */ +#else + .const : {} >> FLASH | FLASH2 /* Constant data */ +#endif + .bslsignature : {} > BSLSIGNATURE /* BSL Signature */ + .cio : {} > RAM /* C I/O Buffer */ + + .pinit : {} > FLASH /* C++ Constructor tables */ + .binit : {} > FLASH /* Boot-time Initialization tables */ + .init_array : {} > FLASH /* C++ Constructor tables */ + .mspabi.exidx : {} > FLASH /* C++ Constructor tables */ + .mspabi.extab : {} > FLASH /* C++ Constructor tables */ +#ifdef __TI_COMPILER_VERSION__ + #if __TI_COMPILER_VERSION__ >= 15009000 + #ifndef __LARGE_CODE_MODEL__ + .TI.ramfunc : {} load=FLASH, run=RAM, table(BINIT) + #else + .TI.ramfunc : {} load=FLASH | FLASH2, run=RAM, table(BINIT) + #endif + #endif +#endif + + .infoA : {} > INFOA /* MSP430 INFO FLASH Memory segments */ + .infoB : {} > INFOB + + /* MSP430 Interrupt vectors */ + .int00 : {} > INT00 + .int01 : {} > INT01 + .int02 : {} > INT02 + .int03 : {} > INT03 + .int04 : {} > INT04 + .int05 : {} > INT05 + .int06 : {} > INT06 + .int07 : {} > INT07 + .int08 : {} > INT08 + .int09 : {} > INT09 + .int10 : {} > INT10 + .int11 : {} > INT11 + .int12 : {} > INT12 + .int13 : {} > INT13 + DAC12 : { * ( .int14 ) } > INT14 type = VECT_INIT + DMA : { * ( .int15 ) } > INT15 type = VECT_INIT + BASICTIMER : { * ( .int16 ) } > INT16 type = VECT_INIT + PORT2 : { * ( .int17 ) } > INT17 type = VECT_INIT + USART1TX : { * ( .int18 ) } > INT18 type = VECT_INIT + USART1RX : { * ( .int19 ) } > INT19 type = VECT_INIT + PORT1 : { * ( .int20 ) } > INT20 type = VECT_INIT + TIMERA1 : { * ( .int21 ) } > INT21 type = VECT_INIT + TIMERA0 : { * ( .int22 ) } > INT22 type = VECT_INIT + ADC12 : { * ( .int23 ) } > INT23 type = VECT_INIT + USCIAB0TX : { * ( .int24 ) } > INT24 type = VECT_INIT + USCIAB0RX : { * ( .int25 ) } > INT25 type = VECT_INIT + WDT : { * ( .int26 ) } > INT26 type = VECT_INIT + COMPARATORA : { * ( .int27 ) } > INT27 type = VECT_INIT + TIMERB1 : { * ( .int28 ) } > INT28 type = VECT_INIT + TIMERB0 : { * ( .int29 ) } > INT29 type = VECT_INIT + NMI : { * ( .int30 ) } > INT30 type = VECT_INIT + .reset : {} > RESET /* MSP430 Reset vector */ +} + +/****************************************************************************/ +/* Include peripherals memory map */ +/****************************************************************************/ + +-l msp430fg4618.cmd + diff --git a/CPE325/Lab7_P2/main.c b/CPE325/Lab7_P2/main.c new file mode 100644 index 0000000..8a37c29 --- /dev/null +++ b/CPE325/Lab7_P2/main.c @@ -0,0 +1,58 @@ +#include + + +/** + * main.c + */ + +int freq[4] = {0, 128, 256, 512}; // off, 1KHz, 2 KHz, 4 Khz +int main(void) +{ + WDTCTL = WDT_ADLY_1000; // 1 s interval + _EINT(); // Enable interrupts + IE1 |= WDTIE; // Enable WDT interrupt + P2SEL |= BIT2; // P2.2 special function (TB0 output) + P2SEL &= ~BIT1; // Clear P2.1 + P2OUT &= ~(BIT1+BIT2); // Turn Off LED1 + TB0CTL = TBSSEL_1 + MC_1; // Upmode + P3SEL |= 0x20; // P3.5 as special function + P3DIR |= 0x20; // P3.5 as digital output + TB0CCTL4 = OUTMOD_4; // TB4 output is in toggle mode + TB0CCTL0 = freq[0]; + _BIS_SR(LPM3_bits + GIE); // Enter Low Power Mode 3 +} + + +// Watchdog Timer interrupt service routine +#pragma vector=WDT_VECTOR +__interrupt void watchdog_timer(void) +{ + static int i =0; + i++; + + if(i == 1){ + TB0CCTL0= freq[0]; // f = 4 MHz + P2OUT &=~ BIT1; // Turn on LED2 + P2OUT &= ~BIT2; // Turn Off LED1 + } + else if(i == 2){ + TB0CCTL0= freq[2]; // f = 2 MHz + P2OUT &= BIT1; // Turn on LED2 + P2OUT |= BIT2; // Turn Off LED1 + } + else if(i == 3){ + TB0CCTL0= freq[3]; // f = 4 MHz + P2OUT |= BIT1; // Turn on LED2 + P2OUT |= BIT2; // Turn Off LED1 + } + else if(i == 4){ + TB0CCTL0= freq[1]; // f = 4 MHz + P2OUT &=~ BIT1; // Turn on LED2 + P2OUT &= ~BIT2; // Turn Off LED1 + } + else if(i == 5){ + TB0CCTL0= freq[3]; // f = 4 MHz + P2OUT &=~ BIT1; // Turn on LED2 + P2OUT |= BIT2; // Turn Off LED1 + } +} diff --git a/CPE325/Lab7_P2/targetConfigs/MSP430FG4618.ccxml b/CPE325/Lab7_P2/targetConfigs/MSP430FG4618.ccxml new file mode 100644 index 0000000..ac89209 --- /dev/null +++ b/CPE325/Lab7_P2/targetConfigs/MSP430FG4618.ccxml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/CPE325/Lab7_P2/targetConfigs/readme.txt b/CPE325/Lab7_P2/targetConfigs/readme.txt new file mode 100644 index 0000000..af97b62 --- /dev/null +++ b/CPE325/Lab7_P2/targetConfigs/readme.txt @@ -0,0 +1,9 @@ +The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based +on the device and connection settings specified in your project on the Properties > General page. + +Please note that in automatic target-configuration management, changes to the project's device and/or +connection settings will either modify an existing or generate a new target-configuration file. Thus, +if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively, +you may create your own target-configuration file for this project and manage it manually. You can +always switch back to automatic target-configuration management by checking the "Manage the project's +target-configuration automatically" checkbox on the project's Properties > General page. \ No newline at end of file diff --git a/CPE348/.vscode/launch.json b/CPE348/.vscode/launch.json new file mode 100644 index 0000000..c13fbd7 --- /dev/null +++ b/CPE348/.vscode/launch.json @@ -0,0 +1,30 @@ + // Use IntelliSense to learn about possible attributes. + // Hover to view descriptions of existing attributes. + // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 + { + "version": "0.2.0", + "configurations": [ + { + "name": "gcc - Build and debug active file", + "type": "cppdbg", + "request": "launch", + "program": "${fileDirname}/${fileBasenameNoExtension}", + "args": [], + "stopAtEntry": false, + "cwd": "${fileDirname}", + "environment": [], + "externalConsole": false, + "MIMode": "gdb", + "setupCommands": [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + } + ], + "preLaunchTask": "C/C++: g++ build active file", + "miDebuggerPath": "/usr/bin/gdb" + }, + ] + } + \ No newline at end of file diff --git a/CPE348/.vscode/settings.json b/CPE348/.vscode/settings.json new file mode 100644 index 0000000..ede20b4 --- /dev/null +++ b/CPE348/.vscode/settings.json @@ -0,0 +1,36 @@ +{ + "files.associations": { + "fstream": "cpp", + "cmath": "cpp", + "array": "cpp", + "*.tcc": "cpp", + "cctype": "cpp", + "clocale": "cpp", + "cstdarg": "cpp", + "cstdint": "cpp", + "cstdio": "cpp", + "cstdlib": "cpp", + "cwchar": "cpp", + "cwctype": "cpp", + "unordered_map": "cpp", + "vector": "cpp", + "exception": "cpp", + "functional": "cpp", + "initializer_list": "cpp", + "iosfwd": "cpp", + "iostream": "cpp", + "istream": "cpp", + "limits": "cpp", + "new": "cpp", + "ostream": "cpp", + "numeric": "cpp", + "sstream": "cpp", + "stdexcept": "cpp", + "streambuf": "cpp", + "tuple": "cpp", + "type_traits": "cpp", + "utility": "cpp", + "typeinfo": "cpp", + "iomanip": "cpp" + } +} \ No newline at end of file diff --git a/CPE348/.vscode/tasks.json b/CPE348/.vscode/tasks.json new file mode 100644 index 0000000..a471831 --- /dev/null +++ b/CPE348/.vscode/tasks.json @@ -0,0 +1,29 @@ +{ + "tasks": [ + { + "type": "cppbuild", + "label": "C/C++: g++ build active file", + "command": "/usr/bin/g++", + "args": [ + "-fdiagnostics-color=always", + "-g", + "-std=c++11", + "${file}", + "-o", + "${fileDirname}/${fileBasenameNoExtension}" + ], + "options": { + "cwd": "${fileDirname}" + }, + "problemMatcher": [ + "$gcc" + ], + "group": { + "kind": "build", + "isDefault": true + }, + "detail": "Task generated by Debugger." + } + ], + "version": "2.0.0" +} \ No newline at end of file diff --git a/CPE348/ExponentialBackoff.jpg b/CPE348/ExponentialBackoff.jpg new file mode 100644 index 0000000..c492786 Binary files /dev/null and b/CPE348/ExponentialBackoff.jpg differ diff --git a/CPE348/HW4/HW4.code-workspace b/CPE348/HW4/HW4.code-workspace new file mode 100644 index 0000000..70bc36b --- /dev/null +++ b/CPE348/HW4/HW4.code-workspace @@ -0,0 +1,43 @@ +{ + "folders": [ + { + "path": ".." + } + ], + "settings": { + "files.associations": { + "fstream": "cpp", + "cmath": "cpp", + "array": "cpp", + "*.tcc": "cpp", + "cctype": "cpp", + "clocale": "cpp", + "cstdarg": "cpp", + "cstdint": "cpp", + "cstdio": "cpp", + "cstdlib": "cpp", + "cwchar": "cpp", + "cwctype": "cpp", + "unordered_map": "cpp", + "vector": "cpp", + "exception": "cpp", + "functional": "cpp", + "initializer_list": "cpp", + "iosfwd": "cpp", + "iostream": "cpp", + "istream": "cpp", + "limits": "cpp", + "new": "cpp", + "ostream": "cpp", + "numeric": "cpp", + "sstream": "cpp", + "stdexcept": "cpp", + "streambuf": "cpp", + "tuple": "cpp", + "type_traits": "cpp", + "utility": "cpp", + "typeinfo": "cpp", + "iomanip": "cpp" + } + } +} \ No newline at end of file diff --git a/CPE348/HW4/P3A.txt b/CPE348/HW4/P3A.txt new file mode 100644 index 0000000..5f8dc25 --- /dev/null +++ b/CPE348/HW4/P3A.txt @@ -0,0 +1,21 @@ +Iteration # SampleRTT EstimatedRTT Deviation Difference Timeout +0 - 4.0000 0.5000 - - +1 1.0000 3.6250 0.8125 -3.0000 6.8750 +2 1.0000 3.2969 1.0391 -2.6250 7.4531 +3 1.0000 3.0098 1.1963 -2.2969 7.7949 +4 1.0000 2.7585 1.2980 -2.0098 7.9504 +5 1.0000 2.5387 1.3555 -1.7585 7.9609 +6 1.0000 2.3464 1.3784 -1.5387 7.8602 +7 1.0000 2.1781 1.3744 -1.3464 7.6758 +8 1.0000 2.0308 1.3499 -1.1781 7.4304 +9 1.0000 1.9020 1.3100 -1.0308 7.1420 +10 1.0000 1.7892 1.2590 -0.9020 6.8252 +11 1.0000 1.6906 1.2003 -0.7892 6.4917 +12 1.0000 1.6043 1.1366 -0.6906 6.1505 +13 1.0000 1.5287 1.0700 -0.6043 5.8088 +14 1.0000 1.4626 1.0024 -0.5287 5.4721 +15 1.0000 1.4048 0.9349 -0.4626 5.1444 +16 1.0000 1.3542 0.8686 -0.4048 4.8287 +17 1.0000 1.3099 0.8043 -0.3542 4.5273 +18 1.0000 1.2712 0.7425 -0.3099 4.2413 +19 1.0000 1.2373 0.6836 -0.2712 3.9717 diff --git a/CPE348/HW4/P3B.txt b/CPE348/HW4/P3B.txt new file mode 100644 index 0000000..e212337 --- /dev/null +++ b/CPE348/HW4/P3B.txt @@ -0,0 +1,22 @@ +Iteration # SampleRTT EstimatedRTT Deviation Difference Timeout +0 - 4.0000 1.5000 - - +1 1.0000 3.6250 1.6875 -3.0000 10.3750 +2 1.0000 3.2969 1.8047 -2.6250 10.5156 +3 1.0000 3.0098 1.8662 -2.2969 10.4746 +4 1.0000 2.7585 1.8842 -2.0098 10.2952 +5 1.0000 2.5387 1.8685 -1.7585 10.0125 +6 1.0000 2.3464 1.8272 -1.5387 9.6553 +7 1.0000 2.1781 1.7671 -1.3464 9.2466 +8 1.0000 2.0308 1.6935 -1.1781 8.8048 +9 1.0000 1.9020 1.6107 -1.0308 8.3446 +10 1.0000 1.7892 1.5221 -0.9020 7.8775 +11 1.0000 1.6906 1.4305 -0.7892 7.4125 +12 1.0000 1.6043 1.3380 -0.6906 6.9562 +13 1.0000 1.5287 1.2463 -0.6043 6.5138 +14 1.0000 1.4626 1.1566 -0.5287 6.0889 +15 1.0000 1.4048 1.0698 -0.4626 5.6841 +16 1.0000 1.3542 0.9867 -0.4048 5.3010 +17 1.0000 1.3099 0.9076 -0.3542 4.9405 +18 1.0000 1.2712 0.8329 -0.3099 4.6029 +19 1.0000 1.2373 0.7627 -0.2712 4.2881 +20 1.0000 1.2076 0.6970 -0.2373 3.9958 diff --git a/CPE348/HW4/P3C.txt b/CPE348/HW4/P3C.txt new file mode 100644 index 0000000..1ee1dc4 --- /dev/null +++ b/CPE348/HW4/P3C.txt @@ -0,0 +1,12 @@ +Iteration # SampleRTT EstimatedRTT Deviation Difference Timeout +0 - 4.0000 0.5000 - - +1 1.0000 3.2500 1.1250 -3.0000 7.7500 +2 1.0000 2.6875 1.4062 -2.2500 8.3125 +3 1.0000 2.2656 1.4766 -1.6875 8.1719 +4 1.0000 1.9492 1.4238 -1.2656 7.6445 +5 1.0000 1.7119 1.3052 -0.9492 6.9326 +6 1.0000 1.5339 1.1569 -0.7119 6.1614 +7 1.0000 1.4005 1.0011 -0.5339 5.4050 +8 1.0000 1.3003 0.8510 -0.4005 4.7042 +9 1.0000 1.2253 0.7133 -0.3003 4.0785 +10 1.0000 1.1689 0.5913 -0.2253 3.5341 diff --git a/CPE348/HW4/P4A.txt b/CPE348/HW4/P4A.txt new file mode 100644 index 0000000..9781598 --- /dev/null +++ b/CPE348/HW4/P4A.txt @@ -0,0 +1,31 @@ +Iteration # SampleRTT EstimatedRTT TimeOut +1 1.0000 1.2500 2.5000 +2 1.0000 1.1250 2.2500 +3 1.0000 1.0625 2.1250 +4 1.0000 1.0312 2.0625 +5 1.0000 1.0156 2.0312 +6 5.0000 3.0078 6.0156 +7 1.0000 2.0039 4.0078 +8 1.0000 1.5020 3.0039 +9 1.0000 1.2510 2.5020 +10 1.0000 1.1255 2.2510 +11 1.0000 1.0627 2.1255 +12 5.0000 3.0314 6.0627 +13 1.0000 2.0157 4.0314 +14 1.0000 1.5078 3.0157 +15 1.0000 1.2539 2.5078 +16 1.0000 1.1270 2.2539 +17 1.0000 1.0635 2.1270 +18 5.0000 3.0317 6.0635 +19 1.0000 2.0159 4.0317 +20 1.0000 1.5079 3.0159 +21 1.0000 1.2540 2.5079 +22 1.0000 1.1270 2.2540 +23 1.0000 1.0635 2.1270 +24 5.0000 3.0317 6.0635 +25 1.0000 2.0159 4.0317 +26 1.0000 1.5079 3.0159 +27 1.0000 1.2540 2.5079 +28 1.0000 1.1270 2.2540 +29 1.0000 1.0635 2.1270 +30 5.0000 3.0317 6.0635 diff --git a/CPE348/HW4/P4B.txt b/CPE348/HW4/P4B.txt new file mode 100644 index 0000000..9de4d7e --- /dev/null +++ b/CPE348/HW4/P4B.txt @@ -0,0 +1,31 @@ +Iteration # SampleRTT EstimatedRTT TimeOut +1 1.0000 1.4000 2.8000 +2 1.0000 1.3200 2.6400 +3 1.0000 1.2560 2.5120 +4 1.0000 1.2048 2.4096 +5 1.0000 1.1638 2.3277 +6 5.0000 1.9311 3.8621 +7 1.0000 1.7449 3.4897 +8 1.0000 1.5959 3.1918 +9 1.0000 1.4767 2.9534 +10 1.0000 1.3814 2.7627 +11 1.0000 1.3051 2.6102 +12 5.0000 2.0441 4.0882 +13 1.0000 1.8353 3.6705 +14 1.0000 1.6682 3.3364 +15 1.0000 1.5346 3.0691 +16 1.0000 1.4277 2.8553 +17 1.0000 1.3421 2.6842 +18 5.0000 2.0737 4.1474 +19 1.0000 1.8590 3.7179 +20 1.0000 1.6872 3.3743 +21 1.0000 1.5497 3.0995 +22 1.0000 1.4398 2.8796 +23 1.0000 1.3518 2.7037 +24 5.0000 2.0815 4.1629 +25 1.0000 1.8652 3.7303 +26 1.0000 1.6921 3.3843 +27 1.0000 1.5537 3.1074 +28 1.0000 1.4430 2.8859 +29 1.0000 1.3544 2.7087 +30 5.0000 2.0835 4.1670 diff --git a/CPE348/HW4/P4C.txt b/CPE348/HW4/P4C.txt new file mode 100644 index 0000000..88ba029 --- /dev/null +++ b/CPE348/HW4/P4C.txt @@ -0,0 +1,31 @@ +Iteration # SampleRTT EstimatedRTT TimeOut +1 1.0000 1.4500 2.9000 +2 1.0000 1.4050 2.8100 +3 1.0000 1.3645 2.7290 +4 1.0000 1.3280 2.6561 +5 1.0000 1.2952 2.5905 +6 5.0000 1.6657 3.3314 +7 1.0000 1.5991 3.1983 +8 1.0000 1.5392 3.0785 +9 1.0000 1.4853 2.9706 +10 1.0000 1.4368 2.8736 +11 1.0000 1.3931 2.7862 +12 5.0000 1.7538 3.5076 +13 1.0000 1.6784 3.3568 +14 1.0000 1.6106 3.2211 +15 1.0000 1.5495 3.0990 +16 1.0000 1.4946 2.9891 +17 1.0000 1.4451 2.8902 +18 5.0000 1.8006 3.6012 +19 1.0000 1.7205 3.4411 +20 1.0000 1.6485 3.2970 +21 1.0000 1.5836 3.1673 +22 1.0000 1.5253 3.0505 +23 1.0000 1.4727 2.9455 +24 5.0000 1.8255 3.6509 +25 1.0000 1.7429 3.4858 +26 1.0000 1.6686 3.3373 +27 1.0000 1.6018 3.2035 +28 1.0000 1.5416 3.0832 +29 1.0000 1.4874 2.9749 +30 5.0000 1.8387 3.6774 diff --git a/CPE348/HW4/p3 b/CPE348/HW4/p3 new file mode 100755 index 0000000..59bcff5 Binary files /dev/null and b/CPE348/HW4/p3 differ diff --git a/CPE348/HW4/p3-back b/CPE348/HW4/p3-back new file mode 100755 index 0000000..6b0a22a Binary files /dev/null and b/CPE348/HW4/p3-back differ diff --git a/CPE348/HW4/p3-back.cpp b/CPE348/HW4/p3-back.cpp new file mode 100644 index 0000000..c10976e --- /dev/null +++ b/CPE348/HW4/p3-back.cpp @@ -0,0 +1,64 @@ +#include +#include +#include +#include + +#define MAX_ARRAY_SIZE 1000 + +using namespace std; + +void calculations(float deltaVal, float deviationVal, string outputFileName); + +int main(int argc, char const *argv[]) +{ + // calculate part a + calculations(0.125, 0.500, "P3A.txt"); + calculations(0.125, 1.500, "P3B.txt"); + calculations(0.25, 0.500, "P3C.txt"); + +} + +void calculations(float deltaVal, float deviationVal, string outputFileName) +{ + ofstream outputFile; + outputFile.open(outputFileName.c_str()); + // difference = sampleRTT - estimatedRTT + // estimatedRTT = estimatedRTT + (delta * difference) + // deviation = deviation + delta(|difference| - deviation) + // timeOut = mu * estimatedRTT + (phi * deviation) + + float delta = 0.0, mu = 0.0, phi = 0.0; // + float difference[MAX_ARRAY_SIZE], estimatedRTT[MAX_ARRAY_SIZE], sampleRTT; + float deviation[MAX_ARRAY_SIZE], timeOut = 0.0, tmpDeviation = 0.0, tmpestimateRTT = 0.0; + // Values for Jacobson/Karels Algorithim + delta = deltaVal; + mu = 1.0; + phi = 4.0; + + // do-while loop + int i = 0; + outputFile << "Iteration #\t\tSampleRTT\t\tEstimatedRTT\t\t Deviation\t\tDifference\t\tTimeout\n"; + do + { + // initial values + if (i == 0) + { + outputFile << fixed << setprecision(4); + estimatedRTT[0] = 4.000; + deviation[0] = deviationVal; + outputFile << i << "\t\t\t\t - \t\t\t\t\t" << estimatedRTT[0] << "\t\t\t\t" << deviation[0] << "\t\t\t - \t\t\t - \n"; + i++; + } + sampleRTT = 1.0000; + difference[i] = sampleRTT - estimatedRTT[i-1]; + // calculate estimatedRTT again + estimatedRTT[i] = estimatedRTT[i-1] + (delta * difference[i]); + deviation[i] = deviation[i-1] + delta*(fabs(difference[i]) - deviation[i-1]); + timeOut = mu * estimatedRTT[i] + (phi * deviation[i]); + // "Iteration #\t\tSampleRTT\t\tEstimatedRTT\t\tDeviation\t\tTimeout\n" + outputFile << i << "\t\t\t\t" << setw(5) << setfill(' ') << sampleRTT << setw(10) << setfill(' ') << "\t\t" << estimatedRTT[i] << setw(8) << setfill(' ') << + "\t\t" << deviation[i] << setw(3) << setfill(' ') << "\t\t" << difference[i] << setw(8) << setfill(' ') << "\t" << timeOut << endl; + i++; + } while (timeOut > 4.0); + outputFile.close(); +} \ No newline at end of file diff --git a/CPE348/HW4/p3.cpp b/CPE348/HW4/p3.cpp new file mode 100644 index 0000000..729c41f --- /dev/null +++ b/CPE348/HW4/p3.cpp @@ -0,0 +1,63 @@ +#include +#include +#include +#include + +#define MAX_ARRAY_SIZE 1000 + +using namespace std; + +void calculations(float deltaVal, float deviationVal, string outputFileName); + +int main(int argc, char const *argv[]) +{ + // calculate part a + calculations(0.125, 0.500, "P3A.txt"); + calculations(0.125, 1.500, "P3B.txt"); + calculations(0.25, 0.500, "P3C.txt"); + +} + +void calculations(float deltaVal, float deviationVal, string outputFileName) +{ + ofstream outputFile; + outputFile.open(outputFileName.c_str()); + // difference = sampleRTT - estimatedRTT + // estimatedRTT = estimatedRTT + (delta * difference) + // deviation = deviation + delta(|difference| - deviation) + // timeOut = mu * estimatedRTT + (phi * deviation) + + float delta = 0.0, mu = 0.0, phi = 0.0; // + float difference = 0.0, estimatedRTT = 0.0, sampleRTT = 0.0; + float deviation = 0.0, timeOut = 0.0, tmpDeviation = 0.0, tmpestimateRTT = 0.0; + // Values for Jacobson/Karels Algorithim + delta = deltaVal; + mu = 1.0; + phi = 4.0; + + // do-while loop + int i = 0; + outputFile << "Iteration #\t\tSampleRTT\t\tEstimatedRTT\t\t Deviation\t\tDifference\t\tTimeout\n"; + do + { + // initial values + if (i == 0) + { + outputFile << fixed << setprecision(4); + estimatedRTT = 4.000; + deviation = deviationVal; + outputFile << i << "\t\t\t\t - \t\t\t\t\t" << estimatedRTT << "\t\t\t\t" << deviation << "\t\t\t - \t\t\t - \n"; + i++; + } + sampleRTT = 1.0000; + difference = sampleRTT - estimatedRTT; + // calculate estimatedRTT again + estimatedRTT = estimatedRTT + (delta * difference); + deviation = deviation + delta*(fabs(difference) - deviation); + timeOut = mu * estimatedRTT + (phi * deviation); + outputFile << i << "\t\t\t\t" << setw(5) << setfill(' ') << sampleRTT << setw(10) << setfill(' ') << "\t\t" << estimatedRTT << setw(8) << setfill(' ') << + "\t\t" << deviation << setw(3) << setfill(' ') << "\t\t" << difference << setw(8) << setfill(' ') << "\t" << timeOut << endl; + i++; + } while (timeOut > 4.0); + outputFile.close(); +} \ No newline at end of file diff --git a/CPE348/HW4/p4 b/CPE348/HW4/p4 new file mode 100755 index 0000000..ab197d2 Binary files /dev/null and b/CPE348/HW4/p4 differ diff --git a/CPE348/HW4/p4.cpp b/CPE348/HW4/p4.cpp new file mode 100644 index 0000000..25796c1 --- /dev/null +++ b/CPE348/HW4/p4.cpp @@ -0,0 +1,42 @@ +#include +#include +#include +#include + +using namespace std; + +void calculations(float alphaVal, string outputFileName); + +int main(int argc, char const *argv[]) +{ + // calculate part a + calculations(0.5, "P4A.txt"); + calculations(0.8, "P4B.txt"); + calculations(0.9, "P4C.txt"); + +} + +void calculations(float alphaVal, string outputFileName) +{ + ofstream outputFile; + outputFile.open(outputFileName.c_str()); + float alpha = alphaVal; + outputFile << fixed << setprecision(4); + float estimatedRTT = 1.50, sampleRTT = 0.0; + float timeOut = 0.0; + outputFile << "Iteration #\t\tSampleRTT\t\tEstimatedRTT\t\tTimeOut\n"; + for (int i = 1; i < 31; i++) + { + if((i % 6)==0) + { + sampleRTT = 5.0; + } + else sampleRTT = 1.0; + estimatedRTT = alpha * estimatedRTT + (1 - alpha) * sampleRTT; + timeOut = 2 * estimatedRTT; + outputFile << i << "\t\t\t\t" << setw(5) << setfill(' ') << sampleRTT << setw(10) << setfill(' ') + << "\t\t" << estimatedRTT << setw(16) << setfill(' ') << timeOut << endl; + } + + outputFile.close(); +} \ No newline at end of file diff --git a/CPE348/HW4/test.cpp b/CPE348/HW4/test.cpp new file mode 100644 index 0000000..15ad953 --- /dev/null +++ b/CPE348/HW4/test.cpp @@ -0,0 +1,8 @@ +const char* byteToBitString (value) { + char output[9]; + output[8] = 0; + for (int i = 0; i < 8; ++i) { + output[7 - i] = (value & (1 << i)) ? '1' : '0'; + } + return output; +} \ No newline at end of file diff --git a/CPE348/IP Checksum.pdf b/CPE348/IP Checksum.pdf new file mode 100644 index 0000000..e2a64de Binary files /dev/null and b/CPE348/IP Checksum.pdf differ diff --git a/CPE348/IP Checksum.xlsm b/CPE348/IP Checksum.xlsm new file mode 100644 index 0000000..9c84a81 Binary files /dev/null and b/CPE348/IP Checksum.xlsm differ diff --git a/CPE348/IP Checksum.xlsx b/CPE348/IP Checksum.xlsx new file mode 100644 index 0000000..eea3649 Binary files /dev/null and b/CPE348/IP Checksum.xlsx differ diff --git a/CPE348/Project2/.vscode/launch.json b/CPE348/Project2/.vscode/launch.json new file mode 100644 index 0000000..1bb985a --- /dev/null +++ b/CPE348/Project2/.vscode/launch.json @@ -0,0 +1,34 @@ +{ + // Use IntelliSense to learn about possible attributes. + // Hover to view descriptions of existing attributes. + // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 + "version": "0.2.0", + "configurations": [ + { + "name": "g++ - Build and debug active file", + "type": "cppdbg", + "request": "launch", + "program": "${fileDirname}/${fileBasenameNoExtension}", + "args": [], + "stopAtEntry": false, + "cwd": "${fileDirname}", + "environment": [], + "externalConsole": false, + "MIMode": "gdb", + "setupCommands": [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + }, + { + "description": "Set Disassembly Flavor to Intel", + "text": "-gdb-set disassembly-flavor intel", + "ignoreFailures": true + } + ], + "preLaunchTask": "C/C++: g++ build active file", + "miDebuggerPath": "/usr/bin/gdb" + } + ] +} \ No newline at end of file diff --git a/CPE348/Project2/.vscode/tasks.json b/CPE348/Project2/.vscode/tasks.json new file mode 100644 index 0000000..a471831 --- /dev/null +++ b/CPE348/Project2/.vscode/tasks.json @@ -0,0 +1,29 @@ +{ + "tasks": [ + { + "type": "cppbuild", + "label": "C/C++: g++ build active file", + "command": "/usr/bin/g++", + "args": [ + "-fdiagnostics-color=always", + "-g", + "-std=c++11", + "${file}", + "-o", + "${fileDirname}/${fileBasenameNoExtension}" + ], + "options": { + "cwd": "${fileDirname}" + }, + "problemMatcher": [ + "$gcc" + ], + "group": { + "kind": "build", + "isDefault": true + }, + "detail": "Task generated by Debugger." + } + ], + "version": "2.0.0" +} \ No newline at end of file diff --git a/CPE348/Project2/ExponentialBackoff.jpg b/CPE348/Project2/ExponentialBackoff.jpg new file mode 100644 index 0000000..3211b2b Binary files /dev/null and b/CPE348/Project2/ExponentialBackoff.jpg differ diff --git a/CPE348/Project2/Project2_part1_rn.txt b/CPE348/Project2/Project2_part1_rn.txt new file mode 100644 index 0000000..bca638b --- /dev/null +++ b/CPE348/Project2/Project2_part1_rn.txt @@ -0,0 +1,200 @@ +16482 +28905 +26183 +22666 +9664 +26342 +1587 +12704 +4094 +23596 +9459 +3914 +29436 +12112 +14330 +1337 +3107 +31257 +15633 +29246 +25721 +26178 +20807 +20408 +19472 +11827 +25427 +6293 +19782 +25126 +3492 +3496 +21263 +29675 +26163 +30927 +23250 +27750 +10863 +27344 +18578 +20322 +31258 +15246 +32434 +12820 +16583 +2773 +11310 +32216 +32020 +4263 +25626 +20059 +24672 +12331 +31886 +17331 +18624 +18900 +9689 +22116 +22397 +30952 +19024 +15792 +29111 +9506 +10774 +7206 +4082 +29352 +27528 +2573 +11831 +27195 +15393 +28414 +29968 +26703 +27863 +29220 +30967 +20721 +16511 +22871 +284 +15630 +7434 +18909 +1762 +17123 +8257 +24159 +15307 +27281 +7183 +11650 +4019 +17957 +18856 +8102 +14542 +13616 +10675 +26373 +8043 +26068 +22019 +5244 +20004 +17114 +1696 +18203 +5068 +18208 +8306 +5352 +1070 +15740 +24261 +2832 +95 +32519 +26992 +15402 +27032 +1407 +27052 +31052 +19365 +13140 +6386 +1139 +26756 +17061 +27512 +2032 +10361 +16763 +7276 +30365 +1110 +8972 +15800 +6178 +27180 +24106 +11530 +28250 +7078 +3024 +31083 +7173 +2775 +25307 +22575 +29807 +26714 +16859 +28091 +13311 +29999 +1709 +14450 +23988 +18770 +9194 +26020 +29132 +25958 +528 +26729 +27068 +9500 +9762 +478 +3913 +1100 +12008 +32163 +8179 +15032 +30478 +15352 +17807 +23017 +5160 +14847 +16964 +22019 +10170 +30275 +19251 +11880 +11958 +10471 +30650 +21152 +3723 diff --git a/CPE348/Project2/Project_2.doc b/CPE348/Project2/Project_2.doc new file mode 100644 index 0000000..34e7e92 Binary files /dev/null and b/CPE348/Project2/Project_2.doc differ diff --git a/CPE348/Project2/Project_2.pdf b/CPE348/Project2/Project_2.pdf new file mode 100644 index 0000000..083d44f Binary files /dev/null and b/CPE348/Project2/Project_2.pdf differ diff --git a/CPE348/Project2/output.txt b/CPE348/Project2/output.txt new file mode 100644 index 0000000..c496cf7 Binary files /dev/null and b/CPE348/Project2/output.txt differ diff --git a/CPE348/Project2/project2Pt1a b/CPE348/Project2/project2Pt1a new file mode 100755 index 0000000..f385d2f Binary files /dev/null and b/CPE348/Project2/project2Pt1a differ diff --git a/CPE348/Project2/project2Pt1a.cpp b/CPE348/Project2/project2Pt1a.cpp new file mode 100644 index 0000000..8b85496 --- /dev/null +++ b/CPE348/Project2/project2Pt1a.cpp @@ -0,0 +1,405 @@ +#include +#include +#include +#include +#include +#include + + +#define MAX_COLLISIONS 10 +#define MAX_STATIONS 6 + +using namespace std; +struct timeSlot { + int timeOfTransmission; // time slot + int totalCollisions; + // stations A; + bool collided, transmitted; +}; + +struct stations { + int arrivalTimeSlot; // time slot + int collisions; + int backoff; + timeSlot A; +}; + +// function that generates the +// range of backoff slots +//int rangeOfBackoff(int k); + +std::random_device rand_dev; + std::mt19937 generator(rand_dev()); + //std::uniform_int_distribution distr(0, k); +int rangeOfBackoff(); +int array[200]; +int arrayIndex; +int main() +{ + bool didNotCollide, end; + int collisionArray[MAX_COLLISIONS]; + int timeArray[MAX_COLLISIONS]; + + int k; + // file with numbers + string file = "Project2_part1_rn.txt"; + ifstream inputFile; + inputFile.open(file.c_str()); + bool transmitted; + + int timeIndex = 0; + int modOperand; + struct stations st[6]; + int timeSlot=0, numFromFile; + const int timeInterval = 512; // time interval in micro seconds + + // strings for precise code + string check="Checking time slot: "; + string collisionsStr = " collisions: "; + string backing = ": backing off to slot "; + string dashes = "--------------------------------------------------\n"; + string stationStr = "\tStation "; + // define variables + int n, totalCollisions,i; + struct timeSlot t[MAX_COLLISIONS]; + + + //int modOperand = pow(2,st[i].collisions); + + // k * timeInterval + // begining at t = 0, all stations collide + + while (timeSlot < 10) + { + if (timeSlot==0) + { + cout << dashes << check << timeSlot << endl; + for (int i = 0; i < MAX_STATIONS; i++) + { + t[i].totalCollisions++; + t[i].timeOfTransmission = i; + st[i].arrivalTimeSlot=0; // Arrival Time slot + //k= pow(2,st[i].collisions); + st[i].collisions = 1; + k=1; + uniform_int_distribution distr(0, k); + int o = distr(generator); + st[i].backoff = o; + if (o==0) + { + st[i].arrivalTimeSlot=1; + t[i].timeOfTransmission=1; + timeArray[1]++; + } + else + { + timeArray[2]++; + st[i].arrivalTimeSlot = 2; + } + cout << "\tStation " << i << backing << st[i].arrivalTimeSlot << collisionsStr << st[i].collisions << endl; + } + + cout << dashes << endl; + timeSlot++; + + } + else + { + for (int comp = 0; comp < 6; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + // timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>=timeSlot){ + //cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + //cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + for (int i = 0; i < MAX_COLLISIONS; i++) + { + //cout << dashes << check << timeSlot << endl; + for (int stationCount = 0; stationCount < MAX_STATIONS; stationCount++) + { + t[stationCount].transmitted=true; + if (stationCount==0) + { + for (int comp = 0; comp < 6; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + //timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>timeSlot){ + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + for (int i = 0; i < 6; i++) + { + // cout << "TimeArray\t"<> numFromFile; + //array[arrayIndex] = numFromFile; + //arrayIndex++; + modOperand = pow(2,st[stationCount].collisions); + modOperand--; + k = numFromFile % modOperand; + uniform_int_distribution distr(0, k); + int o = distr(generator); + st[stationCount].backoff = o; + st[stationCount].arrivalTimeSlot = st[stationCount].arrivalTimeSlot+st[stationCount].backoff; + timeArray[st[stationCount].arrivalTimeSlot]++; + if (timeArray[st[stationCount].arrivalTimeSlot]>1) + { + t[st[stationCount].arrivalTimeSlot].collided=false; + + } + + cout << "\tStation " << stationCount << backing << st[stationCount].arrivalTimeSlot << collisionsStr << st[stationCount].collisions << endl; + } + else if (t[timeSlot].totalCollisions==1 && t[timeSlot].transmitted && st[stationCount].arrivalTimeSlot==timeSlot) + { + //t[timeSlot].transmitted=true; + cout << stationStr << stationCount << " Success! " << endl << dashes << endl; + cout << "End of program\n"; + end = true; + } + else //if (st[stationCount].arrivalTimeSlot != timeSlot && t[timeSlot].totalCollisions<1 && !t[timeSlot].transmitted) + { + if (timeArray[timeSlot]==0) + { + if(stationCount==5) + cout << " NO ATTEMPTS\n"; + } + } + + + if (end) break; + + + + + + if(stationCount==5) + { + cout << dashes << endl; + // if (t[timeSlot].totalCollisions==0) + // { + // cout << " NO ATTEMPTS\n"; + // } + + timeSlot++; + for (int comp = 0; comp < 6; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + //timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if ((t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>=timeSlot) && t[st[comp].arrivalTimeSlot].totalCollisions>1) + { + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + } + + + } + if (end) break; + + /* if (t[timeSlot].totalCollisions<2 && t[timeSlot].) + // { + cout << dashes << check << timeSlot << endl << stationStr << stationCount << " Success! " << endl + << dashes; + } */ + // if(transmitted==true) cout << dashes << endl; + + } + //timeSlot++; + if (timeSlot==9) + { + break; + } + if (end) break; + } + if (end) break; + + } +} + + + +int rangeOfBackoff() +{ +} \ No newline at end of file diff --git a/CPE348/Project2/project2Pt1a.cpp.bak b/CPE348/Project2/project2Pt1a.cpp.bak new file mode 100644 index 0000000..aa5094e --- /dev/null +++ b/CPE348/Project2/project2Pt1a.cpp.bak @@ -0,0 +1,421 @@ +#include +#include +#include +#include +#include +#include +#include + + +#define MAX_COLLISIONS 9 +#define MAX_STATIONS 6 + +using namespace std; +struct timeSlot { + int timeOfTransmission; // time slot + int totalCollisions; + // stations A; + bool collided, transmitted; +}; + +struct stations { + int arrivalTimeSlot; // time slot + int collisions; + int backoff; + timeSlot A; +}; + +bool areSame(int a[],int n) +{ + unordered_map m;//hash map to store the frequency of every + //element + + for(int i=0;i distr(0, k); +int rangeOfBackoff(); +int array[200]; +int arrayIndex; +int main() +{ + bool didNotCollide, end; + int collisionArray[MAX_COLLISIONS]; + int timeArray[MAX_COLLISIONS]; + + int k; + // file with numbers + string file = "Project2_part1_rn.txt"; + ifstream inputFile; + inputFile.open(file.c_str()); + // while (inputFile) //Read in array + // { + // if (inputFile.eof()) + // { + // break; + // } + + // for (int i = 0; i < 200; i++) + // { + // inputFile >> array[i]; + // } + // } + + int timeIndex = 0; + int modOperand; + struct stations st[6]; + int timeSlot=0, numFromFile; + const int timeInterval = 512; // time interval in micro seconds + + // strings for precise code + string check="Checking time slot: "; + string collisionsStr = " collisions: "; + string backing = ": backing off to slot "; + string dashes = "--------------------------------------------------\n"; + string stationStr = "\tStation "; + // define variables + int n, totalCollisions,i; + struct timeSlot t[MAX_COLLISIONS]; + + + //int modOperand = pow(2,st[i].collisions); + + // k * timeInterval + // begining at t = 0, all stations collide + + while (timeSlot < 10) + { + if (timeSlot==0) + { + cout << dashes << check << timeSlot << endl; + for (int i = 0; i < MAX_STATIONS; i++) + { + t[i].totalCollisions++; + t[i].timeOfTransmission = i; + st[i].arrivalTimeSlot=0; // Arrival Time slot + //k= pow(2,st[i].collisions); + st[i].collisions = 1; + k=1; + uniform_int_distribution distr(0, k); + int o = distr(generator); + st[i].backoff = o; + if (o==0) + { + st[i].arrivalTimeSlot=1; + t[i].timeOfTransmission=1; + } + else st[i].arrivalTimeSlot = 2; + + cout << "\tStation " << i << backing << st[i].arrivalTimeSlot << collisionsStr << st[i].collisions << endl; + } + + cout << dashes << endl; + timeSlot++; + + } + else + { + for (int comp = 0; comp < 6; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + // timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>=timeSlot){ + //cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + //cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + for (int i = 0; i < MAX_COLLISIONS; i++) + { + //cout << dashes << check << timeSlot << endl; + for (int stationCount = 0; stationCount < MAX_STATIONS; stationCount++) + { + t[stationCount].transmitted=true; + if (stationCount==0) + { + for (int comp = 0; comp < 6; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + //timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>timeSlot){ + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + for (int i = 0; i < 6; i++) + { + // cout << "TimeArray\t"<> numFromFile; + //array[arrayIndex] = numFromFile; + //arrayIndex++; + modOperand = pow(2,st[stationCount].collisions); + modOperand--; + k = numFromFile % modOperand; + uniform_int_distribution distr(0, k); + int o = distr(generator); + st[stationCount].backoff = o; + st[stationCount].arrivalTimeSlot = st[stationCount].arrivalTimeSlot+st[stationCount].backoff; + timeArray[st[stationCount].arrivalTimeSlot]++; + if (timeArray[st[stationCount].arrivalTimeSlot]>1) + { + t[st[stationCount].arrivalTimeSlot].collided=false; + + } + + cout << "\tStation " << stationCount << backing << st[stationCount].arrivalTimeSlot << collisionsStr << st[stationCount].collisions << endl; + } + else if (t[timeSlot].totalCollisions==1 && t[timeSlot].transmitted && st[stationCount].arrivalTimeSlot==timeSlot) + { + //t[timeSlot].transmitted=true; + cout << stationStr << stationCount << " Success! " << endl << dashes << endl; + cout << "End of program\n"; + end = true; + } + else //if (st[stationCount].arrivalTimeSlot != timeSlot && t[timeSlot].totalCollisions<1 && !t[timeSlot].transmitted) + { + if (t[timeSlot].totalCollisions==0) + { + if(stationCount==5) + cout << " NO ATTEMPTS\n"; + } + } + + + if (end) break; + + + + + + if(stationCount==5) + { + if (t[timeSlot].totalCollisions==0) + { + cout << " NO ATTEMPTS\n"; + } + + timeSlot++; + for (int comp = 0; comp < 6; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + //timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if ((t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>=timeSlot) && t[st[comp].arrivalTimeSlot].totalCollisions>1) + { + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + cout << dashes << endl; + } + + + } + if (end) break; + + /* if (t[timeSlot].totalCollisions<2 && t[timeSlot].) + // { + cout << dashes << check << timeSlot << endl << stationStr << stationCount << " Success! " << endl + << dashes; + } */ + } + //timeSlot++; + if (timeSlot==9) + { + break; + } + if (end) break; + } + if (end) break; + + } +} + + + +int rangeOfBackoff() +{ +} \ No newline at end of file diff --git a/CPE348/Project2/project2Pt1b b/CPE348/Project2/project2Pt1b new file mode 100755 index 0000000..4686fe7 Binary files /dev/null and b/CPE348/Project2/project2Pt1b differ diff --git a/CPE348/Project2/project2Pt1b.cpp b/CPE348/Project2/project2Pt1b.cpp new file mode 100644 index 0000000..7fec5fe --- /dev/null +++ b/CPE348/Project2/project2Pt1b.cpp @@ -0,0 +1,229 @@ +#include +#include +#include +#include +#include +#include + + +#define MAX_COLLISIONS 100000 +#define MAX_STATIONS 6 + +using namespace std; +struct timeSlot { + int timeOfTransmission; // time slot + int totalCollisions; + // stations A; + bool collided, transmitted; +}; + +struct stations { + int arrivalTimeSlot; // time slot + int collisions; + int backoff; + timeSlot A; +}; + +// function that generates the +// range of backoff slots +//int rangeOfBackoff(int k); + +std::random_device rand_dev; + std::mt19937 generator(rand_dev()); + //std::uniform_int_distribution distr(0, k); +int main() +{ + bool didNotCollide, end; + int collisionArray[MAX_COLLISIONS]; + int timeArray[MAX_COLLISIONS]; + int transmissions =0; + int k = 0; + // file with numbers + string file = "Project2_part1_rn.txt"; + ifstream inputFile; + inputFile.open(file.c_str()); + bool transmitted; + + int timeIndex = 0; + int modOperand; + struct stations st[6]; + int timeSlot=0, numFromFile; + const int timeInterval = 512; // time interval in micro seconds + + // strings for precise code + string check="Checking time slot: "; + string collisionsStr = " collisions: "; + string backing = ": backing off to slot "; + string dashes = "--------------------------------------------------\n"; + string stationStr = "\tStation "; + // define variables + int n, totalCollisions,i; + struct timeSlot t[MAX_COLLISIONS]; + + + //int modOperand = pow(2,st[i].collisions); + + // k * timeInterval + // begining at t = 0, all stations collide + + while (timeSlot < MAX_COLLISIONS) + { + if (timeSlot==0) + { + + cout << dashes << check << timeSlot << endl; + // add total collisions to station time + for (int i = 0; i < MAX_STATIONS; i++) + { + t[i].totalCollisions++; + t[i].timeOfTransmission = i; + st[i].arrivalTimeSlot=0; // Arrival Time slot + //k= pow(2,st[i].collisions); + st[i].collisions = 1; + k=1; + uniform_int_distribution distr(0, k); + int o = distr(generator); + st[i].backoff = o; + if (o==0) + { + + st[i].arrivalTimeSlot=1; + t[i].timeOfTransmission=1; + timeArray[1]++; + } + else + { + //t[2].totalCollisions++; + timeArray[2]++; + st[i].arrivalTimeSlot = 2; + } + cout << "\tStation " << i << backing << st[i].arrivalTimeSlot << collisionsStr << st[i].collisions << endl; + t[st[i].arrivalTimeSlot].totalCollisions++; + } + + cout << dashes << endl; + timeSlot++; + + } + else + { + for (int i = 0; i < MAX_COLLISIONS; i++) + { + //cout << dashes << check << timeSlot << endl; + for (int stationCount = 0; stationCount < MAX_STATIONS; stationCount++) + { + t[stationCount].transmitted=true; + if (stationCount==0) + { + cout << dashes << check << timeSlot << endl; + } + transmitted==false; + if(timeArray[timeSlot]==1 && t[timeSlot].totalCollisions<=2 && st[stationCount].arrivalTimeSlot==timeSlot)//st[stationCount].arrivalTimeSlot==timeSlot && t[timeSlot].totalCollisions==0 && !t[timeSlot].collided) + { + t[timeSlot].transmitted=true; + cout << stationStr << stationCount << " Success! "; + + + transmissions++; + if (transmissions>1 && transmissions != 6) + { + cout << "\t\t<=== Project1b Solution\n"; + } + else if (transmissions==6) + { + cout << "\t\t<=== Project1b Solution\n" << dashes << endl; + end = true; + break; + } + else + { + cout << "\t\t<=== Project1a Solution\n"; + } + + + transmitted=true; + + } + else if (st[stationCount].arrivalTimeSlot==timeSlot && timeArray[timeSlot]>1) + { + // if (t[timeSlot].totalCollisions==1 && timeArray[timeSlot]==1 && t[timeSlot].totalCollisions==0) + // { + // // t[timeSlot].transmitted=true; + // cout << stationStr << stationCount << " Success! " << endl; + // cout << "End of program\n"; + // end = true; + // } + if (end) break; + + st[stationCount].arrivalTimeSlot++; + st[stationCount].collisions++; + inputFile >> numFromFile; + //array[arrayIndex] = numFromFile; + //arrayIndex++; + modOperand = pow(2,st[stationCount].collisions); + modOperand--; + k = numFromFile % modOperand; + //uniform_int_distribution distr(0, k); + // int o = distr(generator); + st[stationCount].backoff = k; + st[stationCount].arrivalTimeSlot = st[stationCount].arrivalTimeSlot+st[stationCount].backoff; + timeArray[st[stationCount].arrivalTimeSlot]++; + if (timeArray[st[stationCount].arrivalTimeSlot]<=1) + { + t[st[stationCount].arrivalTimeSlot].collided=false; + + } + else + t[st[stationCount].arrivalTimeSlot].collided=false; + + cout << "\tStation " << stationCount << backing << st[stationCount].arrivalTimeSlot << collisionsStr << st[stationCount].collisions << endl; + t[st[stationCount].arrivalTimeSlot].totalCollisions++; + } + else if (t[timeSlot].totalCollisions==1 && t[timeSlot].transmitted && st[stationCount].arrivalTimeSlot==timeSlot) + { + //t[timeSlot].transmitted=true; + cout << stationStr << stationCount << " Success! " << endl << dashes << endl; + cout << "End of program\n"; + end = true; + } + else //if (st[stationCount].arrivalTimeSlot != timeSlot && t[timeSlot].totalCollisions<1 && !t[timeSlot].transmitted) + { + if (timeArray[timeSlot]==0) + { + if(stationCount==5) + cout << " NO ATTEMPTS\n"; + } + } + + + if (end) break; + + + + + + if(stationCount==5) + { + cout << dashes << endl; + timeSlot++; + } + + + } + if (end) break; + + /* if (t[timeSlot].totalCollisions<2 && t[timeSlot].) + // { + cout << dashes << check << timeSlot << endl << stationStr << stationCount << " Success! " << endl + << dashes; + } */ + // if(transmitted==true) cout << dashes << endl; + + } + if (end) break; + } + + } +} + + diff --git a/CPE348/Project2/project2Pt1c b/CPE348/Project2/project2Pt1c new file mode 100755 index 0000000..50deb83 Binary files /dev/null and b/CPE348/Project2/project2Pt1c differ diff --git a/CPE348/Project2/project2Pt1c.cpp b/CPE348/Project2/project2Pt1c.cpp new file mode 100644 index 0000000..5d2c00d --- /dev/null +++ b/CPE348/Project2/project2Pt1c.cpp @@ -0,0 +1,390 @@ +#include +#include +#include +#include +#include +#include + +#define MAX_COLLISIONS 10000 +#define MAX_STATIONS 5 + +using namespace std; +struct timeSlot { + int timeOfTransmission; // time slot + int totalCollisions; + // stations A; + bool collided, transmitted; +}; + +struct stations { + int arrivalTimeSlot; // time slot + int collisions; + int backoff; + timeSlot A; +}; + + +// function that generates the +// range of backoff slots +//int rangeOfBackoff(int k); + +std::random_device rand_dev; + std::mt19937 generator(rand_dev()); + //std::uniform_int_distribution distr(0, k); + +int main() +{ + bool didNotCollide, end; + int collisionArray[MAX_COLLISIONS]; + int timeArray[MAX_COLLISIONS]; + + int k; + // file with numbers + string file = "Project2_part1_rn.txt"; + ifstream inputFile; + inputFile.open(file.c_str()); + bool transmitted; + int totalTransmitted = 0; + int timeIndex = 0; + int modOperand =0; + struct stations st[6]; + int timeSlot=0, numFromFile = 0; + const int timeInterval = 512; // time interval in micro seconds + + // strings for precise code + string check="Checking time slot: "; + string collisionsStr = " collisions: "; + string backing = ": backing off to slot "; + string dashes = "--------------------------------------------------\n"; + string stationStr = "\tStation "; + // define variables + int n, totalCollisions,i; + struct timeSlot t[MAX_COLLISIONS]; + + + //int modOperand = pow(2,st[i].collisions); + + // k * timeInterval + // begining at t = 0, all stations collide + + while (1) + { + if (timeSlot==0) + { + cout << dashes << check << timeSlot << endl; + for (int i = 0; i < MAX_STATIONS; i++) + { + t[i].totalCollisions++; + t[i].timeOfTransmission = i; + st[i].arrivalTimeSlot=0; // Arrival Time slot + //k= pow(2,st[i].collisions); + st[i].collisions = 1; + k=1; + uniform_int_distribution distr(0, k); + int o = distr(generator); + st[i].backoff = o; + if (o==0) + { + st[i].arrivalTimeSlot=1; + t[i].timeOfTransmission=1; + timeArray[1]++; + } + else + { + timeArray[2]++; + st[i].arrivalTimeSlot = 2; + } + cout << "\tStation " << i << backing << st[i].arrivalTimeSlot << collisionsStr << st[i].collisions << endl; + } + + cout << dashes << endl; + timeSlot++; + + } + else + { + for (int comp = 0; comp < 5; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + // timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + else if (t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>=timeSlot){ + //cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + //cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + for (int i = 0; i < MAX_COLLISIONS; i++) + { + //cout << dashes << check << timeSlot << endl; + for (int stationCount = 0; stationCount < MAX_STATIONS; stationCount++) + { + t[stationCount].transmitted=true; + if (stationCount==0) + { + for (int comp = 0; comp < MAX_STATIONS; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + //timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>timeSlot){ + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + + + } + for (int i = 0; i < 6; i++) + { + // cout << "TimeArray\t"<> numFromFile; + //array[arrayIndex] = numFromFile; + //arrayIndex++; + modOperand = pow(2,st[stationCount].collisions); + modOperand--; + k = numFromFile % modOperand; + uniform_int_distribution distr(0, k); + int o = distr(generator); + st[stationCount].backoff = o; + st[stationCount].arrivalTimeSlot = st[stationCount].arrivalTimeSlot+st[stationCount].backoff; + timeArray[st[stationCount].arrivalTimeSlot]++; + if (timeArray[st[stationCount].arrivalTimeSlot]>1) + { + t[st[stationCount].arrivalTimeSlot].collided=false; + + } + st[stationCount].A.transmitted=false; + cout << "\tStation " << stationCount << backing << st[stationCount].arrivalTimeSlot << collisionsStr << st[stationCount].collisions << endl; + if (stationCount==MAX_STATIONS-1 && st[MAX_STATIONS-1].arrivalTimeSlot==timeSlot) + { + cout << dashes << endl; + } + + } + else if (t[timeSlot].totalCollisions==1 && t[timeSlot].transmitted && st[stationCount].arrivalTimeSlot==timeSlot) + { + // //t[timeSlot].transmitted=true; + // cout << stationStr << stationCount << " Success! " << endl << dashes << endl; + // cout << "End of program\n"; + // end = true; + } + else //if (st[stationCount].arrivalTimeSlot != timeSlot && t[timeSlot].totalCollisions<1 && !t[timeSlot].transmitted) + { + if (timeArray[timeSlot]==0) + { + if(stationCount==4) + cout << " NO ATTEMPTS\n"; + } + } + + + if (end) break; + + + + + + if(stationCount == MAX_STATIONS-1) + { + //if(st[stationCount].A.transmitted==false) cout << dashes << endl; + timeSlot++; + for (int comp = 0; comp < 5; comp++) + { + if (st[comp].arrivalTimeSlot==st[comp+1].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+1].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+1].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+2].arrivalTimeSlot && st[comp].arrivalTimeSlot>=timeSlot && st[comp+2].arrivalTimeSlot>=timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+2].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+3].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+3].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+3].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + //timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+4].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+4].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+4].arrivalTimeSlot].collided=true; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // timeArray[st[comp].arrivalTimeSlot]++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if (st[comp].arrivalTimeSlot==st[comp+5].arrivalTimeSlot && st[comp].arrivalTimeSlot>timeSlot && st[comp+5].arrivalTimeSlot>timeSlot) + { + t[st[comp].arrivalTimeSlot].collided=true; + t[st[comp+5].arrivalTimeSlot].collided=true; + //timeArray[st[comp].arrivalTimeSlot]++; + t[st[comp].arrivalTimeSlot].totalCollisions++; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\ttrue" << endl; + } + if ((t[st[comp].arrivalTimeSlot].collided=true || st[comp].arrivalTimeSlot>=timeSlot) && t[st[comp].arrivalTimeSlot].totalCollisions>1) + { + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tcontinue" << endl; + + continue; + } + else + { + + t[st[comp].arrivalTimeSlot].collided=false; + // cout << comp << "\t" << st[comp].arrivalTimeSlot << "\tfalse" << endl; + + } + } + if (st[MAX_STATIONS-1].A.transmitted==false) + { + cout << dashes < +#include +#include +#include +#include + + +#define MAX_TIME 100000 +#define MAX_STATIONS 6 + +using namespace std; +struct timeSlot { + int timeOfTransmission; // time slot + int totalCollisions; + // stations A; + bool collided, transmitted; +}; + +struct stations { + int arrivalTimeSlot; // time slot + int collisions; + int backoff; + timeSlot A; +}; + +int main() +{ + bool end; + int timeArray[MAX_TIME]; + int transmissions =0; + int k = 0; + // file with numbers + string file = "Project2_part1_rn.txt"; + ifstream inputFile; + inputFile.open(file.c_str()); + int modOperand = 0; + struct stations st[6]; + int timeSlot=0, numFromFile = 0; + const double timeInterval = 51.2; // time interval in micro seconds + + // strings for precise code + string check="Checking time slot: "; + string collisionsStr = " collisions: "; + string backing = ": backing off to slot "; + string dashes = "--------------------------------------------------\n"; + string stationStr = "\tStation "; + // define variables + struct timeSlot t[MAX_TIME]; + + + //int modOperand = pow(2,st[stationCount].collisions); + + // k * timeInterval + // begining at t = 0, all stations collide + + +for (int i = 0; i < MAX_TIME; i++) +{ + //cout << dashes << check << timeSlot << endl; + for (int stationCount = 0; stationCount < MAX_STATIONS; stationCount++) + { + t[stationCount].transmitted=true; + if (stationCount==0) + { + cout << dashes << check << timeSlot << endl; + } + // no station has collided and will transmit + if(timeArray[timeSlot]==1 && t[timeSlot].totalCollisions<=2 && st[stationCount].arrivalTimeSlot==timeSlot)//st[stationCount].arrivalTimeSlot==timeSlot && t[timeSlot].totalCollisions==0 && !t[timeSlot].collided) + { + t[timeSlot].transmitted=true; + + + transmissions++; + if (transmissions==1) + { + //cout << stationStr << stationCount << " Success! "; + cout << "Station " << stationCount << " has successfully transmitted\n" << + "in slot number " << timeSlot << " which is " << timeInterval*timeSlot << " micro seconds"; + cout << "\t\t<====\tSolution Part1a and Partb \n"; + } + else if (transmissions==6) + { + //cout << stationStr << stationCount << " Success! "; + cout << "Station " << stationCount << " has successfully transmitted\n" << + "in slot number " << timeSlot << " which is " << timeInterval*timeSlot << " micro seconds"; + cout << "\t\t<====\tSolution Part1b \n" << dashes << endl; + end = true; + break; + } + else + { + //cout << stationStr << stationCount << " Success! "; + cout << "Station " << stationCount << " has successfully transmitted\n" << + "in slot number " << timeSlot << " which is " << timeInterval*timeSlot << " micro seconds"; + cout << "\t\t<==== \tSolution Part1b\n"; + } + } + // Two or more stations have collided + else if (st[stationCount].arrivalTimeSlot==timeSlot || timeSlot==0) + { + // initialize values to zero + if (timeSlot==0) + { + st[stationCount].arrivalTimeSlot = 0; + st[stationCount].backoff = 0; + st[stationCount].collisions = 0; + } + st[stationCount].arrivalTimeSlot++; + st[stationCount].collisions++; + inputFile >> numFromFile; + modOperand = pow(2,st[stationCount].collisions); + k = numFromFile % modOperand; + st[stationCount].backoff = k; + st[stationCount].arrivalTimeSlot = st[stationCount].arrivalTimeSlot+st[stationCount].backoff; + timeArray[st[stationCount].arrivalTimeSlot]++; + cout << "\tStation " << stationCount << backing << st[stationCount].arrivalTimeSlot << collisionsStr << st[stationCount].collisions << endl; + t[st[stationCount].arrivalTimeSlot].totalCollisions++; + } + else + { + if (timeArray[timeSlot]==0) + { + if(stationCount==5) + cout << " NO ATTEMPTS\n"; + } + } + + + if (end) break; + if(stationCount==5) + { + cout << dashes << endl; + timeSlot++; + } + + + } + if (end) break; +} + +} \ No newline at end of file diff --git a/CPE348/Project2/project2PtC b/CPE348/Project2/project2PtC new file mode 100755 index 0000000..8064c4f Binary files /dev/null and b/CPE348/Project2/project2PtC differ diff --git a/CPE348/Project2/project2PtC.cpp b/CPE348/Project2/project2PtC.cpp new file mode 100644 index 0000000..4cc4280 --- /dev/null +++ b/CPE348/Project2/project2PtC.cpp @@ -0,0 +1,140 @@ +#include +#include +#include +#include +#include + + +#define MAX_TIME 100000 +#define MAX_STATIONS 5 + +using namespace std; +struct timeSlot { + int timeOfTransmission; // time slot + int totalCollisions; + // stations A; + bool collided, transmitted; +}; + +struct stations { + int arrivalTimeSlot; // time slot + int collisions; + int packetsTransmitted; + int backoff; + timeSlot A; +}; + +int main() +{ + bool end = false; + int timeArray[MAX_TIME]; + int k = 0; + // file with numbers + string file = "Project2_part1_rn.txt"; + ifstream inputFile; + inputFile.open(file.c_str()); + int firstTransmissions = 0; + int modOperand = 0; + struct stations st[6]; + int timeSlot=0, numFromFile =0; + const double timeInterval = 51.2; // time interval in micro seconds + + // strings for precise code + string check="Checking time slot: "; + string collisionsStr = " collisions: "; + string backing = ": backing off to slot "; + string dashes = "--------------------------------------------------\n"; + string stationStr = "\tStation "; + // define variables + struct timeSlot t[MAX_TIME]; + + + //int modOperand = pow(2,st[stationCount].collisions); + + // k * timeInterval + // begining at t = 0, all stations collide + + +for (int i = 0; i < MAX_TIME; i++) +{ + //cout << dashes << check << timeSlot << endl; + for (int stationCount = 0; stationCount < MAX_STATIONS; stationCount++) + { + if (stationCount==0) + { + //cout << dashes << check << timeSlot << endl; + } + // station is going to transmit + if(timeArray[timeSlot]==1 && st[stationCount].arrivalTimeSlot==timeSlot)//st[stationCount].arrivalTimeSlot==timeSlot && t[timeSlot].totalCollisions==0 && !t[timeSlot].collided) + { + t[timeSlot].transmitted=true; + + st[stationCount].packetsTransmitted++; + if (st[stationCount].packetsTransmitted==1) + { + firstTransmissions++; + } + + // the last station transmits + if (firstTransmissions == 5 && st[stationCount].packetsTransmitted == 1) + { + cout << "\n****************************************************\n" << "Part1c: \n"; + cout << "****************************************************\n"; + cout << check << timeSlot << endl; + cout << "Station " << stationCount << " has successfully transmitted\n" << + "in slot number " << timeSlot << " which is " << timeInterval*timeSlot << " micro seconds\n"; + end = true; + break; + } + st[stationCount].arrivalTimeSlot=st[stationCount].arrivalTimeSlot+3; + timeArray[st[stationCount].arrivalTimeSlot]++; + //t[st[stationCount].arrivalTimeSlot].totalCollisions++; + st[stationCount].collisions=0; + // cout << stationStr << stationCount << " Success! \n"; + } + // Two or more stations have collided + else if (st[stationCount].arrivalTimeSlot==timeSlot || timeSlot==0) + { + // initialize values to zero + if (timeSlot==0) + { + st[stationCount].arrivalTimeSlot = 0; + st[stationCount].backoff = 0; + st[stationCount].collisions = 0; + st[stationCount].packetsTransmitted = 0; + } + + st[stationCount].arrivalTimeSlot++; + st[stationCount].collisions++; + inputFile >> numFromFile; + modOperand = pow(2,st[stationCount].collisions); + k = numFromFile % modOperand; + st[stationCount].backoff = k; + st[stationCount].arrivalTimeSlot = st[stationCount].arrivalTimeSlot+st[stationCount].backoff; + timeArray[st[stationCount].arrivalTimeSlot]++; + // cout << "\tStation " << stationCount << backing << st[stationCount].arrivalTimeSlot << collisionsStr << st[stationCount].collisions << endl; + t[st[stationCount].arrivalTimeSlot].totalCollisions++; + } + else + { + if (timeArray[timeSlot]==0) + { + + // cout << " NO ATTEMPTS\n"; + } + } + + + if (end) break; + if(stationCount==4) + { + //cout << dashes << endl; + timeSlot++; + } + + + } + if (end) break; +} + +} \ No newline at end of file diff --git a/CPE348/Project2/submission sample.txt b/CPE348/Project2/submission sample.txt new file mode 100644 index 0000000..f2c62dd --- /dev/null +++ b/CPE348/Project2/submission sample.txt @@ -0,0 +1,70 @@ +-------------------------------------------------- +Checking time slot: 0 + station 0: backing off to slot 1 collisions: 1 + station 1: backing off to slot 2 collisions: 1 + station 2: backing off to slot 2 collisions: 1 + station 3: backing off to slot 1 collisions: 1 + station 4: backing off to slot 1 collisions: 1 +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 1 + station 0: backing off to slot 4 collisions: 2 + station 3: backing off to slot 5 collisions: 2 + station 4: backing off to slot 2 collisions: 2 +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 2 + station 1: backing off to slot 5 collisions: 2 + station 2: backing off to slot 3 collisions: 2 + station 4: backing off to slot 6 collisions: 3 +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 3 + station 2: success! <========= Solution Part1b +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 4 + station 0: success! <========= Solution Part1a & Part1b +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 5 + station 1: backing off to slot 2 collisions: 3 + station 3: backing off to slot 3 collisions: 3 +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 6 + station 4: success! <========= Solution Part1b +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 7 + NO ATTEMPTS +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 8 + station 1: success! <========= Solution Part1b +-------------------------------------------------- + +-------------------------------------------------- +Checking time slot: 9 + station 3: success! <========= Solution Part1b +-------------------------------------------------- + + + +**************************************************** +Par1c: +**************************************************** +Checking time slot: 135 +Station 3 has successfully transmitted +in slot number 135 which is 6,912 micro seconds + + + diff --git a/CPE348/Project2/t b/CPE348/Project2/t new file mode 100755 index 0000000..695009f Binary files /dev/null and b/CPE348/Project2/t differ diff --git a/CPE348/Project2/t.cpp b/CPE348/Project2/t.cpp new file mode 100644 index 0000000..0be5b38 --- /dev/null +++ b/CPE348/Project2/t.cpp @@ -0,0 +1,14 @@ +#include +#include + +int main(int argc, char const *argv[]) +{ + const int range_from = 0; +const int range_to = 1; +std::random_device rand_dev; +std::mt19937 generator(rand_dev()); +std::uniform_int_distribution distr(range_from, range_to); + +std::cout << distr(generator) << '\n'; + return 0; +} diff --git a/CPE348/Project3/Project3_wireshark.docx b/CPE348/Project3/Project3_wireshark.docx new file mode 100644 index 0000000..884814c Binary files /dev/null and b/CPE348/Project3/Project3_wireshark.docx differ diff --git a/CPE348/Project3/packets.c b/CPE348/Project3/packets.c new file mode 100644 index 0000000..171b316 --- /dev/null +++ b/CPE348/Project3/packets.c @@ -0,0 +1,9 @@ +"4","0.026477","192.168.1.102","128.119.245.12","TCP","619","1161 → 80 [PSH, ACK] Seq=1 Ack=1 Win=17520 Len=565" +"5","0.041737","192.168.1.102","128.119.245.12","TCP","1514","1161 → 80 [PSH, ACK] Seq=566 Ack=1 Win=17520 Len=1460" +"6","0.053937","128.119.245.12","192.168.1.102","TCP","60","80 → 1161 [ACK] Seq=1 Ack=566 Win=6780 Len=0" +"7","0.054026","192.168.1.102","128.119.245.12","TCP","1514","1161 → 80 [ACK] Seq=2026 Ack=1 Win=17520 Len=1460" +"8","0.054690","192.168.1.102","128.119.245.12","TCP","1514","1161 → 80 [ACK] Seq=3486 Ack=1 Win=17520 Len=1460" +"9","0.077294","128.119.245.12","192.168.1.102","TCP","60","80 → 1161 [ACK] Seq=1 Ack=2026 Win=8760 Len=0" +"10","0.077405","192.168.1.102","128.119.245.12","TCP","1514","1161 → 80 [ACK] Seq=4946 Ack=1 Win=17520 Len=1460" +"11","0.078157","192.168.1.102","128.119.245.12","TCP","1514","1161 → 80 [ACK] Seq=6406 Ack=1 Win=17520 Len=1460" +"12","0.124085","128.119.245.12","192.168.1.102","TCP","60","80 → 1161 [ACK] Seq=1 Ack=3486 Win=11680 Len=0" diff --git a/CPE348/Project3/packets.csv b/CPE348/Project3/packets.csv new file mode 100644 index 0000000..13d474b --- /dev/null +++ b/CPE348/Project3/packets.csv @@ -0,0 +1,14 @@ +4,0.026477,192.168.1.102,128.119.245.12,TCP,619,"1161 → 80 [PSH, ACK] Seq=1 Ack=1 Win=17520 Len=565",,,,,,, +5,0.041737,192.168.1.102,128.119.245.12,TCP,1514,"1161 → 80 [PSH, ACK] Seq=566 Ack=1 Win=17520 Len=1460",,,,,,, +6,0.053937,128.119.245.12,192.168.1.102,TCP,60,80 → 1161 [ACK] Seq=1 Ack=566 Win=6780 Len=0,,,,Segment,RTT,Estimated RTT,alpha +7,0.054026,192.168.1.102,128.119.245.12,TCP,1514,1161 → 80 [ACK] Seq=2026 Ack=1 Win=17520 Len=1460,,,,1,0.02746,0.02746,0.125 +8,0.05469,192.168.1.102,128.119.245.12,TCP,1514,1161 → 80 [ACK] Seq=3486 Ack=1 Win=17520 Len=1460,,,,2,0.035557,0.034544875,0.125 +9,0.077294,128.119.245.12,192.168.1.102,TCP,60,80 → 1161 [ACK] Seq=1 Ack=2026 Win=8760 Len=0,,,,3,0.070059,0.065619734,0.125 +10,0.077405,192.168.1.102,128.119.245.12,TCP,1514,1161 → 80 [ACK] Seq=4946 Ack=1 Win=17520 Len=1460,,,,4,0.114428,0.108326967,0.125 +11,0.078157,192.168.1.102,128.119.245.12,TCP,1514,1161 → 80 [ACK] Seq=6406 Ack=1 Win=17520 Len=1460,,,,5,0.139894,0.135948121,0.125 +12,0.124085,128.119.245.12,192.168.1.102,TCP,60,80 → 1161 [ACK] Seq=1 Ack=3486 Win=11680 Len=0,,,,6,0.189645,0.18293289,0.125 +13,0.124185,192.168.1.102,128.119.245.12,TCP,1201,"1161 → 80 [PSH, ACK] Seq=7866 Ack=1 Win=17520 Len=1147",,,,,,, +14,0.169118,128.119.245.12,192.168.1.102,TCP,60,80 → 1161 [ACK] Seq=1 Ack=4946 Win=14600 Len=0,,,,,,, +15,0.217299,128.119.245.12,192.168.1.102,TCP,60,80 → 1161 [ACK] Seq=1 Ack=6406 Win=17520 Len=0,,,,,,, +16,0.267802,128.119.245.12,192.168.1.102,TCP,60,80 → 1161 [ACK] Seq=1 Ack=7866 Win=20440 Len=0,,,,,,, +17,0.304807,128.119.245.12,192.168.1.102,TCP,60,80 → 1161 [ACK] Seq=1 Ack=9013 Win=23360 Len=0,,,,,,, diff --git a/CPE348/Project3/packets.pdf b/CPE348/Project3/packets.pdf new file mode 100644 index 0000000..f6b3f94 Binary files /dev/null and b/CPE348/Project3/packets.pdf differ diff --git a/CPE348/Project3/packets.txt b/CPE348/Project3/packets.txt new file mode 100644 index 0000000..c834bb4 --- /dev/null +++ b/CPE348/Project3/packets.txt @@ -0,0 +1,428 @@ +|Time | 192.168.1.102 | Intel_52:2b:23 | LinksysG_da:af:73 | 192.168.1.1 | +| | | 128.119.245.12 | | Broadcast | | 192.168.1.100 | | 199.2.53.206 | +|0.000000 | 1161 → 80 [SYN] Seq= | | | | | | |TCP: 1161 → 80 [SYN] Seq=0 Win=16384 Len=0 MSS=1460 SACK_PERM=1 +| |(1161) ------------------> (80) | | | | | | | +|0.023172 | 80 → 1161 [SYN, ACK] | | | | | | |TCP: 80 → 1161 [SYN, ACK] Seq=0 Ack=1 Win=5840 Len=0 MSS=1460 SACK_PERM=1 +| |(1161) <------------------ (80) | | | | | | | +|0.023265 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=1 Ack=1 Win=17520 Len=0 +| |(1161) ------------------> (80) | | | | | | | +|0.026477 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=1 Ack=1 Win=17520 Len=565 +| |(1161) ------------------> (80) | | | | | | | +|0.041737 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=566 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.053937 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=566 Win=6780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.054026 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=2026 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.054690 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=3486 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.077294 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=2026 Win=8760 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.077405 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=4946 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.078157 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=6406 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.124085 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=3486 Win=11680 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.124185 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=7866 Ack=1 Win=17520 Len=1147 +| |(1161) ------------------> (80) | | | | | | | +|0.169118 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=4946 Win=14600 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.217299 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=6406 Win=17520 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.267802 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=7866 Win=20440 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.304807 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=9013 Win=23360 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.305040 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=9013 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.305813 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=10473 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.306692 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=11933 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.307571 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=13393 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.308699 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=14853 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.309553 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=16313 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|0.356437 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=10473 Win=26280 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.400164 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=11933 Win=29200 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.448613 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=13393 Win=32120 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.500029 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=14853 Win=35040 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.545052 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=16313 Win=37960 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.576417 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=17205 Win=37960 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.576671 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=17205 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.577385 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=18665 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.578329 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=20125 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.579195 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=21585 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.580149 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=23045 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.581074 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=24505 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|0.626496 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=18665 Win=40880 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.672796 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=20125 Win=43800 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.730684 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=21585 Win=46720 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.772990 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=23045 Win=49640 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.820622 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=24505 Win=52560 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.853186 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=25397 Win=52560 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.853405 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=25397 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.854076 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=26857 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.855036 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=28317 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.855878 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=29777 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.856802 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=31237 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|0.857683 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=32697 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|0.899423 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=26857 Win=55480 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.949545 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=28317 Win=58400 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|0.994715 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=29777 Win=61320 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.039820 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=31237 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.117097 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=33589 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.117333 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=33589 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.118133 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=35049 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.119029 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=36509 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.119858 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=37969 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.120902 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=39429 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.121891 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=40889 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|1.200421 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=35049 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.265026 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=37969 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.362074 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=40889 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.389886 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=41781 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.390110 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=41781 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.390824 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=43241 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.391683 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=44701 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.392594 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=46161 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.393390 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=47621 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.394202 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=49081 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|1.488313 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=44701 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.584980 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=47621 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.661513 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=49973 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.661734 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=49973 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.662474 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=51433 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.663315 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=52893 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.664198 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=54353 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.665254 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=55813 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.666151 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=57273 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|1.758227 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=52893 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.860063 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=55813 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.930880 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=58165 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|1.931099 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=58165 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.931879 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=59625 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.932757 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=61085 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.933636 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=62545 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.934770 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=64005 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|1.935586 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=65465 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|2.029069 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=61085 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.126682 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=64005 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.203195 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=66357 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.203411 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=66357 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.204125 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=67817 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.204962 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=69277 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.205836 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=70737 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.206824 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=72197 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.207746 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=73657 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|2.311413 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=69277 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.404228 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=72197 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.476576 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=74549 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.476801 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=74549 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.477515 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=76009 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.478415 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=77469 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.479341 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=78929 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.480356 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=80389 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.481218 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=81849 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|2.576633 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=77469 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.672045 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=80389 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.747257 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=82741 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.747468 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=82741 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.748321 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=84201 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.749246 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=85661 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.750126 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=87121 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.751237 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=88581 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|2.752049 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=90041 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|2.847009 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=85661 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|2.944420 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=88581 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.020822 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=90933 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.021036 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=90933 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.021748 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=92393 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.022626 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=93853 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.023492 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=95313 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.024734 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=96773 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.025618 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=98233 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|3.117302 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=93853 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.216127 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=96773 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.291672 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=99125 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.291881 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=99125 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.292657 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=100585 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.293613 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=102045 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.294487 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=103505 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.295806 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=104965 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.296698 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=106425 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|3.388926 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=102045 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.485275 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=104965 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.562531 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=107317 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.562737 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=107317 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.563561 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=108777 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.564458 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=110237 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.565426 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=111697 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.566442 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=113157 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.567324 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=114617 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|3.660330 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=110237 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.768417 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=113157 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.840483 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=115509 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|3.840697 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=115509 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.841410 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=116969 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.842307 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=118429 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.843230 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=119889 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.844008 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=121349 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|3.844820 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=122809 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|3.936967 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=118429 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.031145 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=121349 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.107455 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=123701 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.107686 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=123701 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.108476 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=125161 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.109329 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=126621 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.110217 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=128081 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.111334 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=129541 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.112209 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=131001 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|4.205521 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=126621 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.300300 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=129541 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.379826 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=131893 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.380034 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=131893 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.380741 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=133353 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.381618 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=134813 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.382478 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=136273 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.383659 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=137733 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.384548 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=139193 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|4.476833 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=134813 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.575928 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=137733 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.648167 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=140085 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.648386 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=140085 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.649100 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=141545 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.649993 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=143005 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.650926 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=144465 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.651858 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=145925 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.652735 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=147385 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|4.747988 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=143005 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.844598 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=145925 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.920051 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=148277 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|4.920310 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=148277 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.921025 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=149737 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.921916 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=151197 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.922820 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=152657 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.923863 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=154117 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|4.924667 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=155577 Ack=1 Win=17520 Len=892 +| |(1161) ------------------> (80) | | | | | | | +|5.019189 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=151197 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|5.104175 | | | Who has 192.168.1.1? | | | | |ARP: Who has 192.168.1.1? Tell 192.168.1.100 +| | | |(0) ------------------> (0) | | | | | +|5.105060 | | | 192.168.1.1 is at 00:06:25:da:af:73 | | | |ARP: 192.168.1.1 is at 00:06:25:da:af:73 +| | | |(0) <-------------------------------------- (0) | | | | +|5.106121 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|5.125019 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=154117 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|5.197286 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=156469 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|5.197508 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=156469 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|5.198388 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=157929 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|5.199275 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=159389 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|5.200252 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=160849 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|5.201150 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=162309 Ack=1 Win=17520 Len=1460 +| |(1161) ------------------> (80) | | | | | | | +|5.202024 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=163769 Ack=1 Win=17520 Len=272 +| |(1161) ------------------> (80) | | | | | | | +|5.297257 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=159389 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|5.297341 | 1161 → 80 [PSH, ACK] | | | | | | |TCP: 1161 → 80 [PSH, ACK] Seq=164041 Ack=1 Win=17520 Len=50 +| |(1161) ------------------> (80) | | | | | | | +|5.389471 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=162309 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|5.447887 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=164041 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|5.455830 | 80 → 1161 [ACK] Seq= | | | | | | |TCP: 80 → 1161 [ACK] Seq=1 Ack=164091 Win=62780 Len=0 +| |(1161) <------------------ (80) | | | | | | | +|5.461175 | 80 → 1161 [PSH, ACK] | | | | | | |TCP: 80 → 1161 [PSH, ACK] Seq=1 Ack=164091 Win=62780 Len=730 +| |(1161) <------------------ (80) | | | | | | | +|5.598090 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|5.599082 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|5.651141 | 1161 → 80 [ACK] Seq= | | | | | | |TCP: 1161 → 80 [ACK] Seq=164091 Ack=731 Win=16790 Len=0 +| |(1161) ------------------> (80) | | | | | | | +|6.101044 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|6.102069 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|6.600152 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|6.601063 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|7.102852 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|7.103780 | | | | | | M-SEARCH * HTTP/1.1 | |SSDP: M-SEARCH * HTTP/1.1 +| | | | | | |(44265) ------------------> (1900) | | +|7.595557 | 1162 → 631 [SYN] Seq=0 Win=16384 Len=0 MSS=1460 SACK_PERM=1 | | | | |TCP: 1162 → 631 [SYN] Seq=0 Win=16384 Len=0 MSS=1460 SACK_PERM=1 +| |(1162) ------------------------------------------------------------------------------------------------------------------------------------------> (631) | diff --git a/CPE348/Project3/tcp-trace b/CPE348/Project3/tcp-trace new file mode 100644 index 0000000..1f92706 Binary files /dev/null and b/CPE348/Project3/tcp-trace differ diff --git a/CPE348/Project3/~$oject3_wireshark.docx b/CPE348/Project3/~$oject3_wireshark.docx new file mode 100644 index 0000000..f85161d Binary files /dev/null and b/CPE348/Project3/~$oject3_wireshark.docx differ diff --git a/CPE348/Project3/~WRL0003.tmp b/CPE348/Project3/~WRL0003.tmp new file mode 100644 index 0000000..36791c9 Binary files /dev/null and b/CPE348/Project3/~WRL0003.tmp differ diff --git a/CPE348/Project3/~WRL0089.tmp b/CPE348/Project3/~WRL0089.tmp new file mode 100644 index 0000000..caa145f Binary files /dev/null and b/CPE348/Project3/~WRL0089.tmp differ diff --git a/CPE348/Project3/~WRL0134.tmp b/CPE348/Project3/~WRL0134.tmp new file mode 100644 index 0000000..7949ade Binary files /dev/null and b/CPE348/Project3/~WRL0134.tmp differ diff --git a/CPE348/Project_1_CRC.doc b/CPE348/Project_1_CRC.doc new file mode 100644 index 0000000..2800261 Binary files /dev/null and b/CPE348/Project_1_CRC.doc differ diff --git a/CPE435/.vscode/c_cpp_properties.json b/CPE435/.vscode/c_cpp_properties.json new file mode 100644 index 0000000..abc0bea --- /dev/null +++ b/CPE435/.vscode/c_cpp_properties.json @@ -0,0 +1,16 @@ +{ + "configurations": [ + { + "name": "linux-gcc-x64", + "includePath": [ + "${workspaceFolder}/**" + ], + "compilerPath": "gcc", + "cStandard": "${default}", + "cppStandard": "${default}", + "intelliSenseMode": "linux-gcc-x64", + "compilerArgs": [] + } + ], + "version": 4 +} \ No newline at end of file diff --git a/CPE435/.vscode/extensions.json b/CPE435/.vscode/extensions.json new file mode 100644 index 0000000..5a9a397 --- /dev/null +++ b/CPE435/.vscode/extensions.json @@ -0,0 +1,5 @@ +{ + "recommendations": [ + "ms-vscode.cpptools" + ] +} \ No newline at end of file diff --git a/CPE435/.vscode/launch.json b/CPE435/.vscode/launch.json new file mode 100644 index 0000000..38a951f --- /dev/null +++ b/CPE435/.vscode/launch.json @@ -0,0 +1,49 @@ +{ + "version": "0.2.0", + "configurations": [ + { + "name": "gcc - Build and debug active file", + "type": "cppdbg", + "request": "launch", + "program": "${fileDirname}/${fileBasenameNoExtension}", + "args": [], + "stopAtEntry": false, + "cwd": "${fileDirname}", + "environment": [], + "externalConsole": false, + "MIMode": "gdb", + "setupCommands": [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + } + ], + "preLaunchTask": "C/C++: g++ build active file", + "miDebuggerPath": "/usr/bin/gdb" + }, + { + "name": "C/C++ Runner: Debug Session", + "type": "cppdbg", + "request": "launch", + "args": [ + "" + ], + "stopAtEntry": false, + "cwd": "/home/student/anw0044/CPE435Lab_SP22/Lab6", + "environment": [], + "program": "/home/student/anw0044/CPE435Lab_SP22/Lab6/build/Debug/outDebug", + "internalConsoleOptions": "openOnSessionStart", + "MIMode": "gdb", + "miDebuggerPath": "/usr/bin/gdb", + "externalConsole": false, + "setupCommands": [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + } + ] + } + ] +} \ No newline at end of file diff --git a/CPE435/.vscode/settings.json b/CPE435/.vscode/settings.json new file mode 100644 index 0000000..72a7d00 --- /dev/null +++ b/CPE435/.vscode/settings.json @@ -0,0 +1,38 @@ +{ + "files.associations": { + "ostream": "cpp", + "iostream": "cpp", + "wait.h": "c", + "stdio.h": "c", + "*.tcc": "cpp" + }, + "cmake.configureOnOpen": false, + "C_Cpp_Runner.cCompilerPath": "gcc", + "C_Cpp_Runner.cppCompilerPath": "/usr/bin/g++", + "C_Cpp_Runner.debuggerPath": "/usr/bin/gdb", + "C_Cpp_Runner.cStandard": "", + "C_Cpp_Runner.cppStandard": "", + "C_Cpp_Runner.msvcBatchPath": "", + "C_Cpp_Runner.warnings": [ + "-Wall", + "-Wextra", + "-Wpedantic" + ], + "C_Cpp_Runner.enableWarnings": true, + "C_Cpp_Runner.warningsAsError": false, + "C_Cpp_Runner.compilerArgs": [], + "C_Cpp_Runner.linkerArgs": [], + "C_Cpp_Runner.includePaths": [], + "C_Cpp_Runner.includeSearch": [ + "*", + "**/*" + ], + "C_Cpp_Runner.excludeSearch": [ + "**/build", + "**/build/**", + "**/.*", + "**/.*/**", + "**/.vscode", + "**/.vscode/**" + ] +} \ No newline at end of file diff --git a/CPE435/.vscode/tasks.json b/CPE435/.vscode/tasks.json new file mode 100644 index 0000000..b1b70af --- /dev/null +++ b/CPE435/.vscode/tasks.json @@ -0,0 +1,26 @@ +{ + "tasks": [ + { + "type": "cppbuild", + "label": "C/C++: g++ build active file", + "command": "/usr/bin/gcc", + "args": [ + "-lm", + "-fdiagnostics-color=always", + "-g", + "${file}", + "-o", + "${fileDirname}/${fileBasenameNoExtension}" + ], + "options": { + "cwd": "${fileDirname}" + }, + "problemMatcher": [ + "$gcc" + ], + "group": "build", + "detail": "compiler: /usr/bin/gcc" + }, + + ] +} \ No newline at end of file diff --git a/CPE435/Lab1/Lab01.pdf b/CPE435/Lab1/Lab01.pdf new file mode 100644 index 0000000..eec4aed Binary files /dev/null and b/CPE435/Lab1/Lab01.pdf differ diff --git a/CPE435/Lab1/Lab01_Study_Material.pdf b/CPE435/Lab1/Lab01_Study_Material.pdf new file mode 100644 index 0000000..4d3ec83 Binary files /dev/null and b/CPE435/Lab1/Lab01_Study_Material.pdf differ diff --git a/CPE435/Lab1/demo_1 b/CPE435/Lab1/demo_1 new file mode 100755 index 0000000..971ad2b Binary files /dev/null and b/CPE435/Lab1/demo_1 differ diff --git a/CPE435/Lab1/demo_1.cpp b/CPE435/Lab1/demo_1.cpp new file mode 100644 index 0000000..a80ba35 --- /dev/null +++ b/CPE435/Lab1/demo_1.cpp @@ -0,0 +1,19 @@ +using namespace std; +#include +#include +#include +#include +int main(int argc, char * argv[]) +{ + pid_t c_pid; + cout << "The pid of the parent is " << getpid() << endl; + c_pid = fork(); + if (c_pid == 0 ) /* we are the child */ + { + cout << "I am the child, my parents pid was " << getppid() << endl; + exit(0); + } + cout << "I am the parent, the child's pid is " << c_pid << endl; + + exit(0); +} diff --git a/CPE435/Lab1/demo_2 b/CPE435/Lab1/demo_2 new file mode 100755 index 0000000..4278308 Binary files /dev/null and b/CPE435/Lab1/demo_2 differ diff --git a/CPE435/Lab1/demo_2.cpp b/CPE435/Lab1/demo_2.cpp new file mode 100644 index 0000000..2b6d20b --- /dev/null +++ b/CPE435/Lab1/demo_2.cpp @@ -0,0 +1,17 @@ +/* This program illustrates the use of fork */ + +#include +#include +#include +using namespace std; + +int main() +{ + int x; + x = 0; + fork(); + x++; + // This should be printed twice, once by the parent and once by the child + cout << "I am process "<< getpid() << " and my x is " << x << endl; + return 0; +} diff --git a/CPE435/Lab1/demo_3 b/CPE435/Lab1/demo_3 new file mode 100755 index 0000000..bb4d88c Binary files /dev/null and b/CPE435/Lab1/demo_3 differ diff --git a/CPE435/Lab1/demo_3.cpp b/CPE435/Lab1/demo_3.cpp new file mode 100644 index 0000000..6e1c823 --- /dev/null +++ b/CPE435/Lab1/demo_3.cpp @@ -0,0 +1,18 @@ +/* This program illustrates multiple fork operations */ + +#include +#include +#include +#include + +using namespace std; + +int main() +{ + printf("I am the Parent\n"); + fork(); + printf("This is printed by both parent and child\n"); + fork(); + printf("This will be printed 4 times\n"); + return 0; +} diff --git a/CPE435/Lab1/demo_4 b/CPE435/Lab1/demo_4 new file mode 100755 index 0000000..7921517 Binary files /dev/null and b/CPE435/Lab1/demo_4 differ diff --git a/CPE435/Lab1/demo_4.cpp b/CPE435/Lab1/demo_4.cpp new file mode 100644 index 0000000..89b37f7 --- /dev/null +++ b/CPE435/Lab1/demo_4.cpp @@ -0,0 +1,25 @@ +/* This program illustrates the death of the parent process before the child is terminated. */ +/* The child process is now considered an orphan process since the parent is dead. */ + +#include +#include +#include + +int main() +{ + int pid; + pid = fork(); + if (pid == 0) + { + printf("I am the child, my ID is %d\n", getpid()); + printf("I am the child, my parent is %d\n", getppid()); + printf("The child will now sleep for 10 seconds\n"); + sleep(10); + printf("I am the same child with ID %d, but my parent Id is %d\n", getpid(), getppid()); + } + else + { + printf("I am the parent with ID %d. My parent is %d and my child is %d\n", getpid(), getppid(), pid); + sleep(5); + } +} diff --git a/CPE435/Lab1/lab1 b/CPE435/Lab1/lab1 new file mode 100755 index 0000000..3188573 Binary files /dev/null and b/CPE435/Lab1/lab1 differ diff --git a/CPE435/Lab1/lab1.cpp b/CPE435/Lab1/lab1.cpp new file mode 100644 index 0000000..4125efd --- /dev/null +++ b/CPE435/Lab1/lab1.cpp @@ -0,0 +1,20 @@ +using namespace std; +#include +#include +#include +#include +int main(int argc, char * argv[]) +{ + pid_t x; + cout << "The pid of the parent is " << getpid() << endl; + for(int i=0; i<10; i++){ + x = fork(); + if (x == 0 ) /* we are the child */ + { + cout << "Id: " << getpid() << "\tX: x "<< endl; + exit(0); + } + // cout << "I am the parent, the child's pid is " << x << endl; + } + exit(0); +} diff --git a/CPE435/Lab1/lab1Questions.txt b/CPE435/Lab1/lab1Questions.txt new file mode 100644 index 0000000..a5c6c13 --- /dev/null +++ b/CPE435/Lab1/lab1Questions.txt @@ -0,0 +1,3 @@ +Question 1: The processes' PIDs are different each time the program is run, and both processes differ by 1. +2: Four are running. +3: \ No newline at end of file diff --git a/CPE435/Lab10/Lab10.pdf b/CPE435/Lab10/Lab10.pdf new file mode 100644 index 0000000..1303aa8 Binary files /dev/null and b/CPE435/Lab10/Lab10.pdf differ diff --git a/CPE435/Lab10/UFTP_v3_transfer.pcapng b/CPE435/Lab10/UFTP_v3_transfer.pcapng new file mode 100644 index 0000000..95556cf Binary files /dev/null and b/CPE435/Lab10/UFTP_v3_transfer.pcapng differ diff --git a/CPE435/Lab10/apache_pb.png b/CPE435/Lab10/apache_pb.png new file mode 100644 index 0000000..eb99a8c Binary files /dev/null and b/CPE435/Lab10/apache_pb.png differ diff --git a/CPE435/Lab10/lab10Capture.pcap b/CPE435/Lab10/lab10Capture.pcap new file mode 100644 index 0000000..32928af Binary files /dev/null and b/CPE435/Lab10/lab10Capture.pcap differ diff --git a/CPE435/Lab10/lab10CaptureOpenOffice.pcap b/CPE435/Lab10/lab10CaptureOpenOffice.pcap new file mode 100644 index 0000000..b911c61 Binary files /dev/null and b/CPE435/Lab10/lab10CaptureOpenOffice.pcap differ diff --git a/CPE435/Lab10/lab10CaptureUAH.pcap b/CPE435/Lab10/lab10CaptureUAH.pcap new file mode 100644 index 0000000..5e2a24a Binary files /dev/null and b/CPE435/Lab10/lab10CaptureUAH.pcap differ diff --git a/CPE435/Lab10/mysql-ssl-larger.pcapng b/CPE435/Lab10/mysql-ssl-larger.pcapng new file mode 100644 index 0000000..657a8a9 Binary files /dev/null and b/CPE435/Lab10/mysql-ssl-larger.pcapng differ diff --git a/CPE435/Lab10/mysql_complete.pcap b/CPE435/Lab10/mysql_complete.pcap new file mode 100644 index 0000000..bfc4d79 Binary files /dev/null and b/CPE435/Lab10/mysql_complete.pcap differ diff --git a/CPE435/Lab10/openlogo-25.html b/CPE435/Lab10/openlogo-25.html new file mode 100644 index 0000000..df81b0c --- /dev/null +++ b/CPE435/Lab10/openlogo-25.html @@ -0,0 +1,7 @@ + + +404 Not Found + +

Not Found

+

The requested URL /icons/debian/openlogo-25.jpg was not found on this server.

+ diff --git a/CPE435/Lab10/rsasnakeoil2.README b/CPE435/Lab10/rsasnakeoil2.README new file mode 100644 index 0000000..a7c4c59 --- /dev/null +++ b/CPE435/Lab10/rsasnakeoil2.README @@ -0,0 +1,6 @@ +(05/31/07: Updated to use proper delimiter and specify protocol) + +In preferences for SSL set RSA Keylist to: + UNIX/Linux: 127.0.0.1,443,http,/path/to/snakeoil2.key + Windows: 127.0.0.1,443,http,c:\path\to\snakeoil2.key + diff --git a/CPE435/Lab10/rsasnakeoil2.cap b/CPE435/Lab10/rsasnakeoil2.cap new file mode 100644 index 0000000..a1c6bd4 Binary files /dev/null and b/CPE435/Lab10/rsasnakeoil2.cap differ diff --git a/CPE435/Lab10/rsasnakeoil2.key b/CPE435/Lab10/rsasnakeoil2.key new file mode 100644 index 0000000..b064354 --- /dev/null +++ b/CPE435/Lab10/rsasnakeoil2.key @@ -0,0 +1,19 @@ +-----BEGIN RSA PRIVATE KEY----- +MIICWwIBAAKBgQCkblMUCt4s42BVmvJCpq9HEi8Xzvq63E5jVjS5unNLeEQ9xmxp +pCWzYQKdCQQ/cj3YJ9OwWkV3tzbkJiPMEriu3qe2OoI8fCRZCviWQ4ujKTY/kX9d +xyOUKX8Kzgq9jZsvGReq1Y7sZqI36z9XUzzyqrt5GUuQfqejmf6ETInwPQIDAQAB +AoGAedqEWKsBIPTTtDziYYBTDnEsUxGA/685rCX7ZtQEkx4qPDlqqBMMGVW/8Q34 +hugrap+BIgSTzHcLB6I4DwiksUpR08x0hf0oxqqjMo0KykhZDfUUfxR85JHUrFZM +GznurVhfSBXX4Il9Tgc/RPzD32FZ6gaz9sFumJh0LKKadeECQQDWOfP6+nIAvmyH +aRINErBSlK+xv2mZ4jEKvROIQmrpyNyoOStYLG/DRPlEzAIA6oQnowGgS6gwaibg +g7yVTgBpAkEAxH6dcwhIDRTILvtUdKSWB6vdhtXFGdebaU4cuUOW2kWwPpyIj4XN +D+rezwfptmeOr34DCA/QKCI/BWkbFDG2tQJAVAH971nvAuOp46AMeBvwETJFg8qw +Oqw81x02X6TMEEm4Xi+tE7K5UTXnGld2Ia3VjUWbCaUhm3rFLB39Af/IoQJAUn/G +o5GKjtN26SLk5sRjqXzjWcVPJ/Z6bdA6Bx71q1cvFFqsi3XmDxTRz6LG4arBIbWK +mEvrXa5jP2ZN1EC7MQJAYTfwPZ8/4x/USmA4vx9FKdADdDoZnA9ZSwezWaqa44My +bJ0SY/WmNU+Z4ldVIkcevwwwcxqLF399hjrXWhzlBQ== +-----END RSA PRIVATE KEY----- + + + + diff --git a/CPE435/Lab10/snakeoil2_070531.tar b/CPE435/Lab10/snakeoil2_070531.tar new file mode 100644 index 0000000..ad90c27 Binary files /dev/null and b/CPE435/Lab10/snakeoil2_070531.tar differ diff --git a/CPE435/Lab10/snakeoil2_070531.tgz b/CPE435/Lab10/snakeoil2_070531.tgz new file mode 100644 index 0000000..e04e270 Binary files /dev/null and b/CPE435/Lab10/snakeoil2_070531.tgz differ diff --git a/CPE435/Lab10/telnet-cooked.pcap b/CPE435/Lab10/telnet-cooked.pcap new file mode 100644 index 0000000..34515d4 Binary files /dev/null and b/CPE435/Lab10/telnet-cooked.pcap differ diff --git a/CPE435/Lab10/telnet-raw.pcap b/CPE435/Lab10/telnet-raw.pcap new file mode 100644 index 0000000..fcef276 Binary files /dev/null and b/CPE435/Lab10/telnet-raw.pcap differ diff --git a/CPE435/Lab10/why_edu.png b/CPE435/Lab10/why_edu.png new file mode 100644 index 0000000..4535084 Binary files /dev/null and b/CPE435/Lab10/why_edu.png differ diff --git a/CPE435/Lab10/why_sme.png b/CPE435/Lab10/why_sme.png new file mode 100644 index 0000000..007f85b Binary files /dev/null and b/CPE435/Lab10/why_sme.png differ diff --git a/CPE435/Lab11/Lab11_Part 2.pdf b/CPE435/Lab11/Lab11_Part 2.pdf new file mode 100644 index 0000000..3aadd4e Binary files /dev/null and b/CPE435/Lab11/Lab11_Part 2.pdf differ diff --git a/CPE435/Lab11/Lab11_Part1.pdf b/CPE435/Lab11/Lab11_Part1.pdf new file mode 100644 index 0000000..16825f5 Binary files /dev/null and b/CPE435/Lab11/Lab11_Part1.pdf differ diff --git a/CPE435/Lab12/Lab12.pdf b/CPE435/Lab12/Lab12.pdf new file mode 100644 index 0000000..5a60a6d Binary files /dev/null and b/CPE435/Lab12/Lab12.pdf differ diff --git a/CPE435/Lab12/test.c b/CPE435/Lab12/test.c new file mode 100644 index 0000000..7d8af4c --- /dev/null +++ b/CPE435/Lab12/test.c @@ -0,0 +1,14 @@ +volatile unsigned int * const UART0DR = (unsigned int *)0x101f1000; + // UART0 is the terminal running at 0x101f1000 + // UART0DR is the register used to transmit and receive bytes + +void print_uart0(const char *s) { + while(*s != '\0') { /* Loop until end of string */ + *UART0DR = (unsigned int)(*s); /* Transmit char */ + s++; /* Next char */ + } +} + +void c_entry() { + print_uart0("Hello world!\n"); +} \ No newline at end of file diff --git a/CPE435/Lab13/Lab13.pdf b/CPE435/Lab13/Lab13.pdf new file mode 100644 index 0000000..5e859f5 Binary files /dev/null and b/CPE435/Lab13/Lab13.pdf differ diff --git a/CPE435/Lab2/1642876381.png b/CPE435/Lab2/1642876381.png new file mode 100644 index 0000000..e35a9b9 Binary files /dev/null and b/CPE435/Lab2/1642876381.png differ diff --git a/CPE435/Lab2/1642889504.png b/CPE435/Lab2/1642889504.png new file mode 100644 index 0000000..9494502 Binary files /dev/null and b/CPE435/Lab2/1642889504.png differ diff --git a/CPE435/Lab2/1642890159.png b/CPE435/Lab2/1642890159.png new file mode 100644 index 0000000..12b8ae9 Binary files /dev/null and b/CPE435/Lab2/1642890159.png differ diff --git a/CPE435/Lab2/1642890503.png b/CPE435/Lab2/1642890503.png new file mode 100644 index 0000000..bd7ca1d Binary files /dev/null and b/CPE435/Lab2/1642890503.png differ diff --git a/CPE435/Lab2/1642892271.png b/CPE435/Lab2/1642892271.png new file mode 100644 index 0000000..143e6fa Binary files /dev/null and b/CPE435/Lab2/1642892271.png differ diff --git a/CPE435/Lab2/Lab02.pdf b/CPE435/Lab2/Lab02.pdf new file mode 100644 index 0000000..4c2c3a6 Binary files /dev/null and b/CPE435/Lab2/Lab02.pdf differ diff --git a/CPE435/Lab2/Lab02_ex1Output.png b/CPE435/Lab2/Lab02_ex1Output.png new file mode 100644 index 0000000..0fc3f71 Binary files /dev/null and b/CPE435/Lab2/Lab02_ex1Output.png differ diff --git a/CPE435/Lab2/Lab2_ex1Output.png b/CPE435/Lab2/Lab2_ex1Output.png new file mode 100644 index 0000000..0fc3f71 Binary files /dev/null and b/CPE435/Lab2/Lab2_ex1Output.png differ diff --git a/CPE435/Lab2/Lab2_ex3Output.png b/CPE435/Lab2/Lab2_ex3Output.png new file mode 100644 index 0000000..e35a9b9 Binary files /dev/null and b/CPE435/Lab2/Lab2_ex3Output.png differ diff --git a/CPE435/Lab2/Lab2_ex4Output.png b/CPE435/Lab2/Lab2_ex4Output.png new file mode 100644 index 0000000..9494502 Binary files /dev/null and b/CPE435/Lab2/Lab2_ex4Output.png differ diff --git a/CPE435/Lab2/Report 2.pdf b/CPE435/Lab2/Report 2.pdf new file mode 100644 index 0000000..a1aedd8 Binary files /dev/null and b/CPE435/Lab2/Report 2.pdf differ diff --git a/CPE435/Lab2/a.out b/CPE435/Lab2/a.out new file mode 100755 index 0000000..c942e8a Binary files /dev/null and b/CPE435/Lab2/a.out differ diff --git a/CPE435/Lab2/lab2_ex1 b/CPE435/Lab2/lab2_ex1 new file mode 100755 index 0000000..f7c7ccf Binary files /dev/null and b/CPE435/Lab2/lab2_ex1 differ diff --git a/CPE435/Lab2/lab2_ex1.cpp b/CPE435/Lab2/lab2_ex1.cpp new file mode 100644 index 0000000..846fcbb --- /dev/null +++ b/CPE435/Lab2/lab2_ex1.cpp @@ -0,0 +1,23 @@ +using namespace std; +#include +#include +#include +#include +#include + +int main(int argc, char * argv[]) +{ + int val = 0; + int pid; + pid = fork(); + if (pid == 0 ) /* we are the child */ + { + val=+2; + cout << "Id: " << getpid() << "\tVal: " << val << endl; + } + else //(getpid > 0) // we are the parent + { + val=+5; + cout << "Id: " << getpid() << "\tVal: " << val << endl; + } +} diff --git a/CPE435/Lab2/lab2_ex2 b/CPE435/Lab2/lab2_ex2 new file mode 100755 index 0000000..59e25d5 Binary files /dev/null and b/CPE435/Lab2/lab2_ex2 differ diff --git a/CPE435/Lab2/lab2_ex2.cpp b/CPE435/Lab2/lab2_ex2.cpp new file mode 100644 index 0000000..bfa1dc5 --- /dev/null +++ b/CPE435/Lab2/lab2_ex2.cpp @@ -0,0 +1,61 @@ +using namespace std; +#include +#include +#include +#include +#include + +void mult(int x, int y, int id); + +void sub(int x, int y, int id); + +void add(int x, int y, int id); + +int main(int argc, char * argv[]) +{ + int pid; + cout << "Parent's PID: " << getpid() << endl; + pid = fork(); + if (pid == 0 ) // child1 + { + int pidChild2; + sub(4, 5, getpid()); + + // child1 subtracts numbers + pidChild2 = fork(); // child2 + if (pidChild2 == 0) + { + add(4, 5, getpid()); + exit(0); + } + else // child1 + { + wait(0); + mult(4, 5, getpid()); + exit(0); + } + } + else // parent of both + { + wait(0); + } +} + +void mult(int x, int y, int id) +{ + cout << "4*5 = " << x*y << endl; + cout << "Child1's ID: " << id << endl; +} + +void sub(int x, int y, int id) +{ + cout << "4-5 = " << x-y << endl; + cout << "Child1's ID: " << id << endl; +} + + +void add(int x, int y, int id) +{ + cout << "4+5 = " << x+y << endl; + cout << "Child2's ID: " << id << endl; +} diff --git a/CPE435/Lab2/lab2_ex3 b/CPE435/Lab2/lab2_ex3 new file mode 100755 index 0000000..366e818 Binary files /dev/null and b/CPE435/Lab2/lab2_ex3 differ diff --git a/CPE435/Lab2/lab2_ex3.cpp b/CPE435/Lab2/lab2_ex3.cpp new file mode 100644 index 0000000..374023d --- /dev/null +++ b/CPE435/Lab2/lab2_ex3.cpp @@ -0,0 +1,28 @@ +using namespace std; +#include +#include +#include +#include +int main(int argc, char * argv[]) +{ + int proc; + int x; + cout << "Please enter a number of processes to spawn: "; + cin >> proc; + if((proc % 2) != 0) + { + cout << "Odd number entered. Please enter an even number. Exiting now." << endl; + return(0); + } + + for(int i=0; i +#include +#include +#include +int main(int argc, char * argv[]) +{ + +} diff --git a/CPE435/Lab2/lab2_ex4_orphan b/CPE435/Lab2/lab2_ex4_orphan new file mode 100755 index 0000000..b0b4b18 Binary files /dev/null and b/CPE435/Lab2/lab2_ex4_orphan differ diff --git a/CPE435/Lab2/lab2_ex4_orphan.cpp b/CPE435/Lab2/lab2_ex4_orphan.cpp new file mode 100644 index 0000000..ae75ff4 --- /dev/null +++ b/CPE435/Lab2/lab2_ex4_orphan.cpp @@ -0,0 +1,22 @@ +using namespace std; +#include +#include +#include +#include +#include +int main(int argc, char * argv[]) +{ + int pid; + pid = fork(); + if (pid == 0) { + printf("I am the child, my process id is %d\n",getpid( )); + printf("the child’s parent process id is %d\n",getppid( )); + sleep(20); + printf("I am the child, my process id is %d\n",getpid( )); + printf("I am the child, parent's process id is %d\n",getppid( )); + } else + { + printf("I am the parent, my process id is %d\n",getpid( )); + printf("the parents parent process id is %d\n",getppid( )); + } +} diff --git a/CPE435/Lab2/lab2_ex4_sleeping b/CPE435/Lab2/lab2_ex4_sleeping new file mode 100755 index 0000000..c942e8a Binary files /dev/null and b/CPE435/Lab2/lab2_ex4_sleeping differ diff --git a/CPE435/Lab2/lab2_ex4_sleeping.cpp b/CPE435/Lab2/lab2_ex4_sleeping.cpp new file mode 100644 index 0000000..2a2997b --- /dev/null +++ b/CPE435/Lab2/lab2_ex4_sleeping.cpp @@ -0,0 +1,11 @@ +using namespace std; +#include +#include +#include +#include +#include +int main(int argc, char * argv[]) +{ + sleep(50); + printf("Hello World"); +} diff --git a/CPE435/Lab2/lab2_ex4_zombie b/CPE435/Lab2/lab2_ex4_zombie new file mode 100755 index 0000000..db97d23 Binary files /dev/null and b/CPE435/Lab2/lab2_ex4_zombie differ diff --git a/CPE435/Lab2/lab2_ex4_zombie.cpp b/CPE435/Lab2/lab2_ex4_zombie.cpp new file mode 100644 index 0000000..29958d7 --- /dev/null +++ b/CPE435/Lab2/lab2_ex4_zombie.cpp @@ -0,0 +1,14 @@ +using namespace std; +#include +#include +#include +#include +#include +int main(int argc, char * argv[]) +{ + if (fork ( ) > 0) + { + printf("parent\n"); + sleep(50); + } +} diff --git a/CPE435/Lab2/out b/CPE435/Lab2/out new file mode 100755 index 0000000..b0b4b18 Binary files /dev/null and b/CPE435/Lab2/out differ diff --git a/CPE435/Lab2/sleeping.png b/CPE435/Lab2/sleeping.png new file mode 100644 index 0000000..143e6fa Binary files /dev/null and b/CPE435/Lab2/sleeping.png differ diff --git a/CPE435/Lab2/zombie.png b/CPE435/Lab2/zombie.png new file mode 100644 index 0000000..12b8ae9 Binary files /dev/null and b/CPE435/Lab2/zombie.png differ diff --git a/CPE435/Lab3/Lab03.pdf b/CPE435/Lab3/Lab03.pdf new file mode 100644 index 0000000..8f8eaab Binary files /dev/null and b/CPE435/Lab3/Lab03.pdf differ diff --git a/CPE435/Lab3/Study_Lab03.pdf b/CPE435/Lab3/Study_Lab03.pdf new file mode 100644 index 0000000..d12475d Binary files /dev/null and b/CPE435/Lab3/Study_Lab03.pdf differ diff --git a/CPE435/Lab3/Study_Lab03.pptx b/CPE435/Lab3/Study_Lab03.pptx new file mode 100644 index 0000000..72dfe39 Binary files /dev/null and b/CPE435/Lab3/Study_Lab03.pptx differ diff --git a/CPE435/Lab3/a.txt b/CPE435/Lab3/a.txt new file mode 100644 index 0000000..8bd328b --- /dev/null +++ b/CPE435/Lab3/a.txt @@ -0,0 +1,26 @@ +total 1.2M +drwx------ 2 anw0044 student 4.0K Feb 8 20:59 . +drwx------ 7 anw0044 student 4.0K Feb 1 16:17 .. +-rw------- 1 anw0044 student 0 Feb 8 21:00 a.txt +-rw------- 1 anw0044 student 189 Feb 8 20:17 a.txt + +-rwx------ 1 anw0044 student 8.3K Feb 6 21:55 demo1 +-rw------- 1 anw0044 student 1.6K Feb 7 19:31 demo1.c +-rwx------ 1 anw0044 student 9.8K Feb 8 09:12 demo2 +-rw------- 1 anw0044 student 1.3K Feb 8 09:12 demo2.c +-rwx------ 1 anw0044 student 8.6K Feb 6 21:56 demo3 +-rw------- 1 anw0044 student 1.7K Feb 7 19:31 demo3.c +-rwx------ 1 anw0044 student 8.4K Feb 7 01:09 demo4 +-rw------- 1 anw0044 student 1.7K Feb 8 01:15 demo4.c +-rwx------ 1 anw0044 student 8.5K Feb 6 21:56 demo5 +-rw------- 1 anw0044 student 1.3K Feb 7 19:31 demo5.c +-rwx------ 1 anw0044 student 8.4K Feb 8 02:17 example2 +-rw------- 1 anw0044 student 271 Jan 27 16:19 example2.c +-rwx------ 1 anw0044 student 8.5K Feb 8 02:17 example3 +-rw------- 1 anw0044 student 536 Jan 27 16:19 example3.c +-rw------- 1 anw0044 student 121K Jan 27 16:19 Lab03.pdf +-rwx------ 1 anw0044 student 17K Feb 8 20:59 shell +-rw------- 1 anw0044 student 7.4K Feb 8 20:58 shell.c +-rw------- 1 anw0044 student 417K Jan 27 16:19 Study_Lab03.pdf +-rw------- 1 anw0044 student 482K Jan 27 16:19 Study_Lab03.pptx +-rw------- 1 anw0044 student 94 Feb 8 09:12 test.txt diff --git a/CPE435/Lab3/demo1 b/CPE435/Lab3/demo1 new file mode 100755 index 0000000..edf4270 Binary files /dev/null and b/CPE435/Lab3/demo1 differ diff --git a/CPE435/Lab3/demo1.c b/CPE435/Lab3/demo1.c new file mode 100644 index 0000000..6686700 --- /dev/null +++ b/CPE435/Lab3/demo1.c @@ -0,0 +1,44 @@ +/* +Written By: Prawar Poudel +This program is intended to showcase the use of strtok() function +Please study about the strtok function first and compare the three outputs that you will receive here +*/ +#include +#include +#include +int main(int argc,char* argv[]) +{ + printf("Demo Number 1\n"); + printf("================\n"); + char myString[100] = "i,want to break,this string using, both,comma and space"; + //following is the temporary string that I want to keep my char[] read from breaking the above char[] myString + //following breaks based on space character + char *temp; + temp = strtok(myString," "); //include inside "" + while(temp!=NULL) + { + printf("%s\n",temp); + temp = strtok(NULL," "); //include inside "" + } + //following breaks based on comma character + printf("\n\nDemo Number 2\n"); + printf("================\n"); + strcpy(myString,"i,want to break,this string using, both,comma and space"); + temp = strtok(myString,","); //include , inside "" + while(temp!=NULL) + { + printf("%s\n",temp); + temp = strtok(NULL,","); //include , inside "" + } + //following breaks based on space or comma character + printf("\n\nDemo Number 3\n"); + printf("================\n"); + strcpy(myString,"i,want to break,this string using, both,comma and space"); + temp = strtok(myString,", "); //include both space and , inside "" while(temp!=NULL) + while(temp!=NULL) + { + printf("%s\n",temp); + temp = strtok(NULL,", "); //include both space and , inside "" + } + return 0; +} diff --git a/CPE435/Lab3/demo2 b/CPE435/Lab3/demo2 new file mode 100755 index 0000000..b4a3636 Binary files /dev/null and b/CPE435/Lab3/demo2 differ diff --git a/CPE435/Lab3/demo2.c b/CPE435/Lab3/demo2.c new file mode 100644 index 0000000..8c41d41 --- /dev/null +++ b/CPE435/Lab3/demo2.c @@ -0,0 +1,33 @@ +/* +Written By: Prawar Poudel +This program is supposed to demonstrate the execution of dup2() function +Please read the manual page first before jumping to run this program +*/ +#include +#include +#include +#include +#include +int main() +{ + printf("You would expect this to go to your stdout, and it does\n"); + //we will create a file using open function + char myFileName[] = "test.txt"; + //lets open the file by the name of test.txt + int myDescriptor = open(myFileName,O_CREAT|O_RDWR|O_TRUNC,0644); + int id; + //creating a child that redirects the stdout to test.txt + // you can use similar functionality for '>' operator + if((id=fork())==0) + { + //lets call dup2 so that out stdout (second argument) is now copied to (points to) test.txt (first argument) + // what this essentially means is that anything that you send to stdout will be sent to myDescriptor + dup2(myDescriptor,1); //1 is stdout, 0 is stdin and 2 is stderr + printf("You would expect this to go to your stdout, but since we called dup2, this will go to test.txt"); + close(myDescriptor); + exit(0); + }else + wait(0); + printf("This is also printed to the console\n"); + return 0; +} diff --git a/CPE435/Lab3/demo3 b/CPE435/Lab3/demo3 new file mode 100755 index 0000000..ff2137c Binary files /dev/null and b/CPE435/Lab3/demo3 differ diff --git a/CPE435/Lab3/demo3.c b/CPE435/Lab3/demo3.c new file mode 100644 index 0000000..2d834f9 --- /dev/null +++ b/CPE435/Lab3/demo3.c @@ -0,0 +1,49 @@ +/* +Written By: Prawar Poudel +This program demonstrates the use of pipe() function in C +Please man pipe and have understanding before going through this code +Pipe passes information from one process to another, similar to water-pipes there is a read-end and a write-end of pipe +*/ +#include +#include +#include +#include +#include +int main() +{ + int myPipingDescriptors[2]; + if(pipe(myPipingDescriptors)==-1) + { + printf("Error in calling the piping function\n"); + exit(0); + } + //at this point two pipe ends are created + // one is the read end and other is write end + // [0] will be the read end, [1] will be the write end + //now lets fork two process where one will make use of the read end and other will make + // use of write end + // they can communicate this way through the pipe + int id; + if((id=fork())==0) + { + dup2(myPipingDescriptors[1],1); //second argument 1 is stdout + close(myPipingDescriptors[0]); //read end is unused to lets close it + //this following statement will not be printed since we have copied the stdout to write end of pipe + printf("I am child, and sending this message.\n"); + exit(0); + }else if (id>0) + { + wait(0); + char myRead[100]; + //basically what's written to the write-end of pipe stays there until we read the read-end of pipe + read(myPipingDescriptors[0],myRead,37); + printf("I am parent. I read following statement\n\t%s\n",myRead); close(myPipingDescriptors[1]); + }else + { + printf("Failed to fork so terminating the process\n"); + exit(-1); + } + close(myPipingDescriptors[0]); + close(myPipingDescriptors[1]); + return 0; +} diff --git a/CPE435/Lab3/demo4 b/CPE435/Lab3/demo4 new file mode 100755 index 0000000..e9df5b6 Binary files /dev/null and b/CPE435/Lab3/demo4 differ diff --git a/CPE435/Lab3/demo4.c b/CPE435/Lab3/demo4.c new file mode 100644 index 0000000..dcebf18 --- /dev/null +++ b/CPE435/Lab3/demo4.c @@ -0,0 +1,46 @@ +/* +Written By: Prawar Poudel +execvp runs a program that you pass as argument +Please study about the execvp function before going to run this program +After you understand the things, please run and watch them +Please make sure that execvp has the right executable provided +*/ +#include +#include +#include +#include +int main() +{ + char myArgument[100]; + //you can change the content of myArgument using any user typed input using gets() + strcpy(myArgument,"date"); + //execvp expects the arguments to be provided as char[][] + //so please make sure you understand strtok before coming here + //we will use strtok() to break the sequence of command and argument in myArgument to convert to char[][] + char* myBrokenArgs[10]; //this will hold the values after we tokenize + printf("Starting tokenization...\n"); + myBrokenArgs[0] = strtok(myArgument," "); + int counter = 0; + while(myBrokenArgs[counter]!=NULL) + { + counter+=1; + myBrokenArgs[counter] = strtok(NULL," "); + } + myBrokenArgs[counter] = NULL; + printf("\ttokenization complete....\n\nNow executing using execvp\n"); + printf("Following will be the output of execvp\n"); + printf("=======================================\n"); + int id; + //I will spawn a child that will run my execvp command + if((id=fork())==0) + execvp(myBrokenArgs[0],myBrokenArgs); + else if(id<0) + printf("Failed to make child...\n"); + else + { + //parent shall wait until the child is killed + wait(0); + printf("=======================================\n"); printf("Completed execution\n"); + } + return 0; +} diff --git a/CPE435/Lab3/demo5 b/CPE435/Lab3/demo5 new file mode 100755 index 0000000..6ebf0d4 Binary files /dev/null and b/CPE435/Lab3/demo5 differ diff --git a/CPE435/Lab3/demo5.c b/CPE435/Lab3/demo5.c new file mode 100644 index 0000000..b6702f0 --- /dev/null +++ b/CPE435/Lab3/demo5.c @@ -0,0 +1,57 @@ +/* + program runs "ls | sort" command using 2 child processes + + dup2 is used to duplicate an old file descriptor into a new one + normal file descriptor table + + 0 [ standard input ] + 1 [ standard output ] + 2 [ standard error ] + + pipe is used to communicate between child processes + unused ends of the pipe should be closed if unused + in that process + + + +*/ +#include +#include +#include +#include +#include + +int main() +{ + int fds[2]; + pipe(fds); + // Child 1 will duplicate downstream into stdin + if(fork() == 0) + { + dup2(fds[0], 0); // normally 0 is for stdin + // will now read to fds[0] + // end of pipe + close(fds[1]); // close other end of pipe + execlp("sort", "sort", 0); + //execlp("wc", "wc", "-l", 0); // Note the first argument is the command + // After it are the arguments including + // original command + } + // Child2 duplicates upstream into stdout + else if (fork() == 0) + { + dup2(fds[1], 1); // normally 1 is for stdout + // will now write to fds[1] + // end of pipe + close(fds[0]); // close other end of pipe + execlp("ls", "ls", 0); + } + // Parent + else + { + close(fds[0]); + close(fds[1]); + wait(0); + wait(0); + } +} diff --git a/CPE435/Lab3/example2 b/CPE435/Lab3/example2 new file mode 100755 index 0000000..6aa712d Binary files /dev/null and b/CPE435/Lab3/example2 differ diff --git a/CPE435/Lab3/example2.c b/CPE435/Lab3/example2.c new file mode 100644 index 0000000..64d8ea5 --- /dev/null +++ b/CPE435/Lab3/example2.c @@ -0,0 +1,14 @@ +#include +#include +#include +#include + +int main() +{ + char *cmd[] = {"who", "ls", "date"}; + int i; + printf("0=who, 1=ls, 2=date :"); + scanf("%d", &i); + execlp(cmd[i], cmd[i], 0); + printf("command not found\n"); /*exec failed*/ +} diff --git a/CPE435/Lab3/example3 b/CPE435/Lab3/example3 new file mode 100755 index 0000000..b50fe0c Binary files /dev/null and b/CPE435/Lab3/example3 differ diff --git a/CPE435/Lab3/example3.c b/CPE435/Lab3/example3.c new file mode 100644 index 0000000..13d3f82 --- /dev/null +++ b/CPE435/Lab3/example3.c @@ -0,0 +1,28 @@ +#include +#include +#include +#include +#include + +int main() +{ + char *cmd[] = {"who", "ls", "date", "notacommand"}; + int i; + while(1) + { + printf("0=who, 1=ls, 2=date, 3=notacommand :"); + scanf("%d", &i); + if(fork() == 0) + { + execlp(cmd[i], cmd[i], 0); // If execlp runs correctly, control + // is transfer to the new program + printf("command not found\n"); // exec failed + exit(1); + } + else + { + // Parent waits for child process to complete + wait(0); + } + } +} diff --git a/CPE435/Lab3/shell b/CPE435/Lab3/shell new file mode 100755 index 0000000..135e86d Binary files /dev/null and b/CPE435/Lab3/shell differ diff --git a/CPE435/Lab3/shell.c b/CPE435/Lab3/shell.c new file mode 100644 index 0000000..2fd7be5 --- /dev/null +++ b/CPE435/Lab3/shell.c @@ -0,0 +1,278 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +char* substr(const char *src, int m, int n); +void pipeFunc(char *command1, char *command2); + +int main() +{ + while (1) + { + printf("My Shell\n"); + printf(">> "); + //following is the temporary string that I want to keep my char[] read from breaking the above char[] myString + //following breaks based on space character + char commandStr[100], commandStrFull[100]; + char *temp; + char *temp2; + char temp3[100]; + //strcpy(commandStr, "exit"); + fgets(commandStr, 100, stdin); + //strcpy(commandStr, "ls -l > a.txt"); + strcpy(commandStrFull,commandStr); + char *filename; + int flag = 0; + // exit program if command is exit + if(strcmp(commandStr, "exit\n")==0) + { + exit(-1); + } + + int redir=0; + int redirIndex; + int pipeNum=0; + int single=0; + char* redirCheckTwo=NULL; + char* command2; + char* command1; + char* pipeCheck = strchr(commandStr, '|'); + char* redirCheck = strchr(commandStr, '>'); + if(pipeCheck!=NULL) + { + pipeNum=1; + redirCheckTwo = strchr(commandStr, '>'); + command1 = strrchr(commandStr, '|'); + redirIndex = strcspn(commandStr, ">"); + } + else single=1; + if (redirCheckTwo!=NULL) + { + command1 = strrchr(commandStr, '|'); + redir=1; + } + if(redirCheck!=NULL) redir=1; + //commandStr[strcspn(commandStr, "\n")] = 0; + // pipe or redirect + if (pipeNum==1 || redir==1) + { + temp = strtok(commandStr," "); //include , inside "" + while(temp!=NULL) + { + //printf("%s\n",temp); + if (strcmp(temp,">")==0 && redir==1) + { + filename = strtok(NULL, " "); + //printf("Filename: %s\n", filename); + } + if (strcmp(temp,"|")==0 && pipeCheck!=NULL) + { + command2 = strtok(NULL," >"); + //puts(strchr(temp, '|')); + flag=1; + } + + if (pipeNum==1 || redir==1) + { + temp = strtok(NULL," "); //include , inside "" + } + else temp2 = strtok(NULL," "); //include , inside "" + } + } + // single command is run + if(single==1 && pipeNum==0 && redir==0){ + commandStr[strcspn(commandStr, "\n")] = 0; + //strcpy(temp,commandStr); + + //execvp expects the arguments to be provided as char[][] + //so please make sure you understand strtok before coming here + //we will use strtok() to break the sequence of command and argument in myArgument to convert to char[][] + char* myBrokenArgs[10]; //this will hold the values after we tokenize + //printf("Starting tokenization...\n"); + myBrokenArgs[0] = strtok(commandStr," "); + int counter = 0; + while(myBrokenArgs[counter]!=NULL) + { + counter+=1; + myBrokenArgs[counter] = strtok(NULL," "); + } + myBrokenArgs[counter] = NULL; + //printf("\ttokenization complete....\n\nNow executing using execvp\n"); + //printf("Following will be the output of execvp\n"); + //printf("=======================================\n"); + if ((strcmp("ls",myBrokenArgs[0]) ==0) && single==1) + { + int id; + //I will spawn a child that will run my execvp command + if((id=fork())==0) + execvp(myBrokenArgs[0],myBrokenArgs); + else if(id<0) + printf("Failed to make child...\n"); + else + { + //parent shall wait until the child is killed + wait(0); + printf("=======================================\n"); + printf("Completed execution\n"); + } + } + else if (strcmp("date",myBrokenArgs[0]) ==0) + { + int id; + //I will spawn a child that will run my execvp command + if((id=fork())==0) + execvp(myBrokenArgs[0],myBrokenArgs); + else if(id<0) + printf("Failed to make child...\n"); + else + { + //parent shall wait until the child is killed + wait(0); + printf("=======================================\n"); + printf("Completed execution\n"); + } + } + else if ((strcmp("ps",myBrokenArgs[0]) ==0)) + { + int id; + //I will spawn a child that will run my execvp command + if((id=fork())==0) + execvp(myBrokenArgs[0],myBrokenArgs); + else if(id<0) + printf("Failed to make child...\n"); + else + { + //parent shall wait until the child is killed + wait(0); + printf("=======================================\n"); + printf("Completed execution\n"); + } + } + } + // pipe is used + if (pipeNum==1 && redirCheck==NULL && single==0) + { + strcpy(commandStrFull, "ls -lah | wc -l"); + int size = strcspn(commandStrFull, "|"); + int end = strcspn(commandStrFull, "\0"); + int beg = strcspn(commandStrFull, "|"); + char* command2 = substr(commandStrFull, beg+2, end); + + end = strcspn(commandStrFull, "|"); + char* command1 = substr(commandStrFull, 0, end-1); + + char* command1Args[10]; //this will hold the values after we tokenize + //printf("Starting tokenization...\n"); + command1Args[0] = strtok(command1," "); + int counter = 0; + while(command1Args[counter]!=NULL) + { + counter+=1; + command1Args[counter] = strtok(NULL,"\0"); + } + command1Args[counter] = NULL; + + char* command2Args[10]; //this will hold the values after we tokenize + //printf("Starting tokenization...\n"); + command2Args[0] = strtok(command2," "); + counter = 0; + while(command2Args[counter]!=NULL) + { + counter+=1; + command2Args[counter] = strtok(NULL," "); + } + command2Args[counter] = NULL; + + int fds[2]; + pipe(fds); + // Child 1 will duplicate downstream into stdin + if(fork() == 0) + { + dup2(fds[0], 0); // normally 0 is for stdin + // will now read to fds[0] + // end of pipe + close(fds[1]); // close other end of pipe + execlp(command2Args[0] ,command2Args[0], command2Args, 0); + //execlp("wc", "wc", "-l", 0); // Note the first argument is the command + // After it are the arguments including + // original command + } + // Child2 duplicates upstream into stdout + else if (fork() == 0) + { + dup2(fds[1], 1); // normally 1 is for stdout + // will now write to fds[1] + // end of pipe + close(fds[0]); // close other end of pipe + execlp(command1Args[0],command1Args[0], command1Args, 0); + } + // Parent + else + { + close(fds[0]); + close(fds[1]); + wait(0); + wait(0); + } + } + if (pipeNum==0 && redir==1) + { + int end = strcspn(commandStrFull, ">"); + int beg = strcspn(commandStrFull, "|"); + char* command1 = substr(commandStrFull, 0, end-1); + char* myBrokenArgs[10]; //this will hold the values after we tokenize + printf("Starting tokenization...\n"); + myBrokenArgs[0] = strtok(command1," "); + int counter = 0; + while(myBrokenArgs[counter]!=NULL) + { + counter+=1; + myBrokenArgs[counter] = strtok(NULL," "); + } + myBrokenArgs[counter] = NULL; + + int myDescriptor = open(filename,O_CREAT|O_RDWR|O_TRUNC,0644); + int id; + //creating a child that redirects the stdout to test.txt + // you can use similar functionality for '>' operator + if((id=fork())==0) + { + //lets call dup2 so that out stdout (second argument) is now copied to (points to) test.txt (first argument) + // what this essentially means is that anything that you send to stdout will be sent to myDescriptor + dup2(myDescriptor,1); //1 is stdout, 0 is stdin and 2 is stderr + execvp(myBrokenArgs[0],myBrokenArgs); + close(myDescriptor); + exit(0); + }else + wait(0); + printf("Completed execution.\n"); + } + } + return 0; + +} + +void pipeFunc(char *command1, char *command2) +{ + +} + +char* substr(const char *src, int m, int n) +{ + // get the length of the destination string + int len = n - m; + + // allocate (len + 1) chars for destination (+1 for extra null character) + char *dest = (char*)malloc(sizeof(char) * (len + 1)); + + // start with m'th char and copy `len` chars into the destination + strncpy(dest, (src + m), len); + + // return the destination string + return dest; +} \ No newline at end of file diff --git a/CPE435/Lab3/test.txt b/CPE435/Lab3/test.txt new file mode 100644 index 0000000..214bb13 --- /dev/null +++ b/CPE435/Lab3/test.txt @@ -0,0 +1 @@ +You would expect this to go to your stdout, but since we called dup2, this will go to test.txt \ No newline at end of file diff --git a/CPE435/Lab4/IPC_SharedMemory.pdf b/CPE435/Lab4/IPC_SharedMemory.pdf new file mode 100644 index 0000000..803d8e5 Binary files /dev/null and b/CPE435/Lab4/IPC_SharedMemory.pdf differ diff --git a/CPE435/Lab4/IPC_SharedMemory.pptx b/CPE435/Lab4/IPC_SharedMemory.pptx new file mode 100644 index 0000000..9d9b876 Binary files /dev/null and b/CPE435/Lab4/IPC_SharedMemory.pptx differ diff --git a/CPE435/Lab4/Lab04.pdf b/CPE435/Lab4/Lab04.pdf new file mode 100644 index 0000000..407010b Binary files /dev/null and b/CPE435/Lab4/Lab04.pdf differ diff --git a/CPE435/Lab4/Process_A b/CPE435/Lab4/Process_A new file mode 100755 index 0000000..c28b852 Binary files /dev/null and b/CPE435/Lab4/Process_A differ diff --git a/CPE435/Lab4/Process_A.c b/CPE435/Lab4/Process_A.c new file mode 100644 index 0000000..5253bb5 --- /dev/null +++ b/CPE435/Lab4/Process_A.c @@ -0,0 +1,73 @@ +/*********************************************************************************** + Code Inherited from Anon + Massively Modified by Prawar Poudel, 3 Feb 2020 (pp0030@uah.edu) + Modified by Noah Eid, 30 Jan 2021 (nae0005@uah.edu) +************************************************************************************/ + +#include +#include +#include +#include +#include +#include + +#include "line.h" + +/* + Process A creates a shared memory segment + Process A attaches a pointer to the shared memory segment created + .. then it writes an integer value and a character value to it + Process A will then print that character every 4 seconds to terminal + .. a number of times + + Process B will can also access the shared memory segment and change + .. the character being printed + + After the printing operation is done, Process A will detach and delete the shared memory segment + +*/ +main(void) +{ + int i, id ; + struct info *ctrl; + + // create the shared memory segment + // .. id is the file identifier of the shared memory segment + // .. if the key is shared, any process can attach to this shared memory segment + // .. 0666 is the file permission for the shared memory segment + if ( (id = shmget( key,MSIZ,IPC_CREAT | 0666) ) < 0 ) + { + //error…; + printf("error 1\n"); + exit(1) ; + } + + // attach a local pointer to the shared memory segment created + // .. exit if fails + if ( (ctrl = (struct info *) shmat( id, 0, 0)) <= (struct info *) (0) ) + { + //error … ; + printf("error 2\n"); + exit(1) ; + } + + // put some initial data in the shared memory so that we will just print the things before ProcessB is run + ctrl->c = 'a'; + ctrl->length = 10; + + // print line every 4 seconds + for (i = 0 ; i length ; i++ ) + { + putchar (ctrl->c); + putchar ('\n'); + sleep(4); + } + + //now detach the pointer from the shared memory + shmdt(ctrl); + //let us delete the shared memory + shmctl(id,IPC_RMID,NULL); + + //job done +} + diff --git a/CPE435/Lab4/Process_B b/CPE435/Lab4/Process_B new file mode 100755 index 0000000..c8f4e7a Binary files /dev/null and b/CPE435/Lab4/Process_B differ diff --git a/CPE435/Lab4/Process_B.c b/CPE435/Lab4/Process_B.c new file mode 100644 index 0000000..4aa8963 --- /dev/null +++ b/CPE435/Lab4/Process_B.c @@ -0,0 +1,57 @@ +/*********************************************************************************** + Code Inherited from Anon + Massively Modified by Prawar Poudel, 3 Feb 2020 (pp0030@uah.edu) + Modified by Noah Eid, 30 Jan 2021 (nae0005@uah.edu) +************************************************************************************/ +#include +#include +#include +#include +#include + +#include "line.h" + + +/* + + Process B can change the contents of the shared memory that Process A is using + ./ProcessB + +*/ + +main(int argc, char *argv[]) +{ + int id ; + struct info *ctrl; + + if (argc < 3) + { + //error…; + exit(3); + } + // get the id of the already created shared memory segment + // .. function to create is same as getting id of the already created shared memory segment + if ( (id = shmget( key,MSIZ, 0 )) < 0 ) + { + //error … ; + exit(1) ; + } + + // attach a local pointer to the shared memory + ctrl = (struct info *) shmat( id, 0, 0); + if ( ctrl <= (struct info *) (0) ) + { + //error … ; + exit(1) ; + } + + /* copy command line data to shared memory */ + ctrl->c = argv[1][0] ; + ctrl->length = atoi(argv[2]); + + + //detach the pointer from the shared memory + // .. we do not need to delete here, can be done in either of the process + shmdt(ctrl); + exit(0); + } diff --git a/CPE435/Lab4/Process_C.c b/CPE435/Lab4/Process_C.c new file mode 100644 index 0000000..4bf994f --- /dev/null +++ b/CPE435/Lab4/Process_C.c @@ -0,0 +1,89 @@ +/*********************************************************************************** + Code Inherited from Anon + Massively Modified by Prawar Poudel, 3 Feb 2020 (pp0030@uah.edu) +************************************************************************************/ + +#include +#include +#include +#include +#include + +#include "header.h" + +/* + Process C creates a shared memory segment + Process C attaches a pointer to the shared memory segment created + .. then it writes an integer value and a character value to it + .. it prints the character value for the integer number of times + .. then it waits on Process D to update the value of integer and the character + .. .. this will be indicated by the flag value being set to 1 by Process D + .. after the same printing operation is done, Process C will detach and delete the shared memory segment + +*/ +main(void) +{ + int i, id ; + struct info *ctrl; + + // create the shared memory segment + // .. id is the file identifier of the shared memory segment + // .. if the key is shared, any process can attach to this shared memory segment + // .. 0666 is the file permission for the shared memory segment + if ( (id = shmget( key,MSIZ,IPC_CREAT | 0666) ) < 0 ) + { + //error…; + printf("error 1\n"); + exit(1) ; + } + + // attach a local pointer to the shared memory segment created + // .. exit if fails + if ( (ctrl = (struct info *) shmat( id, 0, 0)) <= (struct info *) (0) ){ + //error … ; + printf("error 2\n"); + exit(1) ; } + + // put some initial data in the shared memory so that we will just print the things before Process D is run + ctrl->c = 'a'; + ctrl->length = 10; + ctrl->flag = 0; + + // print the character ctrl->c for ctrl->length times in a single line + for (i = 0 ; i length ; i++ ) + { + putchar (ctrl->c); + putchar (' '); + } + putchar('\n'); + + // flushing stdout just in case + fflush(stdout); + + // signal Process D that Process C is ready for next round, which will be printed below + ctrl->flag = 2; + + // wait for the flag to be set in processB to 1 + // .. flag is 0 right now + // .. once processB starts to run, it will set the flag to 1 + while(ctrl->flag!=1); + + + // print the character ctrl->c for ctrl->length times in a single line + for (i = 0 ; i length ; i++ ) + { + putchar (ctrl->c); + putchar (' '); + } + putchar ('\n'); + // flushing stdout just in case + fflush(stdout); + + //now detach the pointer from the shared memory + shmdt(ctrl); + //let us delete the shared memory + shmctl(id,IPC_RMID,NULL); + + //job done +} + diff --git a/CPE435/Lab4/Process_D.c b/CPE435/Lab4/Process_D.c new file mode 100644 index 0000000..e5a4c6a --- /dev/null +++ b/CPE435/Lab4/Process_D.c @@ -0,0 +1,51 @@ +/*********************************************************************************** + Code Inherited from Anon + Massively Modified by Prawar Poudel, 3 Feb 2020 (pp0030@uah.edu) +************************************************************************************/ +#include +#include +#include +#include +#include + +#include "header.h" + +main(int argc, char *argv[]) +{ + int id ; + struct info *ctrl; + + if (argc < 3) + { + //error…; + exit(3); + } + // get the id of the already created shared memory segment + // .. function to create is same as getting id of the already created shared memory segment + // .. notice the difference between the flags used in Process C and Process D + if ( (id = shmget( key,MSIZ, 0 )) < 0 ) + { + //error … ; + exit(1) ; + } + + // attach a local pointer to the shared memory + ctrl = (struct info *) shmat( id, 0, 0); + if ( ctrl <= (struct info *) (0) ) + { + //error … ; + exit(1) ; + } + // wait until Process C is ready to receive from process D + while(ctrl->flag==0); + + /* copy command line data to shared memory */ + ctrl->c = argv[1][0] ; + ctrl->length = atoi(argv[2]); + ctrl->flag = 1; + + //detach the pointer from the shared memory + // .. we do not need to delete here, can be done in either of the process + shmdt(ctrl); + exit(0); + } diff --git a/CPE435/Lab4/header.h b/CPE435/Lab4/header.h new file mode 100644 index 0000000..cc9021e --- /dev/null +++ b/CPE435/Lab4/header.h @@ -0,0 +1,7 @@ +struct info { + char c; + int length; + char flag; +}; +key_t key = 1243 ; +#define MSIZ sizeof(struct info) diff --git a/CPE435/Lab4/info.h b/CPE435/Lab4/info.h new file mode 100644 index 0000000..a4d4d3a --- /dev/null +++ b/CPE435/Lab4/info.h @@ -0,0 +1,8 @@ +struct info +{ + float value1, value2; + float sum; + int flag; +}; +#define KEY ((key_t)(1234)) +#define MSIZ sizeof(struct info) diff --git a/CPE435/Lab4/line.h b/CPE435/Lab4/line.h new file mode 100644 index 0000000..624fe5b --- /dev/null +++ b/CPE435/Lab4/line.h @@ -0,0 +1,6 @@ +struct info { + char c; + int length; +}; +#define key ((key_t)(1243)) +#define MSIZ sizeof(struct info) diff --git a/CPE435/Lab4/outputForReport.png b/CPE435/Lab4/outputForReport.png new file mode 100644 index 0000000..e6143e8 Binary files /dev/null and b/CPE435/Lab4/outputForReport.png differ diff --git a/CPE435/Lab4/process1 b/CPE435/Lab4/process1 new file mode 100755 index 0000000..aa051c9 Binary files /dev/null and b/CPE435/Lab4/process1 differ diff --git a/CPE435/Lab4/process1.c b/CPE435/Lab4/process1.c new file mode 100644 index 0000000..d3cdaee --- /dev/null +++ b/CPE435/Lab4/process1.c @@ -0,0 +1,69 @@ +#include +#include +#include +#include +#include + +#include "info.h" + + +main(void) +{ + int i, id; + struct info *ctrl; + int v1, v2; + int choice; + + // create the shared memory segment + // .. id is the file identifier of the shared memory segment + // .. if the key is shared, any process can attach to this shared memory segment + // .. 0666 is the file permission for the shared memory segment + if ( (id = shmget( KEY,MSIZ,IPC_CREAT | 0666) ) < 0 ) + { + //error…; + printf("error 1\n"); + exit(1) ; + } + + + // attach a local pointer to the shared memory segment created + // .. exit if fails + if ( (ctrl = (struct info *) shmat( id, 0, 0)) <= (struct info *) (0) ) + { + //error … ; + printf("error 2\n"); + exit(1) ; + } + ctrl->flag=0; + ctrl->value1=0; + ctrl->value1=0; + ctrl->sum=0; + while(ctrl->flag!=-1) + { + //ctrl->flag=0; + // ask for numbers and set flag to 1 + printf("Value 1: "); + scanf("%d",&v1); + printf("Value 2: "); + scanf("%d",&v2); + ctrl->value1 = v1; + ctrl->value2 = v2; + ctrl->flag = 1; + printf("Would you like to continue? Yes(1) or No(-1) : "); + scanf("%d",&choice); + if (choice == -1) + { + ctrl->flag = -1; + } else ctrl->flag=0; + + } + + + //now detach the pointer from the shared memory + shmdt(ctrl); + //let us delete the shared memory + shmctl(id,IPC_RMID,NULL); + + //job done +} + diff --git a/CPE435/Lab4/process2 b/CPE435/Lab4/process2 new file mode 100755 index 0000000..a441bb0 Binary files /dev/null and b/CPE435/Lab4/process2 differ diff --git a/CPE435/Lab4/process2.c b/CPE435/Lab4/process2.c new file mode 100644 index 0000000..56a2252 --- /dev/null +++ b/CPE435/Lab4/process2.c @@ -0,0 +1,46 @@ +#include +#include +#include +#include +#include + +#include "info.h" + +int main() +{ + int id; + struct info *ctrl; + + id = shmget( KEY,MSIZ, 0 ); + // get the id of the already created shared memory segment + // .. function to create is same as getting id of the already created shared memory segment + if ( id < 0 ) + { + //error … ; + exit(1) ; + } + + // attach a local pointer to the shared memory + ctrl = (struct info *) shmat( id, 0, 0); + if ( ctrl <= (struct info *) (0) ) + { + //error … ; + exit(1) ; + } + + while (ctrl->flag!=-1) + { + // if flag is 1, add the numbers from shared memory, set flag to 0 + if (ctrl->flag==1) + { + ctrl->sum = ctrl->value1+ctrl->value2; + printf("Sum: %f + %f = %f\n", ctrl->value1, ctrl->value2, ctrl->sum); + ctrl->flag = 0; + } + } + + //detach the pointer from the shared memory + // .. we do not need to delete here, can be done in either of the process + shmdt(ctrl); + exit(0); +} diff --git a/CPE435/Lab5/IPC Message Queues.pdf b/CPE435/Lab5/IPC Message Queues.pdf new file mode 100644 index 0000000..8d69b0f Binary files /dev/null and b/CPE435/Lab5/IPC Message Queues.pdf differ diff --git a/CPE435/Lab5/IPC Message Queues.pptx b/CPE435/Lab5/IPC Message Queues.pptx new file mode 100644 index 0000000..b84ea80 Binary files /dev/null and b/CPE435/Lab5/IPC Message Queues.pptx differ diff --git a/CPE435/Lab5/Lab05_Assignmnet.pdf b/CPE435/Lab5/Lab05_Assignmnet.pdf new file mode 100644 index 0000000..50cff69 Binary files /dev/null and b/CPE435/Lab5/Lab05_Assignmnet.pdf differ diff --git a/CPE435/Lab5/header.h b/CPE435/Lab5/header.h new file mode 100644 index 0000000..d6e1e11 --- /dev/null +++ b/CPE435/Lab5/header.h @@ -0,0 +1,7 @@ +struct text_message +{ + long mtype; + char mtext[100]; + #define KEY (key_t) 1234 + +}; \ No newline at end of file diff --git a/CPE435/Lab5/lab5Output.png b/CPE435/Lab5/lab5Output.png new file mode 100644 index 0000000..dc8585d Binary files /dev/null and b/CPE435/Lab5/lab5Output.png differ diff --git a/CPE435/Lab5/processA b/CPE435/Lab5/processA new file mode 100755 index 0000000..21e2470 Binary files /dev/null and b/CPE435/Lab5/processA differ diff --git a/CPE435/Lab5/processA.c b/CPE435/Lab5/processA.c new file mode 100644 index 0000000..50c3a0a --- /dev/null +++ b/CPE435/Lab5/processA.c @@ -0,0 +1,74 @@ +#include +#include +#include +#include +#include +#include +#include "header.h" + +main() +{ + char input[100]; + int msid, v; + struct text_message mess; + + // Creating message queue + msid = msgget( KEY, IPC_CREAT | 0666 ); + if ( msid == -1) + { + printf("Queue not joined\n"); + exit(1); + } + + + while (1) + { + printf("Enter a message: "); + fgets(input, sizeof(input), stdin); + input[strcspn(input, "\n")] = 0; + + /* Preparing a message */ + mess.mtype = KEY; + strcpy( mess.mtext, input); + + /* Write a message into queue */ + v = msgsnd (msid, &mess, strlen( input ) + 1, 0); + if ( v < 0 ) + { + printf("\nMessage failed to send\n"); + exit(1); + } + printf("Message sent\n"); + if (strcmp(input,"Exit")==0) + { + msgctl( msid, IPC_RMID, 0); + exit(0); + } + printf("Process will wait\n until Exit\n or new message is received.\n"); + + + + /* Read a message of the given type */ + v = msgrcv( msid, &mess, 100, mess.mtype, 0); // Blocking + + if ( v < 0 ) + { + printf("Error receiving message\n"); + exit(1); + } + else + { + if (strcmp(mess.mtext,"Exit")==0) + { + printf("Exit received\n"); + msgctl( msid, IPC_RMID, 0); + exit(0); + } + else + { + printf("Received: %s\n", mess.mtext); + } + } + } +} + diff --git a/CPE435/Lab5/processB b/CPE435/Lab5/processB new file mode 100755 index 0000000..d60a383 Binary files /dev/null and b/CPE435/Lab5/processB differ diff --git a/CPE435/Lab5/processB.c b/CPE435/Lab5/processB.c new file mode 100644 index 0000000..5d6c2b7 --- /dev/null +++ b/CPE435/Lab5/processB.c @@ -0,0 +1,73 @@ +#include +#include +#include +#include +#include +#include +#include "header.h" + +main() +{ + char input[100]; + int msid, v; + struct text_message mess; + + // Creating message queue + //msid = msgget( KEY, IPC_CREAT | 0644 ); + msid = msgget( KEY, 0 ); + if ( msid == -1) + { + printf("Queue not joined\n"); + exit(1); + } + + while (1) + { + /* Read a message of the given type */ + v = msgrcv( msid, &mess, 100, KEY, 0); // Blocking + + if ( v < 0 ) + { + printf("Error receiving message\n"); + exit(1); + } + else + { + if (strcmp(mess.mtext,"Exit")==0) + { + printf("Exit received\n"); + msgctl( msid, IPC_RMID, 0); + exit(0); + } + else + { + printf("Received: %s\n", mess.mtext); + //msgctl( msid, IPC_RMID, 0); + } + } + printf("Enter a message: "); + fgets(input, sizeof(input), stdin); + input[strcspn(input, "\n")] = 0; + + /* Preparing a message */ + mess.mtype = KEY; + strcpy( mess.mtext, input ); + + /* Write a message into queue */ + v = msgsnd (msid, &mess, strlen( input ) + 1, 0); + if ( v < 0 ) + { + printf("\nMessage failed to send\n"); + exit(1); + } + printf("Message sent\n"); + if (strcmp(mess.mtext,"Exit")==0) + { + msgctl( msid, IPC_RMID, 0); + exit(0); + } + printf("Process will wait\n until Exit\n or new message is received.\n"); + + } +} + diff --git a/CPE435/Lab5/receiver b/CPE435/Lab5/receiver new file mode 100755 index 0000000..40e0c58 Binary files /dev/null and b/CPE435/Lab5/receiver differ diff --git a/CPE435/Lab5/receiver.c b/CPE435/Lab5/receiver.c new file mode 100644 index 0000000..ae47738 --- /dev/null +++ b/CPE435/Lab5/receiver.c @@ -0,0 +1,45 @@ +// Adapted form IPC Message Queues slides +// receiver.c +// usage: ./receiver + +#include +#include +#include +#include +#include +#include + +struct text_message +{ + long mtype; + char mtext[100]; + +}; + +main(int argc, char *argv[]) +{ + int msid, v; + struct text_message mess; + + /* Get a message handle */ + msid = msgget( (key_t) atoi ( argv[1] ), 0 ); + if ( msid == -1) + { + printf("Queue not joined\n"); + exit(1); + } + + /* Read a message of the given type */ + v = msgrcv( msid, &mess, 100, atoi( argv[2] ), IPC_NOWAIT ); // Non-blocking + if ( v < 0 ) + { + printf("Error receiving message\n"); + exit(1); + } + else + { + printf("%d %s\n", mess.mtype, mess.mtext); + msgctl( msid, IPC_RMID, 0); + exit(0); + } +} \ No newline at end of file diff --git a/CPE435/Lab5/sender b/CPE435/Lab5/sender new file mode 100755 index 0000000..bdb2ede Binary files /dev/null and b/CPE435/Lab5/sender differ diff --git a/CPE435/Lab5/sender.c b/CPE435/Lab5/sender.c new file mode 100644 index 0000000..5d45b84 --- /dev/null +++ b/CPE435/Lab5/sender.c @@ -0,0 +1,43 @@ +// Adapted form IPC Message Queues Slides +// sender.c +// usage: ./sender + +#include +#include +#include +#include +#include +#include + +struct text_message +{ + long mtype; + char mtext[100]; +}; + +main(int argc, char *argv[]) +{ + int msid, v; + struct text_message mess; + + /* Creating a message queue */ + msid = msgget((key_t) atoi( argv[1] ), IPC_CREAT | 0666 ); + if( msid == -1 ) + { + printf("Failed to create queue\n"); + exit(1); + } + + /* Preparing a message */ + mess.mtype = atoi( argv[2] ); + strcpy( mess.mtext, argv[3] ); + + /* Write a message into queue */ + v = msgsnd (msid, &mess, strlen( argv[3] ) + 1, 0 ); + if ( v < 0 ) + { + printf("Message failed to send\n"); + exit(1); + } + printf("Message sent\n"); +} diff --git a/CPE435/Lab6/D1.c b/CPE435/Lab6/D1.c new file mode 100644 index 0000000..58bae6d --- /dev/null +++ b/CPE435/Lab6/D1.c @@ -0,0 +1,29 @@ +/* +Compile with gcc Lab06_D1.c -o Lab06 -lpthread + +*/ + +#include +#include +#define NUM_THREADS 5 + +void* printHello(void *threadId) +{ + printf("\n%d:Hello World!\n",threadId); + pthread_exit(NULL); +} +int main() +{ + pthread_t threads[NUM_THREADS]; + int rc,t; + for(t=0;t +#include +#include +#define NUM_THREADS 5 + +//the argument that will be sent will be the (int) id +void *simpleThreadFunc(void* argument) +{ + int myId = (int)argument; + printf("My Id is %d\n",myId); + int a = 0; +} + +int main() +{ + //you can create these dynamically also + pthread_t myThreads[NUM_THREADS]; + int status = 0; + int i; + for(i=0;i +#include +#include +#define NUM_THREADS 100 +int mutexProtectedGlobalVariable; +int unprotectedProtectedGlobalVariable; +pthread_mutex_t myMutex; + +//this function will update the value without any protection +void *unprotectedThreadFunc(void* argument) +{ + int i; + for( i=0;i<10000;i++) unprotectedProtectedGlobalVariable++; } + +//this function will update the value without any protection +void *protectedThreadFunc(void* argument) +{ + int i; + pthread_mutex_lock (&myMutex); + for( i=0;i<10000;i++) mutexProtectedGlobalVariable++; pthread_mutex_unlock (&myMutex); +} + +int main() +{ + mutexProtectedGlobalVariable = 0; + unprotectedProtectedGlobalVariable = 0; + int i; + + //you can create these dynamically also + pthread_t myThreads[NUM_THREADS]; + int status = 0; + printf("Calling unprotected set of threads\n"); + + //first set of five threads will call a function that will update the variable unprotected + for(i=0;i +#include +#include +#define NUM_THREADS 5 +#define ARRSIZE 5 +//this value is a global variable, +// but we will store it as thread local, meaning while it is still global the value will can be modified such that the modified value is thread specific +__thread int myVal; +__thread int myArr[ARRSIZE]; + +void printMyVal(int id) +{ + int i; + printf("My value myVal from thread %d is %d\n", id,myVal); + printf("My arr value are\n"); + for( i=0;i +#include +#include +#define NUM_THREADS 5 +#define ARRSIZE 5 + +//in this program we will use a key defined by pthread_key_t to define a key +pthread_key_t myKey; + +void printMyVal(int myId) +{ + printf("Getting specific value for thread %d using key\n",myId); int *myVal = pthread_getspecific(myKey); + printf("\t..The thread local value in thread id %d is %d\n",myId,*myVal); +} + +//the argument that will be sent will be the (int) id +void *simpleThreadFunc(void* argument) +{ + int myId = (int)argument; + //this variable will be thread specific value that we will print from other function + int myVal = myId*100; + printf("Creating the variable ::%d that will be referred to by Key from threadid %d\n",myVal,myId); + if(!pthread_setspecific(myKey,(void*)&myVal)) + {} + else + { + printf("Error in setting specific key in thread id %d\n",myId); + } + printMyVal(myId); +} + +int main() +{ + //you can create these dynamically also + pthread_t myThreads[NUM_THREADS]; + int status = 0; + pthread_key_create(&myKey,NULL); + int i; + for( i=0;i +#include +#define NUM_THREADS 5 + +void* printHello(void *threadId) +{ + printf("\n%d:Hello World!\n",threadId); + pthread_exit(NULL); +} +int main() +{ + pthread_t threads[NUM_THREADS]; + int rc,t; + for(t=0;t +#include +#include +#define NUM_THREADS 5 + +//the argument that will be sent will be the (int) id +void *simpleThreadFunc(void* argument) +{ + int myId = (int)argument; + printf("My Id is %d\n",myId); + int a = 0; +} + +int main() +{ + //you can create these dynamically also + pthread_t myThreads[NUM_THREADS]; + int status = 0; + int i; + for(i=0;i +#include +#include +#define NUM_THREADS 100 +int mutexProtectedGlobalVariable; +int unprotectedProtectedGlobalVariable; +pthread_mutex_t myMutex; + +//this function will update the value without any protection +void *unprotectedThreadFunc(void* argument) +{ + int i; + for( i=0;i<10000;i++) unprotectedProtectedGlobalVariable++; } + +//this function will update the value without any protection +void *protectedThreadFunc(void* argument) +{ + int i; + pthread_mutex_lock (&myMutex); + for( i=0;i<10000;i++) mutexProtectedGlobalVariable++; pthread_mutex_unlock (&myMutex); +} + +int main() +{ + mutexProtectedGlobalVariable = 0; + unprotectedProtectedGlobalVariable = 0; + int i; + + //you can create these dynamically also + pthread_t myThreads[NUM_THREADS]; + int status = 0; + printf("Calling unprotected set of threads\n"); + + //first set of five threads will call a function that will update the variable unprotected + for(i=0;i +#include +#include +#define NUM_THREADS 5 +#define ARRSIZE 5 +//this value is a global variable, +// but we will store it as thread local, meaning while it is still global the value will can be modified such that the modified value is thread specific +__thread int myVal; +__thread int myArr[ARRSIZE]; + +void printMyVal(int id) +{ + int i; + printf("My value myVal from thread %d is %d\n", id,myVal); + printf("My arr value are\n"); + for( i=0;i +#include +#include +#define NUM_THREADS 5 +#define ARRSIZE 5 + +//in this program we will use a key defined by pthread_key_t to define a key +pthread_key_t myKey; + +void printMyVal(int myId) +{ + printf("Getting specific value for thread %d using key\n",myId); int *myVal = pthread_getspecific(myKey); + printf("\t..The thread local value in thread id %d is %d\n",myId,*myVal); +} + +//the argument that will be sent will be the (int) id +void *simpleThreadFunc(void* argument) +{ + int myId = (int)argument; + //this variable will be thread specific value that we will print from other function + int myVal = myId*100; + printf("Creating the variable ::%d that will be referred to by Key from threadid %d\n",myVal,myId); + if(!pthread_setspecific(myKey,(void*)&myVal)) + {} + else + { + printf("Erorr in setting specific key in thread id %d\n",myId); + } + printMyVal(myId); +} + +int main() +{ + //you can create these dynamically also + pthread_t myThreads[NUM_THREADS]; + int status = 0; + pthread_key_create(&myKey,NULL); + int i; + for( i=0;i +#include +#include +#include +#include + + +#define TIMER_CLEAR (tv1.tv_sec = tv1.tv_usec = tv2.tv_sec = tv2.tv_usec = 0) +#define TIMER_START gettimeofday(&tv1, (struct timezone*)0) +#define TIMER_ELAPSED (double) (tv2.tv_usec-tv1.tv_usec)/1000000.0+(tv2.tv_sec-tv1.tv_sec) +#define TIMER_STOP gettimeofday(&tv2, (struct timezone*)0) +struct timeval tv1,tv2; + +pthread_mutex_t myMutex; + +#define MAX_THREADS 16 + +__thread double areaThread, areaThreadTotal; +__thread double myVal; + +int NUM_THREADS; +double iterations; +int a = 0, b = 1; +double area, h; +double sumOfRectangles; + +// returns square root +double func(double num) +{ + return sqrt(1-pow(num,2)); +} + +void *protectedThreadFunc(void* argument) +{ + int i; + int myId = (int)argument; + TIMER_START; + for(i=0;i<=iterations-1;i++) + { + areaThread=h*func(a+(i-1)*h); + areaThreadTotal+=areaThread; + } + + pthread_mutex_lock (&myMutex); + sumOfRectangles=4*areaThreadTotal; + TIMER_STOP; + pthread_mutex_unlock (&myMutex); + +} + +int main(int argc, char * argv[]) +{ + TIMER_CLEAR; + if (argc < 3) + { + printf("Please specify the iterations or threads\n"); + return -1; + } + area = 0; + int i; + + iterations = atoi(argv[1]); // in other words this is n + + NUM_THREADS = atoi(argv[2]); + + h = (b-a)/iterations; + + //creating pthread array + pthread_t myThreads[NUM_THREADS]; + int status = 0; + + + //printf("Calling protected set of threads\n"); + pthread_mutex_init(&myMutex, NULL); + //next set of threads will call a function that will update the variable protected + for(i=0;i +#include +#include +#define NUM_THREADS 100 +int mutexProtectedGlobalVariable; +int unprotectedProtectedGlobalVariable; +pthread_mutex_t myMutex; + +//this function will update the value without any protection +void *unprotectedThreadFunc(void* argument) +{ + int i; + for( i=0;i<10000;i++) unprotectedProtectedGlobalVariable++; } + +//this function will update the value without any protection +void *protectedThreadFunc(void* argument) +{ + int i; + pthread_mutex_lock (&myMutex); + for( i=0;i<10000;i++) mutexProtectedGlobalVariable++; pthread_mutex_unlock (&myMutex); +} + +int main() +{ + mutexProtectedGlobalVariable = 0; + unprotectedProtectedGlobalVariable = 0; + int i; + + //you can create these dynamically also + pthread_t myThreads[NUM_THREADS]; + int status = 0; + printf("Calling unprotected set of threads\n"); + + //first set of five threads will call a function that will update the variable unprotected + for(i=0;i +#include +#include +#include + + +#define TIMER_CLEAR (tv1.tv_sec = tv1.tv_usec = tv2.tv_sec = tv2.tv_usec = 0) +#define TIMER_START gettimeofday(&tv1, (struct timezone*)0) +#define TIMER_ELAPSED (double) (tv2.tv_usec-tv1.tv_usec)/1000000.0+(tv2.tv_sec-tv1.tv_sec) +#define TIMER_STOP gettimeofday(&tv2, (struct timezone*)0) +struct timeval tv1,tv2; + +double func(double num) +{ + return sqrt(1-pow(num,2)); +} + +int main(int argc, char * argv[]) +{ + TIMER_CLEAR; + if (argc < 2) + { + printf("Please specify the iterations\n"); + return -1; + } + + float iterations = atoi(argv[1]); + // integral bounds + float integral, sumOfRectangles; + double a,b, area; + a=0; + b=1; + double h = (b-a)/iterations; + int i=0; + TIMER_START; + // sum up Trapezoids + for (i; i <= iterations-1; i++) + { + area=h*func(a+(i-1)*h); + sumOfRectangles+=area; + } + integral=4*sumOfRectangles; + TIMER_STOP; + //integral = h*((sqrt(1-pow(a,2))/2)+sumOfTrapezoids+sqrt(1-pow(a,2))/2); + printf("Computed Sum for Rectangular Decomposition: %f\n", integral); + printf("Time elapsed = %f seconds\n", TIMER_ELAPSED); +} \ No newline at end of file diff --git a/CPE435/Lab6/timing.c b/CPE435/Lab6/timing.c new file mode 100644 index 0000000..a9dd5bc --- /dev/null +++ b/CPE435/Lab6/timing.c @@ -0,0 +1,25 @@ +#include +#include + + +#define TIMER_CLEAR (tv1.tv_sec = tv1.tv_usec = tv2.tv_sec = tv2.tv_usec = 0) +#define TIMER_START gettimeofday(&tv1, (struct timezone*)0) +#define TIMER_ELAPSED (double) (tv2.tv_usec-tv1.tv_usec)/1000000.0+(tv2.tv_sec-tv1.tv_sec) +#define TIMER_STOP gettimeofday(&tv2, (struct timezone*)0) +struct timeval tv1,tv2; + + +int main(int argc, char* argv[]) +{ + int i; + TIMER_CLEAR; + TIMER_START; + // Call to function that you want to time + // example + sleep(5); //Timer will give us ~5 seconds + TIMER_STOP; + printf("Time elapsed = %f seconds\n", TIMER_ELAPSED); + + + return 0; +} \ No newline at end of file diff --git a/CPE435/Lab7/Lab07.pdf b/CPE435/Lab7/Lab07.pdf new file mode 100644 index 0000000..f05d68b Binary files /dev/null and b/CPE435/Lab7/Lab07.pdf differ diff --git a/CPE435/Lab7/RoundRobin b/CPE435/Lab7/RoundRobin new file mode 100755 index 0000000..1273a2c Binary files /dev/null and b/CPE435/Lab7/RoundRobin differ diff --git a/CPE435/Lab7/RoundRobin.cpp b/CPE435/Lab7/RoundRobin.cpp new file mode 100644 index 0000000..197067a --- /dev/null +++ b/CPE435/Lab7/RoundRobin.cpp @@ -0,0 +1,85 @@ +#include +#include + +using namespace std; + +struct process +{ + int pid; // Process ID + int burst_time; // CPU Burst Time + int working_time; // Time this process executed, if working_time==burst_time, process is complete + int t_round; // turn around time, time needed for the process to complete + int arrivalTime; // time for a process to arrive to queue, which is zero in this case + int remainTime; // time remaining for a process to complete execution +}; + +main() +{ + int numProcesses; + cout << "Enter the number of processes: "; + cin >> numProcesses; + struct process pr[numProcesses]; + + int i, totalExecutionTime = 0, timeQuantum, flag = 0, n; + float totalWaitingTime = 0; + + for (i = 0; i < numProcesses; i++) + { + cout << "\nEnter PID for process " << i + 1 << " (ms): "; + cin >> pr[i].pid; + + cout << "\nEnter Burst Time for process " << i + 1 << " (ms): "; + cin >> pr[i].burst_time; + } + + // arrival time is zero + for (int i = 0; i < numProcesses; i++) + { + pr[i].arrivalTime = 0; + } + + printf("\nEnter Time Quantum: "); + scanf("%d", &timeQuantum); + + printf("\n"); + for (i = 0; numProcesses != 0;) + { + /** + * this below condition check the remain time for any process is less than or equal with the time quantum + * or not and also check the remain time is greater than 0 or not. if both condition are true that means + * the process can execute fully at one time. + */ + if (pr[i].remainTime <= timeQuantum && pr[i].remainTime > 0) + { + totalExecutionTime += pr[i].remainTime; + pr[i].remainTime = 0; + flag = 1; + } + + else if (pr[i].remainTime > 0) + { + pr[i].remainTime -= timeQuantum; + totalExecutionTime += timeQuantum; + } + + if (flag == 1 && pr[i].remainTime == 0) + { + printf("P[%d] | waiting Time : %d\n", i + 1, totalExecutionTime - pr[i].burst_time); + totalWaitingTime += totalExecutionTime - pr[i].burst_time; + flag = 0; + numProcesses--; + } + + if (i == n - 1) + i = 0; + else if (pr[i + 1].arrivalTime <= totalExecutionTime) + { + i++; + } + else + i = 0; + } + + totalWaitingTime = (float)totalWaitingTime / n; + printf("\nThe Average Waiting Time : %.2f \n", totalWaitingTime); +} diff --git a/CPE435/Lab7/output b/CPE435/Lab7/output new file mode 100755 index 0000000..418a845 Binary files /dev/null and b/CPE435/Lab7/output differ diff --git a/CPE435/Lab7/output.cpp b/CPE435/Lab7/output.cpp new file mode 100644 index 0000000..3cd656c --- /dev/null +++ b/CPE435/Lab7/output.cpp @@ -0,0 +1,16 @@ +#include +#include + +using namespace std; + +int main() +{ + int Grades[5] = { 3, 2, 5, 2 }; + +cout << "| Time (ms) |"; +for (int i = 0; i < sizeof(Grades)/sizeof(int); i++) { + cout << setw(8) << setfill(' ') << i << setw(4) << setfill(' ')<< "|"; +} + + return 0; +} \ No newline at end of file diff --git a/CPE435/Lab7/round_Robin b/CPE435/Lab7/round_Robin new file mode 100755 index 0000000..90035db Binary files /dev/null and b/CPE435/Lab7/round_Robin differ diff --git a/CPE435/Lab7/round_Robin.cpp b/CPE435/Lab7/round_Robin.cpp new file mode 100644 index 0000000..37f9561 --- /dev/null +++ b/CPE435/Lab7/round_Robin.cpp @@ -0,0 +1,150 @@ +#include +#include +#include +#include +#include + +using namespace std; + +int numProcesses; + +struct process{ + int pid; //Process ID + int burst_time; //CPU Burst Time + int working_time; //Time this process executed, if working_time==burst_time, process is complete + int t_round; //turn around time, time needed for the process to complete +}; + + + +void findWaitingTime(int processes[], int n, + int bt[], int wt[], int quantum); + + +void findTurnAroundTime(int processes[], int n, + int bt[], int wt[], int tat[]) +{ + // calculating turnaround time by adding + // bt[i] + wt[i] + for (int i = 0; i < n ; i++) + tat[i] = bt[i] + wt[i]; +} + + +void findavgTime(int processes[], int n, int bt[], + int quantum) +{ + int wt[n], tat[n], total_wt = 0, total_tat = 0; + + // Function to find waiting time of all processes + findWaitingTime(processes, n, bt, wt, quantum); + + // Function to find turn around time for all processes + findTurnAroundTime(processes, n, bt, wt, tat); + + // Display processes along with all details + cout << "Processes "<< " Burst time " + << " Waiting time " << " Turn around time\n"; + + // Calculate total waiting time and total turn + // around time + for (int i=0; i> numProcesses; + + + cout << "\nEnter Quantum Time (ms): "; + cin >> quantumTime; + + for(int i=0; i> pr[i].pid; + + cout << "\nEnter Burst Time for process " << i+1 << " (ms): "; + cin >> pr[i].burst_time; + } + // int x = sizeof pr->pid / sizeof pr[0].pid; + findavgTime(&pr->pid, numProcesses, &pr->burst_time, quantumTime); +} + +void findWaitingTime(int processes[], int n, + int bt[], int wt[], int quantum) +{ + // Make a copy of burst times bt[] to store remaining + // burst times. + int rem_bt[n]; + for (int i = 0 ; i < n ; i++) + rem_bt[i] = bt[i]; + + int t = 0; // Current time + + // Keep traversing processes in round robin manner + // until all of them are not done. + while (1) + { + bool done = true; + + // Traverse all processes one by one repeatedly + for (int i = 0 ; i < n; i++) + { + // If burst time of a process is greater than 0 + // then only need to process further + if (rem_bt[i] > 0) + { + done = false; // There is a pending process + + if (rem_bt[i] > quantum) + { + // Increase the value of t i.e. shows + // how much time a process has been processed + t += quantum; + + // Decrease the burst_time of current process + // by quantum + rem_bt[i] -= quantum; + } + + // If burst time is smaller than or equal to + // quantum. Last cycle for this process + else + { + // Increase the value of t i.e. shows + // how much time a process has been processed + t = t + rem_bt[i]; + + // Waiting time is current time minus time + // used by this process + wt[i] = t - bt[i]; + + // As the process gets fully executed + // make its remaining burst time = 0 + rem_bt[i] = 0; + } + } + } + + // If all processes are done + if (done == true) + break; + } +} \ No newline at end of file diff --git a/CPE435/Lab7/rr b/CPE435/Lab7/rr new file mode 100755 index 0000000..bd40b0a Binary files /dev/null and b/CPE435/Lab7/rr differ diff --git a/CPE435/Lab7/rr.cpp b/CPE435/Lab7/rr.cpp new file mode 100644 index 0000000..5213087 --- /dev/null +++ b/CPE435/Lab7/rr.cpp @@ -0,0 +1,159 @@ +#include +#include +#include + +using namespace std; + +struct process{ + int pid; //Process ID + int burst_time; //CPU Burst Time + int working_time; //Time this process executed, if working_time==burst_time, process is complete + int t_round; //turn around time, time needed for the process to complete + int remainTime; + int wait_time; + int execTime; +}; + + + + +int main() +{ + +int timeArray[10000], pidArray[10000]; +int timeIndex=0; + int count,j,n,time,remain,flag=0,time_quantum; + int wait_time=0,turnaround_time=0,at[n]; + printf("Enter Total Process:\t "); + scanf("%d",&n); + remain=n; + struct process pr[n]; + + for(count=0;count> pr[count].pid; + cout << "\nEnter Burst Time for process " << count + 1 << " (ms): "; + cin >> pr[count].burst_time; + pr[count].remainTime=pr[count].burst_time; + } + + // arrival time is zero + for (int i = 0; i < n; i++) + { + at[i] = 0; + } + printf("Enter Time Quantum:\t"); + scanf("%d",&time_quantum); + for(time=0,count=0;remain!=0;) + { + // the process still has some work time left + if(pr[count].remainTime<=time_quantum && pr[count].remainTime>0) + { + pidArray[timeIndex] = pr[count].pid; + timeArray[timeIndex] = pr[count].remainTime; + time+=pr[count].remainTime; + pr[count].remainTime=0; + flag=1; + // n = timeDuringQuantum - remainingTime + } + // + else if(pr[count].remainTime>0) + { + pr[count].remainTime-=time_quantum; + time+=time_quantum; + pidArray[timeIndex]= pr[count].pid; + timeArray[timeIndex] = time_quantum; + } + else{ + timeIndex--; + } + + if(pr[count].remainTime==0 && flag==1) + { + remain--; + pr[count].t_round = time-at[count]; + pr[count].wait_time = time-at[count]-pr[count].burst_time; + // printf("P[%d]\t|\t%d\t|\t%d\n",count+1,time-at[count],time-at[count]-pr[count].burst_time); + wait_time+=time-at[count]-pr[count].burst_time; + turnaround_time+=time-at[count]; + flag=0; + } + if(count==n-1) + { + count=0; + timeIndex++; + } + else if(at[count+1]<=time) + { + count++; + timeIndex++; + } + else + count=0; + } + + + + +for (int i = 0; i < timeIndex; i++) { + cout << setw(9) << setfill('-') << '-' << setw(6) << setfill('-')<< "-"; +} +cout << endl; + cout << "| Time (ms) |"; +for (int i = 0; i < timeIndex; i++) { + cout << setw(9) << setfill(' ') << timeArray[i] << setw(4) << setfill(' ')<< "|"; +} +cout << endl; +for (int i = 0; i < timeIndex; i++) { + cout << setw(9) << setfill('-') << '-' << setw(6) << setfill('-')<< "-"; +} +cout << endl; + cout << "| PID |"; +for (int i = 0; i < timeIndex; i++) { + cout << setw(9) << setfill(' ') << pidArray[i] << setw(4) << setfill(' ')<< " |"; +} +cout< +#include +#include +#include +#include + +//This function kills the process that makes a call to this function + void kill_func(int killSignal) +{ + printf("Received kill signal %d\n",killSignal); + printf("\tDying process %d\n",getpid()); + exit(0); +} +//this function prints a message and changes the function to handle the SIGINT command to kill_func() +void myFunction(int sigVal) +{ + printf("Received signal %d\n", sigVal); + printf("Now you can kill me..\n"); + signal(SIGINT,kill_func); +} +int main() +{ + //ignore the SIGINT signal + // .. SIGINT is ctrl+c + // .. SIG_IGN is signal ignore + // .since we ignore the signal ctrl+c, you cannot terminate this process with ctrl+c + signal(SIGINT,SIG_IGN); + //for alarm signal, call function myFunction() + // .. means when alarm goes off, myFunction will be called + signal(SIGALRM,myFunction); + //set alarm for 15 seconds from now + alarm(15); + printf("This gets printed as soon as alarm is called\n"); + //just running infinitely + while(1); +} diff --git a/CPE435/Lab8/lab08_demo.cpp b/CPE435/Lab8/lab08_demo.cpp new file mode 100644 index 0000000..d966dc4 --- /dev/null +++ b/CPE435/Lab8/lab08_demo.cpp @@ -0,0 +1,36 @@ +#include +#include +#include +#include +#include + +//This function kills the process that makes a call to this function + void kill_func(int killSignal) +{ + printf("Received kill signal %d\n",killSignal); + printf("\tDying process %d\n",getpid()); + exit(0); +} +//this function prints a message and changes the function to handle the SIGINT command to kill_func() +void myFunction(int sigVal) +{ + printf("Received signal %d\n", sigVal); + printf("Now you can kill me..\n"); + signal(SIGINT,kill_func); +} +int main() +{ + //ignore the SIGINT signal + // .. SIGINT is ctrl+c + // .. SIG_IGN is signal ignore + // .since we ignore the signal ctrl+c, you cannot terminate this process with ctrl+c + signal(SIGINT,SIG_IGN); + //for alarm signal, call function myFunction() + // .. means when alarm goes off, myFunction will be called + signal(SIGALRM,myFunction); + //set alarm for 15 seconds from now + alarm(15); + printf("This gets printed as soon as alarm is called\n"); + //just running infinitely + while(1); +} diff --git a/CPE435/Lab8/program1 b/CPE435/Lab8/program1 new file mode 100755 index 0000000..ec05975 Binary files /dev/null and b/CPE435/Lab8/program1 differ diff --git a/CPE435/Lab8/program1.cpp b/CPE435/Lab8/program1.cpp new file mode 100644 index 0000000..8cece53 --- /dev/null +++ b/CPE435/Lab8/program1.cpp @@ -0,0 +1,107 @@ +#include +#include +#include +#include +#include +#include +using namespace std; + +//this function prints a message and changes the function to handle the SIGINT command to kill_func() +void myFunction(int sigVal); + +// handles after alarm alarm +void alarmFunction(int ignore); +int child; + +// handles SIG_INT while the alarm is active +void ignoreFunc(int ignore); +//This function kills the process that makes a call to this function +void kill_func(int killSignal); + +// handles killing the child +void childKillFunc(int kill); + +int main() +{ + child = fork(); + // ignore the SIGINT signal + // .. SIGINT is ctrl+c + // .. ignoreFunc is the user-defined signal handler + // + signal(SIGINT,ignoreFunc); + // for alarm signal, call function alarmFunction() + // .. means when alarm goes off, alarmFunction will be called + + signal(SIGALRM,alarmFunction); + //set alarm for 15 seconds from now + alarm(10); + //printf("This gets printed as soon as alarm is called\n"); + // just running infinitely + while(1); +} + +void myFunction(int sigVal) +{ + printf("Received signal %d\n", sigVal); + printf("Now you can kill me..\n"); + signal(SIGINT,kill_func); +} + +void alarmFunction(int ignore) +{ + if (child== 0) + { + //define user signal and kill(child, USRSIG1) to send signal to child + + signal(SIGINT,SIG_IGN); + signal(SIGUSR1, childKillFunc); + } + else + { + printf("Received signal %d\n", ignore); + printf("Now you can kill me..\n"); + signal(SIGINT,kill_func); + } +} + +void kill_func(int killSignal) +{ + if(child == 0) + { + + } + else + { + //define user signal and kill(child, USRSIG1) to send signal to child + + printf("Sending signal to child\n"); + kill(child, SIGUSR1); + printf("Received kill signal %d\n",killSignal); + printf("\tDying process %d\n",getpid()); + exit(0); + } + +} + +void childKillFunc(int kill) +{ + if (child == 0) + { + cout << "Received kill signal" << endl; + cout << "Child Process " << getpid() << " is dying" << endl; + exit(0); + } + +} + +void ignoreFunc(int ignore) +{ + + if(child == 0) + { + } + else + { + cout << "Can't kill processes now\n"; + } +} \ No newline at end of file diff --git a/CPE435/Lab8/program1.png b/CPE435/Lab8/program1.png new file mode 100644 index 0000000..79b6af4 Binary files /dev/null and b/CPE435/Lab8/program1.png differ diff --git a/CPE435/Lab8/program2 b/CPE435/Lab8/program2 new file mode 100755 index 0000000..9b4e56c Binary files /dev/null and b/CPE435/Lab8/program2 differ diff --git a/CPE435/Lab8/program2.cpp b/CPE435/Lab8/program2.cpp new file mode 100644 index 0000000..0fdd413 --- /dev/null +++ b/CPE435/Lab8/program2.cpp @@ -0,0 +1,39 @@ +#include +#include +#include +#include +#include +#include +using namespace std; + +// function that prints gibberish +// for 10 seconds and then kills +// the program +void alarmFunction(int alarmVal); + + +// kills program +void killFunction(int killPID); + +int main() +{ + signal(SIGINT,alarmFunction); + while (1); +} + +void alarmFunction(int alarmVal) +{ + signal(SIGINT, SIG_IGN); + signal(SIGALRM,killFunction); + alarm(10); + while (1) + { + printf(" I love coding! "); + } +} + +void killFunction(int killPID) +{ + printf("\n"); + exit(0); +} \ No newline at end of file diff --git a/CPE435/Lab8/program2Output.png b/CPE435/Lab8/program2Output.png new file mode 100644 index 0000000..58b4350 Binary files /dev/null and b/CPE435/Lab8/program2Output.png differ diff --git a/CPE435/Lab9/Lab09.pdf b/CPE435/Lab9/Lab09.pdf new file mode 100644 index 0000000..778111f Binary files /dev/null and b/CPE435/Lab9/Lab09.pdf differ diff --git a/CPE435/Lab9/cachegrind.out.15382 b/CPE435/Lab9/cachegrind.out.15382 new file mode 100644 index 0000000..4d7e7fa --- /dev/null +++ b/CPE435/Lab9/cachegrind.out.15382 @@ -0,0 +1,481 @@ +desc: I1 cache: 32768 B, 64 B, 8-way associative +desc: D1 cache: 32768 B, 64 B, 8-way associative +desc: LL cache: 8388608 B, 64 B, 16-way associative +cmd: ./test1 +events: Ir I1mr ILmr Dr D1mr DLmr Dw D1mw DLmw +fl=??? +fn=(below main) +0 62 4 4 17 5 0 17 1 0 +fn=??? +0 2049 158 135 1255 123 10 349 18 12 +fn=_Exit +0 8 2 2 1 1 0 0 0 0 +fn=_GLOBAL__sub_I_main +0 7 1 1 2 1 0 2 0 0 +fn=_IO_cleanup +0 112 8 8 33 1 1 19 0 0 +fn=_IO_default_setbuf +0 50 4 4 9 0 0 15 0 0 +fn=_IO_default_xsputn +0 338 4 4 90 0 0 23 0 0 +fn=_IO_do_write@@GLIBC_2.2.5 +0 71 7 7 21 0 0 17 0 0 +fn=_IO_doallocbuf +0 25 2 2 8 1 0 4 0 0 +fn=_IO_file_doallocate +0 63 5 5 11 2 0 6 0 0 +fn=_IO_file_overflow@@GLIBC_2.2.5 +0 618 7 7 165 0 0 100 1 0 +fn=_IO_file_setbuf@@GLIBC_2.2.5 +0 15 1 1 3 0 0 8 0 0 +fn=_IO_file_stat +0 4 1 1 1 0 0 0 0 0 +fn=_IO_file_sync@@GLIBC_2.2.5 +0 136 3 3 56 0 0 24 0 0 +fn=_IO_file_write@@GLIBC_2.2.5 +0 36 2 2 8 0 0 5 0 0 +fn=_IO_file_xsputn@@GLIBC_2.2.5 +0 124 7 7 25 0 0 16 0 0 +fn=_IO_flush_all_lockp +0 92 9 9 26 5 2 13 0 0 +fn=_IO_setb +0 21 2 2 5 0 0 5 0 0 +fn=__GI_memcmp +0 857 4 4 143 0 0 0 0 0 +fn=__GI_mempcpy +0 18 3 3 2 0 0 1 0 0 +fn=__GI_strlen +0 947 4 4 121 4 4 0 0 0 +fn=__GI_strrchr +0 51 4 4 3 1 0 0 0 0 +fn=__acos_finite +0 5 1 1 3 0 0 0 0 0 +fn=__asin_finite +0 5 1 1 3 0 0 0 0 0 +fn=__atan2_finite +0 8 1 1 3 0 0 0 0 0 +fn=__cpu_indicator_init +0 81 6 6 17 1 0 11 0 0 +fn=__ctype_init +0 16 2 2 10 4 0 3 0 0 +fn=__cxa_atexit +0 110 2 2 25 0 0 40 2 0 +fn=__cxa_finalize +0 297 5 5 81 2 0 27 0 0 +fn=__cxa_guard_acquire +0 32 5 5 13 1 0 7 0 0 +fn=__cxa_guard_release +0 14 3 3 5 0 0 3 0 0 +fn=__cxxabiv1::__si_class_type_info::__do_dyncast(long, __cxxabiv1::__class_type_info::__sub_kind, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info::__dyncast_result&) const +0 1400 3 3 440 0 0 360 0 0 +fn=__cxxabiv1::__vmi_class_type_info::__do_dyncast(long, __cxxabiv1::__class_type_info::__sub_kind, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info::__dyncast_result&) const +0 528 6 6 208 2 1 144 0 0 +fn=__dynamic_cast +0 2448 4 4 816 14 0 624 0 0 +fn=__exp_finite +0 8 1 1 3 0 0 0 0 0 +fn=__gconv_btwoc_ascii +0 640 1 1 128 0 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::overflow(int) +0 6 1 1 1 0 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::sync() +0 8 1 1 4 1 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::xsputn(char const*, long) +0 10 1 1 2 1 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::sync() +0 6 0 0 3 2 0 0 0 0 +fn=__init_misc +0 24 2 2 7 1 0 5 1 0 +fn=__libc_csu_init +0 41 2 2 9 2 0 9 0 0 +fn=__libc_memalign +0 1310 5 5 220 3 0 216 1 1 +fn=__log_finite +0 8 1 1 3 0 0 0 0 0 +fn=__memcmp_sse4_1 +0 111 11 11 38 1 1 0 0 0 +fn=__memcpy_ssse3_back +0 78 10 10 22 3 2 17 4 0 +fn=__new_exitfn +0 215 7 5 55 10 3 21 1 0 +fn=__overflow +0 17 2 2 4 0 0 1 0 0 +fn=__pow_finite +0 5 1 1 3 0 0 0 0 0 +fn=__run_exit_handlers +0 110 4 4 17 5 0 14 0 0 +fn=__sigjmp_save +0 9 0 0 2 0 0 2 0 0 +fn=__sigsetjmp +0 279 5 5 69 0 0 112 3 2 +fn=__static_initialization_and_destruction_0(int, int) +0 17 1 1 4 0 0 5 0 0 +fn=__strcmp_sse42 +0 392 6 6 48 1 1 0 0 0 +fn=__strlen_sse2_pminub +0 27 4 4 3 1 1 0 0 0 +fn=__unregister_atfork +0 28 2 2 12 1 1 4 0 0 +fn=__write_nocancel +0 5 0 0 1 0 0 0 0 0 +fn=_dl_add_to_namespace_list +0 250 3 3 62 0 0 41 0 0 +fn=_dl_add_to_slotinfo +0 48 3 3 18 5 0 12 2 0 +fn=_dl_allocate_tls_init +0 117 6 6 36 4 0 17 0 0 +fn=_dl_allocate_tls_storage +0 332 3 3 7 0 0 295 37 37 +fn=_dl_cache_libcmp +0 3387 4 4 511 33 33 0 0 0 +fn=_dl_catch_error +0 468 4 4 143 0 0 221 5 5 +fn=_dl_check_all_versions +0 117 3 3 19 0 0 11 0 0 +fn=_dl_check_map_versions +0 3897 14 14 1222 31 26 481 39 37 +fn=_dl_count_modids +0 4 2 2 3 0 0 0 0 0 +fn=_dl_debug_initialize +0 65 3 3 22 2 1 4 0 0 +fn=_dl_debug_state +0 2 1 1 2 0 0 0 0 0 +fn=_dl_determine_tlsoffset +0 85 4 4 15 1 0 9 0 0 +fn=_dl_discover_osversion +0 88 4 4 15 2 2 7 1 1 +fn=_dl_dst_count +0 204 1 1 60 0 0 60 1 1 +fn=_dl_fini +0 422 12 12 157 27 0 46 5 0 +fn=_dl_fixup +0 5727 6 6 2154 278 41 502 0 0 +fn=_dl_higher_prime_number +0 144 1 1 14 2 1 0 0 0 +fn=_dl_important_hwcaps +0 267 19 19 48 0 0 45 2 2 +fn=_dl_init +0 397 11 11 118 23 0 38 0 0 +fn=_dl_init_paths +0 379 18 18 44 0 0 41 4 4 +fn=_dl_initial_error_catch_tsd +0 28 0 0 14 0 0 0 0 0 +fn=_dl_load_cache_lookup +0 1252 16 16 249 30 30 111 1 1 +fn=_dl_lookup_symbol_x +0 520999 11 11 84994 1337 1108 30568 15 10 +fn=_dl_map_object +0 2165 23 23 514 0 0 319 10 10 +fn=_dl_map_object_deps +0 2859 38 38 613 7 1 237 3 3 +fn=_dl_map_object_from_fd +0 4474 47 47 986 43 43 464 50 50 +fn=_dl_mcount_wrapper_check +0 512 2 2 384 0 0 0 0 0 +fn=_dl_name_match_p +0 9389 2 2 2429 29 0 1396 4 4 +fn=_dl_new_object +0 1148 12 12 211 7 7 208 41 41 +fn=_dl_next_ld_env_entry +0 268 2 2 93 0 0 2 0 0 +fn=_dl_next_tls_modid +0 12 2 2 6 0 0 2 0 0 +fn=_dl_process_tunable_env_entries +0 243 2 2 82 22 22 1 0 0 +fn=_dl_receive_error +0 28 2 2 10 0 0 12 0 0 +fn=_dl_relocate_object +0 198542 51 51 52290 3815 2933 16275 1049 713 +fn=_dl_runtime_resolve_xsave +0 3320 4 4 3984 1 0 4150 26 26 +fn=_dl_setup_hash +0 161 2 2 49 8 8 42 0 0 +fn=_dl_sort_fini +0 613 7 7 158 5 0 30 0 0 +fn=_dl_start +0 686 17 16 142 26 24 57 19 18 +fn=_dl_sysdep_read_whole_file +0 41 3 3 6 0 0 9 1 1 +fn=_dl_sysdep_start +0 406 11 11 109 15 14 30 5 5 +fn=_dl_sysdep_start_cleanup +0 1 1 1 1 0 0 0 0 0 +fn=_dl_unload_cache +0 10 2 1 3 2 0 2 0 0 +fn=_dl_vdso_vsym +0 28 2 2 12 2 0 0 0 0 +fn=_fxstat +0 70 3 3 7 0 0 0 0 0 +fn=_init +0 60 6 6 14 4 0 18 4 3 +fn=_setjmp +0 2 1 1 0 0 0 0 0 0 +fn=_xstat +0 49 1 1 4 0 0 3 0 0 +fn=access +0 9 1 1 1 0 0 1 0 0 +fn=bcmp +0 2151 15 15 546 11 11 160 2 2 +fn=bool std::has_facet >(std::locale const&) +0 84 2 2 36 1 0 12 0 0 +fn=bool std::has_facet >(std::locale const&) +0 84 2 2 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 1 1 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 1 1 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 2 2 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 2 2 36 1 0 12 0 0 +fn=brk +0 12 2 2 1 0 0 1 1 1 +fn=bsearch +0 904 2 2 164 0 0 118 1 1 +fn=btowc +0 8064 4 4 2176 5 0 1024 0 0 +fn=calloc +0 136 1 1 0 0 0 0 0 0 +fn=check_match.9525 +0 67090 5 5 27652 263 151 7912 22 17 +fn=check_stdfiles_vtables +0 11 2 2 7 4 0 0 0 0 +fn=close +0 30 0 0 6 0 0 0 0 0 +fn=cos +0 8 2 2 3 0 0 0 0 0 +fn=dl_main +0 1288 76 76 269 39 22 145 17 17 +fn=do_lookup_x +0 353038 28 28 113642 2512 638 51810 14 9 +fn=exit +0 6 1 1 1 0 0 2 0 0 +fn=expand_dynamic_string_token +0 66 2 2 12 0 0 18 0 0 +fn=fflush +0 364 5 5 133 4 2 35 0 0 +fn=fillin_rpath +0 253 15 15 51 0 0 59 2 2 +fn=floor +0 5 1 1 3 0 0 0 0 0 +fn=floorf +0 5 2 2 3 0 0 0 0 0 +fn=free +0 54 1 1 27 0 0 9 0 0 +fn=fwrite +0 147 7 7 48 6 2 19 0 0 +fn=gettimeofday +0 15 1 1 1 0 0 5 0 0 +fn=handle_intel.isra.0 +0 94 5 5 14 0 0 26 0 0 +fn=handle_ld_preload +0 686 7 7 70 1 1 17 2 2 +fn=index +0 1401 7 7 117 6 6 0 0 0 +fn=init_cacheinfo +0 150 9 9 11 0 0 15 2 2 +fn=init_cpu_features.constprop.0 +0 122 13 13 18 2 2 21 1 1 +fn=init_tls +0 87 5 5 20 6 0 14 1 1 +fn=intel_02_known_compare +0 324 1 1 162 6 6 0 0 0 +fn=intel_check_word +0 407 11 11 28 0 0 40 1 1 +fn=main +0 10007023 1 1 4003005 3 0 1001006 62500 62388 +fn=malloc +0 120 0 0 0 0 0 0 0 0 +fn=map_doit +0 12 1 1 5 0 0 3 0 0 +fn=match_symbol +0 1563 5 5 617 26 24 171 0 0 +fn=memcpy +0 1063 6 6 179 5 5 149 20 20 +fn=memcpy@@GLIBC_2.14 +0 11 2 2 5 0 0 0 0 0 +fn=memcpy@GLIBC_2.2.5 +0 30 1 1 8 0 0 0 0 0 +fn=mempcpy +0 1556 5 5 214 2 1 149 5 5 +fn=memset +0 5134 4 3 27 0 0 5004 79 79 +fn=mmap +0 623 5 5 138 0 0 114 4 4 +fn=mprotect +0 60 1 1 12 0 0 0 0 0 +fn=munmap +0 5 0 0 1 0 0 0 0 0 +fn=newlocale +0 40 7 7 12 0 0 9 0 0 +fn=open +0 237 1 1 29 0 0 23 0 0 +fn=open_path +0 1539 16 16 523 1 1 192 4 4 +fn=open_verify +0 1758 15 15 410 13 13 358 2 2 +fn=openaux +0 204 2 2 96 0 0 36 0 0 +fn=putc +0 40 4 4 18 0 0 4 0 0 +fn=read +0 25 1 1 5 0 0 0 0 0 +fn=rint +0 5 2 2 3 0 0 0 0 0 +fn=rintf +0 5 1 1 3 0 0 0 0 0 +fn=rtld_lock_default_lock_recursive +0 114 1 1 114 3 1 0 0 0 +fn=rtld_lock_default_unlock_recursive +0 114 0 0 114 0 0 0 0 0 +fn=sbrk +0 17 2 2 5 0 0 2 0 0 +fn=sin +0 8 1 1 3 0 0 0 0 0 +fn=std::__timepunct::_M_initialize_timepunct(__locale_struct*) +0 107 12 12 6 0 0 52 0 0 +fn=std::__timepunct::__timepunct(std::__timepunct_cache*, unsigned long) +0 22 2 2 4 0 0 9 0 0 +fn=std::__timepunct::_M_initialize_timepunct(__locale_struct*) +0 105 12 12 5 0 0 51 0 0 +fn=std::__timepunct::__timepunct(std::__timepunct_cache*, unsigned long) +0 22 2 2 4 0 0 9 1 1 +fn=std::basic_ios >::_M_cache_locale(std::locale const&) +0 120 2 2 12 0 0 44 0 0 +fn=std::basic_ios >::init(std::basic_streambuf >*) +0 88 2 2 12 0 0 40 0 0 +fn=std::basic_ios >::_M_cache_locale(std::locale const&) +0 120 2 2 12 0 0 44 0 0 +fn=std::basic_ios >::init(std::basic_streambuf >*) +0 88 3 3 12 0 0 40 0 0 +fn=std::basic_ostream >& std::__ostream_insert >(std::basic_ostream >&, char const*, long) +0 57 8 8 24 2 0 12 0 0 +fn=std::basic_ostream >& std::endl >(std::basic_ostream >&) +0 20 2 2 7 0 0 3 0 0 +fn=std::basic_ostream >& std::operator<< >(std::basic_ostream >&, char const*) +0 18 2 2 3 0 0 4 0 0 +fn=std::basic_ostream >::flush() +0 42 2 2 21 10 0 6 0 0 +fn=std::codecvt::codecvt(unsigned long) +0 17 1 1 4 0 0 6 1 1 +fn=std::codecvt::codecvt(unsigned long) +0 17 2 2 4 0 0 6 2 2 +fn=std::ctype const& std::use_facet >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::ctype::_M_widen_init() const +0 1056 3 3 9 2 0 263 0 0 +fn=std::ctype::ctype(unsigned short const*, bool, unsigned long) +0 161 8 8 8 1 0 83 9 9 +fn=std::ctype::do_widen(char const*, char const*, char*) const +0 8 1 1 2 0 0 2 0 0 +fn=std::ctype::do_widen(char) const +0 2 1 1 1 0 0 0 0 0 +fn=std::ctype const& std::use_facet >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::ctype::_M_convert_to_wmask(unsigned short) const +0 129 5 5 12 0 0 0 0 0 +fn=std::ctype::_M_initialize_ctype() +0 2757 5 5 5 0 0 810 20 20 +fn=std::ctype::ctype(unsigned long) +0 19 1 1 3 0 0 7 1 1 +fn=std::error_category::error_category() +0 12 1 1 6 1 0 3 0 0 +fn=std::error_category::~error_category() +0 12 1 1 6 1 0 3 0 0 +fn=std::future_category() +0 21 3 3 2 1 1 6 0 0 +fn=std::ios_base::Init::Init() +0 270 31 31 41 8 1 168 16 13 +fn=std::ios_base::Init::~Init() +0 24 3 3 9 8 0 7 0 0 +fn=std::ios_base::_M_init() +0 192 3 3 48 0 0 72 0 0 +fn=std::ios_base::ios_base() +0 472 3 3 8 0 0 216 31 26 +fn=std::locale::_Impl::_Impl(unsigned long) +0 819 66 66 132 14 1 406 44 44 +fn=std::locale::_Impl::_M_install_facet(std::locale::id const*, std::locale::facet const*) +0 7448 7 7 2716 0 0 224 0 0 +fn=std::locale::facet::_S_create_c_locale(__locale_struct*&, char const*, __locale_struct*) +0 9 1 1 2 0 0 3 0 0 +fn=std::locale::facet::_S_get_c_locale() +0 92 1 1 50 1 1 11 0 0 +fn=std::locale::facet::_S_get_c_name() +0 10 1 1 5 0 0 0 0 0 +fn=std::locale::id::_M_id() const +0 705 1 1 226 9 2 56 0 0 +fn=std::locale::locale() +0 462 4 4 176 1 0 132 2 1 +fn=std::locale::operator=(std::locale const&) +0 136 3 3 56 0 0 24 0 0 +fn=std::locale::~locale() +0 96 1 1 40 0 0 16 0 0 +fn=std::messages::messages(unsigned long) +0 19 2 2 4 0 0 8 0 0 +fn=std::messages::messages(unsigned long) +0 19 1 1 4 0 0 8 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 112 5 5 37 1 1 31 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 112 5 5 37 0 0 31 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 108 6 6 29 0 0 32 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 108 6 6 29 0 0 32 0 0 +fn=std::num_get > > const& std::use_facet > > >(std::locale const&) +0 76 1 1 36 0 0 12 0 0 +fn=std::num_get > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::num_put > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::num_put > >::do_put(std::ostreambuf_iterator >, std::ios_base&, char, long) const +0 5 2 2 1 0 0 1 0 0 +fn=std::num_put > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::numpunct::_M_initialize_numpunct(__locale_struct*) +0 413 4 4 136 3 2 75 0 0 +fn=std::numpunct::_M_initialize_numpunct(__locale_struct*) +0 349 6 6 73 0 0 75 3 3 +fn=std::ostream& std::ostream::_M_insert(long) +0 72 7 7 28 4 0 13 0 0 +fn=std::ostream::flush() +0 56 1 1 28 6 0 8 0 0 +fn=std::ostream::operator<<(int) +0 10 1 1 3 0 0 0 0 0 +fn=std::ostream::operator<<(std::ostream& (*)(std::ostream&)) +0 1 1 1 0 0 0 0 0 0 +fn=std::ostream::put(char) +0 40 4 4 17 0 0 6 0 0 +fn=std::ostream::sentry::sentry(std::ostream&) +0 69 2 2 24 3 0 15 0 0 +fn=std::ostreambuf_iterator > std::num_put > >::_M_insert_int(std::ostreambuf_iterator >, std::ios_base&, char, long) const +0 97 7 7 25 4 0 19 0 0 +fn=strcasecmp +0 12 2 2 3 2 0 0 0 0 +fn=strcmp +0 130809 2 2 38693 153 50 0 0 0 +fn=strdup +0 68 1 1 8 0 0 16 0 0 +fn=strlen +0 1462 6 5 159 2 1 0 0 0 +fn=strncasecmp +0 12 2 2 3 0 0 0 0 0 +fn=strnlen +0 5 1 1 3 0 0 0 0 0 +fn=strsep +0 432 1 1 134 0 0 3 0 0 +fn=time +0 15 2 2 1 0 0 5 0 0 +fn=uname +0 5 1 1 1 0 0 0 0 0 +fn=uselocale +0 42 3 3 18 5 0 8 1 0 +fn=version_check_doit +0 10 1 1 4 0 0 2 0 0 +fn=wctob +0 2432 2 2 768 0 0 640 0 0 +fn=wctype_l +0 876 2 2 139 4 0 153 0 0 +fn=write +0 2 1 1 1 0 0 0 0 0 +summary: 11390488 1372 1343 4351588 9127 5267 1130849 64167 63666 diff --git a/CPE435/Lab9/cachegrind.out.18722 b/CPE435/Lab9/cachegrind.out.18722 new file mode 100644 index 0000000..26d3b6d --- /dev/null +++ b/CPE435/Lab9/cachegrind.out.18722 @@ -0,0 +1,481 @@ +desc: I1 cache: 32768 B, 64 B, 8-way associative +desc: D1 cache: 32768 B, 64 B, 8-way associative +desc: LL cache: 8388608 B, 64 B, 16-way associative +cmd: ./test2 +events: Ir I1mr ILmr Dr D1mr DLmr Dw D1mw DLmw +fl=??? +fn=(below main) +0 62 4 4 17 5 0 17 1 0 +fn=??? +0 2049 158 135 1255 123 10 349 19 12 +fn=_Exit +0 8 2 2 1 1 0 0 0 0 +fn=_GLOBAL__sub_I_main +0 7 1 1 2 1 0 2 0 0 +fn=_IO_cleanup +0 112 8 8 33 1 1 19 0 0 +fn=_IO_default_setbuf +0 50 4 4 9 0 0 15 0 0 +fn=_IO_default_xsputn +0 338 4 4 90 0 0 23 0 0 +fn=_IO_do_write@@GLIBC_2.2.5 +0 71 7 7 21 0 0 17 0 0 +fn=_IO_doallocbuf +0 25 2 2 8 1 0 4 0 0 +fn=_IO_file_doallocate +0 63 5 5 11 2 0 6 0 0 +fn=_IO_file_overflow@@GLIBC_2.2.5 +0 618 7 7 165 0 0 100 1 0 +fn=_IO_file_setbuf@@GLIBC_2.2.5 +0 15 1 1 3 0 0 8 0 0 +fn=_IO_file_stat +0 4 1 1 1 0 0 0 0 0 +fn=_IO_file_sync@@GLIBC_2.2.5 +0 136 3 3 56 0 0 24 0 0 +fn=_IO_file_write@@GLIBC_2.2.5 +0 36 2 2 8 0 0 5 0 0 +fn=_IO_file_xsputn@@GLIBC_2.2.5 +0 124 7 7 25 0 0 16 0 0 +fn=_IO_flush_all_lockp +0 92 9 9 26 5 2 13 0 0 +fn=_IO_setb +0 21 2 2 5 0 0 5 0 0 +fn=__GI_memcmp +0 857 4 4 143 0 0 0 0 0 +fn=__GI_mempcpy +0 18 3 3 2 0 0 1 0 0 +fn=__GI_strlen +0 947 4 4 121 4 4 0 0 0 +fn=__GI_strrchr +0 51 4 4 3 1 0 0 0 0 +fn=__acos_finite +0 5 1 1 3 0 0 0 0 0 +fn=__asin_finite +0 5 1 1 3 0 0 0 0 0 +fn=__atan2_finite +0 8 1 1 3 0 0 0 0 0 +fn=__cpu_indicator_init +0 81 6 6 17 1 0 11 0 0 +fn=__ctype_init +0 16 2 2 10 4 0 3 0 0 +fn=__cxa_atexit +0 110 2 2 25 0 0 40 2 0 +fn=__cxa_finalize +0 297 5 5 81 2 0 27 0 0 +fn=__cxa_guard_acquire +0 32 5 5 13 1 0 7 0 0 +fn=__cxa_guard_release +0 14 3 3 5 0 0 3 0 0 +fn=__cxxabiv1::__si_class_type_info::__do_dyncast(long, __cxxabiv1::__class_type_info::__sub_kind, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info::__dyncast_result&) const +0 1400 3 3 440 0 0 360 0 0 +fn=__cxxabiv1::__vmi_class_type_info::__do_dyncast(long, __cxxabiv1::__class_type_info::__sub_kind, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info::__dyncast_result&) const +0 528 6 6 208 2 1 144 0 0 +fn=__dynamic_cast +0 2448 4 4 816 14 0 624 0 0 +fn=__exp_finite +0 8 1 1 3 0 0 0 0 0 +fn=__gconv_btwoc_ascii +0 640 1 1 128 0 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::overflow(int) +0 6 1 1 1 0 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::sync() +0 8 1 1 4 1 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::xsputn(char const*, long) +0 10 1 1 2 1 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::sync() +0 6 0 0 3 2 0 0 0 0 +fn=__init_misc +0 24 2 2 7 1 0 5 1 0 +fn=__libc_csu_init +0 41 2 2 9 2 0 9 0 0 +fn=__libc_memalign +0 1310 5 5 220 3 0 216 1 1 +fn=__log_finite +0 8 1 1 3 0 0 0 0 0 +fn=__memcmp_sse4_1 +0 111 11 11 38 1 1 0 0 0 +fn=__memcpy_ssse3_back +0 78 10 10 22 3 2 17 4 0 +fn=__new_exitfn +0 215 7 5 55 10 3 21 1 0 +fn=__overflow +0 17 2 2 4 0 0 1 0 0 +fn=__pow_finite +0 5 1 1 3 0 0 0 0 0 +fn=__run_exit_handlers +0 110 4 4 17 5 0 14 0 0 +fn=__sigjmp_save +0 9 0 0 2 0 0 2 0 0 +fn=__sigsetjmp +0 279 5 5 69 0 0 112 3 2 +fn=__static_initialization_and_destruction_0(int, int) +0 17 1 1 4 0 0 5 0 0 +fn=__strcmp_sse42 +0 392 6 6 48 1 1 0 0 0 +fn=__strlen_sse2_pminub +0 27 4 4 3 1 1 0 0 0 +fn=__unregister_atfork +0 28 2 2 12 1 1 4 0 0 +fn=__write_nocancel +0 5 0 0 1 0 0 0 0 0 +fn=_dl_add_to_namespace_list +0 250 3 3 62 0 0 41 0 0 +fn=_dl_add_to_slotinfo +0 48 3 3 18 5 0 12 2 0 +fn=_dl_allocate_tls_init +0 117 6 6 36 4 0 17 0 0 +fn=_dl_allocate_tls_storage +0 332 3 3 7 0 0 295 37 37 +fn=_dl_cache_libcmp +0 3387 4 4 511 33 33 0 0 0 +fn=_dl_catch_error +0 468 4 4 143 0 0 221 5 5 +fn=_dl_check_all_versions +0 117 3 3 19 0 0 11 0 0 +fn=_dl_check_map_versions +0 3897 14 14 1222 31 26 481 39 37 +fn=_dl_count_modids +0 4 2 2 3 0 0 0 0 0 +fn=_dl_debug_initialize +0 65 3 3 22 2 1 4 0 0 +fn=_dl_debug_state +0 2 1 1 2 0 0 0 0 0 +fn=_dl_determine_tlsoffset +0 85 4 4 15 1 0 9 0 0 +fn=_dl_discover_osversion +0 88 4 4 15 2 2 7 1 1 +fn=_dl_dst_count +0 204 1 1 60 0 0 60 1 1 +fn=_dl_fini +0 422 12 12 157 27 0 46 5 0 +fn=_dl_fixup +0 5727 6 6 2154 278 41 502 0 0 +fn=_dl_higher_prime_number +0 144 1 1 14 2 1 0 0 0 +fn=_dl_important_hwcaps +0 267 19 19 48 0 0 45 2 2 +fn=_dl_init +0 397 11 11 118 23 0 38 0 0 +fn=_dl_init_paths +0 379 18 18 44 0 0 41 4 4 +fn=_dl_initial_error_catch_tsd +0 28 0 0 14 0 0 0 0 0 +fn=_dl_load_cache_lookup +0 1252 16 16 249 30 30 111 1 1 +fn=_dl_lookup_symbol_x +0 520999 11 11 84994 1337 1108 30568 19 10 +fn=_dl_map_object +0 2165 23 23 514 0 0 319 10 10 +fn=_dl_map_object_deps +0 2859 38 38 613 7 1 237 3 3 +fn=_dl_map_object_from_fd +0 4474 47 47 986 43 43 464 50 50 +fn=_dl_mcount_wrapper_check +0 512 2 2 384 0 0 0 0 0 +fn=_dl_name_match_p +0 9389 2 2 2429 29 0 1396 4 4 +fn=_dl_new_object +0 1148 12 12 211 7 7 208 41 41 +fn=_dl_next_ld_env_entry +0 268 2 2 93 0 0 2 0 0 +fn=_dl_next_tls_modid +0 12 2 2 6 0 0 2 0 0 +fn=_dl_process_tunable_env_entries +0 243 2 2 82 22 22 1 0 0 +fn=_dl_receive_error +0 28 2 2 10 0 0 12 0 0 +fn=_dl_relocate_object +0 198542 51 51 52290 3815 2933 16275 1049 713 +fn=_dl_runtime_resolve_xsave +0 3320 4 4 3984 1 0 4150 42 26 +fn=_dl_setup_hash +0 161 2 2 49 8 8 42 0 0 +fn=_dl_sort_fini +0 613 7 7 158 5 0 30 0 0 +fn=_dl_start +0 686 17 16 142 26 24 57 19 18 +fn=_dl_sysdep_read_whole_file +0 41 3 3 6 0 0 9 1 1 +fn=_dl_sysdep_start +0 406 11 11 109 15 14 30 5 5 +fn=_dl_sysdep_start_cleanup +0 1 1 1 1 0 0 0 0 0 +fn=_dl_unload_cache +0 10 2 1 3 2 0 2 0 0 +fn=_dl_vdso_vsym +0 28 2 2 12 2 0 0 0 0 +fn=_fxstat +0 70 3 3 7 0 0 0 0 0 +fn=_init +0 60 6 6 14 4 0 18 4 3 +fn=_setjmp +0 2 1 1 0 0 0 0 0 0 +fn=_xstat +0 49 1 1 4 0 0 3 0 0 +fn=access +0 9 1 1 1 0 0 1 0 0 +fn=bcmp +0 2151 15 15 546 11 11 160 2 2 +fn=bool std::has_facet >(std::locale const&) +0 84 2 2 36 1 0 12 0 0 +fn=bool std::has_facet >(std::locale const&) +0 84 2 2 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 1 1 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 1 1 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 2 2 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 2 2 36 1 0 12 0 0 +fn=brk +0 12 2 2 1 0 0 1 1 1 +fn=bsearch +0 904 2 2 164 0 0 118 1 1 +fn=btowc +0 8064 4 4 2176 5 0 1024 0 0 +fn=calloc +0 136 1 1 0 0 0 0 0 0 +fn=check_match.9525 +0 67090 5 5 27652 263 151 7912 27 17 +fn=check_stdfiles_vtables +0 11 2 2 7 4 0 0 0 0 +fn=close +0 30 0 0 6 0 0 0 0 0 +fn=cos +0 8 2 2 3 0 0 0 0 0 +fn=dl_main +0 1288 76 76 269 39 22 145 17 17 +fn=do_lookup_x +0 353038 28 28 113642 2512 638 51810 18 9 +fn=exit +0 6 1 1 1 0 0 2 0 0 +fn=expand_dynamic_string_token +0 66 2 2 12 0 0 18 0 0 +fn=fflush +0 364 5 5 133 4 2 35 0 0 +fn=fillin_rpath +0 253 15 15 51 0 0 59 2 2 +fn=floor +0 5 1 1 3 0 0 0 0 0 +fn=floorf +0 5 2 2 3 0 0 0 0 0 +fn=free +0 54 1 1 27 0 0 9 0 0 +fn=fwrite +0 147 7 7 48 6 2 19 0 0 +fn=gettimeofday +0 15 1 1 1 0 0 5 0 0 +fn=handle_intel.isra.0 +0 94 5 5 14 0 0 26 0 0 +fn=handle_ld_preload +0 686 7 7 70 1 1 17 2 2 +fn=index +0 1401 7 7 117 6 6 0 0 0 +fn=init_cacheinfo +0 150 9 9 11 0 0 15 2 2 +fn=init_cpu_features.constprop.0 +0 122 13 13 18 2 2 21 1 1 +fn=init_tls +0 87 5 5 20 6 0 14 1 1 +fn=intel_02_known_compare +0 324 1 1 162 6 6 0 0 0 +fn=intel_check_word +0 407 11 11 28 0 0 40 1 1 +fn=main +0 10007023 1 1 4003005 3 0 1001006 999992 62388 +fn=malloc +0 120 0 0 0 0 0 0 0 0 +fn=map_doit +0 12 1 1 5 0 0 3 0 0 +fn=match_symbol +0 1563 5 5 617 26 24 171 0 0 +fn=memcpy +0 1063 6 6 179 5 5 149 20 20 +fn=memcpy@@GLIBC_2.14 +0 11 2 2 5 0 0 0 0 0 +fn=memcpy@GLIBC_2.2.5 +0 30 1 1 8 0 0 0 0 0 +fn=mempcpy +0 1556 5 5 214 2 1 149 5 5 +fn=memset +0 5134 4 3 27 0 0 5004 79 79 +fn=mmap +0 623 5 5 138 0 0 114 4 4 +fn=mprotect +0 60 1 1 12 0 0 0 0 0 +fn=munmap +0 5 0 0 1 0 0 0 0 0 +fn=newlocale +0 40 7 7 12 0 0 9 0 0 +fn=open +0 237 1 1 29 0 0 23 0 0 +fn=open_path +0 1539 16 16 523 1 1 192 4 4 +fn=open_verify +0 1758 15 15 410 13 13 358 2 2 +fn=openaux +0 204 2 2 96 0 0 36 0 0 +fn=putc +0 40 4 4 18 0 0 4 0 0 +fn=read +0 25 1 1 5 0 0 0 0 0 +fn=rint +0 5 2 2 3 0 0 0 0 0 +fn=rintf +0 5 1 1 3 0 0 0 0 0 +fn=rtld_lock_default_lock_recursive +0 114 1 1 114 3 1 0 0 0 +fn=rtld_lock_default_unlock_recursive +0 114 0 0 114 0 0 0 0 0 +fn=sbrk +0 17 2 2 5 0 0 2 0 0 +fn=sin +0 8 1 1 3 0 0 0 0 0 +fn=std::__timepunct::_M_initialize_timepunct(__locale_struct*) +0 107 12 12 6 0 0 52 0 0 +fn=std::__timepunct::__timepunct(std::__timepunct_cache*, unsigned long) +0 22 2 2 4 0 0 9 0 0 +fn=std::__timepunct::_M_initialize_timepunct(__locale_struct*) +0 105 12 12 5 0 0 51 0 0 +fn=std::__timepunct::__timepunct(std::__timepunct_cache*, unsigned long) +0 22 2 2 4 0 0 9 1 1 +fn=std::basic_ios >::_M_cache_locale(std::locale const&) +0 120 2 2 12 0 0 44 0 0 +fn=std::basic_ios >::init(std::basic_streambuf >*) +0 88 2 2 12 0 0 40 0 0 +fn=std::basic_ios >::_M_cache_locale(std::locale const&) +0 120 2 2 12 0 0 44 0 0 +fn=std::basic_ios >::init(std::basic_streambuf >*) +0 88 3 3 12 0 0 40 0 0 +fn=std::basic_ostream >& std::__ostream_insert >(std::basic_ostream >&, char const*, long) +0 57 8 8 24 2 0 12 0 0 +fn=std::basic_ostream >& std::endl >(std::basic_ostream >&) +0 20 2 2 7 0 0 3 0 0 +fn=std::basic_ostream >& std::operator<< >(std::basic_ostream >&, char const*) +0 18 2 2 3 0 0 4 0 0 +fn=std::basic_ostream >::flush() +0 42 2 2 21 10 0 6 0 0 +fn=std::codecvt::codecvt(unsigned long) +0 17 1 1 4 0 0 6 1 1 +fn=std::codecvt::codecvt(unsigned long) +0 17 2 2 4 0 0 6 2 2 +fn=std::ctype const& std::use_facet >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::ctype::_M_widen_init() const +0 1056 3 3 9 2 0 263 0 0 +fn=std::ctype::ctype(unsigned short const*, bool, unsigned long) +0 161 8 8 8 1 0 83 9 9 +fn=std::ctype::do_widen(char const*, char const*, char*) const +0 8 1 1 2 0 0 2 0 0 +fn=std::ctype::do_widen(char) const +0 2 1 1 1 0 0 0 0 0 +fn=std::ctype const& std::use_facet >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::ctype::_M_convert_to_wmask(unsigned short) const +0 129 5 5 12 0 0 0 0 0 +fn=std::ctype::_M_initialize_ctype() +0 2757 5 5 5 0 0 810 20 20 +fn=std::ctype::ctype(unsigned long) +0 19 1 1 3 0 0 7 1 1 +fn=std::error_category::error_category() +0 12 1 1 6 1 0 3 0 0 +fn=std::error_category::~error_category() +0 12 1 1 6 1 0 3 0 0 +fn=std::future_category() +0 21 3 3 2 1 1 6 0 0 +fn=std::ios_base::Init::Init() +0 270 31 31 41 8 1 168 16 13 +fn=std::ios_base::Init::~Init() +0 24 3 3 9 8 0 7 0 0 +fn=std::ios_base::_M_init() +0 192 3 3 48 0 0 72 0 0 +fn=std::ios_base::ios_base() +0 472 3 3 8 0 0 216 31 26 +fn=std::locale::_Impl::_Impl(unsigned long) +0 819 66 66 132 14 1 406 44 44 +fn=std::locale::_Impl::_M_install_facet(std::locale::id const*, std::locale::facet const*) +0 7448 7 7 2716 0 0 224 0 0 +fn=std::locale::facet::_S_create_c_locale(__locale_struct*&, char const*, __locale_struct*) +0 9 1 1 2 0 0 3 0 0 +fn=std::locale::facet::_S_get_c_locale() +0 92 1 1 50 1 1 11 0 0 +fn=std::locale::facet::_S_get_c_name() +0 10 1 1 5 0 0 0 0 0 +fn=std::locale::id::_M_id() const +0 705 1 1 226 9 2 56 0 0 +fn=std::locale::locale() +0 462 4 4 176 1 0 132 2 1 +fn=std::locale::operator=(std::locale const&) +0 136 3 3 56 0 0 24 0 0 +fn=std::locale::~locale() +0 96 1 1 40 0 0 16 0 0 +fn=std::messages::messages(unsigned long) +0 19 2 2 4 0 0 8 0 0 +fn=std::messages::messages(unsigned long) +0 19 1 1 4 0 0 8 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 112 5 5 37 1 1 31 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 112 5 5 37 0 0 31 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 108 6 6 29 0 0 32 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 108 6 6 29 0 0 32 0 0 +fn=std::num_get > > const& std::use_facet > > >(std::locale const&) +0 76 1 1 36 0 0 12 0 0 +fn=std::num_get > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::num_put > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::num_put > >::do_put(std::ostreambuf_iterator >, std::ios_base&, char, long) const +0 5 2 2 1 0 0 1 0 0 +fn=std::num_put > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::numpunct::_M_initialize_numpunct(__locale_struct*) +0 413 4 4 136 3 2 75 0 0 +fn=std::numpunct::_M_initialize_numpunct(__locale_struct*) +0 349 6 6 73 0 0 75 3 3 +fn=std::ostream& std::ostream::_M_insert(long) +0 72 7 7 28 4 0 13 0 0 +fn=std::ostream::flush() +0 56 1 1 28 6 0 8 0 0 +fn=std::ostream::operator<<(int) +0 10 1 1 3 0 0 0 0 0 +fn=std::ostream::operator<<(std::ostream& (*)(std::ostream&)) +0 1 1 1 0 0 0 0 0 0 +fn=std::ostream::put(char) +0 40 4 4 17 0 0 6 0 0 +fn=std::ostream::sentry::sentry(std::ostream&) +0 69 2 2 24 3 0 15 0 0 +fn=std::ostreambuf_iterator > std::num_put > >::_M_insert_int(std::ostreambuf_iterator >, std::ios_base&, char, long) const +0 97 7 7 25 4 0 19 0 0 +fn=strcasecmp +0 12 2 2 3 2 0 0 0 0 +fn=strcmp +0 130809 2 2 38693 153 50 0 0 0 +fn=strdup +0 68 1 1 8 0 0 16 0 0 +fn=strlen +0 1462 6 5 159 2 1 0 0 0 +fn=strncasecmp +0 12 2 2 3 0 0 0 0 0 +fn=strnlen +0 5 1 1 3 0 0 0 0 0 +fn=strsep +0 432 1 1 134 0 0 3 0 0 +fn=time +0 15 2 2 1 0 0 5 0 0 +fn=uname +0 5 1 1 1 0 0 0 0 0 +fn=uselocale +0 42 3 3 18 5 0 8 1 0 +fn=version_check_doit +0 10 1 1 4 0 0 2 0 0 +fn=wctob +0 2432 2 2 768 0 0 640 0 0 +fn=wctype_l +0 876 2 2 139 4 0 153 0 0 +fn=write +0 2 1 1 1 0 0 0 0 0 +summary: 11390488 1372 1343 4351588 9127 5267 1130849 1001689 63666 diff --git a/CPE435/Lab9/cachegrind.out.19906 b/CPE435/Lab9/cachegrind.out.19906 new file mode 100644 index 0000000..f4131a8 --- /dev/null +++ b/CPE435/Lab9/cachegrind.out.19906 @@ -0,0 +1,481 @@ +desc: I1 cache: 32768 B, 64 B, 8-way associative +desc: D1 cache: 32768 B, 64 B, 8-way associative +desc: LL cache: 8388608 B, 64 B, 16-way associative +cmd: ./test1 +events: Ir I1mr ILmr Dr D1mr DLmr Dw D1mw DLmw +fl=??? +fn=(below main) +0 62 4 4 17 5 0 17 1 0 +fn=??? +0 2049 158 135 1255 123 10 349 18 12 +fn=_Exit +0 8 2 2 1 1 0 0 0 0 +fn=_GLOBAL__sub_I_main +0 7 1 1 2 1 0 2 0 0 +fn=_IO_cleanup +0 112 8 8 33 1 1 19 0 0 +fn=_IO_default_setbuf +0 50 4 4 9 0 0 15 0 0 +fn=_IO_default_xsputn +0 338 4 4 90 0 0 23 0 0 +fn=_IO_do_write@@GLIBC_2.2.5 +0 71 7 7 21 0 0 17 0 0 +fn=_IO_doallocbuf +0 25 2 2 8 1 0 4 0 0 +fn=_IO_file_doallocate +0 63 5 5 11 2 0 6 0 0 +fn=_IO_file_overflow@@GLIBC_2.2.5 +0 618 7 7 165 0 0 100 1 0 +fn=_IO_file_setbuf@@GLIBC_2.2.5 +0 15 1 1 3 0 0 8 0 0 +fn=_IO_file_stat +0 4 1 1 1 0 0 0 0 0 +fn=_IO_file_sync@@GLIBC_2.2.5 +0 136 3 3 56 0 0 24 0 0 +fn=_IO_file_write@@GLIBC_2.2.5 +0 36 2 2 8 0 0 5 0 0 +fn=_IO_file_xsputn@@GLIBC_2.2.5 +0 124 7 7 25 0 0 16 0 0 +fn=_IO_flush_all_lockp +0 92 9 9 26 5 2 13 0 0 +fn=_IO_setb +0 21 2 2 5 0 0 5 0 0 +fn=__GI_memcmp +0 857 4 4 143 0 0 0 0 0 +fn=__GI_mempcpy +0 18 3 3 2 0 0 1 0 0 +fn=__GI_strlen +0 947 4 4 121 4 4 0 0 0 +fn=__GI_strrchr +0 34 4 4 2 1 0 0 0 0 +fn=__acos_finite +0 5 1 1 3 0 0 0 0 0 +fn=__asin_finite +0 5 1 1 3 0 0 0 0 0 +fn=__atan2_finite +0 8 1 1 3 0 0 0 0 0 +fn=__cpu_indicator_init +0 81 6 6 17 1 0 11 0 0 +fn=__ctype_init +0 16 2 2 10 4 0 3 0 0 +fn=__cxa_atexit +0 110 2 2 25 0 0 40 2 0 +fn=__cxa_finalize +0 297 5 5 81 2 0 27 0 0 +fn=__cxa_guard_acquire +0 32 5 5 13 1 0 7 0 0 +fn=__cxa_guard_release +0 14 3 3 5 0 0 3 0 0 +fn=__cxxabiv1::__si_class_type_info::__do_dyncast(long, __cxxabiv1::__class_type_info::__sub_kind, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info::__dyncast_result&) const +0 1400 3 3 440 0 0 360 0 0 +fn=__cxxabiv1::__vmi_class_type_info::__do_dyncast(long, __cxxabiv1::__class_type_info::__sub_kind, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info const*, void const*, __cxxabiv1::__class_type_info::__dyncast_result&) const +0 528 6 6 208 2 1 144 0 0 +fn=__dynamic_cast +0 2448 4 4 816 14 0 624 0 0 +fn=__exp_finite +0 8 1 1 3 0 0 0 0 0 +fn=__gconv_btwoc_ascii +0 640 1 1 128 0 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::overflow(int) +0 6 1 1 1 0 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::sync() +0 8 1 1 4 1 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::xsputn(char const*, long) +0 10 1 1 2 1 0 0 0 0 +fn=__gnu_cxx::stdio_sync_filebuf >::sync() +0 6 0 0 3 2 0 0 0 0 +fn=__init_misc +0 24 2 2 7 1 0 5 1 0 +fn=__libc_csu_init +0 41 2 2 9 2 0 9 0 0 +fn=__libc_memalign +0 1310 5 5 220 3 0 216 1 1 +fn=__log_finite +0 8 1 1 3 0 0 0 0 0 +fn=__memcmp_sse4_1 +0 111 11 11 38 1 1 0 0 0 +fn=__memcpy_ssse3_back +0 78 10 10 22 3 2 17 4 0 +fn=__new_exitfn +0 215 7 5 55 10 3 21 1 0 +fn=__overflow +0 17 2 2 4 0 0 1 0 0 +fn=__pow_finite +0 5 1 1 3 0 0 0 0 0 +fn=__run_exit_handlers +0 110 4 4 17 5 0 14 0 0 +fn=__sigjmp_save +0 9 0 0 2 0 0 2 0 0 +fn=__sigsetjmp +0 279 5 5 69 0 0 112 3 2 +fn=__static_initialization_and_destruction_0(int, int) +0 17 1 1 4 0 0 5 0 0 +fn=__strcmp_sse42 +0 392 6 6 48 1 1 0 0 0 +fn=__strlen_sse2_pminub +0 27 4 4 3 1 1 0 0 0 +fn=__unregister_atfork +0 28 2 2 12 1 1 4 0 0 +fn=__write_nocancel +0 5 0 0 1 0 0 0 0 0 +fn=_dl_add_to_namespace_list +0 250 3 3 62 0 0 41 0 0 +fn=_dl_add_to_slotinfo +0 48 3 3 18 5 0 12 2 0 +fn=_dl_allocate_tls_init +0 117 6 6 36 4 0 17 0 0 +fn=_dl_allocate_tls_storage +0 332 3 3 7 0 0 295 37 37 +fn=_dl_cache_libcmp +0 3387 4 4 511 33 33 0 0 0 +fn=_dl_catch_error +0 468 4 4 143 0 0 221 5 5 +fn=_dl_check_all_versions +0 117 3 3 19 0 0 11 0 0 +fn=_dl_check_map_versions +0 3897 14 14 1222 31 26 481 39 37 +fn=_dl_count_modids +0 4 2 2 3 0 0 0 0 0 +fn=_dl_debug_initialize +0 65 3 3 22 2 1 4 0 0 +fn=_dl_debug_state +0 2 1 1 2 0 0 0 0 0 +fn=_dl_determine_tlsoffset +0 85 4 4 15 1 0 9 0 0 +fn=_dl_discover_osversion +0 88 4 4 15 2 2 7 1 1 +fn=_dl_dst_count +0 204 1 1 60 0 0 60 1 1 +fn=_dl_fini +0 422 12 12 157 27 0 46 5 0 +fn=_dl_fixup +0 5727 6 6 2154 278 41 502 0 0 +fn=_dl_higher_prime_number +0 144 1 1 14 2 1 0 0 0 +fn=_dl_important_hwcaps +0 267 19 19 48 0 0 45 2 2 +fn=_dl_init +0 397 11 11 118 23 0 38 0 0 +fn=_dl_init_paths +0 379 18 18 44 0 0 41 4 4 +fn=_dl_initial_error_catch_tsd +0 28 0 0 14 0 0 0 0 0 +fn=_dl_load_cache_lookup +0 1252 16 16 249 30 30 111 1 1 +fn=_dl_lookup_symbol_x +0 520999 11 11 84994 1337 1108 30568 15 10 +fn=_dl_map_object +0 2165 23 23 514 0 0 319 10 10 +fn=_dl_map_object_deps +0 2859 38 38 613 7 1 237 3 3 +fn=_dl_map_object_from_fd +0 4474 47 47 986 43 43 464 50 50 +fn=_dl_mcount_wrapper_check +0 512 2 2 384 0 0 0 0 0 +fn=_dl_name_match_p +0 9389 2 2 2429 29 0 1396 4 4 +fn=_dl_new_object +0 1148 12 12 211 7 7 208 41 41 +fn=_dl_next_ld_env_entry +0 268 2 2 93 0 0 2 0 0 +fn=_dl_next_tls_modid +0 12 2 2 6 0 0 2 0 0 +fn=_dl_process_tunable_env_entries +0 243 2 2 82 22 22 1 0 0 +fn=_dl_receive_error +0 28 2 2 10 0 0 12 0 0 +fn=_dl_relocate_object +0 198542 51 51 52290 3815 2933 16275 1049 713 +fn=_dl_runtime_resolve_xsave +0 3320 4 4 3984 1 0 4150 26 26 +fn=_dl_setup_hash +0 161 2 2 49 8 8 42 0 0 +fn=_dl_sort_fini +0 613 7 7 158 5 0 30 0 0 +fn=_dl_start +0 686 17 16 142 26 24 57 19 18 +fn=_dl_sysdep_read_whole_file +0 41 3 3 6 0 0 9 1 1 +fn=_dl_sysdep_start +0 406 11 11 109 15 14 30 5 5 +fn=_dl_sysdep_start_cleanup +0 1 1 1 1 0 0 0 0 0 +fn=_dl_unload_cache +0 10 2 1 3 2 0 2 0 0 +fn=_dl_vdso_vsym +0 28 2 2 12 2 0 0 0 0 +fn=_fxstat +0 70 3 3 7 0 0 0 0 0 +fn=_init +0 60 6 6 14 4 0 18 4 3 +fn=_setjmp +0 2 1 1 0 0 0 0 0 0 +fn=_xstat +0 49 1 1 4 0 0 3 0 0 +fn=access +0 9 1 1 1 0 0 1 0 0 +fn=bcmp +0 2151 15 15 546 11 11 160 2 2 +fn=bool std::has_facet >(std::locale const&) +0 84 2 2 36 1 0 12 0 0 +fn=bool std::has_facet >(std::locale const&) +0 84 2 2 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 1 1 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 1 1 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 2 2 36 0 0 12 0 0 +fn=bool std::has_facet > > >(std::locale const&) +0 84 2 2 36 1 0 12 0 0 +fn=brk +0 12 2 2 1 0 0 1 1 1 +fn=bsearch +0 904 2 2 164 0 0 118 1 1 +fn=btowc +0 8064 4 4 2176 5 0 1024 0 0 +fn=calloc +0 136 1 1 0 0 0 0 0 0 +fn=check_match.9525 +0 67090 5 5 27652 263 151 7912 22 17 +fn=check_stdfiles_vtables +0 11 2 2 7 4 0 0 0 0 +fn=close +0 30 0 0 6 0 0 0 0 0 +fn=cos +0 8 2 2 3 0 0 0 0 0 +fn=dl_main +0 1288 76 76 269 39 22 145 17 17 +fn=do_lookup_x +0 353038 28 28 113642 2512 638 51810 14 9 +fn=exit +0 6 1 1 1 0 0 2 0 0 +fn=expand_dynamic_string_token +0 66 2 2 12 0 0 18 0 0 +fn=fflush +0 364 5 5 133 4 2 35 0 0 +fn=fillin_rpath +0 253 15 15 51 0 0 59 2 2 +fn=floor +0 5 1 1 3 0 0 0 0 0 +fn=floorf +0 5 2 2 3 0 0 0 0 0 +fn=free +0 54 1 1 27 0 0 9 0 0 +fn=fwrite +0 147 7 7 48 6 2 19 0 0 +fn=gettimeofday +0 15 1 1 1 0 0 5 0 0 +fn=handle_intel.isra.0 +0 94 5 5 14 0 0 26 0 0 +fn=handle_ld_preload +0 686 7 7 70 1 1 17 2 2 +fn=index +0 1401 7 7 117 6 6 0 0 0 +fn=init_cacheinfo +0 150 9 9 11 0 0 15 2 2 +fn=init_cpu_features.constprop.0 +0 122 13 13 18 2 2 21 1 1 +fn=init_tls +0 87 5 5 20 6 0 14 1 1 +fn=intel_02_known_compare +0 324 1 1 162 6 6 0 0 0 +fn=intel_check_word +0 407 11 11 28 0 0 40 1 1 +fn=main +0 10007023 1 1 4003005 3 0 1001006 62500 62388 +fn=malloc +0 120 0 0 0 0 0 0 0 0 +fn=map_doit +0 12 1 1 5 0 0 3 0 0 +fn=match_symbol +0 1563 5 5 617 26 24 171 0 0 +fn=memcpy +0 1061 6 6 179 5 5 149 20 20 +fn=memcpy@@GLIBC_2.14 +0 11 2 2 5 0 0 0 0 0 +fn=memcpy@GLIBC_2.2.5 +0 30 1 1 8 0 0 0 0 0 +fn=mempcpy +0 1556 5 5 214 2 1 149 5 5 +fn=memset +0 5134 4 3 27 0 0 5004 79 79 +fn=mmap +0 623 5 5 138 0 0 114 4 4 +fn=mprotect +0 60 1 1 12 0 0 0 0 0 +fn=munmap +0 5 0 0 1 0 0 0 0 0 +fn=newlocale +0 40 7 7 12 0 0 9 0 0 +fn=open +0 237 1 1 29 0 0 23 0 0 +fn=open_path +0 1539 16 16 523 1 1 192 4 4 +fn=open_verify +0 1758 15 15 410 13 13 358 2 2 +fn=openaux +0 204 2 2 96 0 0 36 0 0 +fn=putc +0 40 4 4 18 0 0 4 0 0 +fn=read +0 25 1 1 5 0 0 0 0 0 +fn=rint +0 5 2 2 3 0 0 0 0 0 +fn=rintf +0 5 1 1 3 0 0 0 0 0 +fn=rtld_lock_default_lock_recursive +0 114 1 1 114 3 1 0 0 0 +fn=rtld_lock_default_unlock_recursive +0 114 0 0 114 0 0 0 0 0 +fn=sbrk +0 17 2 2 5 0 0 2 0 0 +fn=sin +0 8 1 1 3 0 0 0 0 0 +fn=std::__timepunct::_M_initialize_timepunct(__locale_struct*) +0 107 12 12 6 0 0 52 0 0 +fn=std::__timepunct::__timepunct(std::__timepunct_cache*, unsigned long) +0 22 2 2 4 0 0 9 0 0 +fn=std::__timepunct::_M_initialize_timepunct(__locale_struct*) +0 105 12 12 5 0 0 51 0 0 +fn=std::__timepunct::__timepunct(std::__timepunct_cache*, unsigned long) +0 22 2 2 4 0 0 9 1 1 +fn=std::basic_ios >::_M_cache_locale(std::locale const&) +0 120 2 2 12 0 0 44 0 0 +fn=std::basic_ios >::init(std::basic_streambuf >*) +0 88 2 2 12 0 0 40 0 0 +fn=std::basic_ios >::_M_cache_locale(std::locale const&) +0 120 2 2 12 0 0 44 0 0 +fn=std::basic_ios >::init(std::basic_streambuf >*) +0 88 3 3 12 0 0 40 0 0 +fn=std::basic_ostream >& std::__ostream_insert >(std::basic_ostream >&, char const*, long) +0 57 8 8 24 2 0 12 0 0 +fn=std::basic_ostream >& std::endl >(std::basic_ostream >&) +0 20 2 2 7 0 0 3 0 0 +fn=std::basic_ostream >& std::operator<< >(std::basic_ostream >&, char const*) +0 18 2 2 3 0 0 4 0 0 +fn=std::basic_ostream >::flush() +0 42 2 2 21 10 0 6 0 0 +fn=std::codecvt::codecvt(unsigned long) +0 17 1 1 4 0 0 6 1 1 +fn=std::codecvt::codecvt(unsigned long) +0 17 2 2 4 0 0 6 2 2 +fn=std::ctype const& std::use_facet >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::ctype::_M_widen_init() const +0 1056 3 3 9 2 0 263 0 0 +fn=std::ctype::ctype(unsigned short const*, bool, unsigned long) +0 161 8 8 8 1 0 83 9 9 +fn=std::ctype::do_widen(char const*, char const*, char*) const +0 8 1 1 2 0 0 2 0 0 +fn=std::ctype::do_widen(char) const +0 2 1 1 1 0 0 0 0 0 +fn=std::ctype const& std::use_facet >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::ctype::_M_convert_to_wmask(unsigned short) const +0 129 5 5 12 0 0 0 0 0 +fn=std::ctype::_M_initialize_ctype() +0 2757 5 5 5 0 0 810 20 20 +fn=std::ctype::ctype(unsigned long) +0 19 1 1 3 0 0 7 1 1 +fn=std::error_category::error_category() +0 12 1 1 6 1 0 3 0 0 +fn=std::error_category::~error_category() +0 12 1 1 6 1 0 3 0 0 +fn=std::future_category() +0 21 3 3 2 1 1 6 0 0 +fn=std::ios_base::Init::Init() +0 270 31 31 41 8 1 168 16 13 +fn=std::ios_base::Init::~Init() +0 24 3 3 9 8 0 7 0 0 +fn=std::ios_base::_M_init() +0 192 3 3 48 0 0 72 0 0 +fn=std::ios_base::ios_base() +0 472 3 3 8 0 0 216 31 26 +fn=std::locale::_Impl::_Impl(unsigned long) +0 819 66 66 132 14 1 406 44 44 +fn=std::locale::_Impl::_M_install_facet(std::locale::id const*, std::locale::facet const*) +0 7448 7 7 2716 0 0 224 0 0 +fn=std::locale::facet::_S_create_c_locale(__locale_struct*&, char const*, __locale_struct*) +0 9 1 1 2 0 0 3 0 0 +fn=std::locale::facet::_S_get_c_locale() +0 92 1 1 50 1 1 11 0 0 +fn=std::locale::facet::_S_get_c_name() +0 10 1 1 5 0 0 0 0 0 +fn=std::locale::id::_M_id() const +0 705 1 1 226 9 2 56 0 0 +fn=std::locale::locale() +0 462 4 4 176 1 0 132 2 1 +fn=std::locale::operator=(std::locale const&) +0 136 3 3 56 0 0 24 0 0 +fn=std::locale::~locale() +0 96 1 1 40 0 0 16 0 0 +fn=std::messages::messages(unsigned long) +0 19 2 2 4 0 0 8 0 0 +fn=std::messages::messages(unsigned long) +0 19 1 1 4 0 0 8 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 112 5 5 37 1 1 31 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 112 5 5 37 0 0 31 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 108 6 6 29 0 0 32 0 0 +fn=std::moneypunct::_M_initialize_moneypunct(__locale_struct*, char const*) +0 108 6 6 29 0 0 32 0 0 +fn=std::num_get > > const& std::use_facet > > >(std::locale const&) +0 76 1 1 36 0 0 12 0 0 +fn=std::num_get > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::num_put > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::num_put > >::do_put(std::ostreambuf_iterator >, std::ios_base&, char, long) const +0 5 2 2 1 0 0 1 0 0 +fn=std::num_put > > const& std::use_facet > > >(std::locale const&) +0 76 2 2 36 0 0 12 0 0 +fn=std::numpunct::_M_initialize_numpunct(__locale_struct*) +0 413 4 4 136 3 2 75 0 0 +fn=std::numpunct::_M_initialize_numpunct(__locale_struct*) +0 349 6 6 73 0 0 75 3 3 +fn=std::ostream& std::ostream::_M_insert(long) +0 72 7 7 28 4 0 13 0 0 +fn=std::ostream::flush() +0 56 1 1 28 6 0 8 0 0 +fn=std::ostream::operator<<(int) +0 10 1 1 3 0 0 0 0 0 +fn=std::ostream::operator<<(std::ostream& (*)(std::ostream&)) +0 1 1 1 0 0 0 0 0 0 +fn=std::ostream::put(char) +0 40 4 4 17 0 0 6 0 0 +fn=std::ostream::sentry::sentry(std::ostream&) +0 69 2 2 24 3 0 15 0 0 +fn=std::ostreambuf_iterator > std::num_put > >::_M_insert_int(std::ostreambuf_iterator >, std::ios_base&, char, long) const +0 97 7 7 25 4 0 19 0 0 +fn=strcasecmp +0 12 2 2 3 2 0 0 0 0 +fn=strcmp +0 130809 2 2 38693 153 50 0 0 0 +fn=strdup +0 68 1 1 8 0 0 16 0 0 +fn=strlen +0 1457 6 5 157 2 1 0 0 0 +fn=strncasecmp +0 12 2 2 3 0 0 0 0 0 +fn=strnlen +0 5 1 1 3 0 0 0 0 0 +fn=strsep +0 432 1 1 134 0 0 3 0 0 +fn=time +0 15 2 2 1 0 0 5 0 0 +fn=uname +0 5 1 1 1 0 0 0 0 0 +fn=uselocale +0 42 3 3 18 5 0 8 1 0 +fn=version_check_doit +0 10 1 1 4 0 0 2 0 0 +fn=wctob +0 2432 2 2 768 0 0 640 0 0 +fn=wctype_l +0 876 2 2 139 4 0 153 0 0 +fn=write +0 2 1 1 1 0 0 0 0 0 +summary: 11390464 1372 1343 4351585 9127 5267 1130849 64167 63666 diff --git a/CPE435/Lab9/cachegrind.out.27944 b/CPE435/Lab9/cachegrind.out.27944 new file mode 100644 index 0000000..80d4354 --- /dev/null +++ b/CPE435/Lab9/cachegrind.out.27944 @@ -0,0 +1,249 @@ +desc: I1 cache: 32768 B, 64 B, 8-way associative +desc: D1 cache: 32768 B, 64 B, 8-way associative +desc: LL cache: 8388608 B, 64 B, 16-way associative +cmd: ./test3 +events: Ir I1mr ILmr Dr D1mr DLmr Dw D1mw DLmw +fl=??? +fn=(below main) +0 62 4 4 17 2 0 17 0 0 +fn=??? +0 188 19 16 93 5 0 23 1 1 +fn=_Exit +0 8 2 2 1 0 0 0 0 0 +fn=_IO_cleanup +0 57 3 3 16 0 0 10 0 0 +fn=_IO_flush_all_lockp +0 92 9 9 26 11 5 13 0 0 +fn=__GI_strrchr +0 51 4 4 3 1 0 0 0 0 +fn=__ctype_init +0 16 2 2 10 3 0 3 0 0 +fn=__cxa_atexit +0 22 2 2 5 0 0 8 0 0 +fn=__cxa_finalize +0 46 4 4 12 1 0 6 0 0 +fn=__default_morecore +0 16 1 1 2 0 0 2 0 0 +fn=__init_misc +0 24 2 2 7 0 0 5 1 0 +fn=__libc_csu_init +0 34 2 2 8 1 0 8 0 0 +fn=__libc_memalign +0 740 5 5 124 0 0 122 1 1 +fn=__new_exitfn +0 39 5 5 11 5 3 5 0 0 +fn=__run_exit_handlers +0 54 4 4 9 4 0 9 0 0 +fn=__sigjmp_save +0 9 0 0 2 0 0 2 0 0 +fn=__sigsetjmp +0 79 5 5 19 0 0 32 2 2 +fn=__unregister_atfork +0 7 2 2 3 1 1 1 0 0 +fn=_dl_add_to_namespace_list +0 106 3 3 26 0 0 20 0 0 +fn=_dl_add_to_slotinfo +0 24 3 3 9 3 0 6 0 0 +fn=_dl_addr +0 56525 10 10 13788 1030 865 16 2 0 +fn=_dl_allocate_tls_init +0 81 6 6 25 2 0 13 0 0 +fn=_dl_allocate_tls_storage +0 332 3 3 7 0 0 295 37 37 +fn=_dl_cache_libcmp +0 723 4 4 110 9 9 0 0 0 +fn=_dl_catch_error +0 108 4 4 33 0 0 51 5 5 +fn=_dl_check_all_versions +0 75 3 3 13 0 0 8 0 0 +fn=_dl_check_map_versions +0 1152 14 14 375 14 13 158 12 12 +fn=_dl_count_modids +0 4 2 2 3 0 0 0 0 0 +fn=_dl_debug_initialize +0 41 3 3 13 2 1 4 0 0 +fn=_dl_debug_state +0 2 1 1 2 0 0 0 0 0 +fn=_dl_determine_tlsoffset +0 56 4 4 11 0 0 8 0 0 +fn=_dl_discover_osversion +0 88 4 4 15 2 2 7 1 1 +fn=_dl_dst_count +0 34 1 1 10 0 0 10 1 1 +fn=_dl_fini +0 263 12 12 94 22 0 31 4 0 +fn=_dl_fixup +0 199 5 5 74 10 0 18 0 0 +fn=_dl_important_hwcaps +0 267 19 19 48 0 0 45 2 2 +fn=_dl_init +0 191 11 11 62 6 0 21 0 0 +fn=_dl_init_paths +0 379 18 18 44 0 0 41 4 4 +fn=_dl_initial_error_catch_tsd +0 8 0 0 4 0 0 0 0 0 +fn=_dl_load_cache_lookup +0 331 16 16 62 9 9 31 1 1 +fn=_dl_lookup_symbol_x +0 18712 11 11 3760 101 91 2209 13 4 +fn=_dl_map_object +0 412 23 23 89 0 0 75 9 9 +fn=_dl_map_object_deps +0 1145 38 38 248 1 1 120 4 4 +fn=_dl_map_object_from_fd +0 1733 46 46 380 20 20 183 26 26 +fn=_dl_name_match_p +0 995 2 2 257 3 0 148 4 4 +fn=_dl_new_object +0 593 12 12 103 4 4 109 24 24 +fn=_dl_next_ld_env_entry +0 268 2 2 93 0 0 2 0 0 +fn=_dl_next_tls_modid +0 6 2 2 3 0 0 1 0 0 +fn=_dl_process_tunable_env_entries +0 243 2 2 82 22 22 1 0 0 +fn=_dl_receive_error +0 28 2 2 10 0 0 12 0 0 +fn=_dl_relocate_object +0 18503 47 47 5026 663 613 2260 254 243 +fn=_dl_runtime_resolve_xsave +0 120 4 4 144 1 0 150 20 11 +fn=_dl_setup_hash +0 92 2 2 28 5 5 24 0 0 +fn=_dl_sort_fini +0 199 7 7 54 1 0 21 0 0 +fn=_dl_start +0 686 16 16 142 26 24 57 19 18 +fn=_dl_sysdep_read_whole_file +0 41 3 3 6 0 0 9 1 1 +fn=_dl_sysdep_start +0 406 11 11 109 15 14 30 5 5 +fn=_dl_sysdep_start_cleanup +0 1 1 1 1 0 0 0 0 0 +fn=_dl_unload_cache +0 10 2 1 3 2 0 2 0 0 +fn=_dl_vdso_vsym +0 28 2 2 12 1 0 0 0 0 +fn=_fxstat +0 30 2 2 3 0 0 0 0 0 +fn=_init +0 60 6 6 14 2 0 18 4 3 +fn=_int_malloc +0 145 15 15 22 3 2 25 1 0 +fn=_setjmp +0 2 1 1 0 0 0 0 0 0 +fn=_xstat +0 49 1 1 4 0 0 3 0 0 +fn=access +0 9 1 1 1 0 0 1 0 0 +fn=bcmp +0 1157 14 14 289 11 11 82 2 2 +fn=brk +0 51 3 3 7 0 0 4 1 1 +fn=bsearch +0 904 2 2 164 0 0 118 1 1 +fn=calloc +0 64 1 1 0 0 0 0 0 0 +fn=check_match.9525 +0 4674 5 5 1930 25 12 554 6 4 +fn=check_stdfiles_vtables +0 11 2 2 7 3 0 0 0 0 +fn=close +0 15 0 0 3 0 0 0 0 0 +fn=dl_main +0 1154 76 76 232 28 21 138 17 17 +fn=do_lookup_x +0 23235 14 14 7586 178 134 3571 11 7 +fn=exit +0 6 1 1 1 0 0 2 0 0 +fn=expand_dynamic_string_token +0 66 2 2 12 0 0 18 0 0 +fn=fillin_rpath +0 253 15 15 51 0 0 59 2 2 +fn=free +0 24 1 1 12 0 0 4 0 0 +fn=gettimeofday +0 15 1 1 1 0 0 5 0 0 +fn=handle_intel.isra.0 +0 94 5 5 14 0 0 26 0 0 +fn=handle_ld_preload +0 686 7 7 70 1 1 17 2 2 +fn=index +0 494 7 7 39 2 2 0 0 0 +fn=init_cacheinfo +0 150 9 9 11 0 0 15 2 2 +fn=init_cpu_features.constprop.0 +0 122 13 13 18 2 2 21 1 1 +fn=init_tls +0 65 5 5 14 1 0 13 1 1 +fn=intel_02_known_compare +0 324 1 1 162 6 6 0 0 0 +fn=intel_check_word +0 407 11 11 28 0 0 40 1 1 +fn=main +0 9 0 0 2 1 0 3 0 0 +fn=malloc +0 119 4 4 18 3 0 5 0 0 +fn=malloc_consolidate +0 793 3 3 9 0 0 263 33 32 +fn=malloc_hook_ini +0 11 2 2 3 1 1 3 0 0 +fn=map_doit +0 12 1 1 5 0 0 3 0 0 +fn=match_symbol +0 179 5 5 72 4 4 27 0 0 +fn=memcpy +0 462 6 6 72 0 0 58 6 6 +fn=memcpy@GLIBC_2.2.5 +0 15 1 1 4 0 0 0 0 0 +fn=mempcpy +0 589 5 5 79 2 1 54 4 4 +fn=memset +0 2130 3 3 13 0 0 2068 33 33 +fn=mmap +0 295 3 3 65 0 0 54 1 1 +fn=mprotect +0 30 1 1 6 0 0 0 0 0 +fn=munmap +0 5 0 0 1 0 0 0 0 0 +fn=open +0 87 1 1 11 0 0 8 0 0 +fn=open_path +0 531 16 16 184 1 1 66 4 4 +fn=open_verify +0 668 15 15 153 12 12 130 1 1 +fn=openaux +0 34 2 2 16 0 0 6 0 0 +fn=ptmalloc_init.part.7 +0 289 5 5 97 34 1 9 2 0 +fn=read +0 10 1 1 2 0 0 0 0 0 +fn=rtld_lock_default_lock_recursive +0 10 1 1 10 2 1 0 0 0 +fn=rtld_lock_default_unlock_recursive +0 10 0 0 10 1 0 0 0 0 +fn=sbrk +0 69 5 5 20 3 1 11 1 0 +fn=strcasecmp +0 12 2 2 3 1 0 0 0 0 +fn=strcmp +0 10258 1 1 3054 21 10 0 0 0 +fn=strdup +0 17 1 1 2 0 0 4 0 0 +fn=strlen +0 708 4 4 80 1 1 0 0 0 +fn=strncasecmp +0 12 2 2 3 0 0 0 0 0 +fn=strnlen +0 5 1 1 3 0 0 0 0 0 +fn=strsep +0 432 1 1 134 0 0 3 0 0 +fn=sysmalloc +0 140 14 14 33 6 3 23 3 2 +fn=time +0 15 2 2 1 0 0 5 0 0 +fn=uname +0 5 1 1 1 0 0 0 0 0 +fn=version_check_doit +0 10 1 1 4 0 0 2 0 0 +summary: 158991 787 783 40611 2363 1929 14001 593 543 diff --git a/CPE435/Lab9/num_Quick.txt b/CPE435/Lab9/num_Quick.txt new file mode 100644 index 0000000..6265d01 --- /dev/null +++ b/CPE435/Lab9/num_Quick.txt @@ -0,0 +1,1000000 @@ +846930886 +1681692777 +1714636915 +1957747793 +424238335 +719885386 +1649760492 +596516649 +1189641421 +1025202362 +1350490027 +783368690 +1102520059 +2044897763 +1967513926 +1365180540 +1540383426 +304089172 +1303455736 +35005211 +521595368 +294702567 +1726956429 +336465782 +861021530 +278722862 +233665123 +2145174067 +468703135 +1101513929 +1801979802 +1315634022 +635723058 +1369133069 +1125898167 +1059961393 +2089018456 +628175011 +1656478042 +1131176229 +1653377373 +859484421 +1914544919 +608413784 +756898537 +1734575198 +1973594324 +149798315 +2038664370 +1129566413 +184803526 +412776091 +1424268980 +1911759956 +749241873 +137806862 +42999170 +982906996 +135497281 +511702305 +2084420925 +1937477084 +1827336327 +572660336 +1159126505 +805750846 +1632621729 +1100661313 +1433925857 +1141616124 +84353895 +939819582 +2001100545 +1998898814 +1548233367 +610515434 +1585990364 +1374344043 +760313750 +1477171087 +356426808 +945117276 +1889947178 +1780695788 +709393584 +491705403 +1918502651 +752392754 +1474612399 +2053999932 +1264095060 +1411549676 +1843993368 +943947739 +1984210012 +855636226 +1749698586 +1469348094 +1956297539 +1036140795 +463480570 +2040651434 +1975960378 +317097467 +1892066601 +1376710097 +927612902 +1330573317 +603570492 +1687926652 +660260756 +959997301 +485560280 +402724286 +593209441 +1194953865 +894429689 +364228444 +1947346619 +221558440 +270744729 +1063958031 +1633108117 +2114738097 +2007905771 +1469834481 +822890675 +1610120709 +791698927 +631704567 +498777856 +1255179497 +524872353 +327254586 +1572276965 +269455306 +1703964683 +352406219 +1600028624 +160051528 +2040332871 +112805732 +1120048829 +378409503 +515530019 +1713258270 +1573363368 +1409959708 +2077486715 +1373226340 +1631518149 +200747796 +289700723 +1117142618 +168002245 +150122846 +439493451 +990892921 +1760243555 +1231192379 +1622597488 +111537764 +338888228 +2147469841 +438792350 +1911165193 +269441500 +2142757034 +116087764 +1869470124 +155324914 +8936987 +1982275856 +1275373743 +387346491 +350322227 +841148365 +1960709859 +1760281936 +771151432 +1186452551 +1244316437 +971899228 +1476153275 +213975407 +1139901474 +1626276121 +653468858 +2130794395 +1239036029 +1884661237 +1605908235 +1350573793 +76065818 +1605894428 +1789366143 +1987231011 +1875335928 +1784639529 +2103318776 +1597322404 +1939964443 +2112255763 +1432114613 +1067854538 +352118606 +1782436840 +1909002904 +165344818 +1395235128 +532670688 +1351797369 +492067917 +1504569917 +680466996 +706043324 +496987743 +159259470 +1359512183 +480298490 +1398295499 +1096689772 +2086206725 +601385644 +1172755590 +1544617505 +243268139 +1012502954 +1272469786 +2027907669 +968338082 +722308542 +1820388464 +933110197 +6939507 +740759355 +1285228804 +1789376348 +502278611 +1450573622 +1037127828 +1034949299 +654887343 +1529195746 +392035568 +1335354340 +87755422 +889023311 +1494613810 +1447267605 +1369321801 +745425661 +396473730 +1308044878 +1346811305 +1569229320 +705178736 +1590079444 +434248626 +1977648522 +1470503465 +1402586708 +552473416 +1143408282 +188213258 +559412924 +1884167637 +1473442062 +201305624 +238962600 +776532036 +1238433452 +1273911899 +1431419379 +620145550 +1665947468 +619290071 +707900973 +407487131 +2113903881 +7684930 +1776808933 +711845894 +404158660 +937370163 +2058657199 +1973387981 +1642548899 +1501252996 +260152959 +1472713773 +824272813 +1662739668 +2025187190 +1967681095 +1850952926 +437116466 +1704365084 +1176911340 +638422090 +1943327684 +1953443376 +1876855542 +1069755936 +1237379107 +349517445 +588219756 +1856669179 +1057418418 +995706887 +1823089412 +1065103348 +625032172 +387451659 +1469262009 +1562402336 +298625210 +1295166342 +1057467587 +1799878206 +1555319301 +382697713 +476667372 +1070575321 +260401255 +296864819 +774044599 +697517721 +2001229904 +1950955939 +1335939811 +1797073940 +1756915667 +1065311705 +719346228 +846811127 +1414829150 +1307565984 +555996658 +324763920 +155789224 +231602422 +1389867269 +780821396 +619054081 +711645630 +195740084 +917679292 +2006811972 +1253207672 +570073850 +1414647625 +1635905385 +1046741222 +337739299 +1896306640 +1343606042 +1111783898 +446340713 +1197352298 +915256190 +1782280524 +846942590 +524688209 +700108581 +1566288819 +1371499336 +2114937732 +726371155 +1927495994 +292218004 +882160379 +11614769 +1682085273 +1662981776 +630668850 +246247255 +1858721860 +1548348142 +105575579 +964445884 +2118421993 +1520223205 +452867621 +1017679567 +1857962504 +201690613 +213801961 +822262754 +648031326 +1411154259 +1737518944 +282828202 +110613202 +114723506 +982936784 +1676902021 +1486222842 +950390868 +255789528 +1266235189 +1242608872 +1137949908 +1277849958 +777210498 +653448036 +1908518808 +1023457753 +364686248 +1309383303 +1129033333 +1329132133 +1280321648 +501772890 +1781999754 +150517567 +212251746 +1983690368 +364319529 +1034514500 +484238046 +1775473788 +624549797 +767066249 +1886086990 +739273303 +1750003033 +1415505363 +78012497 +552910253 +1671294892 +1344247686 +1795519125 +661761152 +474613996 +425245975 +1315209188 +235649157 +1448703729 +1679895436 +1545032460 +430253414 +861543921 +677870460 +932026304 +496060028 +828388027 +1144278050 +332266748 +1192707556 +31308902 +816504794 +820697697 +655858699 +1583571043 +559301039 +1395132002 +1186090428 +1974806403 +1473144500 +1739000681 +1498617647 +669908538 +1387036159 +12895151 +1144522535 +1812282134 +1328104339 +1380171692 +1113502215 +860516127 +777720504 +1543755629 +1722060049 +1455590964 +328298285 +70636429 +136495343 +1472576335 +402903177 +1329202900 +1503885238 +1219407971 +2416949 +12260289 +655495367 +561717988 +1407392292 +1841585795 +389040743 +733053144 +1433102829 +1887658390 +1402961682 +672655340 +1900553541 +400000569 +337453826 +1081174232 +1780172261 +1450956042 +1941690360 +410409117 +847228023 +1516266761 +1866000081 +1175526309 +1586903190 +2002495425 +500618996 +1989806367 +1184214677 +2004504234 +1061730690 +1186631626 +2016764524 +1717226057 +1748349614 +1276673168 +1411328205 +2137390358 +2009726312 +696947386 +1877565100 +1265204346 +1369602726 +1630634994 +1665204916 +1707056552 +564325578 +1297893529 +1010528946 +358532290 +1708302647 +1857756970 +1874799051 +1426819080 +885799631 +1314218593 +1281830857 +1386418627 +1156541312 +318561886 +1243439214 +70788355 +1505193512 +1112720090 +1788014412 +1106059479 +241909610 +1051858969 +1095966189 +104152274 +1748806355 +826047641 +1369356620 +970925433 +309198987 +887077888 +530498338 +873524566 +37487770 +1541027284 +1232056856 +1745790417 +1251300606 +959372260 +1025125849 +2137100237 +126107205 +159473059 +1376035217 +1282648518 +478034945 +471990783 +1353436873 +1983228458 +1584710873 +993967637 +941804289 +1826620483 +2045826607 +2037770478 +1930772757 +1647149314 +716334471 +1152645729 +470591100 +1025533459 +2039723618 +1001089438 +1899058025 +2077211388 +394633074 +983631233 +1675518157 +1645933681 +1943003493 +553160358 +1635550270 +2069110699 +712633417 +864101839 +1204275569 +1190668363 +1336092622 +410228794 +1026413173 +773319847 +1404196431 +1968217462 +452456682 +1302539390 +1858504292 +235745791 +802205057 +427355115 +1388391521 +1272796157 +1452888574 +1280631491 +126401947 +1204462951 +1210359231 +521035021 +40610537 +738393740 +19485054 +1983614030 +1291554098 +1655035325 +1905241081 +2004187516 +371653516 +962033002 +1047372231 +1707746139 +1372261796 +2073785404 +333582338 +628974580 +1894519218 +786039021 +1931513970 +1605539862 +1021784812 +586235379 +2032894977 +262692685 +1859031536 +1338299904 +1543324176 +1985433483 +395279207 +606199759 +358984857 +435889744 +1344593499 +378469911 +272020127 +488663950 +2033505236 +29777560 +345367818 +257675105 +991810563 +1392740049 +1965421244 +216588711 +1319041805 +151519934 +845563291 +1066077375 +937558955 +629593614 +524133589 +1959343768 +1215828993 +409544918 +74552805 +927376882 +1747844822 +1617876982 +765326717 +2143124030 +76593093 +1124311574 +431530126 +1421186593 +1502781486 +703550253 +1909850543 +1388803074 +733327814 +107734713 +1646478179 +1725138377 +1500474762 +1464415775 +1941727088 +672032919 +1615935710 +639806732 +1738110294 +406011017 +1269400346 +114760235 +217871137 +337745691 +524305153 +292423943 +1265122573 +124666328 +1910300925 +2030449291 +120306710 +1986894018 +1007277217 +551836836 +1260596963 +362575055 +1255387090 +1022963858 +1751378130 +1988714904 +1130698571 +1250372661 +1566369633 +483689685 +567304789 +1360613073 +1155722604 +35756851 +2000419805 +746349250 +441767868 +1122336503 +861109485 +659639006 +1460082195 +1385414639 +952062949 +577721120 +1510080967 +714880226 +460686763 +1630387677 +554290596 +1467963981 +34740865 +1814887560 +1830539036 +1290127955 +690367770 +1434433518 +1131359211 +1821066342 +537322532 +550245196 +157272379 +1104627321 +1910858270 +1312994984 +1140384172 +1763794427 +2059344234 +1582152040 +738647283 +772970072 +94307398 +51245830 +10901063 +1046370347 +628966950 +1520982030 +1761250573 +1089653714 +1003886059 +168057522 +410134047 +1038626924 +1982945082 +93189435 +181271232 +525829204 +1527622954 +1312630443 +199411898 +2064945486 +1862875640 +356684278 +1022089159 +1626250262 +1669679262 +14989683 +1242561041 +1581539848 +1597141723 +1981208324 +207026272 +1691449122 +2032454154 +217927335 +590335821 +513937457 +1738909365 +204102747 +1603591171 +595311776 +372160269 +2013725218 +1633938701 +207621703 +2106914653 +1815209933 +733450907 +1487053959 +980356728 +932862806 +1404515797 +695748720 +1289547084 +279121308 +174515334 +811742698 +294110991 +1417076376 +245798898 +1891252715 +1250801052 +452825171 +1435218189 +1135771559 +670752506 +2025554010 +1649709016 +262178224 +82173109 +1105816539 +857490000 +454333378 +972058109 +343945053 +661955081 +931489114 +11671338 +1395405989 +271059426 +992028067 +180785147 +1675575223 +1687776787 +1470332231 +1954696532 +1862292122 +134591281 +101323875 +1131884850 +380390179 +1992576590 +235202254 +833215350 +1280311131 +1370973813 +1503967857 +1158381494 +873199181 +1766146081 +1240554603 +1979015720 +476152433 +1694887982 +803590181 +820097487 +209359415 +1735079296 +831768825 +1604765404 +2006138722 +1823796892 +1785550551 +1534230297 +1364090032 +1108399134 +1341443181 +1078898506 +1242990415 +1442767057 +63299708 +1623380595 +1287859999 +298501962 +309112297 +420687483 +1669475776 +1813080154 +1579068977 +395191309 +1431742587 +672139932 +226723382 +1907895021 +219544266 +1030313563 +580508860 +428903682 +617909211 +1412277685 +2033669086 +476564285 +1088590930 +1671735990 +2010794583 +305197314 +632651476 +1204754116 +1384095820 +1875641892 +500037525 +1447395528 +1351538839 +1787897525 +1745897490 +1660651136 +61101360 +1267889618 +1326247643 +1640170337 +1663080928 +610506582 +164826621 +1889804310 +370917955 +384370888 +772634225 +951426815 +813274570 +1390543437 +216220853 +699460008 +1867107722 +1304811783 +223712350 +1730418657 +1610009097 +856363827 +787689126 +846621269 +584522071 +1287726651 +146533149 +1936060910 +928140528 +1892430639 +1449228398 +989241888 +1012836610 +627992393 +481928577 +528433890 +1238498976 +646755199 +270754552 +1609416931 +1031126087 +1043388777 +413360099 +1844400657 +286448566 +629580952 +396377017 +6072641 +1934392735 +620089368 +1736491298 +1396918184 +1476453195 +376696776 +96055805 +2060975266 +1664423428 +242588954 +1849552528 +445080308 +2135019593 +1151297278 +1434322197 +1000372555 +1779289672 +1916250774 +1528806445 +870305000 +415522325 +1799560997 +332238283 +1446648412 +695466127 +745598382 +1143565421 +981914693 +1375179334 +1539942439 +987987334 +1162088421 +12548159 +576994985 +411522957 +1489001354 +953691761 +507578762 +1402492972 +470631541 +750167716 +1104561852 +915711850 +737703662 +108375482 +202550399 +1738076217 +1887665154 +2118801173 +1119399015 +610486506 +386839851 +771476364 +942724790 +1833488263 +1466942491 +1688323172 +829570037 +301373537 +916018859 +222028828 +1289360871 +2078107280 +234576987 +1866355856 +342146590 +1723578341 +672563970 +849725352 +978587665 +1143195511 +1599893069 +2083149517 +2058907361 +190113083 +44041351 +113974112 +1928189300 +1931706506 +85291638 +900104667 +394709364 +472131489 +1671581032 +1337434154 +158136104 +991039875 +878273679 +987706141 +1292413412 +1794292538 +1209734969 +434290636 +1724916170 +1444311956 +153162844 +2067062760 +1020406649 +825726814 +769304465 +1998994314 +1968922326 +221713886 +1934660183 +1880346039 +411826969 +1978701535 +1994320152 +192532621 +1762924393 +2079611790 +1092637289 +10150109 +404259631 +616734673 +1347584264 +562395735 +1607774548 +78374295 +1550101877 +752704313 +1872666833 +612353198 +1186994949 +1450099355 +2056665155 +1340157793 +1369678468 +929588156 +18400960 +2138982933 +781098823 +1987323286 +213213171 +568275358 +1720185677 +625040140 +399493245 +1567022181 +817572761 +14933990 +1499150323 +1910210050 +25084100 +1903409954 +379461075 +1372668364 +318322042 +1987235624 +1451042659 +1868423919 +592456289 +1176225844 +333293469 +1779451238 +478841551 +242474976 +972125383 +1848520019 +1172063133 +990526343 +1840019304 +1953161956 +830365981 +2053232475 +373953666 +403068011 +530788967 +773446912 +1970090192 +1348361729 +788380902 +1321756868 +1111088131 +813465002 +1077683174 +1490549207 +38649718 +1396005216 +1330301183 +1489692377 +1116945487 +1922757472 +518434573 +1450238957 +1554725062 +997276125 +1692713933 +379366797 +698312496 +717293418 +1369893141 +390848153 +522971726 +52775474 +296596980 +896925393 +455843485 +827385948 +1670372305 +278450030 +28264029 +311269559 +1600206898 +1139352160 +1124734562 +530406424 +482417719 +1163384280 +1926411641 +1812718902 +505593010 +895873480 +1587992726 +1024027583 +198628789 +995234140 +2021303708 +1891342723 +1374600938 +572132557 +461152493 +597010431 +962980710 +984124220 +649785905 +1259577690 +1881049613 +1105629391 +2086963638 +1403938270 +1384079421 +2115227667 +1715207829 +836802671 +1107096180 +692458743 +1367209095 +1589513899 +1855843024 +1146137088 +1254749154 +213952386 +2042010569 +695258232 +1237979969 +93155710 +1690492373 +1111800030 +1984498433 +917609663 +1683932587 +298167279 +1514620094 +499429649 +1282291499 +16922351 +1759007339 +1015857464 +1122551742 +1698487330 +272312086 +359147515 +1666231349 +1987519915 +1195950186 +625843881 +532495011 +415675634 +67874133 +240854387 +1561812722 +1322623287 +454806773 +1456339643 +2017881519 +1692786742 +1549495354 +1560890244 +657103124 +1386510139 +331016259 +193552063 +1684677418 +1845636353 +692981712 +819485269 +1862558705 +304505404 +1835342733 +837626799 +2002992734 +2107654819 +1196774315 +1521740435 +1947691087 +245240853 +100669 +332702450 +660916487 +67974802 +573556837 +75245562 +1390598089 +1028363610 +1531585205 +1260995960 +573666704 +933596911 +674402557 +1230769829 +172623403 +1005418816 +1424321892 +1857300821 +703571522 +2117303605 +529302443 +418646579 +274325361 +217161528 +1256273378 +129834447 +177332700 +305564045 +1651574882 +2125023787 +550804899 +1651675551 +310242589 +1211721386 +1719650353 +883799426 +1286966948 +962764794 +1912163036 +671068506 +76277107 +338346092 +1604665417 +750679664 +1569115921 +1777288820 +1756098480 +845954166 +1487105994 +312186354 +815774123 +2016408437 +730832933 +1090099484 +86086317 +1987106312 +1219933931 +263419017 +145186709 +724025165 +240959156 +695991608 +228217069 +551201745 +1907712995 +1947867422 +1435001171 +1047196295 +763148569 +1199680559 +1718264801 +839425676 +1538026652 +1175446571 +1590105340 +959658925 +805251743 +1198720172 +1805613091 +144874089 +1510906527 +473903566 +13798878 +94255812 +1564003050 +99885196 +2081362124 +636453333 +363304213 +79065186 +1360478499 +604263370 +775056794 +1588695568 +1155465115 +535286141 +1389079342 +442982639 +1582482437 +4744263 +1642663198 +1153263590 +844169939 +1033206202 +181226513 +286791631 +1992865128 +986478257 +1485511804 +1650994571 +1131352346 +848934683 +2124898138 +1145151225 +943190495 +1541417540 +1245036421 +877068972 +30387226 +1608340634 +956134158 +1390865725 +65120356 +1731190952 +832077645 +1220585472 +118993446 +73673339 +1663568111 +1701475883 +78417603 +1158747661 +707255825 +922587542 +44470216 +888482339 +1209379174 +2037335344 +1874960596 +547407330 +1540846267 +858829294 +1396342013 +1518260757 +2003980519 +192048860 +912194650 +1101533292 +1069117832 +942581876 +562390279 +2025251990 +185963953 +627510635 +1608959295 +1018041598 +1848096107 +1727952741 +1091714937 +1364180570 +1281944976 +1170132540 +375444584 +1989200801 +2092720083 +419914800 +730199492 +1154615609 +309766496 +457676440 +1702022939 +1850612763 +1316505735 +950881304 +1221389873 +1173002606 +1142930164 +2133584523 +127052251 +64564349 +928682751 +689442530 +2089816339 +1114646704 +1316953165 +1551291986 +2132688302 +1017565625 +1131761079 +1076919591 +234262547 +266222407 +99568484 +609707131 +107939561 +44804919 +1029621931 +838139053 +1199420528 +1339388427 +1295815494 +753959819 +1042517543 +464837581 +1704841123 +116423768 +1637840187 +700287639 +102524643 +1764892438 +764851988 +1031207394 +306851320 +707184680 +2145854098 +1623804486 +110993018 +2131058752 +493886463 +1242754098 +1060494695 +728149010 +1508976505 +1160063179 +1337856142 +1616916066 +1204868098 +219994425 +307571472 +256804978 +1559382853 +1603386966 +1010764797 +454416748 +2068224547 +568122272 +570840516 +1558581086 +1268409912 +673365159 +1175989877 +2033261900 +1704572553 +1482841197 +592962932 +1702943003 +959162035 +703955951 +1686518107 +1453048498 +1946710049 +599529154 +33713861 +1308202906 +1759592334 +1371570003 +777635325 +816976784 +1591564428 +1085206797 +1073781763 +1003463633 +541110115 +2084546560 +1457880381 +461851014 +505185185 +2028720897 +2020432100 +1773595097 +554602408 +1048938329 +1659373349 +111691313 +384295879 +104852634 +1814634316 +1343457914 +808808585 +1353668775 +649022765 +608034986 +1953197930 +682736626 +1916237892 +1565306616 +2054306629 +546389569 +234799752 +1498387409 +1631596366 +1308581515 +354367395 +25222833 +1245644428 +1812247776 +487073847 +1750829613 +1693485026 +360022300 +1376941062 +100603786 +1408960629 +888830763 +212295100 +1793256508 +993683397 +2026929416 +989230775 +1802491982 +1233114544 +1638253540 +263043320 +1038828826 +173506518 +31797565 +456651794 +80329499 +578187134 +691451546 +1578716908 +62299853 +2000033062 +1933084303 +87522686 +1098193842 +1597848432 +574596534 +701539807 +1143849810 +934618834 +2078480869 +1244453596 +196095815 +819827984 +1456748696 +1989352324 +1813511382 +1336194465 +831099451 +1468519716 +421825361 +321869343 +1731563037 +1460654187 +495375861 +1763360602 +1917305981 +575705360 +194064088 +461273879 +6938620 +256363941 +313823293 +1940022924 +343886628 +1412017135 +1390387708 +918483162 +2113556942 +386753870 +1853101996 +2044554163 +1631207466 +2049197811 +716898500 +940472515 +1891066487 +382926234 +129183332 +574682290 +1851445950 +551008693 +896551633 +1435525339 +2011662880 +1391927494 +1051402293 +1781485213 +1967632854 +1245466382 +95275444 +1974571475 +1501830323 +409098738 +1767110751 +1845716951 +1821115873 +1010014811 +616716465 +1787189168 +1396768681 +322334813 +1684259683 +880492499 +224048977 +253674535 +1820965014 +2115115464 +636600769 +1950148346 +542314107 +340563072 +353673391 +1438865740 +1776088411 +217852623 +683309587 +680007057 +1999337836 +503458793 +1925473439 +2094613281 +330546620 +1279820114 +356228371 +2097657371 +978053418 +29860596 +960188534 +1594769883 +1817049764 +209473567 +1917104697 +1353825800 +1089966067 +2141153674 +1607500335 +763447433 +2108785490 +96617457 +566112132 +503615949 +437180529 +919785523 +1942481690 +65785292 +1137638147 +478307629 +745792349 +989492335 +981766422 +523782140 +936621968 +1312313043 +1803602255 +1292850339 +1262486766 +634172025 +1322710936 +75191653 +81458260 +992277052 +284665220 +1998562957 +198619204 +1374631287 +1992232983 +1806119540 +2138078721 +1953534826 +1902736997 +556707205 +309667127 +192433878 +1476492728 +104665169 +258219170 +466647227 +582972798 +1004011520 +1456139563 +1564739221 +1527793660 +245277883 +729568616 +1183912267 +1538128223 +1992055382 +1818084292 +713355511 +2067247035 +1899542553 +1705632563 +204428608 +1750621862 +1904251768 +1579059895 +1595371198 +1562887660 +1569654968 +1401422376 +1318141009 +2126362173 +1711089503 +1510574887 +1455371254 +1815754673 +1768794057 +1922018481 +251243823 +625321929 +1230674396 +1815983044 +5631942 +1475952280 +398068012 +1189544209 +866596855 +242639747 +860144854 +1579952366 +162403134 +612203759 +1138101281 +366831742 +215341973 +894869401 +1945891638 +1810713171 +310273413 +1368062958 +1064651899 +1628414422 +1346941484 +628257755 +991505661 +654829090 +296528780 +612816071 +429363923 +547772603 +1238138000 +1660038320 +216272000 +1243769942 +988506952 +614340012 +285830504 +1855103807 +856979759 +1145975358 +1287572525 +1019382894 +1758179117 +278190158 +1386214636 +1973521090 +1173059560 +1184622626 +1636750614 +1483332973 +405201937 +553918865 +964263748 +1752143421 +1182176620 +1955769409 +259488863 +1478705400 +421101832 +688852786 +2026478004 +1659239833 +201407458 +95266356 +755526127 +1189914410 +709606368 +1041356631 +897534569 +1566586128 +39848341 +37623446 +438485374 +1798027458 +315813605 +1824700010 +1624064901 +1488873165 +861838989 +1113331867 +824722490 +1267040926 +1667250732 +1788986238 +871700699 +701943705 +1597272000 +1131189562 +33165457 +2018373832 +1820042348 +2059643461 +1530130017 +2021449807 +7426169 +138172497 +1063880569 +717032538 +1179529128 +1961415139 +136135018 +1219377470 +1999038585 +574620392 +869921280 +167368542 +251836754 +346502533 +1656241707 +1113675743 +1459834400 +333480550 +233233021 +979601485 +2122466788 +1104933720 +1681545190 +1572255140 +88639634 +1714710647 +1443145325 +1908681983 +1626870461 +825791694 +1782648142 +1634296630 +963964191 +699045063 +203845520 +2143493320 +512976554 +339980538 +1215387142 +364531492 +914600930 +2085308422 +531900034 +1166437685 +284327308 +40658094 +132629780 +1744161708 +374138644 +365862802 +576279545 +349121784 +1470796522 +110341087 +1921376925 +1559436157 +1825051735 +1217038602 +1320634492 +1304438548 +2042830296 +955798986 +791251530 +859310840 +1654844049 +995097051 +855320512 +20336956 +1335077589 +2070707654 +384868448 +102194872 +2008532428 +916768482 +1268632557 +145376088 +957426576 +1401262337 +1889537797 +1331565220 +1767125139 +318333694 +1680687005 +1090438014 +428674782 +1454580282 +502390523 +106242869 +524135236 +1823025015 +1410681417 +419481884 +631340353 +54449299 +1278792724 +138700754 +1049546350 +2134113236 +159037710 +237140292 +2057337242 +543906158 +339335164 +1918386023 +1460674641 +1607967721 +2063762111 +270617569 +861746410 +1805816260 +1602182790 +481387902 +2124149955 +1135386147 +1571825916 +405341089 +442482781 +2074216439 +511583958 +966618017 +1749757806 +1922265375 +1386099901 +233614511 +1976714674 +517408978 +372315265 +878777377 +504038566 +531352976 +1115917669 +413892161 +1075259134 +1455252833 +184794536 +388450127 +915736906 +101072999 +659067697 +1777483316 +1906889260 +113766839 +111387570 +1883555567 +1249152986 +1683213486 +141413008 +1691635767 +1609946277 +652996966 +510770136 +1212220435 +427778693 +1896870037 +1445834946 +257009719 +266795367 +1818150212 +1135787096 +770833934 +202019540 +104221117 +1184726095 +1277278674 +1559473950 +1369520631 +1665728802 +327727208 +1470593630 +177312851 +2105210525 +1229999242 +291079690 +69114447 +966071161 +1540232676 +1752327934 +1107484169 +1084384795 +1214790563 +1760481135 +1595154931 +279527351 +40776180 +1344541320 +1725362297 +297785900 +1611336688 +1396028861 +1433572996 +234686974 +1598048401 +1537794114 +1419413069 +727843428 +949784416 +641450052 +246088582 +1277511625 +2112043682 +423401433 +1235238502 +1194559277 +714481123 +1304352949 +13146790 +107230151 +909197235 +1120630960 +1191614946 +2123987799 +733628447 +639286229 +256031502 +774404628 +1983827549 +1981393799 +1072190528 +1447680589 +1229939013 +358279876 +1682367563 +680503766 +1896073990 +954296984 +1408347194 +698374759 +1595747036 +1654435776 +1975886384 +1560307071 +2077837209 +1063641238 +607382700 +644834684 +220510539 +620529490 +752064835 +1129707775 +1741160450 +1943679781 +1106211926 +327305250 +435482362 +1362243428 +1101709878 +271826264 +1196153579 +26416758 +1719506853 +278608944 +384696634 +1254390769 +959112711 +133286977 +61204105 +219976257 +831661736 +1656951142 +1874412034 +660064472 +1069774565 +1804765595 +1723705710 +1677157265 +302116632 +1944216249 +150203107 +1054181467 +926440376 +1891363558 +850377601 +2032652302 +71185160 +1285859963 +1247412082 +1172895038 +1557686227 +296082014 +1199311796 +1129709433 +574690958 +1584008430 +236616554 +1533803669 +1717295407 +297820659 +1753779927 +401473495 +1954771801 +1480708313 +1061537967 +877062718 +1137990260 +637760029 +406736335 +1440106892 +434492631 +556939443 +346804712 +1360933007 +300819353 +1197182313 +1246101662 +372004513 +335558628 +346030096 +1544899551 +1893244856 +642112110 +596727699 +875470641 +1216803069 +33252481 +1112087195 +603123090 +1750547889 +1409907854 +209419369 +4537736 +1217196008 +1690127682 +1066075704 +2094258726 +680634295 +1703835733 +353511414 +2120741187 +2138328364 +910450857 +320062251 +1351777724 +1211270210 +1517244564 +450395738 +1583274723 +1852803193 +796425834 +980690626 +1598564401 +1438537945 +1577418325 +326551394 +507857366 +1610670806 +1438638589 +1110980456 +1213735047 +701062795 +1320399826 +1218272784 +1918258803 +863043860 +136864840 +1865033882 +1543678155 +1840700573 +71061648 +1516935695 +1831545290 +981512505 +1836997946 +1035839366 +45299067 +1206758863 +1486235104 +1628573790 +912078408 +135177290 +461780768 +363159161 +1573715235 +2039199093 +689710555 +2081572601 +1502386251 +2128349144 +1045069410 +568637651 +681928291 +217985588 +1786910435 +452703447 +1081029448 +1923775275 +170253681 +477223956 +1616992200 +241315329 +1994159651 +1301053842 +1222827834 +1683673949 +189409560 +1268126901 +742949164 +1675644664 +749217043 +1655027572 +1810821955 +1210997811 +2018186733 +1237053542 +1102713256 +560413640 +1171142496 +457615859 +541279136 +68728258 +1026253510 +1223207428 +286713846 +665680297 +1675910875 +1367743294 +441971924 +1846164556 +1844967250 +2058964125 +2087479885 +1691643253 +1212534319 +1162824071 +1227833555 +1401943880 +283467324 +1970782719 +930104896 +1032684367 +1478326644 +593443203 +96198530 +1349029729 +1830496746 +1198911786 +1909443370 +854155594 +1656527645 +303238858 +922883852 +535297508 +1526446286 +1209597698 +1200977805 +1054873513 +429857344 +1642949730 +753554421 +127340947 +1554430207 +693550658 +1818984200 +619480878 +1856374729 +899334107 +2021424758 +2139842053 +722633179 +804046007 +1025042772 +53476175 +1397489210 +1121241302 +1402505904 +1080502308 +172669440 +1164465626 +1934657902 +1829197086 +1467704485 +710058106 +217010946 +846667123 +1919655804 +1417988751 +1901540637 +202029501 +913454833 +507611410 +329370448 +320401392 +1201162069 +871000 +939882271 +910053150 +900205108 +813823381 +902411556 +1622838287 +1617869388 +1927454328 +1676314462 +867874951 +901211983 +931336718 +1948377259 +1073881423 +2095802345 +1735551514 +755594861 +1416023182 +298125972 +972605807 +115206657 +70298129 +243110911 +2016747294 +272327630 +1156565744 +376875057 +601698078 +1476967137 +1578037126 +602569078 +269365760 +340606628 +1502774186 +1083189141 +1243018184 +978128825 +553574882 +1022988865 +506959639 +1421449833 +1924200848 +1438296358 +1222343444 +850598623 +1386615055 +810411310 +1606193485 +655154589 +1108537283 +431315644 +770361246 +1178835412 +674426555 +639624893 +1451163042 +1830992300 +1016499950 +2052861120 +1160475789 +447053428 +507946550 +1429841549 +787660056 +2010720737 +365547042 +2030678241 +841365914 +919121924 +906183458 +1348325554 +193088109 +682900658 +639138264 +1415431554 +1533499281 +2025753319 +78359216 +992209118 +533424260 +1186896499 +1423524763 +1303785506 +218248263 +2097951318 +1943410399 +1669411305 +1781459970 +812426701 +1574788777 +794452111 +1259480129 +2082735328 +76810012 +2047140186 +1945972417 +442357055 +1930334779 +639854683 +1361478979 +689034589 +1988180237 +1554567089 +1371935247 +479834853 +822514995 +757950880 +358104524 +900874211 +1750159999 +891528784 +2087770711 +1026201114 +47830643 +158535326 +976668784 +1991241042 +1827946632 +610645107 +656184096 +1255251761 +1405097218 +1915664225 +1190503441 +1481907231 +1815320763 +988992210 +1924264286 +1598171894 +1628846894 +1138259617 +139722835 +1469543483 +545343058 +1511658082 +1949378337 +1367858053 +122125315 +159999213 +121248617 +1872285314 +1051527998 +61535680 +751002780 +1099358641 +220071006 +1727671564 +943116035 +2048017638 +190833023 +1599300131 +1155785752 +1595930242 +1367480709 +198805545 +930353825 +1035317824 +1187797756 +707134463 +486006071 +669161002 +1845394080 +625728906 +2138704485 +243253491 +2137386989 +1940599174 +1611111544 +112028656 +2100598388 +1732360161 +1984313970 +1004642738 +1793895841 +587833102 +2104001379 +2013966848 +168021018 +899633766 +1914500838 +358854042 +351450250 +922802942 +1954784284 +1718930959 +1121608488 +737654461 +606765135 +161922596 +1444788924 +1092771206 +831083598 +1142699356 +1718500113 +822304435 +1385952847 +1708403454 +615419962 +849580744 +1820432110 +568534702 +434457257 +1657262432 +1573177440 +80869451 +97611886 +1529695171 +2094836299 +265632904 +281845289 +1861853489 +624486946 +633295539 +637172784 +431787582 +204742850 +1758781272 +1169442043 +811507986 +1920703868 +466747319 +1904279192 +604303818 +1609446676 +1475295657 +1426608253 +847915875 +1036215463 +2042028215 +1697496619 +709163925 +463079269 +2131953877 +218942709 +2036256709 +65339680 +316554595 +1418468232 +12692331 +582187500 +1700313522 +1874545820 +1206674446 +186125413 +364234956 +1638462029 +390868264 +2123016228 +660420424 +1202376250 +1896236448 +1127167744 +959171794 +353056618 +589130772 +286983804 +1779664872 +1437046647 +1323199267 +1674209439 +987059619 +2032363193 +2137288709 +971529848 +103822254 +2026061770 +1036869528 +420376850 +1297046355 +1049561859 +1002564350 +849876229 +776624031 +61755148 +1036001642 +1140858988 +1700217177 +1426869906 +1116391568 +213153954 +481762508 +865144369 +1340321698 +1440934303 +1218200987 +1929452470 +1727918107 +850382211 +1219015469 +903633726 +377108003 +58591440 +788513271 +366913064 +1030121288 +892335526 +245491186 +2066990816 +1312712376 +1542537541 +969069027 +167793078 +244930122 +1745693059 +229548226 +1280931765 +739068399 +1929765404 +560318023 +1855459967 +2142919358 +1042080532 +573120688 +1335757408 +335531187 +1791321676 +1117726230 +2063449294 +494220239 +189258051 +819599372 +871328242 +247849492 +1608112644 +1238241306 +1277970780 +352964522 +1483732493 +1197477949 +1665676898 +878786386 +19063328 +1833469976 +1123716509 +1764756387 +2063018202 +257164626 +356341138 +1845299958 +817482649 +64317458 +1840735668 +1859563181 +637438146 +1029009428 +47610720 +281276174 +2146735658 +2111060014 +775496414 +188510062 +783175739 +1646824656 +436359554 +243804735 +737582315 +1714330334 +596769257 +73831160 +764324635 +114962507 +952617546 +783387964 +1948432483 +2076334055 +400660703 +1863967037 +186015033 +757001842 +1561783348 +1003497683 +821319300 +1255035368 +715577216 +1458757446 +136561149 +763187937 +1740033621 +135813159 +726764303 +368046387 +324323221 +1509940042 +2014871043 +760682775 +1753744777 +604969710 +327529462 +203030386 +678800870 +1091854097 +317992893 +1631418417 +1875242061 +118941728 +1560268824 +128419117 +1982908766 +1746283858 +885420959 +1397208466 +602297893 +1706740259 +504760186 +1317875109 +1018014057 +641321335 +2081063046 +610564030 +777134495 +660343702 +978610417 +1101457716 +22800096 +845997813 +1862140492 +1776544874 +1450967523 +42186306 +1979575260 +2129768394 +1134040403 +150084506 +1613703163 +861798817 +269026234 +1026488339 +990217934 +104451352 +625288549 +1875638893 +1501659818 +1227586442 +1434895504 +2006420005 +397977904 +305425913 +500257692 +331557302 +915989944 +1277392187 +991901004 +1894600361 +231366256 +1014701101 +593114526 +2093506748 +643762327 +2044082050 +2135693054 +475853939 +2026366796 +1122249809 +625938445 +1492586311 +1984048626 +894964680 +371591002 +826782912 +999416032 +996879552 +554938157 +353592203 +76982346 +1989833661 +212528560 +474960250 +147775927 +712786252 +806517553 +1063765871 +1990178440 +1798418557 +810882584 +74061048 +665636010 +1403997111 +20084148 +1309398337 +1300595513 +8293554 +1785252277 +1179478661 +1130543363 +263707074 +524581324 +967108342 +1158671754 +896172326 +1793891254 +10604139 +1893051878 +201345764 +364196342 +1970034225 +43695777 +576724902 +297510827 +191471704 +1289511154 +1104028380 +1255237575 +1132205946 +754963290 +2066120160 +1206266994 +1420599300 +1322633623 +1226351142 +582513990 +475745488 +1234644696 +220282619 +1655224149 +217704412 +483989693 +32321825 +1184812754 +1642661448 +928494151 +831220360 +1653265587 +674062382 +1032566124 +2017461929 +496612959 +1076261902 +446703183 +794123786 +1267733606 +1736214337 +1898152167 +375487534 +720936636 +505631809 +294124046 +1927203630 +1926231109 +1616757669 +1006071125 +361261451 +2092503157 +93232173 +581544070 +1600243658 +310936585 +1065533764 +1632565483 +1495749339 +560711564 +413575986 +179486052 +66493503 +1087638368 +1212052176 +2083955432 +1584251327 +140830430 +383174967 +230891466 +1408564037 +2119389304 +2129043633 +1784051571 +692842292 +487191794 +2078175617 +472562275 +265939255 +1547449638 +1478633400 +627200707 +1492469147 +1571865573 +1208744777 +945229157 +1882802159 +126794893 +430310992 +1231067850 +687506457 +843886978 +1410553902 +753999960 +1931525347 +475122431 +690471744 +1368293026 +615952861 +1073646711 +1599184492 +2024516898 +1045552368 +1580744477 +1661084821 +1738394660 +2067936271 +1591776790 +63473287 +186391879 +991742780 +1542106687 +813592586 +336728279 +966488613 +2022337363 +1281957436 +701807124 +1648609 +1712268428 +1932874974 +689155066 +408671759 +1195945229 +1443155027 +192713458 +1671067660 +2133626771 +1561006484 +139536873 +1059789835 +1012707329 +16570124 +2105342203 +445968158 +1677654945 +1696253215 +366420782 +1121948088 +1759726503 +552812661 +2113690868 +1154349542 +1366405247 +302935500 +2120838155 +1241258962 +1584892936 +675161631 +1242907571 +1149677717 +460552958 +1932062638 +1558349476 +1656498187 +1227734017 +1751062934 +1180082199 +1213877140 +1164585770 +1319619072 +126183327 +29809451 +1336189196 +84041882 +475777610 +866360494 +1780295098 +842198392 +1988308582 +1392537953 +1395011053 +1954515802 +399403847 +613932652 +109967654 +372758355 +1855191614 +1694860591 +1047919986 +950615538 +697054660 +1508472944 +735194528 +107920488 +1017487483 +1962928545 +1858983422 +50086034 +1029322037 +876085544 +1369705107 +1155505365 +905894996 +558410655 +1239547247 +1381672606 +1424771149 +872358697 +76387350 +1265596083 +117413002 +1471398403 +1072628238 +516816850 +2085331055 +1182595892 +889575205 +1793039021 +729972835 +1937495191 +596170911 +1427027495 +1298484488 +1331365439 +1534947983 +168488323 +1146810336 +1246447757 +218574358 +28648726 +2122533302 +1588279465 +1184154091 +880944650 +2146690120 +276217690 +115133608 +1423977622 +1148576388 +191520958 +542090057 +1265989390 +1662919361 +1614718295 +1782806240 +1600766768 +649830540 +524897797 +1246322141 +1379803375 +314909341 +1842493053 +659347223 +1613393829 +1026374844 +46811558 +1781882152 +25701533 +1293259316 +2000456510 +54350259 +1268308970 +1441252327 +1238504350 +1769972 +1440458800 +1514722040 +116903580 +716952774 +515814780 +308424538 +1259042831 +1781804171 +1971343899 +726277479 +1417126763 +1424627019 +1376108019 +1942024561 +523465512 +608427746 +109450254 +218474917 +1267774969 +1722844083 +1244849762 +1314586528 +1357242587 +1270551295 +460362196 +1210215450 +1324901554 +1728671166 +503984129 +415922256 +1730441138 +1944442929 +1930644296 +1847344718 +513912055 +298975429 +8285608 +1772954887 +2080779600 +1979629507 +351748718 +1350422715 +1256772878 +1727856737 +1144963628 +1780238390 +188800835 +1254413882 +1998713308 +1456575805 +829774317 +1096079422 +623678685 +39533257 +219147069 +1084040881 +1249748707 +1544048623 +665228399 +1753732836 +1959970879 +248185889 +1550692118 +1743131527 +2095530607 +2064604173 +2042106956 +2103816215 +1690075412 +1975402908 +1935962074 +2041824130 +1178341976 +1045251304 +1622197219 +175821956 +678006046 +1810998055 +1430235839 +529235706 +1120090212 +112526508 +1625315128 +1743768897 +152059765 +1844462197 +680326130 +1401808472 +1241027172 +1345554529 +1008057661 +1053514403 +1593740418 +411266131 +649162283 +1541787377 +328386656 +543785591 +1498119944 +2018462069 +371704852 +1286598370 +1912802551 +1550046828 +184366026 +1387516123 +1725868784 +862372072 +1051030530 +1008620975 +1391607779 +23637094 +1121147484 +869439259 +1767405991 +1273207249 +566417809 +300248473 +527532074 +1807444981 +1645803002 +1535589735 +713475737 +1092059772 +1946855866 +1362638020 +486363501 +127758874 +1906423611 +1984483445 +2146220943 +130644815 +1123598167 +1911539847 +1680691643 +1307964193 +1151572322 +1259076780 +22852617 +55119204 +120214107 +1414460396 +78756298 +1241361591 +136416008 +1846162289 +367085193 +702833817 +2146410762 +894617267 +362795150 +1644730116 +282723354 +1076270887 +589306240 +82095572 +291425259 +1075669741 +209854446 +50365223 +912669538 +208591742 +181010038 +2036267705 +2120131589 +1861701682 +1196748250 +1124220263 +973294814 +1219600867 +1179339467 +1093508921 +486577616 +1258095765 +187386865 +622993624 +956774406 +554472058 +1325827441 +955701520 +1449089325 +1688622591 +452947988 +1731812679 +617409831 +1042254228 +1813908251 +908835090 +2117923969 +2023762697 +959200313 +883109859 +84870791 +1140210352 +771893916 +57518732 +854428386 +1968642166 +1181738995 +1827723200 +1040759385 +213594814 +773748473 +1527337001 +1471690579 +961135338 +2846977 +280981337 +1515607396 +1328674418 +1236682857 +817213073 +869813362 +1689630845 +401542104 +1487223193 +584401425 +67966707 +248574635 +554841746 +2091729405 +1207774949 +1437951605 +29116548 +200501653 +62361873 +86635281 +1054930039 +2031004039 +1268374276 +735169591 +924279777 +1481969091 +1508918064 +304133130 +806176022 +322569755 +306980108 +1087157360 +1838177151 +1635654526 +176356569 +507906577 +357984240 +1865987415 +909448681 +1845207433 +302905192 +977415389 +2093782069 +857746939 +921661146 +1154073370 +148214896 +950777694 +1354575023 +210576770 +1037412975 +262021414 +94097161 +158303604 +997191005 +1018376938 +1640272695 +358625421 +1322510069 +298965069 +681195176 +1629490177 +1386122429 +371888680 +1117661055 +1562478999 +879795257 +1475645296 +1280982766 +1789243938 +1173369081 +1583887958 +619175679 +1119667502 +294151249 +1540836825 +126257224 +442366146 +344130872 +1480832247 +652942916 +1381543847 +1742853661 +747040077 +1539847451 +592561018 +1765417016 +1032636498 +951186440 +940443437 +1331601568 +1632381616 +422449966 +570240349 +2004270296 +1540111021 +2132719348 +736581905 +868272669 +1266218466 +378342196 +2041641751 +702622777 +997517875 +1013825605 +996774026 +390871053 +1140082830 +1439140172 +735001925 +473431429 +2092083088 +2116545772 +68801443 +691639518 +1508909576 +661362461 +309572886 +394062426 +1612548901 +1250016323 +1725663994 +1097446870 +1672466289 +148420696 +954233518 +1065093662 +133656396 +1690815424 +1933366332 +1399874863 +2069157620 +1827524435 +2102497640 +919191847 +693866392 +951788018 +1310062900 +1833949222 +243444543 +2045064825 +159897004 +188043983 +2014126950 +228698447 +879683501 +1375552878 +890060908 +1189256387 +1769615304 +355126162 +291789062 +1347795651 +1452573032 +1964255351 +1496216347 +259322902 +881865366 +1629872743 +1950138326 +667748050 +882263958 +1871812298 +347788837 +837277950 +643520498 +1041655229 +1789065969 +1953583398 +728120804 +2032510512 +1851164576 +888017808 +73070847 +1717807878 +1116716255 +952754349 +945877108 +2006777163 +2142010736 +568008764 +214419677 +286316151 +1915804415 +1666992709 +103087854 +1264537114 +1926315612 +984953220 +746926210 +1728970290 +1652701270 +1629190168 +1453298941 +2000490107 +318984471 +2096819439 +894661689 +2108050440 +1902919189 +1622782493 +1993077304 +1606600117 +363316653 +2066148151 +1176924347 +1480032908 +871418852 +2122801455 +1339326423 +865945941 +543326572 +1553746101 +1152262092 +311647339 +1073255162 +1255349946 +1576184454 +852087126 +92819519 +175627016 +433573769 +1745520789 +1804817184 +1886872710 +1598527249 +2123801655 +1836208501 +345705290 +2084368447 +1591644042 +1968487783 +1929962103 +1050760512 +184320788 +1848626607 +80201211 +1664353696 +572561811 +55519019 +856196471 +1438507752 +598845591 +262458924 +443286196 +910492930 +1335714087 +1698636143 +339193736 +40317565 +1791455662 +514820752 +473891334 +1389492803 +172154289 +213280396 +840536404 +148472296 +2049488897 +1186241694 +85357096 +1493649292 +1007245829 +2015319199 +396926156 +1191566617 +1716462158 +477127367 +708436665 +141540322 +532646386 +1564633137 +1580048074 +1131491977 +1827092061 +2023334271 +2041984908 +1015322500 +1574486766 +233694996 +1055640066 +1218458780 +748515749 +1529531400 +460467935 +920670038 +1742811797 +1301004340 +1069142334 +1644817046 +339762386 +1154499430 +990982690 +1347008216 +1022334982 +1387908846 +391091185 +591313492 +1865036214 +1099527851 +732853814 +250198952 +516677340 +165418241 +1381690930 +196285753 +41268864 +1276192190 +1211608254 +1615755630 +1509887186 +119764672 +686730762 +110919287 +1649296072 +1147198697 +1031589325 +1244624221 +300719389 +2100731660 +741957620 +640481776 +1107747442 +1732940310 +1987489992 +2130082424 +973365509 +231097529 +573912269 +690918075 +1330625380 +1306766083 +941117027 +1847302720 +1472184324 +175324309 +2043588474 +1513453188 +1451516499 +1107713080 +981725170 +813920038 +1227477752 +1668455932 +924839325 +729290176 +668170982 +1956428651 +1973914398 +968890371 +1909676663 +568388370 +1609372147 +869940457 +153845032 +1449378491 +852539234 +1127210541 +1680476021 +1426451503 +1818128616 +863617753 +585733938 +611761996 +563436826 +2057918263 +787086305 +459541652 +1423887803 +91119157 +1567254732 +258129326 +905039195 +647248836 +1926585258 +1829878520 +1376539012 +447272592 +1638823523 +1202969762 +1416162964 +1401016538 +1771358132 +878051463 +123473348 +1925203165 +179946307 +976012582 +904930058 +1860422328 +254980437 +575575027 +576556433 +840714375 +1187337023 +1139993259 +751148990 +1974423328 +1599534911 +27553146 +2065542485 +1019305995 +285682472 +823098032 +1666554831 +64784082 +505492905 +895610196 +512056675 +2144316428 +2098579958 +1928219639 +1397849319 +1722454443 +658787454 +1521322667 +1500173960 +838733761 +349851601 +257620370 +551672441 +604832038 +833195397 +1128228875 +1445546413 +2020532420 +120738486 +49211756 +1847472101 +1720273398 +76764902 +1765530938 +592095745 +362447374 +441145323 +111166929 +427231456 +946638228 +1006777125 +939288131 +943471008 +957873435 +720024122 +193836679 +532844230 +1378811577 +1715159346 +2033018190 +70061690 +2065010947 +143154913 +621734132 +522359337 +976350310 +1749963007 +1967905751 +849399083 +1870701493 +2017117507 +549387536 +1443491243 +2093882409 +167434826 +2035586989 +308846135 +608580149 +2146753918 +736077591 +1555218377 +1006047395 +1675365723 +351205738 +1963920830 +247906197 +545042417 +349281413 +1626717774 +112718116 +234815955 +1696779465 +30245415 +377970868 +171029949 +552604753 +1354321179 +1920992956 +373026856 +56236614 +1644210801 +242660715 +605624150 +940218397 +189059476 +773058976 +828321738 +497905611 +1381639126 +827592008 +1233983202 +789373855 +1833639403 +761865277 +1140579593 +1650076585 +1009771475 +1685622011 +1999357998 +489005601 +1798340127 +86690306 +38301418 +1828585542 +464661174 +209331367 +233706647 +1818982353 +2130324323 +606733503 +1875218967 +1627051477 +849394218 +333359469 +419786226 +1038453694 +1106418446 +1248107964 +1536359305 +340573924 +2075699972 +622858860 +1129947779 +1761855727 +1384724137 +123043725 +1264448664 +247011964 +1808665736 +1116323015 +736017566 +1459522215 +1203013321 +774318984 +1140624109 +1667674495 +983650352 +1374330757 +1339173201 +966491027 +1981064260 +1066908520 +446058856 +682974831 +1400267990 +865845082 +1721428525 +359202788 +2113953046 +1110304183 +699776712 +2042169370 +1733163043 +1829724491 +1656541449 +970403532 +1952768216 +773506466 +1217415497 +1613950304 +1889829481 +1953433063 +925988871 +945359154 +580268399 +2066612981 +465550001 +1563918751 +1293460090 +1804723202 +382926131 +1127040702 +724148075 +828984987 +1810015533 +2124416065 +1694830070 +1383960411 +336135205 +1661299468 +346780946 +1035911917 +1555985191 +2079943989 +718152760 +1065042992 +902863873 +523437329 +1838549458 +2120279370 +2137387633 +1580895291 +1926228785 +915892857 +378770797 +359013537 +835022190 +844320799 +1922932288 +2128482280 +501560353 +158374771 +1108039334 +1225708428 +987359759 +770571220 +1202640845 +534706181 +7047983 +1538776050 +48522001 +353828929 +427204319 +1604507192 +286289270 +1145357080 +522066537 +1189153143 +1668794409 +213132347 +1161948866 +1658698394 +1794027639 +940694003 +427107603 +25314788 +1299707540 +1262129793 +869635587 +1075156181 +1243128425 +1371195941 +1233530952 +203684112 +449420721 +73407063 +974255332 +1652061567 +608113244 +981303315 +1043353969 +656635246 +1335132244 +1470558289 +113658790 +1621421514 +468431721 +635725327 +663091009 +2137226130 +848857675 +1825039875 +1648440876 +495401666 +618250231 +2075548480 +520716454 +1917957771 +1190194625 +1390352042 +845630304 +285839403 +614064335 +2079161257 +489523515 +1063485056 +5084672 +1463778847 +568062975 +613197917 +297598514 +1611416945 +1269833163 +1632730758 +934491586 +1383491953 +1106668624 +1402923307 +2019217281 +1769759633 +1392665789 +720591308 +1447315861 +893623017 +1215992974 +2065566092 +821687849 +1736709428 +1836040215 +2011882475 +979577822 +534186872 +150238230 +1593642157 +465864481 +639761745 +509643566 +470949153 +2103540592 +1077706541 +1084147070 +253655458 +541639838 +206496585 +1886386216 +1476131424 +1589988539 +845571192 +731571083 +1461722172 +467847177 +2124236872 +34829832 +1915163038 +870376242 +1250822806 +1833245482 +1692064091 +840048586 +1521802050 +1556462918 +1819626409 +2055988922 +1706701148 +1265784918 +374369755 +198979245 +1775428484 +845318908 +155036189 +705651378 +1929465979 +408691647 +1247291216 +2135962564 +147594215 +575938993 +1578467455 +993165407 +1307510076 +892705979 +1461012585 +1284263301 +927535811 +1228691975 +7155895 +30874969 +914453810 +1699219986 +870923556 +288772212 +1108199257 +543066317 +197277486 +667416757 +1808851235 +571647241 +866396003 +1436796072 +1416966149 +1021432192 +2142447450 +1198948480 +1430123840 +1242255018 +1187427397 +1577718055 +1818194011 +618411204 +423399815 +978220440 +1511117184 +1884412400 +115000093 +291169347 +965620727 +122155988 +322044317 +1880074537 +1821375974 +1192967873 +21363101 +782091583 +1736034190 +218640587 +1449508341 +1397401777 +790287828 +168420696 +686714201 +59770330 +1189852888 +681678003 +1258718810 +472493080 +1923933022 +298662559 +2050211136 +1594643385 +917073764 +326127303 +425380177 +280707300 +63056055 +540380270 +571876647 +1028676782 +662536258 +893920964 +761267672 +336428585 +2086888837 +782630773 +1118520168 +1675439379 +1001271361 +420544861 +925357509 +1791559189 +588965557 +1612071710 +1851329519 +1778818446 +146266066 +962564682 +103827878 +2070199088 +1261227241 +6555366 +1517358825 +30817357 +332682669 +1942739003 +311524657 +395738724 +335635625 +883401305 +1424415507 +998171884 +1777322269 +38199531 +1334600469 +1716727459 +820830304 +305636989 +1244683190 +1822101665 +726181851 +22557051 +1466177207 +1315147408 +1634628762 +1170023078 +946482206 +1780894828 +2132587760 +1050310085 +1703610268 +1246331354 +1056865451 +1073485445 +1277148711 +1389548121 +868740800 +1588673369 +1785286845 +1204376426 +324591026 +1062218704 +55064662 +2101913295 +1100418235 +1389665131 +1671157106 +1921248540 +1695302120 +768356649 +1595866557 +274000323 +790913700 +914560116 +1589147732 +278058814 +2084583195 +388146290 +2058953642 +2069687307 +1438456375 +1615080262 +1168535013 +347838179 +541082060 +298200077 +1737386300 +1409822860 +1886873446 +1375189497 +466715638 +63980824 +289924554 +521780300 +18410471 +1390342789 +1911445431 +1689567578 +1164107681 +1459263904 +310440579 +612490591 +1733264227 +1101354279 +1527050707 +1174928311 +1379413094 +1464150254 +1563074602 +1290883088 +1386353914 +854047329 +758479703 +407405279 +1201885508 +1299561763 +705605356 +791788160 +561900975 +444995154 +19494010 +1028616614 +508975978 +309418564 +1550396914 +527386450 +1699761353 +1314358698 +69470380 +716385387 +626138954 +379910959 +1328875978 +211919533 +1481265238 +708443037 +1386847845 +713194684 +25109644 +802438799 +2004077773 +1411463558 +1656486128 +615073828 +1818868837 +710887989 +1914635591 +376990546 +1502676149 +329052918 +821985700 +1522170159 +1357669532 +1330961679 +1831588723 +760582799 +1858348129 +1383866429 +2074941497 +1927818509 +2100251816 +553596803 +160245820 +1281644146 +765516336 +1641511058 +1990087183 +4880533 +207222095 +2015196827 +807319332 +63816220 +1279176737 +316321813 +678890048 +950561927 +1027209802 +446041991 +1327552473 +382402303 +775094909 +2054525 +1904572463 +2132764442 +1333016204 +1588677538 +745863593 +1043880685 +825060319 +673321442 +824215546 +777828487 +1226918245 +984461366 +2059472633 +1992434581 +478488777 +1902076169 +1997315115 +685710872 +1769789348 +657150799 +749527092 +901482438 +973472612 +1428417140 +1852044365 +2000682414 +1874459131 +1032113190 +235601070 +502070392 +1034167715 +2140173533 +487351186 +219700272 +1581367423 +1233214779 +1263580957 +258944095 +1906536221 +2087796504 +1036772582 +985970818 +924774222 +948761568 +830921752 +1403262999 +703354089 +680753219 +2088973871 +325659789 +1337904018 +691017315 +1227142227 +163892983 +2119434455 +931702944 +17091749 +1846409938 +1963816134 +252692819 +200996683 +850500202 +245382704 +688347869 +1070200474 +1826750128 +1921562649 +186297783 +2085694223 +1680615222 +126610639 +974983157 +519102393 +1051384862 +1923744725 +1350024145 +307164213 +479615166 +2030777364 +248654437 +805274956 +1221197734 +939671752 +2032417183 +1385090717 +911622560 +816636480 +1402182467 +610548850 +632968966 +1654875286 +811545533 +1483469168 +1900257991 +1499893403 +406185994 +1579524471 +1273972404 +592483778 +1517735046 +807103978 +719094417 +345234555 +1326206371 +1770479279 +121495633 +528746868 +2077643493 +601110799 +412040584 +178814282 +1406385755 +1633238319 +1118486034 +1291319291 +870845388 +2030108594 +2107955771 +125544207 +493173797 +593441089 +1780419494 +1304719330 +2076910258 +1533193837 +657129085 +335612604 +965234660 +1931101489 +928096382 +335486058 +590721820 +1647190800 +680720613 +1916928191 +1270186431 +802216246 +298191412 +1200346276 +1403327046 +710231996 +1379160558 +662229153 +195986667 +350162945 +1953548444 +1066832056 +232787891 +1914020567 +1192376263 +725961688 +359978009 +825312109 +2030681019 +289404619 +211022298 +540326456 +625017223 +1176256958 +323944298 +1553113606 +1511743016 +914666118 +1052820758 +44979982 +684110661 +175523541 +847196228 +982302073 +1375869818 +103039626 +1692534070 +607546728 +765268780 +1888520737 +957709673 +571333576 +807869145 +1190497565 +337870496 +2000245409 +1916459253 +697848505 +678073870 +1799656624 +987253124 +889096169 +192499433 +1612270347 +2065353127 +516443731 +1017900305 +1429612496 +1431109849 +2070721063 +1474592478 +2115220510 +98760957 +174305058 +950038936 +1474630775 +277344685 +495089358 +2082177503 +1042613465 +236126447 +892403529 +1613947041 +1043995593 +2082901094 +1951817537 +896757354 +1851876699 +502182394 +1574831224 +1504049676 +1489435518 +316443745 +1696549109 +954222218 +234313225 +65509192 +1972122523 +1663925721 +1496619041 +1895359939 +991034551 +1464355903 +1994120896 +1165339609 +266911191 +1321268023 +1442684294 +762000549 +1255961878 +337814111 +998126997 +881759 +1951761153 +2042122590 +2083782853 +1756095042 +791396296 +1788175905 +110793789 +218743872 +1144741933 +1600229307 +535187618 +693807394 +406967877 +769500843 +759316586 +231606753 +285942916 +108451979 +2126966692 +1276977467 +1572807882 +1973603940 +294833428 +1839719074 +1147388315 +1737517723 +454235975 +255866545 +2075331834 +1452362972 +256748305 +1879609339 +1347001914 +193047510 +1488220734 +2138398210 +1981223415 +1599014523 +209658435 +978481700 +1051760182 +744846053 +1672289094 +1458728060 +1514346896 +284122032 +1690334813 +1800289812 +392574011 +1669817857 +929783631 +1965381894 +1495938149 +1224617059 +1657617320 +495842816 +814651134 +2111853295 +751709361 +742499321 +1416732620 +1008457666 +474625012 +616250886 +1201505177 +1962845746 +607165449 +1035244944 +1414376621 +816823884 +2013726645 +318653156 +1561669937 +1538532091 +1777381216 +928533185 +1822654124 +1320232381 +581339349 +67744487 +842566590 +1511122980 +2033126381 +191021091 +588256391 +1543260053 +686863907 +1402907526 +1507629701 +1438573268 +2145406847 +776878673 +299547287 +472548211 +1393129559 +1501052464 +287910310 +2000295008 +388813760 +1702286931 +669635244 +255056757 +2020940087 +83821533 +1793588849 +1650837655 +1012354718 +1468759325 +823586388 +1593694067 +1536503812 +1666152978 +957333399 +1422146546 +1857174069 +1545589791 +817922951 +396554328 +801013669 +178069004 +1835127597 +798936868 +954947677 +2134674884 +1271485079 +200593589 +1488243700 +1559395389 +53404949 +1877057460 +1114198673 +723040194 +2132114218 +987655112 +806861727 +1778219419 +491009120 +1819216446 +1099495096 +1314595508 +1265426865 +488515260 +833264839 +75276617 +1910661806 +542955260 +1620866408 +581101110 +939509589 +274396429 +759170114 +627153538 +1073333297 +1714117792 +614344774 +197334728 +1914711381 +2102588474 +1756730118 +1968116330 +1832162286 +723445143 +543672876 +1816792856 +1711100255 +1350534604 +1447528627 +54625727 +1022267402 +399540075 +1369221236 +140210619 +888055336 +55002427 +215487236 +651233494 +597957687 +1836353644 +1232334604 +1537467276 +2110750073 +1991504719 +17137166 +1036599722 +1558138863 +631481940 +1233934451 +1325366596 +586586766 +843180921 +1145999278 +271265405 +1566626064 +1689672155 +2088058261 +1130242671 +892723111 +1388103241 +1184868399 +1914990513 +1787643316 +406605987 +2055201132 +528215004 +461608414 +123204721 +1179448499 +1059566101 +1959558365 +264299455 +449549730 +1922824791 +108320526 +466686896 +811940865 +1666459389 +1098168837 +2045875316 +844342337 +1684755603 +741572589 +1990341616 +1956021008 +160715005 +1532530123 +1896595622 +1290957677 +277769586 +1137215215 +328342428 +45276451 +777374883 +734948415 +2100477583 +1305589888 +1196556829 +76198656 +337554739 +108639282 +2035757022 +601854194 +558189012 +1811098165 +710174721 +1024875909 +475555382 +229150462 +2123044746 +373947051 +1073492800 +1660316701 +1115519640 +916350768 +1468854062 +1276234646 +301397243 +1217966036 +419708675 +579166829 +207697603 +748051103 +624443280 +985072486 +1482999518 +577437215 +143178726 +532072699 +653635872 +480733465 +640711981 +541909246 +1082587660 +1198900994 +205523763 +1792762381 +76293255 +681079145 +2021912843 +51854353 +1055026196 +947921995 +1712171054 +23062189 +1864272763 +1033541468 +1299296835 +18186358 +104023856 +1719005510 +597353187 +311721459 +319572965 +1221796467 +1296793946 +1802572483 +1799233683 +1439972672 +187161534 +305385907 +1920706138 +827873515 +847295153 +855810150 +2026774509 +1052818916 +501088883 +2103067764 +1733898061 +375518078 +7438469 +641440610 +1323440074 +1719609524 +664502799 +1040229189 +605667344 +1963799634 +1058415548 +709691201 +1535321496 +1655768735 +1021412660 +1854894461 +730081555 +170722958 +1509983296 +381831590 +1610695631 +1697144830 +687217497 +1383918121 +377534697 +1534512650 +92244623 +256825559 +439847918 +593333506 +212409675 +26262331 +968851584 +219848145 +667702941 +144808010 +1939457669 +1332205740 +1185037200 +397641365 +1148521726 +95969100 +1107332566 +536359574 +1751737835 +2128745227 +243770387 +334335742 +151984537 +1753753683 +716167332 +1762680168 +1303414865 +1403384829 +999114641 +1680949563 +790413831 +1091359264 +1937775122 +1230261749 +1684692770 +2701149 +1256524081 +506060707 +222549294 +1924227022 +650868717 +14523315 +1108949115 +1835905917 +412164681 +109987193 +1931875017 +1519497247 +646346768 +1536129205 +1500758826 +890117155 +1870464947 +1652743364 +496387191 +439148632 +1267939884 +1799802056 +1842533461 +119570878 +1333267971 +485463645 +1210930142 +1123559445 +1715725394 +748139265 +1126260595 +824765827 +1254199972 +1348809889 +601509202 +1905068689 +1363333205 +1710458317 +1593490959 +1775497886 +1820445510 +1377882328 +1147511485 +319308630 +766527885 +500786664 +1209425786 +489509185 +6046380 +1705812977 +928657817 +1273986264 +1358131385 +623707630 +1393557142 +543915709 +1109171275 +457003637 +1667475154 +677413022 +1205142902 +646252101 +1502178849 +311859226 +1995061991 +2103688051 +69444267 +1210911548 +1666662720 +1662935226 +838925786 +1339624583 +893333907 +1986437271 +1658933213 +1659861792 +339740287 +720875351 +1887329 +345786667 +279204680 +930545146 +1619772932 +1637336066 +1554252777 +865846426 +33768127 +515940404 +1322850063 +1701243281 +1193353426 +380509317 +200011735 +548048628 +692368543 +47590078 +504253031 +761812811 +1258501626 +23432104 +277264389 +2097427412 +1363056687 +1170598296 +1936381035 +874506252 +682976441 +128637675 +1595381604 +684863770 +474424342 +1874586284 +1615408917 +2094197274 +1364438702 +1022178046 +812560053 +1398206829 +1538118450 +2135410116 +951966463 +583988229 +368435786 +1151978198 +1132036857 +1060804329 +1199568276 +1636289888 +1822617140 +310586254 +1659721992 +2099881530 +260530018 +875295031 +1122996178 +49427405 +1749801284 +1805972619 +178065080 +1197699240 +343352742 +652489423 +924801876 +1958761659 +599203049 +141756931 +833456057 +1411763102 +1539963760 +224090859 +1399689571 +344446575 +808079088 +1768125357 +1496424773 +1940115945 +681446038 +548509401 +1428922186 +356579531 +859095655 +941160530 +308977413 +1119625673 +1816455562 +1431973591 +1169053079 +1418773198 +1090462563 +1347118159 +468988790 +1433815305 +1999607582 +1393790666 +1245093316 +451326984 +1535547597 +2078549373 +1863090086 +928027710 +155156584 +1115296009 +1272474285 +963235673 +735937718 +621415411 +755867970 +1417383757 +1169924812 +37306508 +1773963288 +2029020468 +978467039 +2082940701 +1001162493 +647438953 +1367430644 +22731924 +2066212151 +310409559 +1369850084 +387717293 +1744224864 +1221974018 +1781507959 +841834532 +1673301002 +1169571909 +772900257 +1388907441 +2097599619 +928056842 +356719802 +1222590256 +1891292515 +1092657521 +1844005667 +499676837 +362557630 +866446832 +536983346 +2136520918 +747983652 +1515450385 +2071977971 +1749146145 +15405690 +1291924967 +1771878070 +2081617841 +1602334527 +994244506 +321851486 +1199075743 +68734876 +2103359445 +2040910276 +1742035879 +1125447706 +666326885 +983459672 +1075563677 +1594383727 +1340179474 +150670286 +1338192594 +285353347 +1994675953 +1837869432 +647910977 +713639137 +227369130 +636948247 +1461622789 +1742819515 +561442570 +1063285287 +1758225205 +1853367538 +687679709 +1692359398 +1308218417 +1681924215 +2014210884 +359810512 +1750659091 +1970086681 +253237140 +1345211322 +948050740 +919564026 +181187346 +2023614417 +366464105 +1521366821 +26801055 +1704656700 +1806720168 +2021477009 +1395042484 +307147498 +587632498 +1622411614 +944095745 +2049255288 +1217747481 +1505538316 +965056927 +828489038 +1211422206 +1652736636 +373364788 +372156975 +1187177203 +240092024 +731967487 +790352646 +62695057 +985204628 +2135563969 +1010745797 +1904768654 +169267667 +886876567 +123749111 +1690634488 +913677622 +1828405811 +1349871009 +787670983 +1075964647 +1657018507 +1375303482 +550892613 +453630604 +1277075122 +1768640094 +1959168920 +94648401 +449645484 +1023107478 +1747385037 +823010272 +1395264453 +787078592 +1063102296 +2127231941 +1577431238 +1125797354 +964952921 +1565511559 +2136543151 +722237927 +1734779227 +875936070 +845987038 +1277930067 +1789613693 +526909202 +480317428 +429801028 +1602873849 +2137335935 +1805104510 +6282815 +443482892 +934695984 +1774922909 +255168164 +1029344385 +77084746 +1278275643 +629245774 +900095018 +526056448 +1416324366 +1963197315 +505804741 +846271957 +941511021 +1470757662 +264299868 +930570524 +45511941 +1999079095 +1806506595 +891498980 +1129525515 +1448636640 +1418408182 +1609842943 +1878437668 +873798383 +1599695231 +1536058531 +880081198 +2043178123 +323270867 +507520460 +150862639 +1352615253 +584605206 +1429138282 +1981861027 +1484700224 +1955194731 +1250701746 +1300413891 +313515824 +2096973703 +94441264 +1784273487 +213789923 +1025011789 +1829785428 +65385371 +684034736 +573800760 +1194910886 +2132671376 +1992208942 +657270181 +1863625396 +718523678 +109481764 +1252200279 +1598604876 +5176239 +1575471147 +2106125336 +156038879 +780602752 +543246894 +1585177161 +614980131 +2027947119 +1392888244 +1865681877 +1180877362 +1706404069 +1815171932 +1275318627 +1343193908 +2028961856 +152846768 +1025495688 +2094347227 +836881504 +1599296449 +1141774465 +822069232 +1444021743 +1799044646 +538210980 +15061773 +1908526411 +1790411260 +1613666650 +1913702650 +1218398759 +1572308338 +2069741529 +1999001511 +2115555233 +1507435043 +466497994 +1996018704 +752839639 +184696224 +1029412418 +311760060 +1999868156 +157247397 +1654953968 +1881346364 +310094165 +532966009 +1828209943 +1146975669 +2132262458 +822500760 +1969044901 +1428800553 +474061759 +359772234 +1443862327 +235104522 +2699846 +910045329 +1323524 +1221098605 +334870019 +2071065054 +1072616468 +302941604 +1431016449 +1539114462 +151476660 +36372440 +1723810686 +1180889079 +348132501 +1576195195 +1338136476 +2003086469 +1310057911 +1648230642 +388568830 +990784207 +647722663 +373347640 +1813284967 +469283917 +1802148194 +139863078 +829056151 +1098526873 +374967600 +831755997 +2008572202 +376291125 +2052854602 +195958573 +299872531 +977987422 +498900178 +1730888980 +369618236 +650376838 +1767261420 +2093428923 +1831265917 +2115393921 +1522140470 +1021918746 +1970996743 +684714733 +522665740 +212081925 +1675498940 +1170388403 +585429566 +1341300260 +1639672320 +240094112 +1481163338 +321244823 +1338620985 +1856130939 +1153000820 +1199709539 +84938416 +1058371774 +1395668112 +384810947 +2036359196 +1894568290 +2115699927 +258493785 +397461481 +1735477699 +204439060 +81243750 +1703387973 +1726579530 +1103162496 +1526901068 +263810615 +1625828236 +1738982993 +1939309556 +648732992 +176928911 +1133126168 +140921664 +417023023 +466805858 +462166488 +1755644008 +175453149 +1615167308 +807869899 +260391565 +526055435 +56054364 +645202512 +414930983 +1950622654 +613418791 +673424768 +200600487 +201412843 +877863828 +281844238 +1904800816 +456959710 +1385006734 +1284218236 +720770326 +863351323 +875717581 +512596234 +1512084315 +1052646493 +1645722402 +1653005979 +1469669516 +2112528260 +2115172467 +1077829877 +140497762 +1582856128 +1885699776 +400889327 +2108911563 +1941754140 +1046091840 +376358898 +1744893147 +1659510631 +1049783667 +1945493634 +1860923474 +1927647495 +79854224 +1618240642 +237123558 +1464860959 +754975230 +957893884 +180728634 +1630692812 +1470490118 +1692812949 +535855657 +968728872 +1198335280 +2005525173 +933773484 +1166024100 +935871402 +1074271246 +601396580 +674087531 +1475160574 +562824495 +468358023 +373768766 +939183393 +65767522 +2033279397 +1988967060 +2011261157 +1746719224 +1769130908 +2091115381 +1217476218 +2006254466 +1408492692 +1972451449 +816664702 +1589221326 +1455660613 +139671172 +1134550627 +1991516270 +1108400044 +185402260 +1849557795 +2042173528 +1351426360 +637945550 +968961127 +1952822940 +1312033081 +296638053 +368163787 +1780391104 +670406819 +1307347180 +1846158627 +556202568 +1148830593 +1709936136 +155438144 +770477853 +1653567869 +1372914363 +629248671 +914576914 +1197882164 +1445913373 +356314592 +506059129 +1585584545 +1490865220 +350091751 +546500941 +1676267480 +52165898 +441190821 +880210192 +690111448 +1410151948 +685549484 +2002144529 +1706790001 +1053713271 +1635051986 +229713172 +213576803 +1333726965 +785915741 +1362407396 +896179453 +941353885 +2132885249 +402263674 +166784600 +614650272 +1316840588 +1364666764 +2060563645 +1673155181 +1870725893 +1498664542 +1016536753 +73333996 +2045165483 +545320585 +125499895 +338872657 +1425530777 +815611343 +1749024605 +2111080261 +670272225 +1308330959 +1017309884 +157840563 +1538044131 +1230886687 +1491567528 +176476224 +445810436 +240263333 +1117830110 +431212037 +642527007 +1284614710 +1045862310 +1959367596 +501797827 +958942307 +1485039129 +225040072 +310123202 +354092234 +298374069 +207805037 +899412819 +423873964 +546677694 +177459948 +1239485307 +148218652 +141056561 +1909757532 +1456549611 +1158366445 +2067598095 +847110094 +241769484 +1411681975 +1023586319 +687579920 +1651945308 +2141416429 +1118791958 +146988668 +1278547491 +17170620 +2106356264 +1780345318 +976112927 +1443911745 +2005385391 +1286236129 +1798003979 +156275812 +1494041167 +549933150 +580149776 +2040718861 +727393098 +1819635083 +41453865 +868449659 +1581908968 +1498003476 +2026816104 +1502023415 +197629923 +121101940 +766221743 +1221216242 +808681861 +270683403 +1215149023 +1927473819 +417672071 +346212866 +1944644439 +376544687 +2126558185 +773273718 +1820456432 +1984459928 +2059509848 +1470976763 +2140735740 +1406067367 +2020909913 +573401868 +1299302580 +600819363 +245553303 +1340756446 +1469269022 +1827462271 +691276274 +1348601478 +1182002039 +888906197 +1469703419 +1948223782 +2110122439 +130901632 +71423537 +1177787814 +2058375451 +489095609 +1524000681 +1855536242 +865640296 +1503075218 +481326312 +538613081 +1340051498 +393352512 +2009589844 +1333303590 +1799419879 +1883016110 +1906705458 +951238812 +336351825 +4775113 +144511610 +1805620848 +1832237385 +835787884 +1006738678 +866755776 +1724694082 +328958449 +667495910 +1687332873 +459860081 +738919447 +717637040 +370751884 +1228015056 +94154073 +78804478 +2093655353 +1597229291 +560130791 +484784786 +789797141 +953483303 +346890982 +2123100731 +605419535 +82423444 +1882322541 +1556658347 +418775270 +1887097654 +1701169957 +76912470 +1571851391 +389474193 +1083651148 +291123519 +2114168275 +1412609598 +958619429 +1654017501 +1872469679 +1697538877 +224170893 +95737916 +778070285 +318324966 +174542394 +724241990 +1915554257 +734673185 +1209026776 +557867750 +1688156489 +1555917759 +533484833 +146092376 +1638341203 +268323726 +1702750723 +2057116473 +7937732 +1256437032 +2134028943 +1579789124 +1645911225 +1070196444 +1870912643 +1612595853 +335322394 +682048425 +1119129706 +60308425 +232103654 +1343300599 +156046341 +1010173939 +1661625565 +330588736 +1734415930 +1429696174 +1065261921 +795959058 +1987563924 +605934762 +204393169 +373565109 +752027138 +1842734373 +641888835 +307294213 +1752367198 +649826567 +1563731245 +1738912494 +82132043 +1062158823 +661625290 +1953044687 +527271028 +996947684 +487609464 +1646400734 +1057256109 +719713118 +842217685 +1213302451 +1729887057 +356359602 +1543891187 +1316819339 +1786055776 +461669460 +2112778398 +1626136052 +1067604223 +169687919 +1999701161 +1819631361 +2012422292 +494106348 +2126925575 +1617305843 +1143932915 +1543173172 +1208734689 +1226064959 +457848347 +1870359979 +1031625998 +985119375 +719824015 +1519235462 +484036461 +1777080124 +91464932 +1326254146 +842898927 +1821351989 +1682613748 +239306466 +990687681 +1321185876 +700975927 +955982431 +799838280 +1768580150 +1125670350 +652055793 +1440727863 +990608995 +1146162141 +1420169790 +460431190 +142611409 +815859315 +1669165879 +1368676368 +1273707662 +1392042210 +252818718 +111343390 +2111866225 +1772054180 +595379851 +1741462701 +1863519112 +1921633998 +436877981 +1537387453 +1456764098 +676184447 +380591486 +630466327 +1377160374 +1336573917 +1430304607 +998256876 +314760620 +2082360401 +291501092 +1305369615 +1081038894 +1711670882 +1765800805 +1223650303 +380046549 +1287483036 +444843023 +1653754212 +532041598 +697661741 +1765097602 +496424175 +322232273 +212993805 +90403228 +38267737 +2134627803 +527281209 +1575655191 +1443908254 +1203465657 +1956246677 +2074374581 +433142383 +1145336947 +1357195540 +1431399260 +1460097567 +1292072293 +1722900352 +617983534 +225627540 +1287087586 +236300691 +1449277843 +1667134136 +1523783727 +1894120867 +1173404700 +2055825325 +444298960 +791018654 +404765852 +766531234 +1004012459 +495169080 +804798971 +991156615 +1022450290 +232970514 +287581221 +78432299 +41733544 +214472154 +511574682 +1187070491 +1571667694 +1942973942 +499684410 +716256340 +1518390646 +1117667944 +941883880 +657994585 +1353968635 +243678075 +177645073 +730268714 +2137798942 +1351049773 +638610391 +434614255 +2142068427 +1043376243 +1201145489 +998597238 +1538545323 +2005944460 +1989753853 +413511965 +91431327 +129851426 +491944264 +133164871 +344323580 +1003518947 +1320235362 +1915991275 +799009241 +1819919772 +484763967 +169916240 +790104068 +1426647847 +827910825 +2144072703 +1670325922 +1005555898 +726857769 +1660641217 +209122023 +1365468160 +2095255472 +203706802 +261360755 +1148917313 +1202304040 +1799906078 +1007378125 +1044574246 +65934396 +1098809452 +1174425672 +557878660 +1231974323 +1518749253 +1561397607 +404726037 +1287256880 +212923201 +77162161 +1772020847 +382839441 +867266229 +1051185046 +1210750266 +863855284 +574027320 +68822516 +1590713053 +87184889 +277944539 +808697565 +34956713 +481651341 +1070058320 +1183874026 +1683955381 +722480751 +43768504 +581045979 +788415147 +1142577956 +1755471652 +1346293807 +227068632 +1126737257 +760207767 +631794669 +266510489 +973130968 +708956831 +2038531336 +1355970409 +1576223060 +942232734 +419237027 +292594697 +1516260054 +488059543 +1883307750 +1603444944 +766004082 +544521668 +1638401657 +1247655423 +1614579988 +674792036 +784127156 +189577091 +718560540 +1365173136 +977992238 +1861138496 +973161140 +176802398 +2088207128 +2099898397 +937010165 +572518150 +218925238 +1910141133 +1281474981 +109972926 +1118627894 +710214393 +1052205660 +1537864921 +1002809090 +420982066 +2025924464 +738633193 +2024427010 +644444898 +1283154861 +1515345020 +1892100321 +750251201 +42653408 +528743829 +939828293 +761213948 +1893916965 +1917820531 +474868796 +719594457 +2094622929 +415592277 +672009206 +884149446 +988110427 +890934444 +646806931 +122101760 +1000907370 +1765434825 +832316153 +2053113030 +1155816098 +1835125244 +326611449 +1034256914 +426274789 +203554811 +1678701812 +1709429650 +1718899831 +1423318485 +312197203 +1761553239 +1952062315 +1252025496 +375283539 +1698495632 +1022362380 +850152336 +270606442 +969501661 +1265744613 +942615648 +1853651108 +106371392 +1833550093 +352974391 +228473152 +686973815 +2118409217 +1060789305 +592603198 +1126741667 +748430901 +919214647 +13514934 +1174705690 +1122769458 +1692216746 +736651692 +694185642 +968051584 +1048848896 +308255233 +772630251 +153390744 +683538773 +323642235 +1175753124 +1533691109 +594248677 +2145254786 +651952074 +1536864326 +1851422246 +758323466 +1222930771 +56912989 +986796618 +1909904586 +27838558 +2047585923 +355024136 +1154580226 +648533177 +1274238783 +1168095160 +1823238867 +249524594 +712828258 +412406912 +943710236 +1680879842 +1461255808 +1251965469 +306026445 +1614646552 +1935504242 +629668681 +642916029 +1321711703 +1223917358 +640687167 +1973663777 +613298036 +344625765 +584503595 +1836228807 +401538754 +1571300213 +1598649746 +429377313 +1471402489 +1953673882 +1583957539 +2119935666 +1080429018 +604569051 +1795690885 +1329953612 +1317397309 +60614149 +126180200 +850793504 +1521869957 +1378145669 +1156819949 +989032862 +1166166264 +1786488630 +1631948891 +340394319 +862922341 +125152410 +166574449 +1476220377 +469778175 +751078044 +1164965537 +871316929 +174894610 +616131635 +1300694242 +1646297099 +422321869 +737168133 +1618749117 +1502750887 +1341737184 +1266956354 +685220851 +511650846 +1327570504 +811401051 +1362444350 +701956813 +42063073 +371780651 +1690989675 +1208229337 +10785634 +1175454918 +1548623656 +873707975 +1300607328 +1715198105 +202444704 +1770385503 +318792502 +1367410241 +494218785 +493687112 +1983541876 +1794913027 +2139984211 +258380098 +384597513 +1611249680 +1761130985 +1726334697 +730722386 +298868189 +90501895 +2058292890 +1110269240 +1452946245 +612766056 +1152332313 +1824726897 +156272083 +213078002 +1835512531 +1331727002 +1761701659 +561736858 +484850682 +1329416116 +764181562 +107752538 +1648208618 +2131591804 +601971323 +2141895730 +1967650032 +249400702 +2134396293 +78546482 +633998215 +1598162325 +1839677468 +212849265 +181401064 +2138545657 +303351160 +92210306 +1101331249 +1756297406 +704976362 +106179915 +1433540655 +861248446 +319257917 +1121569538 +45491800 +2080959576 +1683306396 +530342482 +1262892045 +300004310 +638095020 +763617015 +284112466 +1240066343 +758029098 +104278851 +1489467046 +744941743 +182825333 +2123465261 +195620421 +2022502801 +188830878 +377021485 +2013564810 +492182039 +469231791 +967412412 +100995797 +1174208154 +1073592327 +1534536452 +2035456600 +1392850244 +508622342 +2080948400 +1326326173 +44445090 +463807234 +441734570 +344449400 +1101902255 +1205351585 +628561867 +194484950 +1963380683 +732840718 +1683951996 +560838779 +915666051 +1659933610 +756459200 +790685205 +1848764488 +1133480685 +656766367 +193462879 +1602712476 +1624178779 +294458676 +629436982 +550287458 +1828995128 +517409934 +1943137703 +190133822 +450874686 +1121980228 +234578912 +914681921 +1563714798 +579028313 +2016584176 +621582735 +1207590180 +63585478 +437479771 +1940430898 +1747537475 +998318550 +708613301 +1259987437 +1754777750 +1499298506 +961268277 +740774787 +8581226 +1154731157 +196003615 +1632760005 +1449189833 +825440598 +35563816 +1130701314 +1342850532 +1978701519 +1320835136 +1793725219 +953198099 +1555414049 +560923492 +369429249 +2134442362 +430024020 +991011984 +1194548894 +493609498 +1428491755 +987496144 +93663325 +279326657 +1696109445 +1353650762 +2034104407 +1047924304 +167435392 +627395546 +1056505530 +1322166549 +823399162 +541781887 +623872734 +1648839760 +577345703 +1754574048 +844206644 +408563574 +927925537 +490448215 +1361761673 +335855938 +1051371707 +1731190922 +322814652 +1481395727 +574719259 +1517363546 +1975005226 +2003211014 +357376042 +2068668551 +135054024 +2053485487 +1274835666 +21674783 +953926143 +1442271058 +649070330 +2010431673 +616953959 +1472469492 +404729913 +1240826693 +973825604 +982075616 +847917094 +1818032248 +1390639191 +1775842631 +160996816 +604917216 +2111698569 +1212368523 +188624491 +287029573 +546280603 +763343750 +1804393119 +373802181 +619071116 +14285513 +294987084 +754125140 +2067771000 +1569822750 +775799924 +874213496 +864610160 +1424870254 +737161521 +1481564119 +749856098 +1141891434 +574907165 +1723681702 +2123967051 +1422824259 +1394230302 +1367122594 +1051183242 +1555227118 +1972039810 +1015398163 +620111994 +13180653 +1302427736 +1166392597 +776524403 +959337207 +1540194778 +1395595520 +973622720 +1835181862 +2237012 +893910072 +1257520965 +778036936 +1768123568 +2122131125 +55423542 +357801442 +1456211597 +805279640 +1499692876 +2031118762 +381477694 +1476176279 +1306459373 +1775707997 +695815225 +210158967 +1183451467 +520371388 +1225557130 +1803563461 +533552041 +380501218 +822472410 +1310076445 +1339838425 +215183540 +558188317 +165977497 +2050365403 +560425329 +1059887569 +1160402720 +1338462266 +680527490 +1135050197 +1393885808 +1038328932 +443778146 +51681801 +390538160 +327413260 +433159495 +1866714440 +1633872633 +61383844 +415046017 +1844031600 +1244835312 +935417405 +922105082 +900915125 +1468969447 +1302606300 +1723387536 +631562244 +494961077 +1938571076 +1189750561 +660938574 +1841452831 +1750175890 +1720826144 +854371903 +941154508 +253869986 +1989422101 +187556669 +1292198918 +285716599 +239238470 +1682737078 +613129860 +672397965 +1401967870 +99518845 +733781810 +1817013888 +1943550446 +1978617122 +604947645 +718171880 +732048599 +2073917092 +2020778181 +307952487 +557995688 +368255610 +99039916 +1747746249 +1029194185 +1940492747 +1350438492 +602536681 +647381003 +144109352 +856406667 +489319456 +331666021 +1121937 +775036055 +570904491 +1683859015 +1388165915 +1243302457 +938343238 +1487684761 +1977084267 +607873478 +1283751559 +1808217741 +1212821123 +2001923439 +392782692 +1139254568 +1875217972 +700735180 +1697250256 +95989935 +799775096 +1297512858 +1125184120 +592784195 +500467702 +1727720801 +1240165198 +644577054 +436643820 +1729484654 +976243076 +437765757 +357037062 +1547147567 +2121624772 +1745202977 +642966376 +912484362 +1085404090 +472566995 +1520357840 +221672001 +133301088 +585695316 +76111793 +526083781 +1724949884 +1951329765 +1226818961 +1274716492 +2047319700 +2026594057 +424745702 +1025020172 +471894604 +925213404 +605257325 +1712059803 +1569790459 +1041901145 +1294060809 +398549887 +1479666902 +1651097871 +1945697454 +1453808027 +1248817201 +441180183 +218808741 +186737643 +913747178 +1739166582 +408409645 +1047048267 +177378250 +484521438 +1573132048 +1902328134 +288367555 +652467361 +1029560978 +188203608 +531577770 +1454306681 +1213223780 +1003472374 +232036437 +1818481106 +568048529 +1801826896 +712898603 +1862109339 +52893135 +45081858 +1365723562 +1998590590 +1498889885 +467057115 +292287125 +1717698626 +653794759 +1206034303 +1309381560 +1062204404 +105598922 +1486759810 +1546725842 +1678730970 +1241604296 +1835093397 +183714683 +123681627 +2023297005 +715292453 +1577988308 +1089037138 +1718764828 +1810024745 +760034596 +139329709 +1464367994 +1472933199 +2001439048 +1517261129 +1518015057 +1219678963 +1368368071 +869421294 +1686736078 +1660655196 +439636273 +193047189 +719205852 +1749017833 +1255251593 +824804774 +1088293996 +654493787 +356052097 +182414644 +342103537 +539766780 +306096271 +217916894 +1255059234 +1884084579 +1306954032 +826340414 +1546625677 +2066988628 +965670123 +863510023 +1392438180 +819625524 +233287504 +762969589 +2039304487 +1601655576 +1632390884 +1578556917 +1114827124 +2072027157 +1771604107 +1834032976 +1673561342 +879372052 +511354103 +614371690 +1533865840 +867406200 +796786335 +1875969377 +1407172980 +1102882606 +2093886271 +514748566 +839483538 +1253356656 +1341088980 +238625567 +1172861636 +159275456 +1102135590 +417816168 +978900980 +1335423094 +1180785758 +870721819 +789595022 +665692994 +301795088 +1904422147 +590236503 +2073399195 +1590971475 +116314197 +805287600 +2102325578 +730685888 +191669792 +822248130 +1527472223 +2067639169 +81937463 +482871181 +2014041792 +596686029 +1322354719 +1119914800 +1937775010 +1560980286 +145292789 +2097050466 +515632228 +563108957 +928467798 +1851055323 +1743894715 +1799189617 +493166697 +262104061 +2100984705 +250105196 +852340564 +2026900253 +1841076672 +968654762 +684704205 +1795918602 +1699340650 +876373997 +470683085 +1079329225 +796529518 +552620548 +1562200406 +663087662 +1149306577 +737071478 +1783002463 +939597939 +150568116 +1928295252 +889164757 +666200345 +343920561 +1817632555 +369772020 +2087815277 +1469338524 +862938717 +202435690 +1422839582 +1113043914 +1054776255 +1302256187 +806636938 +2023431017 +1986960392 +455071892 +1575288019 +715850741 +925754977 +507133596 +1512380259 +1478375525 +2069334002 +27984273 +480198455 +658921832 +1810986736 +1419796394 +809489949 +1591798340 +161477504 +1475690294 +1935718902 +1979110059 +1845462314 +1876050531 +1300964936 +560917383 +2078486221 +576320870 +1673961297 +985778828 +1878577057 +333114587 +861726197 +1718053801 +788186480 +289530568 +286420894 +1713941457 +796664164 +1798801153 +1044833335 +718514519 +1826785426 +1525031790 +1377436351 +1490288515 +797344536 +39442652 +934603207 +958822040 +1515132946 +722838461 +790448452 +1213111612 +451405344 +2091413388 +1774028996 +382407918 +520250610 +1300506645 +1368186746 +251344019 +1633621233 +82429296 +1969397820 +274324065 +371959864 +108335066 +1988265522 +1168624029 +1907136219 +885615209 +1887138548 +1586437997 +263163351 +1117091251 +929242864 +1060507888 +1156533904 +1863846072 +2019329928 +524183202 +439200885 +662294732 +1737294815 +890606230 +606224472 +1363840163 +1273014148 +1126475082 +516863160 +493717246 +1377819101 +3000745 +576146542 +1199733273 +277324810 +948106407 +1308068339 +118106685 +2116730436 +1067720910 +1003721894 +1856385336 +506675260 +1266885246 +825992939 +1435918124 +179909486 +1982526843 +1152280548 +51755766 +359226398 +1591481434 +714050499 +2096521213 +334604016 +1320274971 +1312877728 +1607618164 +299266406 +1829740888 +2101335410 +1677085507 +1832741634 +529998305 +729335133 +2110066444 +1478104712 +2037403472 +80689481 +1447351500 +957640735 +1084411376 +1156253188 +1464315995 +203812974 +1982246127 +752750471 +383722460 +1817289323 +1905031020 +435478226 +29032073 +1349028806 +1149528725 +2125553286 +1683632822 +322320049 +1290947366 +1143767338 +621586455 +973204606 +1097619100 +151188314 +658462592 +1627617405 +880523447 +621045389 +958238469 +770443272 +701734870 +258106321 +1728084007 +1786146246 +1414359509 +1044916354 +1989959220 +1249121989 +1797666825 +226198032 +918927664 +1555214197 +661676259 +947959737 +756759355 +1811204984 +926029375 +292908529 +2133525033 +69493093 +1436675867 +607627840 +1042697699 +386811320 +758816155 +1701160292 +2014428725 +1639339602 +174722033 +825183547 +262299226 +876456903 +1083289868 +1990383233 +515119502 +350165730 +887815939 +357595074 +1599287719 +537999117 +583793107 +370731735 +2093213314 +1245469366 +1318691472 +702489022 +909190702 +97237199 +995397551 +895232088 +166730292 +284589771 +1502859928 +1209427991 +671401091 +114192435 +763104635 +538346168 +1753532038 +937826668 +1363529715 +2015831264 +1814283572 +299335936 +1858730850 +181919426 +649501666 +599063141 +539514500 +101305737 +1137062258 +1123307607 +472037472 +1082791925 +221293325 +1790728944 +1785280947 +1130484028 +1887966143 +633194850 +2025716116 +2054696435 +917784621 +1381092396 +1116640778 +1589185712 +1495284832 +1879745414 +2127531881 +1101333222 +670088434 +1343577948 +969680838 +336888358 +1642913884 +680928040 +518807784 +144931902 +1279991182 +1058322285 +246237639 +269569792 +34146244 +718275111 +1352361717 +255439570 +361520407 +990159016 +1385923598 +102002902 +1623353867 +1264156066 +9215689 +393654840 +497764814 +1125856468 +1982840553 +1993049646 +858118234 +1962888786 +946899220 +1528206668 +1158983086 +1916580059 +1865095027 +654413323 +450024451 +236419163 +799345225 +1730015633 +1294741448 +1045582865 +1999585426 +1328887693 +1763857976 +1204463495 +1584327263 +2125378384 +47138864 +822767213 +79897638 +1670492731 +2086923279 +89113328 +2064147571 +437204445 +1214969796 +1899504476 +282770444 +2073088030 +1714909614 +1229669664 +1453811050 +726409053 +998766075 +1171422429 +1380822376 +1448790527 +1407841593 +32683953 +1031322512 +555099393 +1078266818 +883424290 +1883987086 +694641147 +2087887786 +1320830701 +672535883 +2135026650 +2143597914 +752433521 +1658035733 +2083037545 +841546849 +1574699656 +372758343 +2056516645 +1326720485 +655528787 +1982121027 +894146451 +1885198451 +1288448430 +1620555504 +736480879 +312387211 +853894232 +37787758 +1720228804 +886578186 +1069110270 +127844550 +1964845004 +1952534561 +2011831636 +512002503 +1892938699 +1185178690 +1184538386 +1880481701 +1181292956 +1936971908 +1391033786 +1116846854 +631035109 +818249794 +1489605197 +540068107 +2144970279 +2145133984 +374705486 +891633083 +1882848787 +1663153916 +364704939 +471846018 +1975541128 +1218599172 +509633776 +1548286284 +2105177358 +1578744047 +1676130834 +1922538714 +1383794960 +1540478823 +287057570 +1129250011 +578173865 +1471595956 +862248064 +1759466821 +1261084216 +105798202 +728830027 +1892119326 +924047996 +70951576 +284703785 +921534628 +68601912 +659409271 +1813167711 +1951450700 +175079540 +30389002 +275813070 +3137020 +1248988174 +785446847 +1551423304 +1206681884 +216707246 +1080070491 +981736951 +1600502206 +473065666 +1268794521 +582268569 +1051239531 +592906829 +1444516633 +663222704 +1853991046 +1550314835 +1392052732 +1598626724 +326879183 +1463004308 +1883330509 +1248413811 +1531606221 +395256132 +914097874 +1335573273 +570335672 +944486877 +1611386343 +573472692 +45991403 +249349542 +2124895997 +1252673288 +466056788 +1057482840 +86926591 +2066558994 +1530548506 +1355721112 +501343915 +434304389 +1948627941 +1945860548 +1097527093 +1655135339 +1348691735 +342096177 +1106278415 +1675570919 +1805100486 +842125276 +776501082 +1189223059 +1237381409 +1690598957 +377312684 +1807717081 +487602186 +1988699027 +233706126 +533593589 +90564922 +211118475 +1786266877 +556621710 +1268601315 +1873193468 +475697057 +651666173 +1081430932 +977040972 +1085970562 +882575226 +775417873 +36014007 +390226917 +2124109608 +378110185 +1496505333 +1652196879 +35727023 +191146961 +281214314 +1224950082 +1428528370 +1971813271 +1602262766 +1088761804 +311931809 +1443478145 +1322467930 +845525398 +1534043067 +1533586405 +484308628 +2090664778 +654704072 +210018448 +418878187 +1306370245 +1291449381 +1395919159 +244857159 +26540959 +23853384 +280871166 +416767876 +479345 +658981351 +1913273209 +1652676224 +694708374 +2104420171 +1933890538 +1919658456 +1385464893 +1758220161 +1374437574 +326743049 +2070151970 +670432072 +1649210979 +768193721 +56991491 +1035313736 +1252502349 +172621 +1690017808 +1462520797 +419050808 +848904405 +606486530 +1814969968 +1093761564 +633027489 +1838823352 +1374632731 +1049795366 +1839302697 +2033614082 +815584927 +1344495274 +580838809 +772521450 +1130902164 +353013617 +10502696 +741638678 +1727451192 +337245745 +664307000 +250399616 +1986456725 +1432500721 +307391107 +874286813 +537519422 +307563729 +416820974 +2000040220 +726614537 +1265725379 +459043102 +394100857 +212003296 +1092070592 +85440562 +1586636027 +2141865958 +1924743259 +1472766461 +809967237 +1121754885 +2053605270 +1582488688 +105173402 +259135240 +1592991384 +846812080 +1986586432 +1930237129 +1511119080 +89502400 +1769210206 +796136154 +396893507 +496013372 +1333655576 +704457236 +912834346 +1186212148 +1431071774 +31076077 +1645255251 +1825172631 +243079373 +589842195 +1910613193 +1829715400 +584224505 +1687872805 +1154998214 +1394191742 +662144042 +1061119836 +829196782 +767317444 +1320255076 +274704518 +1614129524 +1159357860 +57458000 +977764957 +1248860260 +1826668206 +1773901111 +1645753768 +175197930 +960073039 +202727356 +1088032276 +2146285188 +1633799130 +1119108354 +1644056791 +1311488114 +1362187727 +86415338 +1074617659 +1044419480 +670639843 +615006816 +51934046 +2064831585 +1277150859 +1113053882 +746544720 +2044468303 +285825311 +1021249238 +1511114180 +1445183171 +1078707238 +341395489 +546559784 +757891797 +2115296600 +44829904 +933089727 +927885991 +247557260 +2021122004 +926687531 +1881356391 +992746710 +423260674 +1045360857 +207450789 +509676012 +2119978516 +1251870269 +1180315855 +587501685 +1303804315 +1097663793 +1864652544 +269374550 +1844208513 +1761637199 +555199861 +717974103 +1125267731 +2000383032 +1796681342 +1466663220 +399459168 +407089491 +1434476172 +444289072 +1340179218 +214878516 +691846333 +1213817574 +1141566047 +425719076 +59080636 +1564826722 +1471079933 +266531426 +2074502734 +1443574801 +1518401695 +1107334942 +2031076486 +674722363 +57515087 +1748245382 +944096913 +1901723600 +1362398934 +1499296774 +472214055 +340183017 +1352196158 +121411749 +1806846238 +1751655327 +528501240 +1093838762 +48460751 +1868680459 +1308717278 +740307084 +935014385 +302799678 +1166026160 +994095022 +1867626400 +489622445 +1260626448 +1794645486 +1933197247 +631544495 +754496780 +1816790085 +1306266858 +812011867 +1417551820 +102880123 +566251819 +632467106 +1602176897 +1038465875 +972650123 +806889408 +1159877624 +632012713 +411061087 +1688378865 +1725851476 +459521838 +1409575676 +887085106 +1199828923 +197106413 +1189884784 +218371435 +1191201435 +910027536 +707993881 +304344235 +557189375 +493707480 +935888731 +1311686155 +163013917 +94671941 +2123698023 +1580565737 +197552065 +542466194 +65549195 +1799728962 +1580932069 +1038199319 +459134722 +593326046 +1670212032 +870195809 +134221263 +1248579860 +1329717648 +1543796939 +2135664967 +382062923 +1740903352 +1178066103 +600434358 +784621140 +2088093640 +1308428239 +1088965375 +497799367 +1802135719 +2024854106 +1809485522 +1965149637 +2119526048 +1785699897 +1398231726 +169594465 +180682444 +1463780922 +1969323427 +1761614513 +354496593 +280974502 +207456911 +2024708625 +1151170311 +341678174 +1125804838 +333404311 +1885475113 +1113986157 +715467234 +1478894818 +144568612 +1315901593 +116032310 +85178604 +476846184 +1204997685 +582977971 +131498256 +1082368144 +244979846 +2096647893 +1054410544 +2030679743 +1347395971 +1224005009 +63878539 +663693245 +1045844788 +1825493053 +1018189838 +1326819290 +2032949964 +895414816 +330505954 +227144491 +2021219654 +663910265 +2112619604 +987722163 +1379377500 +1444030774 +1132290775 +547795445 +1560063084 +1217469380 +1024641629 +617577122 +1800447351 +1156139885 +1699945266 +2045427197 +1105304130 +606872162 +1928623293 +305216454 +1830877171 +1992501832 +968909699 +729238311 +1670511237 +1987099538 +2056057602 +1555977554 +735030706 +239079908 +1783122045 +608766712 +902990173 +1748258001 +1596488875 +134884025 +1044805128 +581296002 +682679470 +457384564 +1798765382 +1707321100 +1074961686 +1451729086 +715977337 +627423304 +1349672635 +1821281468 +1234295466 +1130812280 +2126497922 +917688989 +975830465 +947923973 +1646927301 +498858054 +787539863 +1555501255 +2054835608 +1522570569 +1794581163 +1690474005 +2131337281 +550087688 +1291248359 +1580342508 +684971714 +188569839 +14154863 +1367651184 +645954403 +1812920245 +927488636 +1720916090 +1117165683 +1643465974 +200855746 +319354671 +1317263794 +1435151213 +1450166951 +1296278068 +205356554 +278513768 +96718393 +1852283855 +777371823 +884258257 +1260301462 +684723783 +259345178 +907398977 +227714141 +243198812 +1457486666 +1518962500 +1823541320 +2142458380 +1707532339 +1837696183 +1362625916 +206003094 +1503132781 +142630905 +1926919184 +472814816 +1786096879 +2127774931 +792169487 +955877025 +1415442496 +94852791 +104671445 +1620799050 +373366559 +201389838 +1325599258 +1150738382 +1085648095 +438417072 +1835462166 +1344993274 +1345816050 +2063176307 +1588192086 +655819068 +1434655159 +1264249758 +650793800 +994703850 +954462294 +2013419716 +1200706944 +310111427 +8566973 +980142481 +782926243 +1794663852 +960433764 +1575095731 +603057229 +228392612 +1669948522 +707728674 +1849191662 +2043315081 +909118513 +1027307272 +1046569816 +1994766608 +1465724345 +734548334 +1192276234 +664056747 +650240993 +632984672 +1319875815 +2084896152 +1897234431 +1970669615 +932116354 +704213077 +1836605683 +2132823298 +1014324504 +1845172657 +965482131 +1797250747 +1492352861 +1925915895 +1224862830 +2095410091 +6824859 +747327704 +655655117 +1856016522 +643159138 +1564773630 +735840146 +1689728954 +1412056591 +54080843 +276793640 +456849177 +718137590 +927034633 +1089833850 +2038013405 +864447137 +839584633 +1861199372 +1796563491 +1543797710 +1550321408 +1781903141 +410638566 +1248010417 +599901625 +60405665 +592879630 +378333872 +1285268496 +540806073 +385158732 +2032596200 +1196461191 +93691606 +528271690 +613751173 +829531752 +70516996 +2025807764 +883612596 +347310636 +335173294 +1601750186 +1274345269 +1425007144 +1492279944 +2138792406 +117108129 +1205995668 +1787872249 +1660905839 +608833428 +1422291743 +2071544405 +1856843845 +2022193368 +2131950070 +302239828 +253043592 +1269734918 +843045901 +638202324 +1154847471 +2039507092 +731893930 +1683119161 +505774618 +1561425683 +1753636158 +384098734 +297554631 +2100946794 +719272028 +1899304817 +1227808416 +2144279172 +1244101113 +1219117174 +113903653 +302613134 +859505776 +1774809492 +911446562 +134313871 +1698870249 +620806760 +9023591 +1683336672 +923046588 +262067183 +805587942 +1766092489 +900269508 +1960435413 +1658115934 +1632163438 +1496070927 +16406904 +1046105473 +1102223437 +400505638 +1343660104 +1055686583 +1119777667 +1095481274 +136011351 +1116573191 +192098739 +1355128526 +1230476845 +494711873 +67150654 +857802689 +1406158436 +201464525 +409189291 +2026965196 +210488116 +2092525963 +802528136 +472555299 +750630257 +421136977 +1372824807 +563582023 +2079252911 +857504598 +2059652950 +2095659815 +1903610071 +1014392739 +348681806 +1099786528 +2070079322 +1468459473 +47784154 +58607026 +437549016 +239882893 +1413735552 +1668025861 +734594767 +1480886206 +378344903 +2140753203 +1682350731 +787534194 +2020234751 +1892838847 +732576509 +675279239 +217910498 +1483206766 +1096416216 +1590735306 +2046788789 +1028185480 +300756256 +1958958091 +976361647 +56882679 +825867182 +1325043453 +1156669207 +748462857 +646019278 +1204453361 +807069883 +1083568295 +1444336255 +73321787 +604110508 +31447374 +1554207993 +982455411 +24716929 +1089075076 +1769989605 +2044951680 +834430275 +355082466 +572747271 +1052340773 +1838289233 +1669163487 +495592431 +1737594374 +549865319 +796348687 +1549068818 +1526226967 +853231367 +227452352 +703786772 +2009900574 +975915209 +1349806051 +1066870288 +1782985092 +285890698 +363722895 +1856306879 +890001206 +395170269 +1263031224 +1872456618 +419887198 +204622652 +1494962575 +317355230 +1039052927 +1850045042 +890102501 +2091393701 +1540850627 +411782340 +439502484 +1130961353 +961647660 +1235851172 +532546523 +340390979 +2089082539 +759998876 +1044177751 +1951499465 +1735914085 +246500154 +870886105 +1371415530 +532390852 +1234609000 +1080238761 +1422392059 +1629779269 +195786338 +1147365029 +2049666467 +400408990 +494843956 +219538049 +1439461918 +197405350 +1109640550 +1383371971 +1738255977 +1521422891 +1822874455 +721733683 +335586903 +911241979 +1254280206 +675977882 +852840870 +2014279082 +1720155633 +656856688 +1602709520 +1966655788 +1527742793 +826641402 +351562992 +614868146 +1906880163 +1773955051 +97163767 +2102666501 +773836432 +2146830235 +355591844 +1268680389 +218884636 +1795053762 +1466085739 +1328525187 +1030942085 +1056858069 +702464430 +706332892 +1778591752 +1038051333 +1617574872 +885388310 +1714029215 +322932094 +752183745 +1286701200 +979788782 +207409617 +1105873340 +360047928 +1034051019 +1457436333 +974916074 +793447534 +1083907736 +1072079841 +748630388 +1857744169 +1071426428 +1104222232 +978940910 +1290311065 +751792346 +297543001 +471352604 +1782734431 +1354401070 +1173817034 +341583675 +985509174 +64384719 +1959158547 +1870897485 +1778413934 +134606994 +475597582 +917631486 +1114395776 +683007199 +2023504827 +1474443704 +1717058218 +1333457512 +301876130 +363022104 +269881600 +1373955972 +1111652492 +2127625769 +297898752 +68391076 +959083031 +1588209817 +820183422 +1256626033 +2059562421 +455434205 +463543455 +1085895807 +797017881 +1449052630 +1150280526 +608692780 +1172466467 +781210812 +743299774 +1648064049 +1698842299 +1857695551 +183587600 +1574863478 +1184655607 +1900645818 +760837342 +1486531738 +116184274 +1030718942 +713004062 +1227836767 +1010861064 +1010902814 +1296227843 +1969944095 +451628984 +2116411266 +1079086480 +363707757 +424361823 +1542629936 +1449603565 +1221379704 +844198918 +452400443 +1830072485 +2016665385 +1233611256 +425888611 +1517245786 +784969907 +136100514 +1700833386 +212349737 +1320756122 +1453995556 +973187079 +659804212 +1570179830 +2003906021 +1372808274 +650532949 +867283437 +236227440 +1946760793 +689743885 +687856424 +1915688411 +1768830365 +1051564182 +192566586 +1163976653 +353684099 +1413946291 +2008175571 +806084542 +1096535128 +1877357308 +2039695798 +1522423739 +1247119446 +677182057 +1658524254 +800469184 +889531794 +831796728 +106981092 +1862718873 +1491600940 +1677160923 +1719141247 +716925566 +180210224 +438941036 +953153006 +2126971017 +1128684921 +1641009431 +1895175780 +750031639 +545089965 +2087742367 +1914008292 +898774064 +1354205010 +1774700216 +1704858606 +303256490 +1504573876 +1597070757 +1825680229 +604209675 +126769166 +1336720835 +1404678859 +1016300961 +21033915 +1511659952 +731536186 +1512634855 +1041337227 +303193785 +82076773 +1221547451 +742134822 +1035229780 +1201034821 +1870819743 +528755563 +948726953 +473367734 +1073845528 +888985672 +239892379 +1972619592 +95707034 +2014592595 +1529994550 +398963524 +1371682823 +979581659 +77160106 +1975892498 +1106350826 +1413880941 +1233087710 +2122651787 +1434914857 +597264014 +706704325 +800066064 +1638601241 +1009898111 +882142838 +712665044 +1752032933 +1917372618 +1913699865 +1475369028 +298644533 +714943171 +1948736763 +1372490061 +1603928843 +41145494 +1197626005 +1699635878 +2055738089 +580136907 +2098599402 +1279937264 +1559718567 +28275860 +1108346115 +518585745 +1442156802 +193950177 +493753884 +729588011 +791214191 +1200458209 +1529654075 +282331784 +62872672 +264313265 +994996828 +1814905605 +34202235 +761213046 +1142790986 +332846768 +1476156217 +944044101 +1705336829 +932601412 +985189595 +755479186 +484753642 +893444036 +1335616094 +435869397 +25897652 +747851013 +464145257 +1134243767 +1266436758 +1906302059 +1328193944 +1760190642 +488406422 +2119408135 +813165203 +2018060498 +254256271 +876037876 +134890115 +1249253100 +543459833 +169092351 +2010466146 +1686250819 +501939119 +1339138715 +482811272 +59792301 +124256479 +1468000867 +815271487 +609010122 +213961255 +3403933 +1044879519 +239858908 +751254946 +1509024776 +1374102675 +2017691704 +1267843188 +554812972 +1630398698 +1756249610 +526737459 +296080254 +1626826460 +780993731 +1172118130 +1761716576 +2030246831 +1715577963 +1930808927 +1893229329 +1254345135 +285264398 +1084884396 +1737156407 +345056699 +1209140875 +1057673627 +1160328187 +1818150997 +1271634882 +1163732120 +715546868 +1511493790 +1914987067 +77087997 +738112818 +1785195123 +1344931185 +1292925790 +1268110174 +953697147 +1819663249 +1564190428 +433039960 +453173332 +588824910 +47272888 +335936515 +156919225 +1978081815 +81682196 +1411264360 +115862565 +1166566592 +1000937120 +460919265 +228223820 +2058610747 +1621247452 +2046374817 +1182761981 +637495924 +614438038 +546772124 +404999343 +691526035 +1284884942 +42710819 +2036457220 +430327084 +1310820993 +842670719 +102506685 +727527773 +1275710679 +555680018 +1316352683 +1322983567 +891616533 +1473271908 +1153581734 +973298730 +737052621 +1269444300 +2139865322 +1737989741 +1730363565 +220605494 +1649116840 +1204127369 +119496664 +684395173 +1841623293 +733934702 +1231167297 +99138989 +1425460737 +368568591 +141849808 +1314434309 +798895675 +1452670801 +9621380 +901402361 +32714926 +1285332060 +1457082379 +1349067609 +460831979 +201215264 +674855869 +1614413714 +1174513994 +1411908490 +736374366 +1166895669 +1002414583 +319254283 +1387501163 +504047775 +1523381652 +1506997827 +1188442949 +1217521297 +93448881 +272126598 +1316660286 +1518909618 +640695190 +1458510094 +685860279 +1439590865 +763697247 +695481660 +193509578 +796412173 +1980813720 +1650591957 +2145479782 +294162051 +1851807222 +672852004 +1908575765 +878837568 +2084760494 +497466483 +2045733237 +939691430 +816720766 +1285750753 +1443739205 +192618770 +645264932 +484698506 +1410140068 +738713814 +756825105 +579316706 +110139784 +1397520295 +2037826801 +796000064 +689627512 +654040400 +1491481724 +883137091 +1450452574 +1324811796 +386245400 +1448448708 +1618973847 +90568974 +2121300712 +1380065965 +969406543 +2058577559 +1877532448 +867656132 +850785341 +546769567 +5923237 +147040898 +739388337 +651188170 +631739405 +2044757 +1389901984 +1388564510 +581361464 +1500041768 +638601157 +471704617 +148558184 +1328228669 +1125745017 +1640039908 +63882112 +428713943 +817368056 +450127513 +1877162652 +288858256 +540696487 +1850979716 +1668924221 +1510103030 +1762073627 +1398973021 +230275515 +465375320 +1945742588 +236198752 +612416219 +537647278 +887386922 +1244155624 +539692035 +129805258 +485236486 +1121053499 +1629847027 +1123837643 +1592758116 +1778405211 +304582664 +571019486 +1270961472 +368464777 +999733429 +2088329528 +818592290 +729412433 +229704136 +1359288777 +432908502 +1898628357 +721908160 +47498481 +1150117731 +952183675 +512873802 +948376671 +1188382427 +1125290021 +1486023949 +2075769350 +221961997 +2025715985 +58090960 +707198483 +999285836 +1687937987 +1831036126 +444560305 +1318859551 +2135618790 +1015579791 +442337375 +356599919 +2015313220 +383183255 +1175192209 +597242006 +612887392 +386997339 +1030150508 +364032101 +1108905499 +1077648989 +1514149832 +2061089174 +1590522791 +315042856 +1101987953 +568329164 +1801066805 +1030273655 +790291161 +1679299142 +1088364616 +1497489644 +531101331 +628818955 +1181042122 +975661636 +1947678506 +1169177265 +1991241427 +242532233 +1525777184 +1859070999 +625715489 +553485746 +308829357 +1238602881 +940483085 +1338979865 +1602634982 +2049388584 +269145207 +969301167 +1962994110 +1859667998 +1284344023 +917498415 +280513515 +937927180 +1947772071 +1070804676 +469742675 +888653039 +420810673 +1000844006 +1517471994 +1601852795 +1976505642 +1317666853 +623546412 +1820263421 +1560199086 +1839949 +1531850772 +38430927 +555325695 +1840680130 +1277033808 +1495808780 +1032176347 +732185143 +1397713716 +1301321554 +1701486310 +1213224178 +1013505905 +838346685 +2130722593 +1294019420 +1776273865 +1931011016 +217340448 +98532892 +672180407 +638151121 +1099376898 +42168754 +92520269 +928398892 +1359835607 +716066681 +601178665 +772551045 +717906630 +2133029438 +810981973 +1273232325 +1826225920 +2088015781 +621557457 +710918619 +672717276 +2019271173 +2012240174 +226719938 +1085011703 +878262431 +1065066623 +1068250649 +24798203 +693856841 +851778017 +242138651 +792389733 +1523958425 +880289773 +1891766632 +1566127179 +972810042 +672681876 +778479138 +1688876723 +1273860542 +1551030183 +259299706 +1259406332 +214528508 +1532532031 +938148604 +155060642 +6605841 +1649067223 +827777918 +2025877014 +1513823749 +1054497857 +963405070 +244602532 +2119564480 +2031655719 +269400735 +665937673 +735950088 +511539387 +1458327407 +112424865 +1391829160 +1202610391 +1678552044 +217155554 +1875292267 +309547534 +1906032277 +1001669161 +1860577718 +17848335 +113591845 +2075106226 +1550380367 +1051740449 +82683220 +1556986208 +553324025 +910461139 +1435379574 +2067147774 +1964958996 +251300996 +164266659 +1937039828 +135473067 +433667394 +455493854 +871423156 +945206781 +1913821261 +983848021 +189552293 +968948004 +514916418 +406707847 +696756623 +824463952 +165256477 +1698425785 +537558022 +183104812 +1812017630 +465180601 +1733485179 +716274432 +547863821 +1142987739 +1269598457 +1458324960 +430883666 +1189262583 +1275800308 +682184662 +1353529242 +1065356489 +817657730 +1787196637 +1520850343 +1689080886 +584919770 +1287187956 +525445259 +774472064 +108652312 +1040361677 +1181179911 +805408935 +1864825630 +1346436388 +356351072 +254900004 +1529541201 +20885055 +720080605 +1115542732 +737159487 +1267944427 +111046824 +2006757944 +578785739 +541930490 +1048536879 +1854586048 +1224115152 +254582474 +772458889 +2041772882 +2041779111 +145825584 +1583370120 +479215233 +1433013540 +2108815380 +1253687297 +1541665852 +1001693409 +287383561 +199591139 +719035391 +1633819949 +555942212 +973935396 +1015877502 +576827267 +1694016001 +2131420235 +1313986754 +814476780 +94983411 +1173261050 +1393262520 +636913901 +74314281 +1100364920 +1861029053 +328896755 +1872823809 +1755318288 +223192218 +2018649393 +1191204760 +702407452 +1304179285 +1152536492 +1956094749 +698361489 +6746254 +95994662 +897952628 +725781645 +1729814612 +1453894840 +1699717041 +598208466 +2030722107 +1246249395 +582145053 +1197225213 +2060726175 +677128464 +223002615 +1306505047 +1314042365 +297316897 +259386319 +1027587771 +626213652 +2132210128 +635422411 +849405871 +2003375873 +1826627171 +1551813323 +1160071510 +831680016 +1360424424 +1858432999 +838426270 +1456419087 +608901980 +1564207915 +1038750051 +2062796820 +1116441309 +1636958517 +1946035280 +215207056 +71619923 +995776845 +128449583 +748748387 +1218779461 +1434954631 +2062790753 +1516096358 +1694340950 +942894876 +2142310010 +1679067431 +1578317287 +844232233 +1534959656 +1257460810 +248561908 +547547519 +2089140826 +1608986333 +258496870 +780083448 +917921772 +867398850 +196807716 +1956671823 +782712023 +1313249025 +1446146692 +581263655 +1528456081 +1517766615 +1577040500 +1656905664 +119031355 +648336313 +944376647 +34338460 +16949023 +491233950 +977233336 +11775386 +22817733 +408066975 +856007619 +1557777389 +1665527785 +1104569528 +2105324908 +1607184964 +566072213 +216338131 +239784764 +1483993985 +1083736981 +436592480 +1293182160 +1866449004 +1749841505 +591845204 +300229011 +1130813938 +2109611820 +1877269512 +640235955 +81159527 +378122177 +1584612602 +115497987 +395071201 +2075846552 +1092731323 +406846587 +2098664285 +1500798298 +1262854206 +1508958027 +1018842435 +219940086 +1466799287 +478543751 +786012299 +1683137418 +718328516 +122522636 +619390752 +1154920996 +1415704796 +338356108 +757278854 +2007550001 +638585120 +1888092792 +1969678173 +368370984 +380845099 +2050837700 +746493161 +1965457702 +18852039 +1141564362 +1893820606 +1111583362 +1548410949 +1845001244 +464898012 +663781508 +1206475623 +1483740447 +883721594 +525791262 +1962284199 +1669733894 +61445033 +533129067 +1792256530 +680835785 +1688050063 +1060477679 +1019191893 +297845269 +920544032 +1657777013 +38454414 +742738557 +2026147997 +419299513 +646092609 +625157511 +237273567 +664944648 +1766721873 +2131094174 +1776528010 +1167649175 +1828611770 +93942374 +1831430683 +887603745 +1577682821 +567668629 +1413395007 +1392483372 +89918875 +1474840040 +1925612439 +1882175406 +8192177 +1466178855 +795169437 +1027384071 +1764024124 +1715713469 +537677436 +1802478538 +310968378 +416341786 +74294404 +957060987 +1041499297 +311567971 +1622005635 +660737522 +295178497 +1251049997 +1828386697 +2123790267 +1344992371 +1512333732 +863910364 +775191544 +2080002362 +129821724 +20191269 +22437589 +1604661764 +1945803708 +1904612995 +1612853942 +1264498915 +552298784 +492754365 +881039392 +120528605 +1030431801 +536034282 +431496983 +1446773587 +610328686 +1388557970 +340789236 +921896658 +863079957 +1001526759 +1217075155 +2114129954 +682429808 +1193381775 +1311638677 +47279893 +2057292139 +2086830222 +2127282255 +39630215 +2107021491 +2236196 +1644291980 +1905341551 +1906849192 +1109662274 +1022356819 +311664328 +1602416639 +1903396211 +432192934 +485364792 +291946845 +863689917 +1932138380 +902275532 +104764240 +125443968 +1824172190 +967844197 +1126970727 +893763697 +934490504 +1809400536 +2087145472 +98645533 +1856680429 +1996953964 +37992107 +1836479036 +2036584179 +2145013598 +1838715232 +1533392511 +1902871502 +1598080776 +495571137 +777744673 +1909745105 +2097987776 +533657236 +194454391 +435868921 +825604081 +1058144308 +220523653 +1727879613 +1162908548 +345967621 +1404568155 +2130752746 +1472938349 +150848205 +917759602 +1134855237 +90510029 +1016405135 +844052018 +2087463993 +1054397243 +533047406 +1976564525 +1051927193 +224278990 +1362473388 +807315047 +1822359767 +1858044526 +1585059720 +1584621224 +1808548654 +2118716956 +1779075615 +96933927 +796837390 +689736275 +317457580 +377233355 +1852644824 +663425202 +1781801511 +1835913922 +2136363551 +1932649716 +606189876 +1123735140 +2023159745 +1622595011 +1967787158 +1963140091 +529508606 +353350916 +1792220968 +1581435800 +577629906 +1007210708 +241267199 +252506025 +717771586 +1826326920 +1837127249 +378836593 +1797560228 +1468719216 +475770520 +446913970 +10971844 +793228101 +824147326 +1863616668 +1456653303 +458465189 +1552046942 +1445533206 +243631257 +10753170 +421784698 +119307354 +1633348181 +242088208 +2082447445 +15373140 +595439124 +1727184765 +1596808940 +1173069030 +586911826 +1838076139 +1425575056 +1304683412 +1516919411 +1115218657 +1683520005 +1166995992 +436454226 +11806878 +1613909962 +447426070 +805034979 +290573640 +163559090 +114204634 +749038829 +1715606032 +1559737840 +992670086 +1726359202 +1981522538 +1111977441 +1212223735 +76127098 +1046941238 +1227596875 +671566222 +626642356 +676922167 +1844635252 +1213554182 +367514659 +1122726660 +370753946 +1884434070 +90461670 +2054273952 +903946414 +526915896 +2066080830 +370372729 +974341966 +723632161 +660946369 +1137901056 +837836795 +1409985199 +706023440 +250090987 +255171637 +284898994 +84129877 +1367149078 +1497122729 +160256975 +266606669 +577235957 +831823197 +893249025 +1254158124 +528974801 +2106803207 +1621672783 +1651701462 +330073505 +1358623206 +1742163132 +236863809 +115085972 +121595380 +155460991 +485458701 +1095937346 +879093152 +1146405071 +86354754 +1716929947 +408906622 +792378194 +1967020934 +664078259 +1077277188 +2051150811 +2031227338 +426916269 +63924138 +150350359 +1004152226 +895747335 +1043599384 +110826703 +1424722137 +1002918943 +1732499486 +928939951 +1332992448 +943639044 +523619435 +1569856258 +1058725017 +645214815 +1725317249 +1544183718 +1741152161 +456926754 +543105141 +1827506915 +26373053 +952011763 +472401461 +1993393988 +1616090023 +1549678649 +1897061151 +1499833713 +1976594918 +1960985290 +1650184072 +833263497 +709248977 +546299808 +944090200 +2133971114 +1549218751 +529106038 +915427417 +734727551 +1472745083 +1439046852 +157100161 +383986452 +2084261667 +1882417411 +1928170170 +1677930180 +191860517 +323791664 +1357953447 +218233570 +1275803427 +1830354908 +64143910 +744409802 +1232549909 +1961205062 +96759867 +1061661180 +1774706704 +1746943939 +1894924677 +336472033 +145760099 +691531229 +322959500 +1694978850 +1220637267 +1238386917 +282222754 +545898702 +529950122 +439322915 +929885154 +466728141 +174256678 +710571677 +2144658322 +366117195 +1034363341 +1355128121 +584350766 +162683120 +1037999382 +648494676 +907092923 +123065643 +462216090 +1003852790 +1184726823 +89439146 +603313082 +932167852 +425911180 +749073181 +1623699081 +748870680 +296568384 +696852701 +1987257597 +578791138 +1242751403 +369724071 +1018114053 +25152910 +836452213 +1192370732 +735724587 +833626887 +1558487927 +1770087928 +41271360 +2142838693 +1932771048 +1079270742 +643849722 +692380323 +1202336386 +1106065812 +1696233114 +239579561 +1195504959 +152062548 +1171747414 +1621416139 +901135729 +647962847 +222803171 +1197704113 +1344815548 +62577120 +1776495251 +440083304 +432301192 +647125657 +465236214 +1268753405 +1839496389 +1200960801 +2102380292 +1250500668 +823565081 +2143651652 +1245855714 +608852481 +1075438747 +1889705436 +1301232805 +130291485 +848287600 +849982271 +369871046 +2043792559 +1002044819 +1541618460 +1517725050 +1903180548 +42097660 +1740528221 +953401014 +1386913208 +1803105342 +582412617 +1826996512 +87922886 +1229538274 +144749078 +1356676291 +921551015 +1345709879 +1311572935 +24568036 +21791312 +1307740939 +1270423750 +630643794 +235696038 +1012645538 +1931876599 +365987523 +1860933138 +634375222 +735858570 +1757242050 +1636420041 +129993382 +1127483452 +1392116941 +172091042 +720528026 +198034307 +1559004251 +376149720 +780446925 +1238517115 +464072606 +2009985199 +1383266194 +1820748897 +784052567 +581492425 +984838184 +808620603 +603283738 +145095475 +2079044353 +1233927532 +380791514 +944206243 +1018320483 +746779037 +657655733 +1652695705 +1482637607 +267414135 +1141632098 +1612630990 +1394897588 +386265391 +1784722032 +2115425614 +584299699 +1196242635 +344091686 +1364746624 +287276103 +808164292 +1227248175 +1670542297 +481429541 +2011300742 +104551074 +1466267725 +672437697 +707834812 +1611363200 +603998402 +1941762344 +1992154714 +1548204645 +812599179 +591450104 +58376731 +317811236 +2074087711 +325790866 +1459443334 +1539235053 +1720688454 +1845708726 +1176473438 +1688630420 +282524777 +225232425 +2032722106 +1647271401 +512508528 +693402750 +727035928 +35567177 +1174832291 +590853023 +140118252 +493616368 +1263290720 +847953064 +2104979569 +1867289123 +642231761 +1949650635 +1268010120 +1454830940 +393617091 +1326386851 +1772642177 +320221155 +1652177718 +1084601863 +1859456208 +1225382524 +782826941 +888445998 +766529297 +1065351718 +1113678424 +651767755 +565139471 +1626186952 +1345170506 +1292175400 +1661754130 +372519149 +1883028423 +1801872382 +866135518 +998835495 +502341798 +823631439 +718640970 +1144573559 +625798426 +1986651091 +451920852 +1019415518 +1165554294 +77079381 +1339636673 +670248364 +1161681244 +1051609233 +1895630889 +1944508186 +1940055232 +514676538 +862376256 +906250008 +1166444293 +1427515728 +384953312 +364131151 +572207480 +2046707442 +736650301 +307752255 +1701096176 +1602785819 +1306587750 +55954327 +278933610 +2025228721 +1200527886 +904732036 +1864396164 +1652448738 +1924147554 +882466810 +1729528119 +1116300579 +1552715175 +743725716 +20426165 +1300862416 +540750254 +1960481397 +1815538954 +1403126510 +719247757 +834499599 +683158590 +1104201069 +1198630751 +1255366070 +1003424864 +1935281052 +1563118325 +557037392 +1390583223 +722222428 +612991719 +1669516833 +599967501 +1813519606 +426765221 +316880017 +1318484696 +203429128 +1199346827 +900529168 +1319729707 +604578354 +1644254884 +1340155872 +1905440770 +37521490 +1153153621 +1573496076 +1440648000 +1872401378 +260512028 +2123806591 +829118800 +1459142779 +1231689013 +1832543664 +1246940183 +647323691 +242097408 +490039758 +1369546119 +855089128 +12072943 +1969513620 +521125086 +438838164 +138909989 +1839609782 +642267292 +1338256816 +592655302 +1961997000 +1942835171 +89426538 +1154669224 +1700792293 +126948028 +160339198 +1126804722 +1567596029 +2032740576 +1387316750 +1543918972 +714375728 +698975881 +628124337 +399435744 +1945916064 +1275448028 +641533153 +288472174 +497510499 +1496622281 +300545117 +319540471 +2017747367 +739383281 +458450460 +1709873501 +1381650574 +1796707277 +155045156 +1196163926 +1592058800 +244471694 +203349502 +1145367445 +371419723 +363688700 +124688519 +1939015752 +248945629 +1512005269 +1335451076 +963321357 +63497502 +1963575413 +1362757102 +2009413566 +1091539794 +2004290255 +150402092 +1589050293 +1353428888 +450947209 +1908590765 +1223692607 +1190330491 +219557577 +786082460 +424497417 +2016264854 +941127616 +1620661343 +1460840006 +1185599311 +1824010845 +458723804 +1557019034 +40215898 +583412323 +1348551138 +289161527 +2095417593 +536518566 +1252482884 +11431447 +352610331 +467756338 +2020845014 +1444150125 +324562945 +23763458 +885716771 +1677991833 +474710668 +646823888 +754200792 +1665041159 +866381465 +1540283253 +2089538576 +735162672 +333927221 +1562716271 +48519030 +1519526532 +1239243468 +507242834 +929061918 +1279459366 +1090655158 +130129408 +1568620893 +1038589103 +666647974 +673620130 +1050020550 +1019258306 +1141376468 +923381916 +315924783 +1465939414 +947145375 +1201641554 +996447599 +1421856043 +1848465442 +1750648392 +939413554 +567363260 +1143447997 +881468482 +1302525932 +1477375218 +296701105 +1351044962 +849418103 +1535944573 +1858287797 +1778480021 +667920292 +801459307 +1908609430 +89057537 +1840048410 +427773756 +762677667 +742585312 +1447032062 +1904054136 +1665967229 +1762956846 +1222509902 +465628956 +817114752 +71473853 +1887484999 +518096547 +1822122245 +679414905 +1085459807 +818086594 +1560883387 +240502091 +147978165 +1857584492 +1591547053 +997396268 +1246045417 +1302351202 +628392641 +1913965709 +2103810509 +389518423 +2003023247 +1796375271 +817292180 +618217266 +391476936 +116840594 +374787754 +2057444165 +1879797440 +1597297656 +375589473 +549428545 +1668771510 +115590824 +1067525092 +1343410107 +795005729 +5501251 +14013054 +208405468 +246003342 +161991219 +2065989960 +1837550395 +1159387487 +1164551729 +992417950 +1787780128 +931033791 +948744811 +29814904 +786573390 +597636435 +847107084 +1404790656 +989113371 +963947678 +1779578411 +899073888 +696261471 +1229392419 +1274663361 +1245690016 +750680281 +1390254185 +165731460 +2094090389 +37776266 +171232711 +2108103443 +246181734 +417236053 +122611014 +164688046 +107302800 +1281998501 +1329239775 +1099720750 +922294981 +112789918 +2048465562 +952109885 +899363308 +498618349 +1799216969 +156670317 +1487731720 +615681000 +1936248728 +239321960 +1311942471 +1018157499 +1513985321 +410148839 +1768837781 +756755858 +575880299 +1715444522 +794532124 +747113010 +1676064317 +1040713858 +1164349063 +1798675331 +1205401904 +1271651863 +933190184 +387158031 +223888966 +1855485165 +499947950 +124870880 +660111403 +1399311258 +623489229 +311844724 +1555981575 +2111220949 +927525724 +1344746655 +203059261 +91984547 +215420507 +1717044582 +502133386 +1984258288 +326316792 +1078013685 +1552219162 +1120848916 +1825126695 +1080799831 +14079126 +841992110 +731991514 +1219481030 +2113643974 +1665181698 +1606639061 +190049292 +1373183215 +2106587011 +314920172 +2033294618 +1358414622 +938409401 +197655695 +766912549 +902146702 +1125181419 +2111659205 +1105205963 +1217165967 +179596064 +674766897 +1719299353 +16370704 +1001083689 +649829391 +1568589866 +2121932605 +327472438 +501906049 +2136011731 +1169464549 +1233897563 +1208009113 +1135624875 +751595613 +667164526 +1325674167 +2124778828 +626267890 +1640594339 +2010589799 +1984682512 +431520092 +60761846 +604111413 +1333666794 +1185943265 +568286970 +291389109 +255625584 +747883034 +966156006 +1974924938 +764253738 +1967239695 +477270681 +185359956 +1941688652 +804743119 +687266005 +1930216735 +1974207668 +1921163568 +990742200 +962348895 +525275533 +1657906726 +140539414 +502570714 +136690968 +1781133753 +365676865 +2121373480 +65170197 +426438711 +578001246 +1398836991 +1612381976 +1146288216 +1690226100 +1868007561 +1894171251 +508898458 +1695448851 +510941341 +328654505 +25235884 +696301298 +122859509 +829979003 +1383567303 +2053076244 +656703024 +1157247224 +896334796 +1619051919 +1682522757 +406757875 +1759591334 +37609823 +543448843 +1393241439 +403286688 +517338676 +1458411637 +829725399 +1095339922 +709764980 +294623728 +94144490 +252507433 +15147641 +1988315741 +761405891 +1710596492 +351773435 +1090060397 +1735832376 +1048074733 +1212919906 +418327731 +284158388 +1118512503 +1075030755 +1441405612 +2014847299 +546599027 +976444722 +274121526 +158706713 +1014054545 +817570370 +1551948152 +1417341234 +1334909046 +862876141 +99582985 +282765320 +1572641122 +394206713 +376909810 +1825148555 +409354354 +217741904 +439070798 +2119950846 +569515339 +1529131195 +1708299574 +1617590072 +594567454 +2126627306 +1901748460 +1713079957 +1054174413 +1195670425 +1580443608 +1600773440 +24631499 +1854565135 +1759480153 +1038686044 +524651857 +1163944658 +308543630 +1859560903 +2026820799 +408126616 +2142326223 +1451978273 +802333329 +371752385 +1129643180 +1211687684 +589494289 +1568713979 +1184154882 +1159009628 +950361526 +744970809 +629116052 +1544928980 +724114467 +383380865 +1110525289 +1778288880 +1579051290 +543485250 +1231578673 +1603682789 +250566737 +843575178 +494885185 +775218594 +2007519836 +803428816 +487295849 +1886856988 +1211555432 +482138424 +1191351613 +2013888761 +853890809 +173511146 +1078092797 +1443385099 +1742225125 +114764032 +454911079 +545103003 +859734841 +1084027132 +2090031984 +1583849308 +1467407997 +1053073625 +1214654540 +898975639 +1596558875 +298749565 +355174780 +1847125612 +1142324744 +850059965 +474860558 +1002360932 +1653488781 +962156407 +741734272 +717560565 +1444294831 +1933085886 +583965679 +150701993 +2106597032 +1662058476 +1594087092 +1701338509 +1776822508 +2048998171 +98957864 +489073701 +985541655 +41506200 +2072923009 +305466004 +1094579826 +1140093902 +1204441643 +543655053 +1438843467 +1559616423 +243297018 +433684563 +262192741 +718157576 +1436045496 +1915681522 +1680313984 +30296120 +485758440 +977125167 +1963382006 +1069724119 +1127827160 +1922495390 +584298947 +574430604 +1476350251 +213637808 +475945128 +1575308116 +702711509 +1461486783 +1616814316 +628150871 +1766952788 +563910494 +1768244773 +823910783 +1107565548 +1059604592 +236043559 +1350862566 +1493289156 +498236300 +2069020142 +781851004 +266434174 +1601850478 +812147124 +752192614 +431491998 +628045483 +1821916733 +1559319158 +403057225 +258732033 +2133749763 +1879407477 +472369841 +462211243 +1307231945 +1175081350 +1923698026 +776562613 +1803232221 +1543167166 +1340473108 +1423993346 +219594302 +300555008 +336114291 +455637861 +1651417574 +1829403447 +953874161 +1572954068 +463770803 +1220308335 +1027320899 +1275917927 +1972500950 +1458812897 +1903963410 +1646934035 +870648407 +159536988 +1905666068 +856914522 +2038944465 +230552261 +1319125765 +1198692762 +1405633612 +1095340144 +1975255375 +1061382185 +491023662 +1168244835 +337891884 +710617964 +1468799843 +674006175 +1166255825 +972733769 +355925974 +2120129986 +398204190 +819696777 +1192954674 +1425525089 +2095614704 +1017971976 +736854338 +1852094467 +517422363 +1607502745 +2011631455 +275604784 +316933620 +1903092272 +506157045 +1636059385 +954301386 +1911790657 +583915881 +782073113 +825689195 +1074939544 +1950317949 +1163581079 +1785557508 +1271634144 +1837587254 +804329686 +96884266 +46029580 +776976024 +495088456 +865726357 +1969930698 +1920613545 +813857413 +840419026 +509984235 +518468232 +1357841390 +2117486980 +382616039 +1633446174 +286936952 +138224663 +2139603219 +1922996338 +1092526049 +1903910229 +359428571 +1874599163 +582115776 +1434368115 +1677433464 +1745696855 +1072441976 +801583960 +1435800461 +1876771662 +898468226 +1481830041 +506264038 +1393556682 +200072750 +328711089 +1166686579 +1013930163 +1169130115 +1676670814 +1532398396 +379487857 +1646674147 +1915014435 +2012934031 +1933611099 +2053239099 +2005053603 +1709123789 +998281500 +1761480184 +2068552361 +725397015 +196112312 +1355436828 +255346831 +1941809167 +280395156 +1056930792 +1230125980 +9683170 +1955399018 +564472373 +515947209 +1201472053 +764545123 +844658298 +220674984 +1778475286 +2013788413 +1897345799 +1163390034 +245792623 +1396536298 +930920822 +111243006 +1182663749 +836676273 +2116296609 +744303891 +1834957773 +1730293145 +665372604 +412871141 +1926405457 +2020809432 +668217972 +1720730976 +153720941 +1725148764 +803373308 +163404111 +1533064135 +1367845681 +679351320 +587052540 +2132390804 +1524009618 +807727524 +1763382443 +1390314384 +557589675 +779288829 +1636107007 +1954125973 +1710209651 +1747350013 +989306075 +399402276 +1716162975 +1733609966 +86876402 +1298972472 +251498922 +499747543 +1077894282 +124824706 +1167965515 +651141610 +278545647 +745630632 +1454514919 +441949759 +131211119 +674876952 +1121301079 +718263659 +659784109 +497827050 +1525991183 +275682904 +1888141434 +2083580859 +1054971733 +1376764793 +1890223184 +617697737 +976631158 +732045611 +1017100013 +545310485 +318171929 +1103976415 +1844282958 +569670851 +1603723958 +774693592 +694495558 +624205826 +1425835202 +973041205 +1369836458 +732866473 +1414990964 +1501047577 +1407743426 +388808396 +71827588 +2067527535 +886635446 +1597818771 +195726791 +627293232 +1533915982 +1250698524 +2004058025 +1276655519 +1868396261 +833205535 +2008701130 +738012627 +1378516021 +179389412 +1841989042 +1075315331 +749060263 +1298229353 +1850008923 +1443555821 +1922435179 +1128360477 +269113379 +1144787989 +1861226951 +1684104343 +498351918 +1121486729 +2072912739 +570179506 +1041530616 +812064537 +20514629 +1237257407 +1439357769 +1554430612 +340472283 +1295932146 +683602483 +61384897 +2129137682 +544819965 +799397524 +1360170055 +724209377 +493902918 +288001738 +1473269641 +1792132271 +2138010661 +769341814 +1567083802 +1118887490 +1038455193 +564388143 +832630793 +575075889 +1062740061 +1954117522 +500504980 +1632919567 +848164490 +1312569518 +1653434197 +2085421897 +604443639 +1060381161 +278410533 +1900375786 +1743983644 +339795430 +1882029820 +141319961 +1139192954 +1094716227 +865529339 +1633095872 +1382717965 +191315332 +1277744496 +1373244978 +960657146 +697344650 +344648820 +1999112340 +1261732794 +1177279614 +426704581 +176989207 +983913488 +927209561 +1809908775 +1832077979 +92295431 +1315859324 +1770016228 +696739071 +228756837 +2048426761 +449631209 +1972740481 +240738543 +184177381 +2114060442 +1379931497 +1278893608 +832106133 +865543722 +514127925 +1023421465 +2143288218 +1887372903 +1984078612 +693149220 +84538075 +1835707304 +1954882014 +1261817689 +114928237 +2131871222 +98247530 +1042137798 +1794296349 +1930325509 +1134433230 +962672025 +1552858089 +1831172301 +1191428862 +1453801203 +133319862 +1016685695 +1694539746 +317497243 +983262489 +926987596 +1596390851 +1815368623 +1792531318 +2110518776 +691306440 +1788335888 +1850408031 +527901404 +334001460 +1934946106 +216125060 +141399827 +1049280148 +331053297 +125787401 +1147527678 +1373191096 +1920083750 +930369539 +360140678 +735272127 +335743980 +43829331 +1926700989 +1789545183 +177149193 +795903036 +1336601282 +494646436 +1779165525 +116105230 +2091037287 +1447050500 +1908636548 +2054072415 +2138356941 +1549488788 +1756996798 +518774697 +1883490248 +1544459256 +734899758 +2024890075 +446255756 +1065953055 +3193828 +1593783434 +291660503 +1923277578 +376669325 +651801181 +511066057 +712413306 +695630512 +290283398 +354474841 +872779705 +1086186434 +1691076123 +1367426141 +717868312 +1807181353 +1310979780 +17435164 +1568334253 +1217568547 +8308457 +970339393 +827081697 +527083155 +706345994 +224057306 +1261982913 +583752421 +670313062 +180452320 +586946250 +116612849 +472112824 +362740180 +493282174 +1123914005 +873806238 +1205695480 +1819544518 +1164089636 +1560170322 +544840575 +102792423 +1103762797 +1912266717 +820660735 +763460503 +1075762849 +838095899 +184311108 +145847749 +846404357 +1154650502 +972929446 +1373487512 +1860996496 +1196986752 +487986777 +297265269 +1867299815 +668439097 +884211519 +1983912664 +1140551921 +1246951700 +329711190 +116982279 +2120757938 +1535406671 +1936526797 +1137363926 +948093345 +333883724 +1240156349 +2051856142 +98666793 +2060817084 +667832997 +1174429643 +751429336 +852144106 +1320277392 +1597833693 +2006794608 +145723190 +823837557 +1720307456 +1342709943 +1311824334 +2017572725 +1062526110 +1980263431 +754300597 +898955126 +973331705 +2001252297 +1228666316 +1090313984 +1974526587 +616589339 +879357133 +964406865 +1564682684 +1213240857 +57079567 +1469055179 +1311907651 +2117896651 +2136888176 +338853646 +721842339 +841548634 +1659131038 +172192384 +700859594 +1804854228 +996029941 +273683402 +1000080523 +160370627 +143772480 +2062606633 +2140634059 +898073077 +814078111 +966482116 +751841726 +2042744428 +2056796100 +578884665 +511850119 +788669585 +1543291530 +2076532804 +2001910442 +1600371097 +1398104335 +1166334445 +1570784101 +1387508863 +1505188091 +145142792 +81573850 +1016835481 +317335177 +782433444 +674206062 +1313365118 +1056116847 +1674286585 +1473735746 +1199889327 +1589409571 +1466886157 +2097962404 +256004034 +285884625 +702320482 +151264814 +195197077 +1281205147 +663114934 +983866662 +677013029 +592164090 +838293456 +129900479 +1990268425 +2004627902 +1700684580 +1230293640 +1362332345 +1845827372 +1311867490 +231684179 +15678901 +2094300935 +905890241 +1329044020 +1002934134 +432693178 +655296118 +55339813 +2022102749 +2122182275 +5818569 +130623136 +260583252 +708139051 +281887950 +455780329 +1989344198 +945002884 +1439646991 +518873579 +1537166974 +130456799 +648774058 +1379951751 +2135084701 +201974990 +462761744 +1349933399 +2047802363 +1774629234 +1581617578 +2063481264 +1721446521 +340024171 +1245041636 +576897007 +772717349 +1900337754 +632236820 +647336451 +1875036381 +638055389 +777959587 +2135619633 +1346194440 +1059847537 +443916314 +1188054990 +2004850422 +1883563305 +1706928570 +1394533748 +2014020105 +208218980 +627001852 +2001621158 +410193971 +1089763596 +1204070909 +310512686 +716909182 +638204839 +226510302 +290872056 +978229010 +1471551939 +867769063 +1750946360 +1224406045 +1500005884 +250799163 +951958779 +2138061273 +1028758750 +940094764 +1336772066 +2088606287 +1384011079 +377343408 +1945973061 +1120090736 +2084271978 +1193023162 +986627193 +145007311 +1820025014 +840764704 +555201282 +762304962 +2044835613 +865713968 +1479214144 +535556805 +1092224270 +1770086200 +1513785815 +416292561 +490371616 +1117248527 +1640698607 +1990377500 +1368047690 +445173738 +1980955125 +249322792 +1385268502 +1170243543 +190445432 +621795933 +1547586952 +2136418493 +1741886670 +1484375282 +1181958007 +581030215 +1629382593 +854499373 +1421794919 +37100227 +1616804335 +1319146885 +902814195 +948534832 +1854703690 +1995038466 +571137384 +1221005857 +263847379 +1061509000 +190770737 +1904545986 +904402852 +1558818427 +202236076 +737874330 +1808141220 +1587504579 +1908117873 +1998586652 +61816864 +1308221177 +1987521497 +1803703534 +645112812 +1021995857 +237250102 +127011757 +1876495230 +1659045021 +164111985 +1345815918 +830708258 +1066926180 +146867102 +537928300 +914480998 +718004486 +1758934158 +1178328378 +1779513487 +1949704895 +935390716 +536432691 +1361039674 +1137626793 +1274307021 +1021697246 +577647724 +1034941247 +872800250 +639464588 +195678776 +712838100 +295684475 +840791588 +1734833957 +532934577 +967803346 +1463845539 +44495950 +1131915331 +662177809 +875204209 +51357863 +809044911 +1413132509 +965838862 +1527049398 +1024583019 +2144167240 +1159079237 +826804266 +932074308 +1695511928 +40360293 +2069701101 +822335302 +1062057539 +499865177 +1857276549 +1934857790 +1139329766 +2052955325 +500212242 +1435014241 +746263266 +87562551 +1967948818 +1714066612 +1551408090 +2012444768 +698498295 +66102252 +740165329 +749856158 +875147163 +5814191 +1715695020 +254712913 +1030397210 +1712378612 +1413792150 +1857201477 +496969273 +961820431 +1897561770 +419186726 +1784155733 +812135661 +919051904 +1493948634 +599509803 +2058381670 +1399420311 +1099722045 +1345912263 +2145683577 +1187284596 +1166377433 +1712266541 +591209039 +1031338553 +263281188 +657311291 +1771503883 +1013137347 +1532458454 +1777318074 +581348719 +1787171368 +660231636 +146243684 +1053479870 +369949465 +643212957 +2015300301 +120027587 +1062399683 +1651972386 +932163249 +1981451587 +998437372 +1531673052 +1892349609 +250374036 +483911450 +1090778224 +248573965 +1671196046 +109672009 +1960840507 +114921437 +1141010563 +76638047 +772232728 +765030798 +1089775394 +157207535 +394865224 +1671124114 +1944378903 +1055096860 +1817367798 +850375125 +1425046326 +313097107 +718191779 +1545073913 +1375496790 +222680517 +329753514 +1209464730 +1221117890 +1861426567 +954330691 +1471491926 +197854369 +2045108916 +1720065891 +1869050415 +7297277 +1533422750 +1983971853 +1148307840 +1610060798 +608720933 +1913338638 +552352544 +765928468 +160720214 +75993010 +562823723 +1215817075 +1893360808 +1413198849 +493379753 +58974267 +2131390628 +2038453666 +1434471058 +206587497 +220723533 +496452140 +1427705387 +2082150100 +1450782831 +751713665 +132520821 +1348408099 +324295909 +2001571236 +1355705377 +1857718659 +1838059441 +356529569 +1320295809 +299296727 +122384560 +1872648354 +1065225195 +283104774 +1948641364 +1628048919 +1498921849 +1694518525 +893764120 +1992301602 +1753492792 +877671100 +1883271621 +1040480202 +1084258597 +2103995154 +1536932342 +364480337 +2038661606 +840231526 +1116194002 +23698779 +41155977 +1440489911 +2025270015 +1396861354 +1150724923 +1715845809 +1753390924 +323537084 +2015142536 +1875775484 +48701790 +932884083 +11396610 +1997343155 +413449354 +1510318460 +1544378032 +1307213474 +1355136414 +1150387176 +37400926 +1090924387 +43383731 +1121659524 +1047435893 +1580316073 +1486139861 +938613851 +273063951 +454850215 +962312630 +314219929 +1895340127 +840098998 +1711081283 +898581402 +408461159 +1316988559 +1222118486 +276120047 +1045280395 +1270820277 +1209004130 +1056677006 +1120679784 +1622453485 +419511818 +517574168 +782183311 +1774648232 +1667961344 +819584238 +718088972 +1711345075 +1941243762 +1765524865 +1144177501 +1279899975 +556655069 +1417241452 +1734750190 +1518967699 +1731461381 +1482606669 +211583049 +1295059017 +233704423 +620044208 +464563928 +1455822910 +896164255 +1509844324 +579159539 +2105168386 +419037682 +1699839323 +1580138223 +838549500 +69929843 +214837886 +465714084 +1737891187 +1034422124 +1183803056 +1301752615 +828182238 +801844274 +298446468 +2108082213 +1358499343 +1715687920 +1695348756 +729983394 +1299665654 +1030471777 +941566444 +447241023 +1264176201 +1561610652 +911804951 +572515463 +310291260 +274165627 +1151675002 +267975998 +693203309 +704030677 +1848114221 +1531752809 +773960520 +2062952107 +1997466894 +364368059 +949890584 +1033786302 +1666120674 +1778072822 +1835630576 +1964567142 +1738671388 +1046646271 +1532771415 +1286536496 +1776629666 +684953421 +169524625 +570712462 +1132194444 +1433700826 +2132323114 +2043999395 +2006216289 +295130726 +170681375 +1010407643 +563106724 +863884684 +1714438320 +263737297 +248153846 +340915192 +179205757 +98137092 +705283252 +1129096341 +1131923394 +223920278 +759685515 +820070323 +41003773 +350873255 +1866716594 +1573775188 +1637409751 +1495862612 +111244961 +1806934377 +2066575074 +1243439405 +1093151555 +2051414541 +1139955152 +951884197 +199061619 +1310636527 +1962291840 +762168344 +27037564 +1529246513 +1025905641 +275191410 +1870161705 +1205111398 +373328502 +427961309 +186724091 +1505251896 +651881588 +946409607 +177838571 +692885361 +1297282862 +2044555166 +119176901 +787208966 +1392934130 +230421862 +446659695 +1312025557 +1473861267 +1539811250 +1215956450 +466332771 +344211799 +1415018069 +1776969299 +159019992 +29702765 +1804006863 +1688266505 +1055608407 +2079198273 +1410944562 +113236157 +305043127 +1838905872 +299960249 +1810295023 +343303812 +1246369856 +1988133595 +1036189173 +396169070 +1885205113 +1155366074 +1183378036 +1130655595 +1385787936 +1630037731 +295197504 +712165555 +1022365334 +1511153954 +1178498326 +1366577133 +778688376 +807983977 +1525597125 +808391141 +464507192 +1066379982 +1863999548 +396221817 +329840897 +1977235706 +701264944 +21263121 +129712307 +364076320 +364566933 +1376082163 +204726267 +1400756106 +1772251233 +2089931380 +408638532 +808145622 +1073103327 +1794426468 +290699705 +1368300832 +359108375 +1313065039 +731971138 +1537606701 +532158525 +1510659514 +198107031 +2057755650 +171567008 +662614223 +976651985 +2035566556 +1058836041 +1306492882 +1865318614 +1760100985 +1327756003 +1995030921 +2124177305 +1692322936 +1223629436 +181419924 +945595394 +848397022 +123867656 +1354233926 +1656542644 +1196970984 +1001176746 +1947242349 +417788168 +1360285121 +1112823741 +1149759306 +750408174 +1644982266 +512935173 +948515205 +1555254268 +684502181 +1611129429 +384422605 +572585089 +522481822 +1690915487 +290420056 +135099159 +871187842 +137967329 +111792817 +416027130 +1361596766 +293212741 +1361622524 +62510140 +417080398 +568372802 +1719052784 +1614051382 +1569549548 +1518811485 +2031839550 +782351021 +484151578 +1034115208 +1532759196 +2129133844 +1547050381 +333790753 +1536904465 +84068914 +1944920182 +1921327070 +656654004 +319918356 +1464758910 +947074060 +455017516 +188463104 +1085041389 +566810333 +604490235 +299154507 +860023074 +1966112759 +361664647 +1277103472 +387001914 +2080717431 +743671206 +1956551462 +1452045269 +628027108 +591418836 +1936196847 +1662142317 +2124178032 +1917847044 +1061709050 +310485137 +1307267861 +1145777965 +107921672 +1081111283 +1802431969 +427840028 +398386545 +602022381 +882857544 +586849650 +1687063770 +1449667877 +1191339885 +1986218278 +162207304 +1009968996 +200399277 +1439310776 +1396970910 +133633061 +35498335 +1206038725 +1585678330 +663525443 +1797457561 +1374391529 +178184112 +1774151945 +1144754925 +1239893163 +2084637082 +304539138 +238187480 +45075106 +1385650422 +2040619449 +472915135 +1784036967 +495158182 +1355772679 +223402969 +34738304 +657956909 +1414742854 +2020956582 +820164213 +277228203 +73872212 +111991341 +1674199113 +207505273 +147489676 +732754190 +1793183603 +811015120 +382728103 +1020091484 +989199232 +9396400 +17362762 +81608747 +2094033483 +321901900 +319796227 +2139108589 +1707552322 +212932028 +464540076 +1344105642 +708090210 +1820312756 +1567508611 +742828515 +330786017 +834767818 +616301449 +1150950230 +1111996021 +690173661 +1262941571 +638711486 +897678934 +1410431248 +1371465677 +543378889 +73962720 +1754193780 +1563470374 +1063161952 +1763590181 +1580833136 +1144770700 +1710140016 +1902735036 +1464566927 +1701764957 +1462803711 +1677498956 +18821386 +659425705 +238105518 +1839134142 +79450668 +980934033 +22436511 +914218486 +1597235483 +1173386741 +2026214507 +139925496 +288844664 +517442346 +1037604431 +1699275912 +1888908023 +1580983320 +1773238632 +1495618155 +996970046 +688916937 +1111724688 +430319534 +1833687637 +674381056 +185570923 +1150770916 +228662366 +1648374634 +680786224 +247483752 +160316691 +918891743 +2086617894 +239767359 +1899825776 +2109054405 +1153985846 +1349577611 +1134957498 +1032716705 +1489503108 +1423802162 +1550159051 +379623891 +975594427 +1291583426 +1960607211 +601349411 +639717934 +810093610 +1290266348 +1751442622 +1240413144 +976470337 +278340031 +1425984067 +2127241254 +507002397 +926875053 +660543830 +754486149 +1087191744 +1579435573 +693620395 +1326959104 +1331777702 +655191152 +333461302 +533871665 +1790148650 +1366178007 +2023374773 +1066467164 +768853411 +255515016 +2042061591 +2060436837 +68638580 +495927355 +552671123 +878732190 +1786193703 +156630098 +2119145334 +615180393 +434970129 +1397645754 +594937999 +941972526 +177037159 +1255481829 +1696458675 +1264228904 +687433755 +242595422 +443704360 +2019211457 +897786574 +777165662 +405599474 +540451576 +2143343669 +281490600 +1606918740 +764713432 +537005616 +1501496684 +677666622 +605644196 +1997424039 +1230337745 +1484376386 +1636134094 +1386967843 +1456038073 +103830839 +1821937972 +706200179 +698768838 +616426850 +883237338 +1954250668 +165401877 +2147466242 +494200775 +407997299 +443686954 +365928584 +1305783873 +1220852616 +771528058 +1846235449 +1216712638 +1053018658 +1305670542 +1981426070 +1590024275 +659683578 +511609044 +48184823 +509623969 +1741946790 +1532561210 +2145758063 +981430985 +841115635 +102105255 +655885310 +1547315814 +800874093 +1272312160 +283069504 +607641113 +1437714038 +283052099 +1101841888 +1845711337 +726739053 +1467770472 +1004011563 +1947591670 +91814883 +702763364 +1016820660 +1144833541 +2008433906 +850763082 +587374168 +520633836 +1362372127 +635558992 +1030257805 +956835269 +20636554 +1028532221 +1938266254 +861752189 +1130637476 +446667916 +261584355 +1931511569 +1718980077 +544653859 +391669035 +1009210467 +827705958 +1493510923 +707438156 +1554445012 +813797748 +1711449719 +1354553034 +905612631 +266729436 +223890046 +2050446172 +127679694 +1074653128 +490336693 +648313531 +289541607 +1125895685 +1678571336 +1246376876 +1146532239 +559619909 +1037159483 +2008284428 +1690257385 +1483827399 +122385135 +1474285307 +1055323828 +667038994 +1865954342 +2064534295 +1494744953 +1211981617 +624488804 +901706317 +2025779365 +188454875 +108775703 +783908348 +455184311 +332665749 +686870873 +582864006 +1407318877 +1177207566 +1231177537 +1696860485 +155619603 +762265225 +795753713 +1302151842 +1321885135 +1832913196 +1162952622 +864658872 +1169256948 +1285337757 +191460531 +77097128 +1952376751 +2057414873 +2141631424 +1299638056 +1121912843 +618636580 +53860725 +1000208560 +807091455 +162636428 +1784116909 +1262275767 +495302177 +323504134 +1845139773 +1902621055 +1500711700 +928833662 +1451997892 +1656331303 +1691098887 +100267957 +810999497 +865500374 +1933181154 +1973952119 +1730159247 +954954454 +1111806228 +1921619778 +1032051582 +916699331 +1831551004 +1026199358 +68853740 +805980199 +1644835938 +122714465 +1806188759 +304443746 +285350894 +1442822020 +1566719513 +780653071 +1766326154 +1264375638 +535790478 +1119554206 +45725652 +1987788370 +628401861 +1736824539 +2088056328 +1439401358 +454841266 +1873753834 +1265869829 +37516865 +681224640 +230192409 +1959136643 +1713276222 +1146891741 +1643203999 +591991933 +1215745481 +301700550 +89344223 +1338459946 +2107889310 +393787969 +1623810840 +1403227682 +1960507482 +256980264 +1022070189 +1077399472 +792770742 +2141624395 +1123125124 +633075465 +622542609 +712466016 +573648145 +2061943967 +1167307282 +299918331 +1180330149 +1204824147 +981142971 +1410522558 +1016477142 +546935545 +409930651 +512197494 +1138927478 +1625676132 +813898044 +1228271702 +816652431 +774303706 +1622059671 +292979623 +30047741 +1435083506 +549959887 +1052117930 +364999330 +1342730630 +1046258677 +1488124455 +1975806095 +1668801286 +53106823 +401970592 +1583261606 +1220414105 +701888923 +616108107 +277754604 +1683031894 +2026630665 +1294231746 +82483791 +289077669 +1806429240 +1221411270 +1914753801 +472843637 +302199324 +583922584 +1247147343 +1924258995 +876902208 +1277195084 +1211858853 +1426862095 +181829366 +1576858184 +622109077 +1228088044 +917498991 +450431524 +749405682 +970605814 +852402116 +185183640 +43536271 +1554291039 +801291747 +321290875 +1089839285 +680438765 +1615522621 +1172323077 +969516434 +1274468214 +246250699 +736786587 +1747311851 +548450023 +1320709172 +846975546 +325225370 +50127732 +2124170631 +1537084224 +1476989827 +158516349 +966458760 +2099098905 +1386604393 +1883957751 +402046781 +2136010076 +707079917 +1254448898 +173710068 +750616188 +661256289 +975001816 +1071907063 +1751095575 +1655440581 +539946036 +775935004 +477473367 +1814414250 +1022185703 +1214259954 +1414242453 +1570635726 +387485478 +113734352 +1895861096 +437613210 +90421335 +1285461672 +1914603038 +248937684 +104436784 +1866218295 +1635542078 +1988394535 +120781428 +1624068506 +547990804 +1375230326 +1797778574 +1298606992 +2036486616 +625296742 +223030407 +1640098543 +133253675 +762976444 +268549899 +610727042 +429907046 +1290735602 +1824986997 +1844149500 +713887680 +64988827 +1957883852 +462265128 +502602038 +2048305187 +1747726801 +269721428 +149759223 +1852163585 +2135939723 +1785301301 +1693074473 +109237503 +1261886159 +93581629 +1484467830 +912181086 +1392188622 +1373470798 +1537477828 +1615219029 +866085693 +1670731504 +230711825 +1134635592 +133974898 +660618872 +277887546 +1958961895 +357284724 +991775226 +2023950723 +167684928 +1454040354 +379069113 +68506467 +1054283507 +648790541 +218265690 +758963445 +637246616 +2003566992 +304554270 +746484119 +1117969503 +398135899 +83468301 +2030150589 +1790324521 +1456939099 +1420144770 +1258059903 +175541144 +943392626 +1488771728 +1310176736 +1077367524 +1906952 +1588064282 +888845772 +359191676 +432355860 +765312847 +526876604 +1886396215 +1144381960 +595383071 +793196074 +1793172501 +813648762 +1552159519 +282935469 +669732106 +1856713789 +1029419588 +1787701609 +107366041 +1112887890 +1670368551 +1897690562 +422343341 +943029673 +1008266817 +597884486 +1886422299 +349554898 +1908061222 +816306175 +351461850 +1348641857 +1705151947 +710653527 +1780997717 +322981146 +1237530131 +1519910284 +1467363106 +1832913203 +165622711 +1113051959 +499078317 +1717782230 +1395987428 +1168810423 +1427012372 +277923369 +809028384 +1534378413 +1390811259 +331913287 +1284585327 +1813154600 +1274942960 +145368497 +263555438 +1013881611 +494923395 +24133013 +1830187787 +846385245 +1372774870 +1387856086 +1557038772 +1006288939 +1710837233 +647085256 +378715576 +1030716691 +332514811 +544338287 +2143768651 +831593128 +114636869 +1392272431 +2000403551 +1541649241 +1670195800 +661948287 +928544006 +913523411 +993861575 +65645686 +579194364 +121320887 +211014183 +842749802 +1135202499 +705937578 +866882815 +817906638 +1552322823 +92174037 +58279076 +961877948 +1098462977 +1769116309 +1608963204 +1477178553 +652349353 +1941478015 +2021516840 +648634356 +625587495 +2136153709 +2040906787 +478507398 +1530319303 +1563618940 +1140455685 +311379661 +329658703 +2134317260 +377025347 +908853067 +108154500 +588039530 +1751602870 +1243356999 +1293977108 +471002037 +2061263637 +698816284 +563176075 +2119542713 +1660694232 +1661639052 +1741175375 +1122173788 +991333957 +246041080 +916168155 +865367149 +894675436 +1541755650 +854037210 +788098575 +2020263048 +236872865 +204233867 +1013235085 +548252527 +533892571 +1000068698 +925277874 +1442745638 +1108223198 +1513317405 +1046864860 +204096549 +659810865 +1517866898 +117876538 +1358627149 +2081042973 +89935603 +871837733 +1595198377 +1831110978 +1994011521 +439048686 +2077152058 +762696028 +1304415835 +824343846 +156968030 +10969397 +1612442422 +29747430 +247842263 +1816676289 +1042982516 +796094790 +203085212 +2043051214 +1721372664 +1645830851 +1003790764 +1087206421 +545212063 +1207887313 +1747017287 +2063078961 +1325763851 +958160788 +1996638286 +1415699454 +1829998522 +1444353015 +1099326785 +1676526395 +1883401701 +1028995195 +291738776 +1040333888 +1853339042 +448706806 +1051303286 +1318297816 +478454237 +1299145549 +987490457 +1521436753 +2095240339 +1190575670 +1417004319 +1669129355 +688922873 +273311435 +608852129 +1234134936 +1481198748 +208385768 +1149730250 +659478951 +1166546556 +998884888 +2075178405 +849061430 +295754256 +1027021542 +378104178 +31672309 +2056016738 +669842954 +1072006198 +1761872132 +1118549760 +2123309484 +932686300 +1597003997 +1274971385 +1920176757 +970957102 +1222728076 +963268779 +240477773 +744373783 +1652191652 +513789208 +1353225912 +738842941 +1994987956 +1561611680 +1888573191 +506983259 +580674589 +739974431 +434678017 +1429736019 +1035728687 +1461699559 +1807840197 +1067400997 +1370232649 +330199503 +2139407195 +984621133 +1448749264 +2115233031 +1917307433 +898269613 +1242720768 +1690000543 +1869226716 +317965196 +505785674 +2109704489 +1062338979 +10493679 +476010050 +268081244 +749336620 +323514358 +1829692924 +490426163 +830497618 +262883865 +1230400594 +1265175635 +1692619885 +118645634 +579391546 +1352976434 +1186046631 +1949624196 +1683175938 +1177970178 +786761681 +984441554 +1145719561 +556585467 +1882711167 +240956681 +99102362 +1604454235 +558921877 +604888036 +1566675077 +1621260856 +615381715 +2042685127 +1889342100 +1364718335 +218715837 +1571551377 +1855144498 +1049213455 +1834435242 +938061445 +166905442 +1379571479 +1056707079 +746296989 +585064266 +95270062 +548437537 +120756556 +1273240240 +1335199218 +1105198110 +271476153 +1891784685 +840425629 +512432834 +1990887047 +297396217 +1071354711 +448291436 +1864071294 +545131919 +1063673151 +1759272773 +286990372 +280907839 +1977988610 +1858541749 +2136052337 +879718418 +1545493343 +926630134 +1046623860 +777581175 +1983337213 +1792920849 +1362645441 +2078607275 +193874738 +1483401997 +1204363867 +1529073957 +441116459 +1475840020 +1273374994 +1281542088 +1988272854 +1116778394 +1578938305 +912143917 +1565069830 +1295525951 +1457275837 +481259333 +907315076 +1744266209 +762167172 +737820039 +1455324310 +750735862 +1617538457 +853334005 +1677365996 +516678669 +1630915180 +1513219562 +162115871 +846076973 +1444343189 +355990609 +181995322 +501223409 +1885064566 +623111781 +1977063429 +1010955913 +1904653870 +1817852636 +2127734307 +1336108527 +582512905 +1545320489 +484150831 +2039788742 +2026579822 +1391465907 +1636571303 +641263347 +2129285946 +944411965 +1391999209 +1599340755 +1797745971 +921881557 +2116019425 +1281177503 +287617471 +130651648 +2127254477 +1731960661 +486642257 +161766151 +85700422 +224223176 +784877933 +2062763851 +1235179089 +542048155 +1733132839 +1215429748 +1878156682 +168162097 +613266589 +214823865 +60467191 +492362763 +1606289773 +1697038495 +1133626110 +1588092071 +493966812 +378141671 +1039949179 +144229135 +1300023229 +1008484956 +1425406639 +1587640700 +1139136604 +1405177468 +1172117713 +1625778861 +1566943619 +1257818135 +1850002037 +204337904 +1173098339 +937697478 +746386059 +758747530 +5643578 +477059094 +926909627 +618910167 +691882959 +987376819 +1111272931 +150689084 +536931666 +97415393 +1738781156 +1030898478 +475557065 +631246687 +1175127614 +1775580294 +1639731643 +453050605 +1215737346 +631384599 +1858228073 +240371412 +109679812 +1277688044 +1498189547 +1959681850 +1482025949 +523804238 +749895680 +80928360 +1282551769 +755539259 +557987454 +61977748 +1374449426 +1249870414 +1049354567 +338238709 +1400559498 +1586286233 +435654103 +991857006 +469701064 +911211168 +1623103693 +1644828678 +539307814 +1115351688 +2097879283 +1755045160 +1746736287 +1808623708 +1995416572 +1856416100 +938828104 +1346122472 +1668614302 +273370405 +1869926710 +271026334 +354298766 +1004994831 +1026565593 +912286220 +1066972580 +253531372 +14672986 +2116327147 +591770081 +1415232485 +1555129733 +1027424184 +259605843 +2024830797 +1938635352 +1882709537 +1522175827 +330459518 +850577577 +1472571462 +2085504679 +449830217 +1133711522 +1933437603 +158762669 +2072539626 +1132076427 +1827376971 +198426384 +854519490 +2098403305 +552725150 +1859514321 +977485251 +1465011370 +779003253 +1231016623 +1479684357 +747846753 +1822786704 +747433194 +155492838 +702727241 +1007039037 +32839987 +493878945 +742264926 +1555015814 +824338464 +1592842504 +880103628 +762359495 +2042672721 +2013815150 +548313450 +53951742 +1938871128 +1680389878 +1881328713 +2137297512 +387425720 +1832248370 +542539014 +99456393 +662249973 +2007550385 +878459647 +1893266596 +1339751094 +1626306400 +1568569653 +2087184288 +1781799238 +123813246 +946739677 +1814639225 +617692191 +1689004604 +1222171391 +1442030655 +1134363460 +2102275019 +56906502 +1029552533 +1968606521 +605219953 +1083504275 +1759994001 +138126183 +817349340 +1749807866 +525551903 +502114062 +144863232 +625008296 +1164364036 +4929969 +1503467943 +910146984 +1344681063 +982290695 +331232989 +1284381703 +616606285 +455046235 +83637733 +283761862 +1072738427 +1772642337 +1505933253 +367285434 +759522149 +1460724624 +424191937 +1789074682 +1281847497 +1029411890 +725095309 +894357851 +1167538073 +1542444649 +496682069 +1693089976 +2044558711 +641545301 +170614624 +1061439099 +646475271 +1674082568 +1971586084 +1991156334 +508889615 +155335425 +1128054390 +1125495901 +610381661 +1211692123 +1409257763 +1683120088 +836850812 +767707369 +2050405522 +1596372961 +80948345 +327113811 +1237963995 +1362795843 +1356525701 +1963059304 +109670046 +376580126 +1358020305 +606352115 +2069670102 +1255095368 +1247897416 +92801079 +169050820 +1894372687 +1766883647 +2140636904 +1738045374 +128289614 +148488681 +718616116 +1253785515 +758870342 +1930308239 +515559631 +294506782 +619675403 +1283267000 +197428657 +68564716 +1364215345 +524542468 +1306528711 +579527540 +1881068170 +1122104367 +689197586 +110164648 +332641024 +1295549701 +32351103 +1587736392 +395963470 +125152182 +1756787212 +142852509 +1892035829 +1749940468 +1880897883 +2020325443 +1898429150 +452030351 +1126627311 +509815844 +234854942 +1642186942 +804322627 +854530345 +777970294 +1001751284 +923095061 +2142185639 +1526293752 +82140124 +574229532 +1259878274 +1204244491 +1263427118 +1370042923 +1536885515 +411493172 +1402394026 +977138260 +807456642 +1527546208 +586441824 +950309151 +1272098389 +188898645 +683723387 +1144940184 +2087327795 +1135753738 +124083847 +449659991 +1370608681 +1766270789 +1253982618 +77655378 +396757435 +108250254 +1000750440 +391459427 +1634544007 +1082890564 +965688959 +746938633 +139651408 +81632429 +2116981556 +1676536923 +493125601 +1371891934 +506191535 +1300582243 +751954494 +1092633360 +103407747 +2024052883 +1281532005 +787131134 +1021509420 +1221376152 +1922884872 +1145593267 +1671036143 +1146009905 +764380409 +777535114 +1223665284 +1161137844 +885785368 +76932076 +1552597271 +372845727 +1159822640 +370802582 +1119784361 +1299474048 +452435012 +1089282269 +828527324 +945560613 +313690556 +1334718859 +98659209 +1065645050 +279868571 +202066956 +942214286 +1561400576 +989198090 +1963723706 +635293080 +764599314 +961833325 +158845576 +1910609220 +1726213734 +936380690 +986790856 +739867931 +1822166058 +1063722932 +144981554 +47528138 +76061924 +515784137 +1167312499 +1375535973 +968219149 +109111120 +56579649 +1913779762 +422801676 +1391298508 +2012438971 +1488446727 +1671167080 +67022279 +283177365 +1085084008 +1056220369 +99417423 +1720377089 +1820819684 +1061250748 +1879222665 +1583945256 +639980835 +668119707 +423252464 +1379848766 +342802117 +1486975396 +1524830320 +390330255 +1563037320 +2040614457 +1557642754 +791089645 +861349958 +1666753875 +847669294 +627646073 +2089555551 +91484155 +492601396 +1430518630 +1762651235 +559623676 +1713695995 +700251595 +1615844045 +1813113418 +273145036 +1289180081 +726880519 +4884053 +725641689 +1366861354 +673003760 +1148894153 +599226472 +1015805878 +488385901 +2124056792 +1406136133 +2051423222 +2017187602 +816295240 +695029219 +731053912 +335565467 +1542698514 +1358699985 +277637370 +1634182669 +1851301382 +1708156001 +1249350256 +263441410 +1274368348 +1949601851 +1879285455 +939998119 +75263240 +1020981889 +1666878638 +80147293 +1746623578 +886256344 +753151054 +748034084 +1485482816 +1768956932 +1236419985 +1462055960 +1027609417 +1140359559 +1331759914 +1843904657 +1835388779 +2062813827 +31986476 +1230603645 +1274030164 +309623847 +717302666 +977847898 +2017779848 +1966652922 +1241289308 +1144664548 +1768771125 +973091116 +2084662667 +1844034365 +1994073005 +1604057657 +1924181659 +1593212935 +342830353 +529849065 +193763371 +1828313169 +151322349 +1430183357 +1142885482 +1178931766 +423059268 +327161748 +875352776 +110964399 +242491927 +907339252 +1341568044 +1516522092 +1216963099 +2058870710 +346886342 +1087259299 +1878039984 +1588175651 +84440200 +1499327462 +413783119 +21619219 +1195878179 +260372476 +1625676877 +972576190 +1853585411 +1968507230 +1502425255 +2047348783 +1649336752 +1653747604 +1330048492 +644738586 +685195723 +1753107760 +971900334 +1560548499 +1864072160 +1214392262 +320404103 +1058156556 +583430706 +1537367203 +969543619 +930317048 +477142854 +700099955 +371009051 +561583054 +51943769 +784792170 +583202274 +1247821949 +1045164646 +61395503 +72914491 +751266410 +2029902733 +1575339747 +651131545 +1531755837 +1081603703 +1981180037 +29010775 +1766799426 +1586804149 +1000911110 +1179864277 +1303392661 +67819724 +1500268381 +214065570 +651250430 +890151936 +1183609189 +1581567478 +1367294790 +1883709144 +1952576530 +1928877845 +1935652914 +589885052 +364596471 +1035991215 +1635049699 +425991974 +1108905706 +238832461 +308411059 +536761805 +889964006 +1840166897 +1618365509 +723660395 +1869177672 +1237681287 +162980896 +722605134 +270061917 +1466373558 +790424858 +1770330298 +1680439128 +1441675288 +512998586 +716564669 +875759119 +1880293376 +452790165 +680852001 +1661687573 +240959431 +1270737053 +2026284044 +1276950646 +758303104 +304792370 +238372705 +997135565 +613203430 +775134510 +1887099571 +305886679 +246016371 +463276318 +27580703 +1483697659 +626257215 +750185838 +1753759576 +2092630773 +1540610696 +1376606226 +1625586253 +834802337 +1889604812 +194667274 +1710561456 +1622414540 +647457439 +243929809 +1136618466 +888416871 +1514666862 +1015418862 +17883869 +125486319 +1320211233 +256256574 +1122621884 +1933414663 +1031391085 +862237808 +91817694 +1277407456 +1325514126 +119398397 +613621467 +1951771341 +869584235 +219897395 +1896918466 +262711284 +1596503621 +1375021071 +1097513621 +1338624785 +1569688345 +660591429 +813555678 +69662137 +904521238 +1950174144 +958079008 +271704452 +818109358 +975962877 +397190771 +2138320591 +1232219452 +1519812656 +1924251606 +116126889 +234566816 +2016069300 +1393534345 +1560080942 +2135467698 +2007155813 +1364368636 +857568285 +79569560 +1113803454 +1120279569 +1676073182 +341340878 +70309542 +867214319 +1911029223 +730900971 +1680769997 +1980691360 +1635422209 +1483460493 +791286720 +1907126662 +154086204 +1767249598 +156833785 +144923147 +851985402 +1676646441 +2069174754 +968112291 +1911213257 +1937760406 +214162988 +1323810552 +1925744456 +73835153 +540695540 +635829094 +153404714 +1654498994 +1756108663 +1829477896 +1995839872 +1826418206 +549208567 +1759385448 +409835529 +82494917 +1592593160 +2045257739 +1565955410 +236396233 +1804900753 +1720041614 +2003645831 +1961734538 +1864964762 +708147585 +1490897332 +1786655868 +1676259876 +1254626941 +1576932626 +1890422864 +430953845 +1355193435 +1964258018 +971649385 +1991022529 +2117662732 +478664732 +1599647544 +1799656980 +327020956 +1278582102 +201381899 +2086406404 +1688417632 +283876816 +1531515917 +1586191723 +1849832227 +1767912150 +1243608828 +1422390193 +1624074333 +1057859718 +1139871307 +184738270 +401273402 +779043527 +1860998146 +1655900344 +208492506 +1603937362 +2086854189 +1563685941 +1420711732 +911019927 +1407224822 +1390890816 +1389684659 +859388718 +1043064148 +1716705615 +2137970821 +1244446048 +1655628372 +1678904805 +1528322864 +1039660641 +1117612880 +1230671443 +660089143 +213738060 +505577989 +136679828 +1271597778 +1645449296 +321418098 +1672871181 +277009176 +34932596 +1181287877 +485501682 +1638869958 +1120658418 +2049187623 +912098043 +2031678345 +1308928797 +155505211 +1273879356 +20833867 +1198569360 +843101324 +11321040 +295531760 +351246048 +1690225845 +1823854624 +1390906689 +660355077 +907042420 +2050995832 +874093137 +1412620409 +40192012 +2145690916 +910586057 +361610110 +1671078449 +1187595233 +396542706 +704882678 +1673096915 +2035412664 +1825541096 +1574800890 +800027059 +1709735794 +736246039 +955532271 +836131502 +757079907 +6617983 +1679232826 +768400947 +302149743 +2030478874 +311143145 +2126004367 +1273901915 +971498222 +885563139 +1177414099 +1845591360 +150699900 +1217606111 +1843798628 +1061285958 +1579216221 +1367393429 +101397543 +1975758927 +2072276107 +1774494459 +1863687944 +1750333555 +1201811701 +516231355 +1312585701 +1938057741 +1471763626 +1233556 +547654000 +1478381609 +1680466382 +1316054947 +1780531352 +1563461609 +1627198092 +1759052072 +689879876 +451212667 +497131563 +1867293976 +149320379 +647831464 +937416439 +1993119007 +1709117422 +369149013 +1213028788 +1810514965 +197424292 +1137821247 +1437525776 +2061112236 +740671154 +491853830 +429859944 +2053256856 +282427923 +1901623570 +2054490412 +830081923 +1232521532 +1587473146 +2146136870 +865569236 +1003451107 +1625851315 +477137660 +1693330984 +2077063982 +974269224 +1413141312 +78900713 +1622100688 +203074103 +2072019720 +1183734462 +572223116 +1137564860 +846765779 +769647409 +127902459 +136807908 +683275997 +868573613 +628661738 +1113135941 +774346821 +911089661 +867275864 +681353585 +1741171584 +2099797396 +121343084 +1739824806 +817882984 +1124794191 +1218192473 +1295020645 +670641527 +1147772807 +121806221 +2083782839 +1226673520 +1743906909 +139373295 +1151209592 +780157723 +711596411 +141290804 +1626923502 +1481243820 +269193263 +1763731410 +17036170 +1137766877 +244909500 +1130172111 +1912113698 +1155999161 +1997447975 +445983636 +749687097 +1949761723 +567326720 +342028256 +620161060 +1692120911 +1560220729 +1915181705 +215278791 +560509889 +2036987926 +151577982 +1787183409 +1633411187 +290951277 +790909354 +266085262 +1002547689 +932200158 +1893008764 +336307861 +1201393422 +1509256527 +353344031 +191676651 +1754166027 +1483516143 +2103790349 +762681541 +1333480470 +402290337 +1512368638 +1135758546 +969617057 +1854396894 +1755919606 +514254321 +1267133976 +1523617663 +729533112 +1827643865 +1413121941 +881111094 +1467343626 +899049480 +1172062372 +110769332 +1165134742 +27126413 +1042969491 +910659858 +363434274 +96879265 +272432737 +716778306 +288555916 +2026598765 +52810801 +244862617 +641796658 +1386291271 +647152955 +6681648 +374566169 +1616770012 +1861078543 +2130485775 +2131024333 +980728871 +1506619790 +713073797 +660889088 +772258083 +1594184892 +2128232714 +1671307563 +618763616 +91518399 +688958657 +645890029 +1134487890 +1599618516 +1009324303 +1231367155 +1872051253 +1726102609 +1519923071 +1751166370 +1778913410 +1764785688 +245479380 +1017721034 +264454995 +252161029 +1392287203 +1881225008 +2113239572 +1375289331 +1864765693 +946484795 +734425473 +430355843 +1607373883 +1506683557 +2024540735 +1588122949 +1030507472 +495820703 +1679641348 +1719466130 +1141710732 +666645590 +1171600998 +3551387 +1898012745 +896168603 +1729653997 +1270452168 +499851326 +1361083759 +887754209 +745330706 +231321145 +1152209204 +997491735 +1623608349 +885950564 +963247659 +851414032 +603232610 +1909732454 +1585839505 +1033588453 +1369622689 +945039414 +910645540 +810261991 +1975546887 +1406466243 +342419691 +1547529369 +400693327 +1009065282 +571646719 +404244714 +759594379 +1467815322 +2133898711 +2030046548 +1967666648 +1347498823 +770317109 +565513707 +1578819968 +1922526313 +1563005442 +1054944669 +660993230 +378769454 +1906358701 +1264225840 +141018260 +1344714559 +150330645 +1510640950 +142270325 +1060976185 +173419293 +2117817212 +319958780 +515838984 +1517862933 +720652107 +1524904266 +2089509652 +1124896821 +137014998 +1409841327 +1111311885 +19577898 +1230024327 +311327060 +789895007 +1795538034 +1890147028 +564937672 +1211059829 +797608050 +1225930902 +1589829283 +556483103 +342673094 +1730847543 +1901197662 +493003739 +1094004845 +2043467988 +1553979924 +1267424138 +2013801552 +1873938704 +1783263123 +1384180838 +447107163 +1160683741 +1326206842 +1572003985 +1297698739 +588564521 +535832222 +1317276637 +1818588849 +847159282 +2107171644 +1466643235 +589822662 +524625669 +530219416 +1387430712 +1750556571 +2120048699 +1943913816 +2093229666 +1703412595 +1697627830 +438749757 +649933792 +1593612170 +1992729682 +1917357931 +1459930075 +1719184738 +1553137406 +696627265 +18808254 +566337499 +2022834107 +1590812239 +1864036239 +463914981 +2126644461 +1033829228 +135020182 +826320095 +993517225 +1601663417 +1416142757 +1518142894 +2131882834 +656089822 +1121215817 +2104447885 +452519990 +1066961835 +1660376832 +2664172 +1505711593 +162826977 +1596276343 +1350957627 +2080184908 +908722770 +922658717 +1485838666 +1605350035 +941466971 +2052176165 +1480700494 +384795562 +1768728756 +1944615475 +363956375 +655074337 +2079635657 +1190276470 +1648591562 +1533815427 +458935580 +1019250808 +1518214613 +1115025402 +2140466625 +1475178850 +1567545392 +1059944813 +988072035 +1570209564 +418172758 +1150899012 +1019002259 +1769130385 +1083600272 +1927725029 +544305454 +421955290 +1385591416 +1485772426 +326647807 +718808263 +1870567988 +2095376564 +515940090 +87040716 +602967253 +448092100 +1277317186 +104075167 +1981907527 +1736252766 +1123325975 +1352638492 +703794520 +1116308952 +680333694 +123856264 +28770117 +1668405729 +1694065829 +446942875 +671821093 +565584440 +68589612 +1755421365 +345825822 +612895067 +29893007 +1731417238 +2098667493 +356540815 +302741853 +1821751833 +304433731 +818681944 +1908792549 +907400984 +1266774044 +1038626088 +1011476151 +1101197923 +627395206 +2134802126 +306352767 +1331189727 +1103627430 +986686461 +1455045991 +1132397548 +507608543 +1001628172 +1579340423 +1179429636 +1567212613 +1647930036 +787367354 +1913038435 +113341455 +817260361 +1496972025 +64525300 +1173801176 +1799713879 +1886277133 +1478234907 +470912175 +1647586035 +238152243 +1737686219 +538728475 +1249628394 +691400494 +1166123681 +1236946872 +997753261 +349829760 +193090655 +1984439722 +1804875752 +1325488203 +344564617 +659020276 +757344978 +1523994254 +78749241 +257791366 +163877960 +1991787676 +371132821 +981138321 +1341276054 +435658121 +7455850 +993506285 +174451607 +1485690757 +1464418460 +1822037642 +1723843001 +1054621031 +213282469 +825987747 +1746021525 +1379406150 +2062934620 +596291138 +1729235911 +108541627 +433247212 +1386628015 +1434029830 +777811830 +2045648291 +43891160 +154322436 +2124397533 +301682527 +318200396 +1968701561 +672815348 +1299338717 +1162493967 +1108473470 +1306794567 +8516604 +1282925077 +645001677 +1472935064 +957479071 +221361030 +380072447 +1170761540 +1047348777 +2126093972 +402684042 +962799749 +574901462 +2131919953 +1071341376 +1008148675 +1371064320 +357887558 +1785960505 +1269228964 +401778719 +1940282941 +1246142849 +703461246 +110999689 +1067360762 +1376276594 +1410338406 +82371082 +337266416 +569649326 +90887686 +1620191493 +1214651003 +1563822751 +430186916 +1436012033 +1943895198 +1600948456 +335877162 +1922505523 +2003632499 +1298676912 +349923337 +1988068804 +222534640 +1358072012 +1211649477 +580422199 +996548869 +333394793 +982200918 +789348162 +1579537642 +1685662164 +900347851 +499414756 +914455110 +163202610 +581785838 +1251721527 +732851936 +672673525 +724429372 +1947502939 +89012628 +1154616289 +1236031324 +2032907826 +608081097 +1571908486 +1807929701 +464229948 +723101750 +10369391 +304815105 +945636391 +1368441403 +1516464582 +1526058590 +217506625 +1849859375 +360775860 +1006854787 +1281913369 +2046438024 +1907202639 +1781328125 +813409486 +2070405249 +215630316 +2065131013 +655773537 +888303841 +642076738 +455792828 +977316469 +1796693027 +1691824152 +862740647 +257290476 +1116248990 +523186701 +721520425 +1839350741 +533556092 +1026335530 +637503484 +1901997495 +395316464 +16078426 +2119504120 +97692191 +376854286 +978875260 +1379605560 +275808662 +738594251 +1013450037 +1089218148 +661515852 +1229080353 +1006865514 +1317289389 +2117384194 +1648942252 +1773082217 +947217015 +1298151631 +1317422721 +1809957663 +1555442107 +286188063 +185660716 +129478884 +2125538804 +719216808 +1155814414 +615558640 +473730655 +1551130878 +631637066 +445751128 +1648823069 +1008491352 +1424626388 +880944981 +1284300014 +15736991 +1894395019 +226034515 +677252843 +975991724 +1232900029 +1994542232 +945892271 +734358633 +1620140801 +1893109286 +2032510264 +790079874 +1555583301 +1440468723 +1076267937 +1741244017 +1569947608 +1054323094 +312977177 +578278374 +1669881734 +786707833 +2129409253 +154035153 +1232458961 +1630748674 +1162526505 +509601701 +364210008 +299342872 +525338692 +111121379 +525377387 +1202591535 +1087113103 +1758277416 +1049650119 +2033005374 +345152401 +522307272 +1778631013 +230179017 +1312387146 +1186730666 +1670647740 +241171435 +780491036 +1093111700 +1295494529 +1093468213 +1671390075 +817892616 +1880176046 +1653315680 +971927769 +965151359 +1136580706 +2134454274 +1474753060 +1500790714 +286313498 +2000091752 +1611912093 +811690885 +1055199639 +551541549 +422484653 +2104849758 +437063275 +767637054 +479673382 +68210640 +997816071 +1792060528 +1254941307 +520980164 +2033231964 +2035432343 +1614091864 +1181242845 +981416908 +1137998291 +1999135461 +714109307 +643830323 +823579582 +1679260666 +1780411030 +810550209 +1006530079 +1133718096 +1096863707 +859138183 +598146542 +1908554593 +1914337823 +1149688091 +183555598 +1871703933 +1586751366 +951192653 +203893668 +1654962007 +1949008724 +1995954196 +762419666 +322505240 +1881702512 +650368361 +1936597105 +915461710 +1631785269 +927111748 +767113523 +198410928 +1570942072 +1590693106 +1877671595 +1203869454 +253759667 +736718026 +190103902 +1350623374 +1595856209 +788250444 +1111694319 +1362710384 +1937938535 +1295249918 +1086930670 +1377206254 +98958923 +1290824338 +884684613 +2047967647 +1139294886 +1647104279 +222989240 +873513751 +149988992 +12102697 +1788975461 +1781774261 +939214445 +408605336 +1980185190 +362672869 +1999298442 +1710373137 +1566542323 +105574461 +299607515 +1756646226 +1456197836 +1895463724 +397413022 +420408507 +1110690461 +187867910 +1715658425 +50137483 +1565074164 +1814617348 +1340961821 +302275129 +1715101348 +332773059 +1949379408 +1938090588 +1206286810 +2099368400 +1950193285 +847778623 +1733659013 +741924082 +1256383960 +1566360555 +1104596952 +1108198754 +1129250044 +523655627 +1213773216 +1428857559 +132818205 +522487404 +1176837636 +530231228 +942895911 +140044449 +718099138 +511070689 +190181932 +135689654 +178204389 +1531143753 +437964783 +1893305737 +1863916812 +239860543 +1683912677 +922719975 +191745295 +1486622314 +1770498598 +1925404308 +81062749 +879398910 +1344281216 +1185659701 +1987597665 +326047612 +1709315328 +1053887233 +1754905172 +1842133534 +1576374637 +784259160 +224881114 +371786900 +924303609 +942980252 +882857589 +1114485541 +1078669906 +1061061979 +498145646 +1516634689 +806884068 +214578810 +1756495232 +343313098 +1137298785 +1948240527 +1829935412 +760313736 +1726161187 +1910998161 +1639712646 +922958755 +949174214 +1479826663 +1249006368 +511005895 +386230248 +856427892 +205655781 +1962604885 +1640687052 +430536895 +186908138 +417507013 +1373517147 +1069765727 +1531992554 +304703405 +2130827706 +2030138200 +1821338094 +790228127 +97233362 +1430349678 +1133541225 +1234532148 +1231106557 +815992989 +1994845884 +809784096 +579507503 +1487074882 +1732742852 +1528681717 +819417898 +834265572 +2039687612 +1205648146 +1690693464 +97859745 +1020769384 +1183896868 +528396640 +1207677522 +1601403881 +1901913787 +129959601 +985912787 +59133544 +113303660 +868567339 +1880471638 +903531787 +965800701 +1163337668 +2037073012 +52849201 +246960577 +705582353 +2047695085 +1056744674 +1285089856 +1387286320 +642003878 +666287926 +59220570 +1476269450 +558491890 +1264868716 +1019479266 +656351636 +138154452 +55892486 +1184748276 +1345831974 +1657296367 +939178416 +1475791576 +495725506 +998311960 +1589095236 +1364292845 +731299951 +345143375 +182609898 +1894637619 +234732739 +235459100 +2141598197 +940315092 +135670537 +1050859223 +77921301 +1522956857 +1692863101 +744209227 +1582177427 +1021648903 +1302701117 +699562496 +2041128169 +1959052753 +837716948 +2097020655 +996317382 +36065275 +1606833374 +1935495798 +1511856851 +2102558880 +786324110 +953468439 +1319368077 +1517624061 +1298611814 +1501977975 +1264778033 +1533344553 +1737437075 +1258892582 +326175997 +1873107613 +162268157 +404097298 +1248580822 +1855131258 +1148306525 +683274602 +729296513 +303523995 +1382837098 +622941034 +115093100 +73070398 +572478041 +1111410482 +109135673 +31827767 +899422632 +1620992524 +2134386647 +1685746743 +426977315 +1306271076 +1055887156 +1725589129 +660765403 +173181541 +1111450034 +250718831 +1432074123 +1437626032 +2123826444 +1594342280 +1841723330 +1224923618 +1301989890 +842546208 +1908198220 +2031286403 +1146070203 +1143551670 +506743789 +1261163303 +1216622069 +1079221830 +225090138 +1325757742 +1111049597 +1124512770 +799266619 +1097952596 +662775865 +1226243934 +256740024 +1718663022 +804349416 +917505428 +1891844563 +1915799450 +1168224259 +1176435039 +1205941834 +1144567055 +623293671 +900181517 +222007025 +1925283562 +1742727725 +2130205246 +1809086317 +741314280 +1126273268 +168346459 +2002477583 +195411689 +1247568289 +80084073 +1521169432 +211134239 +1204596844 +172952403 +1309086835 +1867372709 +1399196337 +1565826860 +1438552083 +56062105 +335848640 +1182912999 +1971861556 +1504072899 +211864390 +1030319742 +501156306 +835158061 +1930501259 +723163331 +612957975 +1525745336 +705884929 +274560645 +119575968 +1832158198 +442907104 +2122053552 +2027569887 +1690475393 +54653977 +1401255671 +1901609632 +1259250821 +1574208074 +1063212820 +979139883 +825920764 +481556032 +270208318 +881982869 +817404672 +1453121317 +706360777 +173993923 +1664985707 +1736680520 +675150229 +352660121 +1519698131 +1398313560 +965618096 +897959820 +2104198490 +1240178741 +1017535788 +1788873040 +1683085845 +992105692 +1668959279 +1226077591 +1046759670 +922731303 +980203575 +158526843 +349455729 +2043416395 +1137666726 +1175376493 +377488779 +1407875045 +2057359363 +1194893451 +713512714 +616236492 +1368887374 +231014774 +205433364 +2044037603 +583674895 +1725131496 +1294867516 +1549292991 +475607668 +1251582358 +641988085 +1493143456 +892971750 +177590282 +337765501 +414447381 +1403667873 +1384525171 +1337178684 +236387801 +1543052014 +1686634414 +132320548 +533235093 +714527259 +509809328 +1941110138 +624402974 +1704702779 +507139204 +1240639467 +926106506 +738153978 +1446072831 +822660461 +1321828873 +1023720679 +2117527977 +723638217 +1499328347 +1221626687 +1365626302 +844988156 +2114598437 +1543216584 +1182753657 +381562171 +799400810 +419795180 +1718740855 +1035788611 +1962847194 +1257891621 +1168109159 +348598639 +1972418881 +1677918487 +142225129 +449338207 +1235137619 +649364334 +1689977674 +13760477 +1387518312 +988566858 +836420938 +561863538 +2012287537 +806465268 +1285501755 +1364132237 +2028091955 +503644409 +61636745 +1995206745 +2046860993 +1244390402 +229285268 +698778155 +1664185582 +1948026123 +1734566766 +1479549128 +1058434097 +755192278 +1828147768 +883369330 +285627117 +1970372897 +1332707537 +1520764736 +472253583 +875201564 +1534525213 +1859771896 +1863768422 +223462504 +274151786 +1728572311 +1029927772 +1559653541 +945220900 +910536079 +2063297950 +1006857645 +758259176 +1962675295 +103764399 +987544444 +513969803 +1767949981 +788086920 +101052921 +1100015462 +1846521017 +856245199 +780679582 +582406699 +1141872317 +603568831 +1915114236 +515153405 +1075822415 +642832152 +2049678619 +788110663 +359116926 +125657475 +1062262449 +2087689238 +1155585247 +474432342 +885426490 +2066121326 +390246644 +1892284136 +676896855 +205438291 +1996048535 +1664441299 +719408094 +1616514869 +305044571 +820461016 +569046683 +4081940 +1676706215 +1349726265 +586488639 +671094884 +1953295096 +354119228 +1186248290 +881633863 +996951380 +1088443261 +1669744526 +1356068307 +1214100736 +584523327 +1296273897 +222202335 +1058955669 +34216739 +140840013 +1449202313 +1926500875 +817736868 +1654640605 +1775065763 +334694520 +226565051 +1244096984 +639739091 +1047026067 +1813143667 +643821032 +576248635 +1015386284 +1230309671 +1247343519 +821197732 +1584428899 +286108161 +1702831596 +433896632 +1374551422 +1225092474 +1789964939 +441168510 +1809615802 +938755188 +663370845 +721087823 +972971927 +804210859 +22806489 +751989155 +1621947727 +1677447094 +379571270 +1956642247 +1904012145 +1623668254 +448897691 +803554565 +1289328273 +1092718723 +1379803200 +157230909 +175544746 +479663071 +978428641 +1759973646 +765771233 +533776589 +46386630 +2140322655 +1758869064 +1836351569 +434007518 +1421001218 +627623109 +1097378363 +2142089041 +1600595036 +1901589222 +17411882 +205100543 +1376053302 +1694858976 +584671813 +1185211901 +1451387474 +60856419 +1634109592 +107458391 +1350184692 +579344667 +1487261591 +1507415601 +754889414 +1966924662 +338360595 +367379412 +585212247 +872137184 +413766042 +578051255 +483522600 +102633963 +1012058773 +1904523818 +730257072 +2109437136 +1899129212 +183368460 +1863542711 +1916541094 +388469004 +1092112365 +1463916423 +973140817 +129840618 +767820249 +1033997237 +1763950211 +875278640 +236698281 +195811230 +215056583 +1744113883 +950700644 +34497597 +2082474478 +1318080056 +619709845 +807128014 +1731846098 +1197761100 +1290650615 +1834480061 +62336225 +1047690785 +417253485 +24289713 +799336349 +600621946 +1887832424 +568393796 +989090950 +832461141 +2032310219 +1962231767 +962301760 +652646820 +848745356 +578768323 +1527925460 +1085443638 +774579553 +1742982043 +682073873 +1725280198 +1777479640 +617064703 +895876606 +249705837 +1424192717 +480239057 +1447466937 +567359684 +167235470 +1509803162 +1615050470 +584488956 +1534092876 +266903171 +1185110902 +1274441652 +835296967 +26718204 +2106902794 +720123538 +1988949971 +921720906 +1372770358 +690211680 +1500489229 +753212170 +1775655318 +127585134 +348710565 +310245543 +1852865332 +2126190206 +927310246 +601258291 +228412395 +204019315 +1081497348 +1675879333 +771379000 +1248732818 +1038198847 +238945822 +1833221774 +424808075 +505848993 +870849028 +1699249728 +1341145961 +897567232 +1658668874 +2061269499 +739033556 +432906132 +1286556210 +1429245236 +1933395361 +2039768380 +1057416906 +2060980495 +240995298 +1367662449 +1766362180 +219701856 +147489047 +220136823 +448114251 +351508362 +1301634171 +2123993584 +1122887362 +402883341 +1014708784 +1361833184 +88621468 +1439516859 +1867682178 +959470496 +991282939 +1061344491 +1857037729 +502468165 +975130342 +448587637 +935374297 +114202904 +1877832873 +721286010 +6487637 +787766131 +634782858 +247482935 +7944932 +253661390 +467184791 +155433979 +473798213 +915299042 +506942341 +1775432384 +891808979 +1629829704 +30832077 +1906517763 +844179240 +119453545 +1198550974 +564377770 +1078924042 +42350266 +1625722261 +788478123 +544818431 +453368956 +1237065760 +1480192729 +567571860 +967414985 +53995091 +574059497 +1755181116 +688777949 +821542432 +1763126048 +942439339 +1288727223 +1918560027 +1416237552 +56542618 +278018720 +1044186288 +948351597 +1907848424 +1075018366 +707385712 +604544017 +1194471911 +1905936686 +1168921787 +125912305 +1948286952 +647160401 +914390428 +345621736 +1100529357 +3972540 +1825814465 +1668101217 +971387525 +1879809556 +94677067 +579084993 +421103858 +916219499 +194727393 +1363543197 +57463075 +2113287420 +632297102 +114005693 +243822493 +1676483390 +1062357290 +4187269 +604018108 +1769743002 +608731286 +1798490020 +1528196040 +1777653074 +1924402325 +1328999345 +277329827 +691309106 +1674621081 +1377859184 +695281646 +1352951898 +898476753 +1666669172 +1085277806 +993153820 +98270517 +1506381664 +1909373320 +292997911 +722441214 +1966836395 +258801683 +1354738316 +2080842088 +502624176 +883738058 +995715730 +506811446 +1487756167 +617975084 +1115542732 +1138762539 +2146171124 +745712158 +915681216 +1327686821 +1023041985 +1606990322 +854824254 +253417521 +154788321 +60292504 +1151894275 +1821457493 +1145570311 +2145048095 +1919728010 +504468327 +1906937767 +65242273 +1226909541 +1726290514 +324043957 +434164209 +1659648954 +826668133 +1317902268 +507881036 +1333479579 +658174787 +1125856120 +301538664 +1796937326 +1124543597 +1047250822 +565134894 +304746770 +2070292808 +24641569 +1159571025 +176226681 +179429890 +1219863529 +1328120956 +2000887383 +217950192 +1325685404 +1773131745 +722418520 +1085139523 +1838374019 +1949328061 +663946390 +14934328 +236008623 +176111696 +841602461 +1553910891 +683992733 +27598393 +64602030 +1809848853 +329137057 +1861539356 +786908802 +1376387879 +279190602 +1091655573 +1299197039 +303832171 +103742950 +1475423721 +483262061 +1323606479 +656061029 +336665796 +1541556672 +1981746433 +2109797542 +116491544 +919402309 +1800687913 +2065819605 +1583348699 +1815622241 +154344580 +1759460395 +509741054 +1708255471 +295969480 +537339447 +1772857501 +2105818334 +866476504 +1486913209 +745243488 +95380736 +1766103812 +1836899061 +1394577775 +2069935983 +1940642011 +722517848 +405714397 +1116764843 +1378578878 +742380193 +510837867 +1212841663 +704694087 +627329411 +2132243972 +357898352 +545665368 +1568109023 +26036945 +700009949 +1180085771 +535778000 +260781772 +1476055251 +1073117447 +2033639274 +1434389937 +1939593952 +1373068835 +32149778 +2034974688 +991688999 +1869048839 +1282068815 +914141335 +1662207203 +2004586664 +1319855732 +631488398 +1235681894 +2062235925 +1142326265 +301039909 +619446365 +1769655676 +285800234 +977344717 +167837396 +1853909257 +1003381663 +867847345 +886511380 +1539159663 +1128629118 +215082984 +464793462 +1014784744 +1649472921 +256903766 +240369931 +1681622699 +144394806 +1232058931 +1403187891 +1426463622 +2146200266 +917911446 +1283566638 +1318572350 +1549399844 +371764884 +1233324627 +544242461 +672804793 +1852770992 +166414489 +958605027 +682632062 +334251885 +665030637 +1686013725 +1202099231 +1551542017 +1077689740 +183244701 +1766625001 +1542483202 +1198029445 +1268614275 +1799386969 +1438399376 +802753326 +1943781775 +522974659 +58457569 +1222761749 +521691277 +976369015 +358844739 +1840263627 +378285211 +730609623 +926104607 +922527672 +1403414417 +631391951 +1088942161 +214535796 +1314024013 +1423194047 +879566433 +852554090 +477809630 +283624803 +1930243830 +661054331 +2050249804 +1325243385 +1859083776 +1171380431 +977146706 +1149999504 +1974133758 +773444833 +1672974164 +2032591327 +1996206583 +47181793 +861476695 +207567674 +1887445421 +1239761906 +938177298 +666066380 +14805931 +194108067 +1297458331 +1103748092 +408643863 +463998697 +379458491 +1288210297 +1316552787 +857268121 +1571835100 +1099312970 +1518322452 +1474601256 +277072707 +1229922580 +498498040 +1254219413 +232438437 +325148150 +2027664246 +1905412601 +210255829 +1876387181 +1952594394 +1071732524 +2083954856 +1692556167 +164010783 +874648506 +211138899 +178816714 +1068756573 +1508597231 +1282564806 +1477400436 +1972595928 +1662023298 +618127085 +1141665067 +371807771 +42478537 +93494389 +1890130224 +1517079794 +370567096 +972569156 +2015577834 +1624786509 +1205007593 +193242336 +1504967108 +962936546 +403498165 +1233870641 +768047293 +1475230690 +1170341849 +313119812 +1639241473 +2044990355 +524258712 +1818058187 +966263280 +2032855943 +953139345 +296180069 +1857968223 +467678995 +914307154 +852149642 +839486767 +956785692 +945644032 +582133343 +326381838 +1316211128 +1554702499 +194476024 +793513990 +612226445 +387718360 +150997450 +1575162991 +791216525 +1384868091 +195726636 +118963567 +407726293 +508846449 +1758205040 +305233000 +1033105161 +1428779579 +1271496281 +918477456 +234435277 +1567676350 +628962031 +702114272 +334499856 +1481111673 +1541601039 +1291285548 +279272057 +2123734382 +1617667386 +1595483186 +1530953234 +1812143410 +241513528 +2143179679 +52378122 +392510978 +1570859022 +843594648 +1777379069 +1766585659 +962558215 +37621714 +127948460 +573279608 +342854715 +1161053621 +2002059187 +1614350996 +2079531077 +89010816 +1034543698 +561009460 +791125089 +1369043554 +2042121133 +185242480 +512845455 +173909543 +161493215 +2130512841 +1769392729 +1692446449 +1795172604 +2010906257 +1688142480 +1847550726 +255933587 +1111517854 +543661726 +2033312656 +730619865 +1506219942 +2070934371 +858568325 +2079499550 +266305438 +2019621946 +1934075089 +1880656434 +1951669375 +2023085906 +767716484 +365195187 +666727347 +2136760038 +259832673 +851969827 +502121845 +433742216 +1013463042 +485151039 +55651297 +558425843 +132839995 +2066557554 +99084675 +1980390721 +175007493 +1210602530 +376568800 +60836501 +1941222395 +1882788742 +2131770872 +652307073 +1814804644 +250592662 +524445371 +1601396085 +2131249096 +328631099 +1476998343 +751481932 +693826286 +2143725690 +740758323 +953658959 +848211870 +1242880168 +1387401175 +1861674912 +1728031207 +1443052472 +272617108 +1860871202 +1362126378 +371701783 +1693778276 +1537133871 +1582304313 +2070347076 +1597970373 +1376043061 +1805652170 +1582257597 +2028350134 +1472973166 +1832850260 +405311857 +926885603 +1816615708 +733942956 +256400299 +420613993 +1427769243 +252642341 +1161372316 +233944554 +1100854211 +256768836 +1621345730 +815045476 +1984800044 +916914554 +1087662584 +1698187598 +131557285 +1459364367 +1244482226 +1668691156 +894185033 +1167345654 +1119177881 +122744446 +825514176 +553951831 +3610932 +151003694 +239318443 +408922789 +1077889298 +2055934151 +1142865746 +1334289597 +329064496 +423151341 +1586931938 +1490436812 +657095895 +540302502 +1747205649 +130957977 +1355347978 +1584522045 +1047872532 +295526914 +1135225995 +1179429817 +1754891281 +232224574 +700637325 +501592666 +1399570228 +1819815207 +624337112 +77600757 +226283390 +627948044 +228604451 +465601833 +1036870834 +1306493749 +374052336 +32252932 +493299698 +703116833 +455404273 +2080231637 +46069997 +1112500168 +473050491 +1793275646 +1243458146 +1828398469 +1230314043 +143847030 +2123925383 +218056391 +1323276847 +1731333016 +450280965 +2023914172 +85442035 +1849851193 +1696245731 +709779147 +1927451950 +1922529121 +1337727192 +8572754 +240647306 +227114378 +1315066503 +614699643 +259367310 +1808366202 +1317816476 +714771583 +1741114191 +1363886473 +1827271751 +66681034 +1009678472 +923246249 +1895079503 +92508867 +1067093279 +1871521238 +310565258 +242886478 +1455370606 +760846223 +119317003 +1540812641 +463213769 +1815562734 +103108141 +243182071 +1590608208 +1440835333 +251754825 +1831255514 +1667949711 +1566821329 +298471509 +1927317021 +1227703883 +1616287985 +494604956 +821334426 +832690811 +174393059 +888015460 +1842369283 +1097639309 +635611315 +1934878150 +17248940 +359648905 +97959761 +260135419 +1815019511 +858805984 +379452422 +1208348505 +1322019753 +47531508 +1311456646 +1565201825 +1638139716 +604808331 +1816956650 +1321911583 +125274394 +1236294331 +1620383092 +2052591415 +316514566 +1089187430 +399712723 +1137848992 +1921878241 +574105782 +2025864452 +1616763876 +1671745091 +513992119 +1404158378 +1688994032 +873641024 +1502118139 +1949129451 +541176888 +213440476 +181098225 +1749525393 +1535460229 +228629733 +913498391 +953178406 +1866769450 +1518306722 +622651409 +1041197385 +1643581116 +1858945740 +514096829 +1548688883 +27976659 +1603284259 +1948401606 +1165825651 +1377678852 +375023740 +1044206456 +846959080 +2046768832 +1558198575 +103633811 +1588279216 +284355952 +1605751950 +1389925019 +825532840 +1819192426 +1571023244 +427574585 +1207169008 +1799652977 +1341072976 +12863766 +1518938779 +711896050 +635515175 +412652516 +207993518 +346977268 +926749346 +1756682401 +374953927 +382549957 +1557600359 +1540779578 +1760228810 +1932624099 +437502386 +459704242 +1831909283 +1995700962 +563338053 +1272704851 +132573266 +21606356 +515146222 +958106106 +1840798782 +2086169466 +1385680691 +900484142 +1738338796 +579270019 +913347909 +1109793927 +1291166069 +1548863084 +1522446444 +1499159587 +1895840352 +301712142 +1108358340 +123310631 +684262099 +518475051 +1664090210 +297007261 +303615502 +2101592596 +756711504 +2135524786 +1949809910 +1320049557 +1260745989 +2082383176 +1341655913 +1775892212 +893005634 +1034971048 +1714578030 +131202677 +1935455190 +1305433178 +710472696 +701319451 +267743458 +2001638765 +102698888 +1790189902 +1353314704 +1998539240 +2091902044 +314189396 +2121849872 +628680495 +832664447 +1638456434 +925687757 +1136279950 +1592565382 +1682399261 +1124321088 +1394891645 +854965170 +237583429 +1329791173 +49137436 +2013475641 +75313160 +1084108484 +1580570024 +206515837 +872080026 +738519554 +916988534 +1573399478 +1006263012 +771143651 +1676098366 +648969266 +2124458356 +1527153958 +593387662 +291164104 +1501520182 +1222068158 +1123828552 +992492968 +272267 +112624854 +437574703 +1682671528 +1236945942 +1832466348 +390153050 +1474529371 +1014773873 +439290486 +1340521365 +1090087033 +1523398970 +773607741 +1296602871 +247995349 +1512127295 +66107757 +1821394827 +370906660 +837251408 +1350009545 +1019875926 +814226116 +729679855 +1613263589 +1105390221 +83716390 +687848099 +81735125 +1076209358 +688120366 +194359979 +1513784061 +223308246 +1431305921 +1198766761 +613461296 +758351644 +66056987 +1052751783 +2098873009 +1156144020 +428667105 +724997102 +305263243 +676662454 +89640750 +371371000 +350573633 +460547410 +1208622409 +1700583178 +1480423336 +2022848525 +282779386 +946203277 +980755098 +366495776 +1634051376 +1062490223 +1442705134 +174688094 +1256850202 +809005548 +397996340 +540672475 +2007772309 +1011457637 +1299024120 +2073829296 +2064209420 +1250413481 +1082489669 +345392877 +1975410584 +1387752912 +1022055332 +2065051334 +1759123913 +1372628965 +378115096 +820262674 +925728496 +1858538432 +695627551 +1208507882 +657258062 +1676382650 +1575003658 +143825790 +591389225 +870225144 +318513885 +1848239428 +1679230692 +716510225 +241428255 +1539519354 +1727967862 +1540452375 +1465865002 +1644693634 +643382209 +400871023 +1990086512 +471309145 +1788623936 +864658196 +388876831 +1400264201 +89803513 +766991927 +73043227 +1015532009 +478046711 +768670778 +76556243 +1135304773 +297569780 +1651559901 +1279130564 +888959006 +374301398 +1597644449 +589714786 +2053532090 +166671026 +831143041 +1445567796 +1894638889 +224111769 +763949151 +1391848875 +867493978 +1164820174 +1234451739 +1338803123 +805960462 +2099109935 +1727679954 +58741015 +41429801 +347188233 +131784242 +1056961810 +825234944 +900455021 +1133518054 +1960539718 +1198024801 +637594307 +1092186634 +2086983807 +1011895705 +542347435 +529214945 +917944148 +709018461 +1360357987 +216028296 +456173702 +1584469756 +979977447 +1848022578 +304480086 +2144797622 +934990669 +1643283209 +803274436 +886616957 +1223479515 +862015452 +928046758 +1570667748 +993799694 +1985008568 +248419044 +1894254715 +971042974 +61475114 +944795869 +1608637282 +1153661748 +884296028 +473049339 +1696009183 +1413510974 +1390993487 +257543997 +626385313 +1607021784 +713717699 +63371421 +439515583 +414256629 +367851507 +436829557 +1349247299 +2011134716 +1240103994 +88380608 +1087130583 +2102119446 +1016427366 +510314683 +948435492 +853952286 +758733727 +695206560 +1824995261 +820208842 +1640002429 +1286148895 +1973870590 +376814809 +1759198234 +1522396126 +1790325783 +1002708074 +1779940123 +269227448 +462246210 +346174174 +332598869 +901761793 +760430804 +700450376 +1338591351 +2109678103 +564101444 +431211697 +50575063 +1651232027 +385847495 +1067002429 +14063062 +1334282987 +1920954715 +772796790 +2029489547 +1598466328 +1593005632 +1522008328 +737131575 +1419392574 +1898823138 +348846162 +794305052 +1541665273 +1351554236 +426761527 +1810892722 +1813800446 +772935702 +2143491591 +568078591 +1533366506 +696458320 +1906669942 +1495560961 +1260559764 +190397991 +1546136024 +764308144 +576245486 +465654805 +778371206 +1910528474 +239125872 +1551167996 +1792534373 +1837592201 +996689980 +1167059054 +427240128 +268598907 +918398544 +776086290 +1062903959 +312580169 +2127640526 +1489665487 +2123472891 +1793957324 +115117541 +2119480835 +214552268 +1648484047 +668455507 +2121222210 +996561360 +1929015271 +164136554 +395213736 +545839767 +740382040 +860868541 +1324210974 +503426866 +1099994413 +727895322 +148477592 +790102966 +1724585303 +1315536646 +1217343095 +1993184210 +86451542 +1993429385 +908604521 +399031711 +1973586264 +250786360 +375020955 +1620059940 +365903901 +347018142 +1834612208 +2014387948 +1015473649 +1808350771 +863465660 +797005272 +1972487325 +1258679396 +1342845040 +565385717 +2119547937 +519572366 +1068812584 +1072058703 +1247467688 +1217290176 +1862161669 +824569343 +385343174 +932021116 +670269905 +471794716 +777966854 +1578874427 +870826427 +604069470 +1829660787 +1245847382 +76645762 +48081041 +1592865524 +1911257971 +2062468989 +460855525 +1572125094 +778451002 +1257860798 +1397128771 +2037130398 +453222190 +1962514488 +2009194688 +972794556 +883843424 +933769743 +72778596 +2101133600 +648447764 +897347940 +338993126 +1580468881 +1567617845 +810787842 +210952087 +999008624 +1681614270 +815021557 +681185764 +779978004 +891667319 +729266805 +225359881 +655441642 +644252146 +686215406 +80083088 +1422703148 +1944076204 +1477211859 +1312349899 +249814746 +1292242700 +1174060939 +1222609302 +28602476 +2107830682 +1295387899 +2129736077 +608794798 +45252191 +321245555 +41780031 +1612870036 +1132033398 +252732118 +464395013 +666164020 +1067753675 +1145580777 +1446142024 +1959420995 +1874847582 +1671501905 +467378989 +371616080 +210233664 +547462078 +1794319229 +6826220 +2024673937 +959185480 +256640967 +1169432989 +2133246419 +1479250269 +1198035466 +2093593453 +627154520 +1180287895 +554904603 +672406711 +1501533450 +596684635 +137793100 +486083200 +849416753 +602188113 +1152247220 +1917170429 +1747768890 +450905597 +1729107776 +1475132824 +2122407502 +49003117 +1846748904 +185157518 +596465195 +1493584485 +191983739 +473655485 +305286317 +448624706 +1643088474 +291049088 +1927874975 +693640292 +237158893 +407545848 +1873928187 +792063497 +1079952559 +1227977990 +1388748132 +1217745659 +1714061190 +90681237 +1819933772 +718824763 +2007851666 +1420219014 +1169730360 +1589475794 +747868190 +1144654214 +1638478912 +447133447 +1329811733 +87460459 +1940717932 +1521795472 +561115944 +98520602 +1970420178 +56720771 +389569690 +1750811505 +750361063 +626728584 +10873705 +476805603 +1418792081 +1090826265 +1704783593 +660056565 +161088276 +1271361135 +750737802 +1981022049 +1990185898 +611105821 +1253757415 +1012432610 +53097967 +2001625606 +9603177 +1691576879 +301275405 +1339414910 +1779037339 +94509689 +713726734 +192669635 +193030291 +536663264 +249390406 +582599982 +139991121 +999751470 +1209328566 +150864827 +1476557073 +480636999 +1241691092 +1033857018 +1140693564 +1402779368 +157734505 +1891431366 +1236317769 +436756 +355053539 +342591537 +1012869366 +408151507 +196733495 +1022472543 +2099728386 +498008900 +214403805 +1731282077 +592518589 +928130539 +1923951713 +785548881 +1464793803 +25858471 +1368148863 +1604784925 +1025609941 +429993781 +1755649752 +354683366 +910630780 +849857196 +1388540384 +2051324344 +105152916 +1546274890 +1795272062 +1341470686 +1546711646 +2841954 +1684062223 +412097364 +410993461 +1880795718 +1434569908 +363238199 +231320970 +1648973713 +2094520277 +823839559 +429620605 +1870988342 +1609388440 +1894414408 +1896846813 +830053655 +1351715685 +774973107 +1260047436 +959881789 +1129656473 +23194568 +1809738985 +370713210 +2074518912 +1914891902 +1916988100 +1722307327 +1108878940 +1316216098 +1725149281 +645457515 +1728313462 +2136142742 +378769585 +1015399722 +351897293 +610090555 +516889788 +298933922 +1433930114 +946510393 +22438616 +895834907 +693441153 +1919285430 +1725888562 +2045156839 +546774889 +838452351 +857554980 +1676431362 +861646919 +519810318 +2047144572 +788682184 +287218572 +1816649024 +363505863 +1396097512 +985381474 +2088655144 +2041555027 +566211289 +2077314238 +272840964 +1581611011 +281727883 +882931519 +2098500799 +580661806 +169377985 +897527544 +603100422 +1065212892 +1590968698 +374902204 +643617807 +1488641889 +921677093 +1482070158 +198713221 +450624808 +196233429 +718523539 +350285732 +984915613 +1005742111 +19451109 +1348421476 +254355975 +1004832583 +1289592972 +148427354 +1571043872 +1219423562 +421268318 +1005171236 +1501151446 +1304199837 +956188387 +2081813252 +1473577823 +1853715932 +537430026 +391307067 +1297200982 +912332231 +1034924874 +638359223 +1834009324 +369511384 +837072444 +137150484 +565744814 +1555595984 +487436217 +1550660427 +413854447 +506887326 +751598256 +668210423 +1511719909 +2041191228 +816637777 +935280134 +1113131143 +1237906096 +1940451370 +466798941 +394622285 +749156109 +401128545 +1868200108 +455388393 +938558571 +112023528 +1752589375 +1850890802 +1146948402 +243464950 +1537416479 +1516459787 +1080537395 +1674566963 +2082204601 +488649731 +14519532 +1485381380 +902504178 +521406858 +89495988 +1570714601 +2033126768 +2130687217 +239868731 +820923254 +1096334712 +1477774827 +613890976 +1563133653 +1872397112 +1363047085 +1964262198 +1593113573 +1818435479 +755337121 +1705137101 +1423541206 +458744276 +704601855 +1667006157 +1996160755 +73577994 +600059904 +1523244070 +8298947 +1088709635 +1537763603 +1493680328 +1991213813 +2059170461 +1583176316 +1414444767 +1944813581 +1566379885 +1654313498 +618253187 +515230949 +984604677 +1232144163 +2078364602 +709518141 +447707601 +1895143152 +155148066 +118659432 +502996626 +1860285167 +1542200638 +961740902 +417403375 +1061723147 +810418009 +490981369 +1661783051 +186178431 +499280317 +603009038 +1723942034 +1992960645 +446739204 +1635628848 +1428653313 +1861183971 +1432958781 +847549551 +1368013821 +2051211969 +1362780500 +205134850 +1135872484 +1293661455 +914652991 +1583580085 +1041320959 +1069801058 +1702239517 +1544317585 +782602577 +1096956508 +358574839 +1200005952 +11196007 +1168992848 +1690987322 +1672979059 +1355171280 +42783991 +128504449 +931629666 +2035744636 +575243653 +419774866 +1316914301 +288943976 +1852733648 +16980204 +1656957797 +1756461969 +1379760705 +1862092647 +744850805 +525938512 +629261991 +180947243 +1567259471 +1699063049 +1883186760 +964093409 +334181978 +832659620 +1322668248 +1534187931 +843855628 +344177449 +1077691605 +369351039 +1699348729 +1120475596 +497855488 +483494747 +1008736584 +1073099142 +903269614 +178167237 +1362043118 +608519614 +195147442 +871517268 +217497935 +1574908147 +586126267 +962348740 +2100846659 +1215388258 +1143295983 +1520622482 +766967659 +878999096 +337232243 +1101149638 +1711658716 +1659900492 +487853921 +408030696 +2004077941 +1565545526 +777381735 +1555943022 +538537474 +1275237224 +2039437769 +1547274058 +200852718 +795223735 +1725441295 +1562895836 +1403743349 +1920588737 +286929456 +1621241284 +1348013236 +873055724 +436106377 +1301376247 +2088443982 +1579402360 +674515082 +707927994 +310917808 +1011747325 +1809077632 +2022576525 +524164169 +149447905 +283123573 +380758462 +1714993431 +1060505309 +1936701484 +106047257 +188258885 +1828655606 +1653321315 +389111603 +476395693 +1231278962 +1952007439 +1880139043 +1004384052 +91453248 +1353896679 +204913640 +964508972 +1790003056 +1506289888 +905469306 +1221921769 +33321322 +1613397300 +1532839577 +1045068647 +1274991284 +1407932454 +1569232817 +1424439189 +1691056028 +1949991279 +991948972 +604077689 +1739209116 +1097996229 +792336574 +1420381074 +603833896 +1181448177 +1896776767 +1835112859 +985971968 +1629432162 +692013263 +1077425216 +835845194 +896926903 +2041934188 +478364602 +255733143 +799919847 +1700286371 +289054465 +265833499 +1085642301 +1334123113 +1540824784 +346091107 +755872282 +817780325 +2037147135 +558379913 +1809729298 +493741176 +150105381 +760241879 +1286077750 +1570486455 +1364075776 +320042279 +1319779575 +1051704987 +1306014248 +801728089 +1743718250 +235955816 +1637573283 +493161505 +130406357 +2115937886 +748894649 +930326204 +1668740609 +1037949114 +1196159703 +606899262 +224588579 +589500839 +952990370 +980460861 +1407281165 +842653857 +1538840775 +1069526815 +1336395034 +1688946156 +1829768694 +474989136 +1111948964 +1046360822 +795031416 +284244891 +2098065809 +2101045664 +1085972980 +1694300411 +189517832 +576062616 +39978269 +319924189 +544516854 +788872918 +1250250393 +65773815 +1826822032 +298926449 +672673078 +2051410612 +888427288 +1625663448 +884387825 +148224805 +320833657 +275744952 +1217751620 +1657228691 +1964691109 +900036667 +2132217828 +929156425 +1946397489 +779765596 +1213401316 +1896979651 +733327612 +151890648 +1443796414 +922845444 +727953264 +1483774683 +1242769634 +1272470118 +125163953 +345536379 +1338243934 +1951985986 +644462828 +2010917012 +1855912950 +1532890117 +1489096812 +592817127 +1681114922 +1809930469 +868562080 +751382895 +1319675513 +685769541 +1651419562 +1304409693 +1614925966 +1450333403 +2084175289 +680843634 +1199829406 +670019253 +832734282 +496142173 +1592864697 +1560687547 +1979916856 +688150683 +685674017 +2105080810 +1033687063 +2023917951 +1909583148 +1678149891 +1887351315 +1618012450 +1063556360 +1228964479 +63345929 +597187635 +891411301 +931908009 +1348570530 +63603166 +1617677550 +852506444 +1368012859 +1085119868 +155356199 +1304704500 +1765963502 +1355185606 +1974723753 +451214137 +1851327779 +1420104802 +2011901684 +1683760987 +2108255486 +550092053 +1641358149 +994458901 +426526357 +1403457649 +525125144 +166394024 +873986451 +1588681505 +1395358504 +937332381 +38385492 +139286157 +1869240390 +1386956022 +202889323 +1339434293 +91978818 +1570902182 +277070513 +247335017 +728123034 +2043034016 +1602520623 +555363139 +346764505 +1306364754 +1975467941 +211182541 +842642094 +1936239779 +761274594 +336516595 +783215032 +1187800951 +1739974245 +1308340177 +1354194976 +466477048 +749538034 +602069832 +1403809429 +787923526 +741355989 +1125566172 +27395900 +944245312 +317516817 +119374718 +367663846 +594587330 +366709735 +1095786880 +490137698 +1969230359 +1651150019 +836902203 +1128111465 +1479134312 +1048084744 +1970753559 +1267890444 +1809359339 +159786507 +2051105476 +849676642 +1899760752 +1211962005 +56387970 +218754152 +1961500039 +658457802 +1622563582 +601939917 +1399813791 +600646106 +629335817 +196575455 +918162923 +748710535 +564239301 +1512750253 +1115420271 +1660026181 +2002887952 +937166982 +1163692552 +692306507 +2065278447 +495343217 +1740391252 +1888548359 +1763233661 +1402266943 +2048334866 +1666855489 +104459937 +1800611970 +731333847 +160847908 +2019366122 +545350238 +819305710 +1494446056 +1147290156 +71635854 +2095092162 +1776625973 +268211309 +865771437 +377852861 +832450611 +231038043 +1493273132 +344993144 +86442347 +282956466 +1508685697 +778748854 +200751265 +2004028914 +371656458 +2089299624 +1619778927 +1773923401 +1990150842 +1139150768 +1878383339 +1643279164 +1870484615 +2039231247 +1515161639 +268351206 +711053309 +862124047 +1415641362 +782689163 +809732562 +1044783687 +1050900473 +1675503999 +1422636548 +1883351084 +1906542042 +768426032 +80860580 +1992984389 +1051382498 +1589546277 +624249596 +1252133764 +1446091543 +995906054 +1193949740 +918386822 +622345808 +1036616935 +2057537591 +353245499 +532412451 +1780538558 +244993098 +2047574090 +2048889764 +956046407 +762214490 +1317047478 +1738735571 +1571947052 +214347518 +642152396 +1099967403 +1636984066 +378019832 +859025798 +257926451 +458880412 +704526539 +1309308949 +2048426690 +1328776135 +413959065 +1347034585 +177198542 +1607908806 +117937760 +799544350 +497042093 +27991703 +1152789849 +1029454544 +1808530261 +1397782947 +929544987 +1709936378 +206345706 +1691759477 +879500208 +1945081277 +1116222881 +1093847726 +439750025 +68706636 +583348145 +817769857 +927732434 +841274596 +1276650270 +1632258974 +3099897 +1177593312 +813551461 +417058963 +377144249 +990750003 +2024967769 +495082009 +1790294353 +374526214 +523073712 +795600554 +1403980758 +184120326 +45899853 +186042097 +1894056704 +252245560 +1877801574 +626073264 +49843189 +846540807 +1719920991 +489593215 +915247444 +155785488 +1307363072 +1842979878 +997060084 +436529694 +1327755204 +1000159981 +1614123006 +2141306666 +1417218944 +1991267256 +984573021 +1294703065 +338865617 +627383727 +1669229279 +861939330 +1422984281 +925726390 +1046059656 +1468884135 +1111768487 +792632712 +1721129695 +842086414 +1418705976 +1770972884 +1688627221 +991143319 +113082451 +456391017 +1146928807 +1420445524 +151887248 +2143988891 +1856975218 +1479642452 +996665225 +1323614577 +1473465470 +266400521 +1167398185 +310554844 +1561103587 +1506263802 +937938571 +1082849218 +220719484 +213439204 +2008575608 +1266779140 +1682323339 +972860448 +2059411852 +1255969386 +1814946862 +1330634181 +879458623 +1356090435 +174293852 +992541074 +1812481453 +1321222660 +265502950 +1964368701 +1317727903 +2122478169 +1296527505 +166909480 +1298609098 +622509328 +433310002 +318523635 +933064172 +1994413589 +1824787437 +1871002743 +929779159 +2045506922 +2084441947 +790871120 +1164802414 +1619281639 +1763731568 +1076730619 +727767377 +1431194782 +259881152 +1607226000 +639801569 +434175004 +452283427 +304799374 +1755397664 +717786377 +121684427 +925641920 +692780898 +1418211933 +1092551400 +1991389996 +2040721261 +1525861402 +162429983 +826301785 +1372791343 +1987217421 +549820880 +155086855 +1885240695 +486779179 +945957975 +902559461 +2106060818 +562205895 +1979290080 +686344548 +1993400677 +91687584 +146086900 +485718598 +525862589 +598370327 +790517973 +133776605 +1316156705 +912202400 +1059418525 +2008937603 +182930685 +4486278 +1852843952 +76168298 +1530347680 +2015273935 +902470083 +755655376 +1855007708 +1452290963 +910742231 +1592764755 +1939070143 +1856700206 +347840569 +1897647313 +271422453 +179647001 +436508213 +117339482 +271334586 +582595114 +603058080 +797197175 +1180965441 +1393576053 +930973780 +349638498 +158294806 +1990392306 +211092454 +341225491 +1994878584 +2063936406 +417393790 +1377742616 +1931726693 +1319863873 +2133397992 +1639250754 +624671189 +896656575 +1084531861 +416257684 +605873133 +1432372430 +166421349 +877295586 +1612019432 +602929563 +994635068 +1883354018 +1185524677 +1597693149 +533067545 +219006470 +843785554 +1464041325 +568644969 +1002080360 +1306949983 +779737423 +1343305852 +1154344919 +696190181 +1760699642 +384603888 +480433226 +933079867 +370518232 +2119683980 +1557751056 +1267174808 +1056732194 +1974008740 +1873047941 +341620976 +2140430090 +602859880 +1953640408 +595876005 +1597494948 +1689510778 +1781400682 +1047704449 +75094675 +2000407152 +1891490004 +1539136001 +421568473 +746086716 +698602336 +1201305896 +2089392568 +1852947256 +1897496077 +1702608562 +90067496 +230445656 +488204782 +460585728 +202645988 +2045955838 +1727760536 +1259378182 +1872480931 +1453324830 +1600999159 +1865427373 +2056184710 +1407155919 +313819730 +1506196010 +949183050 +2095220412 +406416812 +1024277725 +1948143916 +150423168 +415930078 +222228742 +896509884 +1114532415 +1423534638 +838418805 +819996023 +1173547068 +393543719 +910063519 +1403992724 +881748501 +1370649247 +1606638712 +780220692 +950926136 +718533247 +505217975 +256767318 +172048758 +223161700 +165468380 +1579204677 +536981430 +1671664390 +380904079 +484718194 +2078081202 +1405181805 +285378462 +81020722 +1821111883 +507607204 +977530607 +788160650 +1931141843 +1815949412 +1608156673 +957205263 +62009483 +370736544 +213714339 +943757985 +1741385792 +1820353051 +1723978677 +544828280 +391402650 +81713004 +801595598 +563451408 +304874704 +967063978 +2142656086 +841856134 +491244720 +376076517 +1326574328 +421842275 +1781258322 +1611952790 +502862997 +1454886558 +2119559995 +1480393604 +95563560 +1903218190 +1148859368 +1703720234 +712939805 +1210868852 +2074456778 +926654144 +7143189 +1668358922 +599523547 +1731121866 +65703554 +990926198 +1812834870 +867299152 +1554377606 +2117709574 +1834363130 +1549550044 +812082060 +178124203 +1925626562 +2138656388 +599966478 +1559401236 +1603125530 +1102829475 +866804146 +1575201877 +435739432 +962367707 +1330936419 +1584598800 +518604293 +2043876224 +647984004 +445577423 +823046720 +655127193 +2113936346 +1422570268 +238765411 +32156252 +266012818 +2051600281 +899455405 +1820390424 +2021826207 +586334887 +1222456821 +686424619 +764459090 +1000599735 +677597359 +1364425568 +412517323 +133239242 +319771396 +1279321470 +1708441119 +755510828 +94205529 +891893891 +192625980 +612809822 +788286467 +840609985 +1058387245 +1611333188 +1495737178 +1024839943 +886419808 +1734502590 +1056996196 +1152432626 +1638619223 +1956451601 +825339402 +1512961783 +395302840 +2047796223 +51902754 +1159761931 +900912310 +729500114 +376703851 +1313429634 +862739356 +696475247 +445267456 +423696827 +1451986075 +539472985 +1315590718 +1644612056 +1152282807 +2103877186 +337738393 +63186404 +1567726726 +1833475571 +1088026348 +306662886 +1420494513 +2145022544 +1459095512 +911630089 +1953990497 +136951266 +277108224 +201809689 +37263842 +329010978 +1361571620 +938176152 +1058511092 +1738275472 +104122138 +1921250448 +287267071 +549389594 +197463628 +1739253147 +1088862579 +1513054346 +1236381555 +93661738 +1469447884 +1574119948 +156848143 +889690962 +1260111871 +1244874491 +1196353848 +533122737 +1242413387 +507965712 +1444752826 +1048920236 +644916979 +1721861050 +1250729925 +682180821 +2050872028 +464817898 +1620356973 +961899473 +55609722 +1724479112 +735666273 +342876793 +126385058 +933129901 +2082129940 +1215247638 +298700600 +1171027847 +1308909376 +1768148484 +597664147 +1465757519 +510355799 +1857776019 +563148362 +1706709647 +243415108 +1805561749 +67191712 +1688167934 +706998337 +712108691 +1262545336 +1957728263 +1394289512 +1165933716 +275062513 +867162837 +2127833189 +330672235 +444158301 +716015815 +673549028 +570543360 +1649145716 +608195321 +1785790998 +1947846316 +1779223168 +947216726 +1568511153 +229403668 +265490598 +2078866952 +2087179687 +828638960 +1638092951 +183111147 +486717062 +1705284663 +1871279081 +1193715399 +269909706 +986340769 +1003960014 +1664199218 +4790837 +1279022527 +383878408 +2132624027 +1609694762 +828036709 +701156194 +135760143 +1398580069 +202818262 +743955464 +1036887419 +3180931 +375694984 +1984104146 +1571692084 +605098652 +102111096 +1503075388 +544794691 +930750056 +993684691 +727905838 +1417467118 +551485707 +451701271 +463698870 +821395413 +1438042040 +1467658884 +338110984 +1442832878 +599197764 +721989392 +1427973257 +61408878 +1550026101 +2129129451 +197169021 +801122523 +184464065 +941124485 +1838009942 +187644996 +1316819470 +1674630440 +1759337080 +1921918122 +1776741536 +1114928820 +319229166 +560007945 +2108613512 +1047135004 +1977475063 +512615571 +1498836276 +293690285 +1334010984 +789394668 +1761349170 +1672121968 +84743898 +213063286 +246627712 +1512717155 +274472164 +1796653814 +1494362958 +471641186 +450292689 +1678827024 +1412765671 +140818983 +1866472020 +582101493 +1815449424 +1478325453 +356535968 +1444707312 +445770625 +675765134 +2004715257 +406900489 +1722900138 +1834706673 +919516060 +1074252766 +2128396958 +106043397 +1863647435 +1742262480 +1778165365 +1948391333 +1955325766 +2024793078 +1313624841 +82314283 +1673963244 +660504151 +553955469 +2124255933 +191847527 +1966721140 +117591268 +2058319548 +401338986 +1933040692 +1389161353 +757874954 +1230264357 +1834931978 +1433640088 +1087495966 +94348820 +1009056578 +774718991 +1013864880 +2083309345 +755632302 +1119908277 +1799473132 +350411134 +750589995 +1600380817 +158253253 +627899425 +766522010 +240567536 +154379021 +1427026162 +794523005 +131151306 +1618873689 +613760497 +248742574 +1529709589 +1015099483 +34299619 +771387294 +1772974437 +1264563976 +458835625 +1059130877 +204576294 +553184445 +2068187456 +979295286 +1567049325 +2004013153 +1734927588 +539473955 +1656002637 +2085338722 +1290063950 +1108899806 +96108327 +1917963375 +1875421817 +336675863 +2072342396 +1154964331 +1131198868 +56010054 +626354372 +1744959366 +304752628 +8580314 +612575201 +339052247 +779967608 +238065991 +1603616223 +1238803233 +1297196868 +1808192518 +1791987678 +1217900676 +640004156 +1211553356 +1074430181 +227448096 +1751027311 +582949170 +165303170 +893607613 +1691848977 +261411498 +664087340 +1419787146 +598087361 +588946088 +427267829 +1729286230 +644956142 +1053622201 +1326761948 +949708770 +1062202515 +1939337149 +1288761018 +1842170124 +29919492 +744893593 +933489709 +1327116361 +405602463 +577993740 +397533389 +1045606619 +1789547096 +1471963571 +1273054715 +1393090759 +2054912741 +1438357886 +139214724 +1599278070 +1699769384 +803302064 +871581568 +150373097 +1392248152 +1298849397 +1879659327 +2037204294 +204987951 +1058937627 +839429416 +1267190466 +850791129 +2128190434 +961876942 +880710621 +725600380 +1895366652 +60343334 +1131202843 +325876744 +457876724 +29325815 +2115423840 +1929840295 +1302380530 +1361030951 +1837269388 +593254768 +1500245675 +1289063811 +145540504 +156064091 +13161731 +295913602 +1548312243 +1312011129 +28089281 +1438032889 +1516999080 +1087026909 +129978657 +636705898 +1937818038 +110685444 +1598582841 +671045011 +836285824 +1346465845 +731388346 +1967488667 +1672342589 +1189265070 +1996814482 +1640282781 +971621717 +1151711365 +853830084 +661407457 +1744966133 +206592111 +1950471268 +1890506638 +362656202 +1963633000 +38936592 +1910968445 +1128160481 +67025873 +1201517686 +497675913 +1154052782 +1331496343 +1134381811 +944387172 +1442181787 +585481004 +1615432184 +130983963 +1931946849 +199336882 +2098472631 +1456805790 +1388601952 +1947803465 +949604923 +212740021 +952031182 +1803435007 +874147478 +549513668 +2010027118 +677135099 +292536658 +225199672 +493284451 +331473250 +2136168117 +1621444932 +398499123 +1190202155 +2119120845 +1552551906 +374214851 +1106019008 +349455430 +1816396638 +1691500013 +1964887614 +1947380602 +1475963214 +16740848 +1898369585 +785285357 +1405342800 +1698689402 +1734890280 +1618082821 +503236937 +1390841640 +344746652 +1052750605 +1253385110 +1021881751 +1345287263 +1478584783 +1515166202 +1676760513 +1467269252 +989127486 +2075259636 +509987760 +960764683 +1480327894 +884202611 +2066783691 +1829783325 +553115601 +1610800056 +1647187291 +353012555 +939279623 +1663928140 +103898492 +1724564980 +921787292 +1802587895 +1311971612 +392386466 +158341184 +555329604 +737133118 +1211091789 +1808714715 +1759014869 +408895404 +1139815850 +1126697423 +2085655917 +459601454 +2115824909 +2013431905 +969589214 +929105944 +1346276152 +1853791825 +848405987 +1028575829 +259423779 +311722396 +528279472 +612436334 +1251002019 +44723964 +716334827 +828083351 +966511257 +371439074 +2140054963 +1358897723 +529780258 +547900920 +2096030841 +1740872047 +209131987 +1707562062 +2283803 +1348947837 +686775837 +2087939720 +1808549291 +655117098 +1953887977 +630654858 +1584223042 +1152680481 +336963035 +285145381 +33772662 +596386814 +596867777 +562052135 +1208823149 +1847869796 +606776099 +1925157976 +528469499 +1573287356 +149113402 +521040815 +784701431 +678893660 +1068941735 +733248624 +272282059 +1278073722 +293327038 +274565862 +479537911 +980102875 +215021934 +140603554 +1635219973 +21426263 +771258412 +1071959367 +1174106745 +1108221448 +1357104749 +1207879407 +1704608262 +1953972526 +1769931542 +765947763 +1654358675 +229223994 +543622091 +35344526 +1802511350 +692735493 +556385341 +439729134 +1371629153 +1625327076 +1172977758 +1643911212 +755917150 +1466304797 +1918477074 +1235455061 +298924024 +2133499008 +1376058616 +1934143998 +7441624 +2147317028 +858619717 +1181548369 +1108054828 +68240818 +241944128 +665179443 +2022213345 +2011875671 +1431127206 +1529088372 +93616017 +1974749298 +1564432898 +1896127367 +520001143 +2120818240 +188372853 +1891630297 +1598661668 +1361350612 +1388057861 +207095171 +680171761 +1159051288 +1442550232 +979095785 +1145066648 +671125200 +765756135 +1152508272 +670958581 +1624375853 +186572993 +1779013409 +1692616671 +428517122 +296709204 +1567346368 +292909145 +1727836411 +948951092 +386525162 +1555102061 +365900343 +135168881 +2075103204 +339234935 +323541735 +1819249853 +1937896603 +1684892347 +1059824067 +2144991774 +217580460 +71391707 +1440058359 +1196676245 +1216458355 +2111183559 +1962432381 +221482980 +634658492 +1439324586 +408055973 +266188254 +984457609 +836573095 +562897458 +404320330 +1129482240 +143250221 +1353271422 +1516007402 +1698352282 +1719171765 +1651176284 +1625971839 +2058406700 +1974718019 +1297738044 +1848819656 +1512126718 +210078463 +1846327782 +1729707178 +281470170 +1138902493 +778899775 +1497928526 +1102602405 +593848508 +1719411506 +1737260897 +2033173094 +2127467479 +2003449151 +870147056 +816556927 +418862962 +1274467386 +1946039167 +562113183 +480255160 +1314562922 +112981818 +51943278 +818255558 +1738953657 +2110349978 +645489929 +889208053 +1811685986 +10132999 +1099286517 +1510530121 +1739840177 +1380756687 +501948966 +371256304 +731201565 +1604551371 +965104813 +303129423 +1194328621 +850794259 +283113255 +1050294124 +1720941315 +1099670182 +1469157086 +847925053 +898225701 +2031270270 +1328180214 +65304975 +2144252088 +1380123492 +883560533 +1735722097 +1342989822 +1529050462 +477446502 +1007192161 +1539183461 +1576733019 +370238634 +1131539990 +810006059 +872187600 +1502796295 +1541207624 +329255324 +320417460 +1844337048 +1523583945 +1171211719 +2127450303 +426394421 +744669387 +1079636837 +1895551508 +1592594440 +1977862538 +1779338130 +773291006 +2043167514 +1776106570 +5930850 +779244399 +1364345019 +1348920673 +160811214 +1841791521 +208629186 +1699994675 +1271040893 +578867820 +684051018 +2081046952 +1451055420 +39363665 +1474770928 +1780310744 +359781125 +1171624328 +1156411041 +1530992844 +1151590983 +1582805463 +128178583 +83744172 +1330873323 +1720773024 +2061606711 +962727805 +346580382 +1957290577 +591350727 +352511233 +589051328 +1955695746 +1701431906 +749862542 +1650003619 +1910061092 +302373570 +773560864 +341445264 +986424588 +707124168 +1792500684 +1025788253 +34411449 +1425327781 +1385569378 +1206035777 +434255174 +769078574 +210143113 +2017060637 +897257158 +293887285 +1200450312 +470546534 +208010348 +15694469 +817126916 +17817277 +607045196 +1169638149 +606868606 +415257294 +723586407 +1356731148 +2065260914 +486163851 +1659104718 +691338130 +827609115 +498045658 +1398462299 +472626152 +1523833911 +1432873748 +1897953933 +761919641 +491425877 +184725459 +1530998216 +701568990 +54302449 +280771726 +995456276 +1254752761 +751318260 +1203466624 +1270447231 +1568445176 +1221283902 +1877492427 +590599678 +1828152508 +145266074 +1314186085 +1037400008 +63043340 +1800349937 +549021079 +754381470 +480475404 +1047066737 +5360121 +953101556 +423417001 +1438233869 +703571841 +1185336642 +1929659747 +888297301 +568851210 +483745089 +942599750 +849622936 +1479201365 +49868863 +1600941196 +535184342 +1320316094 +1021902725 +1756468244 +1050324874 +1612502403 +1437137104 +1195590948 +779204840 +327053464 +1258634288 +432071129 +876074543 +2013015758 +912546534 +1923141281 +2018375880 +1865648090 +199074634 +1309126101 +421736284 +1384411276 +1091302200 +1310033585 +1953262487 +1575047290 +105149687 +655401775 +906765007 +155018550 +108859324 +1441949349 +1475334645 +1130762049 +1050933945 +378175871 +595780804 +340587401 +1573766819 +1374985644 +667640866 +684917459 +1807056774 +1543715409 +550449569 +572119660 +1319373042 +421341801 +290284102 +1518447676 +1730467903 +712020386 +755375305 +674286455 +2022053971 +561154144 +101850097 +2127203658 +1216555919 +1008615105 +134738561 +1325415243 +303080806 +1610073206 +308693644 +1354014752 +1988249077 +904474448 +1694602153 +1414532248 +131976445 +214759371 +2099449707 +1939033219 +1758474781 +502415628 +363669231 +930364175 +923757430 +653953333 +301328204 +506741685 +1365973720 +1056703509 +1181028140 +1240544043 +1617857653 +1282878238 +1220264054 +686929924 +144009695 +1355002615 +2012345168 +447090501 +817592173 +173555164 +1801105253 +658357602 +1078029613 +1348223759 +2072889850 +1210006058 +1562983130 +2024855909 +1001555629 +1173974263 +379787889 +1365224860 +2104338439 +1303545319 +2019178193 +258182995 +1810287004 +1237668265 +1314886504 +843831497 +330728661 +785260509 +2126709735 +1550992715 +1472190433 +123235782 +758511682 +1337051953 +570326283 +1576103855 +1510607118 +223947889 +86977809 +441153083 +1572171648 +12384011 +1651159141 +987671130 +2037239920 +505231122 +14161746 +269544161 +1870455982 +2118500185 +1573089481 +1742150527 +229199532 +1235892837 +832335145 +1544086036 +2079724334 +1163063806 +181862897 +2058950421 +566572873 +1654053330 +34702555 +1325084555 +843621636 +605028839 +753704762 +206745106 +828976728 +840682571 +647898189 +253664728 +853066582 +151573682 +1241335858 +742822854 +656804804 +1255497604 +1012367015 +379777138 +1226514141 +437972848 +2121927665 +1455713673 +1673865686 +806779162 +852316061 +1606106372 +1969842968 +1034178958 +1517573146 +388932193 +540748641 +1552275701 +1714016748 +1384370277 +9820892 +320237862 +1591115383 +838797620 +1160920433 +91529924 +1092462348 +2013987015 +243103606 +186314559 +609326221 +899908410 +1441812163 +1621693237 +1279685548 +520842657 +2059666085 +1254129565 +1976556330 +1586048123 +2060908728 +681388744 +1044670848 +1883268048 +1715567702 +414760346 +124716594 +108832695 +1967036047 +1838733342 +1493202972 +1976856940 +11487557 +936834707 +668170912 +1172407990 +1028364631 +1760633261 +1038911358 +1271468237 +1946947820 +1648237579 +23892999 +1241276335 +1122447168 +1303578547 +1762118992 +1034629606 +410224465 +1591191675 +473194081 +323649545 +125096771 +1517864929 +59433945 +1840664473 +1932625275 +184150539 +1949497169 +1752177675 +2022883882 +1295216493 +1581550967 +2034371439 +84567553 +102238231 +1059295781 +1112932184 +1862871492 +2098207139 +236916774 +1662335664 +1598961071 +260809773 +756128352 +573924591 +1564388321 +370763696 +1608554197 +1974612786 +1961955371 +2081748279 +150778683 +2087052142 +1452129560 +210212628 +1780232968 +1237271188 +394363168 +1582246489 +841965215 +269763402 +729979334 +276032534 +156651193 +814546887 +378270765 +1215946974 +1927479072 +93658610 +1166670466 +16912198 +1755994274 +618147889 +277721971 +364638978 +1192072480 +1842110292 +735402675 +653143030 +1669239430 +549874398 +587407661 +1820018113 +489442893 +2039537221 +2030230742 +122192213 +1129324761 +277110262 +1704438702 +1971289976 +546873664 +286934388 +99838862 +703524857 +1101481276 +478109628 +1919471831 +881476700 +571768238 +938658649 +898388898 +180278864 +1556806538 +1176110869 +544917843 +601395371 +870737514 +1280320518 +1254538401 +392493296 +1830194916 +1841946062 +65027762 +172154161 +1733999635 +2095258504 +294346374 +715840749 +224885118 +1998785076 +539647077 +771758782 +138235817 +639485940 +1475283639 +1239717093 +1117595568 +1247271822 +2121193793 +1689363806 +38446824 +872099043 +1869642670 +1595253362 +2048209912 +267076865 +49165085 +771463778 +1547397383 +1303703486 +1163957075 +1230108652 +998165900 +1228984837 +1402262813 +584681888 +1176759693 +1696609188 +1300522637 +1401644811 +1547910616 +1840169714 +25919945 +1686146433 +332172006 +1501203584 +778379878 +1449767574 +600991758 +752090023 +991647732 +639438582 +1624189066 +713806755 +87208297 +1524915331 +980883620 +136373382 +148895461 +380797356 +1440076869 +1312852536 +1610906008 +290759121 +394353725 +865685173 +875441009 +1571113418 +414810713 +28479998 +825274581 +1962721330 +1868649713 +851194526 +1501384115 +53338071 +204914462 +132280346 +1503105646 +805906221 +884370369 +347269730 +1445344803 +361075788 +1061076485 +1532553100 +1885991119 +2041960106 +1668926483 +2034886580 +275273814 +961519704 +1200255469 +1886179822 +1252278825 +1594609194 +604381347 +2127719835 +1018238965 +1019192061 +8716185 +1843513546 +834429743 +1877365898 +547224425 +188330210 +1930703970 +752138887 +320610556 +1286325968 +1558045108 +1204980926 +1633595698 +855906264 +1566056714 +547188536 +240975716 +1304564185 +441664994 +1909902199 +1191967117 +716938808 +723938255 +244738938 +455634982 +1976217081 +1839348133 +1060016329 +1956453268 +710103450 +2079208390 +1965169453 +406133348 +766154485 +1695051704 +953357773 +954484696 +1478272026 +1705496661 +1275095252 +617114346 +1116058121 +332592530 +103226396 +1971964385 +1898649244 +650414932 +65456454 +1055729781 +1092079926 +1975358653 +100213251 +1809018734 +551813261 +344952189 +117170068 +380546694 +36816674 +1177186398 +189516314 +746920124 +1108911140 +7202119 +1153053473 +1875065626 +1702253823 +2106411246 +682066674 +1033042201 +1664424259 +1957161926 +1650156547 +632998733 +142270809 +1753382944 +457479470 +2040920053 +256314228 +522935924 +949166187 +1348394155 +350810930 +1049379438 +1009929241 +902624191 +1394331627 +1127099310 +1283170885 +1431148302 +156802060 +1472687199 +30584778 +1265713200 +1479889318 +1183638251 +993295178 +1034659494 +1142565850 +1675361852 +2067701695 +659506461 +1485040131 +1570374595 +1292505194 +1627310940 +1176273891 +1749984665 +1520747345 +1432588119 +125436941 +322429884 +633498626 +476247871 +1371809322 +1643427868 +1378872062 +618657302 +623043530 +514559299 +2049805604 +779845590 +1987246498 +2080390382 +2045558790 +1319652169 +1116544986 +891370321 +206828015 +111627188 +419248525 +127046062 +771133649 +1904288656 +1697420657 +2063638844 +1384115948 +726210900 +1666139861 +757379646 +11315372 +1791576802 +1079809530 +644813998 +120341026 +304135205 +140758218 +1499213088 +922792507 +763801748 +2013772388 +825114463 +1543647338 +1853535238 +758021197 +1441722481 +1025703759 +1874566183 +185609154 +1232531774 +1986193371 +604857679 +1359577837 +609843373 +361662688 +909514846 +525998569 +1745778636 +1635725747 +44654782 +355674634 +1647041119 +1836231584 +1435484165 +144371469 +1956572610 +1739619370 +285129688 +1308302051 +514928229 +1048931436 +1174590791 +1340042692 +445095127 +880642381 +2098063889 +1886817608 +1906346141 +1825146425 +2072426762 +991394267 +1663856148 +529800793 +203488456 +126215873 +891463481 +1113003303 +652214442 +489758470 +601245402 +696869224 +845433104 +100802873 +385617161 +133433621 +245174342 +194706123 +1873052991 +530304030 +1503008174 +240497572 +1579235467 +530115317 +1580540264 +2024330594 +1410757699 +1531120506 +1763664554 +1169620192 +1208783283 +1688607668 +13530811 +725155783 +70924813 +217019268 +851371657 +962388295 +1330022571 +1503586099 +1452146765 +1931267973 +52971676 +150096221 +2032070846 +438588837 +283529843 +129761540 +633294960 +9099186 +660065571 +2136303135 +249596759 +91817390 +518934804 +1830137023 +2116147984 +1929692503 +1213773881 +1732328890 +951829047 +275073516 +1273452910 +965359859 +1000229300 +1344377723 +1182379127 +1851600957 +159282370 +364918050 +1207703408 +1611429135 +148702375 +1260675084 +1761525357 +33289573 +1699263921 +2045055200 +163051113 +185075234 +2054154386 +823116684 +173894721 +156267497 +914934074 +692829525 +1986404521 +883598410 +475038381 +1052694754 +468443652 +1426867428 +1327768271 +1741896562 +244743639 +180513923 +938790638 +1427122766 +2032114880 +1098073008 +1792040816 +1092334640 +562018496 +1940743191 +205526077 +176060205 +1974032764 +1904789998 +73631757 +2137083878 +2089865232 +2127786143 +812716914 +116276305 +136569993 +1727650989 +809105831 +2122974514 +463765751 +1284144212 +1028185620 +932209404 +563527992 +208470243 +526622318 +808271632 +388984166 +1465412956 +87910750 +273615398 +416002317 +1879951567 +1365950039 +978020813 +1673211110 +1571476116 +1154081018 +1499760227 +1328782466 +1227712775 +1489360457 +1271164051 +1208015270 +154593723 +1387440356 +1344585263 +1882244712 +49062539 +1320076129 +198526816 +1333206751 +200778102 +1130736220 +1896734744 +409248345 +1657358538 +557522728 +798232512 +975287847 +645433478 +1071847910 +1391290164 +377901397 +290314301 +221827329 +2051112508 +1861790417 +1375908347 +1403389087 +1043089236 +456137474 +745265896 +166769639 +1664152744 +899859619 +1554209995 +861254360 +634620684 +1603272535 +33846841 +833147500 +788995638 +234624943 +1963883720 +538246734 +643873289 +1473758610 +1095769462 +1442105801 +301562809 +1741202941 +366470063 +1692852973 +2119104338 +656784365 +1914680302 +2022733198 +371091134 +1143105001 +1278638637 +1414180370 +1599242475 +2023904533 +1580950009 +1115911572 +776280505 +987676357 +1977165932 +1410901189 +443465244 +2011012773 +96565041 +1232460882 +98154069 +2060448761 +1770707617 +742027358 +1386723723 +718993431 +36649511 +1688286533 +312712724 +403119574 +1233655858 +284333415 +1059903939 +1000852513 +159582965 +1430995074 +2143957514 +1438221603 +697691796 +1595716342 +1314642488 +131158158 +564144266 +2090922993 +1118834515 +393826550 +1354340534 +1562299759 +257355675 +1450905575 +647276993 +355509744 +1363870688 +270500962 +1097537102 +603110764 +989494394 +1134186613 +143913649 +1302207118 +1537306188 +1377569507 +1586540533 +449726479 +230938372 +1746123499 +1880721553 +227412239 +1036861454 +430929702 +1823128581 +204020294 +562087860 +239789199 +147459640 +1680922375 +633615749 +1501800174 +1095738486 +890971424 +805222102 +1743015479 +1246481169 +21609142 +2013516442 +196534623 +624719906 +855527188 +1330721237 +768633555 +10250658 +720543777 +2146203063 +1596791192 +1170270256 +229657787 +1195431043 +903508162 +457070026 +84808849 +1334437864 +132714959 +288829143 +1896525724 +372504158 +436288783 +1429964451 +1006119907 +1938088958 +378219289 +1897091332 +595827412 +2121234768 +996088853 +617436554 +1987267562 +1192623476 +1242156461 +695311102 +375861065 +2010790016 +705561761 +1096404842 +2009509431 +154869305 +119191451 +91683571 +1350300348 +1022699613 +548753597 +1435109197 +209653829 +681468557 +1723938340 +2106179553 +1053972715 +12743476 +1388660356 +2060092623 +1950832434 +1766879645 +1809700307 +399176198 +1740630765 +658305512 +1016612752 +1580414680 +1850928988 +111285565 +128242134 +79306406 +2122075582 +833803895 +1175711248 +1984101365 +988673200 +1294902699 +2075784936 +191489900 +170118664 +477054886 +1626599097 +379772493 +1158523443 +1203053790 +338468398 +65012510 +1215797266 +1727128754 +2125105133 +1019146052 +1346524751 +1787321792 +1418322250 +939671869 +298143656 +287451354 +372602901 +1588997 +398736920 +500845035 +80895403 +373328854 +1334648931 +1256606651 +209946571 +175838483 +404025703 +138247860 +367328384 +574144367 +615302746 +1993927481 +953916861 +1773826189 +1049497623 +1292385259 +1838838699 +117811241 +872030366 +1816460185 +1136957293 +71071469 +1456298329 +407795895 +1010743338 +1754441986 +695247250 +1383346239 +1756030983 +1093984170 +1884191275 +1836926386 +1467313024 +1071356558 +946049389 +1677259595 +1247195041 +1350075092 +1815507455 +1614523425 +1924219460 +283326553 +1460967259 +730652673 +2057152742 +362981234 +2023037932 +1748507794 +480792476 +747584650 +1417484331 +1617749769 +818656120 +726299012 +2025545665 +1829399458 +333257350 +573309267 +1065262050 +2089288333 +1667293437 +801969677 +1778731071 +987122813 +1873326235 +577296813 +516898760 +973037628 +1927371905 +184922568 +440077406 +1704107717 +468249121 +1901044665 +287276742 +377918216 +116542251 +162831027 +2126426010 +597334727 +910415677 +1396426693 +67600849 +1729071797 +2122725705 +2093146514 +1410987608 +308499408 +518972133 +328766010 +250304093 +38781922 +1130735687 +2029035165 +1025904735 +856578274 +458848330 +1542803495 +1829615902 +238736587 +1727726063 +122209660 +1942844305 +48491537 +2023254325 +82637399 +426409753 +2139796577 +245468426 +405352115 +589647656 +1155884104 +1801778808 +657248505 +737472253 +1777020865 +602911371 +976213 +2085520273 +1121883504 +329742223 +188340719 +1160665426 +1460477910 +69892236 +39086513 +169572536 +528740566 +1581890009 +1999188439 +767477153 +1162132424 +2121398099 +562837810 +1210623961 +1997168777 +645475210 +1637033714 +1989481706 +890943636 +2042385829 +431645714 +2046827740 +1696680989 +1088894220 +636816346 +1326218207 +1691805591 +637792559 +1264254832 +666205448 +967534783 +1452595551 +1826870874 +280529045 +1522487787 +1865957388 +450101582 +2051228353 +1300363749 +301806373 +671221859 +315012525 +275720824 +1234059669 +1525636487 +125405953 +1879534879 +1015186553 +2114887659 +622994868 +910088735 +399049726 +522338960 +459286076 +1487943946 +1159155306 +1785504283 +1032265889 +1796947866 +902275468 +1698471337 +616999001 +207387371 +1377858564 +897528046 +1729875159 +1096332304 +1347629628 +1633619864 +249212405 +1649436001 +157358075 +564224930 +1925156826 +1391417745 +2089861417 +2050562779 +1123468976 +957564323 +2017966791 +1746463844 +1867653058 +269532869 +121319157 +179455486 +1757476815 +1280474463 +1964959770 +642259056 +929938681 +719751590 +193246746 +1546937682 +927138961 +1571105310 +296982081 +509530472 +519953966 +1644611709 +2143150337 +769166371 +1146564063 +153024764 +1333391301 +924237241 +1544442509 +1275769071 +827316372 +520427838 +85849746 +697799515 +119408034 +1953502804 +967332384 +240727191 +2132958290 +577325551 +1521201655 +1950434412 +1219584608 +303656688 +522702354 +1412831354 +1850594371 +1449841316 +836453016 +92804 +1959371788 +1356406982 +1644704513 +1955038477 +2125573353 +643784928 +2108063242 +1311481006 +1568022169 +1505022103 +439766429 +247854894 +2025449941 +525616175 +945654409 +2144857976 +331635331 +1912986794 +238101519 +317109974 +342828697 +1759303174 +120060738 +1562413305 +2062959863 +642763093 +827761011 +1766070586 +2092604409 +1664214027 +1766163390 +1904492549 +873137361 +1263384255 +1712047379 +851227066 +1907169184 +1672626973 +15224425 +1327707705 +1030165428 +454990854 +1575562599 +908131722 +980607030 +373733361 +905506050 +1312242361 +139236507 +1143607569 +1629352335 +482065204 +755427096 +1749413074 +2044478510 +670903311 +244692519 +724755873 +289490249 +189813280 +241486253 +2055653639 +2094305829 +1114623614 +1171554246 +1658869560 +1965850681 +931239782 +1184012885 +1981075106 +111463840 +66694666 +288582312 +1687026439 +974826388 +1269189342 +2060759800 +1880332438 +433948056 +52512659 +876456359 +2063300391 +534577864 +1631883455 +1665229817 +431572726 +155303118 +1909922336 +1156328599 +444793367 +2099735616 +1397814852 +352963358 +2046557798 +364954819 +1524517605 +1557943710 +183321852 +308273739 +594472948 +16913310 +419737579 +661167614 +305495622 +2106764019 +1635994002 +1574684965 +2020040171 +1368842792 +2008633021 +2072552831 +97815503 +1924449764 +459647047 +1729698959 +1442195934 +891219773 +1885002077 +1204634622 +2047548372 +182311797 +1156886591 +1297879577 +535275155 +1055960741 +1662834396 +2059792760 +466420803 +1846156248 +220582852 +1060893751 +1863069558 +640320431 +1722061365 +21081532 +599600802 +1210571719 +1595766497 +472157326 +431930863 +1456915870 +397226509 +529746367 +1233881987 +856873556 +111961678 +528594273 +1748093329 +1996963755 +1733228895 +1648158053 +31791904 +742631838 +798553982 +567067060 +1798592579 +313904730 +479376172 +117529735 +12577330 +699959024 +1178423486 +1875646888 +1340279456 +753001204 +1896728421 +1939880258 +1963572923 +1345011270 +264553936 +248020139 +654443493 +661780445 +777766506 +1888325480 +1518654001 +889728184 +269436105 +1119263682 +739208291 +2002665000 +619938088 +771000196 +597813191 +1418492070 +1338067256 +248922122 +1732396801 +1817443428 +366451857 +1744974131 +369918805 +1544875344 +1473137372 +1710198261 +150392900 +1222382145 +1502594871 +2113965823 +419909767 +1767148808 +214502314 +1074353260 +281445605 +992268820 +815195092 +1800099607 +1881997004 +1084631197 +771879641 +473721648 +939812550 +1391817729 +1244721844 +1537625741 +662826152 +435305452 +1786547863 +247739305 +105265232 +5516073 +1992713436 +475184037 +1550391417 +1318367160 +37898650 +1700784317 +393265657 +1540493522 +1667266492 +813175425 +1160158682 +1881768807 +1887528685 +1441604287 +726553979 +555240130 +1094220246 +461067336 +1639871327 +1866099888 +934788984 +432200229 +1110433969 +32027180 +1969825970 +1773260121 +467332632 +1608890186 +2020999426 +572597864 +1614406259 +1866229215 +1047781902 +1017314028 +1037112727 +1085680552 +570614697 +1430378385 +478690426 +90397541 +96070162 +1638849108 +1972166348 +1983598847 +932969748 +551236680 +391355329 +2027189994 +1012304016 +2031226657 +1745806234 +1947093000 +315943238 +708756556 +1979120180 +138285561 +334533029 +298969164 +1747175747 +208048808 +871567028 +1214098358 +2074278023 +1919348930 +83928738 +963907102 +857545835 +654543435 +246801839 +1336236261 +744940976 +342872001 +827601722 +569623677 +178987201 +1760571470 +1120860357 +570342530 +1640277816 +2133164373 +454085539 +1238600403 +1932773725 +770028778 +1947356959 +1764410257 +908314339 +134406340 +2063379421 +508006438 +342455148 +787462801 +1722104796 +269249523 +559328084 +1806033534 +1233156626 +1416873919 +313093321 +1479958465 +605626532 +1058034297 +1822830467 +1433228254 +1627657974 +2001817668 +1046316076 +601034683 +424676550 +539110245 +586715408 +878762090 +1777710648 +372005485 +1648790868 +1577583959 +2136415742 +409621559 +1711990299 +2052311515 +917627997 +2054445448 +692290669 +492249145 +176211323 +1251618753 +150799031 +1409367949 +521009024 +463892352 +741842767 +1126635556 +1521926649 +417189586 +412380163 +1002100976 +271523606 +1458696239 +1603135659 +696200156 +1997806484 +42367420 +1574962246 +1628033484 +414372905 +1076269466 +1058133795 +403305000 +1485891025 +622640447 +308132867 +256035374 +529602247 +1000423536 +748284519 +705813570 +104558641 +899083550 +2115181520 +625567665 +1362975902 +709540639 +1752203222 +737418904 +1126730225 +17099737 +1739519880 +1398253831 +1475795976 +1195171891 +2094453987 +1326118813 +1237539311 +1521932586 +806668649 +1651912217 +450718404 +1864802445 +2055217217 +1936609430 +339959244 +215866436 +45161156 +869561491 +1216289973 +793445676 +1575375061 +1320848614 +1692529226 +1543072933 +1946416280 +908021481 +105129924 +1551135854 +1645440385 +1231860149 +1568235591 +1237476617 +482630332 +896547919 +285164860 +429600672 +75183084 +1522704172 +1951533258 +881851734 +1027132741 +254768014 +599170531 +934866310 +43893796 +939129775 +1150732746 +89054953 +1808691266 +219539071 +882500629 +1236582679 +1540387686 +427546207 +632171965 +1339320318 +1335567688 +737301889 +742972524 +833524425 +1969162039 +163724467 +2071001042 +304308723 +1060272386 +208682255 +733909395 +1135455471 +1731386427 +537959005 +2017307205 +611035520 +792727020 +468994088 +1545901830 +836620816 +1408123863 +549150928 +925675769 +1069331481 +768690000 +1808176398 +158430512 +161594038 +88238958 +790602477 +1500914356 +1423806646 +1527904367 +96403232 +109847424 +1349582758 +260127699 +33364818 +1653891481 +1320400085 +242047073 +240317229 +308371908 +1973433500 +778276234 +178195465 +436985372 +1571003254 +647189553 +1982887202 +260140423 +2055313416 +384554483 +1185816192 +977161249 +1153244483 +846508943 +1135591762 +1314838521 +934747901 +1926194239 +668269229 +211070899 +1306614958 +764672461 +320918323 +508714068 +1024800160 +354283142 +15121902 +197716597 +596330215 +255439131 +506088506 +422280068 +1033715365 +684283971 +859265440 +457234972 +1331473525 +694668995 +717375395 +1239303293 +1079223478 +1903191587 +68980895 +84984313 +602216882 +1204572657 +1399822834 +1536964783 +983283248 +2068092063 +1748035683 +142414559 +685280876 +2068954006 +651128627 +1710081036 +275753500 +666250529 +1907797633 +872083716 +921689660 +266402491 +1294363784 +1955405026 +950686463 +6145576 +265156350 +134676340 +700814571 +982531745 +1373979633 +1780038049 +738239684 +1442960528 +1865022362 +1340456567 +500049537 +1117361548 +729937702 +1483332786 +1037969963 +330489737 +1625747345 +1723250839 +251960096 +129392324 +1285848227 +527713596 +795642854 +1046162213 +1399797312 +1717332514 +1312564704 +546677448 +1525253892 +115767519 +552823025 +1790410242 +250443859 +1253637596 +625458339 +1624423493 +886191998 +1363698024 +919900373 +603730712 +556670943 +1419949911 +1721092261 +1286608645 +755799049 +611578576 +1617098383 +234062746 +187345768 +1869058479 +363455070 +1473193995 +249288427 +1159097924 +371872560 +1649085740 +728946791 +1684437265 +48279540 +106717035 +1800204784 +601102565 +1897127278 +2050648644 +1854740162 +375101969 +1527588489 +593448512 +1738799993 +300005214 +1197179224 +147987288 +1719955125 +770787837 +1434595934 +328270526 +1382366414 +904210669 +562333272 +1569712182 +625785500 +925788343 +895422529 +875073927 +2084886267 +1267295090 +376676019 +666349410 +804248707 +424955560 +773066446 +456969843 +1026058125 +522710076 +360134839 +733314639 +897812045 +1887723328 +1326763151 +489128391 +40244895 +376458728 +637115679 +1760200020 +1147246565 +2071711613 +2088470547 +382129331 +828438634 +503320171 +1951841513 +1454224134 +1429108514 +699780395 +181814414 +1366511134 +1967075485 +558490433 +2032860544 +623840544 +983445993 +658443342 +1080810387 +2009504119 +1181153418 +1440945227 +595335110 +2078965464 +1181184907 +1922098262 +420610207 +1221429802 +151073342 +1057725886 +834146175 +1298319907 +981953852 +775133074 +1680449239 +1810392486 +1278453245 +1484807104 +1117132973 +560078112 +37103851 +1298947387 +1926589246 +2004179336 +1857437820 +1811966142 +480536232 +693400166 +322925837 +1561346620 +555420637 +1504079255 +854808199 +1150755747 +1435561071 +2035993106 +925370361 +1856171278 +1109939261 +1076443703 +766413517 +1944085436 +227279963 +1748367369 +571734862 +1907729202 +1411276207 +1850188107 +1245052658 +380925532 +262782571 +1282156510 +1679872919 +41888169 +1138852198 +1389827092 +1853854312 +1619388431 +2083227258 +29296501 +1033251403 +491164247 +1533375756 +1888059602 +1641919994 +821453180 +1776569060 +419806708 +530140810 +739024673 +1496250411 +1296554327 +535626461 +1723530374 +897438048 +1107361323 +1483775928 +161230608 +810065783 +581344939 +542156140 +1072848354 +1863501449 +74545412 +1114736524 +854869999 +1464372504 +821107188 +326774782 +1400116114 +850403689 +1360026185 +1891280361 +236295797 +1100602139 +1385716707 +1057748977 +729687552 +1805523415 +1587889788 +1468712225 +1154290179 +736960467 +2004338687 +730336905 +1634398516 +964216362 +66629186 +1795629124 +1774282145 +647974125 +190301616 +699646852 +363991926 +264847028 +1814383376 +1218861925 +1729219532 +488006916 +1545636708 +981851998 +1338410605 +758179245 +725648711 +1574706402 +1858781385 +2111365419 +484971732 +440985289 +1769405186 +2072861520 +1909697514 +776211717 +662338339 +1766552553 +1506548623 +149253207 +583285268 +1573177809 +1944882331 +210083765 +73668286 +2135183948 +909730617 +437660212 +252547328 +576630345 +1656522137 +1981766861 +1064637261 +1054675197 +816135211 +255564218 +1812854443 +1541783923 +1830270621 +1524152180 +1505665694 +167758705 +1965137469 +1127587232 +93136577 +1727351335 +1903798950 +755474916 +1346420241 +1262863925 +904728124 +1929705509 +688558086 +702126807 +2139789274 +762226372 +689827107 +902036244 +1199886584 +942374436 +1478666589 +708925073 +776657649 +395820203 +1763600271 +1592792860 +651384421 +1428971066 +987093135 +334171394 +805639598 +345275181 +501930099 +623293419 +1472862414 +595066676 +203161106 +1229177716 +1350541593 +1549581347 +344557993 +107786069 +1331803208 +1033116079 +809912876 +1324108835 +1795342451 +1499739984 +78661431 +847745387 +294630772 +1557328020 +1556670460 +1071288421 +1953148223 +1172787083 +516597633 +457048997 +454274501 +1503690769 +791220391 +1259914099 +1848965950 +1293150491 +1883207518 +1174344716 +1888217167 +2086368625 +256038784 +1091275112 +1488466324 +600596777 +1199061181 +672785885 +1633712856 +2008974058 +1996894720 +1281571659 +1361230394 +2075556151 +2129317046 +1655861166 +1485400523 +1538503859 +579665939 +1291065099 +563807294 +1096263572 +1748114096 +1018081796 +452470693 +391850839 +130512247 +153952996 +1685001330 +2013719766 +1328297712 +1425734850 +1952604743 +1584336497 +369526314 +1293587419 +37449626 +1568587496 +1966373304 +1671162483 +1430077906 +1815784376 +805250494 +643824652 +1743856879 +787083893 +152202170 +1081773755 +178104104 +731868109 +225355206 +741911398 +1828131681 +1973469302 +1759993194 +133118727 +217836493 +1890505442 +287071723 +1902837824 +1756741560 +1615369435 +1181089026 +1561862655 +1052222284 +1550615340 +707966426 +1089671911 +971719188 +526856083 +613350746 +254313446 +195156811 +1418601240 +898138098 +1939013691 +58201485 +1050340268 +873303798 +236305589 +1782208377 +1098659004 +978216988 +1462856411 +924644658 +590726534 +1595975138 +1142481151 +333748328 +1883046861 +897835327 +2090489888 +1350932648 +2078924353 +1504868895 +255671285 +1482056046 +65351674 +1345343196 +306291586 +592207757 +1958693942 +560605033 +787364568 +1229811534 +1458743131 +578894611 +1288013020 +361599752 +1452198409 +1524318609 +2143808129 +403373765 +355051949 +1459180892 +1328018423 +945778484 +907672382 +323015927 +1279526812 +643235595 +1220851254 +1222533053 +1994168244 +1152291960 +579918300 +102355881 +486864358 +645269974 +1447699077 +793155944 +1237477731 +1258909371 +1353760977 +2024842300 +341237257 +665020461 +456253263 +1629250277 +1026620213 +1908451673 +1006085239 +1022944694 +164341790 +1361137188 +334641939 +1492360214 +159432024 +1242314321 +1815376141 +1438958837 +1885549917 +888743747 +514008242 +1732234513 +2041035707 +1093926542 +1834590394 +380416417 +1739196517 +1134805823 +1173572362 +829190600 +246231546 +379849691 +706549252 +587468803 +1044870152 +1162802516 +69235433 +2071490365 +923770541 +1075320672 +946951412 +1088112331 +288974212 +1281593351 +432988897 +448406237 +376424024 +100881390 +1887365074 +114490293 +989625138 +253889668 +1846724806 +883177197 +1347816210 +1533831552 +1263593615 +939529079 +521153727 +289682329 +1768719680 +767385273 +669532020 +327785284 +1354854077 +1714402173 +1490587800 +1424089510 +1638408890 +266874693 +351926534 +437876654 +1354987025 +640900746 +1719470005 +1787975922 +1089306983 +2095894030 +1888857313 +829188409 +62900675 +730998803 +1083078077 +1909625482 +1614176000 +283410640 +1295973386 +730285967 +1222939719 +1817127114 +1019968296 +844175751 +437028739 +1689500317 +1171961036 +1791882816 +1256418842 +515065188 +1068488678 +747344084 +781939882 +1420415212 +1185220739 +2136926907 +2061315959 +757207096 +1777419181 +1003139294 +705617478 +1518792846 +1832327704 +768518154 +102308001 +767922133 +530659988 +1716484002 +1051332773 +1826633374 +299286321 +126788845 +1496276840 +1319254618 +970964596 +1933305580 +861271287 +2142925632 +1577704748 +2117690129 +510507173 +498709779 +717550565 +1292447055 +1919124991 +1902771304 +1281890314 +1832957302 +512494753 +911825847 +688612949 +1218112231 +283135046 +373457005 +1986630385 +385443047 +1141379138 +369806725 +2101927049 +45228264 +48956452 +253729723 +172017109 +1545233292 +1572984341 +1142981705 +1331055224 +286771980 +1138423690 +761276325 +256978461 +1648930863 +1259986104 +974529026 +793894270 +1031627447 +729816683 +2075784584 +717101102 +1242311436 +840126783 +1405714051 +312940019 +1123261829 +1779171056 +152086757 +1508704877 +773066546 +521893482 +1463148278 +818294810 +570849934 +1716878001 +990311919 +2116083227 +1142378694 +2133293625 +1299654803 +1429150674 +1124233667 +2060931128 +1686129135 +625680882 +1173433584 +513174514 +1419575152 +57577384 +1242991197 +1347876088 +774678486 +337818985 +40519223 +32908889 +650759004 +1163781053 +1812079945 +802845761 +525002282 +437662843 +1324739244 +1988150560 +1255957654 +1895589178 +1557544914 +98785925 +1864188757 +552439960 +84595902 +1016359913 +1981590635 +1208829569 +929807393 +1520236122 +1834510451 +2103240978 +2033410636 +1106601955 +13334714 +1128918185 +306994395 +788013200 +1466737170 +347513619 +820922089 +2117496175 +1511294672 +485518386 +772858288 +2036296954 +923181229 +2097597532 +1876963866 +31655235 +1845703063 +1287025132 +130441161 +1562408172 +1839465093 +215037063 +431284437 +1673572080 +1423866633 +1361091831 +1046324554 +1110893436 +1316849161 +932251543 +70011744 +1330183875 +2061169728 +377006139 +2118197075 +1380423251 +724519758 +791635516 +1350435778 +88330782 +1277153902 +2123294066 +2124627736 +52851483 +2073407951 +1854107955 +84506719 +1771627366 +993649439 +214947880 +1186551890 +685630884 +429984943 +1617836328 +211719316 +1853851576 +831444511 +1258043871 +817261365 +810024 +42811766 +887273109 +1330993899 +2103981494 +1264279248 +1301707326 +1336921097 +1988799007 +2093342842 +539873227 +2077129789 +1223013096 +515683646 +2054273878 +1275864579 +441607949 +1760898185 +1360371298 +65751667 +607063976 +1575319178 +1252303557 +1292694861 +2005304122 +722656237 +1504414177 +1711672050 +1554100748 +614974400 +381449767 +1554910772 +657786166 +1268722876 +738421023 +614284013 +385518477 +2040128349 +1951205110 +226833836 +1985987543 +343594690 +156479977 +1061516991 +859278336 +63270207 +189897923 +1300886285 +1824168392 +1550269221 +1366637952 +283748721 +978104752 +471457861 +1576443582 +835925226 +1194114099 +933374111 +400113628 +600731199 +1548348512 +781563396 +8158324 +58651030 +2050286272 +746579347 +672935043 +288321101 +639224049 +476656506 +515154937 +477727944 +820251196 +671634915 +1539244936 +1679529532 +734905122 +1729142859 +832932169 +411589867 +1131928432 +52086473 +695338588 +2110033184 +523544334 +124298522 +798474762 +1717658433 +1057672633 +1198588391 +170905985 +458537497 +1980151787 +179064309 +517188528 +1882954411 +925643656 +1190123571 +23791865 +1564867705 +1666780077 +538946802 +2042595650 +339547625 +1210581717 +1434356938 +2019077157 +1945486840 +1016016149 +704525678 +209593059 +460933 +756612151 +904931647 +2110494118 +1280156486 +1029230169 +761485232 +850331271 +2086902802 +1960073623 +1021237256 +397956652 +1792741762 +1200301565 +915145180 +1528212526 +2125945222 +2105268751 +1552004391 +1543329279 +1624565181 +2090951193 +1438441281 +1964112806 +1154049263 +725314571 +1835706316 +952052455 +1741330720 +392748346 +1161645514 +1741791654 +1149360498 +2066577161 +1704802124 +282033336 +948323682 +318803708 +1132364607 +887742836 +131393684 +6118216 +1285699488 +1924135446 +1206419781 +53361020 +1304864324 +1184881355 +11146124 +709385067 +580726987 +1635711305 +652852613 +2019168268 +1452340463 +1806901876 +596999192 +1140563131 +611470683 +190846264 +1533311478 +1773116197 +1932637918 +535188328 +1692209710 +1489956394 +817221664 +493049744 +1808760103 +1949586271 +1380792580 +1940153787 +1955704487 +519008421 +1716805585 +1014640621 +572369441 +874186262 +52038328 +583515565 +1583571329 +632765315 +71743222 +88940294 +504449936 +1524083686 +1895842170 +1101449128 +517163169 +359829205 +1292295392 +2050474647 +2132945402 +1077449663 +438179327 +1677671464 +419922409 +1255400991 +23237560 +81198864 +1057503615 +1404030141 +2021352651 +865724454 +1923038562 +1590674589 +1880365075 +347924355 +317377203 +1932403404 +931439921 +1900948532 +417685071 +1003183143 +1989888827 +922135007 +379783181 +1738247349 +2023584135 +896946351 +2098076555 +1168395880 +799937350 +2083538309 +98361895 +1238116678 +1613726126 +518284304 +346034021 +1636963686 +599483169 +1403537636 +893510179 +473352172 +121778443 +669065093 +2064026761 +2002143518 +1016989449 +233920316 +1787063274 +1948429370 +2134868849 +57264698 +804128865 +1977274028 +979399705 +1183912047 +1568037729 +855500193 +2080858398 +1518630636 +2023896073 +733312100 +1454685298 +2122257968 +1971428778 +920927776 +493058624 +169979152 +410407814 +1092541793 +1573516788 +1303917994 +1565893966 +1695295231 +1972983087 +1482437079 +1549955102 +842488888 +1716357396 +1189534728 +643434610 +1703742597 +1246799426 +1447563476 +1533532977 +78715484 +483991875 +954087058 +934215677 +417366625 +325234047 +810628102 +1150678725 +1779919345 +785402422 +974623856 +553363473 +1278461046 +1144603008 +963771287 +223519192 +570636148 +120205633 +1789413158 +118447732 +2093188721 +1124366589 +1668402834 +788193961 +693240337 +710453914 +1431628572 +249499286 +1957253341 +731708400 +1783032263 +2035968825 +1215700275 +589635674 +822700854 +1633066900 +914869721 +1633328956 +636261977 +547305418 +271247730 +1610885833 +1100668891 +1549708776 +608005193 +2064440178 +1773227968 +1178641342 +37162164 +1415157478 +1297089074 +2130350885 +392040420 +818008260 +771061198 +1085280757 +1528462174 +55206122 +1334780044 +1338231867 +786914522 +970328659 +1226717044 +2002614797 +1559964333 +2049417898 +1488198049 +327350406 +1535263206 +2124460027 +874655824 +1806510936 +1587862212 +1975324715 +1208736065 +48383758 +1892281246 +834480385 +1227025100 +1929443410 +102154216 +376630526 +1912310647 +494194636 +1194638786 +535888197 +1579475393 +575617312 +591094320 +766771789 +1913849180 +1378008842 +1737100449 +993082576 +1233139992 +1149581134 +895016827 +573854393 +1476931541 +282796385 +550830772 +204103717 +2089307322 +2138692985 +31944785 +1150559739 +39593095 +1924226031 +1985040124 +1266618195 +1706185793 +2087194340 +1643248721 +1471012792 +433905328 +690403859 +2006900989 +2013380722 +1266021171 +450511661 +632668863 +1032386703 +1828520504 +222285664 +2025469280 +914176848 +1371866799 +773002459 +1488031241 +701314692 +1055798844 +2038862014 +905418409 +997622518 +2030071351 +937363194 +698609 +2069664446 +714105577 +1985738734 +1188798993 +272807722 +1925449426 +684564066 +1743820514 +211871107 +1374967925 +1603237856 +77768181 +493505448 +2053749517 +710437044 +1525892152 +1734786373 +932722709 +1403877784 +501479573 +157105860 +29396595 +1989510815 +858420552 +1085195439 +1880889181 +1763838961 +2082817958 +1763476884 +553718508 +2083516567 +1685657682 +1267824085 +1921771653 +726973027 +1540631808 +1699737432 +1411537093 +1136968674 +1911608539 +639021370 +592722882 +1989376720 +1132526818 +498988752 +552330116 +510935322 +86291477 +1485052825 +1914813106 +587771051 +1642158685 +1944209701 +429798218 +353095589 +881921493 +163203751 +2116934551 +817255803 +1926680635 +523169411 +753288722 +1464854669 +1790993496 +527576728 +44344048 +1184141656 +79830512 +1455881141 +173626683 +1991439051 +2094902511 +766349565 +1833332123 +1079945681 +1265338317 +238178591 +1590881004 +1351629795 +1723231417 +1358210462 +1939400846 +1217906454 +1154936516 +221715416 +1571002044 +2036858009 +384919167 +1540452947 +706630164 +164116154 +2063622358 +1459918886 +1628970823 +1707132206 +1987495614 +1673314871 +743790215 +2067326126 +981712364 +917416898 +1911281529 +929131227 +1683766463 +1597130004 +2009076908 +801621133 +1835308596 +1452474264 +5767280 +1411056365 +663201079 +1945168126 +481479171 +1818137595 +19399894 +2052481215 +1707511956 +404319061 +1445450514 +266658472 +568435215 +1361589224 +1726577358 +49922390 +921237783 +1566589325 +1723237261 +1665027998 +1486431803 +557465977 +434961248 +1250229685 +1486597204 +2118727711 +699876041 +1348190464 +772865196 +387700989 +653181081 +778632476 +1798757354 +1316382160 +576316954 +132752878 +987036107 +595716848 +37750445 +547064415 +1000035909 +1483200960 +813722887 +1568471124 +697306536 +392816597 +1618393514 +1618544319 +1959405922 +1194147127 +1136088669 +1298354078 +1751613104 +1571049917 +401100115 +1090726660 +1542293981 +1100976156 +291433477 +167675529 +1488677146 +944614558 +946308006 +1139950852 +113513070 +1522624960 +1272703730 +1100549177 +2118341809 +1310454176 +1647613592 +970894070 +646171488 +313852831 +391881547 +1343478024 +706669428 +2010275061 +814538696 +518591703 +1056938541 +1950627365 +1816945781 +661067997 +1374193635 +70562248 +1751794658 +769003968 +1171538404 +2043228135 +936679497 +512731902 +840359045 +1882987503 +1652682755 +953872115 +1258128816 +777902837 +2054421292 +1228986977 +2088357013 +1554551236 +52397399 +587044853 +1868404067 +444278946 +1930522878 +427589847 +307070360 +597577926 +946181550 +1364008901 +400721643 +615643683 +2025076898 +1774915278 +686205931 +1629387908 +396435598 +1857744336 +1525132395 +1333115096 +222992590 +218007792 +1068618951 +1875675345 +1171879907 +179264119 +506094535 +1078817551 +1408251096 +446967900 +485885139 +1460648496 +1034012754 +206805558 +1904927442 +817051984 +634395406 +64514154 +1414629910 +1580576956 +1428523055 +1815351553 +48736992 +1306116306 +1442783184 +734942923 +788020566 +1839218782 +445203611 +165669314 +1024850230 +668196202 +383677106 +2093469182 +396387899 +1555557014 +125249653 +902482434 +486890917 +1533500750 +1349450335 +972776057 +846665598 +235979441 +1179581615 +604109392 +1053031425 +1813977021 +668623547 +320177687 +1247070330 +2097146602 +2135529240 +1295807322 +1255779260 +1430828776 +2030750245 +2043799827 +1122563911 +328470209 +61985493 +2147414141 +996666411 +445662599 +2093399675 +1393054310 +2001219613 +71165681 +148053097 +340626883 +1604666431 +1497503432 +1313402940 +303848381 +1733482873 +345500907 +907957773 +639030650 +11994281 +1576581320 +959208337 +1259064611 +1526244275 +947253929 +407388285 +634539887 +230599058 +290654882 +530856066 +1353162969 +619125091 +592841559 +1353093462 +1615791502 +1038504159 +1299009490 +861362165 +892240124 +1370175171 +1009415262 +1232867007 +827357954 +359435046 +398786299 +1131206335 +2092917919 +744287207 +2039164108 +584464921 +756281488 +1468261781 +1543673258 +2015346099 +847022408 +343443539 +275250736 +1481562295 +574042597 +565905618 +2012418362 +1927205566 +1185030710 +457776273 +1132815381 +653338564 +1496280432 +284341223 +1514700729 +241036909 +1654516394 +376632343 +1473903916 +334390700 +736067389 +1872690216 +1465597035 +681501660 +469493775 +1357277495 +1265966581 +1225775263 +678055628 +662156191 +1093637714 +1525078036 +1005599731 +1368888450 +859156684 +1579642328 +1934794068 +724091398 +1359364247 +972341130 +1181867671 +344695980 +1625679695 +530664456 +629037203 +992896776 +771701365 +136069949 +1369529120 +98121633 +470460649 +2105596509 +1970811849 +1936057684 +639614522 +292821976 +1145851531 +1905581103 +1518597239 +1823907160 +420253647 +464751305 +1201501548 +1425853378 +1833639755 +2060658232 +858012058 +1620950176 +637265982 +69892657 +445807658 +1819133654 +414588637 +2071487353 +202314462 +1043625840 +916900482 +974015827 +1179695789 +138945954 +1072137460 +1650156438 +97058815 +895465662 +1438730474 +736673337 +1188287638 +437098358 +494770793 +559401230 +113521870 +915024440 +1024152535 +1315023418 +193394170 +710308643 +1228198003 +1051406228 +183775171 +1865463985 +1121298886 +629582829 +1537113991 +1535887523 +553586535 +1739428453 +432029716 +1470487017 +565960632 +1611725505 +1609432971 +1638098093 +1114398296 +1706491786 +386080107 +405645122 +295681476 +1574367745 +842743480 +790452269 +2133768975 +956265350 +1705476709 +1010437863 +123805121 +1898870879 +1720746506 +1352003124 +802793459 +1904521677 +1069983461 +1924092345 +386620858 +459613805 +1312496221 +940207393 +51558610 +1744525937 +263210762 +617519243 +1208767794 +1872643733 +108133688 +175682442 +1431651872 +494213795 +581327565 +1727333348 +2068581540 +1424071045 +370301969 +2054866868 +232852748 +2075778678 +917821083 +356657869 +1827165909 +491083941 +1708660993 +482475720 +248121970 +631160806 +259084418 +634742828 +1090774611 +1571580639 +1574950222 +1142333222 +1168622928 +1838160984 +1759852465 +229907074 +1563321070 +1867986153 +405589517 +847489294 +214716300 +986917082 +427338994 +135814192 +263504479 +797640963 +43197412 +496357227 +725935993 +961018495 +853015096 +405618254 +1452102436 +414192441 +888093974 +1700224406 +1045353248 +1147178392 +187483587 +2136127859 +571275383 +1762433809 +1130977433 +1739898311 +1453111145 +743346250 +1969805386 +868948567 +463848755 +227911255 +1716437861 +678565055 +1214828337 +2143776855 +814379248 +1478332816 +793934170 +857576660 +1974690044 +1519870163 +1818595156 +680221492 +1925488417 +1123213944 +1094413934 +666098744 +675954703 +2139767182 +1813277136 +863438290 +2128411393 +237068872 +478388451 +1111905179 +1976967183 +1931499596 +1855251429 +1799288921 +652964516 +171616537 +2027200176 +221918729 +850181592 +1094544865 +218211937 +1664560840 +425394034 +1012146107 +374653853 +252600430 +384532623 +45765361 +932821922 +162537392 +1168979305 +2027235856 +828636136 +1844934008 +2019519390 +494429625 +560888650 +2000447136 +731498497 +1039277101 +964868667 +560982032 +823293050 +672636448 +212787306 +1476257566 +844252985 +92503834 +1698176295 +1694434578 +1187048700 +1916388232 +1211511770 +1612442734 +781050692 +1586165623 +1865043164 +1165583315 +1631930984 +650381438 +1328120707 +653426642 +530133647 +9273196 +350877002 +402169389 +503702821 +911765653 +255132877 +1235201318 +1951042754 +1220001544 +1796183350 +626852156 +1892637993 +2008970656 +2103109722 +589407330 +2101474491 +1653802370 +136358260 +1141039543 +1422706954 +1347870031 +605998629 +56273998 +786552006 +323558145 +1221857313 +270999343 +973939583 +402494373 +924425985 +1504073230 +411767569 +1275302987 +1906242620 +915470390 +39584992 +13891849 +3188060 +1990627747 +1233893394 +1799371410 +469996255 +979047739 +1660858419 +425622330 +1568455069 +1614849262 +2079424700 +1704813330 +608405157 +1354648006 +905199713 +1214403786 +1410922005 +1691751719 +1537961931 +485295670 +1962751062 +364417866 +887790043 +739693399 +1868491097 +1299557612 +2014996387 +1627250069 +67544354 +2054581379 +1641141918 +70732414 +1897725478 +727551664 +1870103825 +220238086 +1706599403 +1383478596 +645860416 +1127570825 +850844210 +577801468 +684900507 +1459249367 +1932449474 +1590100220 +526169505 +1195887831 +1134368291 +2064131436 +1681183502 +949635706 +281065654 +421489897 +1689329105 +2073103 +1721047510 +1556841844 +1629323172 +1788591864 +1463939576 +1122981443 +1859324279 +1214181406 +1850533107 +1581944456 +1434419492 +1409648863 +817939404 +2080279908 +389736040 +1668783614 +510597728 +1074636547 +980549333 +295563555 +517253119 +1506718838 +1491451386 +1651621410 +1423366626 +1025151240 +453773468 +1704432280 +1446641138 +2143102574 +1706505384 +1020205000 +1552460770 +1188344908 +661313216 +868916698 +163842703 +373153847 +2083098105 +2014375811 +1955098303 +1370033949 +1276541026 +625554059 +1302830210 +1666277066 +146854025 +1813427938 +593429965 +1127403358 +2108991493 +1110683084 +486638548 +1452959232 +614820846 +1910005174 +330626824 +1068594315 +1466953807 +1777267962 +1064213241 +1025975543 +649989314 +469190363 +66836803 +1311302531 +1338107062 +230679507 +1684456378 +1273721519 +97571670 +1492071034 +496271820 +1374112696 +2117625093 +1799102030 +892906114 +116995471 +1465046321 +1486336079 +1244398829 +1426554166 +449535515 +1731037378 +732029750 +1064356361 +1493558904 +1062656575 +2132950676 +813029063 +692440889 +1049680269 +1839004606 +1342430204 +1518870633 +1905841410 +506249087 +709494047 +2136520917 +43221817 +1983215566 +86608939 +1535292851 +332003738 +1460721635 +1505434297 +2131105769 +206144101 +1622429768 +1448668442 +1692480180 +719344949 +727738960 +2142015695 +302898679 +1459768711 +1058888408 +1796457584 +374941638 +1044355437 +462002999 +1067382527 +2094035706 +153523958 +262329083 +1465422691 +2059365368 +768578170 +27433090 +2048402637 +811799988 +2010648656 +2135011576 +199609191 +195168747 +1448249563 +1705043488 +178790868 +1654393664 +1179989608 +1627459310 +1199390196 +1899334558 +207714622 +1193922243 +54749589 +1667483333 +105327003 +1851207173 +2042424971 +1149682440 +165726525 +962323851 +1096234499 +319250483 +1224652934 +414173542 +231132203 +1993231105 +441606633 +132051192 +657547445 +304771641 +119579120 +857156636 +499940388 +1567828683 +414716477 +678731256 +1074738699 +1594706085 +158706918 +126645247 +1346556995 +366421541 +1320567490 +1401306585 +2033904874 +1425894493 +1105030110 +1928846198 +428093286 +1270756635 +743686401 +1524327785 +1590007118 +1968339335 +1938501327 +1821139321 +1814086792 +232624312 +1953190513 +324150589 +537395954 +2072769633 +1181307226 +1037336342 +1493114668 +1596023703 +1716067599 +420369719 +1043246140 +1874774517 +547014966 +242319488 +93712410 +1867582456 +1643626073 +2127617285 +1145993302 +601172535 +1908979835 +1574086588 +1871929171 +505182588 +950930725 +1314452641 +326038275 +741948404 +988108315 +2140125068 +974572717 +793815180 +316792009 +1511968671 +719101166 +1498099235 +401821365 +64732186 +946639290 +2117888964 +485101906 +1989885431 +1845179834 +1032116872 +84721271 +1938892244 +752215681 +1728347344 +1919025881 +1898208983 +182036231 +1680522068 +1324811923 +2053965402 +38221008 +128259000 +1220934396 +364259284 +870207404 +61559063 +356900704 +1844780121 +855374243 +673692713 +1209265144 +1574475409 +24308301 +1611086510 +1639207596 +970947591 +1581491826 +2124309502 +813349374 +1279188012 +1008942726 +898070645 +1070596609 +1761158407 +478934341 +842138842 +1511883742 +660970573 +375177263 +689212017 +567452327 +413398271 +817471017 +1788386723 +777657555 +1687678422 +1849945786 +1134558259 +1384974895 +557836382 +1808250973 +446756392 +2132311791 +1832559274 +2057842902 +1624035739 +656023217 +1491851080 +1600861593 +1469372592 +623555445 +462320672 +219959589 +1694152054 +75995431 +698893931 +388807248 +1587879174 +1359864504 +763984511 +129607543 +1927316831 +1177382783 +947078561 +1568219907 +1955040338 +487273335 +1270682045 +942114950 +1872248230 +1828518427 +602882275 +171520974 +1813346571 +287957901 +81880228 +1289898662 +943981118 +1573731309 +743276608 +265870062 +49803106 +1205597280 +485829652 +1743955160 +1281592711 +1184723583 +2132762408 +721988237 +397104439 +749263272 +851595781 +176937622 +1926646055 +1798674342 +1745157529 +1734202745 +138464029 +868355927 +528834047 +2010712259 +549390706 +1131716322 +34749586 +215253629 +1419674223 +116629814 +1505152292 +216171694 +1690361123 +100945252 +482041756 +1740164229 +1306542532 +967871408 +1336635741 +440651595 +5111343 +1321914502 +1162639833 +402215782 +2071177774 +2014235614 +579153405 +1850340181 +1665426308 +176827286 +1437059278 +1803890337 +1045183213 +1965893326 +1667118948 +1594573920 +950126000 +1701868534 +1809827549 +222316576 +1818498349 +1167496193 +438488270 +1361375824 +1268441445 +920530026 +954056406 +427500329 +1888401435 +143208499 +868151925 +1893512778 +1465123001 +2030791758 +148244913 +1388817127 +1897543724 +727398318 +1091673660 +1415486384 +904225604 +381249291 +1071893073 +1949408818 +199658969 +591528373 +1396499090 +1149784969 +145913260 +1058842991 +1372101545 +1964411609 +78855537 +1810589815 +1178303785 +1347296982 +583636194 +2132360191 +1774797312 +324553981 +128085043 +495465589 +70583111 +1593208044 +378773699 +218828024 +834541524 +128833775 +946226342 +1926215184 +1544320159 +1850451947 +159980827 +468729584 +1652377117 +359639796 +1060257957 +901392559 +1509424766 +1206171217 +1960235550 +734042663 +1023099178 +2039091087 +397148831 +53919316 +1238904422 +980785025 +38795859 +866218086 +1305339006 +166880902 +1361683675 +1375922117 +1760088947 +1740457374 +1594750142 +447146823 +1869291149 +393492836 +225878359 +1266127660 +96461135 +385859187 +1734857244 +1748838252 +745498983 +647631553 +502747163 +107440101 +1853802771 +315499066 +841482765 +729418301 +207106505 +1238631596 +783337617 +1446010927 +71932973 +822133477 +164745365 +1377271979 +989014379 +1526429040 +605710448 +601619678 +1119402766 +52976942 +1048766501 +841210267 +446469779 +1274644861 +2107337927 +542930914 +1660504048 +1694711523 +144285519 +258519383 +194859429 +647032682 +365959485 +2048662200 +962531748 +1207442250 +630596853 +1169638254 +298590198 +1413934471 +468165533 +370523171 +88584300 +632910899 +1747795150 +1077598679 +11856291 +206021950 +1679218358 +1131259058 +258998893 +580501211 +1972469325 +705468672 +1855146072 +1932323605 +1248399586 +1368166472 +1479551480 +1392685105 +1626685856 +1674410909 +2039717788 +1992645341 +1575589461 +854765888 +1052603943 +58702667 +2024404142 +1351194141 +1472637138 +345086028 +1721717312 +1561221438 +977996927 +1322028814 +491336469 +989853218 +1528050764 +23071179 +2121112276 +1787049657 +603572391 +1946097954 +345034681 +311234815 +1730937911 +1593434268 +1679401288 +1063005743 +838635725 +1158603496 +589933005 +730869865 +1003765189 +18038818 +1585635754 +2056369132 +76741485 +1462556248 +1260079625 +1549378623 +1807642276 +834313289 +963116413 +638155555 +8858455 +1454452883 +1628008774 +1536909219 +1477524062 +1601637402 +1176475229 +2081096453 +1400251708 +1521509910 +244847621 +983705971 +967460530 +1924248909 +2046711715 +1806096256 +935368757 +489161072 +389482473 +1939133946 +507199890 +1975118227 +1848019430 +583941376 +1290190828 +960615407 +2133319999 +950349456 +1794928696 +948952765 +1588505012 +1803787151 +255922000 +1069030138 +1193212722 +1733446062 +523183892 +222204303 +1667058868 +1923435601 +1743714214 +1911906489 +759657924 +563691096 +1688671750 +658885991 +222303704 +476556859 +1148047063 +611786178 +268207157 +1655246954 +439420757 +2116226587 +91704682 +1729611585 +929358346 +77541033 +532477394 +576803394 +1026493798 +2120982406 +233106897 +1282415798 +1042528896 +1426319619 +868378213 +1565712788 +1648523923 +387953433 +1341664741 +1244754489 +152376274 +2101322666 +1808445585 +1841048024 +612725009 +2030749290 +170121235 +1760772073 +495051820 +438328392 +1268535379 +934472577 +407071331 +1360240061 +516600515 +1336429677 +1437781094 +1049077909 +1913233071 +316791245 +1022576667 +2146339968 +1599207043 +2065105563 +1425175939 +320101608 +1483334703 +926216214 +708055041 +677515797 +23487055 +860431315 +631354815 +1831932641 +553995691 +1244079824 +1715198283 +724116926 +857368249 +62766455 +1162445318 +2125903628 +997239032 +1569516649 +1338660041 +1513839547 +758462678 +628957488 +415433808 +524212101 +945748733 +1438010475 +523068421 +397472128 +1355632390 +1948244361 +717573737 +691483446 +726976927 +1425628778 +1368999243 +750463983 +138576446 +2000354058 +434912976 +692572137 +1096950234 +2627611 +1416689064 +1954318484 +65394066 +431650734 +1932738464 +1062633098 +2001167384 +1123914858 +428988998 +612146414 +1752872346 +844422806 +1136358516 +551137431 +134949634 +1659426937 +948609559 +1490582024 +1460187650 +1666183296 +34581822 +39680930 +944328427 +1403581065 +790144913 +1082904873 +1256451475 +1225057889 +1775477010 +205918062 +1227685500 +1044682426 +12752898 +1293079566 +1476333161 +1945491362 +208229016 +1330016897 +921922572 +637218014 +1942163311 +527311270 +1481640821 +931038179 +1078448701 +1616590455 +442981469 +2027058261 +959688831 +1903169119 +1545757909 +994270654 +1942850049 +342602688 +250368071 +585511314 +1425507561 +1506819547 +1810569203 +1053500924 +1712737609 +890771055 +2098183350 +1725490507 +36366973 +1427032863 +1523498221 +244595990 +609566112 +297937146 +881814004 +404245776 +825248416 +215971177 +1335283955 +1903697118 +1832561632 +1778265424 +1783271731 +644766816 +1533950896 +1181545992 +1639037470 +1329317297 +1524148681 +1889405541 +1914828612 +802172594 +1248741440 +1577914167 +1855673518 +813995401 +321201575 +1806373221 +392002260 +357568548 +1085922436 +1915500482 +602164538 +1695488549 +65953980 +1483978543 +2099734325 +891202396 +1699949720 +1287534632 +647415866 +1385027705 +918316409 +283203949 +2029794521 +304783657 +1464749942 +1521348343 +1634100954 +841414975 +1263270236 +1401445918 +1643587569 +364528029 +831876438 +1351777440 +1178523430 +1153078013 +1010667013 +1570525691 +1510646561 +2096589449 +1338542525 +2112811100 +1644594350 +1404496505 +1449305995 +1596845027 +148215253 +1001772067 +736896012 +795631120 +239316124 +1655212421 +1078835069 +121626997 +1959996078 +396101363 +1642975340 +1446613384 +1237516338 +758761929 +700575655 +733620260 +1123289958 +1532452093 +2085397700 +154329740 +538046458 +948581065 +1724855431 +2048693019 +897686866 +915914308 +2014020471 +394797569 +172927165 +1315842818 +1991642596 +321142419 +170131238 +581054960 +1116773539 +409447362 +88783733 +48124960 +531074360 +2048779811 +444226324 +26566052 +1347909548 +1681742662 +785327981 +2048485203 +267879274 +1908617939 +1433453648 +205793326 +2062947680 +1971500106 +1154374391 +1640319463 +1872709477 +2052061258 +408750124 +1739246301 +299375179 +581677289 +907605471 +143534127 +902819708 +1077736709 +724589088 +2019593247 +1487184072 +813372821 +2067718208 +2018258432 +714668985 +364460884 +2044824484 +2062578533 +2046203546 +682668818 +1963580088 +166599173 +443803109 +1249550088 +372392499 +359267141 +1073566546 +1526766891 +1999586605 +798792375 +1431344501 +260853081 +390555028 +1730719680 +842530370 +1298160500 +1874253807 +1745350079 +228413561 +451359247 +1617459678 +1715597633 +1264732069 +1537694238 +1586372417 +1979401054 +1902155122 +1483713254 +1894495939 +1800875021 +18898424 +1710592379 +1967474194 +462701533 +812658819 +192383045 +821968675 +1886225365 +1719149936 +674071632 +537534092 +1003010789 +934924713 +928089121 +586246821 +1777455083 +78765973 +313016981 +1375321514 +307179534 +764376228 +845297545 +2022777168 +2029108297 +235508135 +1461665937 +1861025703 +2137663258 +797895543 +1608037994 +1791054631 +816793967 +1171146725 +1611045177 +1279495501 +1983805544 +1803428222 +2101464176 +1722547261 +1375094511 +628052160 +112597706 +230621652 +1562976873 +1040686827 +816868474 +1192948308 +1119452800 +1129885455 +420786175 +1426632334 +1894261683 +1266083720 +1301925854 +1775886333 +1501591855 +616108144 +1489428388 +1491771465 +1414003687 +949982735 +1135342448 +83314007 +2121129460 +598903977 +1362809508 +1957451357 +254848552 +1316790036 +1532514970 +1629943063 +1944842196 +1645112676 +1860564715 +1360335421 +538315855 +529949541 +405800081 +1657768655 +1659834996 +826586256 +936917342 +1406613032 +2092669976 +91359548 +1035015717 +1446778184 +707467692 +376960457 +791066001 +2121471380 +1326943192 +1926408450 +57301739 +1300589005 +377828779 +1420111247 +1110556714 +632677331 +589417635 +495588036 +115136746 +386776183 +2140700713 +1975701462 +1747111604 +531532920 +358167355 +5428037 +41817928 +2018002352 +832014294 +978735270 +1277131736 +777200622 +1070094818 +164663805 +76495158 +1777562511 +541624262 +867561160 +1751550243 +1868567455 +646485962 +1808851982 +1021672812 +1024314741 +1081479581 +2132229526 +1656992073 +1670897216 +480333914 +1772128819 +2057673399 +473550979 +1600346633 +1657301355 +1005083900 +1958513989 +1662729392 +1046901828 +1829032693 +347260038 +2025637098 +958680781 +1124460661 +948248268 +1123344586 +1200955819 +578327131 +1664968848 +2068516979 +182393726 +1386052655 +567519293 +1991245708 +260241819 +1591834035 +925241641 +244987697 +1101342460 +448655209 +725321612 +725987631 +358844960 +1198872591 +178850617 +2016146315 +56472843 +2137364606 +1531392060 +1103374671 +1818913651 +1878652098 +981528121 +630110784 +855629111 +1929776390 +1753455370 +2056584931 +360619873 +1270940570 +1977618262 +543013600 +509509578 +397653908 +386775660 +769751397 +1989487943 +1312017302 +1014739095 +943346755 +1760672511 +1740060707 +1669334386 +2119517472 +791449650 +1848185003 +1988180139 +847922494 +1838065961 +1372088551 +1951297165 +1509495964 +1103257002 +785341639 +2139606748 +1958886113 +567634381 +1745578470 +1867987396 +928254254 +869035393 +1698122011 +1471267854 +1378544971 +2095775919 +1858043515 +812720 +1937780214 +1022577169 +1015551815 +733643321 +635766032 +608128874 +255494059 +607799856 +1399578525 +2103679063 +448496348 +100017371 +1794261376 +1820584899 +2051314536 +1156273693 +776358253 +689172527 +1148396793 +587760719 +1256806908 +746491616 +308264467 +37577515 +1615527009 +2006386478 +1508845369 +846588332 +1954678749 +1219405236 +847401052 +1744975315 +94498757 +1862952868 +331134988 +730264790 +323598094 +586629048 +1338064646 +1723176619 +542824463 +1786560994 +1823193990 +189602191 +1459662246 +1727024879 +1345875884 +88536851 +268713758 +346789030 +676297570 +1525520667 +1093280646 +984562038 +1563098182 +561324007 +843464868 +924459903 +1407912339 +650659970 +2143865140 +107829743 +248151637 +90880249 +1970782611 +579286626 +821145039 +146897058 +1165915674 +11726038 +1870073677 +1708740137 +1798287032 +1545784020 +1898342328 +1110465630 +1125325251 +1096734565 +1199002482 +1394039009 +1443523595 +1875300052 +772076028 +389320593 +712378442 +187690562 +950644600 +1555843311 +1112150466 +211073291 +59019633 +1108531958 +318903034 +307171270 +1199412207 +142201998 +886457896 +2020557247 +289099056 +2052373570 +2032283285 +11689085 +1613630059 +1683086669 +1557473105 +1364488740 +646068652 +535314708 +313739657 +1845071134 +1929353718 +1757263252 +1572887538 +553946098 +2146583845 +137782333 +741636661 +949744797 +1693625644 +1853787127 +1160818088 +1752645277 +814835437 +1479721122 +2059816547 +2014247644 +1621923120 +798790796 +1887321243 +1911022176 +703680718 +1772120880 +1922711262 +169827130 +1307723902 +1332700719 +1534315870 +1953792554 +1868015428 +1848055527 +1651380040 +1649885498 +1457835131 +1076783930 +56347948 +1456935328 +1214566263 +797984609 +259196477 +760708259 +504288088 +1420014565 +365869888 +1319123525 +752252039 +278202788 +1185887522 +226691512 +1076993584 +925725117 +2137713688 +1780674302 +550362350 +1912941302 +1950501432 +1858086252 +1098158374 +1337333654 +1664395158 +818690154 +1037905533 +1168291550 +321092004 +348257016 +97591832 +377439952 +1805192344 +1312158096 +1175424562 +2064388821 +2072866355 +1679712650 +1336919738 +291252596 +851352528 +2089171778 +569455384 +2037240050 +168379642 +1646448968 +815481519 +158609682 +1279639622 +1365843869 +2071550985 +1082657407 +1076446473 +1022225711 +272507413 +593357983 +1840915865 +1310412947 +1761649533 +14524221 +1658669963 +1859241366 +391964173 +1316378660 +1023915814 +1567388735 +1233283833 +949298521 +1099617738 +422719924 +1240551117 +1950970266 +364408054 +1810006501 +1840726668 +532787696 +1308971821 +508724539 +691397378 +441127796 +1874568409 +615464715 +1523785203 +803531234 +1637690426 +1796292616 +1396889218 +1331122643 +959221915 +1011055103 +1345646864 +470408231 +722812821 +1737611038 +1786786891 +1746728635 +1157516125 +872587076 +548543509 +109650215 +1295307000 +1789094626 +2060620481 +1659715054 +1451617480 +1753863501 +45019102 +613105653 +115104393 +736416481 +1054233449 +1989672802 +1351881196 +430535004 +645720388 +842087975 +79343973 +2042609606 +25726970 +1038565888 +906181062 +1371373835 +1508974119 +1628993883 +961501225 +1148277362 +1228238871 +2119017350 +2020864439 +1776782380 +81183918 +1168687791 +1418393358 +2141804399 +680919198 +722527190 +1748184253 +725938300 +1335632844 +1863288646 +1462354781 +242382645 +1705477800 +666752330 +672917650 +203714540 +1508840305 +752261623 +98840499 +1534567275 +1790827511 +1005021561 +758457462 +1152317983 +486531796 +1719958687 +153111697 +1714770667 +1691492390 +26492488 +1344069399 +1772676308 +1195180280 +614979110 +1766997059 +1876099478 +1337506300 +1367697664 +454554130 +525655496 +1083502662 +1916908912 +768038142 +641496814 +436177594 +1440955792 +845211355 +1945017899 +45733767 +944051854 +1332101526 +1836561278 +1949073415 +2090558989 +841395613 +288121563 +1663034028 +994507311 +2002892231 +1207042770 +1020999799 +1199477982 +832235430 +68696431 +1814457092 +451748842 +1944795909 +1004479745 +1819446506 +251866392 +1530135241 +755465521 +21291656 +150689735 +1396962335 +457469250 +1591645527 +94690042 +255003501 +1637379294 +1038741896 +1587105027 +1326456925 +840331663 +1530180368 +20368890 +1128453227 +1045730749 +1014876201 +983861810 +105289871 +2035876001 +35856144 +937525302 +2104572432 +1850313237 +1389274144 +1901884694 +707309334 +1061237002 +6267438 +89960927 +1816702523 +27559094 +240650663 +1066181211 +485028344 +1832296190 +1160871253 +740031845 +1322191837 +52129502 +179653224 +501165114 +892461165 +1709833593 +521534004 +2020914392 +608080694 +1536410206 +857292554 +713370565 +1424802559 +893148699 +1650895867 +1381891343 +595978288 +892686363 +1136292389 +1303287622 +1953923366 +1142559827 +1393248549 +1623142241 +1170118921 +1633899212 +541839804 +1655147265 +1318711755 +1702711058 +247695462 +493419944 +1754840560 +427348687 +994585058 +499818077 +2137182280 +1516119062 +373248822 +597779326 +905045620 +1230541376 +1311149891 +182364531 +2123690075 +814562111 +1564255875 +572184715 +1707248474 +553064616 +1875472337 +1513688192 +1695624444 +1121237239 +989346786 +718259717 +607652803 +1531186590 +225923335 +1926364558 +1086414000 +473618797 +272300854 +693770912 +900967484 +1266885912 +1193588990 +890666116 +635521327 +1566837812 +1488445442 +1540566947 +649895540 +652111686 +1722931479 +626101968 +1466673797 +1139703706 +1198286683 +1026438623 +1692768322 +926275373 +392643168 +1240909118 +2047512612 +1381989954 +1959168836 +507681767 +765692896 +37608523 +286562678 +1852106897 +511227320 +558863532 +398394161 +1412194805 +1825749445 +1591983151 +155377273 +313787124 +1011337315 +1643822716 +1854354071 +1661232856 +148450754 +1429801902 +139851176 +1615124551 +422021960 +1338137859 +494079526 +2114790283 +116929584 +886722694 +1208215753 +16958548 +121229000 +1019900941 +524640316 +886921897 +1057509464 +811202994 +591545146 +1568736785 +1370066526 +989939307 +833447942 +1048332323 +434438811 +988825215 +1362119447 +1445776126 +485164283 +1068989871 +959525334 +633615037 +351308125 +1099376510 +101255940 +773330086 +290030722 +595335467 +740636721 +406960306 +1482058161 +1948852474 +423918855 +1603287162 +821269768 +948559171 +342725411 +1878779232 +1759762165 +934270557 +1300032369 +982345043 +1924209864 +2133480311 +2030677367 +211165027 +974821879 +1245313166 +1656941154 +1459986162 +166819389 +468982840 +2093601200 +518127515 +1568359351 +47373492 +1291457601 +1858390073 +642708959 +2032094322 +117866731 +2124767121 +1833463148 +541785586 +1580570635 +507249268 +1490344757 +1923296046 +238544853 +1102623274 +710082955 +1538577222 +2084968318 +486809171 +1524573886 +1968162037 +697974199 +351912117 +1065991555 +207431705 +1811898279 +1232810945 +676414545 +1758015831 +1750938460 +97290248 +1805389324 +894912413 +1955680321 +300614635 +779523087 +2073547053 +277898108 +465502587 +467848991 +1858468743 +972751856 +1958193749 +1634281141 +1211296709 +913333375 +196880448 +602390283 +850818045 +683689620 +2126964169 +671496434 +1381663819 +331392638 +1737487990 +1589095524 +2143290918 +822815287 +118026421 +1753823101 +426270099 +215316670 +1411728777 +1321182512 +23513343 +1712343413 +2100705599 +2097060396 +1990241521 +418724538 +417425740 +1701226617 +1391476394 +228135841 +1188024110 +455289455 +1141469216 +1384904559 +1057679739 +1992287262 +2068594179 +1037160260 +516300048 +1302774350 +1368552899 +106304390 +744386226 +1364360169 +929119677 +862412647 +970699622 +1355389776 +1077729317 +234944752 +529088640 +1101242661 +1947288165 +482310591 +1050819409 +1790046038 +901035130 +1468245149 +1343789007 +145027876 +1696380990 +384329470 +600317332 +690366559 +1769234029 +1657997071 +535170173 +1690344560 +547673683 +1051470221 +845635262 +1916226582 +1157774612 +1590021488 +1133103103 +2086894289 +304950487 +2103802726 +1294800418 +1382679805 +191263830 +1823889058 +336438818 +2138551995 +158716002 +1387258227 +1781114385 +1059751132 +708019729 +977419745 +1204779008 +256917071 +1361749215 +1805096340 +947283630 +983499596 +1315609763 +1482453803 +526360508 +1863283447 +386440377 +1371995770 +1632026381 +1544214989 +814533610 +617645837 +1483625630 +1119484097 +573964915 +630942400 +354680254 +765228745 +307347811 +691119072 +756297092 +466063813 +2078377300 +389927829 +1525814945 +638913381 +1367347574 +583110305 +895830452 +581613141 +240722998 +1843114083 +1565112737 +1556332761 +1178084238 +2091473245 +1272132560 +1564524615 +1315985367 +756675294 +961255956 +2130518977 +1374321131 +297397939 +1102519427 +1948286046 +928340339 +1457199681 +566031143 +1235688150 +835106 +1322328235 +1701751963 +2079212406 +1712256064 +1080083260 +570642139 +932119991 +1663193566 +1466472591 +1513733132 +1903916564 +1162103026 +931362222 +1312765677 +192703617 +875351819 +437414590 +1757228232 +43853539 +1194089884 +571000541 +26888868 +420927367 +868398480 +1129408295 +221729765 +1796738819 +439124329 +787760908 +884943322 +439959435 +2110089143 +439211637 +371688193 +1674861559 +1519294898 +942330332 +459497902 +1035004816 +261319275 +1973231035 +791437732 +1423422302 +757109609 +2104203409 +1616125919 +1632461428 +394134351 +1225870503 +1676314967 +1588224235 +1796871044 +1703203836 +2009151602 +517785876 +685128483 +83397719 +167041048 +1124252812 +871158627 +1051984370 +1564212247 +833764122 +1491196007 +1935900440 +361142034 +863007257 +730747124 +820639936 +1898012073 +992066400 +646387323 +541966157 +268005054 +1403496932 +498685919 +1884130973 +888474713 +892820270 +962517828 +417306032 +333560858 +611905225 +2120509868 +195228812 +1129691101 +658154704 +278626532 +1296732149 +1782407516 +1149785159 +201232871 +1199136116 +1983549282 +1692428879 +987552908 +197207668 +407952488 +1718300033 +1017847604 +158480914 +562882785 +1664234928 +700447071 +830887839 +920248212 +1199132990 +567535164 +1808722925 +2091953261 +1530052992 +78545310 +278030471 +2141958217 +51571530 +473259283 +1124165671 +709726234 +751885815 +273414172 +344650103 +1901670975 +474647044 +1543786219 +1737736609 +19592275 +383855479 +1934944277 +427544763 +2102155512 +805308233 +586025677 +517554649 +322059513 +1286472749 +1348442488 +1242307726 +338122091 +1915977652 +903547003 +282591704 +1298546997 +982092313 +560622175 +1293021566 +1033663844 +1033881459 +269703589 +1743390078 +1785767274 +543117762 +2088040181 +1539954601 +1017764806 +1484342752 +1130207562 +1037357081 +1868198232 +917668191 +1464901844 +1822870096 +1722976425 +2050927522 +192941098 +2045035938 +1189916623 +1541383586 +1139860016 +1528038714 +1309877591 +2043407020 +1810630419 +460940940 +878015685 +223768946 +1753962506 +1911679529 +1257650405 +2023666096 +1507585960 +895934032 +419300210 +1448142493 +288404985 +1437065016 +785001598 +1418612548 +326938449 +505716182 +188797091 +1791840293 +181102630 +1911773516 +1695284167 +374043728 +1809325807 +737717142 +1915427315 +801702175 +118272209 +1077821258 +697625547 +1928902628 +1538762198 +1575641233 +5187926 +1145241056 +1339837114 +1262838332 +1021423504 +699939426 +11288716 +1440723714 +598272 +299693701 +730305082 +785599870 +1718306249 +1057243531 +1291316052 +1907103341 +701600177 +1472418682 +1671393209 +249400696 +1846462411 +1333235368 +987117839 +1614406078 +2134937544 +1105390048 +544743688 +685079443 +886809028 +2083505886 +113237028 +891996954 +1081263294 +1453074143 +7351638 +2102686799 +5529921 +18640354 +1395926865 +6128193 +318334056 +2126231948 +791728063 +2036640305 +1035991831 +2083044115 +1796259998 +1737592008 +1407979150 +1320169560 +1986992705 +1106957913 +505921280 +826626896 +573880343 +493375176 +1932016944 +1118624031 +1178454620 +671342324 +1054646269 +1291691648 +1563339278 +2135909563 +597282143 +1570690917 +2091112714 +602812065 +1589331271 +1339555932 +608940258 +1907665327 +1318304232 +1400668322 +1796821985 +206812415 +1336228789 +1445598335 +1944404424 +596724291 +618284247 +1783913481 +1703682204 +1124205528 +463056729 +130078899 +1617580704 +247590025 +1248702930 +648551676 +918932349 +155865551 +1940243325 +334787979 +144291467 +390041820 +1905478896 +87920533 +992853885 +1347326520 +1427476465 +1601794144 +1107508199 +598297049 +854978818 +756846536 +805109465 +43723959 +54961224 +602030241 +640448251 +673245471 +238460074 +196646807 +1797450999 +701516803 +326725707 +1267548056 +949106828 +1575428637 +1916099732 +1868039177 +1731294189 +1708859409 +55343508 +1875585656 +2098901230 +1960822405 +1963506189 +944271467 +1160665277 +1243499007 +398581963 +120689828 +1841796056 +1253560781 +877536365 +499421873 +1297284741 +932497589 +1101452114 +1937732992 +1605743060 +1339912188 +2134379799 +1255710412 +2041428991 +313621858 +375774820 +843052171 +1889050496 +144390904 +563607700 +1472861037 +1853250314 +618951209 +1200963045 +1804667896 +432289966 +1016985586 +601455715 +1592955243 +113000945 +1000037679 +1713645071 +1954797002 +106114812 +443697788 +306735227 +1403399553 +1376195377 +1408187342 +1193648897 +834454790 +600615882 +1180545049 +2090165202 +494561226 +1494166907 +318456374 +1337613397 +1235733755 +462847278 +1901221098 +561111144 +168613944 +372688659 +1762074189 +1973281840 +804978625 +631576128 +427253908 +250450220 +744577073 +1427291587 +1964095291 +551890427 +1533406399 +260309432 +858625655 +789322305 +1636504809 +119329349 +1982971202 +323475951 +719945231 +1016032603 +266157505 +1214506457 +362715863 +584613879 +404636207 +1598449618 +1047461158 +158373657 +12077115 +1216075102 +531062316 +1774151304 +1041873295 +1336040941 +258243784 +1469127203 +1586491161 +1002820858 +748935142 +1403102804 +1554711285 +134857893 +1663412236 +265853292 +924180198 +1152433398 +385182641 +759667753 +1475909349 +1105127873 +1775700356 +1742066855 +172150682 +2138416219 +179197086 +576786889 +1589382190 +1226658244 +735160546 +1601459305 +295249699 +1266222862 +1228126961 +1337122994 +454780155 +1486370746 +658766549 +2041271316 +341707956 +1407701691 +1296890473 +1896419241 +1542559584 +812819061 +14788886 +319256135 +1965252459 +399971527 +1078923888 +1293678161 +1505099400 +707140596 +888261368 +1677250083 +698073168 +1067458454 +106553324 +139971710 +146633051 +841713871 +1741431015 +441882750 +2107936733 +822074328 +1779005744 +415233241 +160961426 +290288645 +309020909 +502669382 +1697990336 +1605911382 +251604976 +1093066272 +271246796 +266393862 +1412322407 +89015607 +666365389 +343762647 +1382693768 +23981142 +1050903244 +123471488 +1701231225 +1748976412 +1190929943 +1807784549 +1888948122 +1337562994 +502014772 +1482895489 +1779445744 +462467858 +157486169 +1410967840 +877701099 +318447596 +1701256485 +1186722008 +821116978 +1251763173 +645149743 +1072721954 +197345797 +916396539 +1339115816 +1609668205 +1005412146 +2005481206 +1953430852 +240622267 +2029462348 +856850448 +364093755 +1583209925 +458343212 +1555023698 +1243510826 +199807686 +745103044 +1745525599 +1682703175 +377065140 +60509809 +1840189345 +1788032980 +938210908 +11153293 +1341805817 +2124932916 +832270271 +446085342 +622599011 +1904992226 +643431140 +1538995550 +1096624394 +105615697 +396924049 +954621952 +2059046549 +637546316 +836600652 +768413350 +1001640071 +272326929 +1226756562 +409180122 +1515837756 +1426564249 +1154283166 +1113879707 +961783776 +1531348307 +1174389516 +654489473 +1171897639 +2112600424 +665642766 +366219809 +2090049692 +1497913038 +812305151 +565165056 +1255421616 +1455736291 +2104160606 +204562362 +1561351988 +353601007 +1159184315 +1472914890 +991147323 +1995784967 +93844592 +1992787395 +120628249 +1320601154 +254483869 +1636466005 +599681755 +1408767035 +602862064 +1561465532 +792631694 +1777251580 +68471357 +1964529334 +1742368356 +734114124 +183265495 +1684934400 +84543514 +995570646 +102615808 +1339965130 +303823290 +59292767 +1544527492 +1865175278 +412893774 +556228159 +1190606520 +1404041098 +404529479 +1284451112 +1249344845 +525157728 +457568619 +1503828714 +14140085 +1057250374 +765112101 +617002149 +471232258 +1557743796 +246770081 +539703616 +1374789482 +1989138437 +1273817740 +1558054977 +1526589189 +1358361254 +406141975 +1629204998 +550842736 +709965265 +1688497765 +2095370228 +427656896 +2101391539 +504114740 +1618263416 +1357948989 +908644219 +755230881 +459810186 +1433801947 +1212799500 +1963638900 +1447942032 +122566226 +581267354 +2064944181 +593798485 +2139011150 +164230614 +1133502101 +1366316984 +5885403 +259836193 +776888313 +1532474592 +1618197447 +1183030288 +1014195942 +21556535 +1892995554 +555210059 +2116926763 +173168802 +509117951 +473557855 +1791432218 +1867066940 +1382202074 +399179451 +179393479 +668520373 +1611978951 +2143032379 +2116462405 +1734545178 +576816085 +2033922938 +180860015 +568343587 +50669904 +1314362116 +1934660571 +56555307 +1574198309 +564065236 +1589029900 +1044912108 +1747095525 +455742194 +1066468643 +1492607431 +1010952254 +1035911758 +1665776233 +1520070205 +1509469614 +1309724803 +1239653497 +744188040 +1708904255 +1419046976 +1412708414 +1173399558 +1414595708 +1381687171 +760461088 +1991411793 +1268126462 +941321103 +412271733 +1318796366 +108199571 +199448656 +1375351674 +1682397880 +763513893 +816897926 +579826340 +363125770 +1272640120 +1646294983 +1855733201 +136108726 +534723094 +1374025786 +1656178931 +2044192708 +536266941 +748348781 +640897100 +97687548 +19912109 +2053605514 +1271087107 +1434507817 +1287809038 +2031548195 +1278435963 +408451852 +825385651 +1690707696 +1727248218 +933585222 +1890156352 +955116244 +468499455 +506186597 +1772014170 +1048325795 +869312367 +897170643 +547137131 +577561920 +1033279369 +1081860225 +1951587706 +541974653 +978569285 +340371000 +1290323434 +1619466385 +438058548 +1310235543 +1525588252 +1709145655 +597259713 +665913642 +1593210203 +1875695676 +1074365494 +271112206 +1418919724 +654130064 +1204697428 +1161592428 +1609246309 +1673196883 +1667779026 +1233776831 +574039031 +389607745 +2130947474 +1121176162 +967169666 +1016743196 +55552739 +771273724 +1558717849 +1034122024 +1111644724 +701557635 +506104761 +1549703273 +2011793178 +2031693013 +1111365280 +461569243 +550123007 +557091835 +189781271 +1624488501 +828204041 +1608700995 +131134918 +2032901470 +622809776 +1740381227 +1558614705 +143105154 +826674410 +2132653736 +532712899 +810138237 +1106346250 +1499882565 +1826881433 +1161898989 +123672642 +1238115634 +48537365 +1235317366 +1939673269 +554642127 +637536991 +1803982799 +438851492 +1748902272 +118068395 +988974500 +158510459 +307849666 +465979353 +986714501 +1916550662 +597114271 +872132323 +391876790 +190011850 +283263380 +534981944 +1016686261 +268433469 +1067694843 +1826824498 +1374779719 +420093761 +1506222283 +389195061 +543766403 +596854269 +437732426 +1779083769 +389043890 +992374553 +269137113 +45543041 +1431226046 +2018039385 +163611436 +272716898 +29066196 +471461103 +738696251 +1015780697 +240528117 +1335810523 +1887913020 +632404907 +1525822373 +23692753 +1167386851 +395024986 +292126222 +87598046 +74365836 +1666905941 +507691807 +1580588119 +2056101002 +1051458210 +29958740 +346349781 +683058332 +419002630 +1338724334 +952195445 +464545672 +622466732 +822751182 +628157108 +895183630 +851817378 +1099618211 +1633879882 +1867598076 +1340146328 +822206757 +1608027448 +1972551235 +200545482 +1631720201 +992454438 +595570469 +1923846423 +1080052485 +669936305 +1443268717 +1587744292 +103040777 +1351886071 +491718855 +132999517 +1698235852 +1174777187 +552002148 +889476539 +2126972632 +1016547820 +1511943271 +802240166 +1644704928 +259643254 +1654057544 +596839492 +1893523136 +1374171972 +1936985820 +568246245 +834715773 +1762053408 +768791727 +318952326 +607024198 +1364362196 +95315102 +1687076683 +2034298502 +1538583819 +1127337328 +2137339279 +742986242 +1619056183 +122855148 +293738447 +646349722 +674857296 +1183214986 +625838706 +1691405116 +547674609 +1428078872 +1188626397 +807317863 +934652768 +1785465889 +553357351 +161341093 +1574968061 +1121603596 +996056866 +1189537821 +1890395324 +1315009192 +1796562020 +1107273872 +1410324294 +1336155055 +994088726 +801424465 +316008735 +983944357 +1544410708 +1935064918 +1106799506 +1838149155 +433930992 +1781656802 +873880493 +1059769698 +1325578271 +1421555102 +340364922 +366721020 +81389318 +1275017691 +4703261 +634746669 +1436358784 +1579671322 +1756350266 +284932002 +621725496 +1499261942 +1599941194 +270803868 +459052166 +862781841 +1606958923 +1453140893 +1664206306 +1922967659 +289601602 +1061133366 +1710548929 +1396401108 +751798873 +2144479922 +1030574263 +1625679366 +1056765972 +208668886 +899750821 +1397130895 +575389906 +981140139 +524664938 +580093167 +1615886808 +1961023722 +12280841 +1224753426 +98472076 +634006337 +576531720 +1698413270 +904810205 +1035583887 +413711463 +364285481 +341241132 +2077917770 +139769492 +630842734 +991567488 +1850318421 +2027243843 +1743366362 +1847314695 +910334458 +1221562080 +756597020 +1119003344 +2121312901 +6244267 +1694393250 +954969392 +530909205 +127002769 +423372553 +344449279 +139283610 +1648125979 +442921355 +773289948 +77174052 +2141334625 +1678100153 +1112757939 +407562441 +2042385634 +1453999071 +337996563 +34671478 +2084841805 +1329564051 +1884989900 +1964602000 +925446765 +1584820947 +727452810 +2147008846 +193934319 +1846456154 +2120838099 +200178586 +1393365756 +928323844 +731087791 +1520368525 +1351696397 +1075537070 +1659652136 +852338728 +1518458425 +285458436 +929512780 +1512309403 +1963558589 +2042270719 +1919871844 +1858460576 +1348786142 +110384759 +1893132054 +1286144300 +1439948810 +1630638306 +1103262652 +217911928 +1067975606 +1830715463 +217437126 +1261909925 +1529687969 +190791577 +1462088512 +775570078 +1119115421 +45692655 +148454955 +323328170 +1121229726 +1808107091 +1175666899 +492204503 +2093565527 +2105179679 +2004513906 +1909640469 +1999966751 +1776902102 +1620617397 +1201269245 +1887286861 +1366265803 +339929897 +1179752024 +849420462 +1443192550 +1397663952 +1917396068 +1126424365 +1615101078 +1031822345 +508628686 +1805892655 +346427209 +1284198764 +777524429 +392119865 +1432653720 +1100852599 +1513349591 +1093277163 +129035850 +2005554094 +1039359043 +86731882 +1862584353 +801515864 +2086698633 +1492002807 +274649613 +1140484230 +1231806021 +1640915416 +1480414128 +264074397 +342852230 +776123030 +1661738349 +112764650 +1902547395 +1129355779 +1144586996 +263692433 +787764786 +1491014205 +1547891198 +1565289215 +1883134070 +833061270 +518658167 +1249000013 +1926338433 +647694017 +1107070460 +818213828 +734425899 +822171165 +1619729692 +673640884 +166690324 +1894379305 +1814125115 +1398496345 +1387811074 +1147055595 +1662570742 +1730663304 +1923178625 +1176825443 +1843427955 +1678242372 +158697574 +840531303 +1941934805 +946462361 +184061860 +1342342355 +364267928 +2067195931 +27919977 +882926095 +1168712296 +1954258411 +1530620113 +128299108 +624988591 +117562364 +950470273 +97234636 +791203249 +1117160598 +1991613941 +457844716 +368173295 +1231941367 +1604900311 +2030744038 +815121024 +1380595288 +1060085833 +511065331 +911354012 +1218783408 +1351596634 +705805169 +17762121 +1535658494 +2048147525 +382030049 +1455370777 +2076067502 +1264956145 +476599426 +1882842265 +648092610 +604898534 +360347209 +765654974 +1555368808 +457581845 +1556858223 +525045758 +301712138 +2014702939 +893219053 +1533653506 +1472119602 +776479443 +201290882 +705231242 +1836565277 +712356213 +1616585254 +907865037 +2063952847 +174906776 +925627158 +1452127693 +75570653 +1307657207 +760014823 +4154507 +425129704 +1236614249 +1886996773 +1073222314 +1841512783 +99860334 +1838877289 +1249397943 +557442179 +1248251864 +1774443701 +859154317 +1115471156 +520179107 +245324175 +440107110 +1296658550 +446615057 +1145338353 +985740179 +1158971270 +614439959 +1893605216 +1075440469 +789346735 +671748726 +380084515 +864917388 +1979405934 +1140099338 +869071896 +257051990 +229229939 +608585021 +1330274305 +2070742722 +708445355 +1021667946 +1172657018 +1265887534 +122436162 +799617071 +2125041851 +1237907318 +1319796178 +222882379 +1678014429 +468971081 +669497436 +675869134 +1454711260 +1828468707 +1290309093 +1200832829 +756425528 +2079655829 +1872581555 +1136510043 +797089569 +1704503841 +129125733 +1666161465 +1961555832 +358355672 +127262838 +1144346489 +281614747 +835708193 +18530787 +1454271765 +2101595727 +140966949 +106405188 +2079153931 +1378874268 +1426201367 +154552662 +909405049 +1895172448 +824050098 +1585274183 +1202400060 +505035157 +728099628 +255749241 +1261460686 +660271809 +2128330797 +250487081 +1457361379 +1685350990 +379612815 +976039196 +1499423174 +737968487 +1103302035 +496286015 +1019583234 +1939010228 +514816802 +326371351 +1893122308 +655783752 +432776540 +1824792591 +2034658020 +1858977907 +1979345253 +796579421 +1606666707 +655911703 +234369956 +661583119 +1160946861 +962469584 +917332361 +274923899 +1622741394 +898179510 +525410980 +932619125 +436046852 +905023795 +1908658321 +1935470027 +1642992283 +864476708 +284272394 +515091869 +656003289 +799089197 +841463221 +401641949 +1454872949 +1274239761 +78950892 +1342047321 +985734020 +2058296145 +2138626742 +444917079 +566724200 +225513050 +1106500198 +1727671061 +1187982634 +2023832559 +2002594960 +663240380 +774528421 +380522293 +1595859505 +1210575274 +1285546088 +1357034179 +998561653 +781054723 +74027239 +1282834047 +1296146593 +730030528 +2081923244 +2137609814 +1131672477 +1389312545 +1264365927 +1210623369 +583876218 +102616299 +1121435866 +575019312 +547533378 +1688160067 +800532362 +1654033576 +1268347480 +1988514997 +1530382488 +1123458793 +504271729 +157427261 +1503981086 +2100131235 +1368002535 +642043526 +1309681766 +219080540 +1423098250 +1383709005 +1501914588 +571761195 +2113739534 +1436354184 +561887361 +1097928363 +678183082 +1826253288 +161068085 +1262059300 +1928869587 +1282503951 +1837078613 +328919317 +823180370 +490127327 +1982952893 +2091527851 +331158676 +1365851733 +1067502996 +835430406 +1523278995 +424000434 +788077993 +743797882 +1066043960 +2097759759 +962878423 +341658562 +1333985116 +317309363 +913419757 +1300241002 +1753663547 +1475307118 +250685718 +284362981 +1154076758 +411753803 +1546422282 +935462697 +1694257754 +1236017247 +1264382014 +369954477 +1726144574 +1099851260 +313998680 +2057303251 +318219345 +1381501676 +745250009 +1841498340 +1805502110 +1533328002 +437812575 +724062422 +1483604113 +1400690998 +1065720985 +670105581 +1718000361 +1979140742 +1970346584 +1324180260 +1306964213 +73548654 +1608543242 +313557323 +485302457 +1007481876 +1249020021 +32076563 +96015475 +365918387 +402031040 +1822160049 +1465769647 +716029720 +1731979652 +1783988993 +2097531396 +329746013 +1478003685 +1755549858 +1863074015 +1915816260 +332128633 +1199194480 +1169023610 +1397849618 +1869300062 +739540323 +1229506712 +1692162998 +2063720584 +388987277 +1765711652 +1524780178 +702544601 +103530461 +384778406 +1951564622 +135607024 +480793881 +169999361 +537638065 +155470282 +1635769009 +1253667785 +1887449935 +1272274354 +1203715534 +69712300 +602794391 +811781744 +1932786316 +371127004 +1143910377 +984497148 +1540150614 +394276347 +706313562 +132207290 +1623783060 +250992912 +48444226 +2012770337 +2016704564 +1573224404 +567831290 +2120235025 +1958002810 +371912264 +108358402 +291313043 +541911626 +645996467 +446783325 +30196987 +1899664252 +186749612 +1302471341 +955896138 +256461913 +1905265732 +1767677883 +41764581 +128909088 +764104612 +1026261729 +1669059703 +1158380960 +1732575292 +1801266993 +634680372 +1983568204 +1849711219 +499967061 +1852789121 +1275451975 +1067798352 +1825540498 +1085971137 +1439710616 +1933898900 +1377284180 +1981622242 +432411719 +1824067505 +2011819229 +184592324 +2010817118 +1166806922 +1140488462 +119795383 +924589007 +760682697 +161559964 +1053498095 +1524787310 +1187821693 +575074150 +535684622 +772913337 +228857495 +1170364994 +608997894 +2078568714 +1670332055 +314303367 +1206537041 +590646759 +2139843865 +145024530 +2030357376 +1926259118 +1522308710 +1864495970 +211187189 +1198892568 +1728831552 +395779513 +1062226038 +748154826 +1536267976 +1182021421 +1672743833 +149467025 +1343581385 +578758281 +1674254335 +383919430 +1153832431 +62455309 +1156832768 +1382689927 +1232820303 +1765830662 +1313774993 +755668711 +2080134029 +372828387 +1346315470 +2072494246 +517852917 +1229189198 +1851269716 +2040161628 +946201521 +2062456906 +1091570548 +527549425 +310752771 +6312938 +1275704251 +1847020747 +1188334359 +800964437 +1996487773 +384432096 +1379722718 +1523258460 +768351526 +386071501 +1585713770 +1925184294 +1768761428 +671050425 +1543531308 +935052774 +1426719136 +1476181689 +1307881161 +625550959 +1401192288 +1825734078 +1854740157 +1104978356 +1718412058 +653458030 +1019951614 +662498958 +1181007455 +1330704386 +668811896 +309228059 +1030241485 +1857146255 +1110192496 +879245610 +94094703 +342431566 +255020423 +862446230 +728503067 +1840734193 +640146876 +349780848 +364300970 +36194537 +1284833622 +1791020107 +1512376226 +445231135 +269087418 +766084866 +123481565 +2123827575 +1871063223 +1841893624 +629801958 +743531189 +356908934 +1810809413 +2074235575 +1025720831 +2120037472 +956993413 +735383438 +1082746320 +1836239023 +829478142 +1425177886 +2091259446 +1691924372 +6197306 +1784509991 +184587600 +355978154 +1327314 +220782137 +1640811776 +1792347421 +1733158364 +2086042911 +2061434839 +351759582 +62040828 +2037778766 +75339157 +1903934452 +520097076 +818870347 +113359739 +183422842 +745622274 +1139080570 +155976666 +1702615687 +1874464008 +1238722987 +1391371063 +556458502 +516417225 +1335146861 +100899226 +522614531 +972173205 +285486827 +878592685 +973500519 +506268964 +371920813 +618364292 +91943680 +310480076 +532315483 +443703263 +372520905 +422610601 +519042420 +128971709 +942707678 +1337912767 +242331448 +1126130520 +2083535042 +1381412018 +1282107186 +1638667081 +1108392379 +373346525 +882554496 +1664850881 +889763751 +70217710 +1765750108 +1412378282 +1042390915 +2051236935 +143487320 +2015891434 +410022251 +515408133 +486772078 +501965932 +825888210 +1019087561 +945669195 +1198409115 +1441698162 +1464711615 +1327380824 +236922192 +655140735 +1569712273 +1363052712 +591192129 +803640643 +497676251 +82375562 +1912033022 +871022776 +964930059 +1429400256 +1760786527 +1035147769 +1047666716 +1025681162 +2077538684 +951420003 +1169168482 +1945946470 +1361442254 +1684576615 +285234900 +1863408186 +362981177 +1304322461 +661593733 +1561390292 +598536975 +2126305349 +741287469 +835459168 +633962436 +163516094 +51028232 +1225154565 +967156737 +548704483 +1307530127 +731706112 +1419727260 +124976538 +13622720 +1033030139 +1160124307 +1061289436 +2058711301 +1090179343 +2012709439 +1080396135 +888642165 +1226668045 +617489103 +1173877065 +942592584 +980470280 +330715878 +1604186317 +394376925 +929252854 +1583008018 +1135664394 +1764712022 +69486806 +1299180488 +1815740254 +1294641371 +118853577 +216961090 +454687851 +850559689 +1636688350 +579664389 +864182409 +522234841 +1739788697 +1925471845 +433462495 +682484392 +1790697636 +1513858630 +1571126558 +869882034 +2131347733 +597519975 +1812474618 +964334366 +928235854 +1269177287 +1358711291 +1857488708 +704701658 +346892037 +1474717082 +774188464 +1646072525 +1142973688 +2068829836 +1764926102 +1359934778 +376034039 +468002144 +849139480 +955698428 +1332184553 +1371374322 +548003477 +1110172751 +1804836817 +1230487870 +753386739 +1171211799 +654130780 +1623268773 +1155075885 +1251650755 +1288259743 +2119410251 +32402961 +409953383 +1330637894 +1889891669 +1114655041 +1677529931 +1217125103 +1888843505 +1176118808 +212615144 +1810189693 +793561262 +1572549922 +38740084 +1261563406 +274205755 +994438513 +446264312 +1645580077 +1542441990 +1556437063 +1302933246 +625446212 +162340154 +326661397 +1279576992 +1785608928 +1481737282 +383744100 +926385023 +1453663885 +416147061 +1336338406 +636818131 +158555083 +303509799 +166864414 +1375680186 +44869657 +1342983222 +1588295330 +1855059350 +2136544485 +1013361605 +1893799435 +1250624243 +1287567360 +740754300 +1696888555 +785663789 +135712642 +1105841970 +2088597035 +761158855 +1268182125 +267774784 +2040735847 +906307405 +1749512067 +276996299 +1832692428 +1055692304 +693143361 +1021547187 +1692510436 +851698444 +1325056986 +1859374850 +79894982 +1369926643 +1054874425 +1668190313 +1077502346 +1043935262 +534068270 +823818133 +147075857 +1821635630 +1564572433 +1843964413 +459815771 +1700285075 +802322735 +400929158 +313960282 +2070504860 +668703942 +207212482 +829328617 +270732361 +484208781 +514537398 +1326424666 +1177352142 +1536084585 +871451454 +2029050586 +713657923 +583342656 +2108945569 +2083584567 +1638217081 +1629652234 +1013603265 +534668695 +16236856 +1837421398 +681744553 +1837872486 +1254510183 +378225318 +150204609 +807311610 +1180548053 +551133767 +1121271893 +1103569266 +1219837709 +1328484375 +1932897883 +1490570071 +1812693156 +299951633 +669511089 +842561651 +1836036218 +1540962543 +724128589 +402210494 +2124305199 +685590510 +338311413 +1615038633 +167759096 +1351914678 +2223680 +183995952 +1041852428 +683968233 +2021868438 +148878963 +1062193551 +24589399 +956190573 +95257957 +575723166 +2077462466 +1198827223 +1795560876 +1258463193 +984241458 +1138647299 +923672702 +1284193092 +1808158388 +1766234353 +972745662 +1201637283 +342879294 +1374956156 +1178458834 +1028469805 +1713267569 +646013819 +1196228901 +917698599 +648237500 +1380224854 +1959551027 +1332205733 +1254609644 +2108429990 +246915637 +1279199044 +917136916 +342173594 +1854922210 +847115734 +1541000817 +1502999438 +2105578928 +377758627 +494163089 +881767982 +1661951719 +154837829 +500518687 +487213734 +1356475112 +843397981 +1862169890 +387450299 +1871867786 +1427953812 +1033464118 +920613040 +198168763 +1681701618 +153354246 +10236143 +866423704 +1407963890 +2118666133 +1113339341 +539679286 +888319401 +1455512935 +247117849 +1735435136 +849030104 +1750117287 +1693530416 +1226788731 +96796729 +427814750 +741256803 +251634558 +928333437 +1228470537 +1608109671 +1771731418 +943156779 +1995559970 +1496115557 +223626943 +881540440 +269244949 +421795707 +415758411 +422599195 +432031850 +1282182115 +1830563085 +403214335 +248037808 +222758724 +1291533737 +1703550743 +469876573 +879485225 +405097199 +72510212 +425531993 +1631885930 +169306941 +853346743 +225659085 +420941500 +1781680180 +1454129622 +2029051171 +1405927950 +249802754 +1877127493 +754559859 +473429697 +611184285 +1023804808 +895225404 +1026942696 +1446404003 +1327257254 +161641163 +1129483441 +1730471590 +409678971 +1352242165 +874521679 +2113229714 +1822118738 +1754006904 +370843265 +1894628950 +32055249 +2002729196 +2063935892 +885401992 +80904633 +337393744 +519598524 +1535034256 +218961267 +1925526474 +1784837010 +2096088760 +532602686 +110783059 +559789397 +1556407494 +1006008464 +1586732094 +855327850 +185782070 +1748373257 +1984811291 +1916253660 +10568581 +1189569808 +643291691 +2123798295 +864204898 +249814947 +347157913 +611350200 +281870196 +202403461 +527802444 +1167272188 +283308094 +865196188 +1686870712 +1818342350 +1084157455 +1464913539 +1455695712 +1032762567 +1997516225 +1566478772 +1592551965 +1406440071 +425003588 +1031800411 +114284273 +610785658 +632690020 +2099095564 +379555671 +643258601 +1141181724 +1022847362 +619573249 +2005386622 +1272662310 +966731162 +469253175 +1554532506 +1169134623 +997055619 +574321047 +1452442717 +1862251808 +113708111 +1123301420 +798925615 +1578621650 +431513484 +1831688183 +1428654227 +1997992256 +1276756500 +687610651 +275512196 +161073263 +801894924 +886297855 +793763283 +753506841 +1265853526 +1437021885 +1894688565 +141217240 +2056595134 +1752591540 +1413879550 +875842648 +74361067 +820928409 +2044977271 +1071416686 +1395249456 +1349936340 +786184846 +1508957567 +325754112 +1585110462 +940095570 +757267597 +1269314997 +221266149 +607776205 +398587849 +908876800 +883288402 +559661112 +1710771725 +1769586257 +1353424395 +316794918 +887956135 +642962632 +63999835 +1029173375 +552074118 +1816591375 +295569278 +1427916766 +1890952442 +1116497687 +1325410389 +814885481 +364263495 +527863082 +1601070327 +1873221062 +853617194 +1038697141 +665832984 +1610884791 +160528490 +887099134 +71177349 +559116339 +1795975934 +954465751 +1118777451 +1359264011 +576568360 +324718199 +1676058929 +1464524495 +967680831 +1740058765 +346214222 +1519754950 +1409166492 +641783500 +800188068 +1152635287 +1758281187 +2125598458 +1967520768 +2122544682 +505977892 +1421107447 +1848282097 +1359595086 +312320941 +366631433 +822996230 +472849431 +1253730567 +894173579 +1031965771 +902222854 +1848639330 +3259574 +114003217 +277724042 +327977773 +1790062147 +1742248537 +1295658605 +1382637264 +2088462759 +667929907 +644320108 +582762612 +1468117975 +1796955395 +193560151 +1446232785 +1616992515 +168621186 +1952210677 +890616315 +2016903283 +1164322116 +1202937256 +236051068 +1987318346 +1675786687 +1489781636 +734008277 +560268810 +244520842 +435163959 +563528385 +358524059 +712888001 +891506158 +1102558 +307652890 +39681115 +1383739822 +248632001 +707611022 +2028059931 +831394613 +28245350 +1677531678 +1024954765 +1474478135 +1147040546 +1193575951 +1279205165 +2037656861 +1062995586 +296043633 +1093110469 +1299046654 +135878331 +621413508 +641344642 +869886608 +1181682319 +885865484 +1305050567 +1745210704 +1244389544 +2017938568 +489233214 +1245492102 +178107810 +528914330 +481748277 +426739811 +1236525352 +362324560 +1258134425 +1264770702 +2039856238 +135605542 +591765190 +1039413136 +1329181493 +1870970355 +929586349 +244693431 +19530340 +2022696818 +1543740085 +155408671 +496626679 +37601080 +1025295279 +1678308998 +923466564 +182862198 +1276036054 +20372460 +53317118 +1765269268 +1265864563 +231424928 +146699950 +1747612840 +658164739 +1383225303 +2109937400 +1916299164 +500512357 +2002309990 +2051904706 +1092277547 +894239479 +1233602551 +815764254 +1823825828 +1478295982 +835294594 +1699038999 +874552420 +990703265 +48182030 +912153500 +2015998544 +1726491028 +1835620064 +51377094 +855043434 +1855992525 +104694212 +472829054 +974373440 +336119140 +619529005 +574502632 +994283880 +2002754308 +536956384 +763099396 +355783017 +391782726 +667520455 +1448060565 +1286022205 +1901123006 +116341171 +962364386 +1231935341 +951635766 +513919737 +2106487761 +1942339031 +562101767 +871157613 +1810853928 +141109147 +559294029 +1862231022 +996152581 +267802906 +1966925235 +1468981635 +1242176346 +155560727 +2088510640 +1816678978 +1149844607 +1943781300 +206151714 +1912944004 +152080670 +597934441 +432980811 +1600141235 +1883956646 +186620169 +1716482406 +698837384 +1418555510 +520634524 +1212757121 +1377559623 +315489908 +1774858888 +101233588 +2126343836 +1915968035 +660527618 +1841091210 +764636968 +928330524 +1660532797 +86134956 +23023223 +1816093525 +27161948 +1839702201 +818454484 +1970943249 +2045853916 +583914840 +2123023919 +496304709 +1016895651 +1575681506 +232777707 +1203515821 +1144680264 +931615092 +474587683 +1665314789 +2144372213 +1852147307 +1980804697 +1771747454 +1953380895 +1959664885 +1540231841 +466424865 +1653272447 +157385162 +1394755390 +1166321597 +243520118 +1417778613 +834931474 +270682066 +1109997166 +1653385958 +94141667 +1008367434 +89817151 +69681938 +1504672143 +1106712802 +1645363444 +1737449851 +162744975 +642560061 +521581295 +637332659 +160391202 +518469860 +341996318 +2141195899 +142733666 +147893565 +1953377136 +1682965508 +614318431 +1459165935 +1840350670 +2009073821 +478003884 +2083870788 +1279368786 +1312935358 +207069206 +241882304 +818837669 +301210874 +1250249739 +908654820 +370892812 +607438234 +2015367622 +2016256257 +197404437 +30628950 +511332670 +718985732 +667961609 +671723872 +1237455593 +1009957927 +665436123 +1380189259 +1157851492 +471329611 +915671119 +1772169923 +1930495546 +608538141 +1633760096 +261015783 +544925281 +765645234 +1573951141 +751994488 +1007527539 +245305162 +1053205362 +110293630 +1153959982 +1424098174 +717731864 +1021843957 +1292870783 +915136302 +1052472907 +1804203453 +1634122034 +1720434516 +328443677 +724093979 +582908795 +993879800 +2104283239 +1740760287 +1465209411 +872470710 +1365446563 +1248221310 +1481008852 +851723011 +1509237093 +2025934133 +1617368246 +935704586 +630444973 +477412137 +1181009749 +1683650335 +587705767 +187486083 +960264862 +1305437631 +1209330040 +105651997 +73090285 +114319299 +1909855451 +1707212320 +1834753815 +90815480 +283822651 +270178962 +1084695281 +240622242 +2010939250 +402421044 +1113092953 +1228902165 +1650642354 +446618157 +2080625176 +1012395799 +325068642 +1550509774 +1948100386 +955513616 +2027921911 +981626487 +491680303 +468144030 +1169112570 +1451945165 +1773581662 +230958963 +1557597163 +1846671947 +345278262 +1319968966 +1406400619 +32548430 +1410784446 +1690223271 +302727392 +347996079 +1930845513 +166182994 +750417124 +896454818 +1395085159 +253575830 +1343072975 +1328226688 +1265971630 +1668141618 +731252814 +1066588368 +476171586 +611691078 +2048214855 +967851889 +1079835108 +1069843777 +272313407 +705933122 +1300802740 +1829910570 +405121422 +1646081003 +1002395888 +1811522041 +1678629433 +265696686 +1354261664 +1981356825 +613692766 +1137623530 +56172 +1364109890 +2034078348 +1395141331 +1617685720 +1229667676 +575884371 +736173702 +750325646 +1307137186 +1802762070 +1226497232 +1918828264 +1703493277 +46865473 +851179724 +625853407 +319178880 +1557112847 +1926656147 +1605802 +1962234269 +1425253502 +1004001690 +1626272662 +956399287 +1269698377 +833050679 +790272465 +1883391143 +1970674209 +790328637 +1100017385 +1857268909 +37986320 +570219457 +939452937 +613870692 +1306393160 +1689778583 +1921007878 +961671582 +768792167 +1692352494 +517681212 +815657641 +396048570 +1143534619 +1134836521 +1953161417 +922707118 +1136442324 +1767912038 +200476973 +2140444014 +1246701053 +1156876260 +1262658743 +2079751732 +1947148725 +998566238 +1902942293 +589993714 +2098583623 +1612727554 +627980035 +521319433 +404696844 +1241850727 +1827712593 +2094475427 +1015374957 +641900527 +715783947 +560243803 +1159581739 +1531441588 +956292373 +155632710 +518794461 +761970143 +1078339829 +1655236785 +382398533 +1278816802 +1648197152 +1629099586 +288209414 +763372247 +1561367670 +87874492 +1761938486 +1316826315 +677868206 +1713038461 +782070222 +1305848241 +86874246 +1186767066 +400215320 +1914586839 +1133758845 +1415590277 +409003719 +1849542792 +1975834080 +1568585458 +1233500732 +784642806 +1724218169 +1752295194 +1546612949 +655074350 +1260048331 +1929011482 +1933891152 +760761835 +1410627421 +74616918 +1524134083 +824511443 +162491410 +1138588921 +2141337759 +840359617 +704143734 +775924333 +2146207858 +791017981 +1962691399 +398939531 +558121172 +948966596 +1814529808 +967124891 +651025741 +1642880241 +388226702 +1884526473 +280039399 +2112444871 +1489338019 +1826652348 +620035573 +601902703 +1608180182 +406443077 +1362664538 +871323955 +481059995 +739314973 +1695835399 +643551406 +1877903894 +1689689510 +1483911023 +434563981 +318130195 +1482635233 +1225581962 +133337946 +1881574764 +1783703134 +1082304542 +1548620925 +603344378 +1733330283 +1044017518 +991571080 +1470373109 +1324056917 +956532303 +812227480 +1003225617 +1576567876 +1414130183 +463922151 +1983010953 +629311074 +1335246107 +316587300 +1368626047 +883597858 +960138706 +1099046294 +425803720 +296566081 +1533610275 +743933915 +1779201315 +611708589 +877271861 +1513292431 +247928075 +1959576403 +914429708 +851272453 +1545423039 +1958447226 +1842843533 +868312500 +1135020495 +651892188 +1680539980 +2138246112 +80976416 +947186516 +454684616 +2063987369 +1576497590 +1789930723 +233091022 +797639989 +526044933 +1193229728 +1896686283 +951848653 +1489795810 +1282812910 +1695782568 +1121513477 +1894521499 +425570781 +487322260 +2142449575 +237663536 +1401751969 +846238380 +1783086575 +1212715547 +541598266 +503915427 +200252395 +1193490454 +36971760 +191014859 +1274466871 +984158276 +645699475 +1190970592 +413172218 +288146550 +1424061614 +1210812207 +814191483 +469807695 +960014843 +1766040136 +1959603505 +95344105 +1314339056 +933633334 +1989865605 +1739909837 +1420955594 +1984831532 +1977573374 +675223915 +683586264 +1613176301 +1887939463 +1225184530 +2117091729 +2088191858 +271191337 +6579841 +131723069 +1545658208 +990738117 +777422545 +589145152 +1403910335 +1065569095 +2013206767 +467238894 +1879760579 +335530814 +1427253737 +1498317067 +147650671 +1522597843 +665172476 +1081284005 +1364979800 +257598665 +354755951 +1202327684 +87688391 +1029979867 +1885913948 +1700864693 +770435682 +963614831 +1670472774 +711143892 +1234806168 +1677052615 +842866961 +632980728 +520307084 +1620289506 +1222125880 +1924217419 +538374954 +1087848999 +243972665 +270651885 +1423379813 +1671226403 +1768968952 +1571030484 +1046340598 +286657780 +504830841 +263836750 +544256446 +859586793 +1466164434 +631944837 +1889566660 +1204594734 +185325882 +512518694 +20725917 +1855798656 +1223662586 +1255532085 +1385367623 +2066529547 +1888512813 +1905674707 +1539335406 +963155046 +1682408478 +2077710360 +2051004045 +1926381144 +200878597 +1326900211 +1450123899 +1969847549 +750447047 +348980849 +109021682 +1255277889 +612817599 +653278128 +2114864682 +2078982033 +1285222965 +1856947694 +1136093119 +1470548848 +221982740 +1156819037 +1178863856 +1445645326 +264867474 +416747832 +1364691225 +5896640 +174938891 +756542983 +969051686 +1857347370 +686769695 +872572083 +1636244866 +887648292 +51988646 +938885117 +710012194 +802435694 +1287865966 +819033876 +2057713583 +1900683565 +1472312004 +2025094617 +1832181950 +610051321 +1734558663 +820791421 +2080600169 +1956541403 +1977610458 +1111980378 +1254703081 +94994285 +1528728210 +471910658 +100890925 +1703667101 +1228453642 +1069942611 +1413530823 +1915223337 +1942514694 +902292041 +655387982 +1994503341 +1841177158 +1365400176 +649455387 +981559476 +36950404 +559685322 +734759393 +1509262408 +437296291 +419457695 +2119313729 +24371306 +1240249117 +2052430251 +1980912709 +1070375927 +1016926981 +1088132142 +1165370212 +398171543 +1560042800 +1266261137 +2101838644 +641012794 +188720100 +1367885820 +408752484 +2131234795 +122694213 +1064140466 +1978254488 +1963871372 +282056994 +480226227 +797947200 +319007398 +1039911549 +1532706594 +1828269806 +1477207840 +1952164289 +1800099887 +1501579146 +1044929758 +1705046490 +1335008207 +2115305686 +574489823 +275656701 +1133192250 +972661366 +1835699501 +251969740 +927016363 +329228648 +440689840 +147418535 +737981132 +424440987 +270112748 +1802121598 +255211827 +86500472 +2084178592 +735438054 +884447673 +255702342 +1775349603 +269670619 +2083972148 +1105073795 +74351260 +1736588387 +459169293 +1119281019 +1294151230 +1794177500 +1087103057 +1868641053 +2069834201 +72811659 +693818772 +1758050055 +324781399 +1620835135 +2087278703 +765471240 +1768253670 +677776187 +1189912227 +2038366418 +332414137 +1445124055 +2124866891 +269109081 +33078461 +861830916 +524811423 +1808428065 +1131501535 +461299923 +766018212 +1205852795 +50404662 +1225187506 +177650166 +1344555892 +871881358 +1264753223 +1065713298 +794231912 +1337564883 +1759532070 +404798319 +1662346282 +1232883557 +344593374 +280333874 +853653579 +1022369561 +1470246102 +744536349 +1354783698 +767886509 +721919592 +1623892779 +800964970 +1583750508 +1220554 +461909387 +567768395 +462520477 +1227927600 +1773621191 +512925139 +305631458 +1951271357 +1857481032 +1177512816 +1068540933 +775710682 +1971744728 +258622168 +387759104 +229059399 +1920968450 +1620642661 +573652773 +53818677 +326812592 +1596022334 +1524064779 +1071348941 +803322384 +144467640 +1793268534 +279731515 +945432610 +1229535394 +280952069 +1407341998 +1797303790 +743472546 +487785950 +1423441333 +1256397686 +793417408 +1227229042 +966395070 +1970930224 +148286327 +1742105752 +1795191305 +406908495 +2129864856 +2024250704 +180393298 +1603023869 +450419830 +234211975 +1929836461 +2046442164 +1758276754 +853701754 +702280901 +1902744394 +499486640 +982012416 +700693356 +1729022035 +1262964486 +2108035354 +1378842177 +2006437032 +448337656 +654799862 +1115351070 +1241755064 +1882028904 +2081746140 +1065201641 +2030315232 +1676368244 +712909298 +289740079 +1658749452 +589676354 +470133377 +1114289673 +1040096184 +704345352 +896642486 +939054701 +315138458 +1750344241 +1641335602 +70399204 +102347233 +475864370 +771092561 +1831369268 +1738828856 +731644267 +1062727797 +1597782241 +1179981924 +1717527659 +565649663 +274253340 +1452072916 +499912156 +1339454981 +1334904500 +28796752 +2052364279 +1624644579 +1687546205 +494556986 +2094777957 +654352230 +1534653170 +651639661 +1550994717 +326224223 +966778120 +1153855310 +1967559825 +1037177324 +1256202543 +295940548 +1808269885 +940088164 +2034769404 +392430505 +2002815961 +1485067997 +1572412429 +1572859973 +2050717661 +1846665769 +877449241 +403146169 +1038637103 +64870093 +431942921 +943517734 +1689514672 +2119489126 +1438074720 +1636808981 +626357709 +825244243 +140964995 +29868778 +1151468466 +1107743115 +1183724088 +971544644 +2144920439 +292442983 +1267485192 +1805706677 +1232531147 +1154770948 +50653534 +1087863461 +492355298 +1623065963 +513239786 +395589311 +1322248084 +1390689027 +798735480 +213401539 +1455559120 +1230678401 +1156919274 +997590144 +1202683880 +447510346 +486915478 +1829041589 +1272754589 +627880473 +1858910367 +276739408 +1735623588 +895150807 +1248284052 +1733060379 +1187593790 +368285596 +1391283408 +272641290 +1523056544 +1441936942 +1360504751 +2015411842 +917519257 +1873744537 +263517505 +92283694 +1116949916 +1062252985 +305685233 +425025388 +145447739 +1462604507 +1422615532 +1348131619 +1910114854 +1909531010 +1029689560 +1035385795 +389927835 +741116279 +1312125203 +2125551423 +1636267086 +412925607 +1711128155 +676377228 +781211203 +954927915 +949018518 +156784100 +249381210 +162039621 +24712294 +1166900467 +2035784158 +288229800 +1259184161 +1005250426 +1350482785 +1564869395 +1430275814 +1495930524 +879990254 +705407699 +696578495 +642621460 +467455061 +1726268055 +1678007256 +857382897 +319900686 +842648811 +835450672 +1956167772 +1255574419 +399095179 +485061353 +2036785622 +1354023095 +1434079871 +46086074 +1603404305 +1596119493 +70798369 +622821124 +1484420003 +359028169 +1882005286 +342186782 +1709510954 +1299391033 +1772462596 +1057957831 +31897639 +330386647 +1754536326 +674519100 +797841709 +1333320734 +205042708 +1655224606 +1653221420 +1047691519 +343191630 +1461905545 +155782290 +742286810 +1946966898 +45084265 +2096309905 +1233563121 +91170339 +1552230562 +682198966 +161968708 +27568038 +19135322 +520996877 +1909573324 +361322104 +83024184 +1061480709 +2133784700 +1140982015 +1093378349 +316687700 +748034693 +1767897449 +1114529409 +2081355427 +1972940157 +622270367 +1587093200 +873148028 +965461997 +901515097 +1028930319 +1707748807 +700998347 +1074014584 +1656575064 +1934561468 +1165184923 +1061321978 +469276787 +1327153632 +1088890017 +488412109 +1848150509 +850979693 +849734213 +1931174693 +1912460403 +836035265 +924673060 +858355104 +1152722965 +1672707754 +478768905 +119768726 +1606579533 +304225414 +742039093 +1046189085 +1177373442 +1707501091 +1947704182 +58820113 +1267766250 +501218881 +1132834697 +776857667 +288296702 +150535973 +1838179645 +757573489 +1477689605 +779586014 +1245985598 +1178356466 +1630565708 +2095719811 +962047512 +1395542463 +784271428 +1886720572 +106413919 +1936994394 +1411944678 +585182824 +2056763120 +871040564 +889408238 +651318566 +1917229649 +2066781680 +211336009 +1717450184 +2125601794 +1479102259 +71185417 +1110952843 +108476278 +359482119 +1261488816 +1946655924 +1117055608 +591694773 +578758290 +215557558 +1770051240 +61840350 +163793721 +584615104 +1457382813 +948065150 +323852028 +1563796732 +737575896 +1735796707 +1495908 +646855368 +459353623 +890904146 +1298173934 +229099624 +810202179 +1509509943 +1946549808 +788320325 +841128555 +2017735226 +1899273168 +949604833 +229733697 +1013278337 +748777109 +1346789306 +1604973110 +1327535400 +1562346864 +1227540702 +1389375750 +1726140586 +1812155806 +699274916 +526722088 +2136007835 +115588000 +1264297984 +1724320894 +117083909 +1911153352 +36190869 +1007988055 +1061843639 +265290493 +1818190234 +423869934 +64356654 +459026911 +1264998489 +2082091880 +210816432 +67119675 +164341929 +1224094769 +815896784 +1511131235 +681584231 +2143432184 +925994452 +1909124934 +1385324287 +504651390 +1573797092 +2084599203 +1031373478 +1562321279 +52703555 +148187814 +1139158525 +169787464 +2059341166 +1175349394 +1177775520 +973701157 +1440639888 +848482106 +1397571092 +1504996542 +1307509018 +515085933 +1439604774 +1518325450 +582205608 +1603946703 +594936571 +1398102393 +967594291 +1276520802 +1394050929 +1893588743 +1038162088 +631891568 +250756485 +464475533 +569007123 +1282129963 +2026796812 +621710679 +1430317777 +1018471690 +791498143 +1342175295 +46337436 +1969273663 +168392805 +1486977324 +670272122 +1565963897 +844490218 +1977781140 +2081049830 +136611344 +1348622942 +515771791 +1740558048 +1943559513 +1913874184 +560668691 +1072596667 +1160441465 +306773786 +2110758756 +1792333034 +557530271 +427750641 +213856509 +1839660234 +307063805 +835567188 +1122494363 +1325535495 +1627065332 +317186010 +1371872932 +1448855347 +485578815 +711366608 +2119127469 +2051542712 +1555856827 +1949424961 +1985108895 +1692468171 +1150564255 +353397038 +1285542571 +946640120 +119787574 +1846211262 +2019236788 +1280229039 +5501400 +1982511896 +925078425 +563031671 +262778889 +1138934935 +255208257 +569842694 +1974502123 +1377702620 +1895378190 +1454083807 +1694888631 +1119767474 +755455507 +32983798 +1831134082 +727099328 +2084526511 +1239507261 +529040642 +1922151758 +784491785 +1679604897 +128065148 +2070034356 +478761370 +247852722 +1768761971 +350514510 +1528081761 +1774263371 +185542758 +305676539 +189811395 +448321647 +1444611474 +445019652 +1018164341 +1271629949 +1822722273 +766058883 +578230109 +1370127256 +1885826357 +1333685616 +1403111054 +1569476792 +2060784944 +1340153917 +661500405 +442341938 +1114822027 +1445992190 +2121946836 +1242887175 +1368542899 +453224558 +1490739897 +989821222 +803739068 +871338011 +616600945 +989281826 +1177014550 +806412340 +1437603473 +474142376 +1251431993 +308284166 +1745772325 +926670618 +1074343050 +176518786 +149314226 +812685759 +1510204402 +1552425280 +234678903 +1423505699 +745095550 +896179309 +1865847637 +1859917577 +194687851 +1840310825 +955321105 +1563230750 +146051735 +298577354 +405568324 +949790803 +1169915365 +1022169270 +1939072629 +199446267 +1828581610 +1229192454 +673588643 +932529955 +1537476621 +271877321 +1859200573 +464336023 +448396107 +2008514799 +1277021782 +1958600510 +1413456432 +1511700686 +1234622561 +11068334 +260396347 +952986550 +1870985911 +455084198 +645813728 +678823368 +2018314949 +791865463 +977400723 +276399625 +1741656267 +2147316088 +1298568895 +1533245248 +199278708 +979666858 +614954055 +872867351 +1912196813 +4947028 +1144744672 +1623913739 +469283051 +1593140780 +1484944890 +1746304833 +1404257642 +750917674 +1110521871 +491396555 +761986008 +1370918218 +1444383105 +485488272 +1826002417 +2090196833 +1164311640 +1696833718 +734578649 +2141712363 +1973233343 +328751268 +2141544804 +1124318591 +1861996516 +193339864 +2103985449 +329466923 +1066207215 +1868698614 +334413951 +63468240 +1345128705 +803697002 +1656609020 +682589948 +402518188 +913383014 +1433507622 +1513040059 +1404779569 +48009983 +736474630 +701679026 +533498255 +414993399 +644392212 +1697809895 +2111827117 +1378970861 +1692038611 +1937576812 +1707722129 +1686099767 +914411755 +1422234997 +1879439631 +870913556 +1751701921 +798163198 +592128523 +2086115872 +861631438 +1937257228 +742329227 +370756810 +472363528 +1144847415 +1284139824 +1905871151 +510403826 +541435745 +1953881134 +1246878456 +1243114772 +339895741 +1661871855 +1887506984 +2037705636 +1626215324 +1118994197 +1582260599 +1416308489 +679232678 +1120876718 +183236596 +2101467675 +852832701 +1054150153 +1705685948 +1650995900 +1646278676 +1644318173 +365143690 +1436052256 +239163752 +735900501 +1908415785 +1384011167 +2020040325 +1666803288 +1894414993 +413992423 +1473200774 +993809802 +1657107195 +1813096515 +508198009 +1397130531 +1703318503 +2134413334 +368641080 +1138095455 +1403238175 +1047873758 +111488525 +1586474771 +1001857785 +964321227 +493141276 +560060086 +467833479 +2139419952 +56894611 +832977169 +1427988561 +296058363 +1568877670 +1188920698 +1680069530 +1441434348 +708240338 +1427000875 +1855426771 +33957464 +273327029 +1365050318 +1847053979 +781525039 +614697201 +1402888834 +768454725 +983338281 +393500641 +24209252 +2031212039 +504989167 +1610684023 +885586176 +1469310394 +2103825300 +1445646262 +1937143873 +2095761604 +1502540873 +622637394 +1376266517 +1798599236 +44031417 +417703567 +1331185118 +1485465765 +1125943905 +610702346 +1193408888 +1159901369 +884029375 +410975558 +859471700 +1665554414 +1025672759 +114876887 +286525491 +2009011040 +508377528 +310734743 +1892739431 +1013366695 +1921418767 +630841959 +335193441 +1877760419 +2076488222 +124853666 +1826038375 +1431545447 +747491061 +1054821245 +1082661036 +791522478 +1472524812 +266362506 +129504595 +450985070 +877064852 +1322913483 +1610886439 +1761094228 +1733889041 +322874492 +1279164994 +612078152 +437751379 +1565690486 +473605544 +946128907 +1876425229 +218861327 +1959495603 +1650360348 +849703286 +147205396 +1380637119 +778707860 +272059063 +1059191847 +62769660 +1019550124 +2114013092 +1145430696 +1811072602 +1439054256 +1411793202 +1940577197 +1890039326 +141374407 +1116007032 +1353442118 +1902468635 +702412425 +1676316610 +1034149981 +1314490577 +2114067989 +452356819 +1788096121 +912713248 +181298401 +2006957448 +724725203 +1831658749 +709177086 +871930600 +1064812221 +1487884947 +1143989663 +2124004068 +1550654607 +16056139 +2090533512 +548601655 +1827128741 +1382104120 +1960394857 +1620222290 +1124659799 +2101769264 +588745674 +330618269 +1856754251 +1291158099 +2006934879 +743420585 +458165028 +1973519220 +1195777404 +98777501 +738748820 +1377075805 +2105734949 +1463474024 +1061250907 +667428387 +187920976 +2126063128 +7829686 +1331910639 +2102583548 +1558484293 +1347966778 +2045633412 +2107085948 +1027611871 +1280253884 +1919997158 +500350513 +257430035 +1874282774 +1089096187 +588048304 +1583553378 +232770638 +447499535 +179490315 +690935666 +273535107 +1375267719 +789713167 +1012283928 +604859877 +747964468 +328274304 +1666110784 +1415392855 +516195280 +1644690264 +1423222542 +1848105919 +1599790164 +834223187 +1048589049 +1497939928 +793825488 +2076200920 +630710164 +566338998 +429067785 +888140200 +293138124 +1518163972 +1476188504 +1876691502 +1750934610 +1923688040 +2056181817 +294386628 +49739499 +1283965889 +1084099795 +1062023427 +1888825766 +1832064263 +1390297731 +1407452902 +1099973470 +1906493011 +904659518 +375712364 +1607115282 +356966034 +1209935552 +508220683 +1854905962 +2003761040 +436937955 +338132478 +422616390 +866005740 +1226272678 +715754514 +236686064 +554977535 +444962369 +1987620674 +331181927 +353660538 +134523654 +380921426 +1637626427 +1218623449 +1442944854 +1378968545 +903204064 +685758937 +638937799 +2003177535 +444768301 +1543597317 +231406251 +2051883583 +1900563351 +1441341803 +412620619 +1607985665 +1297619195 +849558574 +1946118144 +1720235585 +1715564315 +1024907174 +288506452 +1952250379 +1579884709 +733468821 +1792387406 +1911066636 +1087129359 +1926911060 +144504415 +577272139 +998050862 +1587449269 +1956240684 +1901254926 +125724558 +447694836 +1756948813 +570492859 +1991292153 +1988355065 +474892795 +1744371857 +1282213220 +887513414 +1204873874 +432348768 +1737071988 +1003508370 +5100705 +1305152655 +2028415545 +293607157 +1109919387 +1460816606 +1027075978 +754823145 +1224399595 +2114205338 +534250557 +1368904010 +543993829 +1532301419 +808869631 +352750865 +1286072698 +934594189 +800445701 +895537863 +1505087049 +644254207 +736409280 +1979979844 +241142416 +2018622501 +720009610 +1446016290 +303487621 +309597950 +302041013 +308588326 +1614750606 +182972910 +602195484 +577186345 +1643789516 +1629271462 +1332009490 +720705463 +1595993152 +1866260047 +2089609473 +2139986981 +1251077819 +750995456 +345254199 +389666869 +1685589646 +1145699900 +1285204732 +1043193047 +1789954107 +2021614013 +875689243 +2031096523 +1892752866 +1595698853 +1329629166 +48756839 +1905296803 +1631670179 +357345165 +1372563761 +1814643089 +959540649 +1949750106 +1310948957 +441328464 +1134275948 +2031654421 +2037321616 +853052348 +1973780246 +2029824950 +2104130167 +577292055 +227595501 +346313388 +115398053 +1373295401 +1631518120 +1158591100 +1015765861 +1505648485 +2034280343 +899378736 +1250917703 +1482495548 +81524254 +1299674542 +1240308703 +1713194433 +1657019708 +465388817 +1380353874 +469076709 +267655275 +543819184 +910405173 +1401931224 +427989957 +800243142 +107499924 +254286555 +682584444 +64146443 +831578610 +910179945 +410459831 +946976663 +135991698 +2041977951 +2105567763 +1151757559 +1400142789 +1992364458 +2051136296 +503576844 +1327376358 +2132660550 +1803251387 +420201414 +1698371336 +1312787447 +885590231 +931241562 +1781864156 +1153245506 +1475060746 +544785682 +407693082 +1903050703 +1345028824 +515193006 +9853611 +2027613268 +579339449 +841432221 +790309565 +989799280 +1788408885 +926301263 +884293584 +1746493000 +2078058823 +136952725 +1591373811 +1981711471 +640529569 +771266521 +1966888373 +296297308 +1191467935 +1517776061 +1609084755 +2077058166 +301533976 +1243465264 +1082820025 +1776594722 +1788250946 +1490513107 +1532161778 +985796122 +2005706114 +1542015389 +865925742 +437561915 +235963962 +1656235307 +1427361196 +2024372847 +435052922 +164171132 +1623382200 +365628097 +301123857 +1067272363 +199855920 +941653426 +1838538884 +19260646 +1237950735 +882523172 +1537036707 +699551842 +812097690 +1838570683 +1943017106 +1894917715 +1467681758 +1583784404 +1237947175 +852359888 +422096878 +1096169641 +246891629 +1288022620 +1533731556 +482855591 +796774279 +813609104 +359744791 +1231827202 +977780236 +1983126991 +1597455299 +1278904093 +902915706 +1797311220 +73073872 +593970942 +1816571866 +1311024607 +1476494114 +1206124925 +2010576449 +141108157 +897211961 +1806109908 +2036025872 +217410071 +1242410664 +1126489399 +1069769959 +1664507543 +75175392 +1316661588 +805046515 +1608906949 +1799517179 +1601820795 +275032405 +11778322 +686164349 +1252812642 +1994905313 +136136000 +384233087 +750337371 +1933447220 +457306959 +1344308314 +1602535438 +1768331566 +673318780 +661176716 +1631424368 +814426937 +1558388677 +1290050628 +702969162 +1775798748 +384977644 +1829458561 +698085059 +2049485187 +1904633954 +2014746647 +707048055 +1366057255 +1666780178 +161385202 +1641089660 +1678558501 +847549551 +746418654 +1525980166 +983685551 +1130651742 +128833890 +769649124 +1587958701 +1473142204 +224700914 +1208806620 +2146460984 +885877630 +692747340 +813404274 +296782659 +1982797968 +1516373436 +2072581407 +220291964 +1198348349 +623182818 +122293504 +955498655 +490445817 +829341559 +174072262 +9742348 +990726761 +1815161923 +1688300849 +1838276312 +414096929 +1066797367 +674478215 +1544748671 +1195631257 +1444127339 +985223725 +521289813 +1668828254 +46546697 +520267150 +407222236 +739294037 +1333671424 +704004896 +574608357 +702561212 +629102655 +794900321 +1900909561 +1252285474 +917193825 +708924569 +1742731291 +1746535384 +882996831 +1752473639 +589778497 +550675106 +1293290840 +280571161 +964772036 +212604560 +955049377 +362037059 +1408235817 +251693068 +1347260784 +1929525631 +1920521322 +1393807481 +302309133 +180259911 +2133101518 +1635980557 +884264807 +560226227 +191058121 +1513367462 +1355126549 +2091967682 +618169288 +124836726 +653408603 +213416932 +1871372111 +1536405435 +1965890571 +313666960 +2087080541 +1111697764 +594238122 +904368929 +1324302324 +1549287499 +1266405989 +585054493 +1800980567 +466183125 +367096476 +1574018242 +1859990607 +669405609 +1754278153 +1845608477 +157902518 +491059312 +258351057 +348960639 +2004426774 +1613477606 +293444674 +475112415 +1738314332 +946853277 +688529347 +1462202795 +335775064 +506936270 +1775869756 +275371958 +1618634034 +222624230 +1179740887 +795452710 +1771911729 +298663228 +1380507204 +1425408648 +764846354 +1747603680 +851943242 +477353313 +269525642 +458737747 +175478142 +427428160 +949797059 +433829199 +776388800 +806740186 +2047306805 +1069833474 +1281852601 +1638137490 +2016686751 +1970381948 +952856637 +204978168 +329834570 +581242745 +480350126 +1948468605 +803866975 +1660091013 +596437667 +428295056 +1958754242 +1976944871 +1853703705 +576116948 +1577064904 +558163299 +1053470261 +1846590546 +1016901047 +1228948403 +126535058 +1966698106 +1662777603 +902923858 +625954644 +1562600760 +1972757332 +1907807245 +1053254602 +1841960436 +1730705545 +2006111240 +2046938604 +2060540116 +439870337 +379805082 +1861525073 +1243737313 +2039896095 +310479092 +1672032369 +1851166689 +139940316 +1378252426 +279799989 +1717005220 +1936415726 +1333270250 +1416112118 +805833125 +414735006 +1542647176 +625047583 +2077512609 +298087387 +1251002228 +1492629721 +123361071 +1011325825 +398400676 +1965321507 +594547723 +257028268 +1864776463 +507604191 +696898605 +97097897 +221645616 +1940635918 +2136993993 +532124708 +1465184640 +1840677034 +672065024 +695953418 +2120477024 +241586596 +484885496 +1306263626 +1657698714 +1290718621 +1720998632 +1052862243 +1915766205 +1651027593 +1350949630 +1019284785 +996173667 +1474310701 +2030610610 +1394574343 +1292148561 +477674685 +1651602611 +1009441376 +985278876 +201017568 +1106539274 +1206924492 +2141653487 +1096049619 +1739049201 +1459354479 +789243005 +263630577 +7824249 +762236381 +505217174 +492709746 +2068500008 +15432240 +1783428367 +1642014992 +1068294483 +1551710924 +1145558938 +271760465 +423512061 +2141732605 +1746071167 +306639024 +1388823300 +890736080 +784313709 +892942263 +1900177456 +1769592586 +1093959831 +859233082 +829033430 +1088129670 +1955282701 +420598983 +400000501 +597042059 +684229561 +407824751 +1359278440 +1189446735 +900534497 +1280294800 +1204878975 +536479216 +774826145 +125689811 +2088190141 +1920385083 +397450276 +364218554 +1914634040 +2143521443 +670857578 +1155973692 +886773875 +1455171288 +2048915955 +639467684 +1077280226 +995392138 +1498700766 +1906313656 +2083521809 +1306499820 +179428992 +336038662 +1903541879 +863658553 +743863413 +1115336671 +2053105288 +1644397910 +248147824 +1110500615 +33393479 +1022973969 +1236190426 +2121583620 +795875404 +1633640703 +338318526 +563025796 +1629678498 +1009176105 +1718999488 +368968726 +316863745 +1620431795 +1008436410 +1394143971 +468340285 +359653528 +1152973979 +404378446 +1666153348 +1332402971 +740417109 +1422211579 +48577876 +1484280522 +390064603 +2101683164 +981194785 +638212427 +1064700132 +1014588264 +1661186396 +153406910 +988688236 +309578152 +1787047613 +1327006762 +872603948 +1269242464 +188699219 +444119788 +1638211190 +505562964 +2064551583 +499163952 +1899706935 +385408220 +858817480 +905197267 +789786667 +377487181 +90116590 +1530203776 +1799698760 +138694467 +867000650 +42279715 +92893983 +1848195435 +680492142 +1157594115 +715300051 +194194890 +1311001026 +1703988287 +503773042 +950564991 +883511402 +1376376990 +72323807 +1072210621 +1820496778 +1710534997 +1577773586 +1737564713 +62215301 +1329996873 +2122972934 +921032782 +87710492 +765275953 +1298519963 +177827083 +147996081 +950735075 +316521550 +1014996731 +993014791 +409415533 +715708519 +1673506933 +1567009649 +1431008570 +1867701824 +730527027 +987513210 +223991218 +1681092018 +1871024612 +1600368209 +1753415826 +795751585 +1273381339 +1316467175 +226041523 +863462405 +1378682477 +1556038397 +838951691 +152231611 +1643748889 +1604227644 +1450751574 +1821575972 +1752223725 +254003001 +2138097522 +619736808 +1247017792 +400029408 +1335445327 +773041078 +1967039057 +618970250 +493259254 +550082436 +1606483460 +717250472 +83690806 +1330024424 +170135033 +1837106632 +2125776009 +1443516373 +1006090160 +204333885 +159495130 +237288989 +1760372282 +998446821 +389520600 +1256637523 +455190817 +1840272174 +930729848 +59930894 +2094275175 +921343722 +679667702 +1193809320 +1321373130 +2015113030 +1966850398 +1140928539 +486599632 +312626004 +1691010975 +2093083092 +1029876476 +1774701782 +1275623868 +1200011510 +1464324766 +1253916229 +496044235 +322931278 +1458250114 +655539365 +560220267 +1071138748 +1653986186 +949740867 +180292624 +2109177003 +642529393 +1111022472 +21624249 +589320921 +2032366194 +701291951 +1783130241 +1206255677 +568921333 +1602496991 +199700568 +1055520965 +1915122995 +1890711544 +1001120409 +797515823 +1517929678 +129260629 +1997527333 +834770796 +1383176859 +346087920 +1157702075 +693943325 +1001627285 +1717922342 +1765082074 +508129823 +520179562 +1945374698 +469823178 +1162708955 +908913522 +491447427 +1752029876 +793796068 +1192739379 +1387676469 +2000051745 +1761660712 +842689812 +52268666 +669698030 +610329159 +1942980210 +1670818439 +1407844983 +1313426240 +1800079069 +1257888668 +713388 +1035772280 +1603976589 +1158415463 +1729715605 +458120226 +728854158 +1347314031 +966250050 +1249033720 +1145205081 +1436073228 +264259027 +2054118603 +1927520656 +2016288904 +700431024 +972776387 +1256481725 +552999121 +586953451 +2099171538 +605267787 +1256651481 +562017049 +400764349 +779986273 +1969862032 +1714190589 +432581694 +1080267053 +1714903978 +1468353974 +536759994 +725835793 +1050585931 +994880220 +1454689951 +250416315 +1961130270 +556240023 +1395621396 +1249719851 +820499051 +1302256352 +1029756859 +689304307 +2002687376 +2002533246 +1945786032 +408202849 +442003049 +1897473922 +1013470637 +1698654531 +312007324 +1414234986 +331157156 +134385708 +980941928 +763738850 +1214652761 +548362258 +84609176 +1751412755 +1274198051 +1135195107 +598809328 +581404355 +1385611422 +412455950 +1137644378 +633749171 +1662175801 +1958143429 +1936005523 +544449012 +499964088 +1791209251 +399498610 +298266473 +51928452 +841501660 +48256747 +1065399089 +392672543 +360264071 +332150428 +723829699 +494649780 +1313092356 +1487568549 +1709302541 +1861454614 +1572177725 +1313231649 +988169017 +559889184 +1912040977 +1569573372 +1945500607 +177013279 +559734103 +431766130 +1839189081 +370393884 +220288005 +236154445 +870357973 +2011497256 +635653056 +1168624446 +2063425708 +1477154716 +1216881193 +981341150 +1869827259 +1577145265 +1313491578 +446173310 +2071795045 +479100286 +1933741859 +1633613938 +193071252 +1358435936 +799361939 +1181240269 +1918325120 +563919268 +603329994 +1716342079 +740932548 +1163064097 +624561 +432637981 +1533457981 +220912566 +668792426 +256332306 +84926174 +1304445482 +1424956752 +868235 +634116550 +494354298 +982209385 +356460161 +2071499563 +148217315 +802633471 +1995810960 +627317601 +588891682 +1481941250 +820388853 +1947327618 +133819542 +2001629122 +1718169091 +697738810 +457475468 +1287027522 +1438671358 +1620539565 +1287652084 +1871309339 +1006513899 +1508564650 +392618118 +1262846205 +1593490825 +1697063600 +540319310 +1594359060 +183696503 +1034673608 +429084797 +540156664 +958689523 +577302112 +1342790136 +807016835 +1204619713 +1931681818 +141474437 +2025008566 +1731525789 +275293979 +1879154040 +1302211232 +973032790 +189145861 +441755106 +264220500 +1809685426 +1729407190 +2135529840 +668715677 +1090488193 +380664310 +1931561883 +536495370 +2077727910 +324397545 +2130854430 +113940765 +1359071153 +412455579 +654097430 +170277028 +989757691 +1996887566 +977293863 +46893756 +1781085736 +1118768300 +2071902322 +1365127877 +1394062280 +1803572714 +519855461 +219611422 +1992718575 +961610568 +483831922 +1654920354 +543534110 +471878114 +176152383 +1634022303 +852542424 +2107714266 +23034025 +782786687 +284628163 +6404807 +896727452 +1643699316 +418860386 +1550824882 +1813976344 +1408618077 +1400228800 +643786559 +1455511833 +1033830889 +1762554860 +1379930507 +251475118 +1009133492 +1036019574 +771330580 +1228744914 +881254501 +1732941148 +1712576836 +388691207 +128991610 +36971303 +564843591 +1763013914 +889513727 +525074209 +1786047939 +1672300414 +809702373 +1792452747 +421544219 +305918041 +63829485 +1972369101 +2119894386 +1472447563 +1225114254 +616197297 +780475748 +111461495 +231268509 +12922608 +362936613 +1240402001 +1048942182 +1134267193 +321663267 +1930196683 +719724693 +2034240104 +171404243 +848716304 +2071211407 +736247834 +464246570 +813241486 +1261322043 +102810861 +338058253 +2071024416 +1895263608 +759602472 +229458810 +1959093094 +584487925 +201869548 +1284057009 +1809602179 +818066845 +2064532757 +1921063674 +1049335355 +2077455365 +136516640 +142253708 +978913899 +1270783833 +463916976 +761626935 +1990508527 +350673432 +933031178 +691741183 +274401191 +1669279012 +1155987753 +1087642677 +783117407 +1258798614 +1425700930 +706658176 +1006578575 +37819754 +936116986 +818188021 +622307680 +1137986534 +2102245030 +284426211 +1956053379 +2019294139 +58006238 +857905086 +1949265857 +194522878 +1000158795 +780696108 +1465306711 +1464075771 +1542323043 +1308331590 +1814749203 +327870573 +2000072773 +2089150394 +1997149585 +1008576878 +1029309423 +632783345 +119891845 +307526706 +1339441521 +1126470420 +345346460 +128074859 +1944658441 +967654140 +1266061393 +1899419823 +1252080352 +1074631124 +1771230314 +1310086590 +1932536211 +1573012523 +1504609468 +785211358 +206224984 +822432531 +101803481 +1748548027 +2130764122 +1916552684 +2076418601 +1983353247 +1858219430 +1926084538 +844446478 +740045205 +411384235 +964338323 +1047571911 +1750825756 +2090808743 +1392918372 +1878900615 +1887983536 +213088864 +997478360 +1639919711 +1465169216 +2072109485 +1263666377 +627772158 +1857162048 +689195253 +2132381626 +494889758 +895420237 +807330510 +596693239 +496484616 +790610984 +365762275 +425419569 +626480583 +76498057 +204020460 +1470927061 +816543262 +615404695 +287781736 +1864115174 +218746804 +231106831 +1109549898 +2097647419 +2119090367 +1322638762 +947642132 +1611526430 +640324331 +872267969 +727709160 +1268096489 +581946369 +1416904413 +1252994468 +1076836127 +164841002 +2060324978 +1673529366 +661325618 +703452314 +2039291641 +1086745188 +1329932897 +2115789698 +1290765648 +653376311 +784849312 +1906170343 +941158047 +501480838 +2124917147 +1172264879 +1611030736 +2075080919 +1143871598 +786185851 +875239403 +607914381 +1426510182 +1747507372 +1335623541 +547123023 +181970093 +605044306 +1800117491 +1258806220 +769885308 +1712958821 +784851938 +1431210926 +268927487 +676659931 +370472466 +1598860385 +644965981 +1661238114 +104753048 +1429815293 +1419924810 +1045911095 +1931296132 +1397358309 +70692326 +1394843220 +1324955580 +1214563925 +33545423 +52711335 +1822478306 +1460055605 +1800218707 +1010618199 +2007178629 +1982188800 +1615662505 +1659812472 +1093511372 +238064165 +1225287646 +1878363310 +1669275091 +1494215133 +407539593 +2039747558 +945591870 +1052505574 +1553502024 +1050344918 +334837220 +825943186 +2096256014 +118649704 +75817848 +19464692 +1513492924 +1400773428 +1234028617 +1547038348 +1453484764 +909023275 +859610305 +1106219823 +1919641474 +719305286 +940924976 +1387820331 +231634111 +2034436348 +1625884496 +1456921757 +1765316011 +1147675940 +803653242 +25371956 +1039939850 +1749245113 +1077877531 +445958226 +652106383 +1412714751 +1271901413 +600878749 +1531364455 +1347719261 +620343442 +897373731 +601009041 +1854372059 +296928431 +2054493805 +615911687 +1156538737 +1013229981 +388069513 +1875844023 +1954154957 +1775889845 +2107478134 +1841107657 +1254290693 +1416916243 +1458940020 +254482985 +73085838 +1484311977 +1294422835 +1822330951 +414705860 +1740381062 +326953686 +1827420611 +864798827 +927832436 +1211301418 +65034440 +1548175878 +2108675149 +666043481 +1255064289 +258119933 +573053639 +1870975976 +1414658670 +1586283620 +111561842 +1143019045 +1392954929 +1887451687 +1103013532 +1086578938 +994258732 +372446127 +398035311 +1248741718 +445531965 +1882347288 +395680905 +120379268 +149569500 +2136061967 +447332955 +1976990111 +853377146 +1375165391 +1040807881 +918411586 +775857621 +1001999382 +1584455068 +2030921910 +1260119315 +10025059 +1754414239 +527294337 +1596308679 +1865976081 +1670313383 +841779960 +1605944120 +625843267 +1928358898 +452719204 +998289394 +178910561 +1701460922 +1443821360 +2061257849 +2097141828 +1564200628 +63343701 +2085720147 +2011533583 +2040333812 +791613646 +1239215326 +933658045 +1710025232 +2015072947 +1935657428 +1146996652 +1898511210 +1048293095 +1157021711 +1505441801 +1575587433 +605846742 +1223934234 +1098417168 +1447626702 +682394706 +1724260435 +1228501953 +1135113910 +575066181 +1407412514 +689091185 +2018887541 +1321186716 +638749365 +1435604522 +1384530417 +576985864 +1299654457 +1277380582 +1368599510 +391386136 +63554979 +931141095 +258975435 +1999212407 +2078137747 +10002997 +900021855 +1087675811 +1515444798 +328125640 +1693522553 +591895384 +1426542808 +993665608 +1274290090 +1003319595 +74683913 +261920353 +1578385776 +1482096427 +951011538 +1449789670 +655799495 +1589760903 +737910544 +2040329913 +19263119 +2037565001 +1170226847 +1387862630 +281467489 +1233781826 +171520077 +540442925 +1085510586 +102174176 +550445922 +1985532441 +1189849987 +2065890721 +166174433 +735888893 +510302457 +1592717241 +1729554501 +1784592548 +448553188 +1804238414 +2046512901 +2026938964 +1138851193 +850040791 +1329244986 +1794650689 +292318046 +2067155530 +1687496954 +311581165 +1957236884 +710240153 +1699443795 +91220725 +1944021979 +1870963872 +631663650 +882048917 +1973138049 +1182109573 +720097710 +1015504388 +1100516646 +886272143 +1751393281 +1610819103 +331505736 +1333464134 +1247928003 +780058924 +990218900 +1146957256 +659514241 +2129070094 +1996998047 +1988759227 +1776237135 +141832445 +1908431110 +1316250441 +453413611 +1718184346 +2026490594 +5373758 +1809405071 +1823028925 +1876337631 +293585074 +557594195 +1701992032 +1475694647 +1277691905 +570012772 +428727645 +16480401 +173922406 +2039546748 +347986137 +1507386540 +1139991104 +1128045062 +350121793 +139464712 +1787559303 +331708239 +2136462760 +1628834882 +2107945374 +130811557 +1389782344 +1276712167 +584225168 +960483042 +1155719113 +589598927 +622404466 +831264390 +318452910 +915989540 +1388858585 +2020444942 +244200539 +519066843 +442974066 +672928184 +535547244 +616896472 +564991284 +883533381 +2124283013 +1704982388 +2011578443 +326921158 +1844447101 +1651654098 +658629397 +1833426213 +1133005333 +619091123 +1964237770 +375304029 +1895803290 +400979291 +1335787072 +904038755 +990578218 +1958191538 +1735303145 +1309031128 +726697430 +976678083 +1181992422 +970897969 +1495744926 +1624966488 +1643826153 +2031292170 +94379313 +61333789 +767341903 +71178678 +1766316178 +631436699 +398099836 +1463279631 +135607149 +1056729233 +1149222196 +1268612482 +1675820356 +965976318 +1643916512 +1424139998 +1366955609 +832219936 +180695105 +210050179 +642927826 +1915998250 +1519081307 +1369625256 +745192685 +553590081 +193039577 +93453963 +31072922 +1836865730 +2124746133 +125452235 +1898199519 +744604389 +196630913 +1517032049 +1376041088 +594730749 +832828032 +1511648237 +1651459982 +1982050228 +632777072 +1179796690 +800542899 +129209936 +456453040 +20014860 +961429872 +637148145 +230065040 +1604357698 +405662747 +1749146347 +826499306 +1150855433 +155252781 +1019538883 +1244309396 +186325703 +708920965 +1221571882 +311777938 +459636836 +1966176271 +508408851 +1976668886 +1194733711 +1103139600 +662013270 +558898300 +607115934 +496579851 +1191675372 +1786912624 +1297122750 +1320885308 +95882016 +1317137610 +134831532 +733030161 +1547202650 +1739189230 +1138692908 +1148865350 +418204888 +142064693 +1304118131 +1437743771 +1386374090 +1490443834 +2146664736 +460462324 +1802221772 +458817925 +279154947 +163146975 +288003163 +1473888658 +1266286575 +950016433 +2032786958 +1873402509 +1446596284 +1076978683 +1512831485 +596235386 +250380343 +1608713501 +1913372997 +385211876 +194260014 +1313091999 +2124401106 +1332952922 +314473701 +395122347 +1475017616 +1618591832 +1832866118 +713908058 +961552018 +1832047207 +1174370382 +616290142 +143381484 +1453525329 +779437117 +431384647 +779930339 +2045723692 +1381401080 +665233649 +1771642553 +680513717 +1742212332 +1136990390 +1276749103 +1992592676 +598220243 +1042638452 +230320904 +792480257 +208246804 +207238362 +2125433180 +522720505 +602360709 +1452967148 +2141312338 +287743180 +19391558 +955380708 +2119790387 +1193761940 +1571670851 +115688223 +499803621 +203624320 +547072870 +1279733960 +101864365 +1928473950 +1944967609 +1873506918 +461504019 +1539696294 +863013661 +1738253123 +1384805322 +1461233904 +633407927 +1615126226 +106230514 +841654731 +1822364588 +84180046 +1364375237 +277241650 +1537147194 +1358203927 +564984830 +1556538752 +166100987 +537291569 +602817044 +1737771838 +652979792 +1102620665 +1941396159 +1200052662 +234870977 +2043260524 +981042964 +32354938 +1769283794 +1442546984 +1572051232 +484813807 +1033316459 +809372906 +1946047712 +1666724386 +277015484 +2052278226 +360895470 +2099380073 +2136458272 +1725270707 +229138075 +1526121818 +935990986 +794122905 +935176922 +1102091973 +1331414474 +1537993966 +692380164 +1984394266 +493130983 +486292675 +1036963280 +728001960 +382069551 +2018006244 +760356898 +3869697 +1313069580 +184924483 +488683505 +198902391 +994297389 +287247569 +1865626778 +1271312874 +192042147 +79038600 +1223209299 +181016771 +1804309307 +1452347374 +1707138589 +592816645 +98986631 +494831863 +1694908618 +1430401105 +2032825829 +239805134 +1267311723 +378473164 +726097809 +156791355 +1106475124 +1108167360 +27313951 +1866832022 +1112037058 +1340383532 +2051756505 +1600720563 +1539285923 +898570247 +1887968132 +1257429053 +22399473 +2080010279 +1336467653 +1245608772 +113543402 +993293312 +550472498 +1820681991 +1586109957 +649459129 +168030206 +1133534928 +2079860234 +53372387 +1373340062 +1199688309 +431845551 +2099437872 +1356479664 +1538320675 +1060121584 +1383793615 +1257669049 +24674994 +576693499 +1161941907 +1625395557 +2115979423 +2060512154 +1365880041 +1225924828 +2082911627 +1298406672 +414908834 +1181036751 +1411950074 +1408202146 +1731509249 +1085148417 +846828456 +233484730 +1253178623 +1980363384 +165861316 +1306551010 +1206219798 +1365549625 +1738396561 +1158174022 +574545641 +1129233588 +70811959 +1958339256 +239418990 +95486953 +387549108 +1401360897 +1720882511 +356044883 +1314389403 +939278904 +1581969711 +1249817382 +90201929 +1996878545 +283370485 +1502152003 +1257597044 +2014879734 +439816773 +2104425500 +100880816 +1692995396 +1937305236 +266742132 +852062759 +996041386 +1632291757 +442975672 +6731761 +59353750 +1572209261 +77543720 +2017693006 +1811628251 +173030673 +257758466 +1065505500 +1893913184 +613803349 +232411255 +685708441 +48289413 +1482228637 +775910370 +2045167958 +1765599122 +130578725 +1155281354 +1632995208 +570395498 +1112223206 +1733876024 +115907247 +902044794 +2000618156 +967970006 +1898086181 +1485426265 +1410945678 +1904817942 +1544780015 +835671291 +1982361662 +1414989373 +499815894 +7908687 +1672747840 +1565321394 +1901821872 +139067541 +1797732649 +440046665 +187356954 +1132477638 +1215957035 +85041265 +750593112 +1346535760 +1240322619 +236104672 +1916931259 +205062178 +1969980696 +2032838506 +1107106972 +1823115204 +853324864 +857709505 +1161057821 +116786894 +615043799 +558354188 +952458186 +449921813 +1973343562 +1452274080 +457830501 +1498607754 +870111827 +212168725 +1637675295 +520360828 +652215390 +1825032250 +1652838467 +1868172425 +1910073515 +255947931 +1067224537 +1002912486 +492052604 +836672148 +1207974664 +314549652 +722027006 +167597989 +2137664857 +1575351870 +1025307494 +1151239030 +1692138765 +1640351294 +1709593219 +497113303 +2090273107 +1535453133 +1949387383 +400619960 +886577239 +672015562 +612788685 +376768886 +1192376391 +1265004075 +54317488 +697731210 +985692852 +1964391003 +953679141 +2052917390 +819819842 +1445731745 +742105890 +2027794506 +1760281398 +1464132897 +47908847 +1750462607 +892001119 +1073216342 +754217989 +436656236 +566083988 +316327560 +933769539 +508873447 +1851780693 +735673275 +909493408 +590874284 +1407688837 +1522282093 +967643171 +452581580 +639802521 +1021960659 +1150312790 +1625495373 +838868015 +2103991932 +1530929115 +1658687857 +1402240029 +125551358 +1538998715 +1015037779 +1589684255 +1586907563 +618016738 +334201726 +512640257 +1372234728 +770857963 +1078724245 +1688562288 +1704627502 +1587597692 +1392859334 +292817129 +349607452 +1983733618 +1700505967 +1871889546 +803893141 +5603899 +364208419 +1825853801 +1155916690 +1989703792 +517238168 +1112424974 +1373149260 +28442377 +367181355 +1498700618 +1567441092 +1382219135 +940901225 +1006865007 +2000235873 +1275102951 +1519505264 +1224986953 +2045960914 +450745861 +766065594 +1603104769 +2038343554 +11441280 +1895921898 +240467358 +1995174898 +1448944217 +2112356904 +651584392 +1454548117 +329081675 +329954545 +462981159 +171301820 +847192713 +1575406133 +1544451080 +875635090 +1942587488 +895668050 +295592534 +1177322975 +1836569275 +1302457542 +1030075201 +964188578 +674479158 +107578506 +862665845 +1125225020 +873644100 +318286966 +1016084926 +885085380 +66725216 +1256552284 +732776631 +1515669434 +1221425541 +1384361023 +822733903 +1550507216 +1714315568 +1285715062 +1721809036 +414024633 +713637547 +1118776468 +1289659723 +508741387 +2014444518 +1585252257 +1686064363 +1703530145 +740226151 +568655916 +520235076 +1414705310 +676234422 +1382900921 +392446682 +1549878523 +1701187887 +1408531608 +287480255 +1767913103 +517600244 +1020256886 +1136098889 +1739025785 +257134261 +1958832792 +1142049354 +1971449829 +1097064206 +716374742 +237990814 +1810701753 +1835151211 +1527650537 +171959493 +1702112081 +965419147 +1858023856 +1258158579 +1705645298 +279196124 +1778393655 +972866960 +955430546 +1013810928 +1365313642 +357825421 +567515167 +626361602 +645305677 +187944622 +1143961847 +1665562563 +1324043512 +735503984 +1922696825 +1135392656 +1877553338 +1746663006 +84973215 +446444433 +1984653821 +1895674968 +134111996 +1364820710 +2067634461 +1836224077 +182756209 +1778174669 +946899008 +1888401508 +2057370793 +577809015 +713784820 +865317692 +1591619943 +2079098463 +1223143113 +11651462 +557976417 +1868448790 +199596085 +1701938264 +1386527706 +1523639597 +289958601 +1161740883 +511548605 +20028291 +760920241 +596521820 +466472724 +598090414 +344713141 +600584720 +1962911125 +264863954 +289325150 +2145667334 +2043038624 +1236224158 +1886585194 +1952925769 +1814033174 +452886367 +670759813 +1258169469 +384501182 +1893902927 +1269820932 +942477599 +1614868069 +1469417017 +496932216 +853912127 +845572966 +786890817 +2015653010 +1357121571 +806919108 +629089604 +1953643392 +1273391833 +1227180018 +150872885 +1873976553 +1042607495 +415736839 +15818055 +1040791182 +311291815 +1252042214 +779892728 +116733937 +918591740 +1232779095 +787493750 +29277561 +1617280277 +533913029 +1299098493 +412274229 +1297451 +621031862 +909206445 +855209578 +1466604828 +1696097262 +723378941 +676242752 +355532722 +1352468545 +482402496 +1628924555 +432164915 +633275381 +1355417461 +1474772411 +1049012220 +1371235516 +368079945 +1360304036 +475794082 +1147972673 +1477037973 +1394385822 +233268121 +117048075 +1423663384 +1850548398 +650961105 +575278229 +115338979 +652258556 +1196310092 +1024545424 +1507468134 +515431272 +573159038 +83363427 +1191674024 +928691761 +1435831972 +1674076520 +410132668 +1867996888 +159868253 +1765550129 +1195285651 +1208880474 +989301998 +1563365596 +421700862 +1465096080 +563854621 +1898738835 +711998255 +797122742 +2015786910 +2135661639 +500187493 +519264367 +563456220 +615526472 +1171522923 +1759766312 +1640071897 +531507410 +127713937 +65747287 +614870837 +1319387961 +994439048 +2050702810 +845980834 +1404571717 +1771216050 +1005849087 +1022638198 +819018053 +67245913 +2011940196 +234900001 +488946775 +1329552629 +798754622 +240201962 +2041550884 +1595877365 +108505225 +2029728875 +2096064858 +627769592 +445701447 +564107682 +1799292516 +57984112 +56695931 +183316278 +185698049 +122443219 +798187115 +1505086010 +1116882267 +701406277 +203583196 +373970336 +325138679 +1209432284 +1396608535 +1144156732 +1276678197 +1261065083 +1379056733 +1765624973 +443134064 +30327708 +2005826935 +337201300 +1626205073 +2114332160 +219446527 +1574786283 +594618105 +665147975 +2138893965 +246426973 +723132087 +48106249 +429743251 +908830136 +170549468 +1227930366 +266432498 +1287431735 +1929336644 +470015695 +1661402072 +106991675 +1679447979 +910526959 +1251148408 +808642528 +24108394 +482721493 +426783853 +467242459 +513049201 +285127141 +804443759 +2139254274 +251975653 +1023890287 +1566556909 +846593758 +1689038262 +1557967227 +1093020731 +264686701 +1606073476 +1522763982 +1173516837 +1776622944 +603210701 +1439949335 +916571031 +385063697 +1909965030 +430489455 +492055372 +1441929361 +1341016414 +1743203780 +103088242 +1365124809 +78441626 +529872095 +1832367268 +591490827 +814999236 +489327379 +583261454 +1066974890 +1513217666 +2334715 +1913568648 +1054772280 +1560301942 +859105732 +1319458981 +1018891770 +234386066 +345492170 +648031066 +837596767 +1785441506 +1564602098 +1222660464 +1547922888 +1995091553 +1714715837 +842368602 +1188624320 +1310435969 +945456844 +406265481 +1388877595 +1475328939 +91149101 +1980368423 +142844528 +580476480 +416146229 +1209819418 +2093694147 +418480944 +975904418 +1000982779 +1978782887 +1835010150 +172958113 +850191009 +2069396217 +518450283 +1498222076 +759509336 +156408141 +915340526 +1982169801 +1704331030 +762948431 +1549401990 +399215984 +1951572751 +712354311 +1344672828 +210354584 +2101231907 +672518119 +301503685 +1934116682 +815362647 +881980166 +202779263 +2025182065 +828190665 +621260207 +853602836 +1829173444 +452559446 +541129338 +2002131557 +1302750456 +463041907 +373098193 +653488884 +1222551244 +529506334 +1568829410 +1057237397 +86353716 +184294193 +459155739 +485569700 +2135866945 +1171510050 +1830242528 +198737881 +1125258309 +355277000 +500241567 +911891343 +1170639647 +1382221733 +1114670606 +1048338065 +62928750 +1735930814 +1901940901 +1892102194 +41006612 +295586591 +1746750104 +1343757068 +758628499 +2119848297 +1997245952 +1981179743 +501870983 +1418591714 +890933492 +588224700 +1602885908 +1350089231 +1073794400 +1591269205 +374115633 +756553281 +1790007086 +1499373943 +1111830281 +142765005 +263781638 +134986280 +1524986738 +1378452245 +1183324345 +1587915488 +966899411 +937781598 +1332534035 +1007906023 +1233368190 +931800491 +204179444 +1991996689 +904165140 +53941748 +1825692784 +1406036123 +1472533463 +569142628 +1994260823 +927935723 +1919231859 +920571576 +371721280 +145863844 +1677124857 +14244718 +1645237787 +641471490 +157009724 +1909019426 +776457770 +1681996462 +1139988023 +1959782116 +1122428303 +2106887434 +750080066 +307478690 +967309809 +1983448256 +1239279181 +1171489253 +1827961297 +2143444321 +1225431002 +1506170433 +1401996796 +550480817 +2075313061 +1248773972 +1478416540 +1847061272 +21861900 +1850137820 +1992925117 +1698986757 +1864382538 +1490679256 +192974599 +2021392262 +1252215034 +969432369 +1555905077 +244719409 +781730837 +530849732 +204123195 +1531810904 +838328422 +1171433005 +1367775512 +2077607603 +195438610 +1048253162 +2073568276 +1420869612 +406939947 +1328081424 +1971350429 +334769361 +429371748 +1302283321 +34346985 +451233648 +1004937493 +2027272102 +2736757 +721836384 +1370467711 +195711356 +595744998 +475199097 +1165143726 +4166427 +719918507 +1946874563 +535016159 +924041702 +1331201819 +1373344581 +2095474707 +551493684 +1303468536 +143429670 +1599746846 +1229553164 +1564299282 +2006686793 +410150941 +1388166064 +193972506 +839522689 +542965737 +228319492 +1290756338 +1547903231 +108107946 +1293493095 +122255967 +1478575657 +1489204452 +718000965 +1953774755 +506864530 +722167393 +526209614 +306255445 +1257183552 +1450251316 +1637457265 +483044486 +1398242376 +41467301 +1786513022 +1541672046 +1641214147 +868582539 +958487680 +1500417292 +1278733480 +199170096 +1694389799 +2118256169 +742135834 +1922709291 +1261528859 +142555417 +2030817237 +407538307 +264811384 +1361909247 +1896742759 +982812349 +1168200354 +256123641 +1704979742 +1694409968 +562379086 +814679647 +997177636 +52352703 +1297724133 +247936364 +93820004 +936753507 +1789608410 +1735034151 +1805336046 +600612443 +1087967796 +936585878 +799782539 +634873947 +907358400 +1541918373 +410099590 +21403611 +1684473790 +293433179 +428941918 +1949285174 +1655342426 +178201029 +784613876 +676059132 +434324670 +342109970 +222985452 +996703757 +1156789617 +1220163089 +1049056460 +307030102 +1468099453 +1142876465 +1243783610 +1110224216 +730426968 +901636008 +1710836659 +1818394764 +1838221887 +363135550 +305785063 +598096639 +1905053924 +715884653 +619500250 +1442044066 +1009317833 +1048442169 +1243845593 +517176611 +1226643198 +2028459469 +1193235744 +1660967869 +223085791 +1416221196 +510187978 +1379875409 +488900637 +1559244438 +1686905511 +1957000091 +554637255 +783205473 +919740659 +1285064224 +1684841482 +483093670 +955975340 +1375579721 +846229220 +1261760404 +1973676360 +603799496 +1977645057 +445692962 +2045843563 +839479242 +1494135131 +1142205508 +1356655854 +573294682 +1023181329 +402407950 +86778903 +1246267120 +1818629146 +596966881 +478658881 +160046136 +8727671 +18080745 +2117046227 +563364927 +801286218 +889303238 +1848429151 +338644052 +1372396908 +656920843 +1714223773 +71142480 +1918681247 +1540416485 +674941977 +1748842657 +1986109448 +573301892 +440838251 +1332760931 +1715507400 +1797494105 +1906055613 +591205081 +52418407 +1992834516 +1837472201 +1871047554 +442317749 +168647435 +2031093690 +451045421 +186728180 +2000656269 +1014410348 +988014398 +742475859 +715355851 +1326658451 +2114872767 +1372276694 +893398576 +38531599 +1143474294 +286331414 +713473576 +744833303 +124957214 +1286775468 +1185671554 +1457718145 +854799220 +835682012 +1216290111 +1446004301 +888100419 +1061640979 +1135992855 +611664325 +1503958729 +1304640290 +495274367 +1955004150 +1491368470 +348446988 +821930850 +331899220 +1090922847 +1537286701 +1658557671 +1058311966 +762079747 +404472600 +1096843566 +1905554041 +690804014 +1810317142 +502903696 +815761228 +949608963 +1688575251 +125995725 +1804408183 +376773615 +1342285836 +1102928837 +1264874034 +256443168 +91438044 +1876538360 +1760401897 +1396078334 +224329079 +1567922399 +739963156 +572776068 +242369601 +1071862376 +1663698915 +1779656302 +582936400 +574527234 +394252401 +987409000 +1671370800 +152322795 +1678213014 +1334204294 +655226491 +346490594 +136329609 +196318094 +472486319 +1940737793 +573091709 +1814772156 +896182982 +1837965744 +2071215324 +987621026 +1567020456 +1684133573 +236215712 +1791349535 +1104572324 +976178868 +216641955 +1346941925 +2048041244 +1880340871 +979114579 +483493996 +307384457 +1373366980 +1470902996 +1978755257 +1525689775 +1001632362 +1165475903 +33432619 +1348122956 +1301805513 +229750713 +1820609276 +1095059658 +802842423 +1487897784 +1991242640 +493324519 +1411629460 +831380018 +2060344975 +948279385 +1067595730 +1704210862 +2052851709 +2043774598 +1920852818 +1252309986 +1944332194 +1653710041 +83940917 +280342543 +1961094498 +1457307897 +1751245539 +1792366107 +835514025 +605394254 +810358362 +868946644 +1953517210 +2112163875 +1098697357 +1626642838 +1059739885 +1901539780 +967056974 +903498877 +247380651 +231202786 +1734878895 +160241978 +1179482171 +654990977 +1864452841 +1084850232 +551281927 +1637822011 +189676570 +348130474 +1144048404 +273617487 +628473017 +957659254 +1730925385 +232234908 +602541713 +418955762 +837629162 +1412900075 +1287902406 +643662725 +1377580303 +239116115 +122821915 +289836540 +2140655896 +1089878890 +1193335418 +240552899 +1321081676 +780730665 +400794878 +353080200 +1435721643 +117764071 +1437930432 +1987003570 +1755586082 +1627607003 +187650396 +752150838 +1901224490 +816123413 +1709810092 +1484666227 +1048358322 +164868157 +1903621989 +1885987484 +1577768232 +1044040747 +382166561 +807864887 +1283156863 +504988477 +1097701428 +1276329111 +1594867367 +143553198 +1516882010 +768465395 +924283863 +1917676888 +1121545595 +212521858 +2035440959 +411992380 +52041781 +1643543393 +2039599383 +239692177 +248210583 +1793340225 +1055815591 +1958020675 +1130522805 +2104173913 +2122888832 +886661146 +1842677749 +1553173417 +1930701894 +77360663 +213554656 +1066375109 +582349140 +1311256084 +195220572 +29732859 +1454809282 +1712102582 +798198254 +231609498 +1482295823 +1919743850 +444131356 +1370253134 +184252582 +496173137 +866312880 +76368317 +735865315 +1114523463 +1869708542 +1791680906 +925060491 +852747699 +1748371171 +900465675 +1739408846 +1443565272 +306155444 +1522627092 +1520925935 +519710101 +441518553 +2103275075 +1830966185 +636739125 +2133007934 +1138291820 +201358059 +783722541 +1369901318 +1683653882 +555982743 +1814032674 +906423369 +740235325 +162722164 +1772736249 +816603642 +898587479 +739776064 +538828536 +542784737 +1664836555 +1391576236 +143672260 +417818583 +983501434 +1587237532 +723974027 +358644878 +960679820 +1243684128 +800163431 +916471247 +927166666 +1436902556 +901995534 +2065458486 +1638260615 +1685718075 +1287876156 +1174430850 +94217170 +954425182 +2080854219 +834452495 +1117147346 +1706106820 +1651056137 +2015734825 +298399236 +42401025 +411035914 +1963235792 +1433977261 +554708174 +233570727 +269995047 +2141945707 +957544754 +628639925 +955141879 +53745235 +1428803356 +1871613126 +980911901 +718222264 +626125012 +898886739 +208999232 +164359439 +39279247 +1383430082 +258576609 +993704429 +1316800653 +1093029104 +2110851776 +875423825 +596601593 +1979102953 +1173823061 +639002619 +242655220 +989575205 +2072979880 +797363394 +1223145932 +195491280 +791825453 +33207039 +824131205 +1746967332 +86952274 +105450914 +1471096811 +1067864175 +823673178 +2097221823 +1966750914 +1032672410 +114097615 +2006030161 +268618844 +372674224 +852250942 +1585419497 +1465703329 +815619070 +313359674 +2062304922 +647238376 +1487182736 +553823893 +889893596 +329274293 +479320126 +1687256990 +1552420226 +674811406 +331598796 +1585627265 +1498942611 +2078566128 +1672579539 +1604393525 +1402179291 +592960066 +280583056 +1351917467 +412227332 +1313255466 +1466015082 +270773845 +1581874311 +1838689306 +1123024787 +1019810160 +1156908987 +1938643858 +1333169835 +1071730262 +438398586 +672868923 +1625554155 +1328292182 +1002143216 +2104874281 +868065524 +407079794 +632202039 +1199664320 +1992707059 +2131144651 +1130746801 +1517802950 +1588054528 +385442444 +2110763016 +1868637584 +1737359911 +375506700 +1034409403 +1055891345 +646280545 +468800066 +747097004 +1769305333 +1488610226 +1904005991 +1560465543 +674296413 +828252605 +1998864129 +1347165336 +306323113 +1179672663 +201824905 +263713746 +2047738187 +608904699 +895915786 +1099918860 +454128111 +879576789 +83182013 +1971931061 +320147669 +468624457 +1935210430 +41301606 +58500721 +163233482 +1075711009 +1114392066 +809514028 +1544511075 +1861489070 +431335713 +885637653 +1618011414 +1991801256 +1559934067 +298780371 +1843181737 +759615755 +605103484 +875370752 +961440660 +868817231 +775625291 +1570345360 +1764733017 +1875544151 +2024473471 +496826158 +1958726164 +1848920884 +816973827 +279866974 +1636647666 +858275433 +338367695 +1799881149 +1933986442 +1452759761 +461911529 +1331013869 +1166765184 +893247242 +69167875 +637292950 +737564850 +1629101942 +936073321 +433262939 +241234049 +1541176806 +1308633691 +1202674710 +262510389 +2084258982 +625536422 +2027243406 +1812319486 +502526245 +376585916 +1623562002 +203963481 +1193559743 +1903428976 +1840611148 +2051835177 +94313023 +1493008649 +1838337971 +1547072785 +1954920178 +1021868193 +566354321 +700683772 +1091036068 +1203647271 +1438248622 +572654362 +2139720592 +1871511561 +813888411 +1533413750 +1032661604 +2016563121 +1795924139 +969436938 +494615895 +1675683897 +634272776 +997142140 +2052269813 +110351131 +1201105622 +1098345909 +2013780107 +894233122 +1002697438 +2108093131 +239758123 +693551761 +1507682268 +47194653 +1715419954 +2074036589 +747878425 +658972374 +1130200212 +38643399 +1231626736 +1122437156 +1910154960 +2045515148 +508367259 +795332916 +1914594621 +156807750 +1764769854 +261726869 +1832491648 +251558983 +1258869009 +1737277813 +361910114 +312490983 +688140074 +228206573 +1206724105 +1690837512 +188816056 +1446482228 +236905626 +1696498324 +1493676881 +1952325580 +1623051265 +94071658 +463814307 +605767829 +132715057 +1695441043 +1728204986 +2042870017 +1593472543 +89088597 +690719285 +1360583517 +245896347 +308005492 +1622310386 +2078387995 +559564475 +733695747 +1668182161 +921474589 +1046186731 +208838587 +1149681162 +105427188 +1899676100 +1338497219 +1551909417 +2136581726 +887511895 +898102650 +1941423658 +363079513 +992174309 +257754317 +968847342 +1124889366 +1953195361 +549568680 +1020275736 +1399184256 +638657277 +1710995021 +612284125 +884553625 +2019000513 +87110863 +815457972 +431081340 +820806611 +336156485 +1352555929 +1866993342 +544995073 +354753444 +1972420530 +297187525 +1693250663 +1376846299 +286285603 +433278910 +127465302 +80225613 +796358423 +1119639611 +337979931 +1765205766 +97045329 +143691644 +167290798 +1117321065 +1542875900 +805948076 +680832439 +7676378 +1690501701 +552349304 +94787241 +358476025 +983430645 +915593852 +694632511 +188502926 +635103546 +1239627584 +543256370 +460040429 +1536815109 +89023385 +1836886728 +1823100712 +522302296 +1964352030 +1903326325 +1318660719 +936507993 +93822608 +936382837 +1033553323 +237514252 +1103673636 +3390740 +1780390153 +1909621712 +684223179 +1788066531 +1452639765 +1236572484 +1882853772 +1811115790 +72519481 +650963977 +358264653 +261022407 +1286067523 +1597892237 +804278778 +1746107952 +987223698 +893302163 +1435511033 +662840762 +1415604459 +1252379415 +418683440 +586781531 +41403761 +512506048 +1523164368 +1074957084 +750020301 +479354356 +1078347824 +382926806 +241492420 +1762571004 +23509689 +1694132185 +851659840 +1906363461 +1357764328 +924179321 +409843790 +1716028981 +1185201728 +1695911314 +1166437571 +1989480506 +1294535618 +6177621 +735299022 +582563003 +669018384 +3419833 +1834942419 +1087701824 +590201364 +1876346180 +1600207872 +2113365733 +803819616 +202744525 +445236441 +1882167440 +585671331 +686728862 +1497254796 +609181020 +233377399 +201430988 +368060834 +1591141727 +1125610309 +777904624 +1159687061 +163328390 +326332290 +178640984 +5325248 +1620867909 +184818605 +740624270 +55947264 +853836989 +744044104 +1890889683 +1941538813 +1334245468 +1619752215 +1394263038 +1300127553 +276088183 +1597007563 +1745363995 +10771976 +35195247 +284609209 +1508026772 +644376267 +517986608 +1709457761 +1012437101 +2109128336 +687584422 +1790341726 +1121331749 +850912812 +2116674016 +1299972733 +856238061 +1590058277 +1484791338 +1596862331 +1646005542 +191144680 +193422787 +1389411577 +2132683493 +1527668256 +861680145 +1379462883 +680312161 +1137768328 +828986799 +278192508 +1148540304 +864182046 +562801717 +509083429 +1508558313 +1080788326 +71057542 +373511767 +1042433014 +758641964 +16369845 +16281115 +1609554777 +2133043861 +1316253848 +318309190 +1575618491 +653561538 +1915171521 +1074140385 +844706218 +2108594309 +316068314 +829906064 +1488778917 +1177748459 +61885299 +21607430 +168033140 +890872098 +299799939 +1316573444 +1755054144 +862601656 +1825656873 +1116128810 +1943389982 +1896714415 +1489640577 +838339348 +507872732 +1506010422 +854620463 +2117427509 +1491570635 +23390663 +288253051 +919705478 +676952202 +55940924 +1993845863 +1521658420 +17051585 +162430530 +204080836 +1505830502 +1340178989 +265966136 +1527437933 +1508212129 +1156838234 +1827237872 +677301926 +764408731 +542355880 +355475151 +1880537541 +338262215 +104705919 +1222694470 +1176601563 +612578651 +581221244 +2031222027 +582522512 +2072791879 +2054612690 +870775563 +845013710 +584081244 +926716487 +691375925 +2105739665 +943768073 +853806455 +162336853 +302114927 +46501797 +428302989 +1829552860 +1554713926 +1585141224 +1509307084 +84532204 +202066307 +2051662965 +440007356 +2082603848 +242441532 +544713275 +1157814670 +1419043095 +1157291926 +1739035914 +1302781474 +1739814438 +1664344145 +1209910517 +463106353 +361874207 +1793991761 +1389822840 +1053250133 +1752247778 +186107265 +1907056588 +1914584632 +488222193 +1953558385 +195403973 +170291405 +1360788664 +1780545197 +1679598490 +1445320868 +1982611504 +1583777807 +1885328224 +1917731704 +1826219339 +282557851 +928062726 +1097778786 +1439849777 +519614992 +253076613 +1032180567 +36475490 +1462987130 +1495286920 +398349697 +1109495243 +737626113 +1451599830 +714259374 +923733378 +1211172771 +481360358 +1411955571 +1017247508 +676764331 +1582246977 +230552524 +309825881 +1114361819 +1675873393 +144953737 +550655978 +1413717969 +2062685442 +229391669 +1696275821 +843264520 +1327170455 +988641950 +1362879513 +1580247068 +2020822518 +1399355003 +895750550 +1368625790 +1797704700 +2005245794 +2106251903 +1101820883 +572021520 +882501634 +165510006 +1053381878 +146973557 +1182757514 +1730146209 +1729220534 +1413310039 +2039972090 +696098705 +941699784 +37442180 +1246754683 +207934105 +2100127622 +1476146352 +1904209926 +795908494 +655833160 +745368229 +11304359 +88596580 +618707099 +1410659362 +984347131 +1987332889 +1060880415 +842109277 +1946101145 +15217650 +1414130797 +681119131 +180727656 +320029027 +828092688 +1363485170 +2050175236 +409829575 +629311561 +1942663679 +1105928280 +1571011345 +1980105859 +205199316 +1778945451 +1932749833 +1681345668 +1535671729 +581174679 +189695180 +133556310 +592479039 +278291761 +752263409 +2003138401 +1262638892 +592112651 +916535168 +2104748169 +390730148 +931752818 +1371395318 +1071849279 +1112480474 +1691424345 +1899941967 +328481997 +1594115933 +162287894 +957793558 +1389295964 +1268216175 +381321256 +1221918175 +1473415491 +12783059 +1007184360 +1007277511 +1548454788 +1588359040 +1196972692 +1682011099 +33354431 +1475264453 +286790860 +2036492832 +590419697 +878903511 +805544353 +547684218 +1269633659 +1737297171 +1919079536 +193999290 +702293998 +1463020233 +2093941258 +1030775995 +909652518 +108745504 +1988569553 +151464835 +1376961679 +222407161 +1373383010 +702893522 +235190220 +233083723 +1710171034 +1783645009 +1821442763 +759660078 +1318172460 +1854797194 +87440883 +1604963320 +1743806378 +677860580 +336383184 +401867083 +1225544798 +1606016843 +2139164255 +997140686 +1800016134 +693974605 +312677271 +1746473744 +1724750600 +1222329789 +1855219248 +1565836505 +1373794624 +1084697280 +1788243667 +599693987 +1787590802 +2023433887 +832777710 +1350278188 +1659595248 +506736825 +2109938266 +830284060 +214050371 +49895501 +287763733 +1957856749 +727756081 +624146917 +212240185 +1953300879 +82680112 +203920792 +802957917 +1882696246 +897895397 +1115635188 +1481686342 +475162349 +190481330 +1189421943 +2040998854 +1564275954 +126635575 +1681758873 +16486293 +1914226377 +1557709113 +849264003 +1117020918 +1069820713 +1356000828 +1079475536 +1900104774 +1570051199 +1129371038 +40384859 +1380424301 +1857127119 +664531776 +1592664486 +1662944351 +747211888 +1796585278 +318418620 +482424487 +546997027 +1434053809 +1964110829 +1022159376 +1624535139 +1006049124 +915674582 +1041327445 +1132684699 +449949808 +1057813739 +899427429 +2007658921 +1907077742 +2016448347 +929995986 +1115594923 +948440235 +682617112 +538162474 +2077811273 +723001971 +1918586775 +1787454745 +1387533747 +1363767613 +1302915448 +2134745636 +1012869243 +1621334068 +469686475 +1559866270 +907904229 +286313656 +434541998 +384955720 +1292362781 +1350216581 +1426283166 +277563832 +1800166389 +336613257 +1176991261 +1660341662 +96207351 +1045955960 +442854000 +1211802274 +1994396196 +1125471113 +1749964749 +1924723821 +1848473084 +1521067876 +1564694918 +1088523184 +737351842 +720126718 +1075785172 +1750221085 +193977139 +1545471647 +1162603708 +1101881368 +1831785303 +1597145706 +1486837089 +976664436 +799878639 +765636607 +1254228269 +452561380 +1102249864 +283735882 +2112903042 +1198457215 +1329691843 +408273395 +262775842 +1176604391 +1533744508 +2012740591 +953844564 +1234733944 +1386324819 +371055835 +175773480 +2123676661 +1091182553 +1251558652 +1726414099 +1285159692 +649546651 +741534159 +239557413 +333848307 +191196217 +1726394502 +1310512743 +991074857 +344547461 +417257364 +1443636237 +1446797325 +700993247 +1409055632 +497770892 +2030685090 +1817329027 +760546734 +1059805833 +1203589887 +625803677 +2013650397 +290840183 +2012128497 +237222584 +466613664 +1988321510 +1328405138 +1718172316 +1567251961 +466081182 +220235320 +161302472 +705638595 +554083627 +352498690 +284549449 +1864596370 +1343573547 +629096910 +134370087 +639726136 +2075894235 +835363334 +2048781768 +426181480 +718564776 +1718627147 +1186728214 +1778370609 +774733386 +1812531892 +1644537358 +1065573570 +1677176741 +1881759943 +1532187234 +1518014603 +1062681433 +1102875902 +937782917 +1528762615 +1323111222 +1099085389 +86917563 +1877194849 +1451584079 +371467012 +1594307572 +647673978 +1000563923 +1728677659 +1287400115 +928974510 +416557345 +1188698235 +1355155990 +1135122121 +759841735 +394400557 +766009082 +1534575121 +59448801 +263062792 +452665043 +1736625542 +2144822735 +1984852277 +1107156497 +1060020520 +940244532 +2044939414 +441299488 +115872106 +996541156 +528217051 +1993066956 +300641587 +899684063 +1439890880 +948315566 +1900247986 +1021084891 +88232033 +681738849 +1437642236 +1276930268 +2036894839 +425280709 +2036772003 +283811748 +1191289791 +1423863477 +343260549 +1454352583 +1876528520 +2079886091 +1451691671 +1713897150 +1039558941 +364228543 +506658034 +937014707 +805528031 +622530140 +1933555863 +1333745082 +468113448 +86713803 +85945498 +1908004328 +1035029369 +1986193484 +781605571 +1123261402 +520448685 +71764159 +252708022 +409859877 +497044868 +141996378 +693671625 +1688334659 +1565859855 +1036932175 +995203595 +1294904727 +969334618 +299411618 +861318229 +2008893559 +663640161 +1367976263 +798424619 +1469168193 +1990506404 +584496834 +655429627 +311136204 +671210637 +741375125 +71656885 +1706240006 +580084962 +853262456 +682017760 +1100533647 +925026616 +934725783 +1510393524 +1422071484 +1076722161 +56581502 +962922496 +495098368 +1093513677 +1958126091 +1790003095 +2062848295 +110054061 +503837677 +1924258207 +773694222 +1871813940 +575199178 +95378767 +1714836696 +1159696012 +750808395 +2025972901 +1830906650 +1492183520 +2097629786 +1389663008 +2072268482 +803408594 +2071680769 +1025318482 +1728435210 +858922904 +388228358 +1003023047 +1935645065 +444809860 +1965945543 +283259785 +1538323537 +1776587986 +2073262880 +1453688185 +1886642047 +429616909 +1230462744 +512852621 +153947202 +1805661922 +608231389 +1868783898 +817874286 +1359039784 +1747273151 +501297288 +703739656 +1697419289 +1890960297 +628524491 +353344236 +1815157418 +1653842973 +2081779446 +526596674 +2042071331 +937318845 +314758091 +339397544 +755780740 +598017876 +1877721081 +384885078 +523797108 +1183925618 +124043477 +953414018 +266904714 +636896099 +1107361220 +2072566636 +1245127488 +828661470 +742957275 +456683624 +428450974 +1244254563 +1160423280 +2125870263 +987731212 +1788947771 +331730851 +655404982 +1295307096 +266026650 +1182001656 +1189894780 +1203345495 +1496759747 +1529292324 +1959126236 +2094777623 +1259529757 +196527666 +471091084 +295971728 +320571144 +1424505102 +562876442 +957467243 +384382674 +487959431 +55111083 +1213044144 +1230916706 +511794707 +1641495118 +327687621 +1672217987 +1619881734 +1315418834 +1313682111 +1951612585 +1970823816 +461505559 +70155587 +1005341825 +1651400339 +1273501083 +354617924 +1033209015 +1085143671 +301911900 +145255125 +1281671337 +773002984 +441226853 +1602242481 +50024438 +1004103295 +412226076 +434407112 +1492062726 +467337159 +1647451256 +575495784 +979131866 +1141462727 +903183406 +503866206 +613860813 +71118592 +1817548317 +417989750 +2041942408 +131570228 +488145338 +899800585 +1782970568 +1761646421 +1254418510 +668695935 +699306444 +1556330410 +813951060 +1980977781 +181849746 +1255177913 +1435736615 +231874184 +111797561 +1847962691 +666281296 +1603860287 +167816203 +166248904 +31872424 +1146948069 +1307711631 +935055830 +1650814275 +1921572444 +1006174422 +1320878944 +192078547 +900633182 +1452449173 +680223885 +1800433768 +1087936093 +294386658 +907368630 +1756632028 +993693102 +316215392 +423099441 +827187235 +498065138 +1678277354 +115440202 +729939322 +1790074915 +1963402894 +1396220618 +1246451555 +2131219097 +1562469522 +1278323979 +1130683518 +722697506 +65896161 +634014146 +496786302 +1072070583 +1954893090 +688864849 +1972703765 +1259858615 +1369088734 +1625653885 +200311060 +1663475392 +385538867 +1956943089 +509684846 +701754259 +232558882 +1336872082 +1199819397 +1910836236 +1452312284 +1929758719 +1553427504 +1268231530 +1178495689 +652395411 +1251966979 +593481564 +1930719390 +235166850 +1316179070 +1996615551 +869180996 +1812965372 +921202486 +676590438 +354346574 +746422603 +1936449054 +1723435308 +224592841 +2136760114 +1239427053 +610131708 +1946219555 +1749111899 +1311885968 +31294789 +938500333 +364221717 +1942131026 +243328970 +146496789 +1348074882 +1511560500 +1324992478 +2000470293 +616043832 +1918474042 +1783706035 +851210682 +1087169464 +1632837938 +1720391678 +752651189 +406556776 +249498468 +1106997763 +1152979379 +38463874 +682949423 +1377572220 +27740341 +1922376476 +1987703929 +1973959896 +1524004728 +1152106249 +2005254686 +315021413 +1516327966 +1799902064 +558350383 +1662824755 +1000493298 +2069910884 +840333586 +853479943 +538471068 +611323980 +489702330 +1389681750 +1698493445 +2122540268 +962589780 +303660986 +381613396 +1212088248 +1410658749 +1534592775 +1250552123 +2093608172 +764681348 +1278292464 +1868501001 +604901629 +1104768712 +1245022081 +1757007878 +962539750 +1560043494 +1125852196 +614958166 +2118393878 +641193304 +1615451464 +2040821114 +1481526890 +321447759 +431808534 +2092850870 +811150089 +1821490284 +1643860667 +786206709 +636596416 +1947521653 +1167820105 +1848684664 +1210696754 +554929233 +951753139 +1156821279 +1319610581 +82561955 +877838632 +1924512210 +1187330668 +2122860713 +1534036440 +2386770 +1535420559 +512404988 +617344937 +1506330789 +1153598292 +85312753 +1399668255 +487641534 +406760513 +1831476789 +433008757 +1217910602 +1505483425 +2076869424 +2004117312 +2142079841 +1876907430 +1024453769 +1843280858 +940120536 +1579383002 +647550349 +2096941815 +751509935 +730112305 +827296799 +528538497 +1917442973 +802673864 +2062574937 +1919829743 +190610776 +427496278 +389691032 +1696941565 +1581094570 +475003786 +949126173 +2068736105 +881764299 +633119314 +354261214 +2099674901 +2138602740 +283646990 +1956308565 +2133198933 +13070772 +833278687 +1828996143 +953191309 +265178041 +329062845 +902649476 +1016687977 +1059175150 +1729946276 +1545226474 +829134475 +385136492 +1460317764 +601480570 +575747268 +1887814042 +991171603 +125205186 +1321424964 +1466175389 +1074331359 +1242677421 +200456040 +1707450673 +1596938635 +152647293 +1698569765 +1880585626 +2108955859 +1684285051 +1893656398 +794750898 +1365797546 +699364059 +1059928939 +1694860391 +1602013536 +2076616916 +606551893 +1184476164 +1474359743 +1435686368 +1569612656 +787193859 +2037166939 +2145359925 +527524253 +880854894 +123081463 +1848949217 +199546635 +1197412822 +944142991 +400002675 +757379847 +393597978 +552649968 +308465965 +126699956 +514122179 +1992751016 +2020356355 +1308873077 +1211064914 +572236766 +221318369 +758441658 +26766654 +150451637 +1364993551 +1211242818 +1624811380 +653196272 +633371827 +264521591 +542879563 +631248104 +792045844 +1423734457 +754329567 +493511414 +1623281092 +1951742389 +1437654405 +2023283767 +561638588 +1831252383 +428450087 +870104553 +1957952340 +942572267 +715371921 +1830825047 +103961696 +1926436836 +255578165 +325280065 +537394846 +282344820 +475731703 +1902388397 +1493587638 +2100543083 +408101021 +2126959465 +217581027 +950980584 +610723921 +1009626871 +227231393 +1365053488 +1503138285 +1850512485 +1169312229 +793309042 +1726312604 +1730950818 +477077778 +7279044 +453571723 +287546470 +949851311 +1168943645 +2118371517 +1053813007 +947896833 +226466034 +1379093073 +1485291679 +508810854 +1854824776 +1240196428 +2002398493 +1807884211 +1648297450 +1981874310 +2025465238 +451794386 +445114584 +887608462 +679025780 +1810168072 +243263099 +382054617 +831996654 +1036572142 +2108367222 +415463824 +1513649920 +2115646266 +869035547 +1801196390 +918013929 +2037979192 +1772084259 +1971826936 +838392377 +1998550293 +1203436361 +176200408 +359877500 +910777489 +1416396837 +214792345 +571178053 +917210639 +49183007 +449159643 +1369005025 +494297591 +1336768105 +2048030805 +156982016 +1580031205 +282601775 +988978670 +469119699 +243485349 +1404442494 +1982769619 +211647967 +125994393 +1636482361 +1129661896 +16489938 +1261082972 +954005184 +854882315 +1112149617 +9957898 +1031082724 +1472027117 +920735387 +299995913 +1686819462 +1491913440 +1217206552 +1736002470 +1941073084 +438727929 +82816413 +1130357541 +339275087 +239798429 +562905098 +621876862 +1228777099 +1032024797 +865362211 +485735945 +867310768 +1077010178 +611730339 +356309481 +59188426 +628220277 +1617392453 +1013193610 +1483102592 +582058423 +1023151508 +366701668 +2054085540 +1943886896 +666697581 +1593421355 +1288316688 +1883904133 +1181940177 +1081906124 +175148415 +1264756590 +64780018 +514423502 +1504555020 +627685116 +1136300364 +585848471 +1659709914 +2001662575 +1071584417 +379537034 +931189105 +1683314756 +735846516 +990377531 +164051385 +205755321 +2003571141 +1647153977 +787813744 +879239002 +2013855646 +694415637 +675642250 +533069579 +140353344 +1963958938 +269490065 +1322293521 +898381415 +444638480 +439566463 +963161433 +959061982 +1944121483 +1590846549 +2095362346 +382486307 +1103072815 +1949541273 +1454070724 +1482609850 +733246730 +989901832 +70972718 +1723624261 +1153953217 +276728039 +1579711754 +653623546 +1064541784 +311467108 +519995544 +1758957421 +987109358 +1053065124 +1899310765 +803584649 +1322555189 +1074120638 +1701966064 +1767193669 +1513687101 +517643849 +578772003 +1310324937 +2108490398 +526650701 +1692811244 +1064079566 +328708326 +999398320 +399205768 +1061955056 +1989300152 +470178486 +638095669 +995769721 +746906525 +70323775 +1649393267 +1811448309 +381790884 +21905164 +1422922082 +1368900242 +1074970288 +1174749199 +25001243 +250041829 +101386189 +1726967307 +2017235498 +1615073291 +97127508 +448523853 +777914580 +58134259 +975174554 +323242176 +1122213825 +1303882880 +1322640496 +1521419593 +218354288 +1164457000 +1991598079 +856449957 +12743073 +591020956 +926773732 +1662136340 +254985618 +1308564616 +1684041504 +1677907700 +529981211 +611528144 +705173252 +554982454 +861569973 +806559441 +134466114 +731321823 +274149084 +231593622 +1179845676 +1052063664 +289727881 +7536582 +1375305840 +1411941706 +1311419462 +550462688 +785877651 +1529773750 +1714919688 +629992082 +238740059 +1727662761 +1221013039 +1165513792 +1242315454 +1475998657 +326594760 +778873310 +1006422709 +856575971 +1390401455 +1711595961 +1411558426 +104487780 +370671755 +1546024540 +835809604 +644820839 +1777618162 +2015655280 +1696884504 +2067346044 +2023191863 +924706696 +1331804102 +1187127677 +1475169385 +2117681754 +569417780 +1042605425 +600190188 +808157839 +622784539 +1821203227 +1973671631 +1865099993 +1149718236 +152782744 +496489655 +8657298 +1009358715 +1886891110 +1720253259 +273433493 +1991378891 +2090925014 +1819458033 +679704847 +588262206 +1449592548 +547876479 +137663062 +1369454944 +423584694 +1062369758 +553775398 +1610712372 +390055495 +523973504 +32646504 +1432660921 +1124163693 +840804343 +2055445460 +797883272 +666992327 +1773061805 +1947601509 +819775071 +122067812 +1956258807 +1829133786 +2008958923 +1529028418 +2102567280 +1852854166 +1472469785 +1774541665 +385075365 +2060731991 +1076650565 +932951844 +50911405 +298621861 +1356536539 +1113281163 +852397260 +819765263 +1503336659 +1376370764 +852411767 +788513932 +353050809 +1693216110 +696475744 +1150934082 +212724789 +322053901 +951051943 +1032499860 +444121713 +759827102 +714149999 +305596988 +141371872 +669233631 +10967506 +1613841657 +296291648 +396042871 +1527090000 +1372942214 +1328994716 +1578001405 +1671564075 +538047607 +543798921 +376477687 +1357812870 +2047135580 +1752848452 +62740989 +688165864 +2105899261 +1755957099 +1384641608 +1109349695 +1968681889 +1706695509 +2060401638 +853698101 +3333574 +672745092 +1567848100 +308930563 +814116965 +89598083 +319898069 +280474974 +385889732 +715940941 +1807564975 +1758831946 +2044935657 +1238082732 +1282912373 +435499616 +1781881653 +1659390061 +1793312486 +1681533585 +1264754865 +1856053475 +222215801 +1223170478 +1464526926 +1606857409 +185036526 +1285725167 +1166069270 +97954516 +2139423269 +1169402845 +770699609 +1559787721 +1478333408 +1584816574 +1649385805 +1798231477 +1865291548 +2035275537 +366688770 +1525372875 +1646623835 +264140779 +615971960 +782052560 +699640395 +250369965 +293958973 +345469233 +1931903551 +1558713838 +54039060 +6635704 +634400669 +1518565987 +1613493114 +819437195 +656807506 +632078736 +917391711 +648747127 +1801481581 +1688091320 +61051201 +1132331341 +1125424246 +1710437006 +783079171 +843232147 +1598228895 +1149767941 +221121374 +1097369082 +1413908721 +837093334 +1879421642 +2113549116 +1087463300 +25896968 +311534702 +871883203 +1584610806 +365573762 +878518907 +71527827 +1884139749 +344528373 +890965022 +393463608 +976607110 +1808356734 +1042210735 +630605043 +1348964406 +1103261936 +1762936385 +326905005 +666215294 +398531908 +1170137152 +116960541 +1548299849 +1391258526 +1214329623 +814724922 +80868213 +946267618 +780790391 +1168331513 +972164586 +1092325093 +2040214716 +409291744 +1457898855 +771249975 +480819572 +1194554957 +1115778349 +1371784594 +1588018565 +2092385459 +1032657680 +482745652 +575506854 +234138439 +1586007589 +190959591 +561043444 +104739235 +589491499 +1731180596 +221699777 +2137791349 +974955474 +1436029400 +805032623 +1055823687 +234813370 +1585823014 +76671552 +1206977956 +530664459 +2116886268 +1616269701 +1988563315 +740652596 +2097089273 +1035634624 +1856430945 +1321390219 +476169541 +1801332756 +206564252 +958915193 +229355962 +440702691 +397439134 +420315554 +1001746135 +502178370 +1009807053 +585443083 +723878147 +1000114754 +1560398557 +12423899 +1805147378 +468738597 +247237270 +1243486744 +545410149 +1454215226 +1774151204 +514812770 +923001279 +1615230871 +1255465366 +872606904 +503381847 +964412663 +46513476 +979551388 +618261771 +253077728 +1938466581 +847617733 +693780419 +188422068 +1267933287 +1695526554 +690600438 +130256693 +133485989 +1414478585 +1130371447 +1693884546 +1426902484 +788035177 +15139495 +1674139754 +2031521922 +560549645 +980871333 +1658189478 +1075362415 +1903872612 +1125936701 +183344133 +628995869 +1629318548 +1147756796 +675509345 +461386288 +1766018567 +928587073 +252369221 +466152652 +1622367492 +440791289 +1734085940 +1170410398 +1131391727 +1864342633 +1303896387 +398386664 +847230432 +850297285 +1825289149 +1635265610 +865436781 +1351945255 +1519303884 +1425986426 +185332940 +1030009714 +353865193 +2089205553 +8462767 +537209326 +570717774 +1637781315 +1684966122 +1246227119 +2099167603 +1303501041 +27330544 +204053176 +1769653693 +1649698036 +644844466 +1356255985 +672624786 +1776236193 +1073114970 +1976521173 +27139210 +1920345403 +679334810 +1852428359 +1408127365 +1544771591 +1056889966 +779947601 +823274369 +1242222907 +1809957315 +1177139562 +1183944812 +1818420082 +1714348888 +1754662586 +1308717749 +1251831362 +853406057 +1260401704 +407848755 +880736601 +1464454880 +30018801 +382950989 +2109299346 +1386274786 +1055575775 +1738051892 +311906109 +884613300 +1765191102 +84767864 +1563948110 +1470135813 +1492895229 +961236054 +379542131 +125359182 +1784510423 +1621765038 +1935316497 +814166338 +658226202 +1606252931 +381031578 +265405140 +767487032 +1632862941 +1118811197 +2027888736 +2040711696 +1999547798 +1344859968 +2070730497 +235015139 +1306675667 +1309521636 +1290590914 +897243911 +1621427745 +27720566 +514951365 +1706195609 +1591668677 +1985087178 +1051607190 +405421083 +217145661 +1176966372 +42447858 +1838910700 +964799221 +856614196 +349653254 +423568504 +1237645775 +615058395 +1191055536 +723025068 +1733869592 +1071460624 +616253116 +1585933743 +268836944 +539499966 +1820948882 +1575512611 +1849021602 +964056149 +325272874 +1322965699 +991776715 +840224239 +881677660 +435961744 +677827769 +1933284850 +841382827 +894973431 +962767574 +883830686 +586400483 +1927566795 +1740444882 +936053737 +203651651 +830607009 +1551112132 +1394707187 +1553632077 +1137498077 +318684163 +22401546 +575948172 +587521107 +561901512 +249413406 +15550071 +263439466 +1213469555 +340822945 +1586405165 +57762623 +1181047185 +320599177 +493724367 +1858874954 +106400379 +1335107195 +606364737 +1069167953 +71454233 +1192765220 +849251100 +1811899115 +2128818958 +1052902751 +495022477 +1532447442 +300126290 +2048654554 +522461871 +618810453 +2071056100 +1098410043 +1206331560 +485473964 +1347823450 +1221881631 +748913430 +413809357 +1562704577 +187834947 +471571980 +596268114 +508434124 +965296348 +307659420 +614834503 +152919895 +914024158 +1684002456 +224374128 +2106789378 +385769908 +2036273243 +2088124688 +1438672659 +383812072 +1473088483 +1738798949 +284982979 +1995550354 +210125754 +208555431 +946476750 +1416457315 +694029396 +146816552 +490855298 +1442942826 +560625909 +2053559875 +1630777774 +1032197890 +502344341 +2139211898 +1997494238 +810003762 +606562754 +2930485 +1724027920 +143081562 +227304613 +1683333650 +528851471 +116094208 +1623974691 +1967524130 +499906281 +949579526 +1558839432 +784889260 +797646232 +1768965186 +993444691 +1744122982 +1037938853 +1687474087 +1890939534 +1528794152 +982933266 +304081796 +1434870379 +466227392 +1336279686 +1937214721 +457955642 +1186290276 +599734835 +1064518396 +1189220761 +176279107 +1207599959 +1416525374 +1859612757 +1736451430 +1532619582 +1336103800 +1556491912 +2032525863 +138199678 +967847696 +669931475 +935845911 +589329235 +1663376167 +532485245 +1627268088 +1203366606 +275941132 +1008578592 +38816224 +580022928 +295965324 +505043616 +1916302614 +85696397 +962999259 +955109242 +685431232 +2027517655 +2144330003 +861710339 +1087633966 +1413371729 +573839448 +676601748 +798507663 +1909943249 +85610013 +683549879 +2048142927 +1053457709 +1353481354 +836505190 +1642786944 +869373873 +1368990436 +1122571385 +2072740480 +1644931568 +2131149977 +2111556704 +77470848 +279631653 +469116673 +1993773462 +365328050 +1432115932 +801399056 +1050759282 +1312149939 +798245411 +1912469621 +252300258 +64133492 +338825422 +928902006 +862641155 +101285023 +1014512019 +1546191034 +1944302 +2067969729 +752188741 +838449493 +1563273025 +1621562614 +59956281 +538360762 +1546819446 +1704887849 +522027092 +1510892503 +1782358697 +801658745 +1980009176 +1628648511 +1166986796 +1264641460 +282563919 +70262430 +429307751 +1080809330 +1982732052 +681608009 +1144942822 +174073826 +1610510016 +2007583977 +275358849 +477538387 +1406291364 +277303151 +398024468 +10996457 +1115752644 +1961297494 +1632559071 +1175708925 +352174608 +1031894870 +733113126 +874201700 +395303725 +367988175 +1675860446 +227829253 +1996636686 +695363594 +1492470713 +131716957 +765626024 +1921778464 +1212526287 +600874428 +455902826 +209985461 +774948254 +2066412842 +70085791 +1050307103 +396467581 +1476377155 +1327610255 +794492050 +1487373612 +295879251 +608305896 +972449035 +1471588177 +960480504 +2004343905 +57217655 +1834682205 +252163982 +425205831 +1363059003 +479993235 +274358869 +2058422597 +1972463948 +406075827 +676564973 +1746758765 +1618602114 +1277439402 +55177943 +1828587576 +2052387656 +2121590785 +1898673367 +955211112 +370574718 +1227566874 +135337719 +1165066768 +567456838 +431216970 +1773372664 +1539905873 +1902805147 +586369521 +1396766131 +1960022803 +273568078 +1648930113 +237744986 +1636627081 +2128923349 +512103855 +1547566030 +1953903649 +918179682 +76647355 +1553178766 +389298149 +1354086757 +1608356709 +70402077 +1258990766 +1582463846 +1969075444 +66718230 +1953038565 +1049158670 +202055949 +970621685 +1616615508 +633272919 +596510702 +1009037733 +388594419 +1182880223 +258320216 +201133574 +1456448301 +1907250330 +438878560 +945591734 +1888690031 +950982415 +345674116 +1695110032 +1869162098 +422321471 +1100805151 +110976599 +1776408229 +561678212 +181378676 +887915347 +2144142059 +2970472 +954633577 +1949696976 +1052129142 +1156689526 +772835013 +521261002 +1789962445 +1369345715 +1530298735 +31073216 +404742290 +1788618952 +232206790 +1861190591 +1548385634 +671085350 +659298677 +1289592017 +1622067766 +1004972793 +837218401 +1343746216 +1427294265 +1938023552 +1454722815 +1056218846 +352218117 +1636101491 +1944134193 +348876528 +1639071963 +751284122 +151089856 +543717457 +1907973648 +923924869 +1064978459 +1550452445 +145786937 +447793546 +1581525662 +550529227 +88928850 +1813732452 +264236171 +1637314484 +337334155 +923534848 +779422853 +1959401921 +1928507642 +1616641255 +1155664489 +1208318259 +1407181159 +462903656 +117053457 +1759399276 +2099005147 +2061187650 +2108275804 +1590593462 +664988124 +111882012 +2134310919 +425478124 +1035806882 +1051805730 +1975930569 +1181593819 +1499599276 +1409972583 +1732123046 +1588528127 +1076221388 +1996359217 +1078358963 +1413555543 +772410418 +1857781817 +1225473816 +553434412 +1326939424 +233654657 +1761752671 +586636935 +696558313 +1878806128 +198552564 +648079812 +1792510130 +159344720 +91189626 +310014606 +271226733 +78016897 +735492730 +1307033615 +1129822627 +563939651 +341143786 +481938255 +1973912235 +2073266832 +2070466382 +902649975 +1922142402 +1001341698 +168721870 +547069172 +711639867 +1394195686 +1100503584 +2038579291 +1627850343 +714772607 +477732578 +176925008 +446095087 +676285142 +825004820 +91121569 +835629863 +916194446 +401136175 +1106856596 +994211343 +1136628905 +266406563 +2124033970 +1700568556 +607550349 +458488577 +1526997143 +533333533 +381471312 +282163470 +307992287 +1382813010 +450885340 +855061459 +2094452877 +1845081026 +1955565043 +1985548520 +1325447721 +522854002 +315797450 +1502372729 +968949089 +992082593 +179893901 +1060070658 +1827712456 +1096088347 +1461206833 +787085404 +2090299690 +450352090 +1053491967 +2066850012 +3436999 +1661042316 +377854942 +1530434142 +46892201 +759326254 +1812597613 +354884489 +2142139264 +115999305 +1209945948 +2089108493 +1961080332 +1018027344 +1927173365 +1139044405 +1540881346 +95487167 +493933487 +362346788 +1087569760 +673827388 +1422417446 +767798568 +1769915736 +736140632 +1554883972 +1712731778 +1186492722 +460892291 +1632098143 +1189929721 +2121934607 +2009953085 +572880216 +21343161 +621795691 +237994181 +376227650 +616451307 +353993486 +1586173598 +558076152 +167590170 +456717294 +337765869 +1306634576 +1997598641 +433253036 +1800568063 +212461781 +1520822797 +326911803 +1634879227 +141137717 +2096827539 +223536211 +1696021690 +1662075670 +1410028934 +9430333 +1146690165 +452475007 +2131364941 +1009159602 +1025355223 +5224454 +1630955293 +1263349404 +381452104 +99922952 +1617342891 +1967625702 +657999104 +1784933061 +276859349 +995764973 +944083989 +126974342 +1429018009 +597168404 +339436123 +802357158 +924080208 +1974315350 +943494876 +873424099 +50367914 +492032918 +388016121 +1460396848 +501463251 +1534706286 +1912871855 +485344544 +396382240 +790743431 +490568998 +2027337533 +2054092835 +872021102 +2127260485 +1523952078 +692163157 +637775941 +1161401492 +969022506 +1633540914 +2105485481 +1095996848 +915075276 +555170238 +1435432971 +1717432434 +1479250446 +1262264673 +513443662 +205190897 +1312632587 +1005476580 +593207019 +625545787 +1506939832 +2127913305 +390933995 +1992284376 +376811898 +1181677426 +335369727 +256665783 +1088286613 +1207390829 +236442621 +464755044 +1899553986 +874218562 +1626156536 +721092844 +360275829 +1584158369 +1817089692 +1275351105 +2139328607 +1105039015 +845299891 +1471095405 +219820041 +1358743554 +1676286303 +1532452628 +216736486 +122009674 +10514768 +1723676318 +102439331 +401448763 +1568477047 +479251229 +1583126189 +1903846774 +735917013 +523929154 +963753955 +972359634 +988684198 +715824294 +1846578196 +467357086 +1436917138 +59370377 +2051515456 +1106523183 +1334721482 +2043360415 +64078550 +32537726 +1366972173 +283898591 +1391281280 +895774828 +1816351220 +1608017766 +1017784502 +1826865988 +1184210437 +1120223833 +80831103 +605203836 +1599475063 +1663957292 +361566962 +187908428 +40402798 +1325320917 +1160268062 +1029086997 +2041145211 +859362610 +1496444083 +1330578702 +918732988 +1400475891 +289618237 +105970822 +1296352659 +353696787 +138508548 +515841184 +637595379 +1529789828 +1411616012 +306462951 +990323947 +281916866 +2133328939 +27050736 +1402140699 +66676394 +632254572 +854132114 +1730633686 +993821534 +1042040542 +1771036484 +171658803 +54824956 +652639833 +65320367 +914187567 +1600269 +1395899069 +1832920555 +1402076160 +1685517306 +1938891377 +550945171 +2039214093 +2077399926 +1066786355 +529325824 +1459706106 +330918719 +835788775 +302546405 +612835585 +821634066 +329597141 +2014976285 +888310460 +961851713 +721624751 +471460498 +1955673247 +1763665294 +95013335 +2127332051 +1818490250 +747653168 +45168770 +585194169 +749253437 +1441067839 +270631076 +3845950 +979101497 +62038806 +554791121 +870831942 +2139438732 +1621577477 +1400157767 +1451661190 +1952496196 +88462894 +1754207596 +417848134 +910096961 +2083804737 +285340771 +1798407421 +898172803 +1006965522 +122384272 +706362402 +623147168 +217397607 +686210805 +294153771 +965050775 +731379575 +879347940 +1714304213 +24963766 +1149979017 +1718150163 +1004065263 +1212017823 +125457636 +1874897206 +1203972907 +1747035113 +1127571325 +508150449 +1552047662 +1216034219 +114874397 +1969895796 +2126131180 +51195487 +107752919 +1777054954 +949368290 +1114718441 +1899439226 +1655730692 +1737865610 +2116836833 +194457850 +2032019381 +934403960 +925837425 +763883673 +501224525 +950801192 +1913862690 +71891040 +1954866455 +978396865 +197348677 +1682280013 +34886124 +1944383790 +662367690 +543036574 +1348947804 +1878401910 +657910971 +1171359952 +1857049442 +709106458 +1279112871 +1486620748 +1658474748 +246347665 +1238576326 +1166721793 +1984213275 +1207929511 +1361179643 +1868749008 +2142333472 +139533420 +485149033 +496074349 +1090334612 +251528076 +567965390 +897717420 +1229924941 +765314067 +432513785 +1264811066 +562214209 +1094881476 +1807847640 +1911162014 +825799738 +318274963 +935038318 +535365532 +1027381422 +66667542 +2021986281 +538372522 +313015207 +1113078959 +1705094315 +149744834 +173524823 +918790310 +2018493842 +168374647 +1058323731 +356159227 +664448996 +1174695 +607687303 +1232414386 +898892115 +1837612245 +1997728453 +1331405901 +954939663 +412459015 +278803729 +615303655 +176137381 +1104603467 +933578618 +1111175699 +1639968999 +1960960040 +1177843241 +1514471632 +351848915 +1490858448 +480066944 +2056943230 +1640603282 +653591767 +828249893 +1511613476 +821966414 +1886573624 +1867772704 +1486415410 +1887748319 +327976359 +571346149 +639156787 +18104956 +421590954 +1970562688 +973044619 +834049969 +101882769 +1588348274 +1010187350 +1206486236 +374443245 +2121363050 +698971587 +187919637 +1151722643 +65959572 +539768552 +495097444 +546026516 +449228135 +2135700726 +1199618283 +1277478028 +1499830555 +2021584697 +1016568004 +1220119611 +1360516459 +756832675 +1548095970 +1931862608 +1395989462 +1566200927 +205969915 +1219068502 +391761898 +1040019884 +1320951271 +1980110173 +2050207235 +379953859 +207069770 +2024086637 +1078925447 +394989407 +1028325632 +1144885019 +934757960 +1523423076 +1690911535 +1383986095 +1511640155 +743046170 +513980475 +863987062 +617147219 +1530548479 +2084106673 +1977663678 +139897506 +1484718995 +1762042639 +1535886969 +903436274 +1968012554 +607471823 +1295198173 +860548790 +1928423095 +1127824698 +763272377 +160893306 +1334894468 +639875366 +1239818753 +1729883875 +1668200999 +237220124 +517158187 +1044140427 +1928131659 +1901144282 +408296934 +523694181 +267641109 +1272283996 +1140841400 +1798189588 +1208907021 +971021431 +1938087095 +546142369 +585580422 +1326490416 +1449578643 +406109328 +1933962239 +597293168 +1266658118 +1714901686 +1725117866 +2029930496 +1875794993 +912528686 +522322214 +968130098 +494928914 +43039565 +1205350223 +1012087101 +1087179993 +985998234 +765747736 +1495476927 +1509692416 +1033388845 +620277276 +503050168 +684094786 +1829184297 +1474071599 +474698233 +227843018 +2059652021 +1801188649 +1677421662 +318277701 +1587667240 +127231182 +1584935820 +1155085279 +1852349049 +1467382668 +883396624 +617394087 +1989704882 +1851526722 +1112323001 +2032744448 +909393297 +2124410103 +972440793 +1895391532 +742674191 +320434072 +1257600300 +1776063036 +940711348 +1760650468 +312674174 +622411998 +1087238420 +787372407 +850255016 +999406793 +441077408 +380193030 +1317684495 +2028744649 +507424213 +755136667 +1036346280 +212289614 +75035687 +1919742904 +829683701 +2064740569 +1623785978 +1942006703 +1950001369 +385695628 +1918933158 +774958514 +133603512 +514123701 +1095392587 +1391203812 +142703089 +2036103935 +1004370632 +455377264 +511032285 +2091609052 +1242749671 +1361287302 +943532198 +1683827080 +1741480332 +113733045 +1565088081 +101420897 +868869712 +453950713 +313710511 +943905399 +226209969 +1143394213 +861162320 +1849995947 +937917268 +663680042 +88207927 +709366778 +1438638556 +221811439 +1223490479 +386547495 +1613015251 +1366193568 +275167783 +469902236 +1821570832 +786200068 +414027640 +916836856 +3722 +1357559838 +453180288 +1741484055 +1471292883 +2018268369 +1842904952 +192678947 +324735434 +9131816 +1136584346 +550945403 +1152526029 +1997746667 +253457702 +2090443297 +513943061 +341665630 +652326427 +1952581617 +563477069 +1875816906 +191645465 +29008673 +1094526826 +466813248 +498910909 +768614011 +1253013316 +912938549 +1685450867 +1253017039 +123014740 +2138631155 +847017446 +1594307623 +2009415876 +542438750 +1786986571 +186667662 +551570566 +776087269 +737613065 +1704096595 +626350288 +991070767 +1647056244 +1140293349 +1332736397 +151899023 +945391319 +1896213467 +2027715929 +1137036784 +1925222140 +974759108 +1603850032 +276649401 +1743373119 +709379700 +1189587950 +1281340338 +1962396739 +1312602690 +1272487845 +661930537 +759426666 +1134420073 +1204369288 +398929589 +1321087735 +1755939854 +1175016858 +2058700800 +1312552802 +1801367147 +902287919 +812125398 +794176848 +87540669 +964024422 +1739568167 +1983754136 +844256703 +729121303 +1761492628 +1819015811 +185487687 +2038142029 +1414905282 +894867388 +1080246331 +548761972 +709780479 +245365374 +1821249817 +1371711017 +1004792040 +808186242 +428596657 +1403721629 +2129273977 +37052863 +431254839 +2040491129 +1349605665 +85138338 +795295401 +14247416 +879315187 +882836070 +978271838 +471399706 +719106558 +1822528541 +1200521010 +333115538 +1494060705 +1386008697 +223773919 +761482339 +133392437 +1304020250 +1310244312 +843172917 +1549385624 +984010481 +67400286 +406694016 +1792196724 +495996943 +1810415645 +1773987053 +533049806 +94186837 +1666994535 +1882655472 +179325175 +314806288 +1896902888 +1058640362 +1197642358 +727691078 +1530040069 +1916748916 +402735971 +583077431 +102380806 +1896796676 +1969086128 +326154725 +510795368 +2102478566 +1630174975 +1821039680 +798167835 +1032076952 +657566513 +865568121 +1438770968 +302279589 +1361565064 +1101702966 +2076266643 +1894614870 +1195889803 +1595777530 +1629786694 +1375214978 +1910583818 +1379205934 +286371693 +960742528 +2106897012 +1816411762 +730007796 +362149336 +252005545 +832388602 +111462364 +73608025 +1158543327 +622257732 +28602943 +641234654 +295813764 +826770778 +1673311606 +953380278 +1692338899 +964598927 +1255659867 +906420315 +2066301893 +1184442862 +653551538 +1114708048 +632736744 +135854584 +342439378 +395836914 +1515060519 +628811071 +1356579442 +1474473883 +297739185 +2086587238 +1836623219 +549744730 +771492192 +1948085584 +623352756 +1930035519 +422859668 +651955699 +423786526 +718673433 +1478726478 +2097098132 +1672053711 +1023581729 +914213411 +780229930 +1930002045 +833031656 +1964672793 +436069935 +1947739704 +449925889 +571924519 +142695435 +845762804 +2086985038 +771506506 +54858598 +1413975274 +1069245692 +2141445837 +1103114845 +1618990422 +765454381 +903716781 +94859530 +548006253 +1326576450 +746815230 +971792779 +2045249883 +78058060 +921407263 +1569819946 +1101639789 +1835620675 +202566228 +884158186 +521168683 +19755373 +1320228121 +321424740 +469681263 +1892152641 +464120175 +1315444067 +1831654031 +1235626681 +1370302665 +1098145657 +157388725 +1364264854 +53776855 +1776379148 +2129719236 +957493636 +1871238678 +530241841 +136586438 +470570260 +1502034620 +34352673 +548628320 +275958235 +1604172619 +1650268110 +2111578910 +1806738848 +386942648 +485263946 +1826494221 +1707170770 +806688686 +148691836 +1451839763 +1270808861 +1464135903 +1136010146 +358951894 +686954921 +86672156 +516340620 +2051219775 +140449011 +145236120 +2033455363 +1097942647 +2016474798 +416213556 +1234529086 +339561411 +1918248176 +1268881759 +888189731 +46722764 +725570731 +390974193 +10818026 +384825931 +777916842 +496081972 +63836504 +337603964 +1302770658 +212528341 +1789443727 +426095871 +1676664244 +777970225 +785047766 +216135517 +864642381 +1301388386 +119871645 +1005091392 +1446624506 +5843360 +2103034040 +1315615656 +422056917 +1190079478 +1655177067 +192821445 +311477589 +395883151 +239544209 +1037048320 +786857344 +250362236 +1421874251 +1564774186 +746444208 +1485710756 +1902378150 +2049214867 +1698239097 +1544338229 +327827090 +1227419693 +174824807 +1112874856 +1443555211 +1039467188 +266779594 +1563426856 +2044558581 +1713404100 +1569270216 +2000108973 +881536109 +1991327133 +1042704803 +389229528 +36664931 +1354182392 +785112679 +276209140 +243747065 +1571970024 +526571376 +1665621316 +989260562 +1273015585 +1003848424 +744155065 +1174746804 +554603873 +141009646 +1502573894 +1782023567 +315834453 +467965103 +1078095130 +1355301642 +734744697 +494038338 +1252376575 +300665150 +2063308554 +1105001900 +1182201259 +1907152040 +223055 +1571430787 +1943816971 +1354405447 +209059819 +72542463 +1598152512 +1781029843 +599113840 +1116290181 +622806757 +1872129425 +2120138605 +1366961822 +899392581 +527258831 +1507971469 +254482827 +161798750 +1823805922 +722447930 +1239893880 +1031623916 +1457192628 +1733932218 +136516843 +1757857778 +1649757124 +1241518743 +792575389 +1409425516 +1241741798 +216522528 +1205758839 +448663598 +425582347 +1278301303 +2046816110 +59128542 +1877415143 +1015622643 +681935300 +1602060920 +988277601 +2048897122 +353969853 +1515536432 +1409384943 +608452680 +1677335182 +1085707218 +1330900611 +769745414 +2117331134 +640609591 +356193984 +106364330 +250983721 +2005951108 +1347883073 +1043559110 +1267892977 +442141224 +1260081638 +326168168 +890804822 +1685663986 +1604469471 +790137284 +1744792528 +1334400966 +1805759928 +279244180 +788978238 +646553881 +180657655 +1142948091 +14606665 +1590042598 +1751400772 +1691941847 +528266168 +934817735 +314203613 +498113655 +1575427326 +670397597 +604477985 +1826411047 +528865057 +1952361058 +722486509 +1796758034 +247018634 +1982568147 +2122926203 +1137823456 +1520748485 +1579912026 +1927960741 +1118057366 +766829345 +1586237021 +1397301546 +1555807583 +85307254 +1577959201 +551272027 +99913919 +1020518152 +155189151 +1791855766 +1548784320 +1090006886 +2106059379 +2046897975 +517950564 +628973328 +503892312 +196877963 +1157838385 +308769723 +919364472 +807112772 +555788357 +754448971 +782555327 +1693611814 +127713809 +214983705 +1474088907 +1245771175 +981813050 +912842280 +495589073 +390136986 +998149534 +2073548275 +941409013 +1098063453 +946582779 +1096598164 +742435571 +347883451 +39121402 +701011302 +247297779 +557071966 +1329984630 +751190091 +753949929 +340339367 +1059959814 +1673314401 +1147452139 +1615748172 +280279724 +1930007466 +1161876338 +407993533 +2144991172 +488481597 +1653764708 +979320574 +1401323877 +1870134 +1369457560 +251989763 +2075418409 +163382925 +1350053216 +874517540 +1259981089 +2092488787 +1222400991 +1299102491 +646016441 +1469698770 +1856174457 +1976001071 +73405214 +462640738 +168856790 +1133365028 +2135955139 +1316308930 +601629552 +268751216 +1098832748 +1763505890 +676744749 +1096340272 +104503839 +183025810 +2075660847 +1505827716 +184895944 +1297634759 +1757817479 +112830705 +1461017685 +960387047 +987348245 +573515126 +905392186 +62265588 +1872617618 +1551408627 +1531964359 +1581308427 +1379926050 +1605369573 +2043949166 +1548782841 +591250953 +2032420657 +717608123 +1192880506 +153688225 +1816440871 +808902748 +830432975 +765297496 +913406588 +1013458785 +693474695 +271750656 +1198354729 +1991109454 +2029568136 +1311185434 +1304643491 +842471535 +151050031 +1878158618 +1747863722 +213315619 +1603292588 +1151788701 +1745279978 +1037117367 +384231104 +1203165903 +933582885 +1933013945 +1794416857 +818519895 +503138420 +839813715 +972208120 +172095643 +1648716463 +1802641095 +937393139 +414639403 +668616232 +1630867834 +686390060 +1866970961 +1474493641 +568474548 +1030672747 +631653484 +1410946083 +1181722778 +362328454 +1011326157 +1395038398 +1965621042 +15631211 +992834728 +855254762 +399862315 +48516984 +1788837647 +185392612 +1842933841 +459873894 +688531032 +535263908 +1432082015 +860626675 +36496723 +1087239462 +1798019815 +451136127 +1755855695 +1281404001 +1137526187 +1475343008 +608413994 +1706000735 +358532108 +1240067479 +969463170 +1540254886 +1602395933 +1980789328 +787809636 +1420533328 +1996420539 +1780644365 +128304442 +248799206 +1829161349 +1917142089 +434191818 +1524611542 +229532336 +1122722850 +2059875450 +1661614351 +1983349525 +2096372173 +601370165 +1633885692 +400024652 +209742212 +767806046 +1537550839 +1685085221 +1376220040 +1096067926 +2043617329 +468803871 +2065531097 +1436388567 +2071199805 +1898836777 +76714556 +1344249485 +1747773668 +1857358921 +1472553927 +1996572874 +1539036622 +1242212368 +283281044 +916164516 +1471744704 +1406003894 +828556318 +985875407 +1241869771 +777444843 +1587245573 +728271816 +1177469496 +1796987785 +1496077862 +567536687 +1334589358 +724814254 +1663604614 +1230723039 +1193618126 +1581652063 +519627959 +1117334283 +1333005192 +596342515 +314100120 +933295212 +306217788 +1786654047 +782384438 +1845254410 +881382767 +1065665482 +613935278 +205643824 +324185728 +1442491596 +1191519231 +1566055499 +72452791 +631281156 +146843667 +1249922287 +280785294 +1642921529 +1817458975 +1615374652 +220252136 +1333579941 +698614044 +1413870262 +767748356 +1218242003 +383720897 +2100753548 +1814584518 +697821017 +886565112 +2120802306 +336991416 +1668949550 +1818573068 +1218374183 +587131384 +285024698 +1424018007 +911317112 +1727516294 +468053591 +329888963 +1799969085 +1099334747 +476732631 +902407725 +1380120041 +2119654160 +572383052 +848011046 +192422648 +1905962993 +1546625090 +1606292910 +526227701 +617383445 +1990013807 +479497601 +284484315 +540351176 +1366062713 +257802973 +877342592 +887528615 +2076376041 +2095716776 +1474659999 +213917091 +1372251135 +238493463 +1941433385 +1840304726 +568382426 +1593918822 +792155826 +1045115057 +348842899 +24792219 +1017285570 +921225951 +872803265 +1209708218 +679705296 +271944707 +668517481 +1205932997 +889328152 +511047640 +1685430598 +1173812467 +1051398817 +904009663 +1431615440 +1928741409 +1791538278 +1360507833 +1876974537 +1118714629 +1574424924 +1101742025 +1357208092 +1368374661 +794563103 +1925590519 +814809836 +1586718929 +823221928 +1163652735 +1611511149 +1840507498 +2084878687 +336830766 +902732069 +617100335 +608775474 +1571249550 +1823033333 +1498103626 +2082297190 +1360980283 +524432446 +986212359 +117506299 +1956047886 +767470121 +1909044577 +1169072072 +496961010 +880275559 +596013348 +1598703035 +90000003 +1964388010 +245782491 +2015590522 +631714198 +1832501420 +691328803 +1795366933 +1296528921 +384352653 +1732761972 +1633359688 +1287084722 +202378660 +94651514 +710850624 +2025411993 +1592755140 +645664167 +1238908628 +2117187586 +1631876526 +1356414927 +1925751825 +251862999 +1117975857 +947340249 +748824010 +1998251416 +1543353597 +200043397 +2088251419 +1360257959 +445825888 +1956358294 +1991972157 +130843661 +500203449 +1639855443 +1427372582 +884556102 +1225133767 +913248622 +24157177 +1427512427 +1007900136 +735007801 +1305440772 +453171629 +1380671968 +396865753 +422875567 +865064847 +1753280680 +201143744 +1116927846 +723772889 +1148483993 +1865751856 +574540657 +544353943 +2065795254 +515308429 +1904611902 +364137494 +324183075 +1749100412 +494981155 +824386524 +1241472207 +1922353738 +1708942626 +319122326 +688118712 +1733099803 +1746634754 +1696018849 +320623957 +904591878 +1706830 +1701295925 +1301457631 +424582397 +418877124 +907254664 +625726142 +1535804971 +1631027553 +1774210135 +1254073179 +58084563 +171080430 +1172384785 +573392992 +2075692333 +1536522280 +897576067 +1677309097 +2031503435 +1721962591 +771297656 +1806373525 +1283421569 +1090419982 +347008590 +869037725 +689571088 +2043027439 +1189661682 +1594162967 +2044734269 +743473959 +748136950 +321833018 +1162351084 +1655391614 +947559160 +550672407 +1138935520 +574285648 +1804745586 +1197020083 +745366078 +829646724 +1770413075 +673574763 +218685356 +520505494 +203400212 +102705143 +94984437 +974697868 +1909078669 +1378406006 +2065117851 +108603611 +99960083 +607205291 +4147402 +1289621765 +53884610 +2048881671 +2033095725 +802021561 +223231041 +1047963161 +309929527 +1170790202 +1598635568 +1448865047 +1745075850 +1255897506 +498401482 +342958280 +2085544230 +121330909 +1016533044 +156745938 +641836403 +1219933256 +259451082 +736820840 +47147477 +21046103 +2115226847 +2112265328 +129649714 +67703282 +571986971 +133797116 +1357325048 +625871582 +35195139 +1242937125 +1427893143 +258426180 +143416638 +1737822670 +1429216382 +1742052206 +1039204070 +1026808584 +850466064 +1537605552 +1369766865 +788526647 +1658936462 +238816261 +945272585 +153289217 +1458749517 +1204723667 +890110058 +1505896994 +1225769770 +857853257 +1470678674 +1355419484 +925556539 +2042665646 +1489216600 +135397939 +521053580 +1524411739 +1378335064 +1948946723 +1782837920 +1521751702 +1539285745 +1064570654 +1116320260 +431006167 +2091379239 +1966786325 +1968611720 +1313662456 +607829324 +1480064534 +1552478717 +1553101909 +1633353751 +863744586 +610341929 +375980161 +222157933 +1836111699 +1233833418 +1692836607 +1044047536 +11906310 +1588018605 +385780488 +147304249 +2109072185 +1910192228 +1525639314 +1910535260 +1545546500 +899907368 +1302337358 +462633506 +2016227629 +1733343525 +406529097 +1835530306 +1554471597 +1720191553 +295875982 +887052483 +1125186622 +1848977891 +372922587 +1988931209 +311836172 +748902748 +63605494 +464224 +1982736167 +1756442101 +1044511760 +1994642477 +1196977059 +1430292248 +2141946726 +1158565596 +1193000828 +1520102392 +921617209 +591063680 +272526113 +76470919 +1053697187 +141270094 +1809814444 +1460226284 +1976800400 +1216802394 +1032934190 +125192734 +2103854877 +10637164 +1974170625 +329293816 +1999568373 +138523150 +1078196565 +2063173867 +138987374 +913449084 +1672132321 +1183499134 +760607913 +721625732 +466307734 +755070991 +1880191328 +1659308563 +127689736 +654324889 +102888595 +400215849 +730795808 +1156585782 +541485943 +393126605 +469328419 +370802695 +1609928999 +1502262609 +495995429 +1566300228 +1512899773 +322682406 +1895594045 +1364984499 +461205556 +826306962 +1280674718 +600192930 +1739756046 +805323391 +1783692064 +352880311 +1526949123 +102516151 +1107951302 +1259656804 +1761824714 +1235641038 +1913981693 +1864713309 +1635856887 +497293854 +873815444 +29859182 +890420459 +1343143863 +400661877 +352865810 +697922824 +896657306 +1919166038 +63338949 +1219339713 +1667276435 +1428323448 +1680545269 +346099749 +561514519 +133254552 +2085855795 +1366837910 +1916946616 +291252458 +746303386 +2019462767 +1399203761 +2005960190 +1633803833 +487361151 +1772458235 +1351033495 +2123218039 +122268441 +77365291 +5593573 +1012688900 +1420509154 +406255451 +1365554710 +2118431978 +1302912757 +1137237101 +34287279 +374768822 +657029888 +1462610728 +2055314092 +1003129638 +2024125247 +41084996 +941501785 +1243479509 +1958031612 +1232754244 +1989782895 +1830010732 +484474357 +1848259437 +1316330917 +971835508 +1473234025 +519880764 +947569899 +1595502466 +597246055 +953163473 +460707719 +2017755209 +1359418924 +1826262429 +1988703539 +514848033 +816015882 +2022990819 +889616856 +1473045771 +1338117899 +797447300 +328691761 +1214759498 +838532296 +1270193546 +310755359 +649080260 +355464142 +153054607 +331607344 +839938499 +2001314044 +1647938262 +1811774008 +1327064421 +20335378 +611860259 +775083240 +617581434 +1565023732 +1235790959 +487852995 +776959008 +914569740 +329072887 +1291807042 +1730585623 +204580058 +33940250 +1056147746 +1542697957 +831387550 +1384839507 +609973807 +1669919846 +507549405 +920729166 +171516458 +863013548 +1073783773 +503123803 +1702952047 +927614170 +3578417 +1367242407 +107194943 +23913795 +1979102667 +882278183 +641495229 +1396642751 +2118069142 +1129348225 +26118112 +885155235 +1458421112 +1317925154 +468257210 +1663001170 +1351865404 +1524404956 +1058215479 +35769306 +761760815 +1668189286 +1705689152 +1269310220 +441434804 +1877205610 +2132323768 +1515218578 +232845765 +1687792168 +295349100 +236424182 +907550927 +402544043 +260337978 +739169946 +1284822227 +901833207 +2135812698 +1255407721 +2031181432 +14447162 +2140562956 +1342118896 +1332372316 +461336518 +857636418 +536754072 +1985741474 +1915851897 +572523378 +600018641 +1436557535 +130728882 +1869328862 +1877992340 +2007934492 +1854168982 +1245727270 +93296610 +1394477502 +1541076370 +329720792 +154544782 +1943620413 +590058770 +893714728 +1080958992 +1491891978 +882043778 +188883066 +1375589762 +896490940 +181962374 +570225011 +81379608 +643298893 +1427861429 +618133680 +481556719 +1196229679 +1190657058 +1081575361 +485303566 +1321385940 +803420575 +215812258 +1181836785 +510105909 +1461539528 +1275133395 +1904583412 +855132250 +1604854187 +2059128194 +651269016 +47429310 +805359274 +1732228008 +1539321288 +1687403053 +1921111074 +767427402 +436410345 +2103073449 +1337652413 +517789954 +598888694 +618030195 +1135923634 +1080445413 +1814259874 +179097045 +14537126 +152079792 +1500482985 +817957701 +367892051 +534836122 +1328063611 +1829431579 +1809969517 +1085163375 +537080182 +1267340057 +996807921 +1188349198 +1314769367 +1802167195 +773093558 +706607007 +1342086600 +546720985 +1474034409 +1778496946 +502310786 +664203175 +148803252 +1101199480 +1282233370 +1284726886 +34161245 +949009596 +1463823931 +48698372 +1101089388 +816823269 +866656073 +1468981439 +1351659391 +47236036 +1150929371 +1014145261 +1132399411 +1688009553 +134001670 +2129207332 +728875103 +1448771037 +1783890880 +1501968661 +7894396 +978493832 +2048689646 +1481928805 +609507130 +403516784 +2146131980 +758310382 +1504716264 +1280881702 +2043037269 +1538877510 +82407650 +1359377552 +1587575882 +1183497039 +28717173 +306748307 +504994830 +1380376565 +353984344 +1655924201 +247038178 +1486383755 +1196450106 +381039848 +1468107440 +1925325209 +1829810885 +1104514672 +1279810223 +1837705281 +2083008504 +1181016221 +1172150438 +545031987 +1584533006 +1170798771 +1303342369 +941765622 +304196825 +1198895990 +333159484 +386604476 +410789895 +1920735366 +1570101515 +439507068 +80000026 +2075096345 +1819883633 +433984370 +1583536899 +2066921811 +1920368125 +632503357 +300478011 +1240991917 +410344919 +2130288896 +198022941 +1690155142 +1820510529 +133547798 +723687715 +845177320 +678579785 +160737073 +2015976091 +1981922154 +1102502696 +172689268 +1033334497 +1435662180 +559293744 +1444124392 +1208913899 +2129395259 +1883631460 +1288913925 +2057007957 +1556031446 +1722898295 +1493061208 +1475469609 +1495782772 +2125564565 +1775947621 +589291042 +388425836 +1758752869 +787313983 +2078580978 +1431779751 +920861781 +654785046 +129473423 +1599441566 +815522119 +2145449514 +1433880073 +1918024815 +170655134 +319730922 +1206203348 +729948879 +1763855314 +267633599 +711860490 +1500003126 +1556547524 +621384799 +908550924 +1131962171 +2114446007 +236536886 +480261295 +2092526925 +2012484507 +1069552337 +333469113 +1623753728 +1856866321 +264566444 +908049831 +630244454 +919351490 +1037523254 +82202373 +1734873609 +1035489120 +1516082446 +1505414777 +1206144255 +1835813368 +564134477 +1936093134 +1452185034 +831768076 +500469976 +804704512 +240831952 +1121854776 +1713255437 +1372794123 +1088817135 +1949792323 +1853055418 +1033860412 +1814793182 +775124108 +1367329526 +1291063262 +484506781 +1631895970 +51629446 +1114751235 +403763812 +1089152700 +1196953608 +2138637421 +2124641821 +565552406 +1496568550 +1183302428 +253882126 +2060703027 +971911914 +1706067160 +744987455 +1472381890 +363288025 +985819407 +446753018 +2076543462 +211129882 +1535570154 +1878852137 +2064185301 +421946918 +1546161671 +691825761 +1789276444 +689741285 +1176332542 +1273688766 +741370731 +143600129 +1677452578 +1830523432 +1340553738 +1668606352 +1807681605 +1906106144 +1017691254 +843500385 +12504623 +930910634 +1815412299 +1718571783 +1675898089 +1140310541 +2081859808 +514233849 +1587063560 +2010919622 +725363731 +975150066 +1742288111 +642065384 +1397096984 +1140966134 +1333891145 +1038889781 +1830707420 +362740039 +165094899 +424594503 +506340169 +1842547478 +107634287 +1846893907 +1363670182 +1915315892 +1605516403 +233877788 +611332629 +1618021026 +1164788422 +279261280 +1189109162 +693202864 +1419571822 +1123485322 +1207436713 +859151734 +986921297 +1932800444 +1834301800 +581725760 +427382181 +1083915136 +1722691895 +1761273326 +2122804917 +1405915667 +2124013366 +140416169 +1830510170 +482869887 +1982963647 +1938144458 +182280146 +1199150181 +1705976702 +1787796549 +1433027969 +169825684 +1258333928 +450332744 +449086964 +299959442 +1143535608 +1868658786 +1423444764 +203488673 +580326872 +262882413 +2136289117 +267145024 +844608174 +416187650 +1351060161 +419816421 +29977329 +1326381430 +1825732088 +6507047 +1466797599 +1508758610 +489376934 +1302277598 +1299419420 +671657080 +353944131 +857912475 +311969981 +1786972101 +1027738159 +1570303909 +89821197 +1476825123 +1870263351 +1233356805 +1198000262 +1146224468 +1436845478 +1778327134 +1409106881 +1425650947 +2045472159 +106231407 +1841838598 +1249048672 +526047828 +1871815927 +427946454 +204296268 +1878322974 +1894744054 +1713054879 +220216260 +1049538004 +864990651 +891873340 +1403482136 +1722903126 +1203843321 +1042970589 +603157637 +626663583 +1132791786 +2079982761 +349443286 +218664943 +1130499375 +1495667754 +1655510421 +761342861 +757290988 +933677720 +659331372 +863522395 +628032670 +1908380044 +1389570224 +352364949 +188842851 +1593866492 +83204275 +2083586905 +1159437723 +303420535 +985641261 +2024428375 +1195293875 +241639749 +1599847853 +251653549 +1284610338 +55521843 +878317132 +269918476 +2135504604 +1227760418 +488583419 +1118520331 +575944525 +2144093840 +1879863192 +1333235513 +930287913 +391710917 +49274260 +1558320583 +152607313 +1438844484 +1910685533 +341450164 +885227329 +1993889808 +277553421 +2044665052 +149826696 +1263194683 +1921609779 +1345120571 +1504834432 +1373973985 +1596774120 +641961123 +1429495828 +327607604 +911879599 +1417516784 +1555368023 +1400463019 +388553467 +2131312548 +1397073211 +120933011 +1317064413 +179877476 +512643928 +1366338673 +1738198060 +665251242 +657699510 +1501399945 +1006701406 +1542926839 +1347806105 +1284254828 +1440108243 +1497632801 +399965863 +1214234375 +695269725 +1904800295 +440724712 +144560197 +399277770 +1870220540 +472167802 +1311157370 +1140253676 +2027535825 +564136741 +1528807143 +2011364725 +1961209952 +1649740154 +1180945490 +2141087429 +14900435 +399800515 +1731801841 +680151677 +1057500025 +1085718138 +1686853083 +452943216 +286040595 +823624263 +1893051460 +1783673397 +1223590126 +959802187 +331459474 +980906774 +1400526899 +476019671 +1380184544 +1123263791 +948187473 +543858266 +116033819 +828239650 +1107995007 +1644840962 +692120727 +921721312 +1147097468 +1873066217 +915325093 +1161997903 +125383085 +499643286 +1842149580 +1182883110 +1585361424 +1381519016 +1635826327 +1871402019 +57659631 +1381394139 +1507591768 +1281249758 +193712678 +1839051242 +114672884 +1594239577 +167587266 +1494857428 +570019720 +1115774739 +2038715695 +686053539 +1944014390 +999227054 +183410853 +488651469 +1920948366 +1330508321 +214234039 +688789811 +345022577 +339617124 +1188433097 +39688509 +1522500234 +626310873 +1421207525 +1010842913 +350229245 +1478867157 +244753404 +1857821013 +612633267 +438466082 +1549388608 +727306151 +2032705659 +1716975874 +74679931 +455241731 +685266965 +2113395626 +1141295270 +481797707 +965139033 +1324706123 +970449177 +738603751 +507730797 +1184683216 +1427393563 +852753374 +1524300340 +468343012 +892441883 +899316926 +1094653886 +166165761 +1910159840 +1444883131 +1645032918 +7429596 +1155220496 +110182537 +445895679 +557125456 +837488688 +331117690 +126617682 +912168619 +786359422 +811884648 +878080598 +1927654692 +1293682355 +1843219631 +1104877168 +116647884 +434339734 +1612607965 +1301331100 +1861733297 +317877691 +678147792 +182592662 +1210319574 +1577464719 +1277246548 +1376485335 +1340140911 +574646031 +874034605 +1347570507 +1729866527 +984217142 +1793466186 +139508336 +1821705830 +2124583877 +266126018 +586390802 +763459651 +1078010666 +1464471400 +543630695 +224209374 +1160207383 +1648507863 +340857258 +1594547117 +1113632180 +1642188359 +1308796767 +1431509871 +172852503 +1491389429 +494345798 +1750317222 +621152329 +1870831133 +942974485 +1195798360 +597382091 +143061345 +778181239 +1581599233 +1936527531 +917689575 +1255821416 +1913627760 +1183815594 +1842212218 +529603763 +114342612 +1159199970 +1073234459 +338551986 +171923705 +574258674 +679409245 +1766470822 +1687890855 +174113956 +927783941 +971917078 +346966459 +271689722 +1466262876 +2097283682 +892842051 +1189610362 +892774519 +2088640411 +1786992453 +1035835864 +719338003 +1221108038 +824879748 +1637027578 +329445806 +591023860 +673359524 +24174376 +1120627624 +787702137 +1183374346 +46378435 +1126254123 +1355298051 +620637109 +1805663368 +974285226 +161044316 +1979777324 +1902069167 +1132961395 +179260136 +26275242 +451740623 +129060170 +919117293 +1641350985 +1021834689 +860274057 +1280859790 +2057670554 +1579612060 +354484181 +735066654 +1069155990 +683929987 +1326090514 +1742515515 +708104364 +299234490 +382734004 +1891478710 +345612925 +1508988127 +1099293114 +966250035 +1167167848 +2073578340 +1127294351 +999461524 +1828163859 +112772098 +1178721660 +1854439101 +564512722 +1307781830 +626072747 +58380059 +182132872 +1486346804 +1339239850 +92319778 +918475216 +1693724031 +827386432 +1987631206 +230170370 +5993298 +1582663073 +938274734 +305227789 +1965397077 +682269797 +650840714 +1326901557 +1781562911 +1617090749 +346585757 +1707657603 +596901453 +1346047281 +1388337814 +709673551 +377285294 +1095293268 +1274186273 +1685067124 +1721366015 +1332566333 +1867199996 +1060229171 +524322535 +1959519774 +1978704387 +70562918 +639422558 +1818851945 +300733288 +645415857 +1254031371 +1239008023 +950643646 +1071944800 +1921277820 +1601484360 +251362709 +1555357083 +1071091462 +597948466 +1115531038 +1667992915 +1943995748 +356385204 +230182818 +173797394 +1451678472 +1504369092 +1858864518 +1025560839 +689451777 +1578580867 +2085790010 +1213774312 +1390616993 +1917010749 +1284337230 +2030039552 +1588379047 +1585070518 +527971761 +694926770 +676594893 +1478615407 +1766871570 +450389065 +932616119 +2018234280 +2005746148 +2003707581 +468699098 +973793538 +1524216848 +265211198 +1330178743 +1754399667 +439008592 +634373567 +1111285111 +150389463 +1659934407 +1800736888 +1728970330 +1598240769 +867027552 +972103675 +1367767871 +3881134 +854659579 +808663270 +1588951652 +1382631340 +1503590040 +118062898 +713763099 +1122977962 +568451963 +1646379219 +993728594 +426714464 +1502603152 +1462427693 +1400508002 +879336353 +1727638891 +583203097 +486252372 +19163836 +1217576665 +1597537483 +169553299 +730027424 +1250790723 +1898523629 +180784545 +2117818275 +723143656 +1548552416 +2121699409 +1577803236 +209732038 +1563167413 +812950928 +1713322078 +1681230311 +1526714028 +688816393 +102198627 +1025609599 +1682544987 +528913091 +380729103 +997489032 +1929421093 +1260065456 +577644276 +365140543 +1746317828 +596808112 +1582717208 +1196371663 +766361411 +165260984 +299678738 +517401392 +346045529 +270013365 +1240545048 +1894597946 +244229126 +670864636 +2104329984 +1807396540 +1483815565 +1670168415 +1341143203 +863045945 +211501160 +1443341830 +1888655544 +1894046147 +1972254921 +121900999 +744051532 +1754192367 +1381966456 +1321695808 +2119332910 +980800636 +1918503920 +1554566470 +29688652 +537381683 +1719827454 +329367390 +1054783075 +2065872983 +599380756 +147844475 +1812987281 +843609882 +818709112 +1769833618 +503522774 +155041029 +1292518385 +1844665978 +1018086974 +1504019545 +1140524160 +759258870 +1250582044 +965295434 +881159869 +1994633576 +572004153 +115642677 +1168845736 +543853415 +1096443314 +939866008 +2098419885 +1126131966 +1477247691 +1670763691 +1455499356 +384547118 +1589153026 +2054880112 +532391594 +1254656660 +751006347 +1351100706 +877006630 +1254529121 +1506141735 +22041367 +951711451 +376745061 +1526060912 +2092235612 +1136003931 +629159308 +910047398 +2017163800 +476309237 +1482051551 +2132806478 +1645154973 +2025904966 +1081766144 +437537334 +1976841203 +60414462 +1914785025 +1500121246 +1515913818 +151848496 +941790624 +1423310283 +684240090 +48963636 +26832982 +2035340796 +925970266 +1281362103 +1393998883 +948011633 +85589907 +1770743944 +326588897 +30341871 +759264227 +955748206 +940389269 +628944379 +1432057443 +274957172 +614267209 +929728768 +153378490 +1696033353 +1367266102 +2130219693 +1756447815 +1134567480 +1482857291 +1124877986 +1286415976 +277164267 +400704621 +1970656066 +326127904 +427537603 +1858513214 +1252098170 +1708899706 +1105028449 +52626156 +1794489613 +728288745 +379215053 +1824831484 +1487552972 +1334963259 +617737105 +2116497351 +619537054 +892694277 +583280913 +1549265823 +1046072767 +131830618 +769048277 +1028808812 +1888278434 +1903615757 +364182455 +865672772 +1042548085 +641346723 +1266377393 +865720503 +967474627 +1693914996 +576750069 +72089149 +1255331054 +1681778518 +124715305 +902337020 +262583615 +503930359 +579684856 +1750136587 +1838893618 +1197421962 +1719150291 +310947025 +2090116239 +154947556 +1860212848 +988705359 +286778174 +481777477 +2017514171 +27572960 +237909587 +234212979 +893245732 +1280457672 +875559702 +12139477 +2146178176 +1843034329 +1706054473 +575444597 +1915123478 +813901880 +109739468 +2039838784 +1716238900 +372323083 +396285495 +148440108 +2122459671 +87695465 +1345862070 +1694126314 +398642490 +1288494662 +1849073870 +111371690 +129716373 +2135852044 +593149168 +2147230544 +15941357 +831058755 +233959875 +909187089 +2111516427 +1109519577 +921326567 +2110210955 +805070258 +479897392 +538171905 +572710089 +1293799272 +647911373 +465065225 +862554524 +1020234456 +861350720 +1010994633 +995210479 +949046185 +209373055 +541853145 +1347688676 +1497867717 +243443367 +1459060366 +1627584090 +231811764 +2052209534 +1627330987 +247753121 +735784641 +1861290862 +1156940210 +699817421 +823326792 +2078266777 +662544728 +1628397050 +410680522 +1200716633 +53623491 +1704479794 +1848628006 +518688716 +419550671 +721378815 +1380039436 +1430545304 +1716589294 +181601974 +1639918359 +110958792 +1529290650 +990302429 +354402159 +840867368 +470402871 +586213923 +745593255 +2097733858 +833967044 +1481377896 +1811541073 +1990907255 +33711669 +487384217 +1921690384 +696256398 +2115781267 +184887258 +1896973031 +21921111 +1889367053 +1598117390 +540609827 +161434076 +172012557 +1920649264 +1591979380 +1888601851 +2102251238 +1084414091 +1999560643 +1484058240 +2074716520 +206479155 +177441960 +397635744 +792693078 +923035215 +347885954 +1626660123 +256929464 +11943379 +1470083730 +290641133 +499327596 +1244290466 +986897531 +467625216 +1429177725 +736386915 +489546327 +1171061130 +187020657 +1030156154 +1332495206 +359033214 +803321770 +776990938 +100151417 +758089360 +1861405029 +2099712061 +94663952 +1788637902 +158707568 +272105913 +38789998 +951400646 +1195141128 +386675952 +430577121 +1452070592 +398619332 +1900660851 +1742711726 +897946928 +997467670 +582125609 +1365572144 +279161747 +1318512524 +1855118471 +1450222877 +1505533181 +737790978 +635234435 +1864566395 +1541112748 +1412225373 +1964717813 +151718461 +1126146754 +1916946226 +246382413 +767301008 +2075653794 +518488326 +806091006 +879570792 +1713629455 +1192766959 +1310147914 +1018216399 +1591386291 +1063325117 +613444477 +341849571 +2060792787 +1195570087 +1707421716 +192470886 +366598963 +1415056539 +1642693763 +1872132145 +5363869 +130444550 +1589214892 +1546476618 +1542669923 +1406449057 +1698195079 +521333030 +1175911635 +1944577492 +1288634038 +1104081781 +315582171 +2094725045 +1983652574 +2029211626 +1140008356 +1146316840 +899944377 +583910999 +62158309 +1513388855 +925760570 +2122951097 +561475294 +485698638 +167938335 +928074257 +1900755178 +1810632099 +652722754 +1906119047 +1941076649 +94453999 +1305112017 +1336262925 +1500903056 +855823448 +1857595955 +529331044 +652917293 +998746345 +1633412825 +968499464 +945987742 +1469581751 +850227442 +2085996098 +468414943 +1750171819 +522423449 +530573253 +1116077026 +1448184020 +506040702 +1677552320 +1933882658 +673979037 +458142930 +1687154188 +337127488 +1110865684 +1445789588 +130720490 +1205319683 +603417957 +1466983415 +558739092 +1459241406 +1177095722 +1088070136 +2112158699 +28358419 +573999313 +933174515 +974346162 +2043581065 +1783401957 +912858612 +364512360 +1386090128 +1435282062 +895085613 +354683507 +735982434 +1401126315 +2032235827 +522381444 +2075105353 +342895109 +62051985 +264749193 +1453760794 +1507841573 +395469683 +511596829 +2111259530 +1862453098 +1070335921 +1423017288 +892065172 +10922409 +1387692339 +920423592 +584921723 +173383206 +1894769754 +481019140 +1956785163 +660144718 +845531500 +1195391644 +2095426780 +1740617114 +1550075151 +683925566 +994259781 +1434827330 +1206307011 +921881486 +1777722440 +1268358996 +1186630680 +1083999586 +628716921 +1582100363 +1595596415 +592492803 +1297069814 +518448689 +2015510092 +41651338 +529371098 +1255718783 +962074930 +1114292821 +1429101990 +709361036 +1595311961 +1238403505 +1369505755 +293359814 +286311501 +1317448887 +2033976928 +1836386652 +2001374454 +880753061 +1123730335 +1060197817 +1802634548 +753969127 +181073165 +841781580 +1837968713 +809790086 +276398295 +1286081480 +1402282889 +1573468109 +1804530169 +1270309333 +1615119448 +186417620 +378544469 +429710730 +1300710441 +1807646459 +1139071767 +748538755 +898566316 +361093874 +1041898569 +1184877818 +1678542761 +928391849 +873780822 +1532433567 +1809144910 +1997511157 +445147736 +1464295810 +603996636 +626220901 +158593742 +294481701 +1436010987 +434992038 +1580563182 +690810229 +2008460147 +1237609703 +1961119562 +1476095947 +1424027323 +192180383 +1905806678 +577254117 +1999826842 +897394797 +1325792872 +750909511 +1258488671 +220207793 +1935787329 +789547784 +1148599642 +662084503 +174497704 +810260904 +512112013 +619645440 +127073067 +1116108649 +1245866342 +285666809 +1410590351 +534393681 +720658847 +843669885 +1225203910 +581635347 +2081279588 +1038839825 +2057731294 +1357823264 +1231020208 +1816054324 +1935077381 +1083363403 +565965473 +1113386605 +1834272914 +1824454144 +1333594398 +1622576595 +466518281 +334710392 +137177450 +641015985 +1144971296 +649289463 +1260661425 +1272044363 +1765398113 +359044119 +1557711173 +1028504816 +893437801 +130886372 +1872174701 +2118641711 +712521719 +1805970641 +1009997888 +622769366 +1016310257 +93534449 +291340042 +803903990 +1176897852 +857305516 +1917290595 +863687118 +534276012 +1103401345 +338780065 +1000794293 +1438111737 +475957515 +1641810278 +435599386 +1125246979 +754988056 +1707643749 +743161444 +1114032175 +1117871274 +1771666260 +2007469976 +1248757647 +1496357313 +1978628040 +1961279366 +1154844306 +841142280 +436565084 +23670916 +934676729 +727905127 +827574906 +2111574581 +1585210643 +597381854 +827778051 +2119486655 +1700783199 +1166558116 +972797301 +991411289 +1642515632 +467123931 +1427010675 +620278963 +1222111987 +987170776 +1363440407 +188660515 +2105042051 +987623019 +48646843 +1206316050 +336496684 +2027274883 +1020111768 +1491340990 +720933516 +1456676853 +1515011906 +1655610245 +37098332 +195103165 +1619701179 +1622308975 +792485019 +299995582 +1594311982 +345784570 +1466553699 +419625635 +1337195859 +961585683 +886749567 +616722886 +1581864646 +2108861554 +1603893663 +797821405 +150038421 +1561452066 +1785444424 +198685265 +620284468 +2121941108 +78476500 +1640396236 +1465798450 +799410016 +949589441 +833326709 +307536614 +986687773 +1028429874 +1927237793 +461513100 +1820914893 +79749727 +2055825083 +19215815 +1546303426 +327967070 +1356411675 +360405461 +1214716637 +1973134561 +1942270107 +1176094544 +1429544576 +592607864 +1326132965 +843512994 +230568640 +1524818230 +1463797462 +205026100 +1603294731 +956710051 +1670824551 +255221099 +1906299492 +356667612 +562757713 +745503618 +1385097486 +342511858 +1207016718 +1058528731 +422261586 +1115358153 +1077744546 +1968565012 +1443325224 +286672573 +181486826 +510558213 +112323487 +2123756933 +1686652757 +1541868063 +568881150 +865302075 +237897410 +799449790 +242636657 +1701694872 +1004475891 +1845931388 +510921275 +527816794 +2101152488 +269737120 +884484406 +516426553 +1015240738 +122098244 +858938412 +74773808 +1180626975 +1281199998 +1190131962 +110887873 +1102281362 +485973538 +397560447 +1283768188 +996531751 +509883934 +1260041474 +535700861 +2051751997 +1828922624 +1401002936 +142165759 +480888766 +1643639593 +1843860632 +1485364657 +1342087334 +207298259 +2013181451 +1295756174 +477035379 +750182209 +1812182727 +1492276117 +872280453 +523637491 +1567049926 +2052907428 +1804837489 +609698240 +16311654 +759635204 +1095671778 +413872101 +2043403392 +2092203529 +923756035 +1155961218 +480420742 +828024384 +837400194 +1881423678 +970190144 +1318288961 +1377579624 +666567128 +656169970 +572183310 +873865387 +521867774 +1867939484 +1350900767 +1272049983 +1532638563 +695693236 +2144330437 +2056276055 +115259514 +2049754217 +1713629896 +724957754 +2066065871 +325781452 +1820629532 +332454324 +221701197 +1765349414 +1256210359 +1377662415 +98286508 +2084234744 +67578962 +1979710187 +906941240 +1385867923 +1209806163 +1573508368 +2042037893 +1781989473 +299890107 +416422019 +1502445309 +1650790874 +1688472003 +887600224 +199000463 +1685318792 +796392631 +314259977 +1587589361 +362538880 +1039217732 +1506171585 +688320332 +712363616 +1838625909 +910021529 +330229382 +947352621 +140200297 +428515891 +884103717 +207779259 +260742430 +1791044957 +1593647182 +1470548593 +1217069677 +1488201427 +1105054418 +1516959784 +1904623447 +460016079 +1020267011 +1445611802 +1347616303 +1219267474 +983446946 +2144008935 +1533527451 +423552659 +359064167 +425261535 +1929724244 +1047384499 +1137625152 +1620866506 +1957406029 +1467854534 +420735479 +2097606326 +1896370425 +1304839196 +157901937 +9629207 +948400505 +1751549119 +1480177800 +17986534 +1092266898 +437748570 +1534946318 +849406697 +897764649 +407729681 +147534851 +97897305 +1626997155 +1130981797 +94422592 +1013040959 +1554534457 +453486759 +1438302494 +1336775053 +1500871258 +428443998 +810157911 +1310793639 +1896298533 +1230893390 +1260916317 +1645185310 +388248938 +1418818254 +1654814518 +1336649443 +1022883725 +987508670 +1354635977 +2115150624 +1425257241 +742098648 +817073673 +175538242 +1149828329 +964608525 +273435547 +629341837 +2095590322 +367858139 +1642382796 +1502641131 +821344898 +933201642 +691932537 +174732509 +1361645641 +1502090448 +1485526148 +1110460526 +585500191 +598958818 +608162188 +973749129 +2017777072 +115493058 +162914925 +893177150 +1103001729 +1517550902 +860844126 +380775322 +112165902 +1677917799 +556313564 +1261994232 +495042676 +829749112 +1891336069 +443149351 +1197607251 +1386235217 +1945790482 +2018952150 +171953211 +490239371 +46201011 +1533598852 +1992329820 +1531727159 +496575730 +430346363 +2130685977 +1104737919 +1404095492 +2000979402 +1220230977 +1567010417 +746672904 +175749058 +937077672 +1607517030 +556524380 +1049243574 +1137951181 +1112837945 +163754158 +1632993858 +1942587057 +2055090227 +2076143209 +992710660 +1293841796 +1874450043 +864179162 +1465795008 +217205767 +910380173 +851910212 +62051939 +294623685 +1348485943 +492398302 +277826014 +305740214 +1896493794 +131321768 +1525971191 +1316020564 +877994672 +1701720250 +105614588 +338028054 +110760982 +1154858162 +1475979236 +1223598927 +1318612321 +961489446 +1018702336 +1226218900 +890149007 +2011412997 +372577049 +617115402 +728108511 +1838372057 +834321169 +1638488685 +542798621 +896373108 +1933112370 +1891284564 +1388771410 +63454736 +49541130 +1137781557 +194776505 +1575512322 +306318473 +1072771177 +1129748924 +411933061 +1410799232 +1240509906 +1566791223 +739294820 +316625186 +737919896 +1700784266 +1335327522 +1964138797 +443449625 +1199256871 +189232198 +1060565027 +1927365383 +2027604255 +1894886197 +1418370420 +422919228 +643775657 +1203999142 +166720145 +2032547068 +1267453878 +216261275 +1022844977 +1462230383 +1791773597 +1329163450 +387517913 +774038873 +1741096511 +1798317145 +2014548780 +1160404086 +390128317 +183690318 +1898323983 +2090912583 +1519017840 +1714979132 +386878560 +570791064 +1904211330 +1447443587 +350672799 +1784331937 +1194846136 +1769043219 +59767517 +1838621794 +825558713 +226487662 +1723685214 +2093012591 +442748938 +599046543 +1407759327 +87038887 +1928209993 +1795277240 +861077761 +1521822856 +1446110737 +728142893 +534743294 +1836239054 +911833211 +285583629 +1779667989 +283367403 +2000562761 +19062901 +854158467 +1757290443 +1466506488 +1204831266 +1394138732 +513868977 +826390837 +1453906250 +205007123 +1651949550 +1680393912 +1928692337 +1597478494 +2123142850 +380255232 +857754173 +62698090 +160981577 +505547765 +923775851 +1682804433 +1951658502 +1651918744 +70064079 +1640413908 +416268307 +355647709 +1272598249 +699635710 +208726822 +1291661150 +1553794178 +1966017266 +610683990 +611141796 +1212672350 +1124552967 +1437532634 +519094952 +1329560090 +941998536 +52005217 +1110768779 +391993382 +27664419 +1491024011 +1249747555 +90362509 +1652005588 +1755295320 +1014138360 +1187326373 +1559470174 +518573456 +1257390453 +1052400434 +934841763 +1613038162 +177515035 +1634477474 +1821764984 +1469176185 +1040788004 +1640298602 +2079860176 +1651929800 +705487305 +1056929495 +941978786 +1224582257 +239005938 +1883977323 +1276587474 +1349774717 +128487057 +1304251894 +693315081 +1378234613 +1394614403 +197837021 +986046285 +261269116 +1385163395 +398032812 +779842572 +495070200 +1450433246 +1714684336 +2108108362 +1627948282 +1201678162 +1782389698 +949640819 +94982518 +1275204653 +882017347 +1746912318 +1980691958 +1938946843 +541407457 +1057790567 +30469133 +277901132 +186894394 +1380243850 +406388189 +1491146288 +2073558931 +1784622802 +738277043 +123912305 +623185440 +999546159 +1509075700 +1021218252 +1779388732 +2004145900 +324167850 +1346589420 +1964770614 +1952116132 +400783934 +1599676664 +754273304 +495766452 +727397669 +1636290651 +95195122 +560605979 +1427753846 +636602579 +1618396547 +1458222979 +914503711 +1805290941 +690983182 +1320891901 +1148953581 +617058465 +958031055 +1887230624 +740970770 +1581216495 +739293136 +102562822 +454951099 +371198220 +2106708722 +779118950 +1717787640 +1923995688 +583751434 +2118571574 +1376188705 +1338024738 +466854378 +2103586374 +826831742 +562049500 +516708706 +107101940 +1198652080 +2135105253 +1565324920 +2113155791 +1792912546 +108824454 +1286564044 +794382479 +725882919 +97111452 +534129455 +1466853690 +1678327947 +1273422591 +1569416512 +2133279047 +1644620811 +1528641587 +764914349 +1214924803 +1305153627 +1348665783 +1186012729 +533858684 +539206874 +1652867107 +489961411 +1366038616 +67432960 +1006670117 +1473140556 +1266085040 +994291722 +890981828 +1231757183 +639720620 +999806282 +370837580 +1434103099 +1725689202 +467949032 +1968232554 +1045059244 +2146276979 +1094171498 +466992108 +2132072378 +591308661 +1995633695 +749503079 +1806233465 +1153303675 +2098168863 +844762546 +1687162359 +489892089 +350146006 +29640122 +1855930705 +417578966 +1036310239 +1181587613 +1683664006 +2030601961 +2072569442 +767937541 +522838933 +924892076 +1138775121 +1956942032 +503097630 +1606724153 +1777690939 +1548156874 +1605517485 +724378789 +2015148983 +1590106215 +1315687450 +1863299030 +192125647 +974437267 +869119057 +142810862 +1819199814 +408797769 +632702951 +21862172 +438437891 +341150008 +439441138 +1474748131 +1522737621 +2123105144 +1357866444 +1447823415 +743559037 +1880705378 +225231844 +1882334159 +1690163762 +728329474 +1341574664 +1320371053 +129002701 +799608501 +2044749842 +2144151684 +242231069 +1212953645 +1859967066 +434356716 +39907264 +581602476 +577167578 +1859107078 +990400245 +1209870529 +1880969250 +1428838136 +1551020537 +172926740 +756102619 +926274510 +148548236 +2113969064 +226614278 +892107274 +1847190794 +451846122 +626957785 +1389870908 +1180175596 +1968532449 +562758314 +1309178297 +620657303 +460024508 +1305846333 +862888372 +1672978153 +1018329752 +1297245088 +1712885418 +1599932228 +1874412666 +1424508848 +442848825 +936799547 +1157994451 +1871686961 +340336436 +1330921191 +480305933 +1266610946 +1479469428 +446791349 +1493225224 +224093054 +146498495 +1945071346 +851050839 +1536369403 +977763295 +672099640 +2099127717 +139457944 +1292756943 +411668578 +1445304278 +8161667 +2084646731 +316150382 +1305406755 +1650048501 +1916082610 +1032335773 +927073702 +211447787 +1969135320 +2085068153 +2083134748 +161988108 +1268505696 +415957033 +1428599055 +600491476 +862748382 +774340631 +824584530 +1009246877 +571928330 +1675635369 +398132633 +1549691625 +200251362 +349776702 +1689149569 +1493008305 +761445280 +986970199 +1501169973 +698608364 +1303120581 +659093080 +201173217 +1071719543 +1691428854 +1128246919 +1283167330 +1513080526 +1065831424 +1218818431 +1675068635 +186853473 +1634775464 +956184042 +787344949 +350040199 +1730524673 +1611929480 +1359287076 +154969355 +1140081201 +1757419709 +1704660980 +1340332563 +2107196412 +1246326902 +685857221 +721158044 +85813453 +39543546 +1419766408 +1388934035 +698636626 +1620939626 +313169930 +242581832 +601702897 +1596337261 +1755662359 +1667534322 +667672044 +1283247346 +1854387795 +154963860 +91947740 +494249096 +505004059 +1822472413 +2106178576 +1864291136 +1977441769 +1098776130 +1474227197 +1534619101 +291625045 +1433939961 +633462355 +977482266 +7614358 +719275809 +1017025812 +1427380766 +2108209844 +1715662439 +900836744 +273896126 +1958244271 +1502539642 +1870233387 +1566422982 +1022590316 +390421783 +702186680 +729494463 +545385644 +794134420 +1223743559 +1050389703 +469123186 +1182438488 +767197191 +299081307 +133730970 +93940741 +1833700408 +425356015 +1527880702 +319679116 +1402838282 +1535495060 +1038954925 +272380446 +815392179 +999681121 +1988042885 +1716228923 +1273577247 +1798803509 +1071284917 +996326987 +1217742843 +2093875233 +1386748770 +1919929524 +675886048 +1932134414 +566580296 +1899629608 +835040470 +1035703482 +934584448 +1602237661 +1334784789 +1068315418 +1696178402 +1021001550 +1493671433 +1076575457 +1340680666 +749026067 +464586869 +232151943 +1021406514 +1279979048 +1231833064 +861965751 +848724324 +357926663 +513285612 +1920009241 +1354253650 +1731028456 +1866400827 +593518773 +1503474332 +394803227 +378169539 +2070054628 +146949187 +1213210009 +958274463 +1081533635 +667964023 +145575604 +2365405 +216658777 +1166577154 +1496036839 +1293234234 +359774172 +97579258 +1757821104 +591926115 +1118985772 +890316504 +1823759179 +1980951524 +1739040828 +34202195 +346753488 +1511566422 +1388455845 +2077781944 +1230483601 +1981974618 +1433772628 +1625286828 +212660510 +1356343609 +1772236016 +1425870519 +167134424 +706286003 +2093834542 +312710028 +708651409 +163009672 +1479287183 +57204600 +1456243906 +1839061355 +154783858 +1066581362 +283503823 +1273769631 +1956897867 +2107263002 +1107237507 +1548455047 +2141465197 +1453990995 +912537821 +1382437395 +1384289292 +2143021422 +1216928365 +670578272 +1620824603 +1429588875 +2026921881 +1245576971 +707975747 +46572657 +1951862974 +654326641 +359282686 +513030735 +817336313 +1838569869 +570235335 +126096572 +1530147576 +725019194 +1192677934 +1813651399 +1998788825 +1002092153 +1773430754 +958542684 +403063553 +1767412303 +265050031 +1315601374 +1002366050 +1649339323 +1311139149 +71810768 +172433948 +784480104 +1501399643 +51872181 +2030057075 +61891742 +98444839 +1834436401 +716218384 +457727525 +199983489 +1533554697 +148813746 +770218824 +1659651269 +1678961322 +1495238018 +704845556 +1345129074 +1346543195 +1706937709 +971076180 +157602231 +2110001262 +591004835 +422652263 +1278118989 +1593370886 +2071991586 +441774490 +1665181654 +96941886 +1226254594 +1019097649 +148814068 +1108828021 +1080989392 +247258907 +795780774 +1797207776 +704986432 +995764263 +1183278825 +853800178 +1765983088 +695446447 +385277852 +1113737458 +1400292003 +1730406926 +312797006 +959746064 +553999458 +470399237 +922263679 +1145004294 +893051500 +52899020 +590891532 +817559439 +494673510 +108589538 +914501325 +1720928104 +1127687187 +1063315393 +682272477 +61192931 +1310574300 +1478053251 +1858400707 +2015560732 +326333867 +894195885 +721877262 +2092316955 +1589642332 +1107155115 +1058570765 +842450687 +690078393 +1371367771 +1802196751 +1244077852 +1841767009 +576976782 +241598498 +587334861 +629875802 +832490030 +1404894300 +1124549312 +941079568 +171911978 +697993768 +2068766755 +1235227371 +1380266245 +2129959687 +398318024 +710835849 +1840876746 +266395108 +1037169716 +587588983 +988272371 +982003023 +29747667 +2095427486 +2040573788 +872198354 +638022231 +1264457912 +526911458 +1882100083 +958741273 +1103888240 +2123698581 +1546076134 +1733764043 +808704963 +803486787 +710829707 +1749784531 +975398765 +1408823476 +1671067639 +63142488 +641606073 +1653543678 +461460512 +1352441922 +1346936776 +727855621 +242127990 +1934525760 +1716127992 +1224131013 +1964273427 +1664071830 +1117221154 +688988134 +154610413 +234195418 +1215899592 +2036710497 +1192936691 +172304184 +2012925430 +591529177 +1906068227 +674146746 +1395015964 +469414287 +276447629 +222931081 +1878237763 +1947515268 +286073570 +372360188 +1453575298 +747534082 +1724802111 +653028427 +1475389703 +1966930101 +440070539 +1044034047 +1043577467 +256860318 +560622229 +13314973 +945848452 +715232643 +247510391 +14264396 +604459492 +1440447082 +186568581 +469901274 +2031976259 +2092636808 +1144048020 +1279508576 +414567447 +1420495650 +1502439657 +145321562 +1220527270 +1788513227 +517681751 +526618921 +388563662 +95000214 +1179647348 +1863953365 +2061930315 +1619717887 +760503765 +958024134 +1876578205 +1321125994 +971339107 +674943010 +2036358637 +1218849498 +689207406 +493334481 +511812932 +875775987 +963235756 +396305544 +820929148 +2107283776 +1675814120 +1235496595 +1380295778 +1030770129 +1380818158 +453339401 +671799709 +1898499909 +979958322 +1060363371 +1993500123 +12122022 +776833088 +1907946790 +1631839909 +1537336853 +718487277 +1360934466 +710979200 +1689826384 +2035877476 +599854189 +761192235 +577601235 +1093188671 +1273005167 +1453377222 +2056424427 +1669310711 +126822722 +2016224555 +1197641183 +1362319318 +1249036686 +80927665 +595653828 +1702376087 +752727374 +346670089 +534850761 +1813090745 +192686564 +546972783 +442440185 +2100633354 +31329044 +1979777039 +671636983 +1392263510 +543272591 +213979720 +1280657339 +1143126780 +975171955 +1858258574 +88831803 +100693474 +1164152148 +2145256230 +1770004186 +1290974871 +2013997138 +820161721 +505810541 +1115550176 +901089386 +1101464369 +670442615 +1653816760 +1448134458 +1205293376 +1319423857 +1640821022 +1752266159 +1761864043 +1593970728 +1783595203 +1594157434 +118124064 +1028375065 +2137430025 +332103784 +161548756 +1133073157 +1307275739 +2019807330 +1221904961 +1407969213 +1036475831 +1219677543 +1030489751 +179967054 +1086191033 +1850651473 +685777595 +54257561 +604257211 +1787241964 +724700176 +110590324 +1087892774 +1929993552 +1430014181 +581230148 +1534776063 +1044394576 +27717228 +1170887618 +491068362 +145841292 +51779036 +481014739 +477945076 +213327792 +1614087897 +1785220815 +85651475 +688509210 +1045706381 +1122127306 +1908186753 +2076196132 +1302094360 +846894139 +1779363957 +1987871955 +901151700 +236137521 +1627630271 +1625851877 +346727845 +568039397 +1408361781 +1776742026 +1149269545 +795654197 +673652955 +1176986773 +1966541815 +1164721317 +1322828066 +2018320851 +1645736057 +1800773142 +84164996 +1112340306 +1438510310 +169816471 +1800849516 +336733043 +1291943777 +1561552621 +265445527 +446554489 +260963112 +2044809485 +286942796 +1162114813 +133463358 +1914573067 +640483042 +480191203 +335128816 +2048844823 +109449581 +1484398361 +697015372 +783102536 +513901486 +516073540 +1947823854 +1836729552 +386910743 +1446076263 +1490019047 +471075739 +410932921 +781045709 +640892210 +64298789 +1117778752 +1932835987 +1625851410 +1383224279 +231906828 +1886814523 +1280550116 +518849624 +901445688 +1414013474 +285939043 +1541928730 +1894204677 +621067859 +1443289905 +2003654259 +2105466220 +2140305278 +639273147 +471884059 +508895170 +439613353 +161129963 +895805913 +1885689616 +1651149010 +1366881653 +149138889 +284711071 +2007773863 +213437678 +1402489823 +1793126203 +1839289089 +638230455 +2025033031 +1578619964 +1918780571 +396399008 +332582004 +1185310398 +682338051 +1874510734 +932031427 +1303405911 +1170316991 +788202038 +1261388483 +1163138621 +1427475186 +1733272542 +1672033791 +1867088539 +1894402506 +420356057 +1605294508 +1398067868 +1787237710 +1754433397 +1682778940 +1647527925 +1967871076 +937785115 +1293170480 +1659676517 +1576015570 +1170719864 +1090812833 +1347312494 +1567118872 +1423394837 +385139244 +101973275 +1150421923 +1317170671 +1405379186 +173255266 +2105372710 +519284022 +1336393888 +1385364248 +105072916 +860944031 +1104969139 +1999475422 +1281300088 +562779999 +1250059643 +921054150 +169729749 +785354935 +421098428 +2137600825 +1723140050 +1714268908 +1649793694 +1151671973 +737505124 +593122879 +351500819 +157140348 +2016517716 +736640063 +259113624 +1019455991 +2053810734 +1664492810 +1192711257 +2011699796 +36293184 +381621497 +1249580396 +141366101 +1242565529 +207065888 +2140841523 +376381969 +769845887 +1243417518 +1297436120 +939575636 +2028772453 +1718534548 +929692813 +1604428856 +1285319808 +432002859 +608617181 +2022824933 +1025125738 +960118000 +32481633 +894159806 +1696758063 +291595257 +1913615797 +1603085149 +1956088068 +958843407 +1467301298 +1992381252 +1340464904 +569398046 +2133747353 +435546785 +776463934 +2127105229 +811928755 +1546309822 +1223039099 +2109364875 +338401810 +1104327905 +1680415775 +1268094624 +561273113 +818251935 +1700097483 +1169890294 +693593220 +577739574 +2130008294 +726074854 +1471899380 +1679282709 +1017670111 +1238031530 +1134884210 +826274531 +49391289 +454701860 +671172136 +1389856193 +1024099907 +657435841 +1825402979 +1800563841 +637057422 +489848086 +1199390015 +1860096522 +451729313 +1537791826 +816940779 +2132145088 +658402802 +1378213892 +802913375 +211016637 +400620538 +1496506596 +788756211 +383145184 +75097802 +113171944 +2062427893 +1092767913 +1351203474 +1049828455 +1919042445 +1400594763 +1504530316 +442730933 +642967308 +381146575 +1100166774 +320886639 +34226768 +1737224197 +810734725 +1233616784 +1449837071 +1262464038 +623924962 +119294202 +1247125478 +1282327764 +1497508094 +2050038854 +1493344401 +1898128632 +1399061802 +134616965 +133790168 +1474159604 +247788909 +48734413 +419443869 +1598992383 +1098562868 +191002666 +852103498 +455609536 +633733599 +1495070806 +836756111 +1733900374 +1815957446 +870982880 +1323640923 +479208523 +2104599664 +625994346 +1741672562 +581040978 +745288548 +841314392 +1863368742 +95312994 +743869598 +1209229495 +1993441626 +2142931400 +1343846460 +2127231794 +1469607356 +1591635369 +28482559 +1889051226 +1043144104 +1127045427 +2080053892 +1895247602 +1582654964 +566303844 +1242834761 +271927427 +152720570 +911308559 +1142910307 +1476361493 +1390517082 +1100026323 +2102355839 +984705996 +1681067301 +700160739 +1826020389 +1396952395 +795473733 +422406339 +458698243 +641431711 +417854092 +1802544703 +621179857 +1887461448 +1246696425 +649662416 +1629029026 +142356881 +1776707843 +1561599271 +2037604484 +1211879159 +2127903115 +1132955597 +1483806587 +133140037 +2044264156 +479233246 +1609501530 +1287297590 +1579259570 +1564373721 +124519939 +1112843223 +117050812 +1950540328 +362311971 +912524545 +225463019 +821010214 +1553956256 +643317111 +476071269 +27652465 +383294912 +1722767694 +677314881 +2012323938 +1865124576 +306539076 +1426439561 +1755245412 +1518418236 +1406859028 +740717361 +854741175 +1539999065 +637497869 +1333974421 +1002016947 +1924795459 +765750343 +418907020 +2049315398 +1878593567 +535957832 +1852372078 +93421890 +1448482377 +2077835098 +914432104 +854954985 +573668561 +1390503373 +882607450 +956963473 +965787420 +1559922331 +821803764 +683428348 +1866461408 +100759677 +291190112 +1237395996 +1507618706 +1031907473 +2092137171 +900134123 +1669405342 +1278627944 +1902151071 +1446717153 +2044378288 +173574443 +1348548904 +1775488207 +709532276 +1053437334 +1868910097 +10531005 +983788784 +635858553 +865485991 +1557457346 +2026361926 +1748093441 +366937171 +844665698 +1160532125 +1188740935 +1528094046 +879509885 +1289500613 +1819284158 +2116905881 +649635671 +703707983 +2061559404 +1549769794 +225629677 +1192703700 +1304437217 +1672346831 +1089598340 +1478011661 +873412087 +717602899 +40060289 +1926849421 +439029348 +50591294 +763154558 +1074887901 +916077285 +173128256 +953766180 +516687079 +540065427 +1798431878 +1677219204 +1728806363 +1179042277 +409245441 +870823328 +850842787 +378667674 +1520458999 +1554550771 +292743430 +922745145 +1780180448 +1485447130 +79698715 +1305043631 +427561823 +1557710376 +30972070 +1145164722 +1597770665 +1957821492 +1584194071 +1648361959 +573492402 +511598324 +416955597 +746620658 +1465364504 +933642676 +1286686085 +1116312735 +463378232 +868008800 +147871364 +872623673 +1738832128 +998714151 +1251291347 +1111807479 +405781274 +1544034777 +2034552625 +38478075 +881998259 +2114251340 +1343521706 +1309560082 +1524478068 +1374493777 +307241157 +974765085 +1184831621 +1891435228 +475643396 +1758324023 +255549904 +892598993 +357461033 +1720914409 +1826241669 +1644147118 +689743496 +142136253 +364672271 +837614860 +1014759926 +2103504399 +1836329011 +118567625 +1067828231 +94626638 +1662602402 +954897208 +133104713 +397117014 +921664900 +1476626419 +1706677096 +298659320 +703636548 +2013918253 +1273424405 +1888468169 +1757869833 +1749067801 +1499308544 +2013419738 +494183147 +1856769577 +1586850499 +172941168 +1353433048 +129110347 +315077422 +1718105319 +966725207 +1329837348 +1674126070 +655570570 +1448404974 +594470653 +750197208 +963523728 +1549367861 +883301921 +1360640742 +323549113 +212444693 +919834191 +622208433 +916081241 +786268796 +1895632838 +657065763 +396654982 +1497216992 +8890659 +262591072 +1991400139 +1865660237 +1849441571 +16857659 +1071609637 +1978551918 +331935081 +642231308 +797793477 +1661772430 +168873730 +1453364047 +962693756 +763344384 +56077608 +1926217484 +165228597 +939379529 +1139374579 +488777711 +1151824222 +2059208770 +1110986144 +2067905464 +697993918 +859135335 +577487579 +1094648900 +208868679 +586378238 +1357239972 +52785170 +304554827 +1059197895 +69642829 +1376164464 +890266165 +401577911 +2018395772 +1688059642 +2063350341 +39785855 +993940042 +878560449 +803130239 +1050017650 +657294285 +968358836 +1989397179 +1796668864 +1457136547 +993737754 +1708393986 +420639044 +914159570 +258904257 +1279774379 +1491647149 +1353553157 +1488643058 +2078025387 +563309482 +1541428228 +235096567 +1622507377 +1611071057 +1611261031 +365289895 +2012648968 +1482173156 +2053349537 +1928515661 +1521959011 +899805931 +659592462 +177605602 +1949823581 +1316886748 +1145964438 +1791737113 +966071964 +455617338 +637991219 +526982303 +876256382 +1552150789 +785886560 +8547113 +896314290 +2139439717 +1497190171 +826856029 +555265551 +891134751 +1061952596 +30289281 +354722160 +525729980 +395579176 +219887481 +2007903136 +301445065 +919494 +1382378499 +1201250997 +660511957 +1559984101 +1003590930 +1977398705 +558464891 +647844395 +795987021 +1014082229 +1285835614 +1322969324 +1890338611 +690502755 +2108855884 +1898885724 +1586817045 +2100811954 +1248592247 +266189427 +508593857 +2139726998 +1328142023 +538883138 +346965511 +1853872003 +934462314 +566852992 +1714291491 +1235907380 +567772486 +949186342 +289674729 +1228284443 +361686795 +1293265659 +1058199500 +920151687 +1941110055 +1854186522 +1934233916 +1079462021 +1029672198 +1677088880 +1769964777 +991044435 +1428490956 +1209298174 +944372741 +529599556 +1475487601 +1452966598 +521842906 +656145977 +1991849737 +868808417 +362534332 +778828403 +1435661409 +2076825824 +2014735783 +2003433896 +878528518 +156926864 +1084234691 +1240215314 +1450192524 +2142434192 +12883353 +1243818931 +1849137066 +1947117269 +175797304 +731325616 +1476722501 +1945762081 +1722370051 +757729810 +1007576608 +519259144 +1287329366 +335580561 +1972225743 +1809172272 +991726538 +1816591832 +530497042 +1354260871 +447936587 +1966158451 +1283603047 +315188723 +1822108699 +14647917 +472115587 +758859743 +1254863231 +1922308111 +753810287 +1267746584 +1018643394 +455463705 +1067380206 +1194440699 +1186789321 +396619059 +992719132 +761675725 +1154348869 +2000295740 +1280934869 +294194587 +188392654 +1105676964 +2103366860 +1180119192 +774785148 +486380254 +386896415 +1222721736 +305055057 +1670499462 +1537910459 +2127163757 +1685147380 +2010026046 +738539852 +792526963 +1784850510 +1492350139 +2060273548 +656010256 +1947813844 +980170106 +1850450955 +987119517 +1376789165 +695686440 +1748795242 +383654387 +548498532 +882246464 +677848974 +736891186 +1987923428 +633732186 +1917010379 +615224929 +1120112440 +156423146 +1837946665 +1425167498 +1826922609 +1228373476 +1404847607 +1364586341 +1090915874 +2143387459 +9629656 +728282736 +1488253950 +2069903204 +1384292993 +1288584146 +902589662 +1087260300 +128220015 +131895180 +1782946740 +1877015258 +515549567 +183961625 +611778074 +1193398541 +920852811 +452217854 +1827130728 +690379542 +1067442783 +799759520 +846802689 +757905800 +77443370 +526241650 +1986279276 +1482290977 +1890827991 +929711503 +1478194788 +1900457647 +1657994239 +818965090 +1822877204 +894803584 +2107549236 +577983218 +1982063885 +88285604 +709878398 +1617526977 +1965300862 +1225427965 +1801488602 +429595288 +271342859 +574857766 +881813142 +2098473587 +1265237308 +1949255926 +750749459 +2112039997 +559678078 +828192830 +490797999 +398473707 +163000159 +234142342 +1328185210 +1641194948 +2134599990 +838695801 +312676390 +1809993546 +1733499386 +272741979 +240493116 +1568079623 +361027583 +950371515 +1038122952 +178844797 +28315832 +692127907 +608440085 +299658691 +1266985673 +1490253227 +250648630 +384739333 +1292025505 +1001398090 +349295683 +1851703584 +1829590920 +840093682 +102693643 +1992591079 +1074236025 +1430878853 +1486302379 +1061352367 +122091006 +1798978770 +723862265 +1855590392 +2071720749 +964355381 +1276186367 +285264684 +1914726896 +166825672 +464109481 +1943042729 +858953579 +1072549566 +95217772 +2125939252 +415319145 +345866403 +363194937 +1707344651 +1347264493 +712490620 +1411564587 +1029371765 +1552584303 +1514258230 +874479196 +479336680 +797653435 +213297928 +1540689047 +919744441 +2012276698 +117067664 +627851186 +1936513799 +1081423045 +1904037553 +74294835 +848666294 +2070863225 +538404316 +644225375 +782333156 +1610953882 +739443147 +760788760 +2026273027 +1085309550 +1123983698 +1586134030 +285090395 +1836474318 +850214969 +1314462160 +1241574973 +216989551 +41457709 +1720911653 +1014642986 +254755637 +1114117052 +1934387428 +119548687 +1231184716 +414754966 +2056062486 +165124114 +171308871 +2130357321 +1013790408 +94688449 +521277989 +1658015783 +877021605 +2132231871 +249975282 +1637810366 +2011021250 +1335284833 +614310416 +1449671633 +1620375228 +303301086 +152402954 +787353741 +1544876060 +369392506 +828811450 +1118304065 +1384035492 +1083567087 +84937470 +1170939272 +1203115774 +1316122186 +1585694238 +1111694612 +1481246300 +1757003110 +1094568285 +347553060 +1851691559 +1615846274 +2005568843 +581229516 +1600594497 +108060478 +71556234 +1464132099 +1443345311 +685866650 +766320084 +916236891 +989167737 +918723039 +1703590632 +386560149 +1288115545 +384918434 +1504864214 +524667389 +1468485521 +1589801684 +1695606662 +524117647 +758440223 +1133817252 +1635812259 +92202875 +743336714 +582896896 +439755936 +447544625 +51259522 +297841131 +1028774142 +1651854019 +405901609 +1100330376 +968502471 +1849246920 +1786197027 +1734822555 +618000164 +627881116 +506061946 +174107148 +1014441265 +1794177491 +559025583 +371821831 +171361233 +2027511104 +1961623516 +1866967895 +404145104 +572580091 +853301499 +2039957363 +664782966 +1596638214 +475370612 +1104538902 +2044182839 +526630134 +1402380034 +925473333 +31000506 +1808281643 +2025803710 +999502977 +1510044916 +1664517089 +586841884 +2128045080 +144914557 +1092903831 +154668580 +1159355822 +739597674 +713694163 +1531177653 +910958907 +593721620 +1345317521 +630443154 +997866724 +1917897612 +1483744654 +890340439 +435196931 +932899220 +1365711051 +1539735833 +829598411 +1892341186 +794632219 +1755071745 +1923341692 +455430215 +1633391807 +775361021 +1965475131 +1150425248 +1362202905 +1946036563 +1295339805 +307623088 +2100705143 +307211979 +1047220763 +666915659 +1838389632 +1958179670 +1260637279 +1036223506 +441139177 +111020355 +806637470 +1924883831 +1001360794 +1241834401 +710299403 +219588198 +634086587 +1539897814 +2111929384 +1428718806 +1147485911 +1887787428 +1884149021 +633394070 +515664801 +1702140504 +1783819318 +1877867706 +1500693419 +931675475 +38007147 +1453914915 +1238887454 +1085227910 +2120830574 +929793439 +895923932 +1233984205 +1966016945 +1337063109 +1345004560 +625170767 +1114463292 +198881706 +1867005169 +1824762695 +418469904 +353608108 +1217176862 +382915640 +1782326914 +217179125 +123219420 +1518992288 +850573196 +638884221 +1073649144 +486908866 +369268280 +426858916 +1418584342 +407275427 +1880773831 +509988148 +1492503337 +1854120757 +1439781587 +240943621 +940621314 +1258314884 +1578006731 +138142226 +1883485652 +544986375 +337023932 +1603007173 +222265423 +755493837 +1956615281 +1439442285 +1138409477 +1591458547 +1656621410 +1261628898 +962967187 +359710958 +1900513119 +2036616332 +846619825 +122297751 +315991600 +117720519 +529573178 +49281783 +627708667 +2022076515 +1903402540 +2067490255 +115536489 +696540206 +1178321491 +1693543220 +834682432 +914323495 +91045947 +1171706364 +369847020 +313311370 +1927200201 +178978653 +1752753655 +918126031 +1770437201 +1261891418 +32271281 +585920740 +1621602376 +1932784400 +475053424 +320738553 +2055082152 +791045024 +438459072 +437171682 +840326807 +1066167740 +311764550 +596245699 +986174347 +427301039 +1292785905 +17012190 +2120844259 +2127468337 +931335686 +64406558 +1151691054 +1301182706 +377717929 +931407607 +1480161360 +2130471584 +1849533638 +1103114913 +1244879354 +1881804919 +1689035653 +718998083 +1667105672 +16605430 +1039736636 +1574704176 +807650454 +1478195709 +2011875858 +1647977262 +396879801 +176156760 +96739313 +1383054148 +603457799 +1389525219 +1400066338 +576818410 +1369509908 +183918376 +641224969 +373717314 +1485101083 +1018942898 +1305124922 +817778795 +1001930834 +1007174912 +1920893708 +99326541 +741496184 +1462445713 +818324624 +261118208 +1479051143 +1858061260 +1835822384 +139217950 +1188773321 +1700214594 +1787195212 +1585653122 +1876371355 +1883934525 +821223622 +332345506 +1125976096 +73806313 +909163917 +348002357 +257724689 +1550388886 +721719671 +1742825772 +421848136 +2026844593 +413120919 +1423778970 +886535858 +186530979 +1523105511 +1628032042 +1648976693 +193946487 +1889150250 +980544188 +2052007748 +1577488986 +1119762138 +1093297421 +1130219932 +759473702 +531466896 +859107639 +495924580 +1352690518 +1191453146 +1621900676 +1426496831 +2100617063 +1969903033 +1684221521 +1503522301 +544139057 +1279563645 +1925370437 +423500002 +1692684565 +1201665759 +1310035860 +1879215544 +577287623 +790584254 +1380708589 +771234110 +532250856 +213769130 +675758210 +2109739842 +1333531268 +1769055632 +1092476127 +2093004971 +153038880 +1951583766 +441445903 +1505729398 +995553264 +2063346579 +784742582 +948686679 +1885765965 +321480455 +304725332 +282421374 +1601044100 +82612121 +705921376 +1146245017 +1284277881 +2015957237 +877976914 +1861565504 +659057843 +111201855 +485315966 +1191308700 +324970985 +1161074177 +1153564894 +1658502254 +782646161 +98557373 +1604023577 +935685041 +2050141140 +2045469480 +293930791 +898210756 +1961332411 +1078673373 +1846897436 +1699614728 +1400153828 +4139120 +1982036102 +853714281 +86751242 +540473831 +1999959298 +1371029123 +408947420 +730452564 +1085110979 +1068005263 +841654420 +1570426945 +111830315 +1166625405 +584017474 +1265395210 +677644011 +1366663635 +1363952583 +134183940 +154865028 +1266610075 +32169772 +448795820 +17337184 +1993502184 +1527469193 +1864234620 +1545633264 +780139374 +1868373740 +1380185719 +1633853655 +1955124982 +1920659550 +1486329305 +1178670457 +182123322 +69298222 +116297788 +1250128585 +910952642 +1686724734 +1361958901 +2077578047 +123258560 +479870463 +607738411 +1489922196 +1843823046 +741922351 +1644787224 +962949474 +774092124 +2093583044 +980286658 +620110660 +1473568590 +697037630 +18260276 +106224316 +417927722 +1398445995 +1740077971 +225569057 +1171621897 +1078923628 +1404239514 +1353745219 +1148221850 +1520537303 +456390157 +2059174492 +1059778389 +1818349058 +1989268892 +1183036949 +150735873 +449523655 +525475497 +1994558919 +1191446006 +22779074 +810024745 +1965538130 +2116362118 +1790311403 +438165142 +1442447060 +339865385 +456425419 +1548671376 +757793108 +1854871414 +1141265699 +983362165 +879009664 +72705680 +240118031 +85271235 +1220927530 +1760655334 +541661392 +1132618375 +672950075 +212526802 +974403619 +1855987025 +363262675 +1423927274 +233978874 +210337947 +467889632 +256757948 +1020362692 +285944115 +225636419 +663190448 +724109257 +1668083479 +1003055833 +1180534676 +1069271208 +1760848941 +887922443 +63053259 +596727458 +1766932107 +135758939 +836845490 +1852203342 +1356686470 +450017176 +246381087 +341821197 +1122967252 +458907889 +1316224816 +831470629 +822170565 +592668442 +1065449503 +1032508512 +1060558074 +1322207452 +2052871204 +1346502189 +1547843871 +568578004 +2070611447 +1068443702 +1571633838 +1103662475 +2137714910 +1184999131 +1991584918 +53284522 +1781726590 +1611033377 +189043461 +471088432 +1315753072 +1545729931 +921105608 +1562134159 +1887551128 +2044072860 +2021042048 +1056292296 +728059841 +695728965 +1648960738 +1793509345 +1728237477 +562035165 +968233149 +1633625034 +1908537354 +368593372 +54719390 +1831665153 +1437037074 +1626353228 +787843981 +1427268337 +663868712 +631945251 +1480552859 +298111654 +95494981 +1669596320 +769200086 +1411248053 +1067842604 +1690305694 +825898564 +807910084 +1586894907 +699456964 +1864202381 +167471100 +1395185930 +1365679471 +1960980445 +975939759 +1927714636 +781729946 +462081145 +1688768343 +1150323318 +516800536 +1372949848 +439876745 +2143153764 +13310181 +1867145082 +659538828 +645255433 +1200214293 +957650482 +740750414 +722326965 +1726850568 +4514819 +1790169569 +1269672615 +830413383 +450596006 +709083874 +1529870347 +167314739 +876554974 +777572629 +1532994210 +690051772 +1753512389 +1313225199 +1471781718 +68109886 +854509894 +474621389 +584910422 +79976094 +914498134 +580580539 +93286276 +634159568 +1240119367 +738541709 +1834373861 +50286202 +1479292123 +409217178 +1777136770 +1483806942 +51903100 +899325737 +166736677 +502499106 +1608409611 +1696607024 +669813845 +337480938 +326696006 +55324407 +1027532710 +2080208395 +1368549606 +351830780 +834633 +75575852 +826452169 +585745056 +155551947 +1740950303 +1166325595 +248838223 +227626223 +258961314 +987379932 +2062000084 +309247516 +319188407 +323733615 +2086384287 +1802995349 +375636715 +838226376 +1969732026 +878135821 +299152340 +1518855402 +1547949666 +636633278 +1845551408 +1603274073 +1664165988 +1778276155 +824340032 +2015996768 +1779110789 +899915884 +694965290 +217372197 +1055467831 +288431945 +1383697792 +1304306054 +516058169 +1642659106 +144202338 +430574605 +1951906623 +463390745 +754308220 +1890807262 +118902446 +1129944935 +581549990 +2088634472 +2008080756 +880702330 +1460006227 +1408546774 +1517335608 +1158073987 +864337200 +1034017948 +788866495 +1688677232 +902531069 +420493636 +441109468 +1597496359 +637865833 +1496577300 +1885928304 +2021563625 +653399706 +254502825 +1516739083 +797602045 +685077431 +1321162058 +1260992790 +1439385651 +1064485672 +1379895237 +421846939 +1646035663 +1321046061 +282444047 +379254345 +633568640 +1690990822 +1896589954 +1791642628 +407844374 +783124254 +433025475 +2096521606 +1685655323 +853519111 +390147426 +1135668034 +1491384944 +1886724726 +874112691 +1365464921 +392640785 +1128615516 +734720356 +1190242830 +1813692947 +2055882415 +303751972 +1105594951 +972884439 +1683647209 +1527441890 +471436454 +857209623 +1809885937 +850690800 +1490778263 +1353393111 +599797106 +1134937243 +1761237485 +1382921360 +1567962718 +1710275443 +921093036 +273998181 +2100422870 +2056761070 +1765383125 +1839663948 +783390113 +983364398 +84821085 +1912005630 +1718084755 +1275063915 +1578214929 +1626483522 +1578815888 +536326232 +451884313 +1114979449 +2063768122 +923320768 +1972189072 +1726170412 +1774011568 +1315483688 +932079875 +226325026 +302937283 +545833713 +1609246386 +1870900002 +108625508 +382855774 +2144898183 +61564730 +292133197 +1762797661 +1901228679 +1075523310 +598678411 +1986049764 +840045292 +169279518 +1113630032 +270776574 +1795763040 +544962272 +807102806 +100163706 +1659941721 +723387281 +1023484474 +1484647146 +302074045 +650012394 +652647186 +1234153920 +876337420 +955584469 +1779987633 +338100158 +679000823 +1888613142 +720955933 +676415359 +1950177872 +1013089130 +291729372 +1703922903 +2088612440 +890407783 +1542489020 +781174085 +1059687302 +508635404 +1051950659 +707966694 +1053597676 +1859053465 +808130400 +566055749 +434957098 +1831614874 +2050702895 +737031143 +334143620 +555866433 +1971185064 +1210481040 +1511450903 +1603689049 +1548581199 +42968078 +1344818543 +122053484 +719383437 +1147512768 +1135142614 +1011112809 +703952023 +1076271406 +1901520593 +98957395 +1857445491 +813724247 +607592799 +761912502 +1521690941 +1661190475 +473482320 +182337694 +79762577 +908439418 +2013952568 +2130465472 +1645470562 +200612541 +538848258 +1469171978 +1411093581 +2050299161 +925377379 +812191132 +2093267239 +122712275 +934244616 +665167029 +1270225043 +2069387230 +1676279838 +1974177066 +998174989 +1430316783 +2073134462 +708136832 +96557382 +533243613 +1470049335 +1618248324 +46950441 +1943531655 +1800586018 +126713018 +704487425 +1667054938 +109694842 +202474339 +1867667479 +648543100 +1671646317 +1131277413 +551358613 +449540049 +1943468545 +497142205 +572252324 +730229514 +1162309234 +1842477367 +652133096 +691105424 +1669170785 +1650308085 +2121422208 +1594821599 +210961270 +70495942 +2128065213 +1681010605 +1688744266 +27532006 +1477058612 +1341846636 +154245024 +34062389 +861417927 +263939866 +236536729 +581601758 +912482967 +1908183046 +1712879171 +1463841580 +210239447 +1508864069 +1960983785 +782491771 +91609935 +975809371 +477485490 +743743031 +1666914796 +2146656276 +246567469 +1640853356 +1593994227 +457528739 +1711349298 +1574575792 +2138539344 +1252609917 +1602107798 +1468114308 +446972905 +1756352822 +1502176697 +1308390832 +2020292689 +1738713426 +1889992591 +785292008 +1499412825 +1455388114 +101649940 +1709652272 +816768535 +2062633726 +344660396 +908378470 +890959449 +822145886 +1652121502 +410390597 +821318514 +1898688971 +2051243953 +267829094 +208734062 +1615109604 +1842404886 +199789758 +720235873 +1297029037 +1667904066 +1167208778 +905898211 +1022597115 +328115963 +778707252 +613826894 +70624906 +1563999260 +2113239719 +1526013020 +1665649201 +1675408343 +195297908 +1580799279 +2020068739 +1103676378 +324275080 +694730978 +608314232 +734665678 +1516049492 +359519555 +638425983 +1783878586 +568253617 +106051939 +1478799825 +768043375 +826287812 +628345214 +288463793 +1993496591 +1534243425 +1311060909 +174128906 +165467030 +1924887803 +244753812 +1729466290 +1890643874 +1770766832 +1247631843 +1418568569 +1966064740 +680947474 +1291153661 +922257471 +1005222555 +1985884639 +1530571703 +1739888233 +1354450483 +1890091259 +230830568 +990845422 +310861228 +336882508 +322161599 +1078904604 +1163170320 +950506813 +1367368397 +1009183263 +337266590 +530945658 +1183312169 +502733620 +308349813 +1428065981 +84716263 +51510039 +1051349166 +1332348106 +1470078609 +869930258 +2013295581 +613748622 +1792187729 +871034488 +452149613 +1175275785 +463439073 +1806600096 +917883396 +694269641 +649961870 +1228744624 +1031152149 +972123469 +160165580 +46838822 +1922630282 +1527533978 +1056022085 +112413225 +2058479636 +91850607 +615146845 +219345802 +1519916588 +699863108 +270855841 +423782106 +2032211215 +1740934450 +1293712365 +1898023148 +207199424 +938416446 +621573988 +659349037 +2113692231 +1085013061 +318465486 +884091979 +1779282702 +968427356 +2112836604 +662951204 +1940550826 +125518536 +709790026 +1715697460 +1653052514 +1765812111 +1828110685 +1564048503 +1857662718 +295773883 +1783394305 +1230095659 +995636991 +2054250146 +1653877765 +880364558 +1647700949 +800106482 +630904058 +1854900373 +1738522929 +1252478046 +366765763 +1704731512 +190007459 +685231249 +441339844 +1969290162 +1653658605 +406692800 +484757718 +1446725783 +532211336 +1194547744 +1014939596 +37780203 +812876207 +695566633 +1601828706 +523055278 +991340516 +1237739363 +1753150937 +1986977508 +1144505861 +1259545054 +719858418 +644723162 +2059651537 +1350762477 +352139888 +1650690818 +455756875 +718905651 +1207938682 +645764335 +1404136900 +1649278526 +467570849 +910311857 +2055971326 +952328567 +209553993 +440699015 +2146876311 +1224493589 +478479218 +812268870 +1920060222 +2080307924 +1335324148 +763917091 +1170563639 +940991437 +603410951 +167585852 +53052844 +1323269369 +812309015 +2112704381 +526548198 +1164448903 +1615911551 +982305074 +1883354554 +676366585 +1628069409 +1140007806 +178161464 +2095640258 +2050319663 +86649142 +900485177 +112390008 +527348157 +899877840 +1336883597 +1005827375 +1712146710 +1109460172 +938651651 +899987211 +1873377263 +2109215290 +1840978648 +329304566 +129317495 +1894031492 +1652573935 +941626510 +1859252225 +31638486 +2106075413 +1327680128 +1013943560 +1841946319 +2004046714 +494529321 +834470477 +34724530 +442685931 +737306492 +121373672 +1343171108 +849696501 +648721830 +95565300 +39096450 +1654549205 +1807712010 +1148556622 +445717209 +560215573 +874450237 +407448851 +253710574 +1203754803 +536766346 +258418 +708845091 +1478392856 +1859510644 +740483577 +1436984621 +1039707124 +1754427137 +1131447292 +896270190 +101472810 +1965917769 +930994720 +544158741 +555740614 +1052368393 +1887329849 +1405437115 +1701090223 +1982895149 +1444533565 +1208155780 +1643123511 +445606540 +1653872989 +55855437 +1320056777 +2061321841 +309566011 +376327933 +450604539 +309824429 +1085173024 +1928997396 +21851425 +1825656601 +1218498369 +1061558550 +1432600090 +202462014 +1957828740 +1534072900 +20896135 +741339813 +2078231641 +576636749 +1793708206 +1818077842 +1982073864 +1347314781 +1653489343 +1279123782 +407986913 +1149129206 +1724730322 +2061859903 +1204984643 +897303451 +1975698096 +1514550654 +1273631384 +278818987 +1824375084 +211320760 +60332735 +1846226509 +2036977361 +1278831105 +760301411 +1322093803 +1481293119 +570646504 +708683055 +1502189254 +1311986317 +639431048 +2078826004 +958210875 +310025242 +1913416220 +158042008 +1963514585 +1045056354 +566028921 +965160144 +622303028 +480405176 +22661139 +1519606480 +308619624 +1537211794 +645754216 +587438612 +1214103230 +857074977 +647771347 +912846091 +746568690 +1926602452 +1673147503 +2068662494 +1260411923 +96310359 +629861901 +615117530 +1408296676 +1269292950 +546459886 +219023903 +1579318192 +312392458 +377065911 +1395349130 +1357448813 +943094832 +213025626 +1979751841 +1423500009 +235686765 +1351874673 +1732119633 +1772898559 +1997628890 +172074597 +839518141 +707220219 +819845945 +1752364233 +1453788909 +598964749 +1278028088 +1374967755 +1859376673 +1374338447 +2004829657 +327010555 +635151475 +1126638959 +873470441 +854175378 +558473503 +1185862899 +1231241289 +1953822633 +395828064 +26852473 +19364611 +228096258 +1450352482 +255051377 +1579970931 +1034988468 +2027949936 +1430116173 +1207063065 +719984430 +2137336392 +2026909010 +324865015 +1443641654 +478390112 +1602893103 +671125761 +190283137 +829747902 +528471770 +517293692 +1464899377 +1655110729 +1390764133 +171591107 +66100585 +429143384 +1402832396 +2019923218 +824971449 +1429684869 +2039287830 +1053067707 +732553704 +146855559 +485554990 +1767542172 +27321847 +1915671164 +827121589 +747306277 +1905523908 +706546952 +1072171292 +1201681914 +1184937064 +527580747 +1872807676 +1375220201 +1357328649 +253795798 +1892513893 +674744378 +1908906528 +1135794378 +846335485 +1975007113 +1564937762 +101684233 +1847446683 +242425563 +1531369103 +1739250865 +1295493270 +116439159 +1886106424 +1781048261 +1883981331 +1913428272 +1549235777 +563619272 +513250901 +1307276037 +1270166224 +1585422194 +361474304 +307619640 +2113002941 +86798332 +1682839841 +1322847943 +340594130 +1427870086 +1997592321 +102017010 +416180816 +696444159 +2077024123 +1981118579 +798128392 +1776987159 +76060494 +182013847 +1368754376 +1371553765 +298453006 +1107377153 +1005118378 +34950689 +873321777 +406870507 +598569962 +1386572678 +1714146544 +1868736186 +824511224 +2075620848 +28872179 +790030518 +14935532 +1711712020 +2112878461 +355529663 +992098459 +1962987134 +457546673 +1408279275 +511947645 +387087149 +1241914206 +1310076038 +16590660 +1317974701 +1492089885 +1385345036 +542044818 +1790542892 +345238541 +1547163196 +1825493581 +1218560318 +1954033703 +276579895 +457649349 +1520696599 +2145316082 +1282160573 +1448833800 +26704613 +2072191091 +1463769332 +1738416633 +2037585904 +1819298995 +583031444 +1853089391 +129362021 +1991310720 +217553388 +516449170 +1085741278 +1527629426 +533039830 +256232331 +872235664 +1918384866 +798277149 +515294908 +116139760 +197956697 +193304841 +1334700078 +4506752 +469884737 +1792349427 +1525203352 +467717171 +927026353 +826553504 +494421784 +851733796 +142839188 +85354769 +741836053 +1962138184 +668386214 +447441796 +2091500205 +512213286 +664995184 +460465727 +1597954564 +45140963 +993505557 +1854186896 +917376627 +764406775 +504980397 +1432671535 +880546535 +702937095 +1625976376 +67762966 +707443847 +2095861113 +1860112393 +85163551 +416094636 +639655098 +911717055 +910516420 +1491388895 +1054556244 +995871190 +85741300 +869210780 +1664257404 +533183096 +813227337 +28987042 +1198178280 +1273693064 +1626941606 +1243319243 +119714973 +1333644854 +13212222 +884121748 +1838625252 +1445883757 +1764668284 +394078699 +924376486 +1832431250 +1101522546 +872753951 +1545059995 +1186686098 +1288848588 +37231446 +2098403153 +51881360 +1528620341 +1005475749 +1047752550 +1614361641 +1874686529 +564526306 +61089 +540430218 +593513348 +1198239369 +1814123282 +72971307 +294074965 +1933838255 +1406616161 +307287187 +670476356 +1097757765 +1753170945 +287660992 +1491836464 +530063783 +2120092242 +445875363 +1402817734 +1517668589 +1632561461 +544182674 +1554900035 +1583480966 +596064035 +936036728 +441473068 +1643816585 +402914721 +168675949 +60859244 +402975810 +709106168 +654372592 +1601215180 +375745802 +727343899 +1895290145 +162100410 +2133960061 +55093684 +832576766 +1084234178 +1808264629 +1120237758 +428586995 +190844764 +1092846352 +874462358 +1593662499 +463031293 +359540171 +2137845173 +2017931329 +1943021137 +586425560 +806484409 +237010557 +82758498 +1209399131 +405686507 +143617742 +1612374941 +1114792675 +797990334 +1066106473 +1490538477 +1525334234 +813912970 +1652638887 +1511810647 +869006655 +337732005 +448561177 +529787636 +1457969763 +877148172 +720632401 +403332467 +1751610530 +166811252 +866363761 +2111150701 +157172777 +736811442 +1906688191 +743598338 +1543295851 +2143698748 +826356836 +605211334 +401901607 +969974578 +70102628 +1516694282 +1767964912 +1136209101 +859749112 +1145815498 +1950122072 +364904351 +510142497 +671645079 +702636357 +958703675 +1201432715 +13122472 +1835851847 +1922065116 +416454940 +1439978730 +2088876368 +1282818701 +1403645783 +98565498 +2019630143 +1162850326 +842163836 +1415442346 +1159065427 +1668520672 +2020653681 +1560967034 +491011602 +2090756309 +930177669 +111492866 +1079481762 +1789926781 +1257308365 +882120186 +7347484 +1767450862 +1553765265 +709983841 +578670889 +607714333 +723106314 +267039089 +382295801 +1139561254 +1707017819 +323688522 +274896307 +963179954 +422254020 +147042802 +2126030281 +1264417856 +1562485148 +1137612060 +785454880 +1435655181 +551095446 +1276466482 +1378927842 +1481273115 +1387959348 +310925957 +1123716248 +497784065 +1193046143 +1131063733 +117751280 +599327761 +1841047574 +696422169 +1207042094 +416670240 +963461258 +1589337895 +1556231494 +522995429 +1913026417 +1831127801 +1486175384 +187796789 +1978170603 +1464722017 +1452214645 +1393172104 +454850429 +90185877 +681343637 +1005945875 +1366652359 +2060271480 +339735343 +607128060 +223713789 +1463451591 +1104912125 +1416759932 +447031676 +1222663405 +2016087693 +140595603 +1919085575 +1075646139 +557265843 +735063185 +517500387 +2113497338 +1258058615 +283043156 +1797141491 +596750351 +470839946 +1627828447 +2061472368 +1923054591 +873516903 +368839149 +2013240469 +1554860540 +1374785024 +1232409180 +1467648372 +1714520367 +1839537240 +1691362161 +1030488311 +796965718 +960638446 +1477519987 +2019629123 +829242491 +1618115590 +1791231050 +1904888631 +27897786 +378810588 +274905370 +2141395124 +1636869203 +557948526 +1791052967 +86135906 +1028788472 +1271397766 +124626 +804359416 +2144914669 +368963775 +670116237 +1552291562 +1743748799 +1902525417 +872456286 +1310785519 +1594579010 +416334800 +193790182 +244061080 +1376973246 +1671310169 +116206555 +58732089 +1141942112 +1907437606 +1963620720 +1169839898 +138764546 +91042442 +1163751374 +1775633749 +648990969 +807320693 +1861769655 +1677779441 +2078718460 +1861894281 +334655209 +2076149481 +83374408 +1004771446 +1480957395 +1827123207 +759813216 +205930034 +990425078 +206908578 +622264834 +1184215260 +450969658 +1999238080 +708041782 +567176213 +2057970169 +1849983894 +327130171 +1874107242 +872340144 +465894717 +1965149684 +2036091518 +94044818 +466657005 +695928563 +1955814473 +2144436447 +627163375 +1670225106 +331608008 +555829209 +1753599514 +1336379455 +2036786604 +1433239074 +2096192671 +95232990 +276180504 +155617601 +717497824 +1460395765 +606587259 +569252256 +20953899 +1173763472 +479738778 +1870937793 +1500893644 +206362372 +595794289 +1966788361 +24028408 +484402159 +2060833180 +490685414 +1180330722 +1869164005 +487638213 +1807494098 +1391905464 +819246221 +215839659 +998021330 +8142028 +105142615 +283776756 +2104334699 +200375606 +559957261 +112468652 +917873430 +2020353026 +719055911 +1487125687 +2041306925 +1892819384 +1966864465 +1764761070 +1246229380 +25743189 +213071711 +1065534093 +49771597 +697473870 +978883625 +540457011 +1877804592 +700563983 +1028095224 +1537815042 +2092469447 +1847341446 +1753654701 +943007129 +1855483474 +1858797317 +1226783886 +1812334526 +2059172923 +1786741147 +1924803178 +829562705 +1659610525 +496375442 +169204744 +1553433802 +241711178 +2136069209 +1170711224 +1487940558 +14328750 +1383782935 +405991003 +64100348 +2081256805 +1384874629 +604557359 +1811577749 +2085438612 +1632652584 +1201909144 +2030424411 +1332510382 +808080197 +825947892 +1040510208 +519393866 +2052731778 +705361086 +431083141 +1691989277 +482680617 +1260645847 +1204116154 +979056059 +1429850591 +610066308 +1220767237 +1418436153 +1780777532 +561224147 +1432764903 +1017076819 +967215150 +1496865251 +950849976 +204606131 +2101422611 +614944078 +142561095 +1586591547 +1816853222 +25501858 +771618281 +477449771 +851449751 +1812128489 +996843638 +756697881 +370005928 +1427926779 +301203511 +852686545 +541088978 +1505319665 +1831742604 +1970939570 +2115385974 +905026193 +1241892075 +1748679858 +1466250340 +527173330 +618273030 +285981842 +2024038582 +1569123006 +490587974 +1977977545 +36583436 +633149069 +1417085444 +1853436658 +658650928 +41220077 +183402782 +1510100679 +1853348566 +1180246420 +119314912 +75870846 +460689551 +420518423 +928557391 +1001778530 +1925838089 +612816347 +825234452 +1893740415 +1517842540 +2067126527 +1494936625 +836609232 +446816209 +2113209655 +1122591075 +323371143 +1534849014 +1613179049 +153865040 +1571432450 +98844470 +1570950484 +1277385461 +757495398 +1612170561 +1460788243 +120112429 +1318035480 +493551015 +239427342 +1393906326 +954240566 +659945765 +174980070 +1956019096 +438300206 +787796417 +633769900 +184556973 +158155310 +553412779 +1679493599 +994764542 +1000228989 +1645219606 +2117355617 +1323600132 +1032584972 +1583051018 +1477465173 +456533775 +1681895489 +900932009 +1733919236 +291907239 +365618923 +1047223831 +412019669 +1683654403 +1540774846 +651447011 +930077081 +347531764 +1311392776 +1105057151 +156067213 +1749692983 +1892853569 +789837113 +1934249956 +2051008879 +1343249893 +1466259907 +898289773 +195995234 +963995866 +868161743 +1519595366 +1996580838 +303729113 +849576891 +305630965 +1985624602 +1750508901 +2039550201 +130048194 +2116127824 +939290384 +542067863 +1652298579 +332581582 +1193514874 +434892012 +680113347 +357424002 +1539949164 +836180560 +2107116985 +1285319085 +1626017673 +1893883294 +1188844316 +821783918 +1212659553 +2087134089 +1017779152 +29171771 +807812184 +389890871 +2025752610 +1111541298 +1239467762 +183899927 +949682252 +842493015 +75966481 +1079730446 +811137191 +1015256865 +1621798309 +315952122 +1347838448 +667829535 +750844135 +2027951795 +1025253538 +143309651 +716648707 +984886875 +1428628736 +195182732 +731286521 +469989404 +1016966651 +1943946075 +409639845 +2034745803 +1973117846 +1217452030 +277153026 +1851386808 +181509680 +1516620789 +2035286736 +1131191932 +211630156 +2111253217 +63438731 +1022767348 +979026434 +1685237040 +1338719470 +179381234 +205582928 +2089563605 +59849381 +1230836466 +85389608 +776498088 +68239693 +1514018344 +971680821 +799526215 +1984007748 +1988647472 +595988642 +246163946 +1875909627 +421622840 +1463615976 +5579006 +125526001 +1645125656 +1522199795 +13329089 +628833940 +1733829951 +2124582306 +692272671 +609113651 +956125092 +230026064 +1947833122 +1135506327 +435608992 +1889913079 +1195355708 +1666445458 +1975302688 +1971853797 +1734685151 +1341837384 +796050970 +386727718 +1178361485 +637214794 +982716360 +1424525431 +365640773 +1404339201 +740657759 +371219779 +1529865202 +238299767 +1893419574 +1543194291 +867133707 +1479765878 +1520292949 +1559406379 +2088879529 +328934393 +1789432443 +1889229003 +1464440720 +77557787 +1631658435 +512312781 +1744003245 +1459477475 +336682930 +1331204748 +653831211 +1132733900 +1717932467 +1832192696 +1769948694 +553165179 +1109234479 +2135589467 +1957504380 +1849892238 +359325599 +1339885934 +2088192005 +105261525 +735596577 +807842065 +1585027403 +108405878 +219764796 +1526423285 +437340272 +2009197239 +1268168640 +1901780992 +2086755026 +752343427 +266610125 +1683274623 +64337254 +603293055 +866995723 +718168466 +1736026955 +437444542 +402877514 +1358492001 +990609722 +1512111994 +1346597821 +800630454 +1214520584 +1705923420 +2140516389 +1155228942 +1811184945 +728629318 +1963071007 +1248728701 +837035197 +35352155 +627668338 +1274375469 +2044549394 +1895836978 +1028672813 +1983820772 +500696758 +1295282939 +1519611747 +565034012 +1898575994 +239123822 +1283202478 +1487119302 +676568365 +1686079993 +698127655 +1667178087 +1050708339 +2044725476 +320324893 +117745275 +1603165248 +313357634 +1272974217 +1266866546 +1041986953 +1088561576 +368111599 +1879022150 +1123913731 +995779937 +1005913971 +1020979477 +744133267 +2034586784 +857316601 +1244830025 +1182386075 +229444700 +1809864038 +933478422 +468568523 +945582868 +273114076 +1145136888 +484179213 +971241731 +664831327 +1534887552 +868483560 +985156220 +1652632828 +324165160 +1298513855 +778123397 +1591031706 +193017160 +1866684974 +1959143305 +2072039310 +843115057 +807439594 +930469633 +1864094535 +1551572862 +817572769 +573927488 +648919239 +1999958845 +803372189 +311299629 +785953619 +1271940712 +1256882498 +1059067695 +269593952 +1741061711 +2030309426 +934425279 +1128465616 +751309338 +1919581499 +633614796 +1075474499 +1070611706 +1411738193 +519022557 +1263628866 +1130939519 +330682215 +1188184528 +1974054577 +1138121809 +2118654161 +1690665464 +542211023 +788743283 +117109304 +1191130263 +641218480 +920481493 +1502429892 +1427172099 +44938557 +611828742 +338756146 +314532509 +205406806 +221581924 +1248957788 +1333872422 +972891263 +1021055640 +1967487218 +2048365762 +2091667346 +1231741763 +419904671 +1207812565 +215197635 +750586886 +248513445 +41768564 +1888708696 +219683959 +1732434028 +283436071 +1008427242 +1849543332 +1474566334 +1649645722 +622541178 +829512579 +929334173 +667479735 +1441341321 +1268090319 +982012245 +1646748127 +1489672243 +83486385 +833136901 +315079858 +1104542025 +653140471 +215961972 +1048725724 +1884882235 +635866644 +109054641 +2100079870 +1386453530 +357568086 +2141848434 +1127678578 +577252045 +1726798814 +1411114650 +1585679287 +1428858498 +738197336 +1087841361 +2051399676 +1567709915 +2017175534 +571395764 +861567589 +1137782205 +1553408009 +360832068 +479970801 +1636894394 +1193968970 +795050659 +593952772 +1847109441 +1011012632 +1642678496 +1584508028 +1646879276 +1751733137 +1537104250 +885849158 +2109301223 +1531469036 +2013527737 +539069621 +1110784202 +1277158739 +2124748908 +392159053 +2015356075 +1065106622 +296075081 +1435582343 +934798508 +867470845 +149666284 +2072580714 +273395206 +510498352 +405067867 +1910289601 +1704467322 +1200118526 +356758725 +1404093116 +63647510 +1999437221 +841117496 +1710526786 +1603686710 +230738099 +448892297 +1565504285 +1762207135 +314936386 +2104573906 +725507690 +1592095125 +2081839167 +1117666743 +1459967552 +999462141 +1413741824 +748066247 +1934260649 +133729022 +897732531 +1859357715 +407124228 +1408230884 +116941934 +169930181 +965214558 +1317060461 +526688906 +221824026 +1380707971 +378642479 +1062941523 +943751110 +1982329189 +1293679622 +1392643407 +1400349827 +908403109 +1707579793 +1357440085 +1633910799 +1152191270 +1291795604 +604093894 +464675174 +143774097 +2017835719 +1212741422 +2078034747 +4081093 +2110473953 +1789908814 +411205321 +1371221189 +1906850749 +581135503 +188952100 +1076427562 +1107824409 +410776126 +309651885 +1486466889 +1473717649 +1253402995 +1321312430 +619913623 +498562754 +574178609 +1528316733 +58658899 +1931618695 +1014743884 +1210850169 +1075930651 +1618837779 +1675525344 +1219704749 +1489189850 +740783118 +1150255848 +1493270943 +703773423 +792681014 +1904476264 +2074994613 +552048115 +338128119 +116463065 +1628475677 +1445952529 +527239191 +1938127563 +784935770 +2000956841 +1044046910 +2106248200 +473386816 +1542609665 +532943162 +2001703549 +1601268564 +317078209 +868963786 +664635086 +1393008860 +340317917 +192676782 +465229961 +1829507767 +933459900 +1615485809 +1175295062 +1637233323 +260683176 +932287678 +1564744288 +812731291 +1270415798 +1681207353 +293723321 +568884679 +60962897 +84367236 +1353820449 +2061919738 +1128414146 +1312585001 +387822906 +523540163 +1845528163 +242042808 +2124808728 +15122724 +1111006594 +641960166 +1408131585 +1451324511 +834636948 +1873361546 +1133348630 +1768096848 +1341363708 +161160044 +1257846523 +1602046884 +1093447722 +675107164 +267294527 +216379872 +208830869 +561017848 +785264551 +269793766 +645385084 +2139085000 +184229856 +1773799231 +1304186354 +572052763 +149855746 +1002230869 +814095571 +127180826 +1017353594 +1925102165 +769140992 +278001531 +1228943028 +1603777940 +3879429 +214808010 +1224391140 +1345243137 +375968054 +334754016 +799806373 +1469415776 +1009861180 +1067100901 +1685795649 +1218692049 +1628118749 +323576552 +1488485816 +126020186 +315177905 +1672715672 +1899819417 +1619364259 +97284787 +2049675163 +474111480 +911380358 +29372342 +1491465074 +688998875 +798513334 +1769466605 +1917941903 +254807627 +1773346035 +2132749913 +1479198767 +971105524 +361234319 +1813952783 +1770911898 +1830650096 +676330315 +690529151 +1368962097 +1895022365 +171164252 +1692538649 +1236024533 +297184438 +2007716554 +761256557 +49520207 +1479597165 +858541345 +2099195371 +1953708646 +1769921703 +2128567713 +1297690072 +311436931 +779597399 +919673030 +81895186 +1034405026 +545535417 +67161452 +366120146 +1516640941 +428395771 +32589281 +1140069191 +111562219 +708919597 +1830598342 +1480524316 +456458314 +2001762595 +1025579318 +1692482847 +151463385 +885812224 +306255756 +200983593 +217925742 +1164797101 +152695316 +24150740 +787235157 +133779381 +1321840812 +1098672088 +913376780 +94030194 +1180567274 +1947781807 +639565611 +1247728726 +166418305 +8722905 +1676124498 +199007586 +1148792096 +1787686717 +907927183 +831906791 +1120727386 +1364385497 +686185738 +2146306704 +909384696 +837649123 +884635280 +1215640453 +1038632716 +1102561022 +232953906 +1191328032 +1126711762 +1020189063 +1325107413 +301068927 +2118861151 +91000546 +395099121 +1151944778 +2038782353 +1034664733 +252189856 +57717010 +1043387638 +1928314354 +256724596 +44696086 +1568517424 +1164651780 +876602877 +541761162 +381553629 +1562788615 +540584218 +1290938326 +252954091 +1425219498 +359095131 +1291586807 +380296873 +592049037 +335431192 +1507008635 +1612238101 +1660538605 +1808077562 +1583615604 +1751539151 +55693036 +588076734 +1642837856 +1090357769 +840266591 +1700554866 +2133745407 +621097297 +1957279463 +30957845 +42131073 +974447595 +907560723 +583892235 +1356001224 +322865690 +1124476453 +499455902 +575819781 +402212304 +858551033 +1867406589 +782509177 +1450600071 +55354133 +142034164 +915354524 +1715892738 +1950111727 +351486480 +1319948242 +2005804763 +939563215 +815302450 +948678884 +1779829806 +368373669 +934940643 +253443455 +178169484 +965898488 +295574529 +1152617079 +1873459211 +879466764 +361134655 +48841254 +2003943218 +860590558 +624661035 +258671874 +1719141591 +344583976 +1041181051 +1022258014 +399938109 +1183215215 +1937612538 +2115830848 +985843294 +141615371 +1288295442 +844164409 +1081178586 +2103597892 +1792843293 +713524744 +324487913 +580300288 +966968199 +502657397 +1546198777 +1262542728 +1655274476 +1272174340 +2142009493 +2016409132 +1321015594 +1998469063 +729516042 +1945676630 +109657289 +301173985 +142776958 +1150838340 +1323432000 +542715068 +186569907 +1113560890 +511062268 +1172413202 +1255176261 +1799357710 +2016577611 +188871199 +1755471954 +1661937257 +902395943 +2079959868 +94753897 +1869364143 +435133617 +1640952674 +984423223 +2090408094 +765643367 +978949068 +1959333578 +2086658961 +829934483 +541365972 +1884851943 +939591772 +842539957 +2027628902 +2090430112 +18488309 +422860322 +129516372 +1132049200 +933922590 +1301929574 +239741813 +585796652 +1171023537 +428613013 +193784958 +685477146 +1331008956 +126261178 +780231044 +1052889451 +561394796 +273700070 +2037312675 +504319242 +1039343437 +868778095 +316169172 +978518751 +1698712579 +857535144 +715887046 +490820703 +1700075101 +596032300 +433767168 +1718563411 +1018892622 +563283540 +703128963 +1952815212 +1865213114 +942870776 +391128216 +888753003 +1371483789 +584913175 +1574230150 +555009098 +711174353 +206977546 +1607898549 +1272569149 +480677616 +1497727576 +1776888391 +1520021054 +219022024 +2093057563 +351056157 +1917734603 +803109059 +1066943203 +261071658 +355700513 +1662975504 +694838826 +2074263924 +534384478 +1258122366 +629909239 +339716043 +975851832 +1572780015 +730844259 +1864604836 +796780157 +1315757434 +1291351338 +1351789255 +2026931788 +1498328884 +812204156 +1152017289 +1979006500 +162448085 +781422033 +1351543906 +381470109 +726995948 +1702600063 +151721064 +1530105008 +622059619 +412792722 +1885805521 +137551475 +1107631549 +1812585797 +671935953 +218270267 +295011388 +1011651996 +1194122100 +1867791403 +1742496256 +911243288 +517087912 +910770042 +55110978 +1868877167 +790218182 +1553439862 +533597676 +1942235472 +1384962714 +696045761 +576173857 +589022973 +1077515870 +1303169805 +144139388 +1229236934 +685791165 +766199007 +1642029656 +424113038 +903750482 +602177557 +89215187 +1575686436 +820447825 +384226575 +439854784 +2014569925 +104534331 +34867392 +778329565 +621622243 +945637435 +833440543 +343015763 +1735855617 +239396757 +876613439 +1530607441 +1624359471 +1572659200 +2106781298 +65898796 +502691422 +1262467456 +210038185 +1731928356 +1948258621 +976237192 +1226474364 +224888012 +1879987675 +1828651922 +314103199 +1308190463 +501616099 +698329775 +1748045247 +368702376 +802864106 +1782912640 +1147031941 +1424486349 +581066427 +1980472484 +1767502112 +169438396 +72385593 +496631903 +1700045838 +1696745064 +2069291103 +1659343488 +1762643861 +424498877 +774327296 +1972682046 +8943585 +575102270 +801435590 +1235417950 +799990282 +533939617 +916586224 +1114093481 +1842130080 +1418202323 +1812423256 +1442691680 +1786904699 +467803714 +1078120672 +786452992 +1892290064 +1659187099 +619441828 +1512308528 +1828625495 +691827421 +2008940432 +1381187685 +241088837 +1930747887 +893047526 +2003732698 +207763117 +1667374822 +1828931096 +216706702 +94993444 +482883039 +1452124652 +894983726 +1016822656 +221227228 +2009077208 +711469089 +1639429551 +1674016816 +6677121 +1278850602 +2141820531 +1084797793 +2065303594 +1886626947 +596501244 +537261774 +1251451827 +277643091 +1229089195 +1112908611 +1658830777 +1470178033 +896172851 +404394655 +1326427083 +1103935968 +2071769477 +1007874532 +1320642670 +19279274 +1490757571 +625283675 +914263000 +360096579 +846510903 +775856560 +1071565668 +338456807 +302389729 +1078242789 +1617307409 +296726612 +15556934 +1535127356 +35869911 +612058178 +2072389130 +1287321738 +889701270 +1153994678 +252746702 +401048399 +476689063 +1148919553 +805443054 +1803116146 +105371873 +729728883 +663507030 +1426014543 +749008157 +6780953 +2051298218 +1663271158 +366877533 +750325474 +291644070 +1438443201 +1088782281 +594033799 +369202343 +558606042 +890760411 +384759277 +2093733398 +926630322 +996817456 +2018638881 +66468413 +1886518726 +1025149911 +319215115 +140083477 +1501838974 +1468134668 +945526531 +1157471472 +1573506541 +1675255414 +1820978503 +852037436 +276779924 +1827759456 +755852007 +1940051082 +47153341 +1506177481 +84211504 +1485596543 +447476114 +678245304 +1854798886 +1006082156 +1569005715 +92074515 +952331907 +348152390 +1088891971 +823487140 +414620803 +827927049 +1848637051 +733835918 +968010526 +1202992377 +54486938 +1913537057 +212980201 +1627993479 +1441308824 +2033958704 +332547267 +1718088748 +1714234513 +1088399274 +1510656182 +1761387854 +447093107 +1594867686 +1099500749 +894569221 +125629342 +806815987 +1900651378 +1694635058 +898890503 +705499637 +2042787448 +1987782474 +1528986777 +309924603 +668225876 +1230140180 +1043760521 +1636236402 +285648909 +1098247459 +1402289812 +498629110 +578757290 +696114988 +385104167 +911304557 +266720088 +2099338680 +1999703832 +1777376270 +1713242886 +299313291 +1224760308 +665259988 +1193882513 +1350389651 +1472075975 +947050243 +897541061 +223482830 +1652549880 +792844861 +63781657 +1034053009 +1102769464 +732007533 +116709541 +2146529985 +220760287 +402358450 +1097293796 +1623050099 +900987560 +1676051086 +171681439 +1286091727 +439871995 +438401527 +1237946759 +292092179 +68294149 +803705998 +591405471 +1293054458 +1468965986 +1785287984 +495960461 +793558313 +584854579 +1393501522 +1017041144 +89920811 +38862735 +1080822801 +1123973820 +1141632199 +1812830334 +1240683361 +1140678536 +2033590621 +1643041811 +90488684 +1509157073 +396545723 +1766539770 +1680838512 +1682637451 +58928117 +2119240040 +773100562 +351020297 +40050541 +1576806560 +942425768 +1333104999 +898288898 +580230104 +1829065460 +1691847212 +1165084683 +1075083334 +561404708 +1255005494 +1113946069 +1642227509 +231495666 +108094620 +1307574195 +1472179027 +1248773156 +1193681168 +967737190 +1339261840 +555354593 +1364282913 +958317962 +88709458 +899436716 +1017246080 +60465850 +1672537279 +1368266377 +100516391 +1101860191 +163208497 +1433621391 +2000149090 +743438601 +1115203203 +1544512654 +1908523284 +42802890 +2105917362 +1016045130 +1156748959 +1600661223 +1247540796 +1264843580 +760751770 +572236175 +366133088 +1954432938 +1539973365 +1705394929 +362303884 +756772630 +516229243 +451013342 +1656209347 +1533475323 +511479192 +1181262978 +754258052 +611995583 +135639521 +917466549 +2045616974 +2135788611 +1660905150 +1013336530 +1532817617 +1421944786 +1056139420 +1491251331 +290506268 +65404731 +944428906 +1538047064 +1330248311 +1705180676 +2110283239 +1696381400 +1512129967 +1502772956 +1254292681 +1874433851 +112061939 +1770521924 +177963545 +1768271286 +1156513600 +689442737 +802050616 +1910771652 +1301438320 +937690137 +680754554 +1199571647 +925995101 +194176056 +65424529 +311329070 +1616120843 +1121563949 +1802580402 +1906627111 +1186968680 +599525660 +1297190528 +369733344 +157222689 +1259990119 +2066114744 +1669352656 +615279428 +1172923777 +1396302859 +727341367 +795962053 +1574266404 +348129005 +1952475653 +116225493 +1150179621 +1715763658 +1417663813 +2087869758 +249034564 +469751812 +866381211 +443210620 +535176341 +1177710282 +2059331463 +1656740290 +832807036 +1818474927 +696225323 +1432332696 +968181807 +1065958667 +1589555385 +80688278 +984589763 +1111424393 +695967706 +10029892 +360243604 +1423309073 +805991945 +1934510008 +1771438078 +610983951 +2050735501 +774134051 +179263961 +1320915667 +714520162 +428298525 +1790667479 +1580901373 +871509145 +178360173 +611128007 +783356961 +1835100463 +1443935043 +454348240 +383842138 +728784092 +1422530047 +1449800805 +170855829 +1503218325 +286906920 +1282280223 +51702384 +296936812 +1642523827 +1475011457 +1102928758 +1429550188 +1098965888 +1713912709 +1332802041 +1873099939 +1893176670 +506234060 +440136453 +173991547 +149417892 +2021037827 +1045500692 +327778065 +484682186 +1828857653 +15394880 +1928617230 +135722245 +399237019 +509917674 +1558252292 +1849037824 +680773503 +913986970 +2135944745 +1963053726 +965689354 +285397909 +1458093906 +293217163 +1388326667 +740160446 +1392183051 +954755728 +2072962487 +1117799343 +700448750 +431712900 +1557935796 +874440297 +581130792 +1431489975 +1919940990 +908908857 +1916172162 +1601314995 +924303737 +1697305744 +1737037241 +1323540756 +59739770 +1147805885 +1025094933 +740513273 +2061792855 +1013556030 +556083352 +879998561 +1298953939 +2014177258 +1173215725 +539796959 +606854056 +417915128 +1494552687 +532332895 +1535714471 +47517790 +964045795 +946166620 +921958087 +1545176587 +230172947 +694415429 +306601796 +2146345109 +148246777 +1230905534 +1696167205 +1885284018 +406962642 +1755906975 +885606255 +1432057575 +348936601 +799915463 +298129957 +905019953 +1679914024 +1597083897 +771713563 +705646101 +2136880856 +1378567619 +1123561230 +1483949895 +1910900514 +511792053 +1531467685 +727462662 +1457958673 +305942125 +125155601 +1688131621 +1000357554 +431757398 +1686993082 +1148604331 +1662662932 +1235676640 +886404701 +2069625574 +844099967 +1772010957 +1354199502 +1193036568 +424442772 +1652329459 +2098056521 +2104356796 +1101929708 +722286436 +662519250 +1091326916 +2100854055 +1786080480 +427793164 +1864270922 +150388885 +1959260849 +444249936 +1608347559 +117719326 +569405537 +1148995532 +1118076881 +1001162935 +688504966 +119197564 +516342219 +1924181606 +1005602266 +438484146 +620797926 +630129575 +1792683648 +1813834494 +1054572347 +1297529459 +1764407368 +1011445495 +251975520 +339210156 +1673964745 +1343302436 +292580564 +1312561577 +1771095600 +9367838 +1462950463 +1582872802 +453617774 +923814374 +1700592128 +1023023311 +2072809906 +671185361 +2024186247 +613831224 +790382926 +393044818 +390529183 +1795985192 +831528964 +1011327109 +278631119 +476728964 +677677955 +1333203466 +1774258424 +294601675 +197165313 +2026233944 +633811832 +1871130059 +1222052732 +926392396 +1036207988 +845664685 +935760234 +351674803 +281053839 +1389378008 +1275489177 +1981645967 +264917671 +1200815435 +505347681 +141620270 +1814646660 +1295730607 +534665089 +57692195 +944232151 +1366194053 +1069019304 +1222863270 +1842923018 +1746697259 +408583088 +1469697794 +2041298935 +605748401 +1348448090 +527627119 +329394812 +423017174 +1454019515 +1365602801 +1268681859 +242296101 +1717277604 +1549735698 +1631674109 +845283134 +1383898018 +1896591780 +2046098569 +1889245699 +2038212051 +1713261581 +1037492658 +425393492 +1770953776 +1981724809 +1791587545 +692489432 +1057104431 +1487026915 +291703044 +1465687519 +809241061 +185518331 +2071435920 +10205503 +713145450 +253347085 +433222678 +19681317 +1618949886 +1701904537 +261977418 +1188743842 +1104156588 +1893651527 +2034026976 +340570958 +1642759659 +1932641898 +82333009 +1533488062 +1498419831 +1119825667 +1958881554 +1121889960 +954066828 +1602985452 +1814379392 +2011171259 +942528719 +2106082436 +1329375130 +1751769781 +144117119 +1253327402 +1761975284 +857262569 +1506674487 +47714314 +876943886 +978140725 +1749618852 +1138921304 +19400920 +706291792 +885089183 +2053427896 +1046862750 +380365195 +1838586146 +1129195759 +1913853257 +1189522330 +101537778 +1725251164 +163928642 +1055604606 +1180752968 +1978308034 +919292217 +2123281687 +1936906823 +101183699 +1727567820 +2081023942 +1354511101 +1342059457 +790802864 +713701941 +1389773771 +1667746750 +1691842666 +991908975 +659184407 +1711243586 +1698200767 +1544273590 +1617187835 +597579869 +1924638785 +1308290333 +1726775628 +1691008395 +350329015 +1828313406 +1268775911 +514257657 +736434364 +302045231 +345082044 +1655726581 +277843270 +134505219 +1756910280 +2005411091 +68045513 +963937734 +1199986900 +858848377 +1677639675 +442277023 +379111480 +1221998693 +1434185999 +1038295887 +785758632 +984903118 +435085829 +255462819 +1582482988 +212240967 +1563753152 +1161774968 +1903249362 +1914082168 +842604727 +1024541625 +280856177 +1579039091 +1326586856 +625938221 +1087282025 +1604430126 +760443440 +696708657 +1462357569 +828488954 +1660646391 +514860821 +1687337331 +1190802418 +957137845 +2066448811 +265317464 +243840196 +957261050 +1051076096 +1228743314 +1392346880 +1306538915 +663742654 +1604587847 +722808419 +1825517623 +1360353561 +489406939 +520638702 +237411538 +770263117 +2099677793 +1563998394 +1396201338 +1039476170 +1020944872 +9161131 +1736184828 +335818794 +837650085 +1249347571 +850679615 +377503768 +292666342 +1807817460 +296468932 +557983806 +2051657656 +1253729982 +1609059902 +1132917323 +498593214 +768115169 +1796659977 +2103181061 +1490923588 +1474693952 +1316050974 +1980330528 +1995332654 +1553462512 +603109997 +1947526800 +969977258 +1999311335 +839519322 +1990922131 +2008472466 +428220502 +179257277 +698638903 +1677568074 +1029936892 +1076142672 +1970234416 +690270705 +1372611604 +380734574 +594444713 +478857938 +1989794476 +1727362036 +977451153 +610425997 +1376538366 +933148566 +2101349585 +703748670 +101715893 +1934196465 +551597677 +1655178405 +389822814 +351640829 +477672016 +241650502 +1191160151 +321110499 +102639320 +1619380654 +500367776 +801278224 +1149465080 +1530304668 +1877420896 +972215848 +73091725 +1102548852 +1352950422 +667536439 +1581406790 +1195261250 +247414827 +411374295 +1805687247 +1623953193 +1344522862 +1759553184 +180218216 +1446238755 +1546266002 +731815893 +953933512 +1936088816 +1083456722 +1431605528 +30255670 +127133225 +1752716027 +132894991 +1746513879 +105600155 +934173215 +748495311 +1635904824 +664110463 +1720711159 +1708996549 +1766659315 +926177933 +229049340 +1200582457 +2121439183 +476464168 +1611956753 +1779642782 +2100417361 +808995967 +1391712319 +133151929 +107751074 +790494673 +864967822 +1061684586 +579099841 +1948424544 +345806467 +609355512 +2075557770 +2098522494 +742250503 +1674588001 +56639002 +1676423718 +275599665 +1692543826 +193050533 +1996310824 +1254056727 +1959709848 +775005110 +1483106068 +1012808657 +748960645 +1959570236 +477281762 +381119780 +1912503949 +1286277729 +1772832099 +2045655879 +1394028803 +415843124 +763140053 +308229742 +994942965 +564080950 +654036209 +1604298477 +492155072 +605075055 +199065332 +19259425 +661714057 +1875489050 +294859090 +206774235 +2068539583 +143686267 +1460830963 +1880765783 +918691377 +796453383 +746090793 +1667652022 +608539971 +1223372555 +2048771802 +373560272 +362166637 +1674120253 +271732503 +1756195440 +2089963377 +1034872557 +2064425182 +937422695 +1598953507 +570977743 +394237524 +2091108579 +1176052799 +593302857 +2110368004 +1837766856 +321308259 +257743447 +2044541092 +242364195 +401429714 +1357888407 +2123129978 +1320121091 +6858142 +721737123 +840289465 +615398113 +1945109679 +741577620 +988958385 +159792668 +268214225 +1260690889 +1915988108 +210693955 +148079798 +1832929643 +1148116650 +1747033305 +256423738 +1542354174 +1690658236 +1432476537 +2135657031 +1653542592 +1122759746 +309481643 +1911286039 +1019817190 +551845838 +165232105 +230221949 +527492168 +1485353196 +237080091 +1249229292 +178159014 +852478204 +1046855323 +919736634 +1841436589 +1206647991 +1187950859 +954643830 +975152451 +1398644814 +1102723628 +660598446 +399277816 +702273285 +917022185 +1941631991 +245447873 +202015074 +1929805374 +1898990466 +1324774820 +91803369 +1662792857 +197108362 +643649207 +1828024963 +427330311 +1171141376 +1165894511 +664410402 +272887020 +1344053525 +1516888606 +1319742343 +116306511 +1210841548 +378906686 +1304257371 +18001730 +1354059137 +555418537 +1120725359 +2014657584 +954696354 +1822998644 +784196121 +748844697 +2068446518 +986211195 +531166423 +1819953336 +163502368 +622969793 +1335262545 +360610730 +1266619000 +1015803860 +787941042 +290276728 +34214724 +1452351444 +563163748 +1378268249 +821756403 +1882906091 +1494574761 +2032597951 +114329129 +651348484 +2050599681 +1468388267 +1206767021 +1023841392 +1335562203 +13979727 +699356389 +2119758324 +762824424 +620319259 +958485871 +1293990848 +292788947 +1121988239 +1916960641 +1628051492 +1482598970 +1036095993 +496371705 +123056364 +1326372722 +530586429 +1575407808 +1889536470 +1908854678 +249680563 +1624958914 +1255945791 +134794866 +1739288043 +1907294275 +37910900 +1060192662 +966577649 +1061752292 +248271217 +980557376 +1761108681 +220545893 +1743381801 +233944292 +1179031765 +889889001 +526733239 +153536356 +659365994 +7301084 +1636135326 +1695461987 +503672789 +1759191690 +874351061 +1034259218 +1187115851 +616403884 +795630248 +1436796414 +93879150 +2051576040 +1571591281 +1833167193 +1811386667 +1609502181 +745876208 +630480668 +523770825 +994147425 +1611038045 +137395859 +1214693319 +1206936198 +371340151 +246241436 +2096825199 +898073391 +399777792 +608707545 +905374475 +2035913119 +156685884 +1409047264 +1647621161 +1031036946 +295822834 +687253364 +1647440830 +1091453082 +2124049779 +1741319980 +995545474 +1548157412 +1427003525 +659448494 +1010175945 +25396085 +1289929162 +1533946770 +1019543511 +753483559 +1671342629 +86753182 +1960419757 +2042682781 +332994618 +1909761308 +793272524 +732772410 +370985205 +1698646999 +621201881 +527671090 +960210615 +121339395 +1558708036 +1256033449 +808592759 +1058665218 +200002883 +785158890 +652501550 +1195548358 +185832654 +2079505075 +1854996852 +1196008599 +2104901161 +997442366 +582471722 +976961024 +1750925926 +106330703 +1063714206 +1563862035 +1529836 +1396708824 +1326139696 +794802360 +2129481234 +1697124901 +345965711 +603199468 +77312343 +1306176326 +724538863 +1636020379 +414726127 +1533131622 +547201949 +614729011 +170806865 +1199703499 +1810277369 +356639519 +1131724927 +1517790573 +1552648119 +1089142440 +367749291 +2135119841 +2066103464 +2118675217 +93966896 +982334022 +1535053605 +95496733 +231559198 +713709653 +890299093 +213556784 +263350906 +1236264805 +816756252 +340663250 +394957483 +1541295115 +1976683629 +809683611 +926943090 +376401931 +1424412622 +1097749955 +1576105430 +1087206343 +1454389474 +560346709 +457513268 +859553945 +1649489149 +825262559 +847190138 +1568108965 +796454129 +941157035 +402959339 +184024086 +1036653768 +634518537 +897733739 +1926952861 +848075322 +1161084645 +1015734018 +1664831574 +1501747895 +1410691502 +1058643042 +1330947877 +72891465 +1985586132 +1707349808 +1497304087 +935852439 +1135971590 +437026782 +242758265 +1696318300 +894540050 +1102312211 +1198323801 +1719802609 +1949502349 +618949119 +368773090 +743175736 +1021908458 +552797176 +1779829504 +1656426996 +1450530915 +1559298718 +357018670 +464131913 +427549088 +2021850244 +1965879808 +1838240590 +933009638 +1149344037 +1911132055 +771112122 +709210197 +1260952494 +1706964561 +1845181788 +1697979276 +1949722827 +1394016440 +445035678 +904551390 +444856593 +17354640 +706570091 +1063805712 +386127730 +1449745828 +2085714171 +938924907 +1082091684 +1594657519 +241972174 +493906754 +1951676189 +706104087 +921455843 +1826042785 +524500248 +612212785 +611568776 +1673844285 +375861193 +1382680898 +235570835 +1636813687 +942161812 +2080752623 +1187309316 +744400991 +1327285415 +1632344994 +1648952381 +1772142008 +1649699634 +208038824 +688464073 +2035827365 +1657784652 +626694596 +827268624 +592392689 +73868467 +1069240798 +1086299443 +2025544656 +1775344886 +2007755286 +1704103793 +152361486 +472484424 +168188921 +1826205771 +848345617 +1550869820 +2061776606 +337675656 +345547984 +1995045581 +1524984972 +1089948975 +1174847348 +1009846319 +591417708 +799505709 +512062305 +799456532 +1487969782 +400406022 +309757537 +2114664378 +1227674646 +902150226 +41049197 +149431797 +1988449669 +2066593853 +1924776683 +1848721308 +1623213998 +2077138169 +173722084 +1791402920 +1755860292 +1022067701 +1194789092 +1670153251 +1359743357 +1540337076 +1517715184 +737244682 +482802403 +545078885 +1747091001 +1074220111 +1344584594 +111669658 +1873676643 +685070728 +512075681 +35950532 +652251458 +1739750327 +938100758 +693300655 +1889182124 +779066780 +612410860 +1666475159 +480304440 +88141210 +1596129680 +654026524 +1879544130 +1204506325 +1676094225 +926849574 +727175928 +888353934 +319703002 +97407464 +1625598616 +802505405 +642486349 +1225205969 +1876725516 +1987070943 +1336875628 +1602918512 +524658023 +1848951309 +1638869044 +1176909481 +1441217988 +429486155 +1870210136 +1182916465 +1208552935 +335137348 +701907976 +1688857375 +423278559 +150554009 +195400251 +155339041 +1355060334 +1871494476 +1082188616 +2082236262 +612364762 +1401891618 +32160078 +90479731 +56913376 +674646428 +1315685700 +1933638892 +514233723 +505077680 +1389073756 +1038891747 +206545341 +880459153 +68317580 +1647763330 +1309945308 +1938527717 +683196147 +371014595 +126181417 +1385104123 +2059871970 +549459976 +1535658132 +107788573 +704799018 +743234818 +1979283049 +1786987634 +677987432 +444164163 +1041395604 +710147511 +534643894 +1098308980 +1384793939 +1850329595 +884464225 +1899027662 +207923627 +126054333 +790435761 +414468969 +1006513486 +858753342 +2062232299 +168975146 +649797411 +597944798 +539989741 +775978828 +1983048921 +452378063 +1325438805 +1371223406 +560166636 +2030237823 +2114458224 +391966037 +1669741809 +644962009 +836130201 +563653765 +1355109520 +1370774095 +1661962746 +592419811 +1073620042 +398943323 +343963825 +1281543670 +524997656 +1134399587 +1696012639 +1531511143 +1993152929 +1610761290 +1700486289 +495466692 +61222440 +92992383 +1271445520 +2044271361 +545370446 +449400677 +1268011119 +1105537083 +332154852 +1234985696 +1497503120 +2001896661 +1879947705 +186149673 +418066779 +1087573577 +1556923769 +2080029525 +1679993388 +483060163 +331489200 +2023957213 +1764603833 +856486856 +1010873152 +1313132824 +240514351 +856542433 +776410466 +1941000641 +1352009125 +837632906 +2033993024 +475970998 +734420620 +431879822 +925371675 +2002431739 +1537416905 +1257526528 +1089933787 +887436378 +1111939541 +822397844 +1073586051 +1530006320 +1909971421 +483026172 +1462552197 +1442481161 +966086336 +1794041397 +1318954727 +583206521 +503044606 +182344231 +1896339346 +743558957 +1038886665 +525266164 +537075950 +243412142 +1362899071 +423585326 +719383140 +2097319691 +855465149 +1644754816 +1952267782 +245398406 +754797696 +894717922 +1132834784 +1866737237 +1717115766 +58937188 +1249259910 +1479603540 +541963360 +564328459 +774601053 +1508049696 +210886209 +2093555780 +2091256218 +713930815 +128416364 +1840111916 +1457489772 +1167303029 +217894432 +1994565723 +1410715171 +1580793503 +270667401 +2130098312 +1530629546 +1126132550 +1627369480 +1335413681 +1371530957 +234683528 +82647955 +356882093 +2101420765 +1799763721 +415819281 +1203197027 +1131883613 +957782642 +1767525487 +1906484667 +318348690 +1978411696 +1852556799 +262121260 +544858863 +1980973163 +2102233176 +2002348635 +1000792544 +172643961 +1849430710 +264024068 +1753437464 +2120098112 +246638732 +1136583363 +1098747014 +1874008212 +324513396 +322794323 +2108691740 +407161351 +679676417 +2062628857 +59441424 +1095495698 +1118342237 +1191325038 +2053278340 +738384076 +950326057 +224143383 +569312124 +655399208 +486264643 +1114170987 +488888724 +441014172 +969035974 +1489681268 +613658133 +670983037 +1753705336 +219611949 +643597501 +2000344068 +1356195312 +1742344515 +1726868632 +1680708708 +2065138839 +1688076724 +2087870059 +597331608 +1603221934 +2147311484 +1692827306 +574080523 +1191152874 +1598621999 +1312464599 +2141478931 +1822765382 +1881776723 +649394491 +161546377 +848464062 +1138283215 +602560549 +1817500036 +480480836 +1216218682 +340999425 +86702524 +1435830632 +984596926 +2087046593 +644542296 +579457794 +1666431577 +177767357 +497112985 +1207024654 +118153768 +1094444593 +662762940 +117981604 +639788251 +1236843463 +1309134478 +90926602 +401824414 +1303129761 +1913691984 +136117489 +1952524253 +2075238362 +984581551 +943323820 +530315263 +654597939 +1423804656 +1746533946 +995597365 +1510507181 +1034880930 +1980194291 +1450070126 +1679423226 +412168437 +969018055 +1857190583 +909281422 +28559061 +1975344352 +2003726015 +691322001 +2093325956 +496030619 +1928165464 +1254976787 +586957221 +182506230 +410622900 +353165558 +318623719 +215663505 +280920272 +1303205270 +1158987326 +811235535 +1957803210 +435308334 +410285833 +805916927 +1945815515 +1445166763 +638627570 +1248401993 +977106342 +1050796008 +69936401 +686813277 +1960077430 +98495462 +514673981 +1816319798 +789817464 +460516290 +164866769 +570499280 +1715493077 +751823990 +753005511 +2126115977 +1104989548 +1071629230 +194295835 +1385909820 +227350853 +1353283161 +49661708 +37670415 +1788591495 +459947541 +843587342 +1586923363 +1905114305 +1482214912 +687841708 +734736999 +385527272 +757778109 +1421550276 +198121055 +856273572 +1936224258 +2014440853 +1646091036 +249256900 +31823974 +69106668 +1964749977 +783647964 +822112179 +1943382306 +1888637513 +1893741410 +2137678141 +1127063685 +2121092263 +1343477654 +1176725393 +11279030 +984585502 +1636672935 +854866372 +424025217 +1394303592 +189597636 +1111866925 +2129040591 +575124909 +1869645035 +1403107219 +773245964 +578434959 +1191847829 +640203169 +77042347 +1441104729 +672027143 +146149015 +1258371058 +1455675107 +968261195 +1054269717 +1196828972 +714518957 +1044464210 +176409010 +688127572 +240458217 +1353134403 +699406602 +1225043719 +842323690 +1554272974 +1649068936 +89143634 +1743870610 +613452213 +70700577 +171511871 +335613600 +1473807797 +944757835 +914048559 +518171978 +1584961004 +991090906 +1959276708 +109504499 +1137239922 +1070164118 +1565179607 +2105501117 +2124433835 +614524931 +672536426 +1021414398 +790933941 +1360663998 +1261872615 +2144068345 +2060070600 +339432686 +838908387 +1466859926 +1988501622 +928052022 +1063246888 +454470187 +998752599 +1234758760 +790083788 +325076748 +32032947 +1704132347 +843248727 +1616993952 +547739606 +655041787 +1726498451 +1684979528 +1725205905 +1144194410 +1642996997 +1702156093 +1758719342 +168049775 +576086843 +402169635 +1528713773 +1837959458 +398754332 +1441300725 +29908496 +1237662720 +760677003 +2018410118 +18231094 +1823923891 +325396657 +1016983693 +911199003 +1115480445 +1342060442 +943231951 +672129145 +37825521 +412742255 +1219868751 +692867308 +2139240706 +757364631 +270589565 +1135951469 +252877980 +1972745658 +747187163 +420927755 +401348853 +1149356798 +1949641528 +91824663 +1548111131 +1243458605 +121733159 +638290203 +2004135608 +2140143277 +656521297 +1680575851 +318056287 +1673504990 +444291207 +1433536732 +868081784 +1387523158 +2105665877 +905907305 +1800265413 +1178050980 +1598774613 +1792022471 +1935415611 +1869364179 +780490292 +40809943 +1694626189 +1527677455 +461737698 +2095975043 +529550606 +263895578 +40316058 +2077661737 +1507354183 +162049218 +568468292 +1364006143 +154708847 +1224989589 +897098347 +472765134 +751010931 +1341389554 +1906301867 +1619092716 +581429064 +1864484096 +377516373 +234210829 +895051429 +1976290987 +2026233300 +682983392 +1698171518 +659239945 +723793336 +1245314059 +39433752 +1185531034 +1193805454 +568984358 +1449426613 +1234121513 +499162447 +809297148 +1396170731 +1067630739 +25819644 +1550879578 +145136680 +922917991 +2023644713 +896147612 +116823897 +1782462932 +367756680 +698252961 +1499463380 +745273053 +932463790 +247031161 +574080392 +811213442 +930014554 +124768262 +1470453387 +1653807890 +1370082322 +1509887140 +691855276 +416404128 +2078871498 +2141281889 +1650525641 +430550298 +803095390 +899212724 +1498181037 +828915034 +302608655 +1643317718 +1751833025 +178769720 +391981682 +1868656922 +1961232652 +759738362 +419426235 +1313212384 +1505011415 +1351890025 +1560243546 +2079091808 +15619819 +342774452 +56376422 +1486073207 +1996582342 +1426458744 +848476699 +540953970 +1842862873 +779864549 +534752212 +1345904866 +1210414847 +1337847602 +97633943 +561112237 +19278988 +400242598 +56946307 +1771112013 +579012318 +448927989 +1492285287 +392761322 +1208666351 +1911711522 +1705973706 +566194118 +1116117899 +1118733604 +497802278 +1131737718 +1461508056 +554178701 +470327277 +1310606750 +1980637445 +1318803976 +1851560721 +1676016670 +2098668526 +238829285 +874437889 +1161599725 +1576676887 +972071832 +1722711962 +1595955875 +1372314430 +1779658269 +1219584240 +1951326748 +81102610 +564385879 +196604422 +1289768961 +328613753 +1902578128 +1855963080 +1444731652 +873828085 +206281710 +428985722 +187852493 +760460411 +899313000 +1498459244 +593614209 +70633328 +1202536317 +122147231 +21818206 +1441365602 +996585120 +1183417932 +870558841 +1968656952 +758646246 +319031068 +1193487734 +390820868 +1538615308 +997330834 +471923478 +2103001187 +1193935256 +1761692440 +284131292 +949029737 +1470171872 +1728862944 +1822857822 +1676453582 +10365018 +2010710315 +289430346 +909678018 +1361685911 +883044555 +980311347 +416738580 +1005191786 +1002129553 +1858104182 +2001776907 +38063837 +581179375 +1822950211 +796710084 +900210443 +868954298 +1187530952 +291342103 +1866285132 +1659454430 +246859642 +912736741 +1273663222 +530990934 +1861766478 +596351446 +112370230 +1537140652 +125321381 +122735249 +1400367319 +414751727 +1032413267 +614569583 +1297796282 +2012724614 +1031308163 +155504420 +867370520 +741928698 +9797679 +905434357 +1323108073 +1832747891 +1702144441 +75834869 +554218541 +742191745 +367176972 +273020025 +254162528 +614036615 +1185756766 +1527825750 +1145027549 +900039596 +2124177197 +1257397780 +289696600 +102014930 +1380133029 +1690063920 +516766657 +265062648 +157149855 +1814562939 +130303615 +1188458018 +1970067359 +997674135 +1930386716 +1979865039 +1903108492 +1106011142 +1665129282 +1457769286 +1181846011 +71864175 +52477383 +1549022983 +344884200 +306639911 +15575950 +1530640967 +1834465662 +1160603500 +283196915 +1811159211 +270517632 +572893516 +1913174141 +1650650661 +115473788 +282457150 +1915713309 +272623643 +2097020089 +2046016924 +1461081661 +1919603800 +896207411 +1243984730 +1751985191 +651832256 +202512224 +1269630825 +2109601542 +1384358235 +1341495000 +14595277 +785897570 +1686379201 +321235189 +801473521 +1069536520 +8217203 +1962077021 +1352733435 +1819376414 +85111005 +1925626951 +1585066907 +1735761666 +2041100739 +1867524057 +1503991327 +166240734 +1817060498 +1402524604 +1627322396 +1589180650 +151248367 +723823478 +1193682194 +803080623 +926335702 +315829371 +765198517 +163210289 +1657324372 +779793795 +949107859 +1196219925 +1101028984 +1750581380 +118272797 +1109246187 +1565174753 +1471006232 +781138953 +1650285758 +1249149536 +218722212 +1238563776 +1142766627 +2086246269 +595071456 +1309007362 +1755823119 +1997596060 +788846110 +1197520121 +1360779 +1512669588 +243718667 +804441403 +291521642 +559548039 +1569639920 +454731931 +69388763 +201950067 +1403839790 +1265608688 +1302979051 +1006937523 +1383881485 +264741590 +424628628 +707404069 +1045880543 +2074914387 +1956553605 +1264602755 +1165994515 +951836585 +1203365376 +1761065971 +113360299 +811704847 +1611178383 +902206409 +2009224969 +1612539163 +267392349 +105459988 +269496918 +558913991 +665008027 +1839136838 +1013645922 +734396790 +2041086906 +270002064 +2000005478 +1196582309 +1276939587 +1236403315 +1461323900 +1701568216 +1943807385 +359720795 +1628998955 +1752877342 +1624323551 +647509822 +557230279 +680205279 +261092146 +670590578 +1491910127 +1872270529 +1572796987 +1353651448 +1337326044 +1840189336 +1459111436 +1606822962 +251619679 +2124119464 +1298476153 +1265265601 +711032606 +1192079411 +1535267666 +563554437 +241178072 +664723605 +1799957752 +1702501972 +218808173 +1596281489 +2062222768 +1847807128 +1201675184 +1539062671 +347833303 +1758905463 +71784302 +608925449 +282012394 +1563694429 +333712330 +1854809381 +769862229 +1671038375 +1547515070 +81490018 +1130377689 +1799134749 +58125834 +281370194 +916916703 +769158440 +1473449605 +304700721 +1332712877 +1714627678 +969424326 +985186982 +1269646002 +1188232500 +433984823 +1184385122 +888555980 +1635660007 +575964145 +1236389283 +1247081823 +647748448 +1845314732 +1529094217 +63959229 +31543415 +1236419950 +833821459 +1702581790 +636451372 +915311477 +685475831 +288102474 +973437311 +966846026 +1205019177 +1742595751 +292811983 +1509719898 +927824981 +2007439661 +331660576 +1913011963 +1129602016 +1519893076 +199513138 +166503490 +260965409 +1835173146 +742467636 +1497354692 +934771321 +1390216084 +1195185777 +316381890 +1454175313 +1226729192 +1552801840 +140513124 +781827334 +41769565 +1055824601 +1467303165 +329872039 +2029261912 +286665543 +1534891216 +1624374016 +579477527 +897127466 +404715349 +439433540 +1228788042 +170243664 +1569035556 +601197471 +369756802 +1735539047 +862162880 +57446300 +330523035 +212033924 +992217621 +1720739119 +1407219701 +1308599511 +1027430784 +486465245 +713917704 +1167943909 +1268292579 +755687269 +76284862 +588112097 +1085559308 +2105546775 +874777640 +472966876 +1582437143 +1454255167 +1370094342 +1987152492 +1893688708 +451398736 +9912508 +1315240616 +1052596207 +379669310 +903296015 +1914759087 +437115611 +1233819050 +2126793012 +1429333232 +807074521 +1386529065 +590449096 +1834505306 +1872994311 +1304366800 +854965567 +993803242 +2060054069 +931250429 +1581915339 +998129729 +889313556 +309209332 +1471096605 +324267051 +1763464499 +693707299 +163935895 +1509669559 +1145106035 +173848403 +677426528 +50218595 +553517714 +1580722543 +1964977682 +990633325 +667057946 +1944287046 +272482909 +1474132467 +1183332464 +862932005 +1161154125 +908843127 +19815157 +2016119692 +1902646369 +2079869226 +799886474 +1337078061 +930515307 +1689200030 +1646287393 +254128264 +2013467082 +1262268244 +947835563 +29919329 +624454156 +2092941599 +203767733 +1301880684 +2143160194 +757285447 +735119579 +1960654228 +1747918772 +1402177525 +1757457627 +2020401681 +728826345 +793306443 +735850039 +1889980470 +1702149570 +755665196 +1758616515 +1457312291 +688050775 +411019341 +646906704 +1618566082 +2100219371 +145710449 +1872694347 +1966202805 +1407978694 +673046262 +1996122135 +2032432850 +618504213 +52406220 +1186829886 +614180759 +809691667 +1921949465 +427351340 +410126791 +1176643343 +37325319 +283044824 +1905469688 +830631762 +1018894863 +1647966510 +385297684 +1774560060 +1259099377 +1842609975 +315127187 +1670118718 +342033032 +1933693269 +1622854442 +487743481 +1658903968 +1441573599 +1895722175 +184466583 +1290212086 +1780671377 +802970796 +1342618306 +820017615 +1417151556 +4826325 +594483433 +1844502896 +414953116 +1771126776 +1881828215 +697997941 +1529112816 +564976329 +1716892804 +1029595678 +950274013 +1343969216 +141211408 +645400340 +1659096403 +1811330126 +987433372 +1445306025 +1286700920 +1475176854 +956726345 +580790872 +1223415381 +1141192928 +1871002958 +856603111 +1944163725 +1066137617 +1676620726 +1213831633 +1070963942 +123620511 +910850881 +1485917059 +1894747287 +645195448 +36431352 +1276376455 +1210171777 +1753324156 +158488486 +12962142 +949809725 +299699894 +658362482 +461422480 +2111030020 +1645795855 +1906728505 +1250247293 +973489061 +715971203 +1831038165 +49420794 +1857164131 +1554557475 +906023905 +1653844208 +473211444 +435160984 +720192193 +1544175387 +558781495 +1631043074 +882608798 +306045135 +128754874 +919040150 +1582421590 +1338926651 +524880658 +1740910076 +1351888793 +1474690383 +2040609970 +2010251276 +1936112864 +2004156343 +1508563483 +1695357721 +1106919988 +334568896 +263845276 +790474505 +383989690 +2121009408 +197548332 +1290013596 +1627369968 +670759777 +1725174580 +200078514 +67451516 +136472427 +1831121588 +950060314 +442517562 +1959876463 +1869100464 +2024939153 +1151319466 +246497474 +1618365581 +355724612 +1721187858 +1511491904 +218492240 +1509817074 +1368164599 +1727055723 +1057691147 +327600939 +2061624619 +1321536424 +1118075444 +298130661 +1295062184 +1315623776 +1588144257 +774948504 +1986383553 +1165835189 +975027018 +2053835069 +1302307617 +658664959 +856411735 +1744825179 +471057774 +578028551 +1622280684 +1622377240 +824526026 +1093162618 +1978101852 +398230236 +457170874 +49110444 +1908047310 +1825335473 +1776166167 +818254809 +5452764 +1690307138 +2139791233 +1123528208 +1988437800 +1287369769 +291668336 +1429098409 +2062318274 +130568242 +447449951 +889861644 +36919663 +1749757568 +1548526603 +893331399 +1347099099 +2019584377 +1471359950 +821896136 +1494477970 +148402328 +1915058754 +1325096174 +546632564 +224745980 +1374206619 +307196226 +2050081453 +1002889138 +1125451036 +2055534217 +545712629 +1117758621 +1031578777 +386666781 +257644743 +1323247113 +1815765190 +172479369 +1453815355 +115731493 +1062341013 +1490735019 +1865489061 +463383969 +236582770 +1065104513 +335484698 +1707942720 +1887000649 +1829962668 +1856345049 +1654575755 +1007575195 +255493965 +1879321735 +234298166 +562690192 +1781919540 +1237187304 +1688141228 +1689970109 +1782899933 +658416201 +574065238 +22083066 +916060944 +1897312351 +1837848257 +1088540313 +1203644059 +1953579750 +3397679 +546895430 +1671585164 +466781648 +783478200 +589206029 +802266346 +343937272 +328723030 +484745367 +52798673 +1983298785 +1492320562 +308292639 +1715136872 +1726618728 +870982831 +1349572764 +816322384 +411640411 +892059225 +451738670 +1070056612 +1466124463 +473821736 +1986117557 +1215953166 +164186345 +927174222 +272113577 +2117766096 +930571901 +819009007 +1641867612 +1397353549 +1602487207 +83589993 +52136248 +1946424480 +412313023 +536881615 +1999223153 +248128160 +2029202177 +160032144 +1963265032 +1608337257 +1031014975 +1165354148 +277175993 +1442655386 +2057413373 +728914663 +365228351 +1376054188 +1202736400 +203862260 +444523706 +1366922745 +1131036482 +716637284 +1337205193 +2061608384 +1535646291 +831589157 +1311478285 +990649851 +915179150 +1363614533 +789590683 +1327492173 +1900496148 +641330188 +1575620333 +1782214677 +801362333 +1391401717 +1243068286 +1832377308 +409272217 +1520244280 +1127549047 +319201942 +101675295 +1492777398 +1695256130 +1304411695 +1696639658 +2139779837 +523850793 +680192492 +708933473 +1861055986 +594317228 +97096116 +545161496 +1905795514 +1087745967 +1460340646 +1121926399 +1877336650 +640349172 +874938900 +371183191 +68485857 +509669929 +1172545524 +1459887575 +1752738216 +857439184 +1869159792 +1125498848 +1984988231 +40878087 +1227174143 +1330281981 +1736134217 +384102191 +879437991 +1728430406 +907952984 +1559630484 +289880231 +621525322 +6464064 +386976348 +1166686818 +1912259578 +1474722315 +479543817 +886702330 +1204575318 +1119892989 +1761641230 +1575758509 +1188378846 +123827511 +600820385 +500782773 +1876565727 +1458259569 +222458918 +854580927 +1295764153 +263337005 +2081755071 +478562486 +1999471222 +318373614 +1358000478 +1580417981 +1226326598 +770147314 +1870298212 +1847851920 +776611378 +109790912 +867055091 +541387309 +1584513228 +1346598908 +1428089639 +641604898 +319008249 +1042247221 +69879759 +1507387095 +1166074732 +670700144 +2008169869 +895156812 +2128959713 +83145139 +1749737739 +1277240218 +346482144 +1684009162 +1755802705 +198469718 +2002382776 +966319535 +1778887699 +1081225726 +1736466849 +1501702264 +781593999 +365594579 +1611493176 +1648649090 +906981888 +1048522756 +847764350 +187587879 +1690127654 +1166772599 +1229835100 +1760007413 +526676046 +248426185 +283223909 +387362267 +1143582997 +264699975 +470507406 +745837088 +1541940193 +816989550 +282362603 +1150259250 +1015459269 +137261731 +2116578785 +646863320 +1218487458 +1705561986 +1081936 +2000081457 +2071156566 +1612575113 +1501246899 +830654806 +513614221 +201527601 +1018242686 +56258228 +1368300200 +100594138 +1816265641 +1894976246 +349020323 +2099489551 +134854866 +1492603320 +216705878 +605362272 +90956761 +1758646071 +1422351823 +373319364 +761421674 +290327444 +510581095 +730516811 +937190764 +1729068553 +288595150 +938272701 +1581666362 +212268068 +403364166 +935429613 +1042922874 +916978387 +1136957214 +2061165560 +973236615 +357773766 +14276051 +642018609 +105266365 +363296374 +594024512 +240121231 +1855899695 +810730390 +845483503 +1946856456 +421892813 +120351678 +172692172 +1183314487 +410679122 +683273267 +1913831299 +1347869887 +264858173 +54942801 +138658940 +1846524535 +267210869 +542023106 +634470501 +1310133743 +1459001493 +1771427715 +1223815656 +284754461 +2129201482 +1238091707 +926773070 +86984199 +1601388081 +1520797582 +327105430 +1309804128 +184044324 +1172588933 +1109176936 +605937137 +1292940612 +1281869108 +1789251625 +1703619734 +1965142376 +1555599276 +904005973 +82516901 +1610542077 +1042664913 +1929041436 +1877752946 +1584688019 +416028289 +1040403041 +896205865 +39972357 +116735049 +1180960326 +21690191 +1354826756 +2107733396 +108674390 +808731190 +1481047330 +435779820 +2118535318 +1665091654 +1608368753 +1080228607 +123545143 +753825717 +214614067 +1912796768 +309961804 +32272795 +1320912396 +1213967777 +114789696 +783970825 +109149043 +2043831133 +514240123 +1693837062 +312375774 +1554643165 +442559279 +352348131 +1671378214 +1623519605 +374038322 +878721323 +1583769353 +482712712 +1687452513 +917333035 +918492532 +1658504183 +434941041 +379377638 +591249142 +558486185 +1133203355 +805863210 +323799305 +1443165159 +838136005 +1644711702 +509649289 +952925702 +281198879 +618798332 +849273187 +795439003 +165151746 +1161648961 +202598520 +607711026 +1513997093 +1873976734 +83746983 +1888035415 +605214409 +1667516337 +223264480 +145183274 +437365724 +1141757012 +1803687458 +872306766 +1521134650 +247452952 +1430792951 +506854358 +1053316162 +1754592256 +1950019517 +1891452168 +1251820310 +312185158 +696894222 +1533019190 +930983490 +1546167409 +180974545 +1096135237 +560332722 +383573065 +1703846263 +2074329815 +110066151 +1787593246 +1814881583 +715280561 +1307625935 +2038146063 +860463835 +1744991660 +1032419427 +516667645 +469814778 +406070430 +764120598 +1900607729 +912924788 +1817436760 +1507716337 +715460657 +1561405280 +612053000 +1027645816 +110815854 +2145072190 +1958629306 +1656983263 +178563087 +907280895 +69832338 +562136152 +463643510 +2144162153 +672202303 +103753109 +1811560088 +1387482864 +1411379044 +1702222503 +100463052 +1008887056 +587158283 +617130697 +1478701834 +993228713 +1381251295 +1231825915 +1906153501 +1051204408 +592058605 +474130510 +465126040 +1204111605 +1501776326 +575941895 +1201700147 +1312921985 +85441510 +1380263234 +72719232 +155273848 +1942399386 +536362743 +151952354 +467118041 +640115852 +1963512442 +1854600906 +2051494896 +1518251298 +1955063958 +912898305 +2105409581 +424711007 +244116491 +951154646 +1805962303 +1475942407 +709824499 +709683063 +2068001012 +1183955009 +1174809103 +1124628969 +538247688 +1750750998 +178845468 +1851169673 +1836192509 +1559108702 +1923888905 +1991466357 +1354024440 +312768000 +2143418711 +1821142481 +952883852 +1959447506 +1528259739 +856895101 +1330215156 +1335840049 +1769793406 +1288141089 +1760551057 +2013909897 +91812087 +1419029712 +1342368656 +801636586 +2128712775 +1262886020 +1985591595 +1156038230 +240031341 +376355635 +759305581 +418876809 +80041660 +448014442 +1977985511 +2003930566 +291997151 +1184526303 +169214918 +287932215 +858185137 +1122098771 +99896073 +238961228 +1978993872 +1430111229 +1574801278 +1601303630 +570768670 +1187868687 +1467729879 +662580757 +459414751 +662614888 +1464217343 +440643878 +1925500908 +1302325290 +1596682108 +18048602 +1678680926 +208504041 +436925411 +1758722586 +656518483 +267427275 +1615169504 +948515635 +1451953578 +1784384423 +1236447850 +162655067 +758999546 +1336343923 +401616296 +590509770 +618971504 +1976417574 +44329752 +1189740174 +1016802613 +1512059631 +1852320931 +1476217364 +27190871 +1169054626 +1916861242 +1952691780 +323896268 +1366059702 +1970740382 +2002577194 +1574563744 +260182145 +1613816133 +83598579 +527609420 +1081501989 +1032114214 +1979562999 +718402764 +121078416 +2142218066 +1477402310 +1457422339 +396350714 +2067912080 +2076393843 +225284640 +2112241832 +1118650369 +1242087253 +1476817816 +823487652 +570820969 +1504008687 +1992542278 +340198563 +1309216819 +168954899 +1706258266 +1132473553 +24048445 +1133338362 +1392655699 +1637864578 +1216936941 +1920265119 +571882920 +101567508 +1752344470 +1290285684 +222645924 +1747078889 +620204347 +1680068264 +2143429603 +540632779 +1608978459 +221230596 +505390964 +580145181 +1463317849 +1982208780 +1403632833 +2034138819 +1338733819 +1248691464 +226853734 +500466991 +1417646363 +1933112000 +1632940544 +1441694808 +918966714 +878112595 +932075739 +2135903656 +650894067 +1503958659 +89987516 +255754889 +646760695 +312633440 +2002833778 +1266965042 +1992701704 +1998779734 +1807597822 +1454196516 +72526682 +165505138 +2034341697 +1535844531 +230270 +1290490882 +1422499702 +1338964089 +391698698 +1649353437 +1839431080 +1809345061 +1434981789 +1324887977 +1103556222 +206464856 +55516924 +2035631961 +194884864 +706410991 +1392106972 +284872380 +962165881 +2038867667 +597505820 +817516011 +1158349062 +442723877 +668812097 +818463236 +1896920393 +741338779 +983968374 +1783778442 +129699663 +984198644 +926785676 +1552199365 +175679085 +1318484375 +1054069154 +2015110166 +980345788 +341567296 +1192514495 +2083902010 +548032152 +1248031419 +1972050323 +742917016 +1954442411 +1216673647 +1027789396 +769124644 +1108057667 +1625295216 +1586640655 +118923081 +2068019093 +107969105 +937386317 +1817455838 +849307884 +1921354691 +1453750632 +979007547 +758069687 +233052661 +383723265 +933748772 +1551537036 +1437792419 +801375290 +384399176 +1779359715 +1993889785 +320817539 +179908219 +1094437557 +145384214 +922825235 +901396320 +1362057862 +1950614631 +1670520964 +322631881 +1428426200 +1109677971 +441554962 +1348961645 +1217647076 +1378941279 +1018933836 +2066954961 +1152812322 +325200820 +898478860 +1910882009 +558253481 +1282202125 +697147133 +2109790517 +572510897 +1498522424 +346706046 +204386964 +1344928561 +667523585 +384295184 +291882470 +812907799 +1307120419 +1193278790 +27482013 +1110251403 +716316106 +350113894 +391193955 +1825994078 +791668856 +1740155600 +896157506 +23126487 +611605788 +815628819 +1175938809 +936806609 +1714107680 +939337170 +1495060090 +848826157 +1636484304 +1457366960 +1421337054 +987523080 +1804073006 +1625724019 +184967993 +324112943 +2010019203 +476850464 +1137020742 +1169655974 +1670129254 +1164502756 +132423729 +238961713 +1514616650 +523617684 +2064955791 +158801859 +116289637 +813629649 +181928346 +727895425 +1629258469 +1357867156 +1664702034 +1195882501 +149720678 +1012278477 +2044708658 +1786204982 +322161789 +1318562065 +626244414 +2126234795 +796802436 +811212408 +302864090 +659337991 +1288062872 +1439884832 +1828993965 +810708478 +456903940 +1961417695 +1049670191 +1971520591 +337551731 +967142334 +2130322450 +453841368 +1780771984 +164767148 +1181736794 +1262546805 +1522634304 +698955180 +310945658 +1672354983 +1711233657 +208170668 +1311076317 +2033395446 +1526732733 +1937320732 +2012146593 +176051521 +601049492 +167527035 +835389512 +1889112364 +1607411868 +516899830 +552337194 +2064315808 +330833877 +1602007386 +1888352751 +668385608 +421666072 +1871191553 +1122226977 +54954408 +2035958702 +156480123 +1317501213 +1411109358 +855435303 +1628446871 +935980693 +419185313 +1836617540 +99573363 +305097111 +1215866625 +2036894095 +169760057 +1391918147 +490459939 +337287092 +79824011 +232088655 +1944698960 +596723841 +784425849 +1861531121 +927557718 +238949587 +1602400224 +1595943327 +660615660 +1326108130 +570686656 +715570068 +1214583184 +727166779 +2033071282 +478208894 +1582602082 +1514034505 +1414189588 +2001787395 +1203168397 +1513762951 +159400859 +271551375 +1403173398 +329160916 +1663469522 +1893633337 +666448008 +1743293533 +2125721992 +463663321 +192533727 +762664193 +177710794 +1120091445 +1001613781 +1780111018 +568551124 +1662229441 +958735500 +1139237780 +230315861 +25835036 +1866404559 +115903495 +504043931 +1301522994 +1629938001 +1918233519 +1155826741 +685622750 +1284512822 +1315227600 +957174125 +540202572 +1644388516 +473159999 +286352261 +163352877 +68969885 +264590605 +627016198 +261503612 +1027254798 +804726992 +1381595057 +2028868579 +437354362 +1950146182 +1543614372 +1396089863 +941900314 +1773930234 +1421924899 +660821226 +1889833729 +1925968830 +1962344220 +1372288082 +1696718701 +970687313 +2057910833 +833747875 +138431266 +867601310 +1373950447 +1782819782 +1340761310 +1660302708 +1946172659 +1409731195 +1924893313 +425705209 +1671234807 +804664464 +1230432201 +905346216 +686049395 +1667786564 +708008750 +82180120 +916392779 +1649909065 +1856110354 +190834030 +163246643 +1598460435 +2116802861 +2125590863 +823264870 +1666037914 +948794528 +733692055 +352302142 +1087225794 +1601293365 +1726252589 +722561929 +794571027 +1239071650 +521250940 +56818574 +1016481315 +946956150 +1728053381 +1821145779 +29904703 +485915950 +359711527 +1697691267 +1193924700 +441891647 +466600398 +696350117 +150518353 +657434429 +859596760 +1748978788 +626753642 +837703975 +424760010 +145307908 +1786498504 +1158452065 +497610050 +726240650 +612261783 +76378992 +1448802579 +1406832810 +1315450642 +1970053520 +1463651385 +184448309 +769526022 +1044221118 +2005594089 +799430725 +1530137068 +217821968 +349638345 +576578121 +659713615 +816238743 +1272928238 +810231968 +1473673172 +2132524999 +411727108 +2100426814 +822745326 +836487119 +98251075 +461760182 +1994939184 +595861125 +1188000833 +459717319 +672240117 +489319764 +1866550130 +1987690759 +311889636 +1182717867 +24655421 +1081415658 +79455337 +2030249510 +1880846384 +1609592406 +100587830 +83001081 +38686879 +760301445 +899239824 +1311615117 +1570533413 +225429349 +1296656468 +1982260521 +178372515 +2119401795 +671263992 +276623590 +433678329 +518719529 +872484716 +1621679162 +978436848 +1544724833 +2110998927 +697503330 +1384931945 +275404915 +1880221197 +1409587366 +1356820574 +1959676535 +1292353228 +1090183310 +1421785293 +1392941058 +1173184391 +1460472172 +5758855 +2072424215 +624603641 +1576292268 +150369916 +1921260110 +1411069141 +328742432 +1893178257 +2082333134 +605366022 +179372938 +453569015 +1477850738 +1801052101 +1432005863 +875091924 +1764567380 +2129509194 +112540221 +2039972295 +1862246743 +1522127587 +1249309221 +1674439630 +666997167 +192008883 +948741275 +2059938225 +1365193274 +261729799 +2065697080 +1290133842 +886333441 +1494505700 +1440503758 +660109903 +758091193 +1769246190 +405804512 +692940679 +227128565 +585177450 +1146509694 +1704979303 +238745903 +431031910 +432587579 +2003313283 +413057456 +545127800 +1895801931 +127820551 +2067255387 +997627504 +1802260182 +586768906 +1189636388 +603517809 +499223483 +407346014 +865247609 +417436915 +1697479856 +1751581050 +1911942615 +990499967 +264207305 +522550161 +612262509 +670011817 +1215490840 +839391074 +1255189267 +214516887 +396886730 +1493935171 +645548797 +829474309 +1349764806 +1058606253 +1374602110 +1098083089 +1186426804 +1294373849 +2095710594 +841203338 +1881142756 +1137863334 +1444721148 +232882591 +1545209348 +162485109 +650319507 +1095205557 +1914066159 +414778474 +2085705524 +30789816 +937328635 +550484385 +700801633 +5335828 +1389875460 +1955990900 +219852715 +1786762190 +1302442423 +865401512 +468752851 +504723582 +1924007765 +1843354961 +1602806671 +962950921 +990245163 +1551033617 +1804154260 +723904271 +541413303 +1101391760 +956786862 +2086622652 +1263876869 +1607106369 +1034344561 +1030459380 +2021884844 +972566437 +1061249196 +811729831 +1523050822 +1762050829 +817065659 +765442634 +1570558081 +1036918374 +404721176 +725516857 +1902319886 +873474028 +1230240439 +1678844003 +569345341 +685563462 +494311277 +1559590504 +89113432 +150981889 +136011127 +630526735 +1252373649 +1092797990 +569665739 +368766870 +552420711 +1604010300 +1399226250 +426821907 +429093089 +312991798 +1238551739 +1952143912 +2075042627 +2055617398 +570102898 +1498117060 +945052125 +974824075 +76150269 +699888363 +1848298103 +1306390708 +231248719 +270159796 +1991954171 +725559996 +1829750301 +2081067603 +876541885 +1965761428 +564110690 +2128915534 +911075770 +1133776430 +350198756 +1463496482 +590303082 +1749425006 +1890318389 +1019396172 +2062416804 +981386480 +824056436 +1989975783 +889520231 +1394159334 +1340609195 +1834572356 +221499761 +1416759465 +386977071 +2069797864 +575666525 +618225790 +192474013 +420137048 +1343785786 +2022224314 +353721003 +72844023 +1840502094 +917831694 +54275909 +604094217 +2051608124 +404474665 +2067590699 +494427558 +6416023 +1810425440 +1513823730 +2068832827 +644328273 +190396518 +1911324962 +1533848504 +1584555853 +1104450510 +1220937212 +1806055614 +373726327 +1607914283 +1728369831 +949392852 +78656426 +1920843844 +1369529901 +1422442212 +1795584510 +1723250904 +1495286236 +1488602956 +493598950 +1549562145 +2092697173 +397723426 +1954036811 +2012804224 +892150985 +1960452834 +1675746017 +258491067 +1881802014 +172590642 +448887586 +1645643328 +1706439146 +2033443439 +602610190 +779892710 +1692015405 +976336517 +240323345 +1272901588 +1925729370 +318979771 +1046261784 +1147775623 +1741421984 +694362646 +723542879 +1089224572 +35481955 +1217141830 +491303069 +2128179128 +1614865256 +297856232 +1993499705 +359532593 +110825419 +1521762074 +618023661 +1992627433 +1694352716 +1066911247 +1490787113 +1253308214 +952871038 +2093397304 +2033200924 +497402795 +922250173 +126040621 +1770304384 +700495895 +445020393 +669082520 +1848271518 +38958729 +1363445167 +424330750 +1128183301 +1398927122 +1641472580 +1619486370 +1379622602 +1108854188 +1917342603 +1225638659 +1468386782 +2028168022 +599917085 +2086410443 +1873311807 +146786153 +1005838042 +1216615272 +1400094367 +1958709080 +1162528928 +1285811643 +308628227 +2084779102 +1411852265 +2078932611 +637791349 +1856872658 +600531484 +338579220 +1895831387 +1963976651 +762909970 +876531040 +1215420125 +256898902 +348533762 +447559079 +1365753090 +118392717 +1673197739 +686656224 +2146560739 +125631176 +625583019 +1872388898 +272417330 +1631421061 +941520523 +1672511697 +1442646493 +2104049451 +810839693 +1751274721 +2041344905 +75208310 +1682723684 +531652607 +1932080968 +135771520 +870231827 +1680428707 +2099748171 +1633141797 +409476099 +1167684648 +1890040699 +758009861 +1615243728 +1108310141 +876402579 +1140957819 +1794966366 +875479670 +1266588995 +273065737 +600384921 +1539006325 +1904486799 +1541905444 +1064034375 +1199649644 +1498471247 +1874874068 +803440717 +1392332505 +1950082378 +338680754 +1923985112 +1734679698 +474452274 +646733291 +1267624757 +426716798 +132391440 +1677100856 +1594401446 +2022432139 +287627069 +1062161526 +983258632 +1164029648 +55635697 +630741350 +2039509319 +1322224693 +903807088 +492410592 +713747370 +660810239 +2034316036 +1777781745 +1860459883 +1385303635 +1505172165 +516416953 +630152492 +1307770895 +855097707 +406653956 +894966945 +1329549981 +1053387247 +15108054 +1756266779 +1185778687 +1692208910 +1203184578 +1060727178 +1979835980 +117862456 +2043985811 +996381980 +173498154 +527243513 +888407651 +1495722847 +1431050601 +1380818243 +61986569 +2091860840 +1267650631 +1839768315 +1804837076 +505470619 +1197456832 +173770381 +1135623111 +357744080 +1028868088 +1542277068 +1252711025 +210934421 +448180667 +1267819080 +1967201201 +1633959355 +812544342 +1022902131 +547202885 +644896674 +1140764587 +443705048 +1641278655 +1314262741 +970948562 +382202658 +662501940 +254515515 +1763020902 +724488510 +198892708 +883187885 +416773177 +2003729784 +1388658504 +1614230009 +30016517 +376797968 +1971974089 +1058884605 +1919075036 +1077201467 +1269819026 +219772055 +197536899 +1089536579 +1853731410 +1010081241 +2112438710 +253450648 +1654977916 +1105719650 +697155696 +1148772923 +272498743 +1668104258 +1530975581 +935000684 +1922619774 +1146512835 +1659489194 +2121512482 +2029700721 +2076262371 +1977758618 +1270875577 +1543008732 +2007775135 +1647673545 +1367499174 +919176092 +1419264933 +297216993 +41511470 +1639036989 +494753892 +1131048050 +1345284751 +1504835133 +1096003112 +1598735399 +1012329401 +54239114 +148407448 +13618676 +326737858 +1816511706 +1544594258 +1261738542 +1591647832 +543623445 +773744088 +1565676666 +425840518 +702522811 +1395951636 +1696716096 +98047895 +1256243123 +1196905993 +1465547069 +27935567 +468687279 +1762764062 +69447038 +2107724268 +110034306 +1200495088 +1305525371 +1614869440 +149014552 +756777123 +479715193 +203253667 +905184571 +493333870 +529991525 +574212629 +2037928128 +1791730067 +18376814 +434067925 +417990507 +1584053480 +859908444 +1120513318 +832521469 +409140892 +1218561213 +2088764592 +1606046885 +536624635 +2116700160 +2074734164 +151905049 +38663550 +2034974784 +261939356 +1239158638 +1193016508 +1876808796 +1388173190 +1949793631 +209040341 +1591426857 +707494554 +702374211 +2121418382 +1281707183 +592818691 +1765664801 +1300083997 +1026886617 +36171660 +736653830 +1886795061 +1156684978 +1569175299 +148452305 +227762544 +1510456243 +1754499190 +764387179 +1479672755 +1681749707 +916292228 +1518336305 +1569240843 +1178231584 +610011295 +614773703 +907556732 +1998184486 +417083686 +1116597074 +1442127695 +1124578240 +1818971285 +1416062430 +258801776 +264306329 +1034243583 +1558885773 +1291192946 +1070415244 +148055955 +1030504359 +79616574 +1717231254 +1178956664 +307379118 +1080203850 +785972206 +1071766297 +412392957 +320238265 +1988058526 +1930729263 +1889479109 +1018806462 +393256910 +356769164 +1926363195 +243957748 +773852851 +895476621 +1686085444 +1898431091 +566964258 +954664226 +9749219 +831270587 +1988907809 +1568634993 +2122463533 +911839405 +1716690948 +1005484244 +991455980 +1286438555 +36957260 +1298835098 +219158757 +822929467 +223117748 +631551714 +1143167732 +63692626 +414797329 +885163193 +1082499088 +808054240 +1241932358 +861378635 +1052011988 +2015785209 +1756855256 +590613784 +1766732652 +176335867 +1545278010 +1776481872 +1007606454 +1386702172 +1197633217 +982586340 +151057929 +766840517 +1988070584 +1142513909 +2053279072 +2025027845 +293865360 +124954181 +700473664 +516983108 +756505896 +1843641396 +580675734 +1171303225 +581320942 +1663174822 +1979357465 +1823253300 +377069810 +883885806 +1691554861 +2133925066 +1474499590 +1310803865 +162777285 +872293953 +939802089 +1170383740 +111512477 +2137435306 +5486432 +262570406 +756792176 +1993557016 +1405084316 +662587600 +1871101213 +1698949676 +787541782 +424091229 +68449136 +1544047678 +120248978 +649124870 +567867255 +701569920 +164816044 +399741073 +377339572 +541885854 +1283626879 +2068894433 +528327273 +610642821 +1232214650 +691104558 +1482936774 +24533092 +1861488298 +1594449251 +14484750 +1866974730 +1857019658 +771276926 +1713048099 +1114620326 +1433864527 +1436665664 +666086354 +73922661 +1860756894 +734535490 +1617970339 +1981005872 +1383660360 +38353946 +535092144 +1548476404 +438095019 +912431716 +2090362259 +1721721898 +833842501 +471205884 +184881072 +2066057151 +1162310442 +1667817846 +2090590243 +876315093 +1114783450 +2105074994 +595806175 +824319460 +728868272 +161370626 +1938939786 +15249151 +1598036291 +457542492 +89171812 +1311309537 +1192077982 +1707142151 +1144831761 +428254694 +1745496098 +1679923905 +1976731098 +36107469 +444871973 +1919609709 +1757829368 +1278714474 +243331945 +1942710440 +1197287977 +1405642388 +1463044638 +1140394573 +134473833 +430344440 +1097985919 +730280008 +1254663900 +1826854191 +891650635 +1046120038 +1842103343 +342203278 +1503662530 +1931275155 +1653512815 +548256864 +1490933659 +650860928 +976511558 +1088946109 +183301185 +805759009 +1125053578 +628173158 +577885070 +735399298 +1906887632 +821217016 +530626090 +956691961 +79375756 +1993670729 +2097086534 +213849589 +276531521 +1047588805 +944129597 +1531195422 +726959349 +1835780232 +429831812 +421579044 +30499862 +1933494343 +205370551 +1684012677 +334267559 +1696304210 +187389957 +1310779118 +637766671 +370691142 +2116538127 +1762820250 +998864300 +546939549 +350735900 +758268284 +1368156565 +881361991 +1714960246 +1447532321 +727549072 +1664563132 +1661381910 +1004080593 +564668290 +458027860 +387792367 +1291627639 +146324444 +817624180 +1713206683 +176824307 +603634875 +1918577234 +1860836984 +937902434 +1467397797 +2048226942 +101197904 +2105164468 +271434436 +70252383 +1720501070 +1270298737 +617191933 +2071236971 +2028567021 +1985348498 +805115314 +1596043619 +1285397172 +1532664386 +1113123104 +799295434 +389261331 +1677791394 +1257323294 +777053699 +821935385 +1403647739 +1594677879 +387658420 +1580472046 +50829106 +158752006 +1293825382 +988731540 +1626149803 +1194568676 +1089929445 +1583830624 +1466003113 +1160181828 +1156848046 +588818202 +1777373761 +1080601369 +469901575 +1615238612 +1885716683 +2065945195 +753152136 +1270897421 +1031584651 +1552447570 +1660158753 +561892397 +662287217 +289728804 +1383827782 +2065934956 +1884406683 +1771486202 +1498923354 +1935235789 +1930238208 +645265088 +776483681 +1408904364 +1839833765 +1866413126 +845251340 +1158353230 +879111307 +2002099386 +1747171432 +509001420 +935217108 +69589359 +2124240032 +673450143 +2135534554 +729908520 +1944347565 +1019635557 +134872443 +1457022670 +1581527954 +797159660 +1746751474 +817872088 +715610968 +1483674509 +441874642 +67050674 +1271426650 +224629203 +712315762 +2047910331 +1633533567 +404665879 +1766839810 +331301259 +1563019109 +498467469 +185916997 +1162706893 +1007468889 +1121134105 +1232296253 +984225274 +1794584249 +1220347159 +1714133794 +1591448166 +92499069 +1849006237 +900987188 +1674027023 +498682249 +500255014 +344415464 +1214293217 +1983929523 +786290106 +1281343891 +1107872525 +1010919309 +1993659654 +1008299208 +496969228 +250841885 +627655370 +828270487 +1813860995 +1126122839 +1014187485 +829084240 +2133591729 +2135321590 +2061380493 +970333355 +1782422191 +1134244005 +536983501 +1226386709 +1226743074 +238506091 +2127373897 +753286449 +737188340 +480145263 +1097701913 +1951481558 +316591138 +1883992020 +1085341801 +1424463663 +747427681 +931517807 +285279224 +1244396910 +1182359693 +912934594 +2072667397 +848737040 +2039057434 +939371234 +1677821280 +2025165515 +927209177 +1591718126 +848015222 +562147720 +578478483 +1384998723 +1788534430 +1805221557 +1623504814 +1768424679 +411024358 +213209507 +101086295 +1508726272 +17207417 +417677433 +1245234644 +1102549218 +1842141097 +1992662325 +2034067026 +2127420321 +1089575587 +1068943071 +892871267 +1014759337 +1917680111 +784445053 +1954130571 +1448017743 +662126920 +733856100 +892252221 +1510142142 +1296003821 +1470730704 +747657218 +937054603 +1128468613 +223678384 +557995634 +1539492972 +436887891 +659081929 +900735596 +454095308 +1076759363 +2145970240 +1556644527 +771416812 +1991148917 +1443227905 +751353485 +933240857 +364687328 +1644224752 +1948000194 +134883791 +281186158 +1754647117 +1582901534 +943313078 +341019570 +327670108 +305971573 +1637023391 +1798400812 +1053628791 +426594346 +779385778 +1277307175 +984589980 +171395102 +1714195067 +1643671910 +1072130698 +20806727 +572947625 +1070617290 +1577451254 +1344364437 +914282559 +873195511 +2095717922 +1847523416 +1237882839 +1592459026 +1648039962 +1372766630 +1873645184 +1255203432 +808184517 +669474615 +1596223002 +1135854625 +975446188 +1085762745 +786771789 +2029074979 +1512357091 +1566157567 +1158898506 +349463423 +1737552669 +725609925 +1993135333 +662199719 +746416653 +418599310 +1732817009 +176384259 +1762963747 +499615921 +1049579771 +1711198021 +199655689 +139978962 +1156173400 +1847695652 +1512745593 +882334936 +955415436 +173446462 +1551809551 +404154790 +1309301087 +379772091 +1489917535 +2096072876 +261363422 +854790978 +1514746796 +1420261929 +1204254401 +1104815817 +2145871854 +1049906087 +1767015537 +744804859 +1468505397 +1352348898 +921189119 +1083985497 +1851964819 +1970768890 +647699870 +2051620509 +2110747852 +1803873270 +1751832513 +1476009797 +538724559 +559764301 +1649456259 +2090534110 +963919091 +811273698 +322822554 +306352978 +759862927 +584185976 +1161143956 +127126075 +2004447905 +217914709 +1231941892 +2002836112 +1267820796 +851473781 +600157323 +588842546 +56339032 +1521346442 +1672828043 +1908303851 +1344631684 +173044265 +1812440712 +1307895889 +1976917536 +1416789577 +636422038 +368158447 +1976553878 +138394650 +311208909 +792989321 +949668348 +634031463 +1099342299 +1709531275 +1218217440 +113002607 +1836657350 +1075181697 +330917317 +921115595 +930534161 +1598738113 +1772589376 +1530691485 +40097011 +1828928408 +904554279 +1712925054 +1589748612 +101702316 +1885969320 +1254705676 +1409598205 +1715403208 +524011606 +2046020243 +2083561655 +353081836 +36931245 +247286916 +1146071158 +986599594 +881318380 +97929809 +548647221 +2099535820 +210932417 +237820924 +1027233869 +541849734 +1158936519 +1957768031 +2140587847 +784042247 +1340975868 +33201211 +465487008 +98046499 +1746126265 +2055235620 +199748815 +1484611937 +1162457648 +1609347020 +1052531497 +1686469254 +1507883616 +988609504 +2039551091 +1544814861 +1235896421 +1038138601 +383930807 +2117214801 +1136068410 +932578029 +2069266973 +1347000827 +1170398953 +949017194 +1888850561 +181851824 +759301577 +1881954761 +965894071 +2100277445 +1915155972 +1431381079 +50840297 +1513798589 +1339133051 +250589112 +850926879 +354107052 +1859936133 +1903458376 +2040576306 +1220336101 +744584233 +1932643749 +617667314 +1980480654 +823298702 +1001598122 +1950211807 +1959367113 +1934176151 +1871995132 +1158884292 +957091456 +673528678 +900251206 +1138943280 +1432830256 +634722319 +2104837351 +1385624053 +402394643 +1388734783 +1436464350 +1916193232 +580384186 +1687053463 +619636463 +934491238 +1399505948 +375611192 +827583897 +472358401 +1120195425 +612743998 +1090025715 +953192431 +1436042701 +2091623837 +755920590 +1247926166 +1878316340 +480432074 +259326810 +687924148 +1153960752 +1159578016 +1826867428 +439307360 +1794300335 +1784221132 +1824931414 +49211330 +1025472267 +1113912116 +1965404563 +1605856453 +653481931 +437557378 +392864044 +2052987879 +813168570 +1220447941 +377862632 +1933363995 +1833191939 +1467888348 +739072778 +1121750992 +1412028537 +1494993368 +222193510 +1142861230 +1975425442 +481520321 +1830785378 +981902547 +1641098337 +1510169159 +1421209907 +1287915025 +1146906643 +1098657673 +1337126355 +24895262 +65086142 +1155047270 +1630751715 +718568073 +1592604649 +2023615759 +624072305 +258289571 +1096580052 +1001934937 +44169919 +782288344 +322339637 +783242697 +1904039336 +1734368175 +130752418 +2126232847 +729745757 +2106177860 +460269520 +413047487 +940596759 +2101367857 +1923216646 +214323019 +1241799234 +922639641 +1312980692 +431441942 +947534903 +1378066834 +1586489212 +430802971 +2096634908 +1031610213 +306935082 +573223565 +1289899785 +1403515135 +1575158502 +1334069704 +38319831 +1897498140 +2117312401 +1942359167 +1484382667 +100581171 +1921108366 +66644776 +59275384 +233894238 +479692263 +999872143 +187778448 +255425262 +1214195162 +1429577682 +1178064903 +379692207 +1861019624 +2125599807 +1757759041 +1300025189 +408919130 +1706910301 +184151754 +715854212 +132650218 +1474051539 +2119369347 +1707808721 +660637595 +10205530 +1457823213 +630466349 +1952564698 +794722232 +731047520 +1726189416 +861367008 +790322904 +1960083655 +1341059271 +1790195048 +378455 +1596484533 +856906562 +1429956137 +627065789 +1236598769 +1143492114 +605181948 +846874163 +296033655 +1014101078 +406300816 +480185409 +1729955290 +538951035 +1954236949 +1701840990 +99276108 +467390896 +1712046520 +1557099321 +1097857245 +1517127570 +204337905 +1828904766 +1095833339 +1065704913 +471744022 +908433346 +259280536 +114455422 +908811801 +1855765070 +971361985 +191284290 +335347211 +60477106 +1334776404 +940529159 +907351269 +1630810059 +1954630237 +1313652086 +2110995469 +1537101879 +1852603121 +1917748770 +1091459221 +1951879229 +237656018 +656022094 +1361494902 +1335513264 +25666016 +1565832807 +1016934382 +1121499355 +484054072 +1488678404 +2029932701 +743334608 +1603133827 +791260854 +451616030 +427012164 +982545145 +786963241 +487489270 +169837901 +1727492400 +1394840540 +1800647961 +1534638989 +561008978 +1764159782 +924257221 +266128451 +1534424904 +2015716442 +70524032 +1772080922 +524254888 +1432018934 +960110538 +549920905 +850368093 +1977044920 +1671420260 +1334422165 +1318239677 +1553869314 +2077756773 +773889856 +197646520 +381889156 +1200902020 +1180191665 +1168852397 +1688391290 +1350029567 +748861150 +935748182 +1003193880 +136016491 +1496757160 +619870014 +1060273712 +1762885611 +6811270 +928506507 +1833409643 +1778892192 +1452761395 +1117944929 +591519083 +2002682300 +1968313022 +421080355 +1526618913 +1155251539 +1739320032 +933004579 +1085524665 +365726240 +1130651099 +1467413821 +1566628260 +163359117 +488782570 +1107535903 +1513388684 +1237643720 +2043284085 +369098916 +1373660212 +1392557598 +988968930 +286450276 +1007959561 +995780200 +1214956783 +693885557 +627188744 +520234531 +1811830486 +1218707827 +375433183 +1632659861 +1639788183 +1902052096 +640427752 +1231624567 +687573027 +1725952417 +1597350808 +1818224127 +1045882590 +1016495420 +1981583244 +1534665161 +2124031323 +1347488280 +624825233 +2019831761 +1716587196 +1998485445 +1264905711 +558072478 +137452074 +125381624 +1553852678 +1352408857 +819267181 +33557774 +1872643388 +483614020 +1252265602 +100592924 +2116273881 +744570137 +2002645020 +609217985 +1976194704 +542734400 +187686755 +1426061864 +213474879 +1233569345 +295073637 +47574475 +620750858 +271621312 +1395062755 +1245576092 +143969425 +964166303 +1096577889 +1408875136 +1522238781 +1234029963 +1534256761 +928607811 +438955173 +206040294 +962165585 +164114913 +689654314 +66947539 +264707837 +658444547 +811517676 +119869210 +1267662533 +640228733 +662603610 +1455349288 +2066290597 +876078489 +541434985 +213880586 +923652964 +1162185844 +485501899 +171232071 +260278288 +629471324 +1135398374 +1356856177 +2038346461 +510153507 +443402493 +1425119574 +1438761318 +882357666 +1631159868 +253443255 +1046472579 +173330535 +320390795 +1311180417 +831775082 +1131908471 +1431049627 +2099437615 +1772137204 +2093653237 +1407303255 +1690944154 +822248078 +1948738241 +1904824740 +1745901042 +963440437 +242842991 +1917133113 +1223718725 +872314316 +905047839 +433091254 +763177129 +1415201346 +876493747 +40813055 +706479016 +1758851413 +1671972923 +959922271 +657840345 +1845303458 +1280313066 +1969020762 +529594893 +264737890 +1252586741 +481548860 +2036875094 +1198756330 +1888852116 +1580335600 +2021004408 +1690106709 +1337676693 +1619421802 +506063498 +1580519684 +1389071267 +1729782223 +305350352 +146635458 +15389829 +1068527481 +1561836804 +891883577 +1109340536 +120832172 +503251342 +633829812 +1080754443 +1161091687 +331649622 +213583862 +982628801 +861244515 +478321752 +87731894 +1342793376 +367713198 +1286488224 +1084161844 +1948048799 +1160008984 +626784905 +1138241844 +631947138 +1132848403 +571277880 +2021018405 +715146978 +876628233 +20170215 +730536807 +1945155714 +1582007019 +1622420384 +907012603 +1702839191 +2125671727 +1540842415 +636109987 +1139279766 +1872492037 +849693849 +2121908568 +586252905 +1328015601 +62156814 +1929046281 +1695728799 +1348645039 +865724477 +1496293950 +361170375 +1492509382 +487052146 +993117514 +477874137 +1058330027 +866652271 +1193021115 +1934958260 +886822487 +1923557922 +1732630326 +321345858 +1398494659 +492159281 +2024185050 +1376682738 +2033001696 +512811389 +368478856 +1758010086 +1362505238 +342903776 +196779343 +543037191 +405060591 +2125825624 +91282342 +1753705630 +844066453 +1587576293 +2114876005 +189092187 +2074628439 +960509871 +666966324 +985474818 +1827162143 +1859987439 +772949430 +566500982 +1636061713 +358096109 +887846840 +887072724 +850255390 +764548242 +116271814 +735773439 +1277359631 +484750671 +346299877 +492381221 +827654447 +543079220 +1035418412 +1232715038 +521421196 +1126700755 +838937020 +1365487649 +566793400 +806329378 +1554579836 +493938191 +1766839249 +74062512 +1479413010 +1446517744 +1934049951 +104878792 +2013018726 +1422628016 +462974901 +753381919 +162217093 +1313230292 +1517930161 +278488907 +2049003731 +647806145 +763239578 +247819960 +1140187366 +1590894026 +790899180 +28122131 +676125416 +1312320376 +1154822886 +1515062437 +530324377 +1721616286 +173908167 +2084904213 +68070829 +1940747416 +11483077 +1547483839 +1239781513 +1945533028 +1652362632 +1105316591 +1220677396 +2115337533 +1858698510 +1382894489 +1281084177 +1229145024 +1661383397 +1182604260 +1876951169 +277139327 +1430424220 +869654887 +1868033353 +73839752 +897777018 +396675122 +1386160128 +2052599904 +1911737559 +1916484505 +1626732542 +2085645726 +1853905070 +1694803372 +1878909494 +1865388147 +1094803563 +971207359 +1663437527 +599682547 +2076523951 +736631276 +567536433 +1787738813 +2119525765 +1848620610 +869400189 +1633425514 +883741223 +598867710 +1910564842 +166681795 +1468522598 +1631114547 +240521548 +218815968 +2027789669 +1626681676 +123932225 +1792043580 +1395682534 +1750664767 +1730205658 +1102103956 +1297984491 +1461631505 +820008456 +245304407 +285355216 +335962335 +844986954 +214395519 +1072593611 +1412523387 +2002134333 +1044635729 +1113660350 +724050874 +530577595 +1997401573 +1322918585 +293658789 +16599720 +643957535 +1924773337 +257121268 +862773503 +1805079358 +1883802945 +986705728 +1449639291 +1132001831 +589886848 +1032361301 +86622139 +1887871339 +346509158 +906630595 +2133175746 +631864375 +1242592931 +830679053 +846259894 +167702894 +95718792 +700910579 +1212338623 +1209379142 +1424961454 +1742916219 +1059297067 +600396391 +2036575008 +1075896788 +1244353926 +1813864697 +1333018056 +2107127429 +1471460408 +1069337353 +946349510 +773616051 +53855536 +1536236358 +1805977352 +140477676 +1276624049 +5002863 +1047108271 +1262316148 +636867238 +142217554 +2092995201 +1483127132 +309920449 +41230345 +36554064 +1522259072 +1250609488 +1461515518 +1117691643 +162422907 +2061911909 +1006783004 +1238319695 +1158782187 +673164053 +423854104 +1118425968 +2144624461 +1493191457 +2064775478 +770756864 +1547046994 +1453528188 +429250569 +1687524670 +582668590 +434253432 +587149293 +1844984738 +1071120670 +729366848 +1790496291 +406764154 +1039287297 +1831726636 +443318218 +414062721 +934852476 +1904833736 +1531754365 +1097275384 +1819261997 +391053721 +188111431 +830560536 +1064217774 +611965535 +1948986505 +1061358588 +2105156993 +1866278335 +1832115452 +1504720339 +1172322876 +113882373 +1044761361 +1754991466 +548135805 +1631910654 +1452492556 +1619256475 +213793854 +1095505199 +2026020630 +1253081151 +779748187 +321855200 +1667143873 +1714600664 +79205289 +1051414590 +664392400 +1898467286 +1442468311 +852503831 +581544175 +359202437 +1464469367 +383047032 +1420561025 +1422142712 +101841719 +1105192830 +779379403 +1274164595 +1219075203 +1824140764 +881672413 +1767211009 +1308567770 +186681321 +1238983836 +1522361625 +1282186520 +1117520818 +627959128 +2061934708 +1439376019 +147619353 +1629051724 +1518581308 +1199033943 +145960476 +1269564946 +494018606 +998464307 +1851109121 +853221044 +315450026 +86672505 +126298421 +1737592738 +188514225 +1231491251 +369488493 +1462678820 +303082807 +46145609 +196867586 +2070293816 +1354713380 +383548907 +1161794004 +729591357 +1665735428 +131831175 +1357550485 +1580186488 +1571207194 +1505169839 +1061754564 +942304854 +556720134 +1207715040 +64386152 +1050738741 +58695699 +1915495274 +1903959785 +374145726 +2002167779 +2030258206 +2111738464 +43198356 +1114265810 +333743310 +1505877177 +1417348617 +379888919 +1702744763 +1340158785 +1734602299 +2086293670 +354469141 +316710008 +1604545450 +486300316 +1674260494 +1037248290 +2057507510 +1031946685 +2099002854 +852328716 +1588666819 +1159234246 +916714869 +491921912 +1217929946 +684726495 +248398049 +1592075672 +539410626 +131172608 +1556330488 +582608983 +1245438418 +1890073798 +2088486160 +515303387 +122479070 +1643747275 +1855462172 +1857081369 +1582557297 +62447665 +26307730 +1039619100 +548747982 +1700568224 +2076867390 +458771844 +585031261 +2028386597 +1311100561 +26214432 +1040137195 +80331782 +518136345 +110583493 +765058277 +766534394 +1702659165 +1304468903 +897707002 +1111506006 +1887077886 +2143145420 +854096156 +1828080398 +510965159 +976575226 +1324344025 +218943683 +686172948 +759417675 +281391349 +712480678 +1799036775 +830139331 +265565254 +1728420517 +1288911175 +850596515 +1609323466 +452528088 +876810947 +501977014 +532859870 +1394947292 +612560507 +1297918147 +13998039 +167736025 +454903403 +911705041 +1279242031 +194497641 +907366814 +2133338187 +2022578040 +1418331973 +962429766 +1199438417 +1637275657 +1648602714 +1958856092 +1918667006 +213599744 +1610409219 +601322689 +479164998 +1191346089 +1890233864 +1329761513 +653185907 +195278305 +59088812 +1155162921 +728138175 +1454036105 +1767723429 +2026056323 +1468034144 +1935459454 +333476078 +232255537 +1067217837 +527973719 +1139622351 +1053072376 +403068111 +410470677 +2015502142 +1602506529 +2047746334 +1516621208 +1413878973 +1818929692 +1730220952 +876804545 +272768733 +61902302 +2068150634 +15518949 +1391663815 +573852893 +210797254 +1450752628 +1729015815 +938935430 +757305085 +1349255596 +817508105 +77855581 +1137231402 +1150984183 +310111118 +56965591 +1678957902 +1449733470 +1110037967 +2082026014 +1860204147 +978056462 +1537048895 +1760466833 +347194022 +803444220 +1431912877 +2077414975 +1680248765 +1704681610 +2139317277 +1600915751 +1720200559 +1383497445 +27284997 +1930997814 +686766425 +1756300812 +722449596 +1444071510 +958072760 +1539957701 +1521927091 +2095304162 +543458236 +1832038209 +4786105 +74932490 +1134288031 +1114824072 +9474856 +847008530 +2092880534 +1546523751 +459991715 +292590909 +202484324 +1891904592 +222522236 +1882733089 +1449102554 +214355865 +1336165193 +1021819466 +1597853310 +1363450190 +805333632 +137136087 +972267354 +1527783228 +1581207597 +1930340114 +920257281 +955651040 +1878160628 +1463715517 +640205602 +1882946733 +1538648007 +1774493633 +850287157 +1548122864 +474018516 +795684044 +947162967 +934010231 +1088274953 +1149647291 +678431176 +1310797189 +884896733 +2127533730 +1525153054 +73578278 +1001869548 +975522717 +1437028468 +1807203180 +1112658804 +261812174 +1187502760 +546382754 +44668640 +2107760041 +1502033794 +1922829268 +1423991910 +2142239396 +1658292353 +815156270 +1769249382 +361095862 +215795486 +95784250 +1156779906 +1162958453 +1029794481 +97571211 +165122097 +1708225657 +1408368400 +1050018830 +1688275740 +786037807 +1123597108 +542661640 +1761560524 +413141928 +202381173 +726735680 +674954102 +1389883933 +1273118434 +719622742 +1350160327 +627668581 +494968362 +626668589 +622424329 +5777067 +1441824859 +244190063 +366872929 +1657620345 +339974313 +1523652836 +673095151 +1369768795 +1621224047 +838217248 +930510804 +882108800 +1888236078 +471302896 +1668146607 +864349538 +1013964537 +1282223483 +1277491466 +1216345710 +2008959163 +1952445568 +458745995 +1134593950 +524584662 +1808906322 +1762262531 +1019553024 +288091264 +237203212 +1025330091 +1729916123 +481393276 +1392203020 +1240052821 +821367589 +768372208 +1913147972 +43652736 +242112608 +603881572 +974163541 +1124221408 +344634002 +1445466437 +644884367 +1208983540 +311947326 +1927107850 +338991358 +1528293036 +1788583365 +143953278 +1987039032 +775693667 +668537940 +1648461706 +390472550 +1688090964 +1936552970 +627675763 +565937407 +1518985446 +1109069039 +1958140427 +611554619 +1930436628 +579028988 +377218943 +1974089365 +821141596 +981100515 +800769258 +1945363004 +1325734517 +98752047 +442763723 +387234409 +410699374 +222387925 +726225767 +1938992410 +2010971290 +870179045 +1778547794 +639181310 +1538716985 +1279525853 +1029653860 +1079324301 +1068595175 +1657329623 +1645261708 +440096973 +618915014 +1455918487 +1051651592 +401867995 +2034947475 +1428870535 +228473712 +708605423 +262487402 +1029242970 +506484779 +1588221919 +1127995017 +949248502 +1975456328 +1538694391 +1171636427 +554198447 +1330203154 +1035124070 +1424377492 +961267300 +1674305380 +815610829 +93309505 +556475592 +1894935130 +1161904681 +66321568 +1392713190 +1602001654 +685236582 +701148030 +506169599 +1087104577 +588611857 +1935040134 +1315578289 +1297217281 +50043889 +197337611 +1803702060 +1638265808 +1325332629 +605466915 +1466238489 +716543372 +1777103342 +2020436936 +2046746526 +664743764 +1297330781 +860530179 +191565496 +2112941610 +953839684 +748041089 +1860393093 +2115744365 +814362657 +1105622635 +1570262372 +1499599239 +1806770665 +2076431971 +439220169 +247898875 +1863988457 +1754798458 +1545116156 +1914032346 +1952136070 +1201334568 +1404814507 +1129985051 +1806801483 +723569348 +1846528423 +1436421178 +596522636 +1745791302 +2101164942 +1893853417 +458837833 +145246791 +1859311380 +1412677517 +893287880 +1572220825 +1380938235 +1707650537 +530359812 +803716959 +1059766128 +189646830 +732665282 +1498986297 +437545705 +449170091 +1106301108 +1982661861 +215718790 +910953530 +1036512781 +1620533297 +2040938581 +695830617 +196618997 +1739983356 +2132251795 +793141633 +1338291010 +2085933089 +539511403 +1797128843 +83696232 +251339135 +1062322713 +976984112 +1823559960 +295777300 +537151001 +206436124 +1099494259 +1596917130 +396082954 +1832159541 +948419779 +833628659 +133845984 +2054720887 +668806872 +349564774 +818190769 +1705319654 +1970098071 +711645702 +253666623 +19233420 +304145411 +238434770 +812375054 +1642436421 +176884211 +1351886457 +1292081617 +260580444 +1603225592 +206920682 +1237564556 +1279301904 +502697982 +1774715558 +1485738028 +1602192241 +1224149040 +1881820983 +1286868134 +25085171 +567965994 +1420714118 +2079806059 +1236772867 +1770278893 +750513180 +794608873 +1592893316 +1462158883 +1048275496 +1612126737 +1766304294 +1286710266 +277018143 +1261257067 +1463594477 +1628904600 +405855036 +1724174921 +1084646544 +612775718 +814255830 +216464800 +1115473700 +441487740 +1702202828 +570182293 +1665636780 +1436540163 +1857050427 +1690721951 +2004506158 +1130280898 +1623044362 +1093795377 +753076143 +226073895 +1888404250 +198485811 +1688232778 +789196098 +1810612548 +1307053424 +2075906364 +2087630691 +420826843 +1392017193 +1569051643 +826681880 +968708467 +506214539 +1439457598 +1782964297 +722679339 +407447651 +76968389 +277398520 +977629944 +1742605169 +1713938683 +687196724 +1285843472 +1570961193 +1817477622 +761404187 +517272922 +423070117 +987478082 +258193524 +621555928 +528227212 +1047389622 +284684829 +1835280636 +975812338 +224831872 +108623831 +220345884 +1793883516 +935305711 +1189054351 +152614407 +227279662 +824535000 +875293747 +634727313 +901503389 +1152692267 +1612357257 +496624910 +719147302 +152070333 +1782468382 +142624848 +1969547955 +396388921 +659897770 +245134424 +1383867003 +918091295 +866690353 +1912094215 +1965480917 +1151375182 +1599891203 +793809608 +1376207054 +1708515035 +1014155492 +1022606922 +496337098 +55726195 +1175221330 +723616760 +880261195 +2050515077 +1358344073 +1781764584 +1055723696 +823217683 +130905846 +1774870998 +975288016 +1913374228 +1917495846 +797352324 +162279502 +429909969 +1042486748 +1546146505 +1348001264 +1909177101 +1310757073 +1165998533 +913068635 +763164628 +1959808141 +141792042 +324196015 +826479985 +1164398964 +820533114 +882206180 +192136646 +1544149874 +1762467375 +95168075 +755010300 +1396748311 +1150891771 +1578227983 +1527654157 +778279122 +406032351 +1293544738 +548291320 +1203384675 +1455824240 +978201289 +98387776 +854487097 +178718905 +2007564877 +17760522 +1344717439 +773149865 +780925151 +1157041932 +914941907 +1105121166 +1983521918 +2079340871 +1925654280 +718244450 +123993870 +1322320507 +333228178 +219161945 +2077330807 +1729976489 +1370053717 +1508075142 +1110146999 +849191 +1914107493 +256208089 +549140511 +970008521 +1712032329 +1527341801 +1068396297 +419035778 +1706060706 +928477526 +436796301 +903294497 +1701627391 +1217721452 +2060336430 +469085650 +175358970 +1896374700 +400942874 +2101013251 +467135502 +524936744 +1275850110 +800363680 +744098689 +1205697269 +382856522 +2114152406 +566288763 +1493003521 +2115001597 +332912608 +1749211610 +516658461 +1302921129 +1313760291 +2044000262 +223833778 +1732796069 +1602577320 +1152311305 +22108722 +358388170 +706455048 +1239830174 +271240952 +1175540699 +1415189145 +20132004 +1576483573 +1368718748 +487267506 +2101420317 +497085210 +1287631187 +698035358 +1702782479 +1670487709 +664704117 +121587594 +1016007582 +632222066 +454500202 +617735544 +1148880527 +1757421332 +1931495835 +1045397141 +1981255110 +1516808256 +500490814 +986082767 +1538916979 +858878984 +1692537816 +631263505 +1130119936 +720594867 +2046452650 +1150251940 +149594792 +1267687750 +1637519446 +103531461 +1764772960 +777666985 +801566819 +1320071791 +300671046 +1466270936 +1441659385 +1316678628 +2098493003 +1896159588 +1934414172 +1099889882 +1506097272 +1718426359 +2145287024 +1339868734 +1087750968 +498294190 +178467854 +479184299 +1357173174 +1871005670 +1110447804 +339809462 +444116889 +1009416807 +1490061402 +593711681 +129620909 +980097200 +697243142 +1894393870 +1757764186 +1498809961 +1066982013 +2058435232 +817597250 +361157751 +1227630213 +768606605 +109833691 +1014560737 +1868496487 +1615930963 +585503449 +1866299863 +808316049 +1673254417 +217110405 +986783903 +4955068 +1574283579 +710305925 +1115402872 +1914093041 +1154422814 +2124819679 +1256670795 +1748134495 +106956941 +89284348 +297893989 +2001350811 +1847048534 +1796703951 +920849176 +1758000118 +466817553 +1282006927 +838146683 +1235424158 +1391840618 +1852707421 +956436997 +860287933 +290727222 +675253213 +1668603983 +1963981639 +892363618 +507904238 +1968936707 +319163550 +1218210164 +936855931 +85772943 +225149330 +914191963 +1342443739 +1973283826 +1021148904 +1431728087 +123694167 +875016067 +1131292973 +1920398118 +1795865243 +741809443 +239732023 +930388523 +1579956127 +1475156181 +174745493 +1285179900 +284109531 +1035033427 +1575907122 +959362744 +556153762 +1392405113 +1851726362 +1064058000 +1213858172 +23406264 +134784516 +3230455 +109179208 +359933847 +917422418 +1451622947 +185734025 +1938571322 +735867386 +309428192 +666103741 +1867160359 +82342663 +314485337 +461486154 +322074686 +1244873860 +2041442281 +1797230868 +1419619353 +1179138533 +2081340399 +307169132 +607562007 +893219495 +863322894 +1999967120 +597462209 +1927380895 +1066341644 +620868474 +2062165411 +1069572100 +730047682 +274615610 +1986994518 +34186981 +460349635 +1778082193 +770054367 +769777828 +296702286 +489731078 +852120491 +611187623 +951217232 +1174195177 +1856061483 +845175866 +823942397 +1128197189 +2024314399 +757799148 +1435366321 +484392759 +1651018643 +151205568 +336876231 +100997205 +2078586463 +1403217876 +721865679 +1993268226 +325306328 +1451913361 +120400189 +164817198 +1486100342 +580749824 +1942899391 +108671061 +1350527652 +92118030 +598402139 +55164495 +703305653 +1549619371 +1229359673 +411883489 +247311589 +2053302070 +1540080678 +124142341 +663617571 +827963351 +608535100 +167152566 +979168919 +945411331 +268149771 +910271734 +201145559 +990015450 +756056313 +526451887 +294445163 +876456502 +691269086 +1780545505 +1457206326 +486684829 +1889216566 +660250331 +578802859 +340135057 +715414826 +1282108513 +1889754429 +1944774499 +1693992002 +2137066018 +1850592922 +1086589032 +113724711 +366726845 +1914552383 +722259811 +533879411 +746237655 +1667671143 +802029183 +1656509389 +1868816702 +1792044633 +265082054 +247784942 +2086489797 +1141538556 +939054028 +1719551654 +451261235 +1425738857 +1461284573 +1111511566 +2004541717 +1801419630 +1826926392 +1139166582 +1543690411 +1624217244 +685674936 +1533272782 +1327326518 +1772263968 +1646997493 +1694053363 +1539332703 +221773657 +80449126 +138086710 +1889444800 +882478309 +1794596100 +1610777854 +527039295 +2059678154 +1858562796 +466045444 +1053733063 +650133176 +38113450 +1504994298 +2075872034 +1499398023 +469022216 +1932930103 +1153334006 +148464960 +924613037 +549540769 +1772682204 +1610287973 +2082813551 +952525074 +1235068293 +1582327397 +499094789 +626917348 +1804101054 +579543916 +765004059 +1546062206 +1462022225 +412116511 +1009356412 +1989061520 +324311017 +720435561 +307623316 +1378044080 +1370568737 +345736767 +735554730 +1298957123 +1845134790 +1204576946 +1084403578 +850985148 +1353041907 +2009016615 +1400525918 +978240463 +1471820940 +1335855821 +1930765538 +559405585 +770699570 +282376679 +1186322934 +427316976 +861920595 +1951326993 +1973379182 +176459173 +215959856 +835251947 +18037045 +540270873 +1555687508 +325660362 +1918314954 +778772597 +671397129 +506386036 +2077729721 +369048271 +1710962983 +1014649651 +1220033420 +916521242 +876182619 +473075690 +1894761705 +200519911 +1808931511 +1678043595 +759925497 +432147434 +1960420275 +1946248431 +859464410 +674857222 +1750091776 +685359945 +851316395 +1966051632 +1520611892 +869353441 +358838857 +928815752 +1195013803 +129670163 +1707588349 +1866410932 +636056200 +1637834422 +87975555 +199535535 +505000426 +1308008975 +1116056777 +1381183045 +1781084665 +863334834 +1581702956 +1442532529 +393894782 +194144805 +1874679963 +206831409 +2140393236 +586660725 +881688631 +1743001364 +1272020670 +1733005027 +1561569348 +645148914 +454874820 +1920408206 +1573964666 +1649888623 +2050078369 +1134069368 +1368815907 +538650921 +624420142 +1456791462 +738186456 +1129420568 +617316790 +1854243233 +363119965 +250917807 +570094420 +1944822922 +1693450336 +963989202 +2138967727 +1420646651 +1170820611 +2131877316 +2007307377 +2052509242 +1727395032 +1131844399 +1638030621 +1141480733 +1776993314 +2092905441 +914405291 +1203474332 +1595310416 +817000012 +190060052 +816642675 +1355650934 +814480195 +125950490 +2093837390 +1943900763 +743267280 +1800596976 +159537081 +994185087 +223207748 +2104360003 +540151776 +1187196950 +2095844082 +1960798427 +210533913 +2080237750 +1820622156 +115559507 +1660149135 +804982908 +1753590129 +654146220 +434492574 +1699011922 +1568551511 +1637966906 +1146838691 +238067875 +1828026959 +1963481366 +1593718809 +495023506 +2089431856 +1540072552 +291440621 +685215488 +1193185880 +450977702 +1679400576 +1416393628 +407854057 +72068704 +456106930 +356214492 +2032867131 +666640843 +288968594 +1706005640 +782200350 +1949117729 +363504900 +388306831 +455780301 +797997474 +2087318754 +2024331812 +288480732 +1086673797 +114916040 +2116507691 +902671515 +1708634849 +464047549 +844619724 +1101223753 +755488171 +1529835212 +146925985 +1206465873 +1061752140 +1563319613 +1614319931 +1133820844 +2019426543 +1970534423 +1019204328 +538583738 +112019369 +577726320 +1320784089 +2061137099 +941231220 +1709090920 +369433752 +1739228694 +1648926026 +246281917 +2027709426 +588116175 +361197957 +1996733470 +1490787691 +2069832806 +313297371 +187923767 +1023572912 +1068785542 +1717758979 +1170498897 +127767768 +632027472 +586334863 +1742087699 +1765848316 +458277758 +1565138474 +637568996 +996861497 +1677157843 +1215295316 +170161938 +1590811294 +9042888 +1879252858 +1960245047 +1748271582 +1380695237 +59043316 +1628497361 +1968811412 +420241273 +1477747183 +1312115455 +342590431 +1791044554 +1500039222 +1366163343 +712346449 +1070314554 +389178593 +840114217 +1702342026 +975513456 +434718268 +1320706694 +1433791214 +1999856742 +1958275691 +283169063 +1529530937 +1026087359 +453331001 +972858584 +1035130248 +185100212 +785619983 +635918182 +1565795449 +844663299 +116931895 +1387123213 +1264904572 +1594679078 +551755021 +1607495003 +1238239985 +2051794243 +826174699 +1950586434 +974625149 +1215353292 +643217003 +529483527 +43383100 +1077935271 +1850190222 +1477174314 +930308365 +1660982265 +1760343378 +312355654 +539585976 +66190731 +1285214238 +1574716224 +251290943 +2070834221 +63150759 +1817086392 +768013872 +180082654 +1056725958 +2032918444 +1774761733 +1608480979 +1492929800 +865518070 +1512791574 +171620851 +668620856 +339933076 +1386974143 +1311837859 +869416603 +1430357243 +242289482 +572123177 +760047909 +1172597847 +85621794 +372907639 +1484953501 +625207771 +439098371 +622684092 +52440347 +690389314 +546034665 +115591106 +359992059 +1314048538 +295673761 +1416718017 +1199483334 +2070435494 +877715348 +544929486 +788469916 +243023274 +716550337 +1457090772 +582956350 +2103524480 +621444983 +1452372954 +1386398075 +863734465 +2024496131 +2146445985 +2036332312 +2110117926 +371869976 +1373802165 +587842049 +810968347 +1996486257 +640282396 +1501357662 +395037275 +755873503 +1861349721 +1709085813 +1051547264 +1130584090 +761085499 +974499110 +2008299438 +1306014986 +1762969026 +103839064 +2022565323 +1072576150 +686795415 +1978606156 +1694021133 +2139168369 +1217520583 +410271950 +2016180852 +1216482920 +299120614 +1978815130 +1588352897 +1672922779 +419173531 +251837596 +1521925389 +1059455928 +1753195258 +1916962664 +1815329431 +1467061331 +1478564829 +719393047 +450161773 +92166680 +1693892157 +310977563 +1398181666 +1309377535 +414816628 +1273263342 +234470037 +1101612043 +1104385850 +1928491170 +1093296764 +174422785 +191279472 +961993968 +1390905706 +490400086 +793325451 +831774955 +15839217 +1212498982 +1083612551 +1537764606 +124471262 +689324162 +1307243622 +1939800693 +8901845 +638324803 +511710092 +459063619 +730491484 +58118601 +770041182 +2128673150 +1367496136 +1184857810 +1254452844 +1601966173 +138986205 +211355046 +1382973695 +1232282969 +385777832 +1574253167 +46793290 +1776683538 +2064653253 +840118741 +460974845 +2080492471 +2052617723 +1544587396 +1470773429 +29605338 +86427910 +630533404 +1969406031 +95329756 +1268858207 +333632476 +554393375 +1999349691 +391751077 +1324434557 +1980539194 +1759247214 +361808720 +1087508390 +1213729739 +500794925 +1298863437 +449219787 +1733077895 +1684641269 +2023472954 +1779871185 +1313841159 +1940642560 +472506278 +1774816004 +1873651383 +377640353 +1171919752 +1196941164 +407245691 +1258347663 +1827474568 +229168075 +1353677419 +948849128 +562800551 +1908070794 +800715171 +954551628 +1085021703 +633770717 +566315194 +1446830423 +1721279108 +1780044934 +1947625349 +872658897 +81781073 +1533219596 +409816518 +2105254027 +1165607133 +1723657677 +1898412939 +1638113411 +1350990033 +1624580674 +2015753764 +375426137 +674038191 +275515808 +1633773800 +354029111 +504683883 +839967571 +1302878239 +1067484434 +600554717 +2103593411 +2022036062 +1685576421 +589880480 +440867609 +984923196 +163675940 +73428895 +785064897 +1036334837 +155209968 +170800845 +1446151355 +112980347 +1336407978 +1022325384 +2011393287 +827037741 +225831769 +1488490313 +695307858 +601257907 +15044856 +970823666 +87548059 +369073968 +1475507549 +927515631 +1671952207 +395508335 +1528070348 +1628061970 +270060749 +1066163121 +70458803 +710928358 +2051086318 +234134743 +784357253 +688667567 +1270469581 +939567221 +859468413 +569137288 +1052547569 +48392743 +1591462673 +916457208 +875430485 +1817294442 +257463873 +1570738343 +271068701 +272508730 +394078361 +358616761 +641582698 +1869585910 +1286132392 +166051257 +117610597 +666719092 +1794113228 +387671346 +1732882214 +1864572031 +1098599705 +1636484884 +2098706774 +1882956958 +177668803 +1221692707 +675040532 +1037137216 +1790829996 +1727588101 +1085529960 +1234809021 +496561661 +1960960445 +904619815 +754025534 +1384215140 +1175688517 +1026534264 +1778293501 +1534305278 +1668116962 +1500395763 +672954022 +1834168220 +1618006360 +1339673114 +1480797800 +2005677706 +925071680 +1197886183 +956793763 +414072916 +1149109309 +692267074 +591741720 +223318369 +1367307606 +1628878936 +2014148365 +947412059 +566925248 +1101473738 +1443973720 +380402045 +2006093553 +50515606 +1764617185 +1034298422 +1077049871 +1395427038 +421120052 +597683185 +748339153 +1094074074 +284367757 +218861865 +286263541 +1765165557 +77055924 +1211335221 +815568092 +1033849687 +1625408138 +1964677402 +1726116761 +69666210 +40512123 +945940719 +1698545146 +2054660488 +1893352778 +117986747 +1008650578 +1189842850 +498388792 +867260483 +1240358457 +115522330 +1901558906 +169924680 +1510949368 +175195310 +767607865 +111804874 +1269269385 +1051975623 +330666739 +1555532926 +669657532 +407722663 +619384499 +1485225625 +1441572351 +97308989 +1302419379 +1020205464 +166975199 +1342931502 +1966146184 +1865520346 +1250108342 +1712015314 +1983507093 +111275272 +754374517 +334412237 +978535755 +1994732974 +449934567 +732611013 +17174006 +1960883936 +907806324 +784781871 +2072688810 +29592061 +1836757494 +255871901 +1585124987 +358931379 +663594565 +57025838 +1844157004 +2105166916 +154334828 +999092735 +977888732 +321310027 +194540589 +796551268 +39346725 +1444648931 +361082935 +2022853818 +1555924203 +1115457452 +209782408 +386976310 +962706778 +659716975 +1119587324 +979880784 +473117263 +2027393648 +1764662655 +398322425 +2056985709 +1453936502 +654194327 +1494627048 +1812867881 +1317788892 +1551652886 +1509541237 +1275472160 +1705987714 +361150324 +105877244 +2027297742 +555690913 +902428513 +2066644467 +2000339844 +1263511448 +1942014638 +1408780399 +231485252 +4313398 +1795756709 +1194192030 +664030373 +767860385 +26589166 +1137147637 +647770385 +1791251821 +1535470062 +557272446 +1097704675 +42180741 +2051899494 +763088908 +1359969633 +1456068733 +125146497 +487958145 +1014572799 +486296821 +593835390 +894386893 +1041987734 +1496263903 +813547713 +894843930 +612291703 +608078703 +156140681 +843776955 +612392101 +1951897391 +2037968985 +1276422474 +572274128 +2064558151 +266086463 +1220044514 +1708326324 +1801556526 +1777316960 +658547352 +1843737267 +1681732807 +1421636260 +1056223253 +990317892 +1546782758 +1544181398 +2004890691 +2033079579 +2138016788 +751793937 +927583666 +1486797043 +1565341650 +1822427596 +2099088746 +25936705 +1978568278 +795382053 +638328806 +1782982021 +685867390 +1914751280 +207772501 +602941893 +33354096 +1427817015 +163784570 +1834910622 +1057650328 +822331922 +1531164241 +591899487 +96484534 +439903846 +1582217379 +1643267292 +1984085245 +1439624422 +1528863224 +1974618385 +43934711 +308963242 +1313931781 +1609276361 +2131390838 +1265536879 +1635213066 +1962475468 +2060918933 +126058224 +1597973841 +599302675 +2040809505 +1805746343 +1202244569 +2074163601 +1086079710 +1366029139 +1761590575 +2143730038 +40877413 +1145271168 +588145877 +137361947 +1585175015 +22879608 +1780629240 +1421776612 +1462504031 +1162008816 +1248911349 +1506438742 +1470972058 +415359482 +968231456 +1454879248 +1680896362 +455960874 +1269871069 +1594331647 +582019099 +720361262 +46150674 +475344956 +378623957 +1248395243 +402024909 +1464703668 +466940734 +16131836 +1460950058 +507818147 +1161403004 +2049095936 +645180095 +599094371 +2071975544 +278325687 +2020870983 +1386995927 +1440334503 +1122298685 +745951022 +763822913 +1537658167 +1714182478 +71218513 +1071070881 +22659704 +1341089582 +517918880 +604678803 +2061450845 +564069555 +1080023759 +292591154 +1812464798 +1482048668 +1757294822 +131921885 +1498180504 +1070761233 +639740032 +512099861 +972373521 +1284920127 +1111194232 +896865417 +1563245814 +984581568 +136377697 +856096669 +2106880253 +882328719 +1619919582 +1497054772 +449027549 +1691138096 +420642006 +471687253 +884744030 +938560886 +1076366057 +798711227 +1502630441 +8906168 +1091302382 +1167611592 +1490954837 +701113556 +1299533477 +841651693 +1771874789 +1939273509 +1353751554 +596764662 +1076709989 +317462139 +1493630080 +492472155 +1302043707 +1630007777 +1348568825 +1261440312 +364852848 +821004759 +611011436 +813880397 +364659207 +1031653442 +1285567650 +1249403238 +1970214329 +214450059 +2048114465 +1325361122 +223356228 +991933199 +345489066 +1714311065 +1693046756 +1645022543 +408479110 +1317437897 +1436812405 +1762230665 +1914202560 +366038746 +2079692804 +1260348992 +858510901 +1234252863 +742873121 +59596078 +348209527 +1107725969 +880600838 +959220963 +1921606366 +1245260045 +1990874406 +1059690368 +347179635 +1813605087 +1274140428 +247810453 +991482561 +1497496656 +1239743652 +1336971628 +1064324073 +785306760 +834510523 +1472803183 +2102744658 +123839280 +1087550200 +1869463570 +489878026 +1019759356 +982328914 +1348388928 +106528571 +1725202035 +1407985006 +454738098 +685444356 +141102196 +1413959062 +459567074 +1386362242 +1257349820 +1519257442 +1733541877 +923471259 +645914222 +1981352330 +1914953820 +2143410878 +1073612335 +1104441800 +1060251303 +1858919095 +1938952324 +385570839 +1814180105 +2062791604 +1473121039 +1536160027 +405185983 +345396748 +371005293 +1753574911 +451925319 +2096207328 +1014076269 +906663418 +634168036 +1155178466 +173138832 +1093735110 +394057060 +1430488652 +465508905 +2127598937 +206476263 +1111423127 +1961467620 +2121430083 +1107350358 +887596307 +1078388236 +20118013 +599031754 +869856912 +405688852 +265728212 +785164868 +1878809892 +1801888239 +1190350851 +76722992 +25409885 +796442114 +528648311 +2121617213 +1810518384 +1435311729 +608301602 +818213202 +1608450561 +1702036712 +1212270262 +891455565 +20061969 +1192385551 +1097931828 +1131485097 +1006369523 +1071878264 +91351807 +1893965830 +2782852 +111469820 +345513937 +872639764 +517158673 +611242149 +1657804632 +248484917 +265646740 +700671836 +325207909 +291056625 +1497113950 +853856220 +265190191 +1160148686 +141684302 +873491793 +1978361888 +1750134863 +428044857 +1043148502 +494106781 +448106827 +88050406 +1592038609 +1579591924 +1094419929 +516433225 +1670943731 +840902112 +519216077 +1782413551 +1186416049 +1391855841 +152088576 +1797658198 +902176826 +400573493 +2063304938 +1602848662 +725781402 +206877916 +952478964 +1579637623 +472068107 +2112627651 +1721321925 +1345559900 +1943505891 +1323973140 +1773604757 +839170746 +1818079921 +74227936 +927221152 +1262634883 +1653819860 +2021641081 +1779068108 +1177279943 +715059545 +150800538 +812209847 +1901475594 +1542656379 +964298423 +1551650144 +297349557 +1364871917 +1467471435 +1900198219 +2090653319 +1674349351 +705193536 +1522807294 +2146417458 +670337539 +1096645571 +1344493710 +466359782 +273135064 +970614819 +1305530528 +2091214985 +1044842756 +85268032 +1206366220 +551178968 +2106909114 +837950681 +1728458912 +674485011 +988751219 +393185111 +428476958 +383923950 +1357483534 +1980127102 +681273508 +574871803 +1300114889 +433988079 +518041475 +826980592 +1139181615 +2040848769 +825914402 +1809519154 +990010693 +22924464 +128395289 +1263145757 +993539284 +1433925817 +1206877094 +2038382040 +1519193850 +265759667 +442077360 +1478619316 +1103710348 +23052624 +5620679 +2092461567 +416237735 +434097637 +328901869 +1773721270 +266741092 +1010175377 +201109425 +1566855981 +1444163457 +719150900 +246352926 +435861424 +612516022 +1072267328 +97896931 +1602526715 +1095191793 +226292220 +718188824 +2088731077 +1660218037 +1925065918 +1979629469 +1031928239 +43341937 +274223181 +363063907 +1147052285 +297275806 +368684587 +1092030204 +713513541 +802782224 +1420932074 +339751163 +1069523316 +283623803 +540860589 +488895650 +1727787260 +1260011489 +735248576 +16165037 +1872527511 +1807515904 +114061968 +1327570578 +755224049 +340354188 +2045759402 +696471478 +2000572225 +1823341673 +528617299 +885016817 +1866683610 +802840481 +1248080724 +866252248 +1100116287 +1616765311 +1958282452 +1813629828 +272063888 +1231730878 +5897344 +1341587204 +1515354682 +546757933 +1830482854 +1095658294 +1806769422 +418247782 +1111823331 +1531813286 +78280039 +1225885299 +711900216 +833504088 +1566239487 +610175971 +1529975567 +1419328065 +286033996 +2058592866 +156861234 +5233958 +713949699 +1404941958 +871486206 +1814065986 +874223622 +682285011 +1480212167 +1146287510 +1914015889 +1486109511 +340391066 +1281886923 +2032867444 +23390273 +230061570 +1692153218 +441638055 +1341884901 +1076482856 +519918094 +420286553 +1788383073 +1353422183 +1986526040 +251075396 +735914102 +1258370457 +537109392 +647023320 +1415231691 +542343350 +1360973020 +672690002 +1413829557 +1027555358 +1546913624 +2096114568 +360283877 +545717486 +1862646809 +1846393388 +886108552 +997050085 +1731777184 +909498825 +1227111655 +1276446755 +1351136881 +421512908 +205445963 +1871054975 +841799461 +1993829036 +1076993510 +680841854 +97420784 +1812907612 +1939212311 +634530176 +312447285 +1206960355 +1176873527 +1673420305 +1879650357 +443219436 +553492015 +1279080333 +391850356 +913775893 +1824797819 +107013517 +612685633 +563422723 +1104063602 +196979170 +1472921549 +183691609 +1473425925 +676574782 +605204518 +1678871888 +400146109 +1447003979 +1525217277 +1477139620 +2127845833 +1622638061 +1142563584 +1919574497 +109684590 +1455010869 +979051204 +1286558117 +980947526 +711217913 +1729777553 +1534439542 +1990298246 +2121627909 +300731787 +1667612417 +81157778 +913417420 +83551492 +1185221381 +1110396590 +1556473041 +1368912990 +436338867 +85564175 +1974117508 +2115210756 +485710285 +1273637840 +1492944385 +1962849905 +1254000025 +968098798 +957929841 +1026090874 +1077783388 +265457063 +2005142078 +216857857 +1246404589 +568876343 +1946635410 +633360483 +411690941 +1920779671 +934092270 +2079303358 +2001937450 +1847509691 +15371203 +1039675183 +810422633 +1571844244 +261104525 +1246761501 +1657408420 +87738386 +1214488609 +2143118705 +1361376226 +559949346 +1958484962 +467892603 +1528048144 +768931155 +1493983478 +458347885 +1034388218 +1351641908 +675205742 +133309160 +1920518252 +474357505 +766669643 +184725545 +247653528 +1700761914 +116545256 +102107330 +1400787957 +131916459 +1141782513 +63726942 +1703760703 +1402887039 +1310488443 +1213685475 +1490625425 +377493404 +1209320532 +704518003 +937442750 +1020321846 +1172410606 +318007247 +1789253002 +518910436 +776355132 +676157572 +1870552345 +1451560874 +809466732 +1643586949 +1925918379 +1576136376 +1828312494 +26088260 +1129414642 +1944857750 +128195590 +382718951 +2076774209 +1269978104 +446445893 +1633051265 +525381495 +1756934337 +699253092 +2016006920 +2134427741 +1908573625 +573041275 +924386844 +781411823 +1745451881 +1242394091 +423181177 +116878670 +2018749223 +1099338750 +1987431015 +1322826449 +1908805482 +1483534316 +1101261181 +1337458210 +1164363162 +1127349441 +319389204 +961737265 +1255545031 +702108155 +891027826 +378039487 +1148554049 +376595443 +903420982 +758004738 +1075848536 +771944254 +744948831 +836938513 +1344985529 +1669335675 +1618350336 +942953763 +764246118 +2041531514 +1059832433 +635511693 +993386616 +899779800 +1958338143 +754708450 +235830468 +912115676 +2092166661 +1400193630 +2039465117 +264072217 +214447247 +1147526500 +966180373 +1105475074 +1525565988 +2114734422 +1482070517 +281503322 +725255512 +410435405 +1053447577 +1470204343 +1247373918 +250949458 +992056371 +718240607 +1193903221 +1756302489 +612288473 +106252006 +244330535 +1605675089 +1006031806 +55185030 +212899891 +1241862274 +967300706 +157582904 +494572257 +859282175 +421655122 +709019504 +2006808675 +1387835495 +1814494578 +1384891015 +1355086269 +1149081448 +1666394338 +2080341781 +1559516853 +572358267 +1403062476 +659407124 +823307725 +247635199 +1377647731 +2017210947 +2003937689 +1989936204 +2123462953 +100784576 +1448127645 +982011112 +155969606 +1661027536 +76389738 +1123270312 +1818610441 +570961995 +1982552487 +92781915 +1279981500 +1841877514 +1480617410 +946992430 +1079284882 +688220031 +2096073878 +598195572 +621078164 +1508107084 +1170553839 +2024140640 +20030560 +1993861564 +124292192 +1397678291 +1863588863 +2128229881 +1240130847 +1839568169 +81530809 +540774844 +674095633 +237500415 +54318732 +750485371 +1360770727 +1872929173 +1321447367 +1195839566 +1965711088 +453945219 +890233432 +1298844850 +1400937649 +1969518314 +1987064881 +1349527880 +420230238 +460659397 +710151316 +1590784077 +337316390 +730181876 +1437161994 +461608582 +2127860167 +1153267209 +442354815 +1220507366 +845351730 +523885624 +1761282210 +1519447363 +761386039 +1815600942 +122449087 +2122156766 +1541046468 +1443896454 +1170512684 +1359273908 +1897841673 +2060746116 +510635111 +1151295674 +1882780783 +350216344 +353339906 +155527373 +810875742 +1063491222 +1746311451 +1148192132 +1793673098 +1035989797 +1609800714 +1774049617 +41773358 +2052155529 +847073335 +887125089 +428557505 +460871897 +259088804 +1189943544 +128989192 +381537891 +1164616662 +1670035660 +1825434345 +187645698 +881825920 +1575792370 +100908166 +1392461031 +579604397 +1983688949 +1742677376 +932944303 +2139216323 +406069470 +1996435526 +1738044126 +1554261602 +1642624976 +626550275 +1016578668 +1269190946 +668323633 +921250549 +2116264281 +1555448722 +1349808054 +429652531 +1814537527 +392267950 +558641723 +48591770 +1556884612 +81193735 +1874026116 +1744530310 +963019655 +1302334838 +1845438476 +207997039 +1881939235 +1681643778 +1950674415 +667399891 +1673376453 +209260237 +516351769 +1263936931 +1763521839 +11493097 +1890487206 +632616859 +1280684043 +411327191 +1553867408 +1249464677 +1966775914 +756191814 +1679117208 +1633829793 +1148459764 +90275283 +1682421563 +557860728 +171469018 +1408964031 +154907390 +1134488673 +563815222 +2000345866 +1342485712 +298270809 +1534505996 +1145676479 +965670700 +1060398801 +1354936716 +1482022469 +176852084 +970974907 +1493515567 +2067339290 +1603591766 +626715962 +331182834 +1009975526 +1876180639 +150475100 +1766167340 +1407814199 +1784304893 +767143456 +1498089482 +1319242808 +1325004184 +1669558500 +580723192 +1479911574 +656563526 +1144538414 +1332773793 +1999049238 +1442809223 +719796141 +997242070 +260996276 +1780194943 +204695138 +1743018745 +1957047027 +1175670046 +1089050664 +1876902670 +631778164 +1715766627 +60601856 +1641753691 +1444463618 +211076956 +1260437383 +704794170 +1995381849 +2027580840 +55400004 +1167141009 +1205101376 +1724958505 +1747864201 +537529303 +234038383 +744918967 +1870303096 +85603973 +40244543 +442615589 +1082846043 +301240819 +75326884 +1287541182 +2044259564 +2032373912 +315727580 +985826581 +1761792934 +947505744 +554109560 +1822394790 +441775787 +1998573178 +2033471746 +1702213171 +555883700 +1881369947 +1582310363 +611283705 +901027308 +639928091 +188758562 +501407862 +1177457394 +422796945 +1246326829 +900276842 +508400918 +1286571372 +1342892432 +1591246962 +1587812191 +1418219316 +731304496 +1484588108 +1303109580 +1047032076 +322931041 +917418866 +1994537820 +877040601 +592330008 +288829960 +728130131 +478318106 +1991043131 +1284013832 +212204405 +1425869846 +1895297537 +1113231714 +2065797937 +2084056099 +1614639576 +1095771684 +359369396 +713482757 +1996048526 +867770314 +2000054130 +1191457310 +311533628 +1440382673 +462192979 +1042838124 +777487133 +1765302559 +2089870200 +1100418174 +535237778 +1936924373 +1977458775 +1127567786 +78270685 +558105259 +1605885893 +2069313816 +1842119091 +1818090298 +1347700014 +1589932980 +783838364 +1266014303 +1526505431 +250994292 +214302339 +1885874827 +964477050 +62867218 +606161493 +817047532 +1254324528 +917695122 +109946557 +1716517507 +1960533246 +887433691 +1334336419 +1902919799 +1987851865 +1869574197 +1692360524 +1817826993 +849658335 +1770631209 +228448604 +308060580 +1692461377 +2070567695 +2126150879 +892677743 +1513017027 +762505595 +11208398 +892038810 +1013499888 +225510738 +630429989 +1977976938 +288377956 +1236591482 +647540822 +1542702484 +6802956 +757487379 +1111736344 +1967336203 +1644921070 +298589115 +1722772354 +1485289288 +20679664 +1267649230 +1155632633 +870337999 +890796791 +1384081237 +1178398580 +435774520 +1307165284 +1157065811 +1328452263 +672698663 +1919571406 +1339660661 +1564737473 +785587646 +1565171399 +47683814 +616080936 +1853549355 +1284275296 +1263621758 +1248768192 +1291078253 +2021109138 +213020888 +1110930808 +1518546560 +511610003 +686219514 +856352200 +532289667 +1953868744 +2011984833 +1402627666 +697181887 +1248582422 +433542598 +1132956407 +408264058 +1590608409 +313925022 +1080962721 +1362696168 +1653585683 +498216546 +800166 +1071273435 +545900360 +616881103 +777339142 +1830175657 +1880502861 +2026107334 +973770262 +1754128351 +91644574 +2084701070 +1125191264 +603254577 +623436936 +1981543464 +1135544244 +429822032 +1846044650 +390688263 +1127003919 +947143424 +824230861 +112476678 +1355407483 +267355623 +426401700 +288886556 +1630051791 +2079987383 +787103103 +1630851957 +1003777170 +1333003463 +100249412 +1781116313 +1015695472 +1980752274 +1659739999 +1989465734 +1587396977 +1751384574 +1926683156 +565104593 +207155503 +402636444 +399164410 +1342699748 +832458476 +97725412 +1733388011 +1959462395 +1044868836 +410135224 +2071939073 +252792671 +677490847 +350857125 +541679228 +160058990 +283360861 +1328782331 +1790910948 +1287138031 +514302146 +1891160360 +920770696 +1529997619 +1724428986 +433027048 +1371979705 +1164342316 +36927974 +1151179214 +1729446909 +244083477 +1553815658 +2128611319 +1586783225 +238790487 +78853083 +1172687588 +50769234 +1123721920 +1582822813 +2122708308 +1376514591 +112830012 +326081785 +1918193819 +272889003 +609442646 +1099492502 +2063799951 +1896580678 +1613794649 +1807476663 +669867726 +996308620 +1384422002 +1102894774 +220804677 +401280670 +1139822748 +1371983891 +2130727579 +1383906226 +778315902 +2111855251 +823205803 +1017106389 +43224686 +1995893392 +1067875623 +1166946606 +1431232557 +1043100283 +395977550 +1544062569 +1369182069 +166687721 +1816951572 +1978624715 +1266180224 +1733267875 +1727721745 +732491225 +1393260891 +250105824 +1728799845 +630199245 +1353000598 +1949604522 +1031479915 +345339699 +1174104766 +1014723846 +1729245925 +1952420668 +979095449 +404968080 +822043409 +1022320136 +253377824 +1889919032 +41783094 +1684610381 +785535668 +437760644 +1081189303 +7234089 +604448366 +750657227 +1985858804 +1870628590 +336441455 +1566096902 +455636167 +1729702346 +1816202726 +36952364 +212417943 +1021719676 +1986556886 +1243897858 +1367059375 +1013178004 +111138056 +948821652 +818115024 +1090233506 +1353789733 +1640158433 +2112553642 +1607167557 +1382593818 +6853088 +1144294291 +20645838 +444613733 +77999946 +27879927 +1049062099 +828657173 +2013738731 +772207041 +1165098628 +1432351985 +1227843208 +747317326 +1101071063 +1264795572 +959735269 +2122790740 +1103868810 +56149479 +1342366467 +2117046815 +167287536 +143704472 +787678191 +1257521042 +1497494205 +280352977 +1222591036 +957178114 +1662946795 +1229444124 +2101472405 +1683592633 +1674057857 +31988703 +1711472560 +575636308 +860645877 +1577727643 +1347843349 +2025744505 +862595981 +428202909 +625578184 +1963667044 +1692998481 +1585313453 +1938974136 +649383644 +1641462933 +1133856956 +618946811 +1808750469 +1277561428 +1406625002 +918787863 +627571985 +1686977979 +2141378899 +1584750099 +1202441126 +1223339375 +1538738857 +738550111 +749913585 +1570727560 +302539023 +1325549893 +283889789 +1880266667 +525909595 +162150647 +595379000 +954112504 +787728831 +411562396 +499627338 +225558636 +203052885 +1149010982 +1867021569 +1336909841 +1767957793 +1528288390 +466987621 +1027099147 +299592605 +1094559606 +566593479 +293487856 +531826057 +1769034605 +1516827232 +2070564914 +360101069 +119257169 +1493808827 +662640092 +1444807062 +1777698616 +395423111 +1970716657 +1939849263 +990802111 +777345514 +580094446 +1402364508 +1276972852 +805653083 +1605417393 +278500186 +525191004 +794843586 +2046457979 +2053479395 +1261831207 +926073478 +205588352 +208907165 +1492666957 +499076209 +740733222 +1114217915 +2015903441 +663814489 +1474318984 +2135160610 +10139668 +2136959076 +1432484024 +1787838284 +384898540 +1255717034 +1580203900 +1375700651 +2033062548 +12814698 +630581511 +1162551752 +818467781 +88515256 +1441051938 +1343658786 +883358842 +1340026269 +1249654533 +2145190049 +118616099 +1455242885 +206613566 +1611283057 +1954319094 +947346789 +578017324 +1822738887 +1611161278 +2052336308 +1810415849 +1621300946 +2041811736 +1095416226 +1261655582 +279226628 +203649612 +694375834 +1654927280 +89228512 +707190533 +138025143 +1251780264 +1525658314 +226540400 +545348554 +721833452 +1109899242 +1885374823 +1971487985 +1107605644 +2003990922 +1279247223 +1314219210 +1467790331 +1086082669 +114082351 +2045807655 +761337909 +1725243629 +1950660315 +424270110 +1199060927 +1844988404 +1519686336 +313232862 +2124215032 +1723335948 +1007608696 +1631658664 +1812564460 +1714799229 +1769683808 +916861076 +1092973896 +1996224208 +1462209630 +1814807348 +958639802 +1200100805 +1638811686 +2066245446 +1056608080 +770575261 +1232981009 +376914763 +1856657930 +1347063360 +275238771 +470512191 +924823342 +78415438 +894782302 +2123884269 +1923403842 +266984990 +289633483 +1900135227 +1990320939 +1297242180 +1384310243 +1655401751 +864557761 +1006510403 +424779180 +1957531657 +855250963 +1886988810 +1624855358 +1813890766 +939605968 +1116183396 +1732652564 +1996214048 +1886758657 +818149925 +225645163 +1595932939 +17729638 +500883934 +2066445131 +942552980 +579299373 +813743785 +918953601 +355219567 +1080728775 +1208587085 +107871146 +923566066 +358345617 +1492181390 +431484170 +1222903378 +351208145 +856263350 +1032951388 +1206459109 +595768512 +510323098 +872866227 +1535374480 +1626506494 +458035143 +1384104880 +1365781503 +1276185069 +1609750044 +814230794 +1293914707 +2110633978 +733192277 +88984039 +542449703 +1546936062 +1007937640 +897669271 +480181190 +69041077 +1005540417 +1403747256 +427386694 +350238159 +1835231426 +1650290073 +701446305 +544011128 +535757813 +1907905414 +1139779641 +1046080911 +633287993 +527670473 +525103757 +1091323136 +1911775354 +1890885260 +220024557 +1374041750 +557632406 +1513939264 +1337192080 +1290824684 +1602923303 +1879641784 +690277098 +463377296 +629827407 +1170458288 +532418373 +1635367824 +426721897 +959805068 +1985605984 +114469675 +462611493 +539568641 +658480804 +998369306 +299990407 +1798260445 +2044450217 +933278400 +178447270 +422070326 +2024601536 +2090222624 +165471938 +97142446 +1316780726 +723104344 +1611081710 +506489159 +2013929028 +1066521366 +238647295 +556722479 +1529898662 +868474702 +1727180767 +2062317035 +356358878 +6419016 +874638455 +194481214 +120888692 +1337249948 +734049855 +779369496 +188135606 +1034040262 +430146293 +85102175 +1967318662 +608593563 +507172501 +1844436551 +551332540 +672644439 +1941578997 +1868113266 +1395748784 +1405177059 +227118777 +1262194164 +324214777 +465766072 +1818916643 +1854113439 +1334240774 +1398613763 +1768946827 +1690599653 +1405032779 +496101634 +1885080867 +1525921471 +1833351583 +471647075 +157807319 +2021487189 +1505687337 +587953612 +2106589365 +1325522352 +1196547176 +466278218 +1022475255 +1747879716 +1138922658 +816570604 +1468509334 +387187794 +74264015 +1695628112 +1649381958 +398478793 +13910536 +1320814954 +105108584 +1348151311 +571945069 +1874055411 +891267316 +1976977848 +222673398 +628864535 +1355415672 +2056024981 +1100511610 +1513222991 +1930028522 +458715300 +2101176604 +1889134239 +1784237652 +1150240132 +207928810 +659229259 +750636200 +1346851468 +1475799863 +71661886 +1734039262 +1550063878 +1767289998 +1235937572 +1948542671 +1781200535 +409268878 +2053651256 +981868198 +981213947 +1780223019 +1873135514 +810708148 +2002896417 +354516401 +18640172 +1911437750 +1455028012 +1531863163 +1693982625 +1913743312 +1485556119 +1435633216 +1550497316 +488312603 +1643562026 +62242927 +1238948803 +842929846 +1538042790 +1310610690 +429485460 +940623020 +930417040 +1665423033 +741682044 +564133927 +2074691911 +647849652 +1546002125 +908422211 +280589023 +1271653991 +1719130359 +136001793 +1626170393 +1737770531 +2047439543 +933714757 +1122150046 +1593938520 +699974421 +460222518 +882088089 +102988089 +948535121 +378166467 +165231016 +40000277 +1221096314 +1703273806 +1350610967 +1650581774 +496413178 +133544359 +1168521159 +1238095222 +697678287 +1095729423 +1885944874 +96196764 +2004151634 +19050250 +1367850756 +1575798345 +155052043 +846537501 +1166085228 +55007938 +1780252258 +140751626 +1648946459 +332743031 +600974144 +383550900 +435731120 +1549509266 +761717367 +600962136 +1589509543 +1982813681 +156752294 +792636862 +1485911808 +653165472 +926181221 +506949319 +1891260695 +1623859508 +1602678742 +1629721921 +1720056273 +1459346728 +1648772171 +940423381 +887661425 +1803824214 +1786960882 +2053746653 +1858832153 +1419729492 +47014632 +1360294964 +1752472523 +647988776 +1743845864 +40719995 +50014394 +358079583 +641682131 +1639523937 +193409617 +798434425 +284677151 +1679321425 +1451599897 +1210858373 +38787096 +1195376944 +687234233 +1641465839 +677615218 +259806858 +953328919 +178903741 +1200230239 +1840990345 +1982727956 +839707473 +1747253350 +1694076461 +111953317 +1794267982 +906887777 +1864425840 +294773111 +503249993 +1905145835 +344787505 +861329576 +399344318 +1984311443 +1054739193 +1197778743 +121504946 +586576970 +501894993 +1332363319 +625364067 +1697271937 +2019597553 +119346258 +227403507 +131920763 +1072675177 +406307249 +1332151003 +766181874 +241551557 +24374828 +365951577 +1935628018 +136328146 +12735911 +695032147 +2000753986 +307509022 +1198282140 +1758416174 +652296528 +2059611716 +10276844 +489124323 +966867262 +1208055588 +610629269 +1553444232 +1709950581 +1942992589 +31324651 +1259738870 +1815106494 +150670909 +1487142378 +1947027257 +1223346087 +1893449627 +1131694612 +1989527961 +2135001184 +1156069441 +207995890 +1923145554 +1292397587 +220731802 +470694053 +1145667925 +528240824 +1668976193 +756600451 +1180537352 +1581104261 +766877296 +1669661675 +400487875 +1974932884 +132807297 +1953932108 +1537399817 +2075799886 +1985256759 +649655039 +1743422732 +2135927669 +2136797417 +1542966341 +1211790108 +1882763396 +527177306 +1053834421 +1870280932 +1683246747 +1261830312 +1645942838 +828160686 +1482562114 +2116636891 +1973828611 +2010802938 +1638129436 +582945415 +1043856643 +1071750050 +1349822711 +566034670 +1472237925 +1177271947 +698841967 +1278686385 +567188116 +627158205 +1116459497 +1216843155 +223097289 +1104903518 +1206156925 +1766063631 +169209978 +941436673 +145757289 +1223044399 +664233958 +1829004036 +337391063 +162693148 +509681074 +1819953177 +131846392 +336026037 +1683272468 +1769975828 +918971452 +579645463 +694242230 +121310515 +1145680133 +18996508 +1298582462 +1844522101 +1297682893 +1865770578 +324196658 +266658742 +935130086 +547293948 +1371562260 +2141287011 +165873931 +1540772238 +935240036 +311631220 +616332990 +1599473994 +2140635256 +953724053 +1762167143 +502832682 +626193583 +1894013535 +838858719 +161982403 +1516505715 +1757830172 +741627866 +63264298 +1879140687 +1887307999 +82260806 +1030239502 +1584346452 +1379943699 +748526432 +1908543111 +1646602442 +1683656518 +308353411 +870681054 +1677459881 +474227342 +263969645 +465216270 +785858562 +880302635 +2064690264 +779010170 +1834026688 +1679373759 +1281842852 +312736623 +1425903646 +2120701571 +474719026 +794925714 +1731048095 +1216346892 +858190012 +1462705135 +956171244 +940450818 +345460989 +393034048 +172910869 +1093987421 +154093511 +1819513311 +630160292 +462446922 +542710718 +160136525 +936674264 +806680363 +625352795 +1722532826 +1686982998 +542559412 +354059348 +1373526038 +74449523 +1635902200 +1686262662 +1500353170 +1609120124 +13498040 +147795236 +1192684571 +1229844933 +1005985248 +507906058 +38532529 +1946436066 +853367047 +431566577 +2119346935 +1947354469 +585660089 +1791376599 +430031113 +1048107011 +186603669 +590167638 +1984781276 +993284032 +1215520434 +1559830454 +532783382 +1758079846 +1913889803 +1906309420 +1832529369 +1402308355 +1445088434 +1185398891 +863944831 +1458586475 +1333194127 +2056629403 +540947760 +191695727 +417051813 +579480289 +2138131793 +1270418861 +1011046866 +2109995081 +1070289682 +1596706955 +1753888032 +1500320795 +497330319 +1940491701 +2090488433 +334627947 +786292085 +1158525219 +1894458401 +1319075467 +769121417 +1660864556 +1077901239 +454167139 +915689264 +375506026 +1639566030 +1779634095 +1834092501 +825276510 +1688779850 +227556613 +1016972237 +2105831664 +807036902 +1007620383 +1228766877 +1818083768 +970131816 +151572911 +1267307076 +576536200 +1651893706 +1764637395 +369544253 +1594898491 +2099265342 +1155836338 +605940063 +1846240095 +327428157 +1375061480 +1359621004 +1405329396 +1829228619 +127826620 +1780835422 +1321311002 +1907460715 +1467444275 +2146587512 +1448756918 +1695000888 +1016076101 +1407104934 +354554142 +2023696484 +488388163 +25154263 +846344652 +639961074 +1292461339 +1422880852 +144371132 +909615086 +1792425105 +1739269623 +861396780 +800777795 +197726038 +560153227 +1128205952 +1572787519 +1919774231 +386051701 +1254532490 +2047600851 +19403475 +428359844 +1807577919 +1486847751 +427463708 +1108851189 +1034364991 +1443539810 +368472475 +1388919134 +1319752646 +856860638 +1414073397 +18613651 +1496821712 +559051088 +1441494503 +1641192844 +1468666174 +1086435961 +1232978819 +182579306 +1887213756 +1430704858 +742732533 +867936061 +856008729 +515023117 +1253987762 +2110541219 +415140320 +1273391237 +391417416 +75234591 +612755340 +818881124 +1184085780 +1647120332 +114937286 +1552558255 +888555818 +1434689933 +261935245 +155145567 +1453303584 +1758756957 +714196655 +747314439 +1252466153 +35379181 +1833750400 +337961325 +217958487 +1573480509 +1768666183 +960691020 +293932922 +477191264 +1475714137 +1547920684 +440248835 +1890854458 +673828273 +831666251 +1966089049 +1286583614 +1650547376 +1002691182 +786220298 +1765484662 +407765789 +1674776116 +1052690947 +669701035 +1829921683 +358510883 +280974344 +396634690 +1105825323 +1533440498 +432013871 +792092075 +1871401823 +649972358 +218088936 +1492584358 +1610663378 +512021858 +1969775622 +938893868 +2059942542 +262540809 +682264678 +586287168 +1094207061 +500870079 +1872870782 +597270789 +1503561261 +511607432 +215271803 +1911327051 +38899900 +1267962751 +433544438 +1868821583 +1626473634 +714518782 +117972625 +584815309 +100475632 +549986496 +1376907385 +1971877455 +1199958854 +1594996321 +1316978165 +663138584 +2107018180 +1139270139 +1602032452 +2019477074 +1401810949 +136813482 +458280594 +348534362 +637683562 +183667728 +945805151 +2141244823 +695275160 +1161076954 +1905088226 +734175060 +281556057 +191149016 +455512995 +1908029692 +905667799 +573485620 +345361353 +1006143431 +1123472116 +1722268738 +830537239 +175947322 +1169781412 +31756 +839085907 +1129315944 +1139301896 +293634711 +1001309370 +393629197 +430448194 +1459589965 +742163559 +1068131756 +1643257693 +1687968710 +1061892931 +191049206 +701562016 +819497510 +925224266 +983118074 +1010646526 +1380737262 +743664118 +1916314325 +1954222882 +1089025471 +774974109 +930211351 +663810562 +1605511348 +1106158673 +1833591974 +1605543104 +1945244580 +815424270 +597361352 +91395644 +1816733640 +990990549 +521843838 +1128839957 +1733154108 +1589975594 +624614003 +1273639170 +504384877 +815663209 +1975201187 +1323882387 +1740887475 +810835613 +187045266 +974141089 +1554499731 +2103359591 +780880324 +496041554 +730850052 +1711091675 +1159852116 +188877752 +669766700 +845960442 +1794420857 +467527633 +1661384712 +244298561 +558923277 +1330634705 +1235289111 +1080767115 +311991014 +820959571 +523259061 +936605017 +2094598742 +1027643938 +1752268226 +1922316281 +204042678 +1345672054 +585668246 +391087944 +172329495 +2140167977 +346963887 +953209819 +488725883 +1077813940 +516817846 +1648578000 +1266691692 +1186584547 +347054794 +913628901 +1654112180 +2008439507 +1157927463 +65551809 +1191590564 +245732926 +1146318924 +1503581578 +1066692497 +1669577985 +292702948 +1013807591 +549738275 +2044971174 +788640224 +753780953 +1243159580 +1374308470 +1144868897 +1415489076 +1366992799 +1491832785 +221215247 +1855718683 +422163077 +738033094 +1356813035 +1688854769 +1924617641 +1703867829 +455000023 +1431246173 +1564823688 +1612927486 +1496797982 +608930604 +1858660412 +495633258 +2112512183 +777869261 +17727595 +257731483 +1791676853 +567465870 +155219009 +432833429 +1321246824 +1398378590 +1807141900 +318632073 +666384018 +1026651051 +1810464858 +887599265 +734886086 +85144287 +1625632359 +2091699121 +1773999057 +1402766352 +1648083303 +81515432 +686528877 +1065423343 +1694442918 +35843211 +1674353948 +1405619682 +531476469 +1639382483 +36005295 +549204064 +1897113966 +1827682148 +1116669935 +2052332975 +113031930 +290433111 +1303227917 +1920173830 +609065184 +1969611935 +799341233 +272046395 +709727553 +1534227320 +357190682 +187876264 +1478442793 +2131189739 +1590642617 +979042448 +65221523 +129687846 +2044465792 +1759664441 +165531058 +1571336092 +1017800475 +697007527 +1063234927 +1053805771 +1246211592 +812865245 +734004271 +215397879 +717714572 +847036201 +505830990 +2020942490 +619726383 +1114896174 +1843070777 +1419067617 +1386942569 +405314682 +805811289 +1744133252 +593190947 +136770434 +1727839343 +36349916 +1115812883 +1793060867 +166037762 +1012795027 +1405241660 +331568820 +436647471 +275558488 +1028576348 +1499882398 +1329364259 +127304292 +165263995 +2063368530 +342702171 +882978567 +762921084 +848533161 +756437409 +1382647467 +1963429335 +452024539 +654231436 +1202888257 +857339221 +1460042725 +799537861 +1450530168 +1596813160 +379893556 +1486880084 +565142395 +25470775 +1652917847 +1577937422 +1430712436 +1984486667 +2014584893 +1706270924 +865579367 +1366983643 +888151535 +992883659 +1532247638 +804036417 +1335585830 +267742557 +1566957501 +36635343 +1024179967 +802121321 +2000064679 +1476204506 +1456352757 +1055469288 +186060079 +768911835 +1855007149 +1636590248 +218241347 +87417057 +975986684 +783383742 +112887833 +481420883 +213837516 +1543600269 +318423903 +80938761 +1102387545 +1184003270 +1447922404 +1990539080 +29403282 +832686394 +647091849 +1364989112 +1100428951 +66565703 +1401624456 +2124608918 +868687024 +1254205487 +1453329776 +177556133 +162191127 +1639389856 +946467968 +2017198276 +1128496456 +1164709315 +2104615333 +2104483140 +1948093057 +70019518 +438420376 +14446925 +1613619787 +756844279 +95385686 +568523684 +1940847549 +1543308090 +411579116 +1970250831 +228510836 +1058670966 +1187756296 +1328939788 +1125236669 +441897104 +1306065058 +1993923693 +1696102591 +611911187 +23996178 +1858293718 +103817395 +970464147 +1728008346 +1232313851 +2135173462 +1685140031 +1189313343 +1935782872 +1755159550 +1627733719 +1950229797 +1221295689 +237094350 +2045615484 +1789819374 +30458252 +1441439926 +53914842 +2000709083 +1669950763 +1112585808 +1040981731 +851406903 +90338829 +1482878835 +9988313 +2084262522 +1031497778 +621899500 +2108258701 +742307848 +725716895 +931239200 +322832546 +1958030746 +918929014 +2007972578 +999860442 +707228238 +1615648480 +480110513 +509974388 +689460521 +717204864 +408106224 +331796247 +747663116 +1849546150 +385711090 +600888551 +1372013265 +1498296898 +1641870283 +75936520 +1588635728 +977265470 +85924834 +1525414602 +2008763249 +707824334 +1486189655 +603587449 +1433541230 +269945207 +926419996 +1244088328 +1188874222 +786908926 +96465122 +1896102460 +255073758 +576575636 +258593200 +944534279 +1293780500 +666699424 +1276330527 +2041443616 +368761927 +1662041617 +494848519 +1740775192 +1012854867 +2136718802 +1816711713 +454006947 +966500625 +1902636547 +1979421550 +827780226 +462977233 +1318127557 +1431367675 +1896518463 +1588072765 +210304023 +993123144 +629463339 +997212949 +1089588266 +378082151 +1252286707 +1666163902 +636675352 +49337339 +812460754 +1303374776 +1325667866 +706420722 +1672136703 +840225835 +1201269242 +1265428248 +1853080702 +1190504396 +934656313 +159604002 +9521373 +689809212 +2139025552 +837301599 +1152786445 +1309669461 +121185627 +901821261 +750258578 +331489650 +1894944405 +1379721917 +1328702600 +837049023 +1757804069 +433505659 +355729278 +246995773 +482842998 +1168190032 +1550370549 +1808510864 +1874610755 +1075023605 +501253051 +928396349 +192968205 +206850106 +2118900745 +1127624518 +366454108 +2128422119 +1817433730 +357996012 +818240070 +822736527 +1667665473 +939425697 +1724557788 +270440404 +1270915348 +1472018545 +1650162321 +452134300 +161583921 +1260482742 +885639959 +517313199 +1507478515 +1368482958 +1685503231 +910365417 +1029510174 +1412630338 +1985389022 +1530763226 +193543039 +30873579 +1737613332 +164960137 +1158498097 +2104067440 +145898608 +828448179 +314579804 +964138678 +1651184706 +1982245277 +1903564376 +1228258847 +105202033 +1026996076 +552793744 +1755364355 +1479130376 +714377665 +868363449 +217286687 +1231690864 +228358317 +1585769645 +769710448 +1138723734 +467796172 +34857138 +976629108 +1998559398 +228400178 +1007502687 +1588689082 +393360315 +18517136 +1545272874 +539258923 +846965315 +1859852678 +1503397601 +350666373 +1694614307 +1259478329 +1578925220 +1799816341 +138990757 +2131718965 +1407697048 +1618121133 +698612982 +128576849 +1835407821 +1930303847 +356935166 +1273693818 +552530647 +1495658900 +1741489990 +587387785 +324804360 +1592565740 +815787963 +1332307047 +1033771174 +1209148278 +1350824183 +431560400 +1748407201 +50305850 +143929430 +1104321155 +400972224 +1838543738 +216315836 +1979897444 +1490876431 +355306594 +1964132761 +751089831 +1973427727 +515262096 +879666680 +1661351900 +298082295 +1236601847 +787562071 +850612942 +584777099 +381568413 +1438000727 +909581460 +1974134154 +106305043 +94404859 +860421680 +1315453321 +1445229043 +1291982081 +916376875 +1495534893 +1435911511 +2020698030 +1896507117 +1126971601 +89530218 +1728920914 +470364384 +444836812 +1545570027 +1221454215 +270780892 +2060832123 +2101120896 +1932132792 +211430770 +1190239095 +572211215 +1062043712 +1775016194 +953779629 +352560792 +537114006 +780430135 +458865835 +631518866 +1640851815 +1774319156 +2076747909 +785350248 +543212383 +1424799154 +73778112 +416426765 +1173822624 +1200749713 +505956984 +755259890 +1671114098 +950793796 +153346269 +745084665 +1221574688 +66694745 +698721913 +1006223833 +278125515 +1888961008 +1578435048 +1340169228 +1516493555 +384731029 +1692730020 +2053607561 +1165161164 +4112207 +537642779 +658529332 +1778431363 +466907040 +1443879580 +174160099 +1891706195 +1517657692 +590586864 +918045171 +570923758 +1096543848 +1673305061 +94554208 +2047337645 +1826651330 +839638873 +1121428685 +1893346075 +1538360787 +2127652518 +23987943 +1279838147 +1558603919 +1364157171 +648848054 +1943334948 +909403543 +554971968 +961012465 +913515750 +1092614747 +1619541797 +544463465 +1559521788 +915937729 +718623564 +1303744335 +286111774 +1309210429 +74305858 +857035532 +258270629 +1747610919 +951589740 +158124626 +1426778601 +1791228613 +1279553312 +1172641029 +1182105752 +1259722182 +1196628972 +314460252 +670842453 +413302495 +963308306 +466693754 +1322706038 +1518280274 +1427706219 +88738140 +463411374 +899764368 +633201605 +2022933162 +1815702097 +1351825170 +1179193849 +2101813871 +513551951 +1253499707 +811365755 +771822580 +853626978 +1762955495 +929947207 +132921931 +1406700461 +62016871 +1305562960 +441322565 +1321739053 +354708284 +755782817 +1992581507 +768010779 +1719091124 +311791613 +2090716817 +1089887750 +1739497832 +31971309 +1553299124 +491778552 +665172915 +1428748638 +159997001 +2016998085 +460458839 +114327225 +383066388 +1713958546 +925692980 +1154888968 +420101876 +541164828 +2084836175 +553023808 +1947865289 +2146853046 +1858586768 +241704206 +1321108452 +65811405 +997487024 +1166206311 +833822184 +569094500 +1477997924 +777055354 +1658982250 +1070012108 +809026663 +1064797727 +1561790660 +1474199578 +346062717 +1721787661 +1343714015 +806521557 +1836114886 +1726780403 +372996455 +614324219 +734185724 +793098332 +1155489047 +671538251 +1346122140 +955870688 +670907650 +1057225260 +1197574894 +1992016102 +1123036665 +47578270 +1010738765 +1956858850 +616672770 +341253041 +586430556 +128171373 +1411265149 +1395457219 +1192969100 +825572161 +722173150 +1539031817 +399876174 +2065887165 +198069726 +88507413 +1645183921 +571066182 +702831632 +231885997 +1364164514 +1858320679 +903424248 +562803006 +666707719 +1574331898 +1620028266 +1864282613 +1418864352 +595581284 +1911860884 +282119469 +404956486 +381050006 +623372510 +991387042 +509221379 +2034637659 +239360613 +1702190479 +712726172 +961533763 +1093738649 +1112602347 +879937281 +1291808375 +1201109760 +377637554 +1862874557 +1903941392 +609523551 +1079555423 +1614778423 +1512947799 +1642358429 +134002494 +939796050 +1114903048 +1998285107 +211176754 +1710484332 +1762662343 +493296224 +2115440818 +2143712350 +1116668734 +959344212 +505450081 +1003822746 +1198704825 +60156913 +1716548918 +12754941 +1153895562 +681667617 +892692222 +298220289 +1882777377 +1270329776 +13611199 +1639235121 +1879853327 +1093166622 +1106529896 +1245317478 +588041404 +1240532390 +37629880 +1702944452 +1091333850 +248806635 +1265945136 +706512545 +742102859 +1233902306 +702741247 +1858771593 +45762870 +1208191329 +715110691 +1244467695 +1268348242 +284175962 +1257222636 +274760156 +965843579 +2431210 +572980445 +701137309 +1272760986 +586591644 +192888782 +1005130665 +1679758267 +1299418679 +102964496 +120316023 +392467421 +140594376 +1823260475 +1483801271 +389401011 +941721963 +42830169 +1131503870 +28140621 +745571416 +842791816 +73903491 +1953762745 +1557902507 +1318371186 +1074627339 +1842078469 +428110175 +1349387495 +660438401 +430541385 +1922367941 +1361575710 +1703302372 +361475937 +1554464492 +560949389 +2041234204 +706399523 +663913885 +14066579 +1098866945 +804508262 +1837327054 +435184568 +1193909273 +631565369 +478014737 +177929496 +659705990 +1223586154 +1020721312 +733609481 +1029865251 +431140171 +2051980668 +2104492591 +125734993 +332607195 +1306396438 +786173394 +763148580 +1081280731 +265456 +318967304 +1442756669 +1554729948 +879916694 +1336507225 +113645824 +1543830579 +1350573805 +1212512769 +200855193 +1040417211 +1647697337 +1394764467 +1671982581 +2125712075 +1572693963 +184204923 +1201814581 +445931627 +917814405 +84196184 +877071798 +822311425 +41205127 +1002806791 +1154918620 +1347601566 +1788980185 +1918067200 +281398649 +1789245641 +89550857 +1724155318 +1196491942 +969467551 +913178896 +1310137766 +365814482 +116269053 +375166887 +566669676 +1156686264 +2022864224 +1961434143 +681185197 +2001092651 +1386644458 +865390121 +1055423584 +1832576085 +1783204526 +1139619769 +562164235 +458032303 +1180824896 +1564971027 +1612950923 +380942814 +1206467564 +1383534475 +662341464 +848229558 +1473085332 +239013134 +2044721500 +295069235 +1152192030 +1207375618 +660883718 +1268461083 +1582542505 +1227553394 +277663700 +1457923081 +1041503889 +958848897 +1311532085 +280664699 +1824239018 +219472021 +2113240784 +1459959896 +1359091790 +527921371 +1917992199 +392433039 +2092892398 +1383459474 +773375853 +1151876315 +619510302 +1435717317 +2000105873 +2092595634 +1674730452 +1897343725 +240181222 +679438834 +957235695 +901064940 +1947899918 +392294552 +2128618334 +78079970 +1850217633 +1022638575 +1036928867 +1014266070 +1303303274 +713684238 +1233738092 +1269060410 +26160486 +445346234 +1796981781 +1944152686 +837779273 +1742390532 +1180128512 +1611155127 +746783199 +1799638814 +899388796 +599405424 +1744750801 +426635600 +349265501 +1984932023 +1106074435 +1306501196 +738513315 +906490705 +1698795748 +719648001 +984570675 +1401529733 +1742286576 +2021499542 +268312156 +898106202 +587700132 +1502050248 +19682964 +613860619 +1947396482 +1816664745 +410529657 +637692108 +1411571629 +1590658169 +101363587 +10871180 +1242813336 +1000752383 +610276604 +840080489 +1427387984 +959542105 +677528864 +385978771 +118559653 +1416042179 +1292469476 +1817355401 +2135690180 +129556503 +1071401487 +1730493108 +3572397 +1339713643 +481115662 +591272530 +694280243 +500798626 +1205133149 +494193077 +169979723 +1615662806 +1131885185 +1581551353 +1058837327 +1233248772 +1592422533 +154167015 +86517508 +55215490 +994247504 +1513905492 +1014757595 +1671776368 +1899884263 +1133317249 +940334899 +1044870091 +803189002 +928541431 +1174426594 +1874590489 +511550891 +1177998991 +1066820484 +992666553 +1769271521 +1761100727 +1493465179 +826921022 +107810157 +1663444903 +295100180 +1239695342 +1097512608 +1353937508 +325460467 +542451493 +1508104523 +411977975 +597666983 +354868380 +1925883467 +1612424579 +2026644748 +1678284082 +598258180 +819496000 +575670525 +1401447182 +1748037431 +1750097119 +1128554024 +112104675 +780612462 +47890860 +1104771228 +402400336 +1808991588 +450752760 +1229321358 +1916801745 +2114197663 +1524421539 +1009013439 +1064226623 +730875399 +1334473906 +1606678116 +91496274 +1746451881 +56861452 +446364654 +1524851700 +1669286031 +325525755 +1055652134 +120060563 +1145021755 +1631322659 +1521507745 +745575538 +1233936130 +502578121 +857680213 +2014548593 +550468982 +1962451442 +269465281 +211976922 +265720554 +1498786639 +2128778667 +232434569 +875724530 +990308458 +1296661192 +1606599929 +177298717 +755855660 +1698096204 +1923750598 +812717112 +2144460858 +1301118651 +334519495 +322502965 +209287137 +454580058 +1467524720 +1840609797 +1976087804 +65616611 +927062279 +331182277 +923296824 +794127224 +881651259 +738264618 +1063592505 +1093628181 +1003985172 +414895497 +1074923200 +1236419741 +1290620027 +2065231659 +385597285 +749736309 +95046728 +1141452946 +300348865 +2018797326 +1954170058 +297326075 +1172432329 +141205906 +619829041 +1381719467 +595785964 +2087353761 +1074845616 +424390120 +5486724 +2001907895 +755572398 +928783549 +648551472 +1637223657 +1667048167 +1712143977 +583368191 +523549692 +2127039474 +1658291391 +1759969433 +1270175854 +1576039402 +2145566719 +2019912163 +1671086130 +1139536017 +172777380 +1542399809 +946222427 +470103455 +567348490 +1087428333 +1089932496 +1949067957 +1683214298 +1029802610 +876429925 +2107604418 +1035289334 +730854173 +715693168 +1964072883 +1379405645 +205433178 +1483637403 +944065974 +788801369 +2007187095 +923621801 +299609112 +1619672880 +46314007 +1875648515 +1617755951 +2066226170 +1399250997 +609808320 +91519902 +794167158 +1556030748 +561623357 +1361515649 +495975433 +1651555854 +1163099958 +31706083 +533874816 +2039529884 +2139310502 +1569164150 +622900409 +707520022 +1385753386 +2002306054 +912953200 +721907141 +798888380 +1701754569 +581610588 +1722510181 +2001363682 +53799820 +1768824188 +1729528549 +1671555772 +1687566710 +981295898 +133880444 +1779086612 +1775463057 +1689911192 +193226322 +989495058 +38402978 +1844782176 +5111368 +70109061 +231173344 +2044641252 +61935915 +1800337494 +520058013 +769455938 +1038607232 +374880419 +1682409138 +1760514373 +1173768800 +1236680060 +194641313 +748795333 +1090560094 +248441134 +370135874 +672604995 +1919996906 +2057702584 +1653900893 +2053877350 +1689305549 +1281880302 +1596304895 +1882531871 +123891712 +1634707873 +1579830399 +129003081 +1704816934 +1811003743 +26160685 +1766752850 +1463857589 +546218699 +388725140 +354981174 +921099118 +2071134278 +2115495547 +2094867918 +1160330690 +162653213 +696179604 +103407136 +411094347 +1066315478 +776012131 +183607605 +976534414 +282429377 +90001307 +518356315 +1564309679 +1686306202 +253404538 +1688201392 +1173530427 +1833234937 +1817204473 +730863714 +1496755032 +1843365158 +350132916 +813128974 +242100209 +738858056 +1168110148 +1163199328 +662508686 +1136122047 +1110583598 +1822839377 +1298775260 +1806763202 +1926246513 +1709869607 +725595032 +554774997 +1893477212 +1702129447 +837204374 +1983478520 +73002114 +254030405 +1522301074 +326406653 +1942231797 +548347854 +12157942 +1611952622 +1279211568 +1508912975 +1307834133 +1629344484 +174558301 +1549934342 +220718892 +1342668449 +565650022 +883227578 +331306848 +1676233621 +558583307 +1630082109 +1335513175 +337346173 +1192468068 +2061108208 +892121170 +938461633 +1615754007 +1729325544 +774456505 +1688756121 +1983355949 +149273931 +2015162774 +1778104099 +697621785 +2027320717 +1242573073 +1976833353 +1388750044 +402923558 +1458694189 +1563308345 +1952857901 +1679413081 +758493146 +371024275 +415157012 +1089799994 +2047257896 +973740319 +572398455 +1235287424 +1311086492 +1764866524 +1148911984 +55724014 +555844509 +617182343 +1785049558 +1330301014 +158454816 +1620921860 +1479574945 +26133943 +1251542311 +29713083 +2053454660 +346631736 +2006546436 +1294721056 +749555295 +1317756978 +710545753 +554929548 +849686411 +1469038899 +925953823 +1264843423 +411355245 +825728072 +91100095 +983753701 +2061015496 +1402186587 +601136577 +1062443832 +1457910602 +1156981086 +1679626175 +1095476512 +339798452 +1838080991 +568914724 +1819373397 +1864214934 +1820457035 +1849086480 +1770185946 +19605124 +1708149269 +917423354 +769160419 +878422599 +1627969107 +1324089967 +1728109010 +949524358 +102560142 +845468786 +1360879604 +928288214 +936568881 +197149657 +841820062 +191271820 +798286234 +1904263894 +1649182422 +1955267320 +1436406421 +597175287 +147582124 +1127003765 +1166090011 +1966955521 +843735051 +839063399 +1668558354 +466437350 +858668523 +1229223975 +1383860704 +1627828942 +2107646574 +864346164 +804435261 +1688271936 +1813870522 +906995403 +386257074 +1027266478 +1835283618 +1322825955 +1224416135 +529620032 +1514097776 +2022702369 +286400279 +1015796550 +1830486041 +1722806700 +1612971837 +1978068165 +702326817 +631578201 +1797540039 +1546061869 +1470641600 +1318614745 +2012499219 +181826475 +400355072 +1248876275 +1809655417 +360517998 +2113222439 +466607030 +2048789934 +1779609314 +1373602433 +287563361 +659392144 +1061402403 +1610389316 +1883808280 +1591022436 +977003444 +1759027001 +1877422715 +1992799995 +1442029395 +1452745767 +1458288184 +1272613912 +7588937 +2089866385 +922670303 +1553650806 +1413024337 +93801400 +1418666377 +1594850812 +494156472 +520059004 +1257022581 +854674470 +485797796 +1723629611 +755980757 +117923462 +949748397 +1043544118 +777315606 +2011150800 +506449786 +513640238 +1454689588 +1483453231 +125183592 +1184628655 +1328769578 +1567212987 +489890775 +639574114 +692343251 +497479712 +581956852 +1615013555 +2051130518 +1994981189 +1708814955 +1322313247 +1442348354 +55487780 +1842372251 +551887287 +910162250 +180686399 +128033251 +1666143007 +298609861 +1077781648 +562203477 +1075925468 +941448800 +1068653264 +1589565706 +248654741 +404622847 +1714749298 +1433283396 +1733392425 +1134478637 +1923174171 +225482891 +1826821889 +273170235 +807439743 +1294351796 +176817105 +654937285 +855683103 +1499130352 +2097285639 +911170883 +1194018956 +501689278 +1821333134 +1374705355 +629722529 +1339992493 +1673315217 +1707504177 +1902195971 +601757037 +501469330 +823365587 +43839095 +750124071 +1227988434 +1758588394 +35923819 +813897211 +745583383 +1959097991 +1039380102 +424921624 +84784578 +1846819846 +1719273420 +261601684 +354273483 +427472876 +1760732036 +304075474 +1338643759 +807267344 +805764752 +1012493245 +34489052 +1435487282 +205002091 +1707804269 +995507811 +2107198062 +162077658 +1496977141 +783080001 +205916753 +99617564 +2011068435 +1964505147 +135541384 +677481998 +562604883 +2094639375 +1716862100 +987526507 +31940305 +1416198298 +559316280 +293541989 +1770471781 +986789156 +2054274026 +2074547255 +177949267 +714057722 +732828360 +1190442513 +748546774 +20831994 +1395444604 +308867395 +1016339805 +1355159018 +470945053 +365833299 +2138239019 +676861807 +465450863 +2001823806 +493883306 +600992247 +531822156 +1056488189 +548147974 +101200608 +2044014697 +580088280 +1517398907 +455847329 +873630269 +1140387040 +1442636485 +780420647 +1067450648 +1620585752 +1494478370 +1800279008 +663544617 +95541496 +1821111002 +2058989221 +404408892 +689967159 +1266664591 +875353945 +1055800458 +1257419962 +1552215752 +1521251322 +1111760120 +2046099059 +2122243569 +1643582276 +955103600 +522907896 +1744782885 +851634649 +1102996176 +1114698144 +1307481978 +1976626445 +107601536 +602634815 +609563445 +1175052184 +75736920 +2104041815 +827847544 +739281537 +52099663 +501474898 +650787111 +456508555 +1191442058 +1917451702 +1331862501 +99758868 +1027388017 +736594605 +1621010190 +2139148137 +635210016 +1595770112 +1635246766 +1590313617 +2118678008 +1232546003 +294464618 +1074190536 +199760499 +1601946597 +903333333 +307362035 +57097764 +1512896778 +1482414220 +132834684 +1469454945 +162778116 +872116222 +1521554609 +664253015 +1522903333 +1978063164 +1855695073 +1292871387 +1162442017 +1955453941 +172775756 +1899036623 +1428980484 +164440246 +386762991 +877266948 +1799687012 +1977076608 +848461308 +884749367 +124057579 +1922651844 +1084509866 +1726004176 +678501529 +1391871901 +1783101940 +43914660 +726802473 +1915936625 +1513369605 +889580590 +640569199 +887440566 +1553833605 +15988884 +718020083 +1262045030 +1308860271 +1880462100 +1070015323 +1481636028 +1632015075 +351512159 +1646076274 +2018778067 +1228779107 +1298279638 +1848371027 +2077240415 +35545357 +1972428606 +1852408611 +1120055223 +1550949134 +383426493 +364443476 +1186567427 +427341153 +1091245950 +955020404 +1940710758 +1980826540 +1595589603 +680667677 +1387176497 +1611578487 +1398687760 +501737879 +772955110 +1131666212 +1571753202 +107107490 +616197640 +1923265362 +1753183764 +487492059 +1004560821 +903979754 +188379438 +934317589 +939525111 +13324397 +639242552 +2059580334 +1564273531 +1022669045 +276540163 +603357310 +1450010198 +1367786113 +1558377714 +1243237309 +1201129005 +1006483669 +1923904986 +440821854 +470578508 +1175109098 +942559733 +1243533619 +159291662 +366829287 +1350641109 +775489302 +142611001 +956341226 +1262981361 +1147171823 +1860320980 +1451360800 +2081489412 +652362444 +1464685197 +573248316 +564459130 +881475080 +1595917362 +840999293 +1484832391 +898443912 +61301758 +895726457 +2141681221 +1262430763 +1902210127 +1918102559 +1703252617 +225304987 +945728009 +498328702 +1468838606 +1105019672 +865157990 +671996068 +1880508974 +1007768991 +1628337294 +996006688 +7457166 +1341174626 +299883840 +2088946578 +1993537070 +1764569037 +514711247 +410512553 +498560469 +2110628609 +1251511846 +1983392860 +861588873 +1312813605 +731635670 +855786447 +427760720 +486362149 +626405358 +2131013338 +711667136 +1572133368 +481858392 +33022095 +529669392 +1347016382 +705018163 +262694718 +207301726 +185871809 +1258701406 +214758892 +1527046435 +1558585246 +156221823 +1373099858 +1175670635 +670933070 +1783612411 +1674231105 +634078031 +887640609 +1510140317 +1495666904 +52970566 +94292339 +203969703 +480731287 +580654488 +830375062 +464260977 +1292321625 +255024782 +946119369 +1325343720 +784694174 +145652104 +2030361883 +1047388892 +352953830 +68750044 +158606651 +567712722 +1595796479 +1717191897 +723934545 +821412689 +745378885 +1394867615 +457541452 +272126342 +2028945646 +1345182062 +1782266659 +1377128903 +1398152628 +1876558999 +1581098606 +1878883915 +309729839 +263990020 +195661244 +1602051464 +519014802 +1141780614 +779911536 +1303708976 +1287432718 +662789771 +203614221 +1640386548 +731539815 +362220872 +60615622 +179852647 +2079412769 +784550168 +1001265336 +677308006 +31934135 +1458806789 +949434348 +2060879782 +656505203 +584217360 +1290525037 +2054657831 +313292711 +724139995 +1786058099 +623022550 +988130016 +1981719343 +77590367 +1507144818 +976016309 +857501903 +663370147 +115965379 +1520291675 +866984368 +1756351927 +104347842 +1229205240 +1816967550 +284200489 +1161134361 +454034070 +1285465826 +1838442368 +485968205 +596788967 +640393068 +399364339 +1253294170 +1224610428 +1689889376 +1160468353 +1537903139 +266545724 +799042804 +13442042 +1254675740 +633278500 +91032409 +614336910 +1609294809 +948534312 +1277707057 +1725260189 +321342339 +2144691425 +1334128468 +425690182 +1226413017 +1003612370 +709890671 +240063731 +1457646440 +1995356497 +2078506099 +1943614646 +444661816 +571415519 +195495337 +1697955986 +1796025948 +1885384714 +710940692 +1186445439 +4446790 +1509983496 +1199887481 +1259122530 +2143261996 +1290919890 +1873459440 +1605073158 +91970555 +1003682850 +1182849699 +413312894 +1000890627 +369494519 +839003076 +79819997 +1373106890 +1548893748 +319883728 +683269682 +1396766597 +250906179 +479400680 +1841428414 +822321698 +674896018 +1391900752 +470863998 +412797084 +2102841444 +1657309438 +417243874 +1465341293 +709713271 +1676366404 +1461119641 +2000633162 +1402342196 +918709151 +2092603717 +258541398 +2101558850 +358432963 +1259432026 +323569722 +1197436040 +1339252023 +1696676612 +598846140 +1659135751 +232462646 +1995612737 +1910041930 +711863327 +1689557503 +584879980 +1386759345 +933974608 +1055743979 +1799556429 +889332404 +565569769 +69316655 +207190049 +1275283040 +1745683059 +1668309691 +1128432554 +1000541607 +439535194 +1073552623 +1259083006 +393610397 +1431985587 +371031384 +717180119 +481937979 +1710283407 +266373083 +1080784119 +1221935510 +498835729 +928913208 +984493792 +1210699056 +470987064 +1569373772 +449974753 +1404961672 +477634103 +102047534 +146810428 +1043203872 +171364189 +354000478 +171003265 +1917047248 +2022310169 +1299435819 +770105208 +314361715 +225504795 +2029188214 +707972112 +1657490382 +252735950 +1425152231 +2139428361 +1963019357 +1691525314 +1072728832 +1037471219 +42877396 +2001642040 +2021965011 +1253576452 +325145456 +1443855135 +1703551206 +1730107128 +1921489239 +1805598740 +1876917557 +817209463 +1976962930 +83434387 +988212728 +1746526530 +2105744556 +140164900 +369148090 +272622623 +365669695 +250852656 +980594736 +2023160077 +503588606 +258263319 +2015104790 +319124315 +1949788634 +940349974 +1356595534 +1992666030 +794508366 +1231076897 +1098758834 +1119653823 +527448385 +654826392 +702277303 +301453976 +312941485 +431711212 +1118663439 +142420767 +515145599 +2106876168 +1888947297 +473406507 +99557420 +110611740 +746029131 +465227115 +361464396 +1726623867 +340903544 +865053003 +1984887186 +208524686 +1184177318 +1787192172 +1148874660 +393289205 +1632374554 +1943383026 +1624366102 +583649741 +915553201 +4330839 +1238476133 +1617830505 +305784815 +1551417618 +2049541717 +1424448255 +1693838385 +417203669 +1383840775 +1435302035 +890610176 +1483398195 +1545913775 +1636639307 +1948625310 +1907378171 +1215779526 +142045206 +624947526 +1053183065 +350569892 +1809124845 +692891589 +1499444552 +54930402 +177782496 +1295343930 +1679296504 +761432237 +63413484 +1683627344 +1999908370 +1681243989 +1989412159 +1403842341 +1583302058 +1266376766 +950197078 +2000505727 +502733893 +238015465 +743632256 +1986132088 +1783929240 +232787915 +1787273750 +1543823764 +1448567442 +1929318956 +21287642 +354266859 +132405200 +1830412487 +1047158448 +1631849752 +1885342889 +1224940944 +779710035 +1417155746 +1986373181 +843123519 +953299442 +1838797904 +376883860 +795227953 +1095156597 +1960185918 +2061604720 +2045353675 +1813207998 +416854965 +135885493 +409356606 +255503406 +1919814733 +642144521 +2042777156 +1316154849 +2090711963 +1824612465 +1337442492 +297495174 +1957017665 +1020371331 +1344653623 +1441383770 +758230573 +422110919 +73610157 +27902671 +261000453 +916733676 +981202113 +2099798357 +1293617536 +1776430066 +1047471306 +1106319806 +1690551138 +945341333 +772044156 +2107406104 +1081226826 +1181400762 +215425862 +853557912 +1823545284 +110719370 +22229113 +1766773599 +1935331835 +1359671605 +2064268774 +1744865853 +232559289 +1261438749 +1038765975 +990789862 +1683549668 +1112376132 +1018692533 +1944550121 +2029109808 +1999894646 +1896864830 +1175243696 +1628841064 +796852488 +134079854 +1171908555 +1742193822 +906124011 +1131831011 +675937000 +2087524773 +1347256873 +1529494912 +1763586409 +1457976243 +1551724026 +1382876361 +1245824431 +763911983 +1299661487 +843206636 +996471272 +413616588 +1881972611 +1987261134 +2097166256 +846865095 +858470019 +1894232730 +728491255 +710881017 +1643613912 +1903734951 +192238434 +292982753 +2037814805 +1364146989 +2035176575 +796455168 +348494352 +563629927 +736496294 +1695751225 +2093124840 +352599055 +1006243820 +1497365218 +1735475416 +104584603 +113793553 +887653255 +947791239 +1110264826 +1301269843 +682280202 +950042312 +1250952452 +1529145297 +1808512332 +997701534 +110152904 +371909701 +493831798 +2013887855 +564148135 +786814551 +1904219013 +1928295124 +674507478 +553190533 +129305828 +1238137406 +1289686827 +1825057053 +1183778598 +1642285883 +683817226 +533660168 +1230277651 +788401829 +647453721 +2117930907 +1736193069 +1757718547 +1271717102 +270989623 +560277212 +375185906 +1800134921 +221305896 +1372887440 +1910287825 +593215597 +1866719239 +1776692033 +1157363733 +506050142 +1533427398 +938175209 +1180557621 +2086617931 +1067481038 +271211379 +1228821111 +745054443 +1454989977 +723623346 +1428871669 +1988650145 +1953900997 +69789851 +488620218 +1924348256 +1805982920 +98855118 +1048581711 +2076972543 +659132330 +1423767617 +1729623816 +880438226 +649171410 +1492427994 +1473653823 +368407001 +1121636379 +483533908 +874457143 +507580129 +1421709118 +2055014764 +446714412 +341706508 +178742495 +1675535523 +1086760951 +1633732472 +251675221 +368148973 +1474898969 +58092571 +437938824 +1963519188 +1982440827 +96438096 +2062374306 +883538890 +25926991 +574022988 +159822860 +1755550808 +1454461214 +808994270 +1100495154 +780631389 +1177401271 +74647885 +1264165298 +2051858414 +582228014 +538390768 +1959389531 +1028942426 +880097276 +2138132026 +556994302 +1966858227 +1624380851 +808669523 +187523552 +951796172 +866762094 +625462376 +767831712 +701719274 +721900472 +682722370 +1585258164 +747827464 +1256745358 +1745081024 +355894624 +563722924 +406591646 +1456389778 +1344354314 +1583992917 +1531037663 +461035964 +1488367684 +2113265677 +999426732 +1300273567 +994724455 +1879524008 +1290921945 +1551718757 +1698898587 +767819148 +212904633 +1886422140 +1719615321 +1079666727 +364400868 +339963385 +1781386001 +1086301341 +1022685756 +1219160518 +1834128805 +131947466 +816757894 +42539781 +695670391 +1223349541 +1498929559 +2040024705 +659858810 +882483574 +353577021 +742846 +848265603 +1353003753 +1301016413 +1842990058 +1085044113 +444454711 +1247225168 +636459052 +1212273859 +1460129801 +375397544 +784405532 +392312880 +739798413 +1124368918 +26215234 +1826099754 +2147054674 +1245375752 +1512744911 +131518492 +2062133646 +1555284692 +827188883 +1137999539 +906730603 +719729940 +1797858350 +1789214177 +1073306961 +1798601196 +489996132 +278827066 +952133962 +185502542 +1363871179 +1396588673 +1432727710 +2000330232 +461378884 +745373863 +228244128 +1245784417 +1137686744 +968042541 +222669687 +1163901978 +646658647 +222240713 +261794082 +11919910 +353759205 +176444080 +1567204602 +1180948089 +1314443620 +326451557 +1900678029 +964818322 +2115665734 +826501343 +615935870 +458178218 +1105328409 +1568069832 +643680761 +321715941 +817174857 +2076408471 +174562525 +1278553742 +674298687 +402806653 +376854511 +1811985431 +1370849195 +599524198 +828403761 +2017507842 +821764911 +1090197843 +2029427753 +1175524116 +1266641923 +1449148707 +208988557 +433601895 +1775600265 +2109666587 +1398420217 +1743782351 +788684282 +2014356088 +54476922 +1894012691 +1434942272 +698157683 +68244984 +104633482 +627082506 +242807509 +1383187224 +1301381193 +645614163 +1760041735 +965882976 +2016463358 +212082285 +1794286737 +1886487552 +1033847196 +737000932 +1768431657 +61887664 +2003642856 +1070096717 +270876222 +289761103 +698213334 +233059161 +1688181321 +294512037 +1021743443 +1555053761 +348988959 +768272486 +842512385 +1047146642 +836517471 +947145867 +1674229149 +1079324980 +182849443 +828126694 +1724939143 +1942891178 +1794009671 +1593918853 +7489815 +1440812760 +1332922758 +1041337011 +30330045 +953870767 +1103224676 +2033972901 +2023967484 +1374100898 +176250356 +574697170 +1607160059 +1864431677 +869209208 +481419854 +1272001790 +1218198167 +1249692340 +2114514176 +117861162 +2086209811 +914176395 +1792090311 +1018051144 +1097025839 +472733357 +595506639 +892433369 +119259380 +41941845 +899923185 +1560072141 +1374864603 +1941260196 +1590402186 +181251722 +897001224 +1476891439 +57735559 +123618474 +1653141795 +632432729 +1730778533 +1370089825 +1501641937 +64714739 +494607967 +572356457 +1314407080 +461638495 +690217619 +1253133243 +1375814891 +334824282 +123700739 +325357082 +807557639 +719207379 +1217790451 +926817020 +761149224 +2117713636 +339405513 +2136013827 +1911490185 +1929807699 +169781901 +661007761 +1259215490 +227517460 +784626236 +764873637 +859950190 +367921121 +2134963462 +214108479 +432635861 +482087782 +786464936 +1747042941 +943726277 +1476682555 +852692536 +172057520 +1811506837 +976393276 +497414602 +471580829 +1695600655 +1715205054 +1398397849 +309266231 +1685435042 +1737803362 +297796410 +1449441579 +1520127413 +467578311 +2110449341 +631859255 +695095772 +747591929 +1396732892 +1555045962 +1115513050 +1384212707 +1769154441 +1548148911 +1866300489 +408135730 +1147708204 +662543118 +1884818285 +2000400741 +834600639 +1548841475 +829310369 +1332015241 +2020422304 +377427376 +899736647 +1271336505 +686693607 +437688042 +861656219 +984490017 +1887129621 +234299984 +1452068328 +1850095314 +866159239 +2147164100 +450203595 +115408483 +1554726414 +1565716646 +1499621190 +1176397208 +966381909 +1218438031 +1584532938 +2114090114 +1880981150 +1321867575 +1967007207 +568098141 +723225402 +648833928 +1900113382 +596164058 +1026261304 +652366382 +1867500563 +1712954911 +1090054424 +581673134 +549961280 +829700397 +815973118 +2002029608 +532312064 +1682132357 +2001710061 +982515659 +1797540841 +1408952827 +400748657 +1149678383 +437866387 +1367130567 +220632767 +2022399325 +1333737033 +2101613917 +1196783253 +1153260592 +522228410 +1920008655 +1802094520 +274858144 +368689066 +680872176 +927224526 +88705981 +246343439 +2017278950 +670379116 +796304719 +699495700 +1486352234 +650850679 +1231807764 +1021000944 +505077092 +66839775 +671058137 +1914029920 +467588433 +1820736520 +204412659 +1834719000 +2041369287 +79328337 +1020972385 +1995499556 +1276111590 +26749329 +370244318 +1048636597 +1828843849 +645102463 +1417325663 +362232377 +1572326989 +1506031645 +608575816 +1442122292 +28927113 +1404880535 +2141617992 +1515279347 +2055731214 +1225942108 +388796643 +413324659 +1292781883 +1059854780 +179870931 +1760370316 +733107653 +384283590 +1447605668 +626993292 +463611927 +321094405 +475009201 +1739723517 +347843734 +845253519 +640876467 +29203935 +1490355982 +2058202130 +391436312 +915199324 +1416750127 +1000012128 +209837968 +1445677240 +257409015 +203972312 +813472940 +165656582 +1429914420 +1202269583 +578981241 +575212655 +114640716 +758852172 +188099324 +847748369 +1143135762 +1635704992 +1474741661 +1606747690 +1956799398 +1949750862 +1198987559 +157159484 +647520734 +1839864026 +186363420 +2137876716 +1750582509 +577799732 +905592392 +1019848988 +1577811861 +1115430360 +318042581 +1835220876 +1319402672 +1131515521 +2000877458 +601833444 +186301456 +432375051 +1177046100 +300942172 +1191227223 +1365145424 +1148690541 +186879338 +853366768 +475948555 +1793627028 +662682518 +278215769 +845130939 +819842003 +925736503 +537511318 +1006205423 +916129572 +140610179 +1584005155 +1821721964 +1160459167 +1014333368 +789668677 +1478501748 +702070597 +2109071349 +462533621 +555464407 +563421146 +648835078 +987839459 +1740467246 +949777250 +31583034 +958129022 +2098467792 +218462372 +1811495790 +426932699 +2012089400 +326694661 +705148468 +709736692 +1146536664 +1630884972 +1247248010 +5258439 +399530896 +1387858189 +1589263594 +73769212 +400833708 +456113315 +863437889 +1879335457 +1158183912 +825025591 +194385430 +1713648319 +1388446737 +843220508 +554004130 +981430335 +1792997759 +585587165 +1939559357 +1743981903 +804049537 +1603571499 +23430954 +668655290 +1930266160 +728579422 +1378391982 +929319176 +211980746 +478156344 +934577615 +611511642 +1866014533 +376357562 +685280855 +119364593 +832470877 +1548718744 +1998700050 +1990654789 +226260687 +45601833 +1556819460 +1614707424 +888822341 +2110823591 +448654111 +534336452 +548927108 +240729820 +130834707 +1352976645 +1844301320 +154265661 +2021631935 +1627083832 +882845084 +1252540269 +408919361 +1094825830 +1730696613 +1343496976 +1706337473 +1449227498 +1719854538 +244134680 +1568592092 +404841767 +1792853424 +1419808494 +248012908 +2019114112 +1465410327 +1804832369 +1486337888 +206749021 +1768172312 +1934992000 +741085473 +169615772 +28238172 +871920181 +1522592417 +1872539492 +1026185842 +1396740705 +1352139677 +1909030926 +501797326 +1761059038 +856373109 +85010292 +957072366 +415226934 +1534237790 +529443257 +659361614 +955346234 +934285024 +304731390 +227671081 +1182297933 +176361854 +1693081408 +839646654 +1662699743 +1899830429 +460335318 +1450208095 +493432255 +629951090 +1478446267 +1365352436 +5059859 +1203502112 +244054630 +1401800564 +408158141 +5601909 +1903597891 +21733531 +861975018 +1988608183 +978805897 +1277201952 +1375362325 +1508249154 +1936563566 +183224912 +295050531 +93811308 +410895993 +1477348464 +270173163 +2103977401 +169511470 +1932872906 +1856324183 +629846788 +1235597353 +202272790 +1259797878 +566559972 +1567625226 +1264857737 +1770062084 +1811679856 +519174654 +30736577 +1817281765 +275288897 +52470108 +531773135 +116413432 +1031276006 +1808975087 +1491775757 +392041512 +1598055005 +1675000669 +687092043 +1691866314 +2085896662 +16956859 +1962039477 +2042390416 +186468329 +1747428735 +1751230951 +816315117 +835542440 +1953503741 +2076112995 +1402102412 +1373645319 +1193487085 +1024680849 +1037841527 +1712661739 +1055417426 +707639645 +1987950636 +1107887535 +1239412780 +2104364068 +2139163541 +900904220 +1448656177 +383721405 +351475577 +976173199 +1070813449 +2043341891 +914586213 +1087770308 +1857897720 +809492981 +1274238638 +1457842807 +413240284 +2090553755 +145901599 +219260377 +2019183103 +1548004012 +1592905696 +1065186540 +425201213 +483263576 +630364631 +1480618639 +1190903221 +470831619 +441022526 +282832353 +427712039 +432702419 +1183736573 +1876368216 +816423825 +1535212151 +705057767 +1887237274 +1431070394 +1619643981 +827523934 +1141484467 +281653314 +2101762572 +451843626 +694893599 +2044832680 +597745226 +914153976 +1916532135 +2145749238 +359576025 +834235027 +423466803 +842839601 +1464599658 +1904085442 +2033742822 +1935431277 +197624321 +169091527 +215659668 +630326740 +1352828101 +2092027884 +1446750565 +740556604 +649602004 +1186504191 +24143350 +121762337 +2014028126 +1165627817 +403415651 +1968307050 +1617471444 +1098309250 +1865656082 +67733022 +2012463227 +1634704569 +65998612 +224555604 +321455948 +489465415 +1067395205 +1786055606 +246067209 +953654379 +1574003235 +443691530 +1122745906 +1789662903 +1074018271 +328090359 +1734207140 +373285188 +1068646963 +236325496 +1559789380 +1092790314 +358087833 +1426333858 +110934483 +761503484 +1247157260 +1728405927 +1859812735 +965329695 +1796138949 +1724792314 +452550616 +1862137561 +1949347918 +774006565 +204119328 +869259475 +412578523 +450186538 +1822913854 +1986581759 +893878068 +798176112 +1628761014 +1967896339 +1126266472 +1215484506 +193697880 +47429787 +1451810002 +1753487260 +1140220101 +1809897835 +1032337470 +1251154585 +423917672 +132011082 +832076864 +136246759 +1097340777 +480732166 +1861039073 +1549891394 +195386079 +1662903343 +176414311 +399505408 +384679170 +588992834 +849691946 +60109376 +428090945 +1743570014 +858285488 +2056851960 +1563982706 +1984551960 +1124852818 +1757680586 +2031981748 +429179173 +1363684198 +1024718201 +91593360 +248538020 +128389138 +515511032 +380549102 +960466003 +651757791 +1477889880 +1441198169 +365313216 +880297626 +1636584248 +2028216559 +1056711937 +2036089656 +265412081 +1645704771 +738297954 +325521457 +2073795717 +334384321 +1183806946 +1983164029 +1898367027 +1020875258 +960533199 +1508563965 +905373358 +1389712372 +724764515 +1930091560 +1481305733 +973302535 +2058480698 +1996816765 +1353851637 +871463053 +501090909 +684257869 +165177574 +866404125 +1564555495 +1801761823 +747137037 +473783784 +1690367831 +1012549118 +2119488556 +281182138 +1338070576 +2045800625 +615566459 +374393874 +1881481006 +366449838 +1395269132 +694530557 +1875013803 +153158843 +2084242930 +452294670 +2083250403 +1418065015 +1425597205 +1994247453 +1267398132 +631965194 +718226859 +1768489041 +1316223064 +883404433 +487409519 +733294911 +537682608 +1234546556 +1207078696 +80566792 +99612026 +1179083604 +361748930 +1437682602 +1077400581 +977315389 +1812076476 +811397939 +1343765227 +1059861961 +1505928496 +1071295382 +1213020804 +1442687778 +1523590052 +1148787559 +713269145 +801703609 +995551364 +1980667278 +1433668803 +1713778223 +1601672671 +602408219 +449699009 +2089082190 +1335703131 +987381617 +1176145098 +395298179 +1067948409 +1275757125 +1574381783 +1429697339 +565956079 +504298716 +259529080 +230548908 +1315696655 +1603294307 +1290410869 +674141503 +527106041 +355948025 +2116829282 +2050696093 +1504735584 +682614779 +704916054 +352803300 +515798409 +2138584858 +2066581524 +2117471081 +593509429 +368796885 +2059069623 +1929212560 +1356178502 +1087731074 +177027091 +276643264 +216004551 +1751408874 +1706340603 +781960630 +108223942 +1965869684 +1012509538 +1423920597 +1421680343 +155436759 +2098062101 +1948786385 +511384784 +2067407735 +1851998830 +2016120368 +602538866 +409431237 +221440021 +1118337276 +400532447 +140537897 +1088324709 +994041876 +509334782 +999910684 +775770789 +1865513284 +2087641758 +952797880 +2142156548 +156162661 +556723107 +1701013504 +938123292 +664947049 +1519399540 +1950632830 +2088867647 +793596235 +2106069590 +2039446100 +594898972 +469970726 +1959370187 +299414155 +338607447 +414425405 +708845392 +560047468 +1532762681 +1109377839 +700585365 +473603742 +2103419715 +1209920147 +1473514427 +731706856 +927949783 +1413672537 +1684504737 +922622684 +1569835199 +93744196 +476152540 +360474843 +758691245 +1995552080 +163624025 +700075244 +641664667 +122209967 +592037696 +1236563640 +592180694 +403924235 +1535977795 +930788141 +818349641 +97339539 +1490835609 +203628674 +1206717378 +43937326 +677232417 +1162653445 +1253857473 +3263196 +1894360302 +34323608 +1416935733 +1431381391 +956946292 +839287284 +1525125587 +1433098832 +1199762127 +136333184 +1281167264 +1363386153 +836408429 +1922831932 +1485596120 +1428446125 +1011911924 +2077776814 +1832370361 +400406071 +861081307 +503236354 +497745610 +204433268 +706865028 +1704462988 +248370594 +1384097445 +719632785 +1502228067 +1387360641 +466509439 +1536551676 +656812727 +1897890830 +346014320 +1496100011 +1275532769 +1779113153 +548378491 +1411865954 +912796769 +1911764644 +100790735 +688145053 +1249877116 +1529236860 +1700056977 +1180170283 +1214123573 +2100463048 +2041251590 +1717359927 +450725010 +98201211 +276741308 +7704350 +346571805 +1660838753 +727337136 +1848799873 +900715747 +1193846575 +1237867901 +1557528474 +944253758 +1583882221 +906144837 +72302879 +1215511726 +1454523328 +1484168833 +2128308496 +1218804324 +1584959568 +668969901 +321197793 +966712781 +221543231 +1501368076 +33352706 +174522631 +1395136018 +1750712634 +625247642 +1493337229 +2027453942 +632951992 +1839909035 +1540809047 +1360289128 +1541225260 +294041146 +406652056 +631609513 +1851569620 +1350905814 +68008086 +610230810 +1423208693 +1283519813 +2064754138 +759893879 +1264344661 +1136074815 +197369799 +1933314562 +1457272608 +1164082580 +7374145 +811157036 +1197435287 +181896777 +58809406 +800664273 +807144419 +1552146636 +680634567 +1440096411 +1244572023 +73959966 +652901892 +638313635 +368001113 +1059553948 +1269923148 +72087085 +262976114 +1337931234 +682317895 +1686184807 +473967399 +599588386 +298595038 +1738312060 +1735663201 +495964838 +1524142975 +1045452161 +1660047418 +1531517120 +1856609197 +709999057 +1713413897 +1915418603 +1510663330 +373074668 +1320081591 +43814249 +1813171080 +417169966 +117774216 +318589324 +1055483601 +485775329 +1378143272 +177923101 +557862414 +1641119386 +1515854336 +1240180310 +1179820545 +1989821735 +1839768696 +1478415584 +1580650148 +1427948249 +1974380422 +957309475 +325916762 +1486944192 +341342947 +35042311 +49459602 +2054756845 +1950460914 +1560122932 +280347865 +1123058858 +1603937182 +2093518945 +1540228824 +1721711398 +264624621 +448228778 +60003079 +1642767893 +626151879 +617865493 +1136403631 +2142006215 +1858045803 +168740529 +1984344303 +1550330851 +1647156113 +1417510803 +830795452 +1474052887 +227336630 +1156712214 +813513431 +568679577 +1191754525 +862973033 +475952774 +994731792 +275612318 +756300640 +2117790650 +1879549500 +702335937 +1510535826 +1453777250 +966960559 +1958764604 +1513780329 +462244804 +437432836 +2131645822 +1598648436 +431955403 +1842207978 +1767388965 +268816058 +1245055181 +1267061430 +1686326861 +2075850634 +593630669 +1913663491 +1085079200 +1407144100 +334859421 +129350078 +122633486 +810812195 +1124081870 +398245804 +1567112835 +1094388872 +130311656 +121965125 +457441050 +1584088906 +1088925684 +268722007 +950385587 +1551170488 +706154843 +934547761 +1002335276 +1138110246 +629272091 +622240593 +1406926305 +1874327273 +1889302023 +945769518 +1802694259 +335449044 +711949362 +740289811 +1742593145 +1046808783 +869639889 +1865226631 +1857620978 +1993721759 +115988787 +1277250166 +940626983 +246300443 +1399215291 +1398068034 +1830389349 +340657327 +1666790041 +633291288 +1891827815 +225461236 +1567839049 +746679444 +1363571482 +49627493 +1368920037 +623014139 +1923954766 +1110738413 +1568783658 +1579165377 +1446187457 +133249372 +171971540 +1041296954 +1180058155 +1041611430 +759039937 +890195485 +887849541 +875028724 +19962003 +1828476525 +1121329167 +1419177294 +1079060911 +804234868 +1759834621 +598367304 +1437526156 +1504178789 +823828540 +857881558 +103374585 +39916374 +907509051 +1472294622 +662930514 +683980169 +435549387 +84230524 +115661898 +1881736845 +217479896 +287633438 +775550151 +1397538051 +1329244868 +1534590089 +140249888 +69610762 +262135165 +160211892 +1898087287 +1383464333 +1579389186 +829664550 +40215553 +1191740160 +1428031854 +1477741710 +548435301 +104376746 +188139620 +651809886 +144293120 +1095648671 +2124104508 +807223634 +1779628840 +412170248 +891454158 +1895290738 +146423445 +1108934054 +35440528 +921973596 +358988457 +1364685397 +309080037 +499238346 +1434296159 +571215203 +659450238 +1184899798 +1954679536 +91355776 +2014564348 +1994895089 +1283095936 +1295112554 +1325153151 +1831531237 +1399489300 +1513292771 +335857475 +1543782420 +461457794 +312478336 +203522407 +93602986 +724648584 +1094976565 +1988893724 +871072029 +56426972 +2024334253 +1793045625 +415415429 +1241536002 +2102125663 +914653775 +528348513 +525857218 +1574104013 +1713248311 +333053106 +1665459790 +1580329011 +180464547 +801072078 +727957917 +1505617699 +485119668 +2127447217 +871426822 +820977143 +1523745989 +1332884617 +1133455479 +1727268396 +1426487603 +1858104063 +674761314 +1267897680 +581692444 +731188286 +1144748285 +227254422 +1146603715 +238800639 +181896437 +2061257491 +767149152 +707753655 +1487877856 +332913815 +1040806761 +1005853998 +1913242826 +1221271308 +1806926077 +493717095 +579405359 +144562097 +473680664 +1450832182 +965539240 +1997426653 +636233151 +2098994720 +1577211402 +2062720754 +1809615135 +104489068 +1183134786 +243823932 +835677354 +180399423 +471078354 +1982281069 +419200062 +652974791 +1896054912 +1186349214 +1360728446 +1236449121 +1519263029 +254051559 +94819471 +1285022207 +1475322867 +1901745548 +1778739302 +2054728227 +2046307645 +104936318 +1358076761 +864363238 +2102362972 +1994309912 +815874310 +1532090726 +1909547018 +478005797 +1636579794 +945198157 +721829729 +324773500 +1125597580 +1192908083 +159570921 +1544797643 +1845882874 +2055625834 +583663209 +1059127672 +1144591307 +2102926239 +1313179231 +1239410778 +1240464798 +641018451 +993672679 +871720453 +548263030 +892496676 +976656771 +1906339791 +1756859914 +931536095 +1753166055 +425250576 +316143173 +1515229425 +903256374 +1952722967 +312943934 +1625086103 +130012819 +1438541515 +670510539 +289583741 +835855510 +368909765 +197725927 +1419518719 +1428037438 +1342317234 +1374961310 +593733021 +434244364 +467942461 +1234751472 +1427917043 +1339662914 +1783014502 +172930072 +168836037 +1541870645 +1929789986 +1100372133 +1147553052 +207556915 +1416515306 +515298830 +1110813289 +1221754626 +828242764 +588415744 +1351767445 +119300631 +1258926283 +1641351186 +955156141 +1627836049 +1839077113 +227191213 +908389839 +1033910699 +1602152523 +1502122860 +1468155064 +2070094984 +589390685 +748588459 +1262274250 +224921539 +921518531 +1431110288 +1766792185 +703824870 +383998773 +766861589 +911381785 +1800514079 +1282160419 +2022195074 +874785057 +2110403184 +463127170 +79068855 +82220167 +1722053454 +1720420041 +1037376309 +1202405855 +1412013507 +1264567522 +2110795694 +298440558 +719236397 +1465434906 +1766595622 +641847734 +2054825591 +367700434 +1904121984 +132263483 +1289218965 +1187748624 +1899055668 +1993043835 +1571747397 +518433609 +756941972 +1224777829 +1800594029 +631653398 +2099562886 +1763513565 +1094780569 +31148093 +1845733732 +669350375 +1751568135 +735626393 +1871756230 +1016097994 +2000193915 +1835068276 +1314538552 +571946665 +1153019534 +933650527 +1213794399 +1060361478 +1301350961 +970432735 +1192624961 +443086278 +10697712 +944196981 +288646466 +1582445109 +1462630590 +1045588438 +659739290 +1115740971 +1677241837 +611818529 +731770888 +624538758 +642966622 +430020973 +1293889133 +247051109 +1165647366 +1018161715 +1263149103 +1018357634 +705746343 +430204008 +1590304299 +1858765877 +1363854535 +656615050 +771643707 +517721848 +1627047785 +1964268668 +960808126 +1637745497 +760982001 +1249454592 +1072706959 +76128944 +147559383 +1732446249 +1191869915 +1824801220 +196781130 +1923640804 +301856330 +839747753 +206178129 +1595745463 +1086798862 +1371825495 +466423530 +202464318 +242699481 +1172169873 +632668326 +1833003780 +883452102 +1996522861 +342135182 +1655095810 +366761061 +1969182968 +1471880830 +1327569187 +1459444817 +85379184 +429540132 +384668128 +161508128 +577099515 +2117114378 +1353378043 +254417087 +166411860 +1129535199 +556273417 +1006159613 +1335713328 +4535232 +2092958476 +560055176 +470958762 +147939146 +802754657 +1643128635 +780607472 +488274790 +379097089 +629646685 +830409972 +2034192899 +996407746 +652109292 +1358590082 +176493285 +2111554110 +1443969266 +606033417 +348738590 +1605477394 +1183132932 +318369320 +811371789 +1437550019 +484781181 +1940906989 +1993823436 +1490940794 +1129136669 +1998358668 +1436415622 +1689191845 +321833782 +1584354768 +344462855 +1964962417 +217478592 +832737645 +196575859 +847125277 +1663147617 +83285110 +1843533023 +167773262 +1441875192 +2020026309 +131843724 +738360810 +478576078 +480582314 +196354556 +1661709011 +798951635 +1007726346 +951775382 +1283732816 +801149687 +798115171 +627189962 +1930286356 +648990191 +2063605585 +1471994554 +970823974 +1500476705 +1816457409 +788302743 +1717955298 +501711406 +984878602 +417596927 +17375375 +1068163713 +113646303 +185148637 +362555257 +2133672612 +316992361 +1100916068 +464765042 +797574676 +1297270624 +2126474053 +1596526311 +157513322 +930765788 +732775479 +958663009 +1728880959 +1359965441 +741465718 +230387502 +1276087378 +65976624 +1201211476 +629080436 +1882434033 +1989514220 +199552086 +236661791 +826909174 +617149013 +254037166 +1895072887 +730795316 +439185804 +110144497 +716984280 +756178165 +1211060565 +1181749323 +1553752841 +360847541 +1160739728 +1002795504 +518360864 +2091505516 +1735570983 +1477023873 +1672902827 +948052777 +71005943 +1903290330 +76656507 +136982567 +957018158 +705736943 +2019416600 +799048730 +905289029 +108594743 +1625957905 +1522438043 +362631910 +1373547144 +105749711 +801817714 +1483691641 +822733992 +1557995879 +547268558 +2004483315 +964265073 +908116100 +1017739395 +1967060577 +1426476964 +961761264 +1555147913 +756017189 +487180443 +355717042 +827023133 +242987125 +432373549 +964005700 +1200005284 +1138110493 +835938653 +1999054014 +2043399522 +944533396 +1477528271 +1418353917 +1307165306 +703591768 +1524103629 +2108983020 +39799761 +199353973 +1519495252 +587068320 +56353640 +336276677 +1495184420 +1074093035 +155853606 +774177736 +2035854299 +1711001519 +1530194925 +375551095 +2066718561 +209734410 +618538220 +351608463 +1173740111 +1818543504 +1489718956 +2009678764 +1670113871 +1385634830 +806728512 +1000158494 +656505100 +2113893819 +1703750262 +33125081 +2075393191 +1743550024 +232479054 +1447404795 +183134696 +288832694 +1783681472 +1678319116 +1362925729 +1939535079 +305013204 +1251296381 +1503052950 +1835208129 +1626847476 +1422287864 +2044942540 +97902048 +1773896327 +1071199003 +1916445553 +1116131635 +933394119 +1439075776 +354282817 +1740122631 +291750622 +1010787917 +1706532802 +1995500885 +1043912998 +1634442346 +1591567261 +1276392052 +934363493 +1774701957 +1565224746 +570561318 +1305537425 +780666828 +362612749 +1610550629 +2031963209 +1865665699 +1298275110 +1511327037 +1140469915 +1195734002 +1609229085 +766882594 +119449357 +1378190990 +1883014229 +1052843476 +669783118 +89813399 +645482460 +961533741 +1100601316 +204531614 +809550978 +2144514315 +1838973960 +253634591 +1273422719 +625853806 +2028336548 +691163818 +1196415124 +1186390325 +1471830646 +1559027873 +649457306 +1356310207 +1277209924 +1947732416 +720153596 +270196192 +995982771 +181899033 +1037078786 +1115432128 +1560090024 +772609368 +20791957 +82389494 +862422767 +666274417 +1043923235 +1963024083 +870806031 +1853474213 +1960054750 +562296344 +2107108804 +1085993822 +1188150150 +1987961704 +1777157640 +237081626 +1026868381 +1101504638 +1796109499 +1676325687 +310331197 +925835775 +1476574456 +1030484793 +1196031967 +325073579 +1212383826 +85627106 +1440505707 +624990202 +858236474 +1461297664 +707379697 +1720659241 +2127572081 +1751302932 +1536199676 +850894465 +1457293498 +1348770779 +1413190809 +1416918654 +287280953 +453857311 +1257396711 +2064438593 +690938937 +136781444 +1018459583 +339564788 +1813107132 +1328790780 +1265400563 +1142197940 +211791925 +313948883 +1467271519 +1424175751 +399575989 +760293578 +2049165954 +1257812463 +74107595 +609062003 +830988056 +54196028 +212881287 +219704084 +905090493 +1670174785 +1568474863 +170797654 +939609792 +1855755816 +624654965 +49522855 +1772710761 +1315593902 +186304299 +643686696 +1655158690 +1999411431 +1972477476 +773075606 +994125723 +36785753 +1087024489 +313913594 +1460961505 +1486600478 +1074207173 +1362643811 +596929293 +1148314768 +1971705814 +1427917349 +1202510796 +37103453 +1647621433 +2107601290 +1707278239 +1068612649 +130915296 +499404383 +776884817 +755570262 +548927238 +402111931 +2071164164 +735231537 +1045798627 +1578839207 +587159321 +870792456 +204431165 +1581285044 +907578209 +1291455654 +1895198639 +221056066 +630572484 +821922164 +1583699877 +1227501777 +1970236932 +1407922043 +507935478 +1025264080 +1445025497 +8073263 +985381722 +1004820088 +1076685912 +1116297019 +1504224471 +1853570730 +1871867281 +2053151709 +108199013 +1795547797 +640899598 +1153997640 +1226903356 +1228058919 +2024790096 +1431334521 +661860316 +784884658 +575306527 +409575307 +1005940724 +1205879011 +1231497471 +442156954 +285897140 +1054250755 +1850078997 +793832618 +2079514835 +1147620846 +801905882 +917412910 +4957286 +1878591794 +2033709929 +1509181757 +1584678876 +1758093562 +1414849818 +1692877889 +1406157711 +2055749417 +699391882 +485577420 +1136324688 +576698330 +1916911941 +1798185004 +1361582988 +344734821 +60276663 +220040065 +1550613832 +1291774134 +662197019 +1836510973 +198541241 +364792368 +482859943 +130572429 +1512413215 +1284765825 +1047985339 +1517370501 +1015873972 +934211620 +879068611 +453069200 +544821534 +146434781 +2145947090 +1950979245 +54700550 +697855324 +289073017 +1191025239 +1274553654 +58501311 +841726595 +488652995 +403236132 +902003259 +708693060 +1953849964 +46293745 +1370890079 +1642877289 +244834987 +1735682447 +2125737233 +375407416 +1100612014 +1263019410 +1423392755 +470498868 +131409734 +210120727 +1349567479 +584478935 +754942261 +1496002260 +582942377 +558437858 +1550702811 +1280797701 +847510876 +594244402 +407867707 +906012187 +1435970997 +896520702 +1309248319 +190490608 +1605213762 +1115614635 +236784354 +828620193 +611008277 +481619341 +416818993 +589261862 +857026757 +1517431007 +1852281272 +132935864 +1987929875 +1983691007 +343056591 +1190013706 +420686294 +1097998852 +538532319 +1003628671 +1656436710 +2089235130 +136942724 +356463938 +535995884 +544810431 +1262476125 +1971966881 +1441331134 +424240796 +14973842 +899061248 +1539855432 +251758196 +1727681442 +3380061 +733377537 +2144500435 +592641923 +1590404294 +1514447794 +297439547 +1723340158 +1354894022 +133646906 +2066396749 +397424080 +554333200 +1016911953 +935956399 +1557961871 +525865015 +877707881 +1694904595 +882328954 +1413703765 +92231379 +2144805079 +1238186999 +1533562513 +421562228 +1253160841 +285140113 +1961417660 +1504919037 +2012821555 +1964797721 +90812926 +2009838342 +409955996 +1681217220 +1376802489 +707395543 +1257073730 +584212863 +841042450 +1175986831 +981636943 +1395375650 +45415136 +1917593343 +805853874 +571280151 +647817576 +353274821 +1453609105 +2061521342 +445506200 +1450930537 +1152224693 +1979068713 +1872492765 +257901886 +116725179 +1686426777 +1762820923 +2129546734 +1503740850 +1853633849 +1991901429 +1913696846 +1387367421 +1221220270 +473608741 +496957503 +1805433133 +1314651191 +1672944334 +639586428 +562543194 +1718359470 +409696123 +1368397068 +142155973 +1057513700 +1721671889 +1595765079 +971551394 +19694442 +899211968 +2123776087 +1998763155 +624221085 +234194325 +2115488334 +163164214 +1997015248 +2097551421 +1666905064 +1703165449 +1941969202 +1433118262 +943049222 +1015705824 +1906727003 +1440006725 +673655309 +1073894547 +965467411 +1313241737 +1636437741 +536343233 +1722937861 +857351161 +678499206 +632967913 +431539402 +126780637 +1604519307 +451233844 +1025992605 +1580811746 +302513352 +1650213690 +1815006071 +270518038 +1813377904 +1664537671 +220585811 +1332799320 +1220219472 +15071365 +618433934 +15785046 +1030777189 +377677290 +1455791771 +1704432498 +1451571837 +273775534 +870190588 +940525930 +810118767 +445644801 +1797877091 +1488617973 +1078612714 +81932845 +1615398611 +535648373 +533166690 +493907568 +2116460119 +835680042 +2144121259 +1783982542 +1106198080 +1810015515 +1301036565 +1326783892 +995331188 +373772389 +1341855257 +1613765122 +389557435 +225148799 +1991442412 +1845349206 +1929581297 +1295530601 +2119124740 +652288237 +88572883 +781759859 +1097933038 +1886449974 +122894184 +29062104 +1968382820 +1738292795 +564710477 +354065862 +84716716 +533686948 +1189745904 +81354327 +170185842 +148460336 +1891369842 +1471222407 +1475244228 +739217382 +1844994796 +669615838 +205498857 +87068583 +894764637 +49457621 +1932417789 +676862286 +1344988223 +1904058881 +1329150524 +1433561106 +538335092 +279599914 +1172527433 +661229277 +308662019 +993426605 +252038424 +873372496 +1347492467 +336755140 +1407059445 +389754723 +418109467 +1577245287 +538215059 +161995662 +900984047 +2013459288 +901213044 +598495195 +535591478 +1106711901 +685563779 +1430356115 +1156169523 +470497920 +2107218401 +353674098 +227073154 +1288885277 +1787235204 +765408246 +1568485192 +812278989 +1426637523 +1877147211 +1805705594 +1678675948 +603036059 +1005714413 +2015431088 +2010095504 +1395469136 +286056908 +1439857144 +1933684196 +448052570 +193357543 +1799659836 +1349265614 +791852738 +187767666 +308493868 +1477416517 +1618123781 +1464663391 +1947914438 +1577858534 +1818337489 +27503944 +719260164 +1458089045 +792912190 +140261708 +122884387 +72066066 +2017408919 +1928589981 +1750742014 +472961330 +786820747 +1618689454 +335573187 +34806235 +1904746362 +1775430331 +1968490431 +205315284 +1968787874 +1620666619 +1554580899 +613156964 +1808434285 +1863074767 +2090573482 +1279074418 +1180254510 +1891004272 +709449305 +851108351 +1918508216 +1428709469 +161713748 +563936758 +1568971177 +284598135 +636002824 +1438896448 +65704469 +239261190 +1911857778 +852525216 +1857950645 +99947317 +887331451 +1615213359 +1875377648 +708338235 +1820528644 +1696681874 +181521206 +1227625895 +162355191 +1989955492 +943217014 +105445025 +1121546262 +2123471524 +1996449297 +1830995567 +827096227 +1767473865 +1112221388 +988809975 +183926975 +533708917 +1273408111 +819929800 +1972605365 +1339112580 +1059190990 +1736979496 +44154148 +769657987 +1836926813 +931485599 +237387699 +1564820814 +1639823834 +2057916343 +1114019040 +1821345041 +1138058590 +1276374231 +1663816885 +2081275604 +1381819256 +637879499 +2057263480 +1230784905 +321391419 +736876059 +850775122 +1433612807 +1725686034 +1034702098 +1967321725 +851610497 +1854631898 +1792443442 +43239429 +766339240 +1381939290 +87393577 +1535997228 +1071382456 +1018879177 +1773384927 +488719622 +511219363 +1683817622 +1602738662 +185080756 +674392564 +731629246 +1848897641 +608184520 +2113448502 +339293493 +517964352 +1196749760 +660684912 +1254840411 +2047524882 +2094297719 +833042797 +934743332 +1914135796 +1684653295 +641891582 +1559095591 +1727892724 +1408230823 +793551233 +1815286302 +796744403 +1864933689 +686681831 +422645682 +206169663 +1197901194 +2106463304 +1808908326 +1382981951 +633372220 +393053924 +1084395944 +1241556740 +359018778 +1423689437 +1759521092 +1555768538 +2084374349 +866877855 +1455809773 +2031188421 +1699920652 +243069457 +1797840569 +1237090299 +884961040 +1209452512 +817499376 +145708215 +2003003746 +485302030 +942452618 +1720453787 +1171983861 +1365098300 +1926623451 +222401407 +1324077956 +1588048129 +1605383358 +1957450176 +1981102053 +542295655 +1051523268 +192637183 +1965985092 +663560712 +1748405722 +1902875794 +1530438567 +1056731847 +1786580567 +1082875571 +1299801304 +1436937488 +172482223 +37278696 +498906353 +989981599 +182986911 +354426451 +1475283629 +1125439529 +2074880238 +499783842 +343054181 +1854020041 +722185249 +1667132137 +1294584522 +180084960 +1477098665 +1128202927 +722380615 +381138285 +1320840111 +540882059 +1044698997 +921762185 +296274205 +427653916 +1978494032 +2082854772 +1510529488 +1130811688 +1372308613 +1683011711 +1168090385 +1871214966 +525509662 +1351077296 +78157769 +2000793291 +329033178 +5554359 +353093485 +672087359 +1859574401 +1075278734 +191735849 +1006675275 +1255363694 +1668834514 +2134878203 +1977744309 +2049972800 +1308234666 +371142721 +947188149 +82513203 +667416926 +1374842066 +2061007235 +602788051 +737887906 +1044335275 +1975096664 +273415969 +64942012 +1698827982 +798925631 +1416019309 +1776985751 +652235274 +1745052487 +1782540110 +1005328759 +269656198 +1494630863 +2080607493 +461392047 +353822491 +1188487540 +2130226562 +341217046 +1018748201 +2032715714 +1649451712 +1389890922 +832420215 +1731964915 +2057307849 +59778633 +1645488502 +512612252 +797666539 +542340129 +340225268 +1071082508 +607282142 +2039053250 +1870008139 +2023301451 +1668555353 +374759765 +1620870290 +1303611815 +1380088524 +1890526488 +650759031 +1313212370 +204434888 +1004581522 +354216262 +187177802 +1345798568 +1372964463 +72409868 +847766632 +615371738 +904830083 +432247899 +525195939 +964608717 +2077736401 +1037808191 +1762275256 +472592882 +1378033459 +685874117 +1079875024 +1269603061 +408398608 +955692827 +790674766 +783158374 +429079469 +2094286581 +15763250 +172122310 +597561964 +1328975620 +376557198 +1602143486 +1683191882 +563735000 +800458406 +908672698 +636144868 +1648225038 +1524044436 +1540974951 +2080472937 +2049240375 +358100020 +2010725690 +939564918 +2120375277 +335834925 +170114729 +658765746 +1415709949 +1439717790 +1067164354 +223919129 +82908908 +1850322728 +652998598 +29711841 +1866085979 +825120908 +627273806 +1047577951 +1201678106 +81933644 +583286186 +1765413106 +882392051 +1491958884 +254074326 +383133441 +868519672 +1795049278 +316122731 +770276399 +5665650 +179364773 +1709841317 +2126040927 +515199698 +1879956046 +637323025 +1930909648 +1172190188 +1704487380 +7345129 +1255099096 +1407326460 +660343727 +1284810937 +1125928791 +1485464636 +1912084743 +26023095 +539659094 +1994018388 +609309281 +157588553 +728926791 +2101268165 +411662879 +1112060232 +822304189 +59228509 +1428182963 +1592580588 +64894160 +1607547737 +1154938257 +43451439 +2122747435 +887410655 +680774465 +1906173435 +2059600843 +237778197 +1913518564 +1167216291 +1645104657 +426378644 +304543580 +623549801 +1911843280 +69144676 +649572896 +304018726 +2063163064 +1258882177 +461607279 +644606207 +1212666694 +873270159 +1756666439 +2034970883 +932498668 +1037365755 +1480067823 +997392828 +497429844 +487522432 +1040844268 +472693631 +1374933087 +1721618733 +231383419 +1287050282 +1959396930 +2144901983 +306782925 +1457017939 +423796979 +611326505 +2080567740 +188156611 +680471181 +582656988 +492175338 +596150597 +1841539165 +953782617 +1240756804 +906722211 +1827052776 +849939596 +794209446 +612067797 +1887305351 +126793621 +1609460625 +237251547 +614316053 +502821245 +709945178 +1989249140 +76956330 +941328597 +1128815774 +2036353260 +938746933 +1435598699 +1345887552 +1362543912 +2046925205 +1278971644 +1550700524 +579912738 +1861628633 +2042875862 +1176063336 +1555684150 +849174831 +269336492 +314922714 +528743960 +1119276088 +1109132160 +1140811757 +859097791 +1235925782 +602788734 +1096349338 +1850241835 +1105609980 +1806294517 +1692007328 +1182566310 +600139466 +673339454 +1071435923 +1538886399 +2108938154 +269839827 +753946664 +2008379711 +1548811471 +157163540 +440808801 +1262956456 +52555754 +1616872137 +671156959 +901730585 +1886208630 +986079673 +1430474545 +858001070 +2095211833 +423802654 +1717098862 +1183653967 +1026591389 +665964552 +886412155 +2132201369 +324775421 +430935835 +1167284031 +924914888 +1104275289 +91236306 +316317639 +1065729795 +361076133 +1070264303 +926625858 +1909887605 +1227427843 +1367434660 +1025360413 +1279983597 +836823149 +1696517372 +34230535 +575548131 +535113397 +1464705080 +1433549202 +482841583 +1888507735 +1003164416 +1666495550 +767615476 +1669128968 +405424057 +752333197 +1993904390 +836359892 +1919617228 +771335630 +1940635182 +2010853535 +1087653269 +858881329 +224446020 +10433925 +1785507188 +2134333625 +1237861768 +1005458200 +1012210391 +370361718 +1842281349 +561244115 +404592253 +270345833 +1096357513 +1869297333 +1703895035 +1579199096 +1610321420 +559575803 +1098210998 +230453248 +81221123 +1503635056 +982786445 +2075125513 +192511300 +754920026 +698977495 +2133146482 +618289913 +1786630765 +844544164 +842735933 +1797064690 +482567704 +829585911 +887442810 +1488025904 +1841796302 +1257804528 +1182823605 +255556769 +1662396781 +1453169438 +1351914282 +1384210467 +1009580825 +783629730 +847048239 +1569156628 +1881840729 +1077501488 +1650377752 +1237992137 +2060287933 +1578019617 +1430503437 +667724311 +129513465 +1416166272 +1286014224 +1916144230 +113226788 +2128750158 +1565725272 +595794492 +810852421 +305684434 +2083820396 +505165075 +1563488963 +1119160353 +760721844 +1078402096 +424846144 +2112636127 +315128915 +1434426969 +748782209 +1162177155 +856099950 +483139290 +92194995 +358994054 +1721131427 +4999280 +1937013671 +1004151217 +672723592 +2066527136 +272833841 +1958737816 +1835187718 +386060629 +1940004326 +1253429342 +981855121 +603373099 +1559113777 +918191869 +1108538174 +975119092 +2037352222 +1869260019 +2053521188 +314714718 +1834412498 +221166456 +1749141688 +435711059 +1383343611 +457757990 +918850350 +1475538606 +816752044 +492498129 +1480537886 +606282067 +1496649346 +5777830 +525325556 +1769483187 +1964515647 +213029626 +8060168 +1757036325 +1466458969 +989915289 +212925777 +878089098 +1908107158 +1321463951 +1853208190 +1797975733 +1043240322 +1759245730 +2112690451 +730169172 +1980412186 +1714348491 +1165880232 +1216272149 +24622833 +2084730582 +544327107 +841374877 +429745063 +2024864994 +1447656945 +1926394410 +2030642824 +1972982501 +1548393949 +1847674823 +38528479 +1556454118 +1457227501 +1504987448 +398885759 +1670153278 +235592898 +159509270 +844133581 +2088801088 +1957485003 +1887373904 +1700563171 +1922691806 +470059428 +1533491709 +1489556650 +1635939660 +602280211 +1514179483 +1573186594 +1146607318 +208070713 +2002931658 +1023988664 +1655727658 +1781842420 +907147841 +1481226511 +1182752721 +607339016 +1519754990 +591723191 +2064566517 +877258791 +990608951 +1587236147 +1112851689 +1150118221 +283886081 +1054169130 +960119576 +23776337 +607248653 +735327734 +493835765 +2140740362 +77400736 +2129775426 +595536925 +1591580220 +1555478372 +1742144244 +1799650933 +1410926382 +618649260 +1307894943 +1045285154 +1525797101 +641637806 +80554228 +2133136118 +13909148 +672277419 +2050218987 +891167939 +1662886370 +1489971487 +2004019629 +665520943 +1773857568 +910705111 +1625640519 +1797633905 +1517953764 +213484606 +143986022 +1511210478 +290885342 +126277800 +2106747404 +1882465562 +1681756173 +1701408000 +1534632847 +945198907 +172573612 +695044142 +1990484062 +1698370714 +1336681948 +2071038290 +1684023184 +1350591097 +595832061 +1586758523 +94275388 +111234784 +929246362 +2098295017 +776755727 +555620282 +861516480 +254912599 +205770539 +231986596 +468397205 +349756562 +1743197075 +759282547 +476034362 +1702460831 +494264462 +10306887 +1256385183 +2028897309 +955505795 +1428958795 +576457804 +798506209 +979845861 +1913139752 +722060851 +516385397 +1116247201 +1317892912 +2103143921 +1210522590 +1429127696 +884906635 +1161333959 +58399776 +1440526918 +2022850440 +313312375 +1646297457 +107353388 +781709580 +1996054019 +1850550463 +1540992127 +324604734 +1405527646 +2035256589 +334911621 +514429181 +1916670251 +1290417416 +1943387977 +345644407 +2088923625 +775750190 +111300511 +663500828 +1292135588 +1227547713 +1981393741 +1247795861 +290586655 +1263037789 +2132702496 +1451920614 +1321437565 +1425745766 +1327287406 +1634749940 +924559576 +1434640795 +268975872 +773129947 +1137707610 +1809968000 +1097734681 +395751609 +1697740941 +1432646303 +910180790 +1466927544 +575580071 +706085119 +1812571951 +517020049 +1481835310 +1923872463 +1180520877 +626487250 +1003936528 +1014430970 +1874283111 +1294523183 +129985112 +1859501959 +598960149 +1451422677 +1137764078 +1926247556 +938688970 +2062323654 +1213404703 +1207664842 +687969953 +203628665 +870149194 +1785704635 +599380274 +420406488 +1070867290 +1509561065 +1887334032 +1646447361 +68162536 +1552422336 +15983762 +1549997846 +1328811151 +1196504640 +29001448 +185264031 +63451962 +1903284559 +1479787214 +193437074 +1615302871 +2078747363 +1644859752 +605583301 +1857511271 +436065074 +520423307 +923432326 +1643729916 +1208393260 +1127060992 +366395463 +846614247 +1726441266 +786801951 +1917481537 +1088518683 +526652335 +1416445251 +1156681220 +2079074671 +1432429013 +559195418 +1260402174 +481450005 +588196867 +1445666205 +544901968 +343997778 +777969771 +738339042 +1959300649 +709233487 +235715146 +417400302 +419261110 +671780220 +937823609 +1342693437 +168026489 +2146216870 +322270781 +534421952 +845347469 +2048712047 +1321223903 +615345359 +989747083 +1847876238 +2031790610 +2146428303 +1779467262 +1316735975 +558140073 +892385788 +1798185981 +1146336940 +190568346 +195604301 +1490334719 +968538117 +933943343 +1302151720 +1677771604 +1169658490 +1719552023 +2097032715 +1841438710 +509891984 +1292242504 +2009465199 +508625206 +1614513285 +396403503 +1353972676 +1515741684 +1717627406 +1969318035 +358005119 +1418019997 +1853624997 +356949774 +1050003611 +1022877324 +915089848 +1942389399 +673579657 +2061426788 +2132957745 +869183958 +1404277859 +954012215 +1803127302 +558945932 +484300171 +825302144 +131014307 +433849238 +519257206 +640906291 +1726091742 +381238758 +1149531498 +1193121379 +777642261 +356020526 +561379416 +347786020 +177854913 +919384535 +1765806017 +2031479910 +1276334310 +668325980 +906873586 +43940510 +463231731 +1580453244 +2105367298 +448705829 +302153554 +1362161510 +1402718044 +2105280856 +1921107442 +1887018215 +783099352 +2052121749 +173383806 +1302356559 +545544392 +1899475548 +1683595317 +1695075890 +945113280 +313753930 +2051096416 +1506492696 +661539950 +81467681 +278393583 +279862319 +2112947591 +1554727893 +948188299 +872337530 +1598668403 +1411420031 +305307126 +1556552054 +1860125860 +607460680 +771229916 +1115360256 +565257889 +544853710 +854894823 +1348357241 +449491811 +1028278629 +503230152 +995036203 +780270530 +39341821 +542628446 +1725383810 +353095752 +446241214 +1084392858 +1014635702 +527708896 +1362786441 +1294498022 +493172839 +770030687 +95202673 +1365510369 +221215442 +1506622704 +1670817495 +1777767496 +1219264916 +130794528 +401513764 +187141524 +696052417 +946367474 +1042036348 +2044409658 +1395859285 +2070314977 +400156163 +243411841 +703101859 +439497984 +786040287 +281002021 +792593736 +1232281501 +1365394879 +1807229439 +1759990397 +580697673 +954243813 +105679589 +1350728360 +1049446486 +1471189958 +1571943802 +408585543 +994523806 +1202227651 +1627850459 +1125318334 +1603741415 +1814991984 +1821370751 +402625242 +709544684 +1718296761 +1798484527 +632376013 +2118452924 +2041896368 +1335477873 +410467261 +680453007 +1616479894 +1203060997 +1912734509 +834391126 +862806788 +1525241258 +1415088799 +1817050601 +1630920847 +618333511 +719013440 +954627158 +42793665 +1127598983 +1949150964 +1245021316 +607965794 +926985650 +701279084 +275474130 +600872753 +1103904326 +985018814 +171685866 +754905205 +1617394828 +142655143 +649317926 +805389053 +553122404 +1329770933 +274385299 +1756183401 +1095021794 +1108776425 +471506542 +472779405 +376381576 +141073495 +2103700252 +994715087 +860086935 +910843762 +1037508753 +1987685918 +712511078 +135046421 +448168065 +1639496728 +836325505 +723642195 +92885833 +1940229831 +1708661010 +264571700 +547651389 +1178572190 +407226843 +1196969315 +1983961243 +960349247 +379256600 +110862894 +569049000 +1474278395 +1219639320 +1040555542 +1947057800 +1596020896 +1181629038 +1903274404 +443252336 +2041715973 +666634519 +1480761089 +1881918244 +1379145597 +1615807510 +182602661 +871158678 +304649368 +906244856 +964044511 +97395551 +467422218 +1228616211 +645046940 +1645994408 +1635843054 +1842016255 +1482472003 +448708653 +73789208 +1593334898 +1017757654 +1548067603 +665490570 +2058313196 +1347641755 +114027818 +1092458586 +1103432511 +557280154 +986690912 +1770067030 +2038041243 +721125508 +1001728980 +1506365106 +903728169 +1872887658 +1811014474 +1809973025 +689448521 +1908410025 +129911596 +1918064733 +405973318 +1775906004 +1406424139 +100505925 +1110894360 +1855132793 +174295133 +556745610 +725406799 +1722362736 +1222236180 +636236347 +922520843 +1336263998 +1728694934 +2025953355 +1893544153 +567902198 +1648536737 +1784101748 +1289027706 +502782069 +1142983206 +45272227 +228186079 +806514032 +1855245252 +917634601 +567440410 +1985156848 +688215686 +973413728 +1613579205 +2094639825 +1073919653 +576989917 +1802288970 +1248214787 +1133735527 +380212121 +823093875 +208488059 +1016448469 +1745614719 +1544752057 +597659755 +1624084426 +1290812562 +1165561953 +1125137515 +927430663 +307106011 +1627919585 +2070413869 +352378238 +1856105664 +729444254 +60139842 +626256617 +1296884664 +2045296691 +1314472303 +122814744 +1511392248 +1261628481 +1196734397 +2088382165 +916433803 +297465536 +1074634044 +1296645925 +1120559412 +1283122103 +165610746 +718690483 +680390512 +763270501 +195291261 +1971203075 +1928832454 +1320428776 +751150090 +88454817 +800864713 +674080311 +440833055 +509486730 +1403524565 +500972897 +1135743347 +552925581 +398785940 +302732003 +675740325 +1910178188 +1564360484 +1872474723 +1851076705 +333310639 +22456611 +778227101 +1629956564 +1143016023 +2061349204 +1795567310 +1861706506 +594256069 +411354163 +2056997767 +417975496 +192702969 +1229942896 +1169125586 +281157786 +2030807609 +1843205897 +721990841 +392810691 +1099246815 +1222963739 +1528554039 +1652172396 +1621749679 +1831286042 +180429074 +1384444220 +1248162878 +2052903797 +1088037277 +1581473517 +2075360408 +1866264379 +1063946434 +1070892784 +1780129935 +712030096 +785115642 +226902356 +1123384260 +694629762 +644877852 +1316087229 +1924572658 +1814003438 +1597245016 +1807896619 +1509725688 +171752209 +53223663 +461488855 +1394715948 +1581777702 +2113661251 +868981980 +1265580096 +146606677 +105942552 +366259326 +52026826 +1193979829 +1947732843 +2127387235 +912760560 +864195629 +1050796371 +545406848 +1576225726 +1835912013 +772309204 +552126338 +383058127 +1417187057 +1868213567 +160147137 +1083706847 +1317974935 +1968043757 +445948887 +1489727145 +2021267420 +907437742 +736959445 +1455561474 +873615346 +1605941425 +573657922 +1020222023 +1711883977 +939917248 +1072248850 +758380159 +740166443 +1052152437 +1671140719 +1604362073 +2102948808 +69063919 +1033104151 +1791377173 +841373124 +1585230489 +26951653 +111076533 +1305960408 +187098790 +1194783380 +476451696 +7658899 +1640732268 +1966178841 +2028926319 +400686362 +555654638 +1337004145 +1274301708 +14112416 +1910662067 +147040084 +1725996393 +703095667 +1219288934 +336892904 +1443262111 +123957723 +2008033624 +900140536 +79422883 +2077097543 +1933244687 +1870800056 +770987019 +1370991528 +1897751709 +882063552 +529468288 +2084850500 +2076846933 +1005919984 +2092509399 +1570095553 +824615177 +1973952071 +1970781915 +1380269816 +1163472568 +1097599976 +1394382232 +926650988 +1244640060 +972894977 +1629746655 +316445346 +1309787882 +925525118 +440403069 +1170337858 +1825665654 +519825952 +1099951753 +1611426693 +243142360 +1870938773 +834934573 +2140894070 +605518677 +1364402862 +2078260922 +534881962 +222839198 +2023286673 +2104977515 +1047454376 +1849755096 +1928275783 +280240544 +865744017 +878392111 +1674622776 +1792395005 +2123032171 +500034105 +1274658012 +291993869 +1809821987 +52699483 +732396938 +832676197 +1878365137 +1252222890 +1932627951 +1342308183 +1495365250 +1656083076 +29759108 +1488775672 +114118105 +1394161970 +1419552946 +649000068 +1617001169 +1295355972 +606493935 +516971897 +997627420 +387286070 +797212441 +1863371437 +1265678181 +324351569 +1508282794 +1241226704 +824385674 +635457159 +1533220573 +486724014 +688156642 +118133863 +1319400211 +419038131 +1370356753 +1104544514 +1761346314 +718238356 +613143942 +1791105423 +59530380 +727262048 +1037783745 +1479083327 +1376262116 +507301266 +626955651 +1982756051 +1024273163 +1624583071 +222558474 +1821485604 +1340470861 +1488236655 +2145837173 +701270007 +581979712 +822739200 +1336727166 +2115200285 +1309463214 +2024883808 +85850501 +481379777 +296438292 +1456207254 +1585924292 +2057784606 +26961962 +51584586 +1701406381 +86492343 +778846634 +591706479 +1565575670 +7625102 +1099007745 +45047673 +1990381154 +2123280909 +1669630744 +65455980 +1797282865 +862617957 +1553692635 +1795636391 +1563887965 +2135672347 +470891943 +753131483 +2103388985 +1780355157 +630531644 +41755838 +114251286 +926969936 +1497963092 +1700175578 +837270894 +1524925055 +1751760165 +391193628 +1611417398 +383123151 +982900107 +1029509420 +390748254 +2081907852 +1074557093 +233645760 +2057705113 +596704189 +299101740 +1707504331 +1459322147 +1852794375 +1355657074 +875726464 +1840983075 +1826549017 +1628857947 +1796888412 +1459420526 +111905943 +1838644250 +1573671812 +1038875879 +1189123694 +1126363743 +1876146774 +566565101 +730640260 +119856754 +30498851 +1113763411 +1102756861 +1060008271 +1504511665 +1037181065 +2134565364 +1738157425 +947402531 +583785906 +2037259165 +507423214 +2043108053 +1742569893 +1863080288 +771350869 +1436069320 +1542145657 +252725168 +1085474084 +854082535 +364631112 +776634686 +280270699 +1403506991 +1965758380 +1406634442 +1132170117 +384839834 +2137274702 +1252026871 +415338685 +1103554466 +207300084 +1475346957 +460582483 +1244481150 +1462428673 +51256261 +44400033 +2046214579 +2088515426 +551823247 +1941838984 +1683601671 +267419887 +565706205 +972187343 +1809565544 +818431374 +2057661427 +516164431 +1183062486 +686812465 +796435130 +439085829 +505087198 +55585925 +1571255947 +889927032 +45376979 +675799170 +1305265717 +1148931445 +883099255 +633129026 +1609513929 +2127580405 +2095557700 +1660770190 +24496790 +1994288631 +1601801968 +576320037 +1788643968 +1137919992 +843739924 +206866525 +2110107335 +505821820 +1025297899 +2020285115 +1021986251 +60876737 +559613932 +1818421381 +499962567 +1064701130 +1874007306 +2071218514 +1954628162 +1919384286 +599534036 +1112410232 +920832083 +1482633291 +1745539258 +382862364 +1462730048 +1693613310 +2043632554 +1487226838 +1540418294 +1497950875 +2063546875 +1181578614 +488387219 +759803151 +1388445139 +451010906 +1265624971 +266259391 +323812373 +140127574 +327136128 +883426306 +1958548956 +827098695 +1948127436 +1685072614 +750833561 +1755271951 +1456973252 +1350367598 +720198535 +230321688 +685517241 +318254145 +613184052 +763642 +2011867456 +509332959 +1487990480 +1404802102 +2007283834 +1404053708 +438897068 +348187405 +16373211 +1827342207 +799198311 +1281998183 +2093601598 +1123010685 +1422125757 +273254079 +2006436991 +1233191065 +1100352774 +1807080779 +770780032 +1851186336 +1414869082 +80269636 +1054070286 +2135067617 +310591324 +1739587527 +305838115 +923775377 +1740351169 +170221923 +1433108336 +1080858002 +1575024025 +1292908522 +337428062 +2013921093 +1641095927 +353801273 +1693779652 +292810590 +1635799456 +1639897603 +1415821275 +910441566 +1913151682 +1274774618 +2143632631 +866020808 +934371750 +766929015 +569723496 +201757184 +847198652 +1623793782 +189341154 +1157789976 +1215897662 +495179269 +2081565353 +808765183 +665401192 +1367190041 +1889623185 +92941569 +512614915 +79567599 +2106862662 +6227194 +433368873 +1653158666 +299037785 +2069168329 +1145572621 +1714859060 +832126247 +911240655 +842150031 +828275231 +1777261464 +1776521781 +1595204246 +199501312 +1978278965 +294919250 +1823295095 +20136471 +1452709227 +891709109 +515315740 +1386790932 +1700474292 +1180716932 +606497326 +1442613830 +1273658501 +1119112241 +1522181429 +1233037515 +1125339436 +1955550302 +738712534 +1424377221 +1877234984 +1884285155 +991752633 +561877583 +648042163 +1833902664 +1390152814 +277819979 +1462940797 +837873413 +477321291 +1293736115 +1132792663 +153132738 +1313872586 +438018242 +1044841847 +1829188327 +1824809175 +597832492 +862421611 +283822853 +2040446322 +2136080113 +1402935094 +1415144103 +1221633980 +380790882 +1223210758 +1960346514 +1805168103 +952962094 +1697148022 +649437089 +1514839677 +197706537 +335856105 +757508844 +475526516 +1798796903 +1595382257 +952847807 +945049370 +580691272 +1105980546 +111438308 +1018709515 +3338745 +1940626635 +696035042 +601171237 +655564599 +979857895 +494133911 +644161064 +235309341 +1909278015 +1865795044 +616100224 +985005125 +1678657911 +273784679 +1937967219 +1228322285 +923221768 +1305323248 +1426028822 +1259077874 +2062832092 +1901555338 +910391129 +1510730701 +706919497 +1855440499 +2091421974 +1812900043 +1966878807 +962647841 +1816238789 +1760021795 +1658682883 +269926378 +268102746 +491057130 +764060290 +912263810 +726366471 +525854657 +630575206 +1342466695 +1510859782 +161749469 +1616251375 +1301343353 +1390071754 +391989495 +459182953 +668616928 +1651067369 +374531398 +422688618 +413974850 +1885262099 +1129608116 +121931701 +1829200425 +795024511 +2088810509 +644364618 +463779652 +1701348656 +155563853 +733706031 +1969451402 +646620983 +1497766321 +734231564 +1372987455 +2023620978 +1364806770 +567970502 +1386997112 +1526556240 +36738229 +540856817 +769144346 +428727725 +1000039770 +1437761275 +2079795094 +1374571168 +1860449893 +346286297 +1112349620 +842574361 +468217998 +794066397 +1637598873 +409544859 +1438431016 +2101378525 +2110893515 +1593994869 +687600908 +1932861269 +93132205 +37883581 +519609185 +1466119660 +2061504559 +1884415956 +2034090162 +1301018023 +1263488548 +2070828392 +1841874840 +2032632894 +352072469 +694430963 +1322910521 +284383915 +2069002131 +1035876767 +630670212 +1033868103 +1878451128 +1098888211 +1827934501 +1368566353 +1508433070 +1118881869 +1322461231 +1471842938 +565393090 +2010062139 +1257220559 +658525295 +2047945721 +1776829745 +2124644955 +1961966632 +1513762053 +2011251470 +1115501008 +629766953 +1934596214 +809892200 +514916199 +139185035 +1504323163 +1837826721 +423568950 +1425841647 +726219840 +1054239163 +312226102 +457187320 +5643726 +2140160603 +1825753674 +1514076796 +1111558824 +1000731257 +838436086 +1676951915 +863309748 +2095656646 +187993562 +763771821 +1725002743 +165154870 +578254806 +1091281148 +28922692 +1693755814 +1721048101 +1963518906 +356164366 +88480652 +2102703941 +1860487530 +1926307373 +378789243 +1138845529 +505043565 +1433028406 +1451071631 +962230886 +1438672132 +1443748587 +640500912 +805265281 +407823763 +1641232169 +1643701367 +2084775678 +357058269 +1591874365 +125285593 +1120830091 +1169393460 +290440463 +1699084897 +113190960 +319363155 +1245357063 +1834239061 +135398413 +1601521429 +1922719714 +90618706 +1314525311 +1701543439 +469407949 +305887192 +59103357 +1902436356 +1756958824 +1021334243 +1193624840 +1053223763 +1661835155 +1998890121 +1461047526 +1155583676 +1495107841 +1398339557 +1512641945 +939498558 +1523625150 +485988388 +2108892019 +1814065613 +37589637 +74599331 +2133428768 +1282946700 +1908838393 +121343533 +736984482 +1684074459 +211962239 +2051509793 +1238134250 +681370188 +209913338 +1297237607 +436322896 +1966872162 +171088202 +1629947737 +872612277 +1832923357 +1481354210 +186176155 +841023385 +828978403 +1584515712 +206181683 +1768476962 +960657214 +692170071 +1729885333 +627239179 +729759709 +1804484664 +613184299 +2012706409 +1565839409 +734527832 +602207243 +1102430220 +946490071 +506233389 +193080823 +1627860260 +716146727 +1490318430 +2064183156 +535535241 +1661406633 +1546647245 +1408147518 +1346846342 +880517808 +1594323673 +40386080 +1709496211 +1031355738 +246567763 +1330489525 +1992012952 +938737834 +912891210 +471768484 +1668497543 +569892227 +1084952783 +1533720305 +2135731636 +1819480616 +2135927548 +1090678209 +618487039 +494677289 +1283759032 +98863651 +1210824016 +626593814 +15563160 +1746359257 +140516799 +1562210405 +1007023127 +1487363142 +295244565 +453863153 +1527749222 +2004740777 +1485218891 +1774316985 +1187746654 +1329748195 +565571171 +2100637865 +1801516679 +86585067 +523046444 +738985815 +1620305372 +511294432 +410982783 +1608749272 +1601972641 +1029469822 +2103426562 +738248025 +1128333474 +1166766930 +1364841840 +1143896634 +765642540 +1505358639 +558623391 +1772665667 +845238133 +853867957 +79045172 +225503707 +711125086 +1564264063 +1999820692 +1898871740 +746528611 +417908216 +1852025957 +400561642 +504493283 +227588753 +1139547457 +2124798655 +738883186 +1550530240 +1586064279 +193372179 +432516415 +1542007193 +931620205 +1560849889 +561290476 +148978397 +557262875 +1326933016 +1654337036 +1115886266 +952115035 +352091522 +1969754223 +1031160208 +577595229 +533395661 +447940623 +429932274 +284783754 +1194469234 +847840490 +2136809711 +1595030877 +1352333773 +216914817 +587094686 +1329648780 +955798003 +2137624927 +768229411 +1149170182 +422657694 +162752957 +2080790387 +1983507583 +724043433 +82285136 +393286810 +2050976449 +1736622173 +1509173076 +855607836 +2088713695 +1331443652 +1886768044 +518825276 +1864839313 +187225020 +948757550 +2139419 +1381694254 +1796598040 +2138949131 +829241483 +1001448165 +208380300 +1416336170 +183613297 +1164178303 +1406477449 +951842709 +165864837 +1829135143 +1114595666 +99171577 +1665159078 +1838639099 +181456713 +2058445888 +1742131900 +1918078886 +1420135316 +450256088 +1859308933 +604095320 +189540485 +230650562 +321450986 +376765505 +1179408112 +323590405 +1758459759 +828522505 +315055888 +440217595 +1829970670 +523436188 +1856553765 +2013583968 +1687614491 +1115547566 +817943029 +1853479329 +797199061 +1932538695 +1952650906 +314874491 +1623694146 +2134107619 +225836731 +1218342398 +1904702858 +1645972047 +1668598486 +1616528143 +102583720 +1858138971 +1847178705 +424034706 +87420828 +879103170 +747625111 +1845880588 +1707625675 +1062681000 +138614535 +1390112697 +1586117188 +1995168300 +1256213017 +1126248032 +963232218 +2074156046 +832243713 +1760431279 +1859211093 +637410971 +2075305770 +1335421591 +624034942 +153658853 +406280341 +381254152 +1799630900 +2074878828 +1997782296 +1902214620 +1785534151 +1697477353 +178765678 +1872954980 +429096875 +926390790 +1571351920 +2136722550 +1989071790 +1709966455 +1379351600 +1427705330 +1557651107 +488080969 +406469714 +373399677 +414753368 +1238713427 +2133830956 +126480813 +1876124398 +2061653078 +1461902405 +352675693 +67828283 +1868182746 +733929845 +1867459183 +1795577926 +584228493 +1622190156 +1433628430 +134222199 +1800955834 +1159099762 +563319074 +579862976 +582968034 +552557977 +421451118 +145450841 +1931909577 +1849156449 +1703101948 +272506898 +108142515 +2076501625 +687260266 +1346855943 +2062848933 +813741080 +1075496693 +1977018363 +128159837 +1428172386 +2044846646 +1996342583 +14618584 +1764822181 +1644436862 +598847077 +1239528689 +930581644 +733069276 +893000876 +2089681406 +1296388351 +1472863852 +525165792 +1848946328 +1894314971 +670616633 +1633372257 +1595987772 +226234933 +1905879155 +1704130287 +155252910 +445655774 +903502582 +70618195 +1259396854 +1978999276 +2047636558 +1387556691 +1259688014 +1944999556 +1236415626 +1274306598 +1562338089 +733368840 +1873153676 +654383131 +1663950484 +458739304 +1547384007 +1606148242 +1755127655 +872764211 +2131314034 +1456590335 +619595534 +654447019 +942478944 +68099658 +880681952 +700874452 +1772229946 +1035934862 +1146530226 +528248880 +1106553057 +258443432 +359764508 +1006705967 +1646000123 +1619452523 +804221875 +734932101 +746275473 +219076317 +1468300942 +471945501 +873459448 +984767778 +930684806 +273359807 +443432373 +538328813 +1146124018 +427262759 +1994919149 +1765719553 +1081709779 +789914445 +1833819211 +1962391731 +1490788897 +1458565509 +850842946 +489835475 +1986814390 +1957396003 +748278907 +199095250 +816618323 +246795382 +1818547773 +1620840198 +981727484 +417339599 +1839916515 +302544778 +889285100 +565892315 +1287312556 +1819969906 +839252122 +1730744929 +210815072 +1985376141 +10524041 +58250573 +1603612046 +1092233820 +848165018 +1289947609 +907141903 +191470268 +601029471 +1757984849 +681305743 +440360213 +1567897205 +1429584651 +639455463 +237031880 +1676380033 +310519589 +1857872078 +510623869 +727859188 +1550304946 +813168647 +1617144288 +2116197261 +2100481204 +1289630547 +807965736 +1683742485 +1500445619 +645858229 +1694266526 +1558696192 +101986627 +639016698 +259377562 +1391934236 +1546158602 +450847830 +1992963707 +1156659803 +1132153574 +285840272 +577073360 +414254577 +925295736 +814105240 +2090634610 +1235815325 +524493671 +453774832 +1963674513 +2074798617 +1266943479 +1433335153 +2043512230 +1219941035 +575482052 +703994318 +756199873 +2075927671 +1349852547 +302982751 +1487140215 +1451839174 +941999450 +1746517778 +696289763 +340674404 +49881960 +541769822 +1497334207 +1182035534 +827610095 +2074407568 +1596290111 +1752905831 +741029160 +1539441074 +841237508 +1265522831 +1993215906 +657428373 +1192837800 +1112675737 +2090763526 +1088866383 +185133125 +518761931 +1792860701 +941332998 +447205954 +995229601 +1244315749 +1934346170 +299585127 +38831551 +1533380300 +995874890 +379505955 +1583262260 +1537644713 +1876840163 +617814147 +217771160 +1803764083 +66620610 +1970676991 +397309595 +1606061684 +664430851 +1662832427 +1451793942 +1321859224 +708186579 +416986032 +1265139102 +1797052962 +602119157 +1783901033 +1442430016 +1543452155 +83623340 +290175969 +640284256 +2017969510 +589761096 +679115808 +1403866162 +1585635987 +1058621763 +839644774 +975797052 +787978278 +1457458921 +1193568212 +444258713 +1524079532 +1016761555 +841568309 +982657568 +1681192406 +356917088 +286967863 +855567982 +1065103667 +703953895 +2120707084 +714672982 +1306073052 +1757124470 +9619350 +702041559 +1840747810 +299795319 +1342325815 +1711233672 +889556415 +2021441623 +967616186 +327708754 +932579739 +1807260960 +1303505806 +1720558017 +1117236234 +349590370 +17333083 +493832118 +1366351925 +858901392 +1476489686 +900060683 +1215818480 +1763457549 +1755628665 +133438499 +319927796 +1728852102 +848111481 +1626000848 +1338492924 +857730831 +180558759 +1031757086 +1157526150 +1522884575 +595507110 +2047082566 +1396842550 +1563123296 +227307672 +181938641 +1222900608 +1530813479 +1902496659 +192653194 +1880403849 +1919829742 +686485312 +1099272127 +631247486 +15491351 +1999332810 +1847065966 +1778948900 +1607477828 +1980504465 +2098876697 +1188846282 +681132299 +1577393897 +379855558 +1538863130 +1757952657 +1411612644 +548905633 +1133353584 +2007119754 +448504551 +382712486 +1422759402 +675812223 +564651128 +498176362 +59142054 +319664139 +690829557 +1939545904 +92010233 +1377314869 +891334383 +723257719 +1392806220 +743183545 +422840037 +1024271473 +203177725 +255860854 +975664522 +1392024007 +936993153 +405574771 +1771879565 +328372636 +16043780 +1036008561 +877278269 +1149397364 +895644667 +1325782820 +1532109851 +170920421 +2001595043 +2096760979 +669096784 +2060737098 +268941470 +1359926341 +1852799354 +360951703 +589757562 +596650089 +1084209422 +1982563783 +1339833634 +1507049459 +859351608 +1543011360 +1762910313 +1835016130 +787551719 +552419819 +93107253 +411947637 +880792455 +109151034 +1447956198 +1758070724 +1258548398 +196117218 +936369896 +643174601 +367037639 +790481291 +592451932 +1036134423 +703734741 +861393402 +248577116 +409050447 +1222345105 +838334679 +1005700536 +159070879 +673414814 +198050523 +1666120338 +1532766422 +1741061883 +1281547004 +1220298904 +381129954 +1833966823 +1313406157 +793077591 +567275630 +1422557191 +93550142 +177862706 +533621942 +289667360 +1114232602 +1176796543 +656704999 +1904713893 +1769248476 +1692839423 +460964987 +483158230 +1941416539 +870015434 +1705503336 +632267570 +1875715971 +1864574215 +1305682384 +2073766494 +1383210906 +690965158 +1667344729 +517274262 +1911264062 +2048474683 +203757437 +1077186572 +694068627 +771033067 +352260115 +787618769 +948895773 +885882057 +1077286129 +2063128375 +2062678601 +1733991128 +1820358620 +1684443429 +1279346903 +133839959 +20118011 +1073279795 +1003855394 +1725621347 +1705547365 +732087717 +1442711915 +863746102 +658370563 +678439173 +1554711260 +178231644 +1195713435 +1318491675 +79222679 +1399470872 +248194599 +773291306 +23020291 +600454714 +1560910075 +971916064 +1486336772 +490712556 +887560791 +1401531725 +77220037 +560435763 +938491506 +1356566940 +694275723 +958609517 +282363087 +1698131117 +536747217 +1987910453 +282735186 +1979459132 +704172907 +941105749 +510414657 +111400519 +1119337393 +1706128092 +1429892194 +1198560072 +958115316 +1678086793 +1971851379 +981135607 +131057860 +1385277806 +1953051671 +1617394632 +1875990363 +693128814 +871442709 +1953210400 +1253564577 +1809934215 +1162293692 +1947840300 +621060084 +1444656780 +1498487769 +1157807301 +1285083585 +1781222955 +989782785 +1989256492 +574845056 +1500197442 +2100657011 +1694182449 +1058841886 +1383065558 +745258874 +2016957202 +913668703 +569626605 +850609161 +1044726563 +1954904411 +656177184 +514637547 +1683411126 +1349305998 +1386080256 +1489137878 +455386928 +1048530823 +503947923 +255743580 +1669590908 +1948604703 +1754231350 +679914561 +1086204640 +1387970657 +1669697347 +927977484 +1962815714 +1022411141 +881150847 +1509514515 +2081253028 +116732757 +107289741 +1950726582 +1030401461 +676916346 +653852096 +2075128024 +484337110 +1310029280 +442281924 +20264588 +511851631 +1828362180 +1509402467 +967238559 +729409356 +2013350390 +1222982139 +251516616 +1814471445 +829729841 +931431177 +753192437 +70216851 +453644876 +1681169921 +2033032565 +1476056018 +414837120 +1395063432 +1409825398 +531569878 +1502353174 +1213068332 +1561971339 +31785872 +1866920428 +1489615715 +516122982 +1029466061 +1931897639 +536387571 +1541317692 +1612776172 +2045790038 +361072603 +194701880 +1911656780 +1584054742 +446218496 +1578644577 +266300936 +1377649673 +184353366 +336517787 +1831294550 +1865523287 +222066704 +1159866920 +132876759 +1617130136 +422208670 +664446637 +971999662 +1635277002 +78934328 +1003785535 +1354713783 +1568550044 +1519908517 +236696196 +1352964035 +2056296088 +1778013888 +818256559 +1954602478 +2139086491 +1012958439 +1718775610 +1575657585 +1459176935 +1149936539 +1841958521 +689342961 +1334289905 +30992660 +373153863 +1052329544 +253059364 +1533020783 +1185206304 +1870189501 +1955229453 +1849652941 +694705515 +1443022807 +1928587270 +1698491050 +650252942 +1349653666 +1070915920 +886949138 +555134053 +979728360 +517479378 +1373390613 +786847191 +509082221 +238865404 +358139153 +2084739807 +1698042340 +1508075693 +1779214680 +239901653 +694881950 +1810207341 +613055516 +1747211495 +2063266705 +2146076299 +784934151 +1785972558 +1953822104 +487103444 +333194426 +1249361263 +268207066 +2031685476 +1899614206 +1617860732 +955117748 +639079696 +25511138 +1934846109 +1156559075 +1398901751 +574209652 +1665641296 +1637767155 +932348805 +1602897455 +1188325847 +292940850 +1234628488 +1428227500 +987822801 +897352181 +2041283016 +587550648 +813135238 +2039875667 +1372484799 +451624149 +1846214123 +1859588243 +784818575 +948091739 +2127795310 +669020403 +700222297 +1598172394 +1624138152 +1339301993 +1623683532 +1411500613 +348377420 +875101635 +1985710265 +2014018717 +365385143 +770575422 +1469432524 +1553710990 +1063516273 +556577364 +834454843 +2051339074 +1453929545 +728254211 +491406074 +119581136 +620646231 +1863890873 +571205285 +319376706 +1575995468 +1356023860 +1267468445 +1556307130 +2025044263 +1967690742 +1006995877 +1501698767 +1159509088 +483195761 +765715732 +1507886508 +1358297397 +603942349 +1374421577 +1723682540 +1374517772 +696370454 +1129909882 +290550397 +1252947818 +1964364725 +194405823 +559393716 +545135289 +685811897 +678974852 +1165781520 +402219122 +1250180137 +1485158226 +1978214590 +458720349 +605143024 +1387038073 +336280964 +425350118 +246550302 +1837979732 +1584859206 +729746063 +456211816 +945262067 +2088043460 +1060154166 +172199996 +1664242352 +287188290 +868570450 +646668587 +577738687 +2121518269 +463549664 +772144510 +533428337 +1008684953 +1457956407 +1212403189 +26982825 +1860175529 +315099678 +1512141052 +1690906471 +773820027 +2117284076 +930460896 +1110100991 +395150546 +1177011198 +800597075 +1980009753 +1906757262 +1256808892 +777788172 +1847317074 +169479410 +949988168 +1364075779 +456667700 +1818558619 +2010744366 +1034406387 +1792593240 +326810382 +1806550897 +178537929 +1335495336 +1117023656 +1390941118 +1362478161 +829715537 +1706040796 +727135565 +373138360 +332377175 +696935993 +1303599257 +1442478166 +1092086540 +333126807 +95591594 +924612645 +92400421 +1352400486 +1702400817 +1939717496 +1521879896 +504905337 +1156309627 +1978547596 +175980308 +1019570345 +865470335 +1968573548 +1346380727 +524537584 +2147111477 +534392415 +1641561240 +1390568947 +1896870577 +323793129 +949126095 +476522494 +696931489 +1281503270 +1173458488 +2000530746 +576497789 +118061380 +186173906 +672089383 +1042674025 +278574327 +2024489869 +597591194 +70808175 +1398886117 +1102496531 +1227117802 +1229950065 +1278476840 +99204499 +2095420400 +1099566740 +1445585227 +472474336 +1099194570 +1979977642 +2114035576 +342279869 +1729364571 +290345057 +1291405965 +58403418 +987276546 +425425587 +1231861906 +840323645 +1001923376 +1349923286 +1026497551 +1674012759 +245113663 +1305071878 +1551018980 +842704857 +1375880054 +802421449 +1945201388 +455514208 +2032371514 +1076194580 +554718708 +1980308266 +28277673 +2000303935 +305298954 +1127472243 +1832797929 +271850882 +1469752112 +1414678853 +562195939 +613674429 +1473082271 +1549472486 +1039100017 +557460529 +242312483 +2041023393 +1907383815 +1268810034 +1567552505 +5013830 +426398264 +971087837 +847718687 +1802278318 +1773509287 +645436427 +110308879 +1658397153 +1721631008 +665027587 +1491221772 +1749908681 +517847874 +1796520726 +729897276 +203162155 +2068371609 +52165740 +1617841008 +483083900 +665840170 +943439631 +2032556386 +1704940187 +1500900160 +127385221 +1598479932 +1260800327 +1396195255 +1018548789 +1265814157 +1822593520 +1989636627 +2113532844 +1477388190 +1615662266 +611485624 +1587697069 +1126575771 +185632984 +105241008 +470313895 +1935541665 +623088882 +119350974 +517955293 +826251038 +40238935 +570121033 +296608398 +523322835 +1235961203 +1240048030 +408395574 +793417742 +593464542 +535780795 +244414027 +1854264870 +1931976051 +1262962816 +972595379 +1607085923 +1105115795 +938644576 +936990465 +573294413 +1550130200 +377203887 +1699870185 +1735763184 +482444895 +22700432 +1523821201 +1105533778 +142051406 +2041776494 +1931784816 +182290341 +464413879 +80909566 +705613177 +1700375083 +1320957596 +1114008751 +346309177 +1914422139 +1649789546 +590723204 +1621203361 +1434281949 +1853686021 +446315092 +893884224 +811318168 +1384959668 +1830874690 +1384612582 +787606220 +60594929 +936999119 +375885756 +543039824 +959699551 +1899706957 +1648573602 +1101750958 +1793999803 +1432874770 +1284041299 +110930035 +1513784337 +1989654476 +1811305118 +687258285 +956179579 +10130647 +454196776 +458485478 +600853852 +2075400137 +1892767427 +307056225 +374231582 +639168004 +1118374393 +1759191250 +322559046 +355503327 +399313823 +383153975 +1292502446 +775199579 +926193799 +104718350 +527422889 +427283754 +1206469308 +173939044 +1860158524 +343026959 +284869079 +1226459213 +185197788 +2096174197 +1913717499 +1141377367 +2106304845 +220430627 +1599862845 +559675049 +148347117 +1345146625 +866731274 +522578699 +1984314629 +1985105667 +134286301 +159390027 +193125347 +533600124 +542544002 +1485627793 +1308799704 +1468737801 +1590346143 +1836222593 +1896021555 +649331803 +2010161637 +1608696432 +992358763 +147547069 +687671997 +1177556551 +96237618 +453905848 +171450270 +55058815 +674336476 +1771313116 +614733864 +822683593 +968976093 +1481465138 +1345262292 +805807074 +1319087158 +1479548593 +965197101 +1512212505 +2013148718 +1507741103 +850356650 +1174464774 +828995256 +293219146 +863203719 +577533164 +942550949 +725881708 +38745948 +1934909712 +873428777 +726417945 +964982615 +969666396 +1180323794 +1136432886 +1024725211 +1854660270 +760262354 +1639459076 +529860215 +1729238447 +973440566 +1875122507 +387561873 +145044076 +1207187452 +1352758974 +1657256581 +1072852522 +713016429 +360129584 +99833648 +1542011685 +653348730 +963037367 +2119544849 +1595899679 +1688919076 +10807149 +1383325744 +414864205 +737225095 +200824711 +1384530601 +1917548889 +1337257597 +261772165 +1624725511 +2097519951 +1901231241 +7102078 +1679274750 +727188159 +1882224585 +2066836623 +872232236 +941928389 +1272111949 +382005169 +2014780912 +1985128378 +742134753 +2114614560 +1379656416 +1395483483 +930168280 +1351717617 +843899515 +471603708 +1362524767 +79741611 +886467913 +2099749862 +280566322 +123514867 +1869815103 +1617823920 +385287032 +1347056966 +1567860223 +139034625 +1354159044 +1099651326 +866222784 +1088899981 +1019004301 +1738455020 +2030828370 +143632603 +2120460190 +1898125634 +2128760981 +715111295 +1865256547 +1360933749 +2110594779 +647941179 +565167719 +807010646 +1119544887 +1927692486 +886752257 +2006012800 +1879958700 +1167318579 +2129527667 +1602290155 +637658851 +367331051 +801863473 +58035427 +506365676 +8538869 +1157686753 +1372588461 +1097438850 +29207406 +963559833 +980783572 +172840009 +936536375 +731425559 +154117343 +1651647671 +449198458 +1515051092 +1614758802 +1097139637 +2080218811 +274285800 +69200876 +1860427649 +1161038057 +2075213676 +1592902701 +180872988 +2057257696 +1047709208 +818531840 +277105099 +1849572681 +876567267 +783470776 +1858111550 +2034254020 +8575589 +808066752 +2063461426 +972135422 +1788850325 +88817788 +1908671798 +372792236 +242935131 +1412835821 +821990694 +1757986223 +880110975 +1919130331 +1690721387 +1154396775 +1988331207 +1403665388 +167951184 +1916061235 +849084442 +348824172 +1825835283 +1896793650 +1167356012 +2102940383 +1598882684 +2043923279 +738927511 +1309510586 +1930693651 +747503100 +2117577339 +1846671430 +1719638522 +1758944016 +1935489218 +1480826672 +2131736252 +30940701 +746178845 +806243298 +1788926924 +1626289820 +577889981 +1332164663 +633202947 +418737540 +588346404 +801154131 +187315127 +1437430846 +1149978304 +2013150411 +1186740848 +169850668 +1968607146 +638139884 +66290300 +560051009 +1947650471 +1996983951 +1307554109 +1917744162 +1696171733 +879708983 +1529204530 +1484177303 +213052008 +1513457134 +1515118004 +959230853 +172216784 +1156561281 +438037026 +750106765 +341242296 +1071239973 +1168844305 +929588700 +1872394105 +1356159432 +219535898 +874888761 +1221826195 +1406276747 +1044739429 +1042949693 +2044416631 +1111029729 +1603000702 +1844583454 +960530033 +763071163 +1614843968 +509218118 +1642780147 +996564850 +1993395422 +1855832155 +362538336 +1361029778 +667579360 +534755120 +370107411 +1105616386 +1284861885 +711349708 +29372712 +306222542 +1640938408 +1901766817 +1662381975 +1860474307 +629171930 +736724522 +1119267406 +1673911359 +1779674216 +1016200389 +637457441 +1235191270 +713300196 +1597987474 +1998262434 +180660516 +2107205592 +1493558933 +1177225367 +1953117366 +1201907440 +1539763703 +1166663497 +1869486800 +2074518824 +1536770908 +827619539 +1211897061 +100636968 +856992251 +1518119604 +1741575377 +611275420 +1033017931 +1454566036 +1240447350 +1769742453 +426349794 +766875061 +1401933021 +1442550183 +1404332502 +489640644 +8366731 +854836328 +340419430 +189027248 +814558273 +1833978363 +1366252615 +620191991 +888402155 +758532670 +1786855488 +610405307 +685567846 +1176142749 +1438024846 +1897464908 +1276779717 +147533449 +1268100864 +870871446 +758808869 +153635147 +177953834 +1999256219 +1923377600 +604303628 +618647633 +1177826974 +2046853812 +2022980135 +1667467618 +2055220543 +730332816 +2007887048 +96764143 +1544891089 +1694381763 +1463016758 +17599432 +435300270 +74065781 +1804454921 +1045705577 +759633627 +833114022 +336246776 +509614887 +2109893739 +483780225 +1777715751 +833281538 +1242589095 +1931350898 +1011235372 +1094361666 +1707244851 +1615539001 +1713009299 +737588177 +1514909165 +1588505787 +257572147 +1422646060 +171354955 +117975547 +1519410204 +1716246044 +1812357310 +834943314 +1733845476 +100173932 +909009095 +1390816749 +1145879509 +1668642723 +76447123 +1482126285 +30773962 +38857215 +1965906511 +1808489714 +872138753 +1061011958 +1592356964 +1883374125 +7889976 +1152118167 +1351429478 +1720899276 +1889706344 +718854995 +1161921415 +2147278491 +2141501056 +1333276370 +117770390 +1513427612 +902038766 +1930127700 +200887278 +488400594 +2030301632 +1109896374 +1879217344 +1028697494 +631055449 +1955664467 +363340131 +661829411 +1994521682 +181762994 +322835477 +719176787 +1242774952 +1915192442 +455067265 +1250664929 +919826961 +1806496743 +824080557 +662049658 +377868091 +1986001972 +661844501 +371885499 +1171794694 +779614892 +1885313111 +2073833460 +562258944 +2086200389 +414750406 +445076929 +1048613115 +146484102 +1473774423 +1679668564 +2102148570 +1837114554 +194014328 +1949186604 +2018877549 +516849805 +520879744 +1114168853 +284558599 +975947009 +217350134 +1204385561 +634960104 +1041430691 +1866435219 +1012828195 +879949015 +380796072 +1384713694 +2051743709 +1160410964 +1122543157 +1978093521 +1722669909 +1061259899 +245360280 +20263190 +2109873014 +391844382 +1494037613 +1642057931 +346509304 +1183668519 +1836072259 +148212261 +1055062420 +205438416 +669092005 +21747626 +489997016 +1645039014 +239097760 +1694382577 +132515470 +1280528452 +1413334148 +1145343666 +12993819 +1794130220 +382573712 +2064737529 +807057537 +1505116870 +1895347402 +382243798 +418893121 +2140707682 +402506988 +381282487 +385068417 +1896544601 +2023340418 +731577721 +932729472 +1711929029 +879789982 +1987791893 +1917367446 +1548881987 +2009539519 +259880814 +1046437353 +101153631 +1954263391 +1178952824 +1381682083 +1220113891 +176812842 +1394675903 +866760463 +559386554 +1311929784 +1673818000 +2064503424 +1059793538 +2056061798 +335912897 +1053017573 +311085138 +717195385 +1438085990 +60146091 +593052155 +22180063 +992875564 +157497537 +901970046 +833183809 +2074864983 +303368385 +695239680 +187262149 +1349805739 +796393311 +2141525540 +381274915 +30591747 +1214155783 +558087757 +1425267650 +2080916246 +1117474311 +589713786 +1607250599 +1034494088 +1649507324 +1515828749 +1370406985 +555041249 +1826913888 +2087602370 +1993127239 +1887059979 +533170878 +2015307303 +732451895 +690668415 +769793701 +1565635704 +618049750 +1073162086 +113391736 +805311899 +275484177 +909785048 +799353791 +656759092 +940376795 +2013509574 +1214846849 +218160797 +1946942172 +184837513 +807874583 +1406709123 +1219331601 +309898259 +775054225 +442254938 +864939509 +454484465 +382373661 +710583100 +194060796 +915544539 +578406755 +926512692 +1606212954 +1348200456 +344664748 +76779056 +273878895 +458056485 +882090955 +549363072 +1367841533 +1681444746 +1206122165 +160734680 +1547470672 +273485366 +378895477 +1346929196 +458322879 +1186770060 +606154672 +1677654480 +1496668319 +1381208897 +2119909419 +214124180 +1835693362 +354799432 +924707281 +2029754158 +1270343971 +1503114036 +808783202 +729073277 +703830845 +1153447951 +805852333 +977709740 +1611504436 +1687943288 +1527072812 +831862321 +1221904386 +585711329 +992597001 +621891410 +859196696 +1371492478 +1968820606 +1317519575 +410778890 +427491630 +847690408 +1907447209 +1808700527 +820116179 +2121571390 +1496910241 +1174915611 +898795023 +1379180752 +297775934 +254425411 +40480306 +1026849211 +958256256 +1193928257 +1832701544 +1935965996 +657949045 +1373161184 +1315555161 +1489811366 +447581922 +1901266490 +334924719 +1069473332 +612979538 +1706417197 +890810290 +1930499114 +2117196087 +1318301921 +630705874 +1877159649 +979518800 +1450822053 +1851247391 +328945394 +478254016 +602558766 +1708126146 +776029950 +856984177 +1748606452 +1802879161 +1815240434 +795051062 +1488097057 +1603722782 +1453000107 +713774593 +771794295 +795327826 +1161356515 +525577138 +1130252545 +83346199 +1138556676 +689186095 +974156489 +921572142 +658898534 +144974762 +1552278016 +388574535 +1124493563 +855616421 +92338278 +1453438957 +1333870437 +694897044 +1014081455 +2109900387 +1551881222 +615204259 +1765295900 +1219638008 +1410255321 +1105909309 +675877142 +715771781 +1819683902 +1447671438 +1511099607 +833556769 +1973248576 +493868504 +916902968 +964321604 +1183054599 +1891059458 +1885893747 +1841953134 +2036034220 +1290688115 +83044021 +1013044135 +2146304537 +175382300 +318999444 +1332691326 +870279344 +1333080899 +1295108066 +274676918 +1948285159 +912920318 +1494314926 +1211056832 +2018829628 +22708421 +1926828613 +1691029882 +1470379859 +1290444572 +377103004 +1296144787 +1784313077 +1294005972 +112982743 +819884028 +1037581782 +1998876490 +514353514 +926132355 +1142080958 +597397536 +1939176490 +1140901847 +772779836 +110692287 +326109525 +1643059180 +1443773186 +1621217591 +1917736099 +1244574697 +386654262 +1264567377 +308147882 +258000242 +1287275798 +87492847 +1949030124 +610172009 +1377937420 +178649480 +1906316796 +1014766849 +1472655453 +2019299540 +1834650877 +362753587 +1870692382 +201520744 +1288885942 +865289692 +798918280 +1080578785 +2006191539 +1571698116 +1191271072 +184817417 +1067273648 +487560610 +1806035008 +837526099 +1732135308 +45205622 +2102093477 +2040283190 +303205864 +1241885627 +2127776037 +104752341 +1852057637 +1358229809 +283401821 +1610890785 +225513010 +1756057274 +1482706677 +2060163888 +2118810862 +1205915412 +114200984 +1260213156 +2071205104 +913119264 +193308293 +1929912996 +337333732 +1384579365 +2114730413 +1404607380 +1872139976 +1773281773 +94649832 +1456791636 +1818487396 +49259661 +1349591178 +2121693260 +1291145288 +1329883567 +78961953 +995719277 +540629729 +362363775 +459126415 +766142739 +2118421049 +1941833092 +678822979 +2089748263 +1000264856 +793023963 +1202477772 +923986313 +1706143227 +1395786065 +706415661 +2043476959 +632881783 +673662426 +1300600692 +357538111 +299460551 +1395250524 +1814329747 +2117947947 +1444510185 +1016437277 +2092157560 +588171825 +198837196 +23635865 +1583891103 +739466925 +385999640 +2043017518 +1505609665 +356937042 +1837366962 +36948996 +299201657 +690148171 +829972960 +1501679429 +1614134484 +388632539 +749981847 +173066497 +284625851 +1382863630 +846728923 +1585226543 +1740401741 +1146189474 +832993419 +1407247840 +1116653774 +130019956 +276201469 +1061327686 +718191781 +475038665 +1084963551 +154599236 +1214505591 +1470963192 +50133106 +572631608 +1827900234 +1887500069 +609580604 +2127101891 +430164592 +1439553564 +1481297673 +2044299076 +1828186104 +83795872 +69881925 +2112811955 +1466659502 +916610848 +1550554850 +1059577595 +2062800322 +236064621 +319341787 +1031970448 +366084577 +595543256 +2093298134 +1084276358 +1070581921 +1030778038 +1238875595 +137603864 +354257582 +1289008701 +710235472 +34674168 +1029025122 +1319816077 +14292411 +1459189714 +611885993 +1495590084 +1356005142 +292588449 +1579385956 +1425887067 +257916756 +898561810 +195014267 +1808471606 +1958139405 +110330942 +2044536227 +129997544 +1142301390 +263137156 +725540800 +1088115877 +1347413515 +1796122722 +2118893915 +438805462 +1933726586 +325667849 +1727814163 +496478411 +360342017 +609355638 +1816294488 +374634428 +2068545352 +280696833 +1870224513 +1277066847 +573285283 +1302126821 +555470266 +831202039 +53204984 +750484534 +492189998 +2011344389 +860815476 +389242577 +2141341934 +2003116866 +652379734 +719399086 +943749095 +1999793249 +368038160 +915159362 +291115063 +154281099 +1240827211 +2018929226 +650759510 +1601169228 +480801216 +319570350 +1975803657 +401862921 +600267183 +1698544522 +1678929768 +1173552466 +853187695 +86916386 +2004754506 +906392679 +837400920 +349460856 +770253421 +1698216396 +738703433 +764111707 +1553849615 +1391083167 +1483510793 +350115062 +1243392768 +1851548954 +1265274425 +1534507831 +2005830053 +358617988 +1405953410 +509105915 +1959787217 +1886754626 +828676265 +1788107226 +141133899 +1428943448 +1339168100 +1820063667 +455012267 +44872147 +1906980054 +312283125 +951264827 +596897326 +661743981 +1721518248 +147630075 +1400447414 +338146307 +1701479690 +644046934 +1821657100 +2051594752 +1887439702 +1525722406 +1169385529 +1274463886 +1384068811 +1528003518 +532933648 +1893174726 +1340307087 +272204626 +574367343 +980930665 +413338526 +2003310792 +172615117 +85918545 +310839411 +217487264 +1992898599 +623122536 +1168752091 +442312278 +1284866517 +742786691 +589942353 +537830283 +1080932998 +143938395 +1181877217 +755106451 +48049499 +921833272 +133345209 +1217435029 +48813510 +1517414021 +597954899 +581747158 +1263105099 +1938261986 +853951784 +1837472443 +771709003 +1267290310 +1693299587 +944324120 +1353208856 +2004138998 +1161811384 +1198623807 +479777886 +183079828 +1640936085 +1764644403 +925866519 +83394790 +154991038 +2006799518 +227333185 +1336868256 +614422321 +275382685 +111217880 +747767530 +1492817714 +160031390 +117697903 +2090772613 +741778548 +1380803003 +1881550951 +1595730332 +1070791798 +505776306 +715536995 +616607737 +1450100426 +2068745851 +473263087 +464428162 +1119886010 +953040973 +647507990 +613338448 +570201728 +1573374510 +696733238 +725192766 +1432690380 +924066424 +2062061022 +2047112701 +1199449109 +25795254 +647396583 +544783175 +185826644 +765094487 +488072140 +927605192 +2145897490 +222139443 +375851877 +1069205640 +727915749 +1091388872 +1685813377 +30532527 +1012651075 +11592816 +494960689 +2132537085 +964633789 +1142468680 +598391885 +1534835517 +568359542 +1295125124 +112544635 +2001049922 +71707900 +27122010 +1900678975 +1271157009 +52917264 +400591910 +1815940184 +238743909 +1165686397 +156528676 +1166349101 +1164100239 +378668119 +1542200978 +85822231 +1106583868 +486106202 +1771635608 +1137116395 +1498757277 +1783228424 +1632077084 +1483810715 +600378565 +627062116 +2082202600 +2135214082 +1195421658 +1229844076 +100275070 +1048987932 +1301551976 +127397080 +802183259 +425225337 +180314344 +1202775170 +93681873 +419058253 +220977919 +250210549 +1585407355 +1385078159 +628878668 +980124685 +1470900390 +1735462536 +1466230888 +1095052351 +725095283 +817504517 +730797127 +209688720 +153831584 +1331175693 +836750836 +88550537 +1318906127 +2032172495 +1318394613 +1419181197 +933676779 +472462942 +1546578277 +1735860039 +897688279 +1726892622 +791151561 +991370153 +2145950875 +1012129480 +1241580702 +1583874582 +249723991 +1870459371 +416515620 +1720624382 +1458438259 +1882746508 +668193085 +36049895 +552767377 +1398990212 +245738615 +706598962 +582682257 +1082489451 +795149499 +1901588385 +967178298 +2113544112 +1173285934 +1900855078 +438523406 +572380564 +1489231469 +1336211686 +151789538 +132899382 +180098191 +150256765 +1145028862 +1421678893 +1734131348 +1394752854 +1144654616 +3163320 +967893588 +455609228 +1885909828 +1636086673 +491659123 +291193557 +887593237 +737397738 +997792519 +1470275495 +1819887189 +1792942018 +1224380232 +639581840 +1759002483 +250182518 +392953270 +50042241 +822563082 +1882184739 +1386253927 +974352620 +2015084121 +1566352118 +1124609386 +1012629335 +840547364 +711257086 +259898541 +1985201980 +714420406 +1227792129 +293327560 +452846586 +716395154 +784986683 +744040143 +1603988392 +1522384421 +1741832663 +926780239 +1194787963 +1387291033 +3676823 +1834369803 +998809868 +253859341 +79839425 +1048852110 +1076422424 +1962024164 +287622389 +2050775044 +1829624637 +1853974508 +1027900782 +694770324 +547038224 +1739157868 +954668866 +384756556 +306094626 +34977347 +678084117 +758941212 +751372502 +1463070800 +1502981356 +207877246 +837971574 +1097330371 +1134657485 +2032759537 +337137756 +1138334308 +1719645692 +1335947625 +1392193649 +1799485117 +237316087 +321132425 +1614025633 +524938476 +224423822 +1296166622 +231429336 +1252324604 +1990936946 +778467560 +843998825 +798122164 +1163224117 +1150093451 +833099512 +1841308234 +1909034664 +1584472014 +1156895386 +1264532372 +1792349260 +1994866960 +214379095 +779523097 +1880142849 +551516851 +1917857405 +1452304893 +1887464476 +1162567406 +1104306362 +2124780563 +1483699832 +570848347 +502235392 +1708123654 +1867014969 +733664728 +812964610 +1710468268 +1512132289 +1656963435 +361106784 +527872758 +659573239 +1194206296 +221697344 +421124255 +631194662 +1378592730 +1685656627 +276060274 +1225976043 +1900035722 +1055583371 +958635244 +304068925 +825957128 +263456490 +44049754 +1988524535 +1367762852 +21346669 +1324740719 +1938611200 +523582061 +885380725 +1658142521 +1257246790 +1698345335 +1221127141 +621895431 +1207825123 +1582233926 +1149768189 +1867398362 +628956574 +1371465533 +141038969 +1260151237 +602574615 +1826695596 +1536211511 +1828550658 +1579247670 +444311235 +639702255 +1883316595 +1270268363 +903158745 +1927366349 +1111309250 +123437949 +1948713019 +288566321 +2062049149 +324811432 +1173947046 +1572708023 +1582058222 +724808734 +646351516 +56470005 +1932633857 +81101794 +1206238194 +1652548571 +710058369 +430220079 +1793587540 +1970209606 +1032794695 +1472799488 +1358937469 +713861705 +904563510 +1803248704 +1353563960 +640396457 +926033420 +109239057 +420279159 +2037342670 +232677007 +221508530 +178425344 +147242508 +546319962 +1352372390 +1719950531 +2128378185 +2077181124 +218818400 +37364542 +1862331333 +299920194 +1243602737 +1367396256 +1009978563 +1673822816 +1013500148 +832704521 +559133863 +338815988 +44158343 +1272995569 +1243379498 +1847407047 +479075881 +1883775956 +625956819 +588314939 +156571467 +515815842 +820991946 +378079997 +694241186 +968234454 +924399959 +2046613576 +540701338 +905294496 +1976311053 +759519738 +942659039 +1691158738 +1059439932 +38778128 +911071347 +2069418496 +1712600944 +1924571495 +754639369 +124251160 +115903836 +798797712 +1397246729 +1359283334 +498721112 +1876322610 +1095575642 +1124677931 +317153901 +1252147109 +1640493773 +1138145847 +1630227106 +187251311 +2106380302 +407143418 +86381240 +499597992 +1312437914 +2062692293 +1259117730 +107613305 +1606367383 +171074014 +146391433 +369955082 +93008862 +1858992378 +147042930 +847648232 +1983243538 +262946766 +1646445944 +1233006619 +1622230100 +2145167056 +961845581 +570322095 +1122361340 +1278999483 +1822469204 +615371465 +269661682 +1305212663 +802622777 +228558336 +1712356081 +889004017 +728156328 +877310347 +804212662 +1987274058 +984923653 +263096397 +10864425 +1131315086 +633051480 +103873287 +842823816 +780094410 +951521519 +678583706 +1043041176 +450483816 +1911590325 +517787628 +448167224 +725952259 +1088109723 +1570528564 +2004951742 +763095280 +38416382 +127129776 +2068307943 +841039159 +355688113 +1633180376 +1730043176 +1083844441 +363007075 +386772190 +923634852 +1347930728 +649868587 +934499277 +331762167 +1282920067 +1038372564 +1174585983 +2063014477 +1989894084 +1853169690 +958572005 +292894252 +1617276367 +1476359634 +741061476 +195744978 +416985709 +164106393 +53213072 +1180080989 +202522775 +180342849 +1100905284 +1043561934 +536030962 +586602012 +626121462 +1619875403 +949609088 +1012893652 +396026607 +150056168 +1662762239 +1330525884 +481818335 +798198659 +221414801 +1656404319 +713729488 +63825237 +1362090361 +1672301494 +356719489 +831883080 +1001177480 +1097780965 +1027628059 +1418163189 +1261887358 +1080841131 +450760531 +1464410133 +1261183980 +1551665815 +360488419 +1797214942 +2138267828 +986609881 +1269606698 +940393268 +1999503533 +1665633305 +1090449436 +1514782125 +848675542 +1572267772 +165497136 +1070090343 +1081188443 +879226624 +1133915580 +295795156 +404044470 +1490635069 +1127678236 +1405221950 +440932386 +7822647 +675901492 +1702819745 +1088663779 +1126662023 +1019746230 +202364111 +530844190 +1380234650 +1999579054 +521628370 +219360883 +1121702104 +1462021638 +71380769 +639851761 +404987427 +1586162894 +1488527303 +1977255199 +1751660030 +411133998 +910959994 +483403006 +1545049578 +1206755150 +887447477 +888200999 +186949738 +145185779 +1329133386 +194772386 +821087271 +884469483 +1283436165 +1947749294 +1904215713 +1485800276 +331109837 +1136966715 +1337895682 +852738207 +1356327599 +312114138 +167276198 +1427708368 +951965900 +572263625 +866387614 +293009555 +402035176 +470563996 +704143554 +1312995170 +953967002 +101709484 +372266672 +1841414479 +989910484 +559216410 +1986600259 +171560222 +753988796 +660203882 +1056029705 +2037424961 +460469529 +812761770 +1375741590 +791579366 +1949728486 +566153624 +1644317573 +1158572437 +878267763 +1811593771 +438797157 +1830233663 +236373748 +1305184771 +2123243218 +638408924 +1775748767 +679903124 +1951404094 +582232121 +781612609 +176187118 +276162953 +1771523093 +735403529 +115279564 +1943083315 +1489392325 +775483446 +851629372 +1379333639 +1235952975 +1664391142 +607591581 +2027532341 +1466635980 +1173745205 +1524366267 +477724769 +2052012968 +1188476390 +916521926 +1734762983 +1424850139 +74223049 +1710522554 +2063259063 +1849971816 +242942030 +1867179510 +284720290 +1024554639 +2043366628 +560883243 +648594084 +631286509 +676162807 +444193751 +2120678835 +1451646253 +1295823123 +1352528826 +540115581 +812730618 +1960120407 +420164274 +131882950 +986381964 +1944530541 +609607720 +890911285 +985523284 +1526129646 +478190620 +262889775 +1600352696 +41229526 +178665190 +1302840864 +284171557 +2045844700 +1587561154 +1308726196 +1941727681 +960749 +1957320281 +425530542 +677123556 +254030384 +398725729 +2128769810 +1549853508 +1751254555 +521401743 +215100478 +1563891314 +941566017 +346983428 +402789631 +738612911 +956591148 +1293700916 +1724136195 +335237147 +1771891536 +1987025970 +1935589843 +1813121063 +18207512 +1090947059 +2097292620 +2064052213 +531024566 +1258535168 +1858296246 +531985315 +1068371801 +136343140 +1209108872 +1322402186 +535068870 +1190395034 +724772046 +138839777 +1711796777 +939872524 +1702731092 +505879146 +1286855952 +2105520723 +1244492057 +95963453 +1251737991 +821144604 +431200600 +876145879 +660686926 +219306795 +541783294 +678894439 +1310253854 +491592266 +595463004 +1841278420 +1750127435 +306275602 +225780088 +671015588 +442618742 +1434888960 +1993417774 +977687612 +477800346 +570706172 +1116527390 +42113475 +1510578696 +671774834 +547992621 +649951001 +629811909 +1792484679 +745914454 +1881549900 +466145635 +1177115054 +610212131 +1126832562 +1396421849 +1151995426 +1805727001 +559192055 +1643587692 +253706357 +252986828 +1246231479 +559981959 +478766916 +1917247068 +1002600701 +1913655876 +1763181194 +1980288314 +243972574 +186403719 +949332056 +286086049 +1696982415 +1621106890 +834078670 +199449768 +103435151 +479079701 +945364222 +1984985051 +945225337 +2122479276 +447713534 +2072057899 +1371417477 +1599708960 +1730301252 +1930609533 +1095813005 +1984007609 +36112713 +194560836 +396505920 +514879629 +2111807904 +1399106621 +281051857 +1727505451 +1231911287 +525024431 +1913909170 +33759695 +811110480 +1463407937 +1654866585 +1645189150 +1662857706 +1758301736 +2124268852 +460738280 +1595803139 +922010541 +435733909 +2043516674 +846584792 +1807151386 +1495741986 +429402396 +1590277271 +444071343 +265926357 +1626389984 +638632180 +662432277 +2141269613 +602956436 +2061538898 +274837822 +182978239 +1145966538 +799862253 +2096887409 +1179726233 +1610972733 +1412811699 +687109171 +1108678236 +928185757 +297927259 +1085463440 +1388924037 +1893730399 +2007473981 +1824657946 +1789763425 +706575125 +1484325685 +1138021763 +1135977521 +927119308 +1582093107 +1401903878 +406025645 +73241639 +2064336155 +399811610 +676198075 +1978391405 +674649433 +859176315 +976874295 +1474511686 +808580076 +9116881 +938000772 +73908127 +696226052 +2046679008 +1002093884 +994153311 +984658800 +243534274 +740400062 +844649133 +2068192220 +382679839 +1551224258 +1405034257 +1520701603 +539718131 +184669918 +955311062 +1941622009 +590695563 +1028552701 +1858474516 +990507173 +1704750776 +1689382273 +1665156606 +416443443 +518772921 +992184645 +1225023520 +527889802 +1930185417 +1298931647 +1224115854 +1829380777 +153541884 +70785517 +666555929 +397076158 +811185580 +1511205062 +317784730 +1193865419 +914945672 +1722818988 +567083374 +1454663803 +1907488906 +1522394436 +1248802164 +350700821 +403463489 +959793032 +1341207994 +2108214266 +501691657 +858880953 +377174061 +1020464578 +1851065598 +1602197581 +1548354380 +1633767367 +753645581 +624986586 +1315664496 +907187465 +695772104 +1982220425 +1304263623 +1506957684 +1345941839 +1622048353 +553339455 +113403863 +1197383693 +1120422830 +1568067666 +957388951 +495333618 +669386182 +1308089772 +898797108 +1629179214 +501814119 +859527726 +2130870871 +1360695072 +1236701787 +1003851802 +1064277022 +691415721 +404722534 +550560741 +1445061302 +1029709121 +1866225237 +204765119 +1725481225 +1700962014 +1509028742 +1084955261 +899420205 +983593447 +1638294716 +1012824068 +33493493 +611233898 +433408086 +990882444 +1106567517 +1102794268 +151488569 +2005364625 +584489834 +653302688 +717408703 +567877057 +2013997760 +1954110490 +1571728859 +930791134 +498042563 +1976451394 +1481351875 +1943103865 +858676867 +1200093464 +385336 +436674444 +753571830 +1509414078 +1521629705 +1652992035 +345523878 +1012440773 +518332455 +379017371 +1623674672 +951740541 +1369899815 +582758541 +2054534809 +1521388384 +440639518 +491540995 +27207424 +1158048221 +1059418052 +2041205184 +964675063 +483663264 +824512670 +1462717627 +312631010 +158380897 +1258337844 +1171307877 +1358474361 +1258723181 +1607982321 +2112046191 +620653611 +982128378 +1617554578 +966177489 +1994569151 +2135887033 +1345194860 +1470760175 +940143926 +567611028 +2053518716 +847195087 +2088999412 +346674586 +1338736082 +2116206837 +1504722807 +250670487 +2009928373 +321914223 +734333751 +686957396 +1784631850 +1046964761 +845338293 +895486046 +70788990 +56329007 +6725579 +1678771311 +20891550 +627379191 +513416041 +1638446129 +1593556680 +360501544 +1626849514 +791267893 +1831261720 +419509793 +1358878921 +1737296788 +1266704880 +1300394685 +2083971375 +457957315 +1269117874 +1441210534 +708627802 +1131562600 +1763124757 +1442961553 +1818519996 +1400272959 +342442666 +516374641 +148275358 +413231656 +572703648 +155000937 +2092002967 +593595199 +782380128 +457935360 +84557680 +228453161 +818436904 +1711407194 +1019721054 +502214976 +2130916987 +231116327 +92028117 +1250138220 +1531511012 +28515844 +1708095535 +653145239 +1469726378 +269239689 +1784707839 +1085367488 +1712201242 +1455744187 +338156799 +2054643908 +1972118828 +486432157 +320391916 +397338829 +641433095 +264911235 +990934028 +1423813223 +722846595 +1075491708 +1652266384 +1541283499 +639415254 +524503790 +2043498476 +622848594 +755620117 +2135526593 +1872986814 +139647482 +16558789 +1433598701 +792792721 +1486285167 +1702838390 +430016912 +424169007 +1267555984 +1885761099 +762325807 +1174716244 +1710396279 +1248757964 +1495108160 +2107735108 +1890191059 +1760019395 +951185488 +1166520635 +335382342 +2026677196 +671303371 +1876665841 +518608803 +1195807162 +1772680669 +1141457397 +1951427279 +1760723614 +866960563 +2091074761 +1777282403 +153075616 +736383834 +1116083923 +1855914006 +1166400746 +1540252930 +975986342 +904678197 +155095089 +3218938 +467590829 +1403853054 +1498327098 +427842289 +1146560465 +1110862845 +1379027778 +165597452 +1446245187 +1258221326 +836900824 +1175427380 +1776830129 +2032707986 +800624402 +770803878 +1836651617 +413864368 +1637764441 +1780242731 +43663124 +1790840057 +369142917 +1159747047 +1499270415 +1535543664 +552516329 +327773109 +292738213 +707611419 +330992047 +760329042 +2111464473 +1829319145 +1188171332 +1110541290 +792698342 +419715462 +1276138743 +91459881 +1677936788 +2113039567 +1266887262 +1307283270 +1998263905 +2067511664 +2078087148 +1687431874 +333892384 +1568367942 +1320190957 +377555508 +1211724351 +1689333875 +1537302555 +563511119 +1077393891 +2089818885 +891284228 +1370132104 +649946656 +1222276276 +2130461147 +613927481 +904111773 +1171148831 +1724468771 +1696810116 +1590864293 +853123866 +1788269997 +1121317433 +818679785 +907673611 +281117055 +669460042 +827701627 +211720556 +209408269 +1161594012 +1780088498 +1529599226 +1539149520 +844329201 +1071449453 +928968428 +1407840320 +1359696 +871303665 +151640901 +1371491801 +1521250321 +1373917177 +1354469300 +2135177802 +130545302 +378134483 +1712162925 +1827355418 +1968998776 +417803144 +1468141768 +942832561 +1236482929 +228331731 +1223949617 +1905942972 +1056033359 +1435670173 +2115351241 +70143723 +1068275023 +1497466819 +1609293243 +1912604224 +421432625 +390778023 +1172960897 +422792321 +1262081688 +1324601798 +1794284122 +635848361 +551035327 +1001269774 +623542515 +681580629 +1379404257 +188221793 +361452400 +1200919385 +606024937 +1829594168 +2143751947 +1842507866 +2057925899 +1220217916 +1600967190 +966475610 +508404441 +1568834783 +1036619333 +1576679464 +918817955 +498428929 +1341800040 +1340250580 +889206952 +367277289 +1763042901 +3804993 +1691879087 +1409843376 +639653354 +95430766 +263629502 +1263195870 +777011396 +1643033760 +1451417663 +1138463796 +696469497 +2057442600 +820574316 +692737796 +1752466818 +731016567 +1912955712 +1205950361 +1697492178 +273876505 +627301496 +586627863 +1850555969 +1546119451 +1085056792 +1044872362 +738886383 +1974263745 +1412149651 +354445637 +1978068738 +956545091 +1764289013 +470238444 +1051975857 +2027918515 +1733434314 +1828987253 +1523468627 +1037368329 +819967401 +72454477 +947327281 +1640541717 +765192273 +552310452 +224074637 +530664338 +1758260813 +1921566815 +804540843 +238078661 +360711030 +507613165 +1784198113 +1445767823 +1552485527 +375600848 +1272547920 +817151530 +730046485 +1103133010 +1773696621 +346851850 +1573371454 +678188831 +227286718 +1159322121 +359692436 +1750755345 +49206802 +1179659838 +1823209822 +996534084 +672717907 +440918448 +1548844536 +896792544 +971582786 +1159621701 +670875711 +1776123629 +1397700362 +1031586742 +136253146 +1034414827 +329870917 +1688738673 +1410015676 +1602418837 +358406556 +2140062161 +558068199 +2132103177 +339430364 +2131439653 +662808360 +566717082 +1143278126 +1022500797 +169988779 +1192484929 +54676987 +1993198602 +41535365 +727394894 +286633402 +1590379901 +1624187439 +1258216188 +602517954 +147579502 +886856169 +2000218316 +1179166244 +1023109316 +887149496 +1509037161 +564364341 +149681524 +963972350 +922770897 +142260037 +1522040549 +907390427 +481690401 +1505996555 +1570198787 +1048407483 +501791033 +445215936 +1218396263 +1694275962 +499892923 +1064111217 +1735811327 +1227287818 +1350744619 +1178707580 +703991609 +461477159 +1781225534 +851571111 +1348333328 +1633960203 +2030737356 +223958996 +373626051 +1392290869 +788323338 +523307575 +208779572 +1711094235 +665567612 +1730820121 +471001014 +1147258014 +1089333028 +2041199802 +48181849 +1591124062 +338932090 +1266578112 +1137916376 +838825014 +183205681 +726244056 +2066112832 +1533950300 +1904951636 +622620793 +1995427459 +1538693523 +1474191904 +1196277140 +1025170078 +1357445612 +1420236136 +1398796129 +602252834 +61075826 +1922103704 +811032406 +1772170062 +440187668 +394368879 +95687428 +1587445682 +1483701908 +2136887230 +1635627532 +927342322 +328335673 +754721996 +2065258698 +1167160687 +937927678 +644019106 +1085789871 +324394330 +401487095 +1708410664 +172338142 +1940180618 +1035118920 +1368615282 +817867048 +245080885 +641367770 +69179529 +847333719 +702443597 +1991283233 +1658366125 +327130011 +283987253 +2052735004 +422817439 +1871432936 +1388953264 +412221022 +1359576820 +168811938 +740556695 +2114298816 +86586989 +1907717382 +904742846 +730606095 +846023605 +1229137177 +1132093190 +406950621 +1401475319 +924790160 +1442069541 +622606953 +1742657208 +1687150426 +1263974723 +1811836737 +387000497 +1966418320 +1655636322 +2045366622 +146064683 +1939623576 +1950617979 +568882123 +1663572864 +1192087595 +981103145 +875666036 +1360899534 +1721659840 +842481204 +1447486523 +1481893574 +1747224051 +30608970 +180433531 +828877580 +1162702161 +587384152 +82869251 +2087492321 +2029453693 +705476204 +1682665882 +1569120472 +1969450927 +1347018971 +1956120969 +1788385600 +855171646 +1854003944 +1934450283 +647311574 +1657138275 +355848758 +163400790 +701742222 +1336951903 +1039066826 +2062641756 +911128095 +1881548030 +1362644631 +245538021 +1481288433 +1393253602 +425971552 +162682365 +408472115 +1013355704 +245551616 +348480788 +895325750 +951027820 +2031146670 +316962574 +772995100 +1230681994 +125599895 +413897052 +2085853640 +1979603839 +200863687 +585681566 +1489258466 +556712446 +749082356 +43517041 +1893664349 +1788149182 +2106158797 +657308797 +1522213564 +1321319781 +902846818 +856018350 +567089735 +1328818371 +1018700715 +975561850 +194690427 +1264252332 +1324042638 +1090016177 +67796504 +1207705661 +1406978751 +840791604 +290904007 +1532578647 +1254688656 +229273999 +1364698838 +1455552344 +814955565 +706473657 +2012264790 +1564037921 +749990698 +1758445491 +1204703455 +708665847 +268270640 +579433371 +2029985628 +1171117459 +1435451721 +449591715 +352452182 +306668789 +1425153565 +547142609 +1570921121 +601712556 +1637158787 +1638717625 +1809418217 +896653890 +332025582 +2100322224 +281748889 +1586714238 +182112575 +1646447728 +894782934 +997068140 +205437737 +759564076 +413622413 +955428435 +370525920 +1618325868 +1664094282 +638796560 +50275591 +1546596263 +1809914019 +1485727313 +1996187978 +14882553 +1792396102 +1273857896 +562025163 +1215833575 +1875570452 +51700302 +707067552 +1537505021 +948354192 +1039093134 +1490343597 +1230103082 +478323725 +1672456172 +729067162 +1373106659 +522040664 +934504899 +2132670736 +935663077 +1889933334 +355713008 +406505297 +1406543968 +994509568 +456780888 +805656583 +656939940 +1942508201 +654360914 +671822493 +1587420655 +1928218810 +1233847656 +655770582 +1656305614 +1285547958 +1362838135 +1046326987 +86418503 +254447621 +389186936 +1316521585 +732771346 +2061643108 +2045588747 +2105878006 +436200124 +832609998 +2091065094 +1371863201 +575059684 +299294454 +1778368498 +1981603652 +1293804022 +87665738 +639776588 +1950743962 +2030173940 +1294137502 +475082808 +1470110947 +1074872664 +1708930464 +2125881530 +583694630 +846994775 +1341236017 +1630021617 +933413278 +1595683638 +2019208553 +102451215 +180971337 +1933368013 +556314 +139365695 +222084489 +833166312 +82947141 +1593947690 +1408225996 +382241595 +1224832540 +1242346000 +1676045617 +1312498278 +1882122588 +1479305932 +1195188570 +1028776442 +1954388740 +517815870 +2103649106 +1515835556 +496213752 +539860088 +215346683 +1837449769 +22398057 +1148759961 +1285649759 +2041606610 +1251211176 +1466621096 +1827490975 +1251767490 +1605986791 +2049575464 +2084933802 +1688933932 +1496039506 +1345676150 +2071175527 +573388398 +440538503 +1599737497 +1885886677 +175177443 +931559781 +933591599 +1203953886 +738464873 +1451407469 +1160119344 +106816781 +1947621221 +1699979433 +322163465 +1637587342 +1722377490 +1470923426 +775753454 +1616500453 +574650955 +94890902 +1296507780 +1826418445 +1700877694 +1198599597 +1763868600 +1242327978 +547155455 +962061102 +1166019858 +1120543854 +1402599605 +618273707 +858946883 +1577777049 +1549833488 +1792538482 +634247287 +140814713 +1096462304 +1794366631 +247631494 +896599877 +1346862416 +569794959 +386703572 +921756259 +2040718386 +1162457026 +390773064 +467885693 +1257347928 +1687280844 +146820490 +810741974 +738396793 +1910689090 +2053069953 +1285552249 +725266545 +1071606163 +258612455 +2127866150 +1689879870 +1117559338 +1558159551 +1092229710 +762614172 +44923190 +1233044423 +1859076476 +1839289822 +1480675917 +608192706 +1038668590 +2050470877 +994896278 +1960424849 +1943705615 +9869656 +203714265 +264107660 +1267217584 +1890995110 +410928150 +2077959559 +481908255 +174133593 +1983545864 +1767460504 +899400138 +907668379 +2026072959 +879782640 +450064601 +996148649 +290458544 +1542294311 +1758762822 +335381734 +627855086 +1470355650 +27187908 +2108531003 +2078548356 +1065856499 +2011518232 +925960986 +878797700 +1807740199 +935830642 +1082511966 +2071847859 +55564579 +826023428 +335292362 +2133524138 +1307931683 +509425955 +1969586354 +927908540 +1408826093 +729771085 +806497851 +141125085 +1179835686 +1802646501 +431583629 +574646349 +1413925675 +766965364 +1202501435 +736797677 +794153272 +1163548790 +667862386 +1860009771 +1027583375 +1593823372 +591323824 +687839926 +382170367 +1673835790 +612204138 +437734946 +352375570 +947496500 +423775436 +1660307253 +1456922455 +245878142 +440732145 +718264900 +975649227 +1247229997 +859389985 +8001265 +902392850 +1290973615 +582647614 +168834877 +2057938979 +1785149049 +905632554 +704608603 +801214191 +1573494940 +417134727 +1828797566 +1019834665 +1008458551 +369153845 +1402005032 +534810693 +981357983 +1839739978 +887186263 +1928854483 +116031766 +400009868 +1238293290 +361909908 +840742014 +1956558190 +1337559135 +2087972011 +668464527 +1345560400 +842881213 +1959438142 +1928208014 +1011716090 +1869893473 +1565873415 +1917348644 +427018429 +219603958 +1343359937 +844153156 +2048401525 +215710954 +1852611707 +270071722 +1617715986 +239938752 +1251429705 +1309972316 +1127125015 +1032800540 +1426004082 +1527134883 +123610182 +1787913990 +220393249 +2080168372 +977989477 +160881612 +601149251 +176066229 +1003762825 +413103746 +2104274243 +2015478915 +135513571 +1522664010 +1785343912 +562532000 +1742267968 +981220201 +1406685156 +1643185845 +1196931155 +1111813215 +1913257567 +667163493 +1351751967 +1017203624 +1977135809 +331393334 +2050004164 +1255656243 +1858528218 +26130698 +896086585 +2078921467 +2106299070 +1874076062 +92319432 +559964674 +2050142291 +1096082257 +973068420 +2006932886 +964077525 +1108581991 +1382113248 +601937789 +1671113992 +976897568 +1583157990 +930315500 +472599766 +632605497 +2042128716 +238373685 +1299768990 +1246397035 +1255577310 +1129421151 +1577790370 +1158097826 +237593746 +1288834940 +1184228525 +1133680331 +1220272759 +1143043947 +860272745 +1312592191 +1703008621 +762931388 +261190801 +528593393 +622380626 +1225268326 +1637175385 +2004493874 +1827206115 +1160805729 +833907794 +1262880457 +2091121229 +1306507560 +1895485954 +1985766297 +1544881246 +1047771296 +1084679685 +652974908 +29708799 +514986407 +1811072734 +267302545 +1803821347 +847817611 +1400982876 +876610458 +1990861559 +113771973 +41719002 +1546386532 +876703361 +302909803 +2074979926 +1499083987 +1528178129 +1564671663 +1356094213 +1207900596 +577993744 +42518359 +323297405 +521631325 +1349025920 +71299711 +359913975 +746423518 +1119071007 +1444593660 +1399398426 +1148779806 +1959580067 +1062987512 +1416082351 +1615917766 +1910805124 +669581579 +345044576 +1754183035 +783353552 +386763578 +1153085919 +1660056913 +689673381 +1080582197 +1011657252 +70367862 +497770212 +220267817 +1278268458 +1075763956 +262786176 +1601565863 +1597395282 +1611812096 +1672865574 +1957309257 +210751966 +644452933 +1254419269 +1610150392 +1793232739 +1066515688 +525654257 +1061831442 +534949806 +288975733 +1731413021 +879994382 +2043158768 +367282925 +1266757961 +1048761039 +2027339838 +1956431342 +2129343237 +891513442 +2026799205 +479629801 +1111781259 +1157584015 +1555393758 +1374567436 +611666231 +1005305392 +838895884 +137048157 +815131001 +1049647851 +781501091 +2069550270 +512314595 +427250182 +988582310 +1037968852 +1489081625 +1523532116 +1326944585 +1073010998 +256042850 +1222619705 +1440293924 +1522800811 +123897097 +1320150114 +1331748506 +105756686 +64179909 +1211064063 +585386487 +1175961168 +221164430 +2140780245 +403044956 +832830661 +998601989 +1241940841 +969878819 +1813732990 +144105044 +1751379910 +1735799612 +656419639 +31146444 +576898274 +1694388492 +1520228069 +2100430390 +873849429 +445755420 +208989593 +2096469135 +1886049344 +1731790404 +72882584 +1058715810 +916055262 +178639270 +1122895719 +2127119325 +764025757 +151373240 +200800108 +757322355 +554418196 +1033630769 +1755924344 +1796359037 +2003509588 +1422173687 +1940464081 +1607405850 +1010489651 +449400073 +1638552295 +1587387926 +2143788565 +1011296716 +1540334668 +870154346 +1457052136 +1749324261 +819139833 +1195617832 +1333631018 +892022417 +106849995 +102202632 +1070661687 +1229745714 +81838310 +1834687445 +1381118954 +282638418 +444526152 +1935537151 +1316269187 +52966848 +1584412540 +1172295128 +1475140535 +1377392974 +632217330 +338146539 +1826793047 +123285977 +1925534465 +1823097964 +1134582694 +1318385485 +545768662 +444151182 +920226099 +1364908496 +1639769015 +106373469 +109447265 +1746619010 +208576101 +1180108953 +828881076 +290414411 +867312750 +62516383 +573052829 +1311838902 +1998053534 +1889322017 +1364805750 +1434982426 +914133497 +692462638 +664891752 +1546350827 +1030609177 +344201151 +1669636805 +808659994 +19815467 +656735851 +2127045479 +565584130 +1100887033 +899787930 +1930492626 +593172400 +1006161399 +2039939891 +192307762 +1214737501 +1072565196 +1021188839 +1505151912 +1939877946 +1083705222 +2078204742 +1104233200 +934275108 +1820043111 +321555303 +221773886 +586692960 +1014017941 +886665639 +2133043787 +2044627118 +1230866790 +1655196944 +705803464 +1250682258 +164449147 +685365295 +1816266388 +1265336181 +1585153226 +1599275366 +1858508581 +443830977 +1491731609 +2050816344 +1658568478 +416813158 +924521535 +1016236743 +209207456 +2008226757 +946957837 +1313440657 +795018217 +619517300 +1634995960 +1016792103 +1206210260 +501530253 +1903457742 +1191770399 +398673723 +986840885 +699483696 +1104477187 +90039495 +863932843 +1789842482 +1906305883 +2129269024 +1227512060 +1358097601 +1840293958 +1671343038 +702345562 +1743626654 +1182427868 +1119158720 +520664541 +51180963 +1328366177 +381407650 +998138800 +494323186 +1176425867 +1617656100 +2129319146 +45734322 +676382712 +483365751 +1949192065 +1868153112 +882039474 +788549302 +420153160 +1986516661 +878588797 +1284086003 +1628875495 +637411032 +1265871380 +708903908 +1995508633 +958681690 +232763298 +550370547 +554824696 +1415191166 +1669529268 +1075489237 +1466372130 +850411797 +1456896887 +317027282 +1344734983 +485839106 +1934683383 +1326570481 +531573428 +463582447 +1809936232 +333281845 +184251911 +544492058 +1121831147 +604405071 +383525071 +2000419944 +1888491075 +2012400566 +490347328 +1006878807 +573820826 +338372313 +1965560497 +806584124 +888742861 +372901545 +74291643 +410788481 +1448390782 +1540663773 +1261200278 +757804021 +1857691055 +458451613 +1243643127 +1644890790 +1785022094 +1775216555 +2108473238 +1447474678 +2108498401 +145241501 +1991966736 +1082845900 +749646573 +228008159 +935782197 +490654000 +92925077 +1426129525 +1497532807 +666745904 +1764501839 +1315609656 +1473330028 +505761052 +1688511201 +1547621671 +916549533 +989418335 +940801796 +30266163 +1747222356 +651009204 +488717776 +843381835 +148416346 +126256222 +471114742 +109405936 +1573730900 +432129495 +254647438 +1418213988 +1514975396 +1004294011 +1646222147 +303273945 +1494948011 +1739147224 +1729403470 +844997170 +258409480 +1346421661 +13123178 +1731739509 +1852182713 +1701634379 +1131877532 +621248598 +543569066 +2072679329 +651514761 +143307774 +576204885 +1140232537 +986689609 +724621231 +1266488759 +1457804351 +834027168 +692736011 +1889933847 +1088674606 +2110949999 +1257425595 +2092968617 +1609688498 +1560699540 +1440432980 +1201352075 +1142619362 +137946502 +1459761555 +341557376 +151069680 +1044017416 +46256441 +1852704059 +28411301 +667505040 +248789477 +2101090630 +1319019801 +392097251 +529811867 +311768691 +1378786860 +1254433098 +1578257450 +689107563 +2088460266 +123509814 +431557762 +1029651224 +86976165 +1688983357 +975136193 +1696664664 +1102199249 +268085525 +750533091 +97334964 +406032027 +62810998 +438892340 +557101707 +1106828415 +485148781 +262322118 +1135239716 +1152653821 +511111595 +1088846698 +324189975 +903208846 +1618658565 +635958666 +134512058 +725608015 +66732468 +823619622 +666584634 +190242282 +1255177384 +1696235858 +277218448 +796677094 +523888404 +1973883112 +1898876343 +791973929 +576932555 +1996211307 +1198005957 +639743553 +287619999 +1755107664 +1746571968 +772768781 +2017429783 +734328036 +1925422602 +381057730 +1823174734 +102128929 +1284266577 +1294349651 +738087595 +1418778635 +2019957667 +804820064 +94914609 +539058653 +995062346 +1350091994 +87810863 +1272280794 +2146769088 +611699267 +1098680258 +1898161783 +1403673197 +1675612813 +1746889443 +454195506 +167872719 +2034509442 +61819522 +1914444687 +659794575 +2079249305 +501289076 +437733530 +312823388 +176980162 +539862459 +1597089965 +1471329814 +1277950055 +868384952 +1343803833 +2082770119 +963299562 +1882862486 +930348817 +165907908 +1970673349 +55145964 +165193348 +434888969 +1153826222 +2063355131 +1838562166 +681955388 +1662760926 +145274024 +849828107 +1549786721 +207093546 +616789146 +62097648 +138859204 +1118078222 +499831178 +451682592 +1295058385 +1039693638 +2048772557 +618904551 +170160045 +769673861 +1962708384 +105446516 +1732973423 +1698087222 +1035795333 +1898881331 +1521276923 +1090941297 +2064074679 +1956165892 +97283872 +1979946163 +1647244410 +779239260 +1495223441 +1792518434 +1629067367 +897526514 +1999611981 +98372865 +959624163 +2138471185 +1216451088 +1459455341 +442670129 +364025825 +351665331 +343959038 +982930376 +521825376 +1113632899 +798155112 +627271892 +699122675 +348758686 +1663067226 +450520358 +1870035609 +606524875 +367111390 +1678717854 +703808747 +199573905 +1178478616 +1483048007 +1694797346 +823513403 +964631726 +444840213 +675641736 +1063004592 +1404464376 +666629273 +131972032 +716436069 +1109299402 +495997857 +1068101401 +1453258440 +1478928233 +1589926777 +419407691 +129599697 +69715022 +1118530366 +478358383 +1732782248 +1569050725 +200910344 +191823475 +1936162115 +1879628198 +895632223 +2135736020 +910623167 +231196582 +1683049718 +1734136570 +1195828309 +2127889931 +262294658 +111349253 +1384870659 +928923931 +243321285 +2101306729 +2038223333 +739319142 +1021924482 +1343998125 +70763727 +464367611 +1763405816 +200363424 +534082633 +734452535 +678721807 +119381233 +156019612 +879632151 +311204709 +2092181727 +611776702 +1206836932 +2080434099 +1522399869 +1438033514 +1616000169 +1109052791 +486378175 +1596406453 +1371347449 +597727428 +833793464 +152787732 +841048713 +787616545 +43527417 +1580367855 +1809541027 +1387525542 +1651131582 +126424991 +1003447710 +1851495006 +660507624 +1737900245 +382733165 +779888858 +1893919857 +1262365317 +1091093567 +1838617936 +1874142019 +150446851 +1771568387 +1249058240 +1588480365 +1240084909 +210627383 +2074858541 +689007714 +1581974832 +525102321 +1522801178 +1734762564 +1366151035 +162934076 +1778289981 +799035242 +1972475103 +1018331875 +302683177 +2098900094 +2021779585 +6694535 +611924071 +1612196183 +389427701 +1391812929 +1358632392 +1651793018 +335422848 +1049766681 +1378451389 +485869699 +673851420 +480025981 +2074350064 +1913936329 +690653364 +2001724957 +455460395 +125144548 +379343631 +1978261574 +1859907112 +1745494666 +2141195650 +1490713445 +397046260 +1966187105 +361561672 +699729437 +1917603552 +235857609 +706423973 +382043975 +1848053792 +1095851674 +1773856904 +1059202537 +600161044 +2109279752 +2108969218 +1978612433 +447665803 +635336990 +311154766 +374532219 +401789672 +1001808130 +228773529 +857250067 +1126952678 +608117160 +688027993 +839376142 +206128178 +681739995 +182605939 +603174438 +500443453 +544167611 +1302903876 +270563357 +780025220 +2009327849 +652607332 +480595365 +957695875 +278980588 +1539797902 +1557856919 +240776692 +1501283472 +1388985704 +688442495 +2136620462 +1700140470 +1062974714 +390926486 +554464952 +1291748243 +1248176554 +1681417630 +1899865403 +1936204547 +373310124 +2105993581 +470460895 +555916063 +561684372 +970904348 +1100083674 +1864588248 +1241467705 +1880108894 +1726432449 +1894075037 +213220611 +536644676 +25571977 +1753018513 +2094501595 +266348669 +1106818337 +1336003651 +954791164 +1095955152 +888660473 +2017765878 +1486881638 +1443125425 +1162030474 +587574544 +977059407 +914412229 +376295444 +1350369531 +872922163 +846756339 +1906285594 +1434606535 +1817660687 +858885620 +1151711135 +911644744 +591510866 +730659936 +658236133 +804731478 +1267304612 +683808110 +410266343 +1214322559 +950156779 +1517084681 +402842562 +1904947943 +465556185 +1291503035 +1775230173 +1952437823 +587144812 +789776999 +392528720 +1564204219 +1704189229 +768824164 +767090102 +429627744 +1615580503 +525892048 +1864234279 +1285757542 +1384777668 +868461766 +49918638 +1976288534 +1599121702 +708154771 +633536364 +718942666 +1391962881 +1043802708 +1933265225 +194636012 +413403741 +188624139 +2099583955 +878959926 +1480127174 +1727330480 +683914101 +2067271986 +369623832 +1076442821 +1483992557 +2073813061 +1845266985 +103599011 +355957157 +1313363840 +629491059 +72707788 +451637734 +2014268727 +941169554 +501556372 +1843073613 +392807608 +1209711143 +329126330 +1111750274 +454190376 +1372929038 +897531851 +648826388 +1786332779 +1086155990 +600926695 +517809057 +418799516 +180773528 +1201723158 +338587854 +550397360 +130682332 +1822580411 +476726773 +1975949317 +1926179422 +832683930 +1141829510 +408186833 +905391718 +1593467244 +274971912 +1846561272 +2095023617 +2118045525 +91885232 +1157251112 +299688207 +1203635506 +1611441489 +1672617245 +2101167357 +112784229 +1311466376 +1039839699 +713710925 +1829275433 +1458639215 +894484453 +883514944 +1797227069 +1444881813 +1014197276 +1472323832 +1921608586 +842662945 +1251019606 +606808868 +1984492455 +1659206439 +1512200586 +1430476052 +1934178351 +1211278210 +1378016021 +1904740228 +1303163442 +387783485 +56944788 +359315300 +1999224974 +1729562033 +312999009 +2112009204 +893544762 +1352838708 +678236481 +575336547 +663994275 +1572720934 +1458851491 +313737696 +870119099 +325565119 +1786061528 +644244037 +1168228065 +889597486 +1251052905 +1005236872 +401320277 +615769843 +288229276 +188014980 +1827048053 +1666245297 +2092755208 +982727847 +2054028783 +2216348 +1342043147 +1905770109 +1731778382 +1655042156 +1870295665 +477839496 +860397216 +401048498 +1053176043 +1524391491 +1973769432 +364543887 +1838129187 +696404883 +690109006 +1476707067 +1340648920 +1858337071 +218820905 +444218177 +716090296 +620141182 +1059988020 +1004319572 +808156162 +739552425 +523081222 +753427722 +1722280272 +429626357 +755644071 +916839771 +187912818 +339938805 +424398279 +2058208484 +817778301 +1284795495 +311773334 +1870954344 +661703338 +138059119 +88014583 +352348877 +834464002 +778123590 +1829055944 +27629275 +488977013 +2047876849 +471847452 +1205067309 +520534383 +1531835473 +61903234 +1328690545 +123904250 +584984456 +2082118268 +1846184523 +1014610813 +690278691 +615540646 +1202523631 +1030217496 +1039938926 +1113248467 +1847995797 +177250773 +1425021802 +1571466493 +838954112 +1563080921 +1659481077 +1191302989 +250061275 +290121019 +872875286 +277690550 +779098032 +773268487 +749538003 +1984165342 +1293802871 +133889828 +2046068576 +475009768 +257794078 +483569384 +409644388 +2103978601 +1498180197 +1099923079 +572035600 +553220180 +2130140575 +1611974526 +1666468648 +1830652724 +1789225299 +944006802 +1254635570 +480695763 +359604075 +766632999 +1671998753 +609665350 +1056754018 +397390391 +887355901 +1835852050 +1170658878 +1636893904 +1672533744 +316978101 +1770783732 +1571118672 +791987870 +2028577810 +2054688056 +1201632258 +1985072764 +1405384605 +154071690 +409624716 +1958604786 +136728617 +2021599242 +1477589786 +1967381342 +1663340893 +274112940 +1074533264 +2144036657 +633717015 +1841166263 +1668551762 +1243382365 +750436633 +2065942153 +2130738266 +438805035 +1089117383 +1620148522 +2111338780 +1406095485 +1243448606 +1534973804 +50599707 +1124542769 +1442178213 +1252231965 +962131885 +700079170 +1406303655 +1371756601 +511200308 +1543032273 +1245872195 +1988790094 +1362929967 +761729440 +115419386 +289979583 +758282449 +749136401 +2131145846 +279350563 +1992518767 +734098831 +197809068 +1975773385 +1172903866 +1286926452 +1448438260 +1136758998 +545538289 +544403218 +524249155 +596137996 +1668945987 +1966427368 +1848369961 +483594224 +519022890 +1107189969 +1855350825 +1030223199 +502738594 +953739372 +871529645 +1865668561 +1715468813 +986949032 +8164496 +326267614 +1736085433 +2139310342 +605618178 +1581120552 +725925525 +803427246 +1409410290 +1898829391 +2090353698 +710364902 +888104742 +488408339 +1254768120 +1412353897 +1084546335 +776230460 +1231297617 +785432649 +1259824684 +1750320507 +1892622618 +967691862 +633060058 +247877564 +1921431234 +1504589704 +2113546125 +1489416399 +344055088 +2121710621 +1815684014 +2080140521 +2113537315 +273818544 +1513777426 +691979192 +1077245790 +775704068 +443324935 +1020115841 +1486068970 +1331429677 +1508524180 +593353442 +596299926 +445586868 +1369583902 +1827597543 +1231019517 +481924939 +1430434403 +976158487 +1449616801 +2063494461 +1224036051 +1223564387 +1420600517 +1190098528 +565497139 +1764655605 +1164325501 +233697505 +1697312479 +1130379168 +507516049 +1063606257 +1822358360 +1584761839 +1839310325 +118199647 +457394032 +1177895647 +1449629325 +1965918213 +1771249089 +2045929251 +264021433 +993349344 +1726043147 +1495040950 +1475274283 +1008993902 +323715789 +777407436 +925004715 +1547751840 +2000971823 +198121585 +590366720 +418985314 +1962777190 +1754692221 +652682819 +1512606021 +737587741 +1160198868 +428728630 +412462453 +597477060 +120555307 +530662100 +1054871092 +1298450954 +1980291425 +873305657 +922216396 +1878737029 +1137327090 +1915565740 +1457296528 +484884392 +1243356375 +318806782 +808600181 +2020763811 +1243811497 +208868373 +1874251986 +1441933082 +799235093 +145753653 +1257226625 +406443666 +798436472 +622348998 +1144031407 +1958635341 +1051077629 +1556493860 +408628753 +1171632936 +2087155961 +1463499845 +322600243 +1919963738 +189321855 +1244816639 +1651217119 +1326648945 +1012898731 +961029999 +1811533338 +108771458 +1279836781 +472649871 +2129535269 +376164631 +681518245 +1856303607 +1818097713 +1480753338 +2002057260 +927840690 +1887197005 +653010085 +1550189689 +883744764 +464161778 +453783670 +292754977 +872790531 +1625416606 +232427290 +188806728 +1948016849 +4907380 +378128583 +1045349840 +1656124500 +1704777529 +2058248571 +469670851 +1368827219 +19536381 +1749507633 +1841477090 +1588002 +2125672264 +375511687 +1857891610 +1796286329 +1856265026 +1712465222 +576643372 +1595978383 +217991659 +2126833061 +332239499 +682153437 +433133083 +624994476 +1554943968 +2058549689 +857421766 +1743750697 +1859082891 +862329147 +2121879280 +756949083 +370969999 +1679173161 +667714007 +840640850 +900516732 +687250388 +442664835 +594510175 +688838391 +420853451 +970021862 +399246353 +69656133 +678803240 +2111711575 +646299505 +127297975 +182219587 +625648918 +459537475 +864373024 +1058782001 +1084531951 +271833345 +969848042 +1941953718 +2015584042 +681447285 +656799217 +1989979674 +1438396369 +1027769216 +1521669188 +2106110376 +1868410066 +274702272 +645877116 +163591254 +869212447 +1334715507 +584444705 +1839234310 +1733961860 +654100838 +370553902 +1698189788 +1300400343 +497851878 +1880409375 +1926049261 +957389353 +597298751 +837347614 +2041921304 +869132096 +1807195657 +1836391374 +737232490 +341159294 +345706943 +579728517 +1779555663 +1373476159 +2101397705 +1738182391 +1094402578 +228616329 +236575860 +1257993832 +1097828777 +1571291367 +1842438537 +789579439 +1157769580 +349055728 +1160133341 +708475720 +1649456071 +1657985219 +441401447 +1428021685 +467890924 +1038700198 +117885651 +362328581 +1907832295 +1925081308 +51236307 +497581137 +118756955 +396943251 +1077309654 +1898312618 +1770419410 +1031223711 +1489011362 +717338340 +1259840041 +1725587222 +1975332172 +210185170 +1149394941 +1670287062 +999764609 +159680873 +2019342790 +12414302 +868156593 +1521315213 +1670399522 +1309558040 +801853250 +2138290446 +200774591 +919738902 +353135379 +2108606886 +697336562 +404371687 +458704375 +816093517 +801314938 +1536014030 +566922488 +424250700 +419754093 +2055933850 +1141589041 +1679594134 +1634037424 +969437565 +1889779304 +635948717 +492240979 +742060265 +795629591 +364100121 +754474568 +1663786184 +1885415335 +277390442 +825860577 +539784937 +268197240 +1026635168 +1459523839 +621332620 +987758406 +9376754 +1025704307 +1446462781 +825470271 +1827019245 +834993163 +1392392759 +103786297 +1254747257 +1300842961 +1245375338 +786857743 +787396737 +67329256 +529153400 +1423345455 +559570235 +1271213665 +71491398 +923670357 +2025688233 +1735277582 +661602044 +155595027 +413654511 +1201386981 +423792268 +1440289679 +513427173 +1045124888 +280564437 +522803927 +2070829195 +1727027219 +1348274198 +1750364792 +414536734 +593183310 +1854151089 +1669283991 +1894026271 +952042780 +308658087 +533939361 +1019372036 +837811487 +1957284816 +1578942271 +2109025152 +2028776214 +355128980 +1987229738 +1616570148 +1016731024 +2142824765 +2030224660 +70634358 +419133385 +1323030691 +584061531 +1464258273 +1603595129 +1106865458 +1387603820 +1183138700 +307656008 +990484964 +1597675434 +900839318 +697152406 +1119475778 +647381942 +1649195186 +1428133865 +1181321303 +521083574 +118461704 +991122471 +2100025845 +80003208 +872415037 +307671178 +2067232946 +341501537 +1324402202 +2062574064 +224242549 +1395036560 +334223801 +1547273241 +1979098091 +1798482075 +1003384722 +938479901 +1038602247 +39039774 +1246135910 +2029087212 +1636715208 +2146975228 +578755970 +608707338 +646873522 +80467508 +2036841203 +1828194825 +601551082 +7819259 +671833648 +554093279 +87822468 +1544248685 +861764457 +7571766 +1885750223 +38683012 +2070145830 +2109992772 +1433719572 +256885984 +1509782365 +1265334016 +2055368059 +365683439 +56330269 +946486658 +404723213 +1302466179 +828090222 +2041438422 +1301957760 +1406846192 +502662112 +1948831282 +1487313700 +392019668 +1629542460 +2088864782 +399838927 +153892460 +495474414 +487661395 +1698141146 +1357238871 +495233162 +1436407721 +1395921883 +417895344 +1398916845 +682157808 +674781328 +761215563 +1947491824 +582665739 +1126899002 +2003822093 +1529152398 +1531622216 +1158804625 +209758972 +1425576990 +313278737 +1616605165 +1928239102 +114626371 +956435217 +172775122 +1744168831 +897816352 +572614050 +1898061292 +1393290766 +1060275445 +1448718790 +603045989 +1555508607 +737642863 +1998967873 +1973403952 +2136559708 +533642033 +500701632 +750291623 +333650209 +1083367372 +1877190626 +189988654 +465036122 +1261329194 +1348793279 +674795094 +539422536 +1662072016 +143916611 +320177990 +1776698388 +1100351829 +492953113 +1373383571 +1998168181 +1065567163 +1123961215 +1243975299 +2125842608 +425196357 +1847021288 +1533867568 +1162839220 +1698505513 +1359787872 +1151915281 +84663898 +1860489504 +1902206904 +418314107 +796373228 +1631913882 +608302762 +1261409350 +745759428 +1957096041 +1936204445 +1285181964 +1471684410 +2080121056 +1605359955 +1100899150 +1032989237 +2098313068 +326799073 +883673770 +1016396583 +1450760289 +2127649069 +994755543 +1875956646 +1827186710 +381139463 +891312219 +1378208575 +1740927335 +2043227500 +1462872474 +1453933192 +1797950756 +1881186581 +102822772 +1282380991 +342005695 +1364232123 +2028140419 +151618089 +1152952920 +1165838736 +1623302499 +1085590328 +623715043 +576718001 +2118579566 +574544463 +903517074 +854769688 +1590941046 +206793715 +834935110 +438212941 +2082750362 +514638172 +819352405 +826578933 +1892846747 +412796092 +722322785 +1208235573 +1866729284 +372789893 +941938507 +1969552057 +1655170884 +1283944202 +1186300532 +1535827656 +1435562291 +191769804 +554182744 +911381142 +1277360132 +1177897787 +1488099143 +1248456050 +1752442250 +244132570 +2103225739 +1195899648 +450926285 +790677201 +1634112589 +386192999 +1305315373 +305981346 +1212771932 +1050678472 +718777439 +1935094717 +111430398 +438023075 +160400963 +1053368905 +260091484 +1815571847 +189829459 +1446392016 +1203915855 +1625391751 +1638161820 +1758098599 +389289245 +768038305 +788512738 +1877388389 +2016494355 +393471340 +2121520959 +1972236446 +1589370988 +424963596 +615429999 +1075999930 +811156596 +1920745372 +1381981276 +2023928528 +823940197 +2100758715 +1811539598 +935370595 +391298143 +1971940561 +1988739500 +651389627 +1640028760 +31085311 +2097781644 +696460968 +1656477062 +1588459816 +307075919 +2045766308 +209014473 +1095588658 +1775671049 +78025181 +1489059998 +1749708360 +2050261627 +930947339 +27188308 +518207979 +2006947269 +838344904 +291469703 +1241444897 +714789785 +1115409900 +1194719965 +378845735 +2050780495 +1586018108 +203302648 +1892036347 +89924087 +1843331408 +1923121659 +40222083 +392308728 +1432115073 +1628681900 +699384648 +1330397733 +1837696373 +1794973306 +958585134 +1915721554 +1136549656 +560809846 +1818499534 +2067496995 +587998155 +189223865 +1926960616 +1426343059 +480693568 +1020921866 +2141132844 +1596103469 +68158183 +372494931 +1499400316 +1654176291 +575797579 +1243953016 +1744100378 +271645340 +1019591027 +1784322462 +663954068 +304222452 +1265520714 +1363338716 +1634620186 +955733439 +1010828374 +445721672 +723971346 +2147378031 +1006531519 +394987232 +2067391378 +1594529674 +584211097 +1846868347 +873389085 +1064904665 +720306565 +867038282 +513524486 +788464748 +1239533213 +2012924803 +295157391 +1815330793 +1109394171 +2039257769 +2086976133 +2128985198 +1676096583 +603446553 +285724002 +794133649 +1966785270 +1920344188 +1749867089 +830129996 +218582213 +326354787 +830024379 +1225113732 +721342019 +749932110 +672159758 +1305553116 +449316809 +1545548843 +222974133 +1169623374 +265103477 +736498620 +1958088122 +1504636691 +601939775 +105761865 +1172483836 +1711333946 +2145019634 +1111976321 +1692835496 +1673632570 +1715422874 +1978559498 +320282571 +1534724496 +1751420039 +2070149660 +217370845 +1970002252 +249020799 +1047395224 +1047632336 +970362818 +1797327334 +1719792094 +128432286 +99160495 +1117857289 +351406420 +1268783869 +1382960767 +1087905040 +1079388343 +740113810 +1689844815 +1185150208 +1912597646 +1253695113 +1182686195 +877090319 +799046961 +708835117 +445029545 +630122811 +1029117688 +1979754042 +234059202 +951783701 +49641239 +56577806 +1200804500 +1097036463 +1104210142 +23683671 +746880150 +676518588 +152115957 +846040645 +1794375878 +503522377 +2114824515 +1029852997 +1591427417 +1046729210 +1769966807 +1133788584 +84395771 +1535080805 +240000049 +1267081966 +264687476 +1039047010 +1975917083 +709717021 +1669169822 +857551123 +541987415 +1903229024 +1809334824 +591628654 +1959806831 +862655677 +1688665118 +916533325 +886339348 +288061620 +1593051914 +1038455305 +1134102265 +1239944144 +1541977683 +1101443132 +122313493 +985921452 +688695 +1892280300 +2119710037 +85084466 +1279877457 +212226438 +1352166432 +1544564933 +1251273449 +1180599867 +106798306 +772959623 +2038150990 +648785722 +528704999 +1700002167 +1240414376 +341028182 +415174196 +781595846 +1257561508 +1301513544 +1069657466 +703129774 +192485201 +56276084 +1943073918 +1734462884 +1157719216 +2065387411 +572900689 +1158407911 +1810184063 +545127078 +1243492377 +942577872 +757353516 +448175161 +339659157 +2008626965 +1628775028 +446457463 +634102940 +1519442371 +1095243185 +1162807940 +1071960890 +188173914 +1503836122 +1487135086 +969769760 +613913982 +641164982 +2039427227 +1317043756 +833650183 +2095703311 +1112634026 +420629420 +1105938879 +1030537789 +993530109 +116863143 +693238204 +1538657187 +1360355520 +1635816076 +148527055 +1808530682 +1975475233 +9670373 +1289822062 +274449049 +643773313 +661780785 +1369692234 +1806581253 +1733741675 +1557866148 +1162933728 +1073393113 +380152261 +1776847710 +1714558095 +272095840 +946407819 +400724631 +220315503 +2059041845 +821354051 +1326254382 +942095987 +1814884160 +1443117525 +1635334191 +1206057699 +655989398 +1123666620 +1354584754 +317036432 +951658205 +1364255127 +1606858494 +1226107254 +2008028441 +121155632 +448315841 +1667126046 +1854897307 +2006181989 +682576126 +780806773 +238850602 +311940189 +347881220 +510946442 +1258348008 +748605851 +731261945 +1169906205 +1569959902 +2057516328 +2112002192 +1237360414 +1353150205 +1599852736 +295934465 +2009139603 +576035708 +1650519220 +178692387 +1527693913 +867290699 +1785550882 +606317520 +727835492 +1906706514 +1054633361 +247477891 +1614120173 +913331702 +930054017 +247443298 +1152182305 +1241994206 +595324519 +1663128747 +352858566 +1343930370 +246907045 +1522764772 +766406625 +156939725 +1487283316 +2003767039 +1510089930 +939652404 +152217857 +1371745886 +1515688112 +1802737077 +1550438273 +895898378 +522544128 +1188505507 +1502215898 +1250379621 +947728373 +409365611 +1497857512 +414364899 +1322697313 +280427881 +661808197 +327395970 +1522422088 +1257132716 +1990524718 +1875280654 +453579439 +89948115 +1250561778 +1219986064 +246887840 +590361447 +1076269455 +1756977770 +1530013851 +1228487312 +981240008 +898218316 +883740741 +384194634 +1794116694 +1406284870 +1572700141 +1148848944 +509180843 +372944867 +1558214555 +2007038355 +787309766 +733428220 +139982588 +1449117963 +1060824191 +1662404676 +558767032 +903865261 +1390201683 +1012346471 +993813376 +493279813 +84848887 +1240701216 +1083641260 +1161118342 +850195338 +466171464 +242122007 +1831435347 +1364389780 +1125862748 +68146333 +1011022826 +384663970 +1640846474 +12388122 +893844813 +2013791341 +1570602677 +753399520 +653617459 +156547249 +893382109 +2102735423 +1217371440 +408303137 +514018807 +2121236701 +1798504820 +1526365278 +967566429 +144300986 +1611214165 +60783997 +1227942246 +624848859 +910979336 +1694113710 +866970866 +594931035 +911019842 +1992833615 +663077368 +1922042668 +230013937 +156440194 +1934430790 +1123858751 +22747888 +1357549819 +1877258271 +676365347 +1514097069 +623156732 +631617122 +583984861 +1031459870 +1145635929 +557737915 +682481042 +524517559 +1525304344 +826782028 +2135731724 +1586088342 +2054724275 +613096936 +349584030 +1601354337 +1480067802 +944515065 +364890532 +1325417769 +1607592433 +139449552 +1555431707 +1764032627 +2073880343 +531806810 +1786780515 +1283946514 +261581433 +315662215 +650559935 +884738166 +947279337 +1234544797 +1916198036 +2092915267 +1792282712 +451195430 +469949178 +1170103408 +1277977459 +458197255 +608708102 +1185218086 +1071294191 +958292132 +639088775 +403878345 +1902807197 +1003979307 +1729296115 +1362915982 +1143428860 +1137244174 +979464962 +1069825555 +1669050984 +618761829 +206288421 +1930632417 +934424044 +856848357 +667886935 +1881703382 +2091393154 +436601323 +1827135001 +1736192218 +887796754 +149600531 +758811978 +18290565 +607797786 +1367520081 +1203508651 +1679091977 +178328565 +1842597426 +2082970323 +2081135763 +699093086 +1664782790 +1296568097 +1842521946 +654543316 +128549411 +764863853 +176110652 +747311241 +971152274 +2106743069 +1681735285 +1828000631 +627146357 +1415955019 +1771910137 +1063747680 +1095606372 +1360618707 +1951544434 +1245206904 +2119430686 +1969834999 +1853004690 +1339467119 +1025860002 +1384613020 +1517795684 +720973781 +1320099695 +1451447799 +1420066867 +837398837 +600532249 +1115105165 +1491942153 +729081660 +1879969018 +1668052805 +1476392901 +703637644 +1627312226 +1010644539 +384154628 +106974935 +279115910 +8581117 +1170722616 +1374722283 +1369199825 +974783402 +472445539 +1341146863 +797134754 +177966581 +533130334 +1822994756 +1562579601 +2050926018 +396484889 +735195648 +1354890170 +1816551756 +1572594485 +1955422419 +784173273 +917052990 +537020431 +516658643 +437622147 +2013413333 +1220296288 +2064934374 +876574224 +1604450916 +24425661 +1155690134 +1613032033 +1195148277 +382928769 +834748210 +22448032 +855374308 +28411425 +819582786 +1033340890 +561541759 +495093894 +448436843 +464984130 +891578784 +1183632492 +1819874300 +560646892 +608743329 +1627813071 +1344820166 +1525796320 +17349854 +1861478809 +1963418467 +2030763187 +934291449 +1880869193 +759853763 +391258717 +1905294855 +1915543898 +2004290751 +952959484 +150989019 +691555313 +975407516 +1006363328 +719966739 +1794990302 +2039704218 +1281508498 +142600549 +340657413 +1746492628 +1034179333 +1524289905 +1418883280 +1594826225 +2133033235 +899212703 +792162743 +1511345907 +916562558 +506157905 +1327280726 +799842097 +1440449354 +1060666272 +1559695861 +1831708072 +818477479 +1327756111 +1688515175 +1771436963 +1478745130 +232586840 +599360832 +337624810 +952553579 +246867486 +229845380 +86578430 +389468035 +570502794 +1833071058 +1423647368 +2094792699 +1104470691 +870989946 +2080342286 +2003683394 +1663152689 +1444204545 +772762304 +21826946 +624001624 +1572604402 +1462276301 +1684667896 +984816615 +1146500725 +355661727 +165089078 +687532252 +2127098690 +1643834208 +920119092 +578975874 +1981459019 +1872672672 +825843361 +63820751 +1959251102 +1215311396 +634323545 +1644838512 +491475117 +581632597 +601825555 +1362465063 +514491235 +458025302 +878134104 +1958695781 +1230787606 +899961051 +435213757 +655908360 +214753704 +2119881653 +1640724975 +1361254429 +328059732 +1805814053 +2048786681 +307674774 +1302164614 +821422125 +886650649 +1136139985 +546611149 +1712494010 +1199960736 +358378603 +780321758 +1834284282 +2003217116 +1271796875 +268433231 +457559023 +486778290 +782924466 +915584325 +1364912395 +594136599 +2146371932 +117389798 +1029350356 +654796644 +332143502 +1001748361 +148037972 +1693397931 +1329808093 +1953852025 +1594700964 +1637482868 +1108532991 +268639441 +376649869 +97189328 +815250591 +2089143879 +1297150065 +1173629194 +721981989 +983950699 +1029362662 +1993778865 +1252383930 +1486921686 +333073507 +2035308396 +255022363 +1697985902 +481961348 +253910647 +1815375700 +1511311704 +908707292 +35554 +365576418 +1056745264 +1693433485 +1695384511 +863113641 +1140650801 +1185383731 +1971646633 +1409290243 +1562033600 +2068835961 +77057186 +1503693831 +1218502378 +1250686380 +78192173 +54969429 +132565395 +2071971038 +1307353359 +1619487081 +257560897 +1195178108 +1874509444 +1955546800 +1677139456 +2128420092 +1623438852 +1040967512 +889643736 +1623474407 +1406543930 +1946389000 +1169424244 +954444794 +662018993 +162591398 +2139828525 +486181978 +1571881641 +1554378478 +407534292 +1648938827 +910588661 +1626036670 +752141559 +988780834 +1681006100 +884706954 +913268224 +840875811 +356710387 +1170829122 +2036053919 +83736184 +978892274 +1565709727 +64672628 +454847478 +459193592 +954316364 +2078321885 +1865737522 +753221716 +1100262482 +672698668 +1415240709 +1262853880 +665043546 +1901422688 +687251873 +71938376 +161473332 +188707052 +982527037 +1787510002 +940848611 +1971307872 +1321032454 +1825555566 +737092448 +14424618 +34782305 +1907921570 +2050478537 +118518489 +739330196 +1468704617 +183191117 +1194177675 +1927898209 +1137507481 +1125015912 +1646152083 +1890729197 +77794746 +171367104 +1158486259 +1340648626 +836410650 +912425299 +2027900499 +908349026 +1073898631 +69123903 +1890876063 +713924985 +1009972515 +1714700287 +2034957440 +688044433 +304309088 +2049382058 +722826738 +64747010 +1952376947 +841345228 +804077207 +1273597916 +1024536345 +1998254882 +1054012477 +14560179 +975787146 +552680913 +1905289376 +1053581893 +724048017 +916291987 +246746871 +1560458667 +1828717286 +127163723 +321324045 +755132269 +196287626 +64716460 +1469057255 +1206260141 +1779416748 +1356531047 +1894304574 +2083725836 +1258429457 +469647665 +989198 +1063322756 +1310992893 +805066405 +189437025 +188045590 +655837639 +1243449502 +202605769 +1631624786 +1796130415 +2107895146 +537723031 +372694784 +876703485 +784469902 +1933153451 +557937124 +911633625 +106993848 +1313069393 +1107921252 +171710309 +634643000 +166697745 +1951127057 +1991174047 +2061002320 +1887369245 +1102119856 +383166337 +1888358443 +17958965 +1694159230 +545941201 +207395990 +1882204820 +1201778840 +1450845492 +2084810590 +685919978 +1099492260 +2045222088 +1223643009 +1472187044 +774441925 +2008112912 +1257856848 +1332379049 +772262889 +1364850696 +497964795 +1880184141 +1536561005 +1132607795 +2046881887 +1340204414 +976298195 +1960400559 +1080090011 +2078418051 +196083248 +820964807 +2096377016 +1890242478 +1366906008 +156289358 +1624963650 +421201200 +1607134851 +1562290592 +1107121179 +559143463 +1460029032 +183280540 +2031330507 +86987310 +43909804 +1141703707 +1419366359 +816172694 +359070756 +1917331154 +548873187 +1895631761 +902455302 +448271426 +1088352528 +1878753497 +261188337 +20958891 +1809687900 +457271585 +841923698 +1758581269 +200030415 +61346058 +1914870627 +1824994066 +482547259 +1374521830 +1239801010 +1589668438 +1933665293 +552346395 +1772948978 +1817512153 +639333705 +1816858783 +811732212 +2058700064 +485547829 +1170802968 +1828547571 +1034421016 +918951082 +583519225 +1482692443 +2007303610 +314789074 +1743880780 +2028262501 +2124476974 +53668718 +722702552 +1735574595 +253699133 +784048610 +1502961575 +2078693199 +1266595869 +729999757 +1171010562 +708780659 +516181403 +1723356957 +334245990 +186209908 +215207014 +3621125 +997942120 +126423430 +489168954 +21261441 +1954971001 +1523589970 +940212523 +391006578 +858798765 +800032485 +705795652 +455195898 +680811338 +682788979 +508864616 +1403513890 +270879926 +762563749 +40078853 +1773841501 +693773301 +1306674722 +356357611 +1864783863 +2015455382 +872539014 +1440657172 +202217724 +1058748922 +1655864186 +205838849 +2056691042 +1782287616 +695007803 +2077952483 +1589774970 +71114125 +870681358 +1980781548 +929912891 +1670713843 +539093553 +1385108789 +204041534 +1221882532 +1893973405 +1607555424 +1492762458 +509053506 +1647634277 +1119120312 +1202826807 +806825352 +1475477923 +920127022 +674797086 +200533289 +213300546 +877014810 +1259282211 +1869164732 +1082853659 +1168489605 +1503968701 +1777861462 +1098958441 +946260023 +1848975587 +1969639799 +779557923 +631404830 +1492869995 +1318651476 +2016513619 +1696911529 +393050360 +1763003376 +1156983305 +1885812819 +124573235 +657133935 +857449483 +1327400042 +1463959287 +185443758 +100043417 +2138756373 +385977047 +313343963 +868287535 +1645259258 +35025048 +1951141194 +666265215 +1538993749 +1581519008 +1765223656 +337770124 +1283010947 +1587379808 +1117328047 +1914415778 +932766155 +288495876 +1783445749 +482194036 +681546236 +1398965478 +1639177341 +419875407 +1523538713 +148827628 +1277324890 +703455107 +1612786915 +1462768648 +803498524 +1604059640 +1848745695 +1116842488 +324863527 +1346521305 +1151867536 +128521073 +2012786521 +543377637 +1710040081 +1630526529 +881147761 +845567381 +1070422689 +1998475808 +612499511 +2003188844 +139488036 +248461612 +337899232 +821034273 +1647427090 +1977076574 +1240909680 +1023482155 +2125904202 +370750923 +1726937263 +1591207470 +1833519571 +382952139 +1047783462 +1534781619 +1499794627 +1372646990 +733819276 +504178515 +1501168063 +599122149 +1047556152 +1063724497 +82165031 +1928703913 +1909291878 +1152587720 +1779696074 +374307741 +1008292917 +1919184110 +622769353 +1346192149 +592734735 +122712796 +1175785075 +1833644416 +1146194951 +1154205630 +56911691 +725648566 +597929452 +1890431262 +1108600706 +1645712914 +1277729233 +460911685 +870876256 +2011548510 +965090201 +224560672 +463187011 +2012646353 +1288285169 +545352042 +1793866619 +1050093399 +1697939763 +1426079045 +1424401140 +558749032 +1197779507 +2047170493 +1904941181 +1790514243 +22399641 +933242609 +1476675011 +1168594593 +2087448239 +1533586702 +1894243159 +537894043 +1276534316 +855360217 +36123309 +406779902 +1316271903 +906999566 +270844764 +133878456 +1131560238 +734031775 +2146524809 +272361759 +1279383818 +1792907780 +1322455158 +829839933 +1071503177 +599372650 +1388588965 +121799037 +499059495 +1146046498 +1912313280 +521459137 +2079289107 +1241504643 +1690053730 +2019253698 +627607697 +1436813241 +409664093 +1904142013 +144689811 +445787403 +163438267 +1460961714 +1352786969 +434283031 +1594840170 +336863559 +1168314807 +1593881331 +609225318 +300214977 +1239305464 +1931680476 +1130054910 +163324993 +383569478 +371160227 +285124030 +882628973 +1517206725 +49953662 +1404088110 +1449012185 +1291458305 +946658192 +1320782235 +1919066002 +235987786 +1730446329 +1675724368 +380677597 +28750084 +1839162635 +1841639311 +1381537053 +125962019 +1288995833 +1718400612 +1294276826 +735393516 +180142282 +1594491803 +1974698980 +2111822758 +577063065 +2138023974 +347908588 +948223292 +275664356 +1230537561 +317946369 +325618019 +487142024 +1766958554 +1617076324 +1433800216 +940257142 +1388658679 +1669788002 +523219823 +916899399 +2050465599 +551969907 +608578386 +1744621262 +1933506960 +734540405 +886133447 +1504423924 +2028817231 +1621526964 +1684566206 +1475825386 +1448742296 +1648905316 +2052888451 +1439282622 +1996813904 +853628095 +1714946979 +1079867817 +1171574465 +2040564998 +1567009841 +791049371 +1510157674 +853326410 +1731306513 +751332705 +375630764 +107042688 +1668232104 +278612716 +659012595 +129326843 +2023233978 +445035907 +863867248 +761883778 +1949459831 +745200832 +235927094 +1486542389 +73542570 +1684669390 +987964057 +2126431022 +976468365 +837294313 +832575469 +543931696 +1917162131 +2004149934 +437013046 +1336688324 +647715658 +1947170720 +42531086 +231538523 +551019778 +418161851 +338581212 +71768234 +696774567 +997593807 +201095077 +572524897 +1442629715 +1064962326 +1334408675 +1244605898 +1810163158 +1570335769 +583664640 +1883705728 +1107521512 +1571628697 +1862653102 +2083989877 +261439363 +547744924 +480437925 +31117846 +404411210 +917450971 +1367806170 +1052126868 +717138043 +1410337257 +1283665392 +1268157821 +1828499108 +1622246604 +1339926056 +377790027 +472356763 +1541021133 +950314924 +1914986478 +458499811 +137239952 +1012108729 +121179321 +1707575721 +1595773369 +2004885050 +667613585 +1019918418 +1720054504 +604119814 +1281357781 +120315780 +1084557739 +1312475627 +524726991 +2002008710 +532798150 +1576853859 +571663106 +1943135407 +713035603 +1839820927 +1624150867 +187798559 +1032263335 +2001940894 +660155323 +425800821 +804772170 +427658153 +884300632 +942012122 +1439766882 +1005479954 +502104196 +888056603 +862881356 +1169717781 +1907975022 +435452212 +1773837596 +1041849155 +555767993 +710911687 +206841135 +1080494984 +565436750 +739639285 +509865195 +1137099856 +535291044 +1222900799 +829437135 +11958263 +1410699358 +1861700471 +2013899157 +2070854681 +140017644 +671187679 +351029187 +1024318276 +1613199802 +1790796069 +2029798230 +2115303998 +531369025 +745195938 +1137538131 +291860399 +1180648151 +763892079 +1333709554 +1736416144 +1474803767 +1540550689 +669427480 +2040240517 +132706326 +1179292675 +1029856725 +667997370 +254709826 +1859293860 +679955633 +1665409185 +1573510683 +546371142 +1588780218 +1713528327 +1217558822 +1939809405 +590362956 +683274976 +1583121827 +472677538 +651095326 +2114490852 +1217873477 +1788633457 +258867603 +251037980 +405041889 +1592577157 +1987454124 +1879845656 +985644199 +509397956 +1772602525 +1118350525 +1688690631 +654975602 +1786347896 +1943400458 +366785814 +318819881 +1461325995 +1940296498 +865191024 +902622565 +1506341177 +2082749846 +694948323 +2096704133 +618541174 +130586502 +421898024 +1269636500 +97593706 +1639771501 +910786309 +356461309 +1890809481 +1315828198 +1949038466 +1730779957 +1048190206 +787199017 +92694265 +673309083 +1905549543 +1781384896 +1328284685 +1544413791 +1577301706 +1695070500 +1863233672 +891144053 +1487883350 +580941048 +1793766619 +846740879 +516207246 +341231294 +795961365 +1134748420 +471817796 +1217859389 +256901272 +569411502 +710147242 +1167687582 +925872811 +453473075 +336032132 +727427629 +36769384 +1384222339 +1514626647 +129463649 +2057531422 +1272692542 +1910848545 +1238332460 +669622685 +1340666604 +785919312 +385372709 +84327009 +126319014 +966313758 +1878093628 +973059893 +1482521004 +71841274 +1769021258 +469785777 +543659070 +839396999 +726687049 +1113070572 +1549544241 +1894374631 +2038943383 +2003017316 +82923116 +618887365 +2039786700 +1467145455 +2133514012 +21766701 +1377193229 +1258722906 +1932615247 +468042041 +1928345591 +1125798203 +1253961353 +166234652 +1210125212 +1380280367 +1132548410 +940735193 +205856613 +467585767 +1012576467 +1974877871 +937371544 +1556235538 +666791223 +1664058593 +521822462 +68851816 +1410949577 +413282198 +2071869133 +1493872693 +1032169563 +1964172185 +813534500 +1018199927 +1985938887 +43244081 +129439185 +1771070486 +511286123 +2057784776 +749385041 +1765247476 +76535780 +1959510253 +998044196 +1209084191 +752761798 +1203900809 +1676669958 +1765338266 +1031295032 +466557854 +1174090156 +1698086255 +2130616447 +1695912618 +1766938072 +1394082376 +2109194816 +1691323557 +740471421 +993880731 +1508012094 +1554005921 +2012080658 +1346467333 +1597250003 +2141519843 +970054171 +2108536126 +2051820971 +1719439212 +1726299954 +2128356752 +1531465818 +576860502 +1189957295 +136743968 +1780761311 +719143605 +1902082234 +664572696 +1185701459 +928688742 +215175303 +1168834258 +477117713 +1982113375 +415432987 +438828881 +1525953284 +1155904408 +1432709613 +886481731 +562426682 +1297306623 +85465416 +12193037 +1291342819 +1055519588 +2120729163 +1195680142 +627475152 +1699545469 +1176553246 +11457322 +128922324 +219026893 +148201291 +1909683635 +938170498 +2050283525 +426772683 +2123871957 +831488620 +641947987 +1145222568 +1308606333 +476577714 +1560655555 +1747435214 +2002530999 +569076315 +1032661179 +741529082 +1131502997 +182484155 +826994498 +1143696034 +1473826974 +1882514086 +1116941549 +522023468 +362505591 +669003371 +1698576715 +373962913 +797925695 +1917603608 +522164204 +560125682 +708290459 +424964082 +986898366 +684678768 +1256452702 +1628846353 +1829901336 +417575387 +2105424067 +1243073243 +17526953 +1960471418 +1812149559 +1050188133 +554516852 +796168908 +1232672288 +1381511351 +1939864943 +559015614 +1116541789 +909322844 +1081039082 +1479047380 +1578326215 +632132149 +1853010294 +228768262 +402252110 +227690850 +788893945 +1110542569 +652654932 +1775792311 +1795221337 +1909107634 +1257155016 +1477639026 +179199373 +1215095435 +573228621 +196726327 +1028083206 +237894532 +1246914460 +1582600058 +1034063441 +332103100 +816627761 +826444736 +891118714 +1933169551 +1735767580 +1972157796 +1264733283 +1166610148 +456806298 +970259929 +1395378410 +859058408 +1197950780 +36788707 +1969600977 +1850605712 +1812581018 +1617338666 +1612229699 +922252386 +947494044 +1791429072 +2137347822 +1520722666 +1988155399 +1017947380 +1758617198 +1087586211 +453063790 +645196991 +1419689311 +1269691552 +1471641727 +163324377 +1055377455 +1059925660 +2135482174 +172627090 +79052160 +444804824 +1142887020 +1474430570 +1303863232 +193354152 +1511219278 +1125980561 +2043959864 +1176316648 +595835579 +1508705915 +2098569035 +1543329624 +1152651340 +2088433209 +916568642 +993323091 +958896941 +527702192 +2080909303 +1411960731 +1172899184 +1353114966 +534168635 +497057263 +1516439344 +1589546090 +1556982923 +1504437870 +1762173181 +1636035083 +1949242694 +757576553 +962982006 +1105622278 +950930705 +326717636 +84119191 +847406921 +1503034284 +679954770 +208629189 +1454119671 +75800746 +1361280529 +1395069232 +992369388 +207119972 +206482525 +1520071581 +140545627 +1618443257 +545487117 +1493660594 +5128244 +1042544380 +862616290 +1594674335 +452043656 +219570512 +1209363868 +2088078739 +21329558 +1966940421 +903577097 +1126951836 +770387478 +1230294733 +1211071027 +1617794399 +585845370 +1891025797 +1826423588 +2039965041 +1966826544 +1040220469 +1287550626 +811712284 +1247340442 +1494033151 +184300217 +1387886069 +964992760 +729787334 +734063015 +970121005 +1772331715 +1596679305 +417311692 +76891723 +1816249817 +1626675560 +17486814 +1837579375 +1446132333 +921063912 +817047563 +69036163 +3874997 +2028118590 +1686830562 +589720367 +1771660740 +1365770503 +482201761 +1591003636 +258507324 +1769752387 +255232272 +1505847766 +1116301890 +439532490 +746250188 +2081294651 +1169319824 +1480313203 +903932008 +794167891 +929508861 +1321243700 +871059614 +598275030 +800435612 +888546429 +288370758 +99084297 +1809610341 +1105418321 +168120460 +1813485338 +986053264 +1854951022 +255722058 +610230356 +1073237877 +737923819 +53750344 +1331745202 +360192558 +308982616 +690109320 +1476494448 +748515106 +1436359508 +1410305451 +1917834931 +769189064 +166753811 +564519174 +1698697925 +1487997511 +1435578789 +149489307 +140949475 +176641570 +437860065 +240033772 +1986251911 +1543278387 +408154232 +1652253601 +381848003 +115621607 +1907975659 +992078359 +1188859484 +498415830 +1045828703 +373121038 +858608388 +1354811319 +1063230359 +187619189 +2103326426 +352106219 +1597924640 +1873677709 +1121295283 +1764678452 +290713235 +672509560 +1105192315 +1726292024 +821998868 +1246141791 +1902933594 +1259858933 +1486175563 +1741701857 +655653672 +1894329796 +1246471811 +1037501675 +2009951403 +1006963822 +2029580034 +1051327239 +1505379653 +927925089 +1424448278 +216504393 +135252761 +340194989 +404123582 +91095539 +692301208 +2002048223 +1964773248 +1813596492 +1619243027 +108002835 +338622404 +576951694 +1834294860 +1160621272 +1823093485 +1589744806 +272996558 +1161785401 +1183963016 +928650230 +908631549 +282951179 +1966151906 +771099304 +1289915001 +1848248292 +1822426543 +647811006 +628689734 +1099391173 +864315400 +763942495 +1439586162 +1268438982 +855038034 +2131887371 +1123003557 +672327634 +1798000215 +594762936 +780330469 +2136622619 +1171714631 +467141681 +1149760244 +847324468 +2056886488 +1422756802 +2009109869 +1093365856 +203923384 +770257770 +1376317035 +22591642 +1541357074 +518748388 +1870839935 +1216299970 +1166559395 +352046021 +168207495 +2030874795 +1115988516 +1607793658 +1151830129 +1971026550 +1592197381 +127350039 +495870536 +1242713948 +722112975 +1276201005 +1231852919 +1893827606 +1743342687 +234129515 +593668427 +1652745527 +1656886317 +455294648 +598627735 +1860809702 +1225552419 +1974944770 +1883401344 +619425845 +346209510 +1606757631 +1835725815 +1512768905 +1958803652 +2003933311 +1396160052 +927308520 +1464243321 +400506534 +750851422 +908957054 +527856573 +1246721958 +4187354 +1249969548 +375439316 +1236040273 +996313507 +2118782003 +1470169789 +1589981934 +1624043882 +979572458 +2045276582 +75187969 +692898512 +1123345353 +2050132739 +428816209 +1742771199 +248858601 +2035573840 +1431013366 +1761627507 +1846893845 +1287463029 +1010303911 +626718717 +604222702 +1410810445 +1377570140 +1513179756 +1938667018 +476808450 +1517367110 +1041152919 +852247766 +605923736 +2037466426 +823546121 +2076093525 +1479964712 +300106355 +908182335 +1377757646 +375294324 +1601080848 +353619352 +277943415 +2029897057 +2096390551 +526802017 +1917987249 +1379920269 +140945876 +1617397446 +519899651 +1151249787 +96632516 +1124122353 +414576585 +1474202656 +489818462 +205759955 +1951011106 +2007185572 +1246912874 +655775225 +465625660 +1136895652 +1479321346 +394235537 +469376716 +1779427702 +1302417873 +1847134363 +7238378 +756015073 +53270067 +285181794 +638428482 +2176970 +811983811 +408932083 +1382097239 +952929687 +2026329530 +1901996890 +2104179474 +2122962046 +878635596 +371272411 +1449681054 +1368454058 +577032367 +1253208512 +1228155982 +1823945241 +1908983737 +1693781643 +813357246 +1240821436 +2088017180 +1282733962 +872765490 +1242951405 +982384677 +880003868 +1998966478 +1035654744 +1165185662 +489911312 +1037831714 +1977169473 +898843396 +272445306 +782615512 +777689278 +26958548 +739311339 +753167676 +905594144 +1110583750 +55365082 +126564554 +1687616117 +1308573594 +1354720537 +1364077711 +1070073684 +901018532 +29951309 +163411472 +841552064 +1312685271 +1036176962 +2084503470 +147586301 +1916180830 +1935986300 +1183241045 +933882845 +278413965 +73589112 +763568670 +1177257361 +346034418 +1546184183 +1954946639 +372992966 +138011874 +560630667 +1278587111 +1248595624 +615995749 +1405151665 +788728094 +1924569343 +612388554 +5322157 +847159379 +1513407086 +35273466 +1010570851 +207475503 +1347958737 +2046747813 +144495325 +1495545038 +1815444996 +2080481625 +531302436 +601844193 +211411942 +604891548 +1365412863 +1388669303 +950925966 +764113398 +1196132294 +1323918932 +902125272 +1756762961 +455022395 +3237249 +225275062 +1860174061 +791965343 +2360758 +325078967 +797287500 +849520137 +1838486054 +832560966 +1860090989 +2045961557 +33036055 +1759355154 +42973234 +1528581094 +1427316502 +2123454859 +2059883530 +2029160695 +187383154 +517291430 +1247089911 +1576052457 +1468217396 +2011203309 +624701104 +644652680 +765844934 +233980417 +1099675076 +769082183 +459255480 +812365489 +1561047526 +461616238 +1137444456 +210851378 +1311136375 +828446862 +1043412344 +1023743716 +726924771 +1076448399 +635615223 +769898005 +457545845 +2062931725 +745869217 +369945727 +1944608773 +933252371 +887237157 +1044215036 +361821180 +207970905 +907934697 +986522284 +852623586 +1673779631 +1220502702 +1952298662 +295378166 +1679758182 +617180503 +1856425692 +2141374420 +1754624959 +2067277070 +1305027147 +435588174 +963205766 +181287216 +1162512945 +2039654166 +816902439 +1932410951 +349716363 +732350516 +530796520 +719662091 +529475641 +1464048891 +1606899248 +1573690677 +1825870071 +1814870154 +334141727 +664908708 +520010092 +2007921358 +1885411410 +324825106 +155815877 +1417685944 +942005609 +2012241569 +1411576716 +549146920 +1932034992 +569120215 +984735094 +747757110 +750407431 +2147248040 +639927628 +1567309870 +1932175343 +989643992 +152176739 +315488215 +1709306083 +681652380 +1779537106 +1168721683 +107859410 +1457923529 +836108189 +442001137 +2122832237 +1356118281 +302438847 +1860759999 +1680943387 +458254724 +1130962295 +475465348 +323012646 +395055363 +1024612269 +107563990 +964175579 +2009347363 +855321100 +1714583010 +2009111755 +1495248729 +1134409233 +1793803450 +337409073 +1286585972 +2109291665 +2046715156 +1968238352 +1741345123 +1067953191 +2076097762 +1051785005 +1904061381 +370615251 +1027133594 +1112696014 +673054099 +740409946 +646155754 +1131308823 +1871372241 +1121621102 +1454321469 +118943957 +2146233371 +1561885459 +1083119536 +2008097087 +269722912 +650218898 +1869725194 +1764971641 +1784628131 +1516044997 +2102380714 +923730455 +1477853014 +2001612222 +744485160 +1071714490 +922081765 +673099274 +2123499495 +678659498 +1043714526 +1003149441 +1791355513 +1716768625 +1743559387 +290027619 +700593800 +1467447981 +1411648721 +7431622 +1586391938 +1410398445 +1569317081 +522027826 +1271011884 +1839039993 +1172246724 +993253430 +1456527986 +809391208 +361814779 +1411425052 +1733121663 +1839667794 +1265553626 +330123175 +763898636 +40151744 +1003222450 +739914483 +718811242 +2046936976 +1743063924 +362683107 +1616221953 +1339139664 +652710726 +169332105 +659103997 +2064359448 +176763727 +98012287 +1327274245 +1746080809 +620040113 +450802481 +1437637154 +1792286837 +1444055911 +746681493 +454194397 +1805870691 +10622897 +39832413 +1498054837 +1276176524 +369955588 +114469825 +1316328268 +1373178038 +854384308 +2035139510 +1272631366 +449964584 +250338970 +741369671 +1789104248 +903049696 +910701777 +300724597 +819925496 +1087465504 +398736884 +2147199741 +686062665 +1018776997 +450518574 +2123699820 +663580187 +1894574486 +722897665 +1117774584 +1552961529 +733520562 +1157606997 +903532718 +2009697086 +1527562586 +1018002543 +1178541706 +753256976 +1872386851 +1066197569 +2025888343 +174867787 +1316536539 +619774366 +1963972036 +72102587 +1530476143 +117212985 +892028084 +470458000 +515949870 +891744177 +1156520665 +1534726867 +1342262752 +1132736837 +50823406 +1089353590 +1855634502 +1168597991 +494831471 +441671417 +178721340 +1398364189 +303884855 +1706283926 +268883084 +1482426562 +312057255 +2141269935 +401140483 +190461950 +168654074 +1717677022 +810236316 +2132626110 +1789779609 +193228812 +102355448 +534324045 +663686812 +618305318 +1426068223 +1820207477 +5548537 +620847327 +805460667 +56371944 +1710200917 +513611521 +1224969935 +57548740 +955282938 +1403691275 +1455912929 +1259167794 +962491554 +1724796013 +594110708 +1274548809 +1718582300 +995251191 +1465010759 +1887236374 +565444565 +127763427 +1872378837 +207740526 +320992239 +1974734285 +742064572 +984679051 +445555955 +20649147 +657402881 +451104492 +641496474 +1462863548 +507476436 +204213743 +1976475069 +1732446371 +261762483 +784274360 +988653999 +1717675412 +2043442154 +1951145553 +1294987777 +490069214 +1078210714 +866086429 +1485320405 +395737825 +605839155 +2050764970 +523501252 +330734344 +111021848 +844493492 +157984981 +853086420 +1829172543 +603540936 +873735567 +339091776 +1054645429 +1515232041 +1801955324 +1562121865 +1719445784 +1630946746 +1147084589 +1981208267 +267737458 +2135738588 +1551400031 +163695964 +1939400493 +698904160 +653765178 +870127559 +1564990589 +2139085583 +1265865384 +23346097 +2042366905 +1789366636 +354080441 +5905105 +486376480 +512065423 +858991526 +168065376 +1115606359 +1732727093 +507157152 +22768140 +1100475487 +161628829 +1584890006 +672437623 +1792575575 +584490947 +506162243 +2060313033 +572745887 +2057562274 +76525349 +364662732 +608982787 +730290527 +1234790291 +26489728 +721892462 +353172027 +49835825 +616775719 +2142538663 +403916267 +622680824 +481431496 +915981690 +1481672350 +649496872 +2031588049 +1066915796 +1156654024 +2054356190 +19907635 +1318282853 +1491762548 +692345258 +963374780 +2076253495 +1198507501 +876204165 +501515734 +1108586128 +952729514 +866178466 +1717568915 +1683020041 +2100968757 +1744058643 +257428855 +306657136 +1793894469 +874204574 +301712151 +50327088 +1496885399 +783143647 +966308778 +831074101 +1432640519 +850413179 +1897989897 +441810896 +757285721 +1917897532 +1760093749 +101564621 +462759143 +575984882 +30334468 +1661266644 +1452189047 +531850202 +622369124 +257434914 +1398028668 +192454391 +1940454955 +1351513777 +1936513035 +50400163 +1658170913 +1582923856 +924604737 +1959883065 +1633250944 +274006488 +595543064 +452076074 +1105080590 +2028183584 +1302489253 +855586839 +322510832 +2059774975 +626000724 +2082604581 +13855948 +1088759867 +511105815 +44190417 +602542863 +1963294863 +576040619 +1224911988 +73246129 +1974069288 +1417366379 +2013701084 +1178099417 +1206395766 +2064101247 +688786683 +641835974 +841222337 +501186100 +127603270 +1115228825 +1096729164 +579679344 +72825767 +977429100 +1882168598 +928412607 +1299939932 +1794459925 +1554413331 +1235060866 +1808315873 +495689550 +1746166681 +1852506290 +1098232413 +1561977896 +281063262 +175660753 +1635224025 +107648902 +1593027133 +1501441462 +1285748319 +651939251 +1418059061 +1974535002 +1293775226 +111797750 +328237454 +1421378496 +1227026576 +1424966619 +2001057841 +1299852343 +254912071 +1735742791 +80781302 +1554852004 +1382719068 +1635194633 +642429222 +1043551293 +2130884183 +241112255 +748573936 +1081632949 +1803090152 +1029637198 +1257293702 +1290830529 +1137286100 +702837187 +644788343 +275550771 +1354776439 +2062847405 +102602126 +501068017 +27161507 +430839580 +1922446513 +1254188083 +1855806199 +1776020706 +406556779 +2110718271 +1364279849 +487338081 +1518086627 +599515269 +2122532715 +13032201 +1643066563 +2105933250 +254144456 +244156851 +1040082551 +2057234608 +1273794049 +149892606 +1200581490 +263596501 +852729793 +1845369833 +539147272 +60022584 +1760733590 +641749398 +561090601 +1787895098 +1072588979 +336053467 +894599533 +780911530 +2112074173 +1301156312 +744146153 +1328870375 +1788494394 +114749132 +1928385644 +1763543461 +127781333 +1423968559 +1721993063 +381925790 +1668125410 +614591967 +291676750 +794435811 +764484573 +1492258240 +1058032312 +1617214366 +1190144426 +1597179585 +1677236951 +803394368 +91445335 +90843904 +443805818 +1164034314 +426897371 +1338405352 +1944945845 +391487897 +492078016 +541608350 +1720358272 +133088762 +656357483 +1501260268 +1896632223 +784138816 +777745180 +1471141639 +1166064606 +298386942 +2085733606 +1457741357 +1092822754 +702734531 +802515949 +3371418 +172465249 +1992660375 +1600551003 +1849702200 +648571096 +1691996339 +1940546105 +1092376914 +708547005 +219959828 +283298618 +506009202 +611447725 +775376635 +1047617553 +184322349 +908465397 +1703975036 +1685582618 +657613973 +340630204 +315844150 +2128755612 +1506694811 +614231092 +2067005570 +816952520 +1707053846 +622256453 +1619468469 +1710425265 +794721702 +1464645197 +1163492620 +496940255 +2113216293 +708005311 +290002712 +1058109559 +1416552317 +509962540 +1341408178 +1922561519 +1121410266 +2116784813 +822695424 +1305732615 +877766562 +379186812 +843831585 +1535380535 +719817017 +1159675735 +1516652499 +79028180 +1773906828 +1436174421 +895980700 +1333477026 +2058430874 +367965521 +896418643 +705668929 +1832610718 +2059911264 +1202609184 +1798343363 +620432927 +1492611896 +708969275 +2036985244 +2002574436 +2050377453 +1812063116 +976501054 +2019678618 +487274892 +134750022 +749961532 +866461705 +978581607 +137858420 +1586278722 +2138257343 +1654510919 +1665306902 +1764680523 +943201693 +413803954 +950673901 +854148919 +781769475 +1847092545 +1559817848 +466896546 +1759520161 +614943384 +117756261 +232469440 +2107555280 +826725536 +121971037 +1962646069 +729619341 +1934034153 +791663475 +601814311 +273825397 +926413497 +1351775844 +1140287102 +1904995105 +1489634264 +579082176 +1895768800 +996661535 +96905430 +1512965675 +1939863228 +510709384 +316155928 +646528500 +1292478860 +15764825 +58862700 +1759375406 +1775284986 +673806085 +1877131667 +2007754427 +633877717 +556373556 +2129725464 +449040138 +1285992897 +1916275969 +1240703614 +1887807209 +42617718 +19633463 +1092099405 +1182904821 +1924628568 +434250021 +1761986997 +1672913720 +1430911556 +1858892428 +1038395747 +1223291137 +222118164 +1354551676 +1869819637 +1514597024 +1370316501 +1928682337 +1126488782 +998117840 +455004774 +856136802 +858388619 +1088882492 +1412510358 +840630435 +1537922630 +551019607 +609422756 +631142596 +291343168 +652040474 +650776060 +1383442573 +1834945295 +427920980 +1817692594 +1449448645 +2100834701 +1101120503 +1160857425 +991746800 +176927992 +1382975589 +198814828 +2046747629 +750088966 +1569131330 +1827946318 +1876577748 +419765522 +135467445 +585230902 +1278154141 +1224349937 +1997741260 +2118784576 +614788919 +401277220 +580723684 +1245931516 +692620388 +1232764158 +1896707576 +2076062962 +920225806 +177144908 +1746271908 +222190803 +130495961 +699908763 +1383048228 +1122242762 +876836755 +618540169 +1321057590 +776100736 +1368629135 +742705272 +456563407 +1097723236 +1162470794 +592030852 +1682954138 +293141287 +1816380789 +1533211751 +264442215 +283686060 +1934488971 +845165899 +1529617576 +479625711 +2077930058 +1278841504 +408205025 +850672216 +1455986413 +6993286 +1072863019 +1586482374 +706902049 +308427599 +561241488 +1583738805 +926967768 +1882299079 +212355893 +148113256 +477520703 +668919300 +1245836492 +1639991498 +1260950152 +781306982 +1933132785 +929847293 +167035085 +50091353 +1213533354 +2101524056 +895257252 +595667282 +433666120 +825703662 +1874508787 +841871145 +1676375878 +1183011552 +848864431 +601755249 +622010278 +1555766481 +910182848 +1183251767 +992021638 +1837150617 +918067198 +1204377531 +1985263873 +1395587901 +1873296832 +1083616717 +888095751 +986763336 +1864923699 +673744889 +1916610630 +2031958785 +723836242 +982660336 +1985999193 +1619093494 +1578327618 +272181665 +297313509 +1305352757 +1114052811 +1973689387 +340880661 +1962917242 +427960989 +962890940 +1371200075 +1338143837 +2146142707 +215738065 +1027810806 +916726257 +1420115597 +865591031 +164830510 +1145928781 +1949207748 +1052926262 +2132692117 +1666647800 +1726671151 +1901819099 +1551122937 +303023745 +736995787 +1389638482 +1922117239 +167839758 +1661820148 +71947100 +1473192515 +628389311 +2045636488 +1814073177 +443822905 +326113829 +629480469 +1815022981 +1664257666 +628139528 +2030761046 +544584825 +1544865785 +1303392995 +1410175856 +1709696295 +301838128 +1211899957 +615138909 +287046598 +731064109 +194326412 +41382049 +134703398 +497350157 +778377837 +1524341880 +271983749 +946217595 +1038678380 +343930849 +271926462 +1667067691 +242083689 +2085999639 +2110890597 +568197518 +567996460 +1778429930 +84971537 +1196135988 +1661707328 +629556362 +593518125 +817616676 +2039732218 +155730773 +1119454804 +1104148527 +770869682 +1406501402 +1835212636 +965196095 +1447883452 +1969916034 +1462546252 +78777641 +1346774267 +1734530001 +1024995236 +237968999 +2078460851 +1296921698 +1905036691 +173060892 +1235437690 +1868443640 +741258411 +1803434150 +1499389922 +826229948 +852086491 +1013613602 +1455786310 +1445604616 +1831230278 +1348034880 +1601335389 +803201435 +304699760 +224721424 +62219189 +2139912396 +1189917519 +1510102641 +1962344783 +504980123 +1588880282 +1161635402 +92026477 +466391870 +1399604401 +23003680 +1763313569 +1157157444 +196064572 +851267611 +878117436 +937322983 +507218113 +230023710 +1763552931 +1359304604 +1243637313 +1071855593 +657425573 +927383943 +272406826 +111277314 +1730585378 +577106586 +335998738 +1792804568 +569535334 +1525916257 +1155423561 +384396469 +2030896381 +596820196 +1546031871 +2122922858 +1063212066 +798152625 +2145926538 +679041987 +1955310069 +194507462 +1530309598 +685943858 +1131830446 +2037527712 +915967568 +747899729 +1249348668 +12121233 +1819755323 +1906774241 +939505177 +2092162149 +2018051556 +522606907 +521785087 +206566646 +167927827 +1091320421 +1732482904 +1323351389 +1475716891 +1615895637 +1920171585 +874265114 +1591334847 +835900003 +1672417739 +1589777737 +1514941991 +1480244161 +1784285199 +897767941 +18704371 +768631997 +787812005 +934671939 +1516531727 +2037160674 +946793173 +1188803402 +1796451267 +1886298350 +1133481903 +1667019175 +261421609 +1655266990 +1873585822 +429349437 +599103763 +1458585078 +1752700826 +2074820654 +926997067 +1525388763 +801602121 +370848266 +213805118 +326536212 +1960626003 +1728747109 +1806780373 +1597427554 +479031403 +1825484744 +218575904 +1266843408 +612673036 +1735107631 +1156520434 +1559466209 +776427385 +805488054 +1298280911 +1909909288 +325023581 +1559702520 +1417692630 +51125755 +1989051957 +2016796393 +1509710833 +1594269135 +1944133400 +289224252 +972174250 +598251873 +660072518 +1185979369 +924788085 +473214873 +767242830 +584084811 +2070642428 +1246274233 +262085907 +141734684 +365633994 +874758943 +1876842315 +1522154428 +286741504 +505786052 +180158834 +1585022415 +268211692 +505182416 +997241288 +1685904322 +556308171 +838809597 +1555217067 +2066019005 +285595085 +1351866819 +207759609 +1257769335 +1950118692 +867832128 +296265056 +727423130 +1341047001 +1063507887 +1311507941 +1264205781 +162298472 +1573593848 +1405940465 +527932466 +300869144 +1135299132 +2050086895 +587610648 +1641085184 +82762081 +25149416 +1909296876 +587944497 +1022390704 +1447717550 +1144252669 +1861200301 +855450970 +1062788026 +2146795386 +59834141 +1270547635 +1257081074 +2009952834 +2138379763 +1553346130 +589892316 +1331943117 +469370369 +1901400257 +448665250 +631668842 +1327510457 +1854605716 +1159601308 +1628379601 +842421200 +1062204555 +68506602 +336022737 +1144966637 +93656018 +97835965 +1732911134 +1116046722 +1545553516 +729680155 +829763375 +253520838 +1792468181 +829075114 +313354979 +915532169 +2086156188 +175824165 +906428284 +1492018670 +765716481 +90887753 +1961389040 +519633090 +539553004 +445574234 +1847143548 +246675072 +1605175542 +1328039501 +1089096272 +519896450 +1396546103 +1425119009 +1664863087 +1490202121 +1522954975 +1250290573 +458765195 +921024843 +1979970729 +1288528571 +1174545681 +1624955262 +2117603685 +1487900660 +393003783 +2056276225 +1663724826 +1299432068 +1400811247 +281957659 +1390319821 +1214716639 +801590750 +1929872825 +1660290873 +501250650 +29064249 +1117982768 +1829290151 +1118160522 +1637879218 +1078352607 +395795883 +1155258657 +421071080 +1918750858 +258065582 +879836276 +692292053 +90552663 +20881199 +1866837734 +1715507926 +2138484884 +1207254747 +2108511709 +2047277461 +723495925 +1260460129 +1300605060 +1005453584 +503296303 +367838052 +1807044334 +285685480 +2028128925 +160811336 +314749730 +998628045 +1990101488 +1432910252 +489023615 +920970447 +1828706135 +1644282272 +1342041527 +1599973346 +1902347855 +74394155 +144781751 +1992900518 +95275354 +2011619486 +1560924796 +86276590 +1071390585 +1521952858 +2133554051 +1794886510 +634929339 +1286675464 +652856446 +1138225642 +1654513516 +312417133 +1423911123 +1535158793 +473228469 +1738660853 +386303191 +315846309 +1024087457 +875326806 +1236816756 +705309944 +372125431 +431374636 +157799642 +126989638 +505768791 +302581394 +2119890156 +601044146 +166717232 +1533331305 +687320736 +1238107817 +907800515 +673391140 +885510679 +1542729854 +1960066604 +1538367125 +533471849 +1467096472 +1850784258 +1957382972 +854771617 +176529080 +1548560177 +1241074808 +492375389 +425163986 +2116401615 +1729192146 +1130473930 +341043398 +13083134 +1288273573 +468033036 +518851925 +1590854967 +440439544 +1119896071 +1757572199 +1973770849 +1807216808 +848196368 +734087716 +333124300 +1733707047 +129333923 +145707256 +1124590524 +662805772 +1612803728 +827891135 +472705096 +320091697 +1004420215 +2021265273 +1561166506 +1496795604 +298945611 +1530084473 +1078504102 +1429419541 +1871127871 +1091587236 +570209466 +191677259 +1610439162 +13580785 +632116803 +582851585 +1771152984 +458404005 +242584745 +471865704 +1192491721 +575709045 +58089103 +1321825644 +721416301 +1182679628 +1984631416 +186736381 +2010570763 +309852864 +506828079 +867507330 +183634489 +2067994585 +216819286 +482580100 +1450595410 +1295323389 +1911999642 +1174239633 +239426977 +334725460 +1365916892 +1849866139 +348306246 +1998033695 +285234077 +2119459230 +308954052 +527818822 +443841287 +1501445774 +1103527868 +501930390 +675787770 +1824944169 +1684610018 +512935539 +2011680551 +1547697133 +822788403 +371024982 +267720815 +1006422893 +291535919 +484540102 +1489002993 +1742131329 +1779863491 +1253518987 +768887314 +2019290468 +1588244448 +2134804206 +1721672960 +1936550694 +1985354253 +2006907037 +1908526276 +146824658 +387242211 +204883915 +1648270432 +1490770079 +706814306 +176574554 +1168230601 +243940676 +689510093 +1032427504 +1791637810 +1512298497 +1403452486 +2059358625 +371237742 +1694988405 +396415079 +1860240735 +1289636086 +28794922 +966276075 +2058523400 +2048085391 +407036875 +2045843958 +1622274703 +196103921 +1883714563 +1481698092 +2104630197 +2030539221 +1868940303 +162030465 +1531326005 +1212226735 +868844771 +1707900560 +232973688 +1112785447 +249927005 +1265401192 +756939609 +1762225502 +521370030 +668814587 +2133463244 +68874787 +1065229666 +1846220332 +1358510873 +1094024589 +665012759 +1269550625 +994626332 +1072049634 +1167910935 +469417387 +1268153555 +904141850 +1951115479 +1225300104 +787197424 +1672572134 +1387330569 +171039781 +737315221 +108691692 +1878940341 +970288909 +1221477140 +2128867347 +88206453 +1978416749 +1743609201 +609576483 +499747688 +1729588798 +678451270 +1564977355 +1428325482 +2036962143 +511518296 +2093338241 +1159029120 +1506144628 +1017904227 +179456407 +1975562015 +138574134 +1083598258 +1779193846 +1363874238 +1870795682 +1304282332 +603721160 +2041835463 +2041597554 +712412852 +1773292157 +864402815 +1933889992 +1754675856 +952609269 +1764823094 +1350801409 +1562185752 +117087134 +932906559 +93153375 +1682064489 +213748393 +2130115518 +46099137 +159602986 +1141660991 +1552243765 +1177507213 +1321117398 +1380322132 +1316081347 +257232008 +1012032330 +532471938 +2128027690 +168831015 +1136193098 +2022379506 +62944921 +1848605950 +1648188015 +927347736 +1635012295 +1255380223 +1879957005 +1252351741 +458697984 +1294659110 +1369438875 +1391604544 +1387812485 +904019717 +1605352937 +1370444355 +950118854 +1764955924 +364621698 +354878972 +794979489 +1685739097 +1735201104 +2111060837 +1942971105 +599749787 +496049127 +1923515148 +768580802 +1632242225 +1798411006 +831525723 +1333364527 +1299115373 +1758873459 +820893174 +407011948 +1491346817 +2073244915 +865709932 +638522279 +1295200143 +109830828 +2026334764 +51736212 +1715183766 +1249295471 +1001855066 +1332656042 +1613917170 +1356734038 +2127635531 +1152172619 +944451495 +2091212720 +947660076 +1544201282 +439778199 +723691576 +165298436 +2072020424 +374618934 +996824159 +1257901304 +1673734307 +608213970 +2078794478 +2080746255 +2099560787 +2004555746 +798972540 +590599418 +1152272241 +908803368 +469450534 +1204008453 +476503486 +1718746006 +58379871 +1809159528 +1185179528 +1415113910 +1789311412 +189868499 +212081757 +1733040484 +1137528575 +1756283039 +25335036 +1861220152 +1921581475 +2097355460 +88355438 +770921986 +1207773116 +1762089746 +1379135956 +1139083947 +1695352353 +1331213096 +996156045 +346841245 +1921812514 +944638 +1255644614 +243779401 +1204953091 +1732148100 +1962525407 +1263332962 +1393823981 +1000221287 +530963224 +1035651745 +1190089786 +743044981 +621208581 +180134713 +351844372 +646543617 +2041354865 +125942199 +596415430 +2129710304 +896864185 +1804188546 +1744316402 +128516494 +795788845 +1292185107 +1459729590 +1791944890 +1639026353 +1234058456 +1792889528 +747187319 +1477837857 +850358971 +331851771 +1292879616 +2113691934 +1725675752 +145617255 +497171510 +613843849 +1335707041 +1240216492 +1235052431 +1515841755 +1592060864 +1881596048 +1409712972 +1718003064 +330527830 +1391939628 +467383601 +2134716377 +988772382 +595900095 +783021574 +133473842 +2055629685 +427482817 +1772500195 +1142204494 +72888697 +372203866 +472558703 +923247669 +704055637 +1765438320 +889455955 +282247742 +1911055575 +1386627465 +896091591 +1099278969 +479360309 +2131144022 +467637076 +2071421174 +1865256423 +1877350048 +1641940590 +48300605 +1121806029 +2109324191 +35533334 +2110578411 +557740639 +818554909 +96568605 +465886676 +1246037726 +1869068800 +1608091170 +1318926423 +93789018 +2080649874 +94690444 +797844656 +1698604546 +984146399 +1080092398 +1462176473 +223290217 +1976183989 +413971794 +702650526 +1959844364 +881608870 +626588052 +1677617139 +611475271 +121044994 +1725917744 +1733281300 +82885538 +1761451079 +1696376063 +640626177 +432522340 +1792944669 +1106512853 +1678560066 +1514529821 +567120376 +850002841 +1608318840 +500286602 +944693286 +258679848 +51407500 +1928839685 +1338772246 +1513583973 +4646254 +1167472587 +1927555768 +707296781 +979833303 +661680990 +1333884833 +509966794 +1273156261 +1454929828 +88400891 +858953913 +1537815366 +1849851970 +407846329 +30957895 +134890662 +53307350 +1137470748 +1813450728 +1567837171 +1704591124 +515969921 +1028672363 +57394078 +1460663207 +1287352211 +108801578 +1242019245 +478640809 +1622385552 +1246665499 +1646113397 +1402457672 +1953962280 +478463052 +2064138662 +1140363466 +988429847 +1189811276 +447809646 +1076830738 +2048765189 +1985625012 +779199060 +309127870 +2016582907 +914089722 +362435220 +1006570007 +580056802 +1930272392 +563677484 +1096026723 +811461107 +621071562 +409206283 +2098813319 +729873141 +1651225528 +429970480 +204775045 +750407379 +2076083877 +1607232717 +556886012 +407063282 +1523887731 +1697249478 +1395493129 +566215359 +2145059124 +324840219 +467496901 +1983200488 +1104039279 +776624771 +1852299747 +2018129001 +1139059992 +711386106 +450702155 +921848736 +1275063590 +1546728878 +1733309843 +1896135153 +1955935161 +1684639514 +478524646 +1459677041 +2114609995 +683299691 +62600773 +2043210224 +143048760 +619486785 +302789858 +1666936491 +169252615 +1698282987 +85668203 +166828091 +2023123206 +553165104 +2544931 +979678837 +1329789875 +1854844678 +850324190 +321366219 +418747136 +1301026345 +1243214955 +1693810727 +700271576 +829041151 +1442462232 +508723089 +366197017 +1920986878 +1968400131 +333323364 +456802921 +2031000904 +229049941 +599851681 +503004041 +531839799 +119304524 +672256656 +82639139 +204972727 +839084747 +2105762345 +758137831 +841629678 +937957535 +2087927707 +548990708 +1788281725 +261810278 +967737844 +941824423 +1505025234 +514064923 +1642095999 +186582737 +1956527155 +3335440 +552779754 +1730030385 +1971735571 +886103119 +39349658 +1855252827 +1115153060 +639201339 +210773220 +1646992859 +758505864 +883029876 +1729631998 +963478591 +1722114623 +1687910696 +1721616423 +416260653 +478384583 +1662060482 +965251361 +119182660 +1923870760 +1932989206 +1061007083 +1281412346 +299570481 +555619434 +1467995083 +108613989 +558954875 +2020774838 +1838644374 +383206798 +759394309 +1877994033 +90975978 +1874547369 +369711724 +301749198 +1374056580 +1128217588 +1184779075 +956204931 +2091696180 +759410050 +496631979 +1665828955 +1175670704 +975016562 +1180405789 +2140922065 +1094199222 +956792901 +1926427623 +7722658 +90721600 +78514457 +563342092 +1558716683 +187128446 +1122296967 +1432007873 +2025772820 +1505503766 +43918534 +1756283205 +1596479744 +1918465903 +2125994930 +1898228942 +1145038836 +1106728870 +935524369 +2101243767 +1050941402 +1694934420 +450392098 +569286709 +723121476 +1425408660 +1749692498 +716559893 +372124234 +559001752 +495503869 +379846892 +649723352 +574018326 +943188985 +60956387 +761146772 +2065485952 +1492964261 +639435944 +1423506070 +1536882795 +248235502 +872502166 +1307865051 +226746784 +623247461 +305420239 +1333475654 +1558771830 +259180358 +236933409 +1106222602 +709572456 +806220118 +1829344078 +2134981116 +408428969 +398420324 +359621702 +967430721 +893924193 +739468595 +1617154073 +1467942519 +1682657580 +1678110460 +81605643 +1600659884 +1023591073 +721041587 +876682307 +412990221 +969277089 +1749184473 +1720855272 +1196023873 +224948286 +2026275511 +382015880 +1783720117 +137972221 +618949289 +742459071 +847544677 +1425169407 +424319502 +835042145 +1833598376 +822739826 +1194663847 +653545449 +1716664019 +1934132442 +123215874 +1037122890 +1469306374 +1801326335 +1118728533 +922482611 +677433760 +1839770120 +1799164918 +1090423981 +661563562 +1400865743 +663795605 +1857587435 +1625814030 +542587468 +92119667 +1262050499 +680559689 +711068956 +2004509570 +1528104366 +2136238364 +281345424 +215662863 +1822353092 +1104085250 +1410326711 +328414894 +673265621 +1196975505 +451630768 +1710388511 +518798232 +105473455 +681633396 +1441280843 +782907216 +373919869 +1092962113 +1873331197 +1035483431 +346344208 +389643155 +745587218 +1972158238 +932230623 +837706886 +1086725089 +1612790313 +1548775842 +943751012 +993411031 +1537530558 +1225096436 +1209073895 +1212400003 +181698039 +471916958 +1540814897 +854963660 +1668892463 +1992445665 +417868524 +40207047 +2097919121 +1099501920 +1481487890 +733342689 +1473421789 +426966355 +459190238 +361421572 +773310564 +848833393 +1107008791 +597985154 +1781064017 +1944715677 +1684710244 +1246370682 +1346007871 +480977608 +92298065 +736054782 +1706074044 +1301371960 +1948454785 +1887772083 +1773288918 +1341786034 +595252096 +1294697734 +1186748051 +1013120620 +1334904781 +1137183524 +2112622540 +668909024 +1870526213 +1438560682 +1095875379 +182232804 +1799982254 +1869185943 +1031066197 +759507397 +319687450 +664646566 +556739426 +2004397694 +1911017248 +1902747298 +337891654 +2003315314 +491318432 +2043965698 +1157203626 +292289569 +1784254134 +783008897 +1634075603 +232022582 +2077706631 +673340006 +1245143202 +1265127764 +1810523531 +1210282094 +1934036788 +1533566096 +501359128 +882428520 +1715798900 +153857735 +604130815 +599381450 +913365132 +923818265 +1264028016 +1470104559 +780732311 +1027561617 +1225368209 +1118623965 +883393283 +1716686641 +1015106016 +2040596909 +2008976210 +651876502 +676122158 +1495568165 +883899084 +606345141 +21424523 +2129042286 +1871472906 +1831948054 +1191840732 +1658026046 +1218030503 +1693199861 +392970918 +786345755 +1847057596 +997101734 +1385727205 +612939080 +1920919999 +502271574 +2083043639 +554168663 +1529833191 +1160928200 +1672792628 +265742826 +730131193 +540414996 +158856087 +591623755 +1192291498 +834978246 +2087191920 +2076190582 +1441323387 +2108616444 +2057749220 +1165312645 +1793080850 +1102106305 +675855044 +863627705 +647822518 +1068825962 +1649973461 +347396466 +2065927696 +888217018 +960335546 +1839364048 +1390488592 +895895538 +246049063 +772838135 +2056823738 +1918841691 +1038580961 +639471284 +311773040 +1197437049 +1231095039 +1504064538 +2032415295 +1170803312 +1432771473 +1326255034 +1131936108 +1343037045 +344084032 +777533310 +297659702 +1019939076 +1641161016 +945482220 +2088765038 +1143650829 +1292878686 +2007209087 +2031867847 +105730585 +1699089487 +1274872792 +1001626123 +1945138550 +2047710927 +910966213 +1716496593 +938808241 +1550437497 +2028269633 +2136245290 +634048889 +1384850524 +2021176937 +1804852201 +670138349 +1199948323 +789304661 +2013175394 +1544032355 +1566837971 +163351449 +416487783 +1060515339 +1108833669 +357769174 +56682520 +254228708 +217494613 +2088550368 +359959293 +1916584100 +1215939512 +1361585416 +1714239002 +1116166791 +125067981 +1283251947 +2054975032 +1675505479 +1164037933 +2043736674 +162070720 +401404809 +1917429963 +1966922921 +1071543158 +969894639 +608743934 +937234904 +366443346 +28098257 +1100586353 +782931130 +1088613597 +61936375 +1140700304 +1145296117 +316165083 +1358194917 +1086362837 +676124376 +1127295369 +154818701 +2037709792 +694050723 +1270985493 +15294125 +1977302670 +1178476877 +1690799604 +993856955 +1074729904 +1852870324 +1395261764 +844676219 +1672309597 +319321274 +1814570858 +133569883 +1256556179 +33530557 +161668141 +209658884 +816461687 +1250281738 +271595259 +1957161991 +248094207 +587760342 +1167873260 +1334457045 +1263884718 +147684981 +1489275746 +1154110862 +841735704 +612777591 +1169404988 +671554726 +1791254469 +712720944 +1665411682 +718500725 +418107621 +913189798 +1563176944 +2090417218 +1232511073 +1230264155 +76503454 +341583604 +1263794712 +238171595 +551242488 +2080256399 +1488453333 +822837748 +1889934742 +1736547540 +1410598090 +910324354 +923520937 +526999161 +1058009335 +265313036 +1681110023 +1899745039 +878090627 +703031363 +423816117 +521861448 +1415752308 +2089227799 +1240362173 +1833859929 +854933950 +656055470 +1776793499 +2087445023 +1886319625 +1853296953 +281544979 +1002630689 +2091468548 +832787467 +935403440 +1432438233 +1655625215 +677854534 +1021502126 +918739658 +1588178888 +1945023063 +1445738819 +498704575 +62852451 +979365194 +250965966 +940943079 +1682396558 +674782083 +1462804527 +950665218 +616526235 +555683053 +637041499 +1471460185 +1211738523 +266351350 +1411421560 +950574500 +2119648304 +1692966539 +1953205189 +2063633204 +378270358 +741124981 +1348587790 +2033895574 +1418979515 +222606268 +805151584 +859674755 +20145683 +103406755 +1358379330 +82998135 +1082771949 +1609345296 +1023941214 +617684859 +136643731 +339262093 +1568350077 +753169966 +894945146 +57907928 +77146503 +2106683669 +324259279 +1488568063 +909774521 +296423935 +1034050954 +715496062 +212573491 +1412321313 +1456621043 +1561161281 +1298733239 +728116910 +1783767549 +2103884823 +1587791665 +1803913233 +59807930 +798687347 +1886911368 +1142579879 +260548995 +763368934 +1760264739 +397192727 +1102631027 +1181131168 +1150362693 +1997576174 +1239039097 +1227509197 +1956776195 +1563298376 +568593612 +719067069 +1859722311 +1602644567 +1434563131 +2072295802 +867482232 +743700527 +1485973436 +18731823 +1471817437 +1122257337 +2122616646 +912125455 +778686922 +34940928 +1710812802 +518114642 +1177520807 +1971361798 +1281483576 +790301898 +221070877 +236630956 +1971433067 +1371433570 +86723482 +1062988516 +451459119 +2043499677 +478803244 +1020052732 +615083098 +191041907 +475213651 +2049646230 +115854061 +1342695883 +645863109 +1601827497 +1361427706 +2117680546 +576601187 +1336560704 +882322353 +1355288109 +1371501632 +445651508 +1873402752 +401538791 +269529658 +1007402680 +1191840690 +490600535 +1244033636 +1015790109 +1862034105 +1330757118 +2078778625 +166009577 +1226773148 +410098221 +1186062309 +1841856246 +601140128 +1661275960 +1744018828 +716994189 +856488195 +242398289 +171338039 +70432253 +212595188 +747939226 +1406992957 +1094917541 +2103227335 +631010941 +1540569049 +1829146439 +1032549732 +1810098707 +689065472 +76906774 +153215594 +1933099108 +1092696883 +2015249700 +1116372579 +1023991860 +33775629 +195662079 +1434090081 +1219837938 +2037518325 +2035230209 +733630250 +1634053506 +604740751 +1590118445 +1876451795 +776078790 +1660550698 +2089046983 +1524018016 +920060007 +1036480877 +1479761703 +1551070948 +429566278 +1161424495 +436137032 +92181338 +1850489967 +513043807 +245396932 +1636105427 +1605740690 +113162984 +604994358 +482248903 +146938613 +800656437 +1916338984 +1366776551 +690691115 +1804085546 +2100406801 +177260973 +261342649 +1543041598 +2053712768 +1037421439 +1056108648 +1995276104 +413955807 +1976168655 +884273333 +1893717510 +1379755955 +1313839611 +907658357 +1815892988 +1406020949 +610664676 +181453147 +1651417882 +99286456 +1787193837 +1764580866 +704280814 +121959092 +1911519480 +1504937252 +2038298077 +1130812383 +48144719 +1694899975 +1083735537 +225405692 +1956242624 +479293487 +131634812 +846180415 +1535402136 +2126910916 +1260136222 +1364087143 +863700601 +1006370084 +596359451 +30056565 +1914028442 +264768791 +1436077514 +377209470 +446221938 +940011748 +476495926 +85932127 +557108967 +1180776741 +207891220 +321144799 +538230345 +98705649 +1451957182 +586375064 +1793605624 +388209071 +811780756 +1602364600 +867502559 +943415568 +301061367 +255421047 +922842837 +1561197589 +1619508190 +1786543438 +420084025 +68383993 +1816600003 +186628819 +333152784 +1105193870 +563838290 +779374722 +2045205618 +1040334216 +865306850 +454830937 +73627309 +1073198070 +775975736 +611857654 +1171903719 +80449271 +1198232718 +818025695 +468658342 +2010013474 +272906647 +1336160901 +805945395 +573968014 +1591581948 +1728788232 +2135165603 +1063606491 +1367848022 +407765980 +1131990484 +1036964378 +594394800 +1465143269 +2142158248 +1158233090 +97034343 +2039880218 +51083658 +962341193 +347227508 +124710968 +2035539263 +1123203244 +736568622 +1059959334 +1203652515 +1934801341 +1877985029 +1672310858 +1797331167 +3408028 +860988111 +455792914 +577376042 +305086412 +37097498 +565057997 +1368692903 +1404945521 +972823978 +353199739 +294426251 +1567218778 +1818343008 +289100851 +577968220 +1915377352 +181497421 +629051878 +730234897 +528724929 +753762846 +618290513 +1651928174 +1490331469 +1678249847 +708097041 +1277649162 +1408751229 +232924251 +927496681 +1412159257 +1093912363 +1383289596 +1989535300 +1398998775 +1420387094 +407109649 +620208030 +677848967 +1379933627 +973407769 +972275218 +799668757 +644267130 +1261376069 +1377636977 +412160834 +1442873491 +2006688856 +1142395731 +1971598420 +612968054 +1760686244 +1476042946 +2103299523 +1291452444 +36656340 +1233465037 +552720025 +269580591 +13478071 +1964879282 +1363492954 +1396767667 +1806930934 +615008081 +669671113 +66556936 +1235216111 +1347520081 +1446490563 +61140233 +172311651 +98675673 +705407363 +1433687721 +1476312650 +1117568197 +729077564 +1335517858 +112480280 +553192336 +1948485913 +1873166525 +2029235283 +1904301788 +1017135321 +2065891623 +990283178 +1569855346 +187988566 +1003761249 +1387250980 +1551481521 +253045268 +1046698267 +19005954 +922716381 +1113255203 +1254222066 +122752814 +412262118 +1315362299 +295064466 +510937791 +2020769662 +1728752187 +1987250442 +990854211 +310346103 +1175284652 +1103334491 +863538439 +976286917 +829017368 +745290074 +733105058 +1846152689 +663698049 +1723388236 +1268524387 +851686616 +579665837 +508291720 +255684489 +832711105 +1554989987 +274690443 +1755427486 +520761542 +1528912509 +1878180301 +933023660 +696791160 +25761119 +1443961452 +570077174 +1754513306 +1283728246 +1560931385 +2064859409 +311529250 +516782229 +780914200 +1287816168 +1345799597 +1526204275 +2020921226 +1044468639 +42418676 +1596825814 +165509378 +894105292 +29008003 +673801098 +1149789781 +861719108 +81307437 +1424480225 +469662946 +602068979 +805909086 +200359599 +1535092640 +1502700247 +226120718 +831570444 +2072777421 +1980634024 +2115298690 +1486225159 +1898009785 +279344292 +2003007388 +531440338 +1567160460 +1201323337 +2057644613 +1440598038 +98308328 +2100063289 +889940204 +263817707 +846684934 +918948207 +937618805 +1996474715 +1780667315 +1018926243 +1273471292 +102846614 +1620995222 +2079380379 +303206213 +1008604214 +1434596978 +529326932 +1840174658 +1359890751 +362477308 +1807989700 +698632262 +113003446 +2087333993 +554156002 +644443784 +1507010805 +1755479340 +554604749 +800125196 +1853787668 +507184390 +1690065400 +2117605375 +1353869324 +461529960 +907740533 +1202860392 +94713627 +1926666776 +328848036 +197560241 +1400178350 +260744767 +500766455 +261298917 +1695341745 +1030093387 +2101473575 +907748849 +1392570695 +1761979628 +1606381111 +1505574141 +1701829973 +13053466 +2534277 +1061357130 +1768532806 +557139026 +1861482326 +1474836826 +1064323417 +1404064079 +1444958554 +270709093 +1865594039 +205215439 +1473569485 +1960307666 +2131882215 +1802417522 +10384260 +1384576917 +2063162289 +511150715 +1645875834 +1611020387 +1541244102 +1599865762 +371285588 +786331149 +1214361742 +1977666699 +144421643 +768708067 +1990720165 +146955920 +1830065197 +1611769323 +704094947 +1544063876 +939122502 +1768418364 +800644307 +236597408 +2039127457 +518754698 +441812847 +1365213295 +331578716 +426211414 +1020147169 +341962976 +1810788331 +935825810 +853113691 +1309180518 +399362549 +246874145 +761562632 +770648137 +1033205295 +1975924374 +600831189 +1177626938 +597148793 +444067706 +1324582858 +279730342 +2055837030 +2028677805 +1823794218 +847475884 +1649612521 +476954877 +1084073292 +1541256331 +995709575 +1525886139 +758985978 +1327288292 +1952097553 +1779133147 +1669251268 +1615402236 +567475309 +374881312 +777099106 +966837859 +621755457 +1538661738 +1737485996 +1654960752 +1367102464 +190833537 +685104042 +1964251257 +634901244 +2009686901 +96497952 +543254626 +1890881058 +1920292170 +1390730510 +1393009932 +249763400 +327320154 +786782615 +1245472975 +1853206293 +1545768593 +425277619 +1657820198 +1177418092 +2094528888 +1125738786 +1744893401 +321926552 +1902837893 +564247612 +943682009 +1294015983 +154249961 +451159114 +513634800 +345083498 +1136263156 +330402409 +979984742 +998466409 +426900361 +1523239368 +741863820 +199708884 +766486230 +2134873752 +449472284 +1093806384 +774172719 +1694945259 +799529029 +172457664 +2120222879 +309865579 +1349875756 +2067268119 +1435604366 +947285509 +241711023 +1190958611 +1511533122 +1185393032 +337490946 +1665783083 +1636552146 +851125746 +2010866581 +625331655 +1181528156 +843367676 +1623798064 +1608428517 +219123396 +218178236 +1808137401 +985609627 +205568340 +110126037 +2079416011 +979741059 +1805071297 +731461393 +1152198723 +1777810528 +1041326972 +354590831 +1697594999 +329447690 +1301876341 +1939306022 +1520406301 +665925815 +977215406 +1857897248 +184225250 +466283905 +561539346 +47608183 +1091615560 +1743067502 +890975859 +567929976 +1204012372 +1110099256 +786108213 +864666125 +2095708883 +991676553 +974792163 +2027641246 +1971417613 +632379812 +611618991 +976132688 +262706692 +1652945964 +1330723520 +1960301691 +1982393654 +485116213 +1752124065 +1355316308 +1151042028 +581855823 +1065729908 +1335267278 +1048139728 +1627269254 +1382875461 +2139755288 +1222853109 +126367673 +560201617 +279381833 +1236466929 +1346309830 +1144047958 +1184692164 +190502735 +2118840121 +1064849762 +14436700 +603736285 +1676468754 +990569389 +866442977 +1181931070 +173809261 +679261020 +1016841076 +658925474 +283901437 +224673736 +1809967502 +865757261 +1290403644 +997751132 +1913896989 +770189251 +233142945 +1906168630 +1993042360 +359510618 +318886599 +124940545 +1595977547 +1665196429 +1268988503 +633186063 +1855699164 +1240344977 +1698035826 +1870135865 +1844081262 +1227020932 +713221606 +563040592 +261468354 +887030867 +1242301612 +1278309430 +1545956341 +1526203050 +1502983167 +1208440195 +244476663 +645903163 +58707679 +10890004 +1416092414 +291850624 +1917058634 +1261651126 +651361243 +88461585 +1386591671 +99855142 +1753658014 +508096527 +733041206 +1461873531 +1748441504 +283593384 +1184525748 +1445039118 +1510614316 +1897747354 +2008079710 +1772082670 +637294573 +1102897675 +902908452 +35767266 +481617077 +258407971 +1244207461 +726093740 +904311135 +1302915140 +736983744 +172919901 +1594765764 +506558731 +1434571028 +98643359 +595020316 +673679051 +198498502 +201194683 +1181775578 +931539708 +1663068214 +782733434 +1215133092 +700110314 +80288905 +578263760 +450374020 +2088368615 +202862782 +1087668593 +1043782642 +1105771234 +1123435859 +1525399719 +1364179206 +220159672 +104009811 +121006693 +1523074812 +840993556 +293926594 +970356928 +1347552287 +1728497622 +1069000288 +1942572603 +254693026 +1267498790 +2143767286 +1436468604 +51554850 +1659351852 +71718391 +1266687942 +211978518 +152007296 +1844951702 +662352538 +92892263 +2047814484 +1750021131 +1136674906 +1006102070 +725973342 +514590977 +222797628 +946133014 +618600789 +343804321 +321724178 +1459594345 +637730916 +1292081107 +659662984 +218744890 +213597747 +454751939 +473437916 +1481096537 +451035578 +1909906521 +1532651387 +2110387430 +1981624912 +651855681 +174882301 +2133632208 +349323735 +837234839 +79040823 +249654571 +439772323 +1215715729 +1255756641 +1165745665 +1730306707 +1478554270 +2111878680 +201423848 +1822358591 +286119210 +1661018193 +312605859 +1578200317 +173197529 +531350750 +1791798064 +627949468 +1004788666 +1125410953 +1078985046 +767211539 +510578692 +1041888829 +601352803 +1162434373 +1216771130 +587501363 +1511758108 +2054005969 +666542187 +1761412679 +346294644 +1882257916 +869685673 +1512040310 +1465080975 +200756295 +1476435342 +1666504823 +2023114886 +1762554552 +1180039368 +188237098 +1193271222 +1353236897 +719587848 +837585638 +1981186366 +1724376514 +1962996592 +912687764 +344104406 +326091636 +1954576593 +945457209 +1488526010 +1023864075 +1532958573 +852800470 +930386397 +52017112 +466729502 +1276681041 +1934275028 +1336415175 +641237703 +1251872356 +1537171470 +2117673045 +770893531 +1412802708 +1732743950 +1950932900 +1601039806 +778531524 +1156686149 +173144006 +1616117162 +990388867 +1897520521 +1431630106 +1903076632 +94141279 +1757721743 +1710169577 +1039598488 +1098764105 +586550005 +425073413 +1951564575 +1516936402 +477090525 +270810429 +646133795 +263881906 +1607225604 +1287371499 +1515754262 +996913426 +1257560896 +139164145 +262232487 +842821198 +2090097045 +1863272293 +1621352722 +1099299547 +2036416300 +1089986237 +2089688414 +1786453173 +374132695 +1845281398 +1880594452 +2131854438 +1407967328 +772709292 +1083134895 +1994517333 +1197782706 +887215823 +1363970087 +1674873231 +1158026252 +2010103882 +1938755137 +617768209 +1149991733 +1307025751 +1614681635 +260068982 +1446189897 +1876914122 +1102890180 +1388803294 +1592702768 +576759255 +340619193 +1481635420 +1666745492 +282823960 +1120604945 +2040878187 +2128105358 +853715749 +2025248978 +1388589038 +1626425041 +960900225 +1235622723 +676724099 +1848116048 +452109162 +204113683 +858658653 +314729397 +2142868820 +1476426862 +1464721130 +1302410924 +943624849 +1724790112 +601117173 +673055324 +680196645 +1989920467 +118274444 +1256955900 +183056013 +1599909864 +776217744 +465879973 +573031161 +669612283 +446501683 +1426746910 +547377613 +1835090722 +905688303 +1508277839 +923229797 +1582412403 +1208910239 +1375338960 +1786526086 +2067568892 +1690068357 +1781911258 +1396512106 +1007305839 +936838534 +192653308 +584612304 +1537955707 +865708632 +1264808949 +1380392527 +983983076 +374281201 +1563448540 +436409292 +1150498945 +2029328513 +1009440453 +1820111228 +328346548 +288703715 +220005194 +15953622 +1194392018 +1728283033 +939183420 +629320773 +789709624 +167038732 +268363211 +709794869 +1857107089 +2050274470 +2106306975 +716929280 +839629356 +151476635 +1301541584 +230101416 +1017185267 +418866885 +1610493943 +2001168343 +793148086 +1026458835 +290093987 +1943647031 +908303700 +1299534440 +1616274612 +1236650248 +1588238155 +1836279806 +1252603871 +635146526 +1417079191 +44303643 +1264467299 +59305167 +211342375 +1532830511 +769100036 +2068449464 +1435621333 +727923364 +637895096 +127767041 +879399999 +1939436681 +357868457 +1896585267 +210819918 +1968362400 +1750269962 +1003968005 +847337587 +2040363950 +800131388 +1755641287 +1192414742 +268922352 +844807888 +633169250 +2105202158 +2097411759 +1268315776 +1374797701 +2141715402 +385299427 +1434102869 +205574129 +1918129938 +55719257 +126539945 +1206267623 +783642621 +764435041 +1334034665 +1663042621 +556388074 +1691903122 +1412144240 +767207993 +1512781875 +1014930554 +1771175998 +212635814 +907810856 +423823738 +1968277102 +2100225599 +692746091 +665601342 +585911201 +650464601 +615529453 +1854226977 +2025262303 +609761207 +92042756 +1311881524 +815335336 +2010172695 +1367600781 +941875281 +1068956670 +3759755 +1706310322 +255507687 +1666802376 +115214749 +1947410810 +931462968 +882422742 +1312709037 +1946393522 +506115092 +1525344851 +706720731 +929938830 +1346138305 +659462682 +1622684921 +2011739647 +1245373883 +125665875 +479785452 +952117212 +3444530 +1089546659 +1044159968 +1315326054 +1904881995 +906849015 +535443187 +699273628 +1975805686 +539202942 +258100303 +83829725 +58521670 +373315052 +2031240535 +989984638 +1255737794 +1196465924 +788894513 +1761852886 +574327128 +1495615244 +544308068 +1920465433 +7594278 +19509342 +1784721433 +1252968161 +145175217 +117023237 +57601725 +148619747 +1206569897 +1101761693 +1463945801 +963968244 +2008610709 +1999388988 +1663241873 +1836932747 +391108283 +1921342176 +1920762472 +449629953 +147173580 +1804519360 +1439614592 +1402911374 +853501636 +81025457 +1017280612 +1427828764 +1576640701 +1561588680 +1200810550 +1584234979 +1581098022 +838048335 +689719492 +1726273239 +955071572 +747321217 +1874892986 +14157821 +1849082910 +1191355139 +978126066 +1710209971 +1043260480 +493884291 +1399659070 +1434368763 +267742819 +1172937895 +1883998716 +414916399 +829973607 +1176129660 +1817827773 +1683475243 +1257155117 +687624737 +963820360 +686312170 +101729769 +17147262 +123063501 +1682827792 +855195597 +812782993 +1261617383 +1810267169 +1560104210 +989026722 +1824424991 +1261703473 +32898213 +655067409 +824429796 +1076158693 +1148951700 +76605219 +363043808 +1416694519 +1249543114 +99558877 +1831610918 +2079516721 +1275688537 +1501955043 +1615508316 +385360007 +42096132 +431845028 +1071672177 +143825901 +448992290 +1194735679 +1826653693 +1304187887 +2007518672 +940787429 +966971409 +1420139235 +1929814151 +643912752 +534359060 +1962712364 +1298980161 +1358788856 +891387410 +300448213 +1435394075 +1254431218 +1717142732 +537453541 +1353990095 +1401270002 +469486614 +482194985 +755741397 +2084994931 +867554992 +797837529 +369356311 +1939227169 +941663430 +818348602 +986479200 +620833476 +2122536489 +846514225 +1561620905 +942024250 +119169812 +1343951408 +1585937002 +653528872 +1159180124 +737433515 +2012317728 +2050567534 +1037881728 +1300228156 +1157515105 +607540812 +1837681697 +364021552 +2008810814 +159684664 +846216537 +617068563 +97195947 +1713771529 +1414906092 +466552258 +1505515051 +209085875 +1284900860 +344510603 +829919351 +1259953702 +1191024828 +244056608 +54494304 +1310194640 +1588008016 +1640431307 +1963723512 +599704492 +230381174 +1828557593 +502788379 +1268262903 +981302101 +1660303484 +1875803715 +671500150 +2024325036 +1737130882 +831184814 +723057926 +206715797 +928380761 +289345807 +1621621890 +1394933020 +1794860858 +1830707765 +532350232 +2139371462 +513143468 +1792303934 +1182912642 +757200076 +1846798239 +345623635 +197724444 +1339745898 +161863499 +797428936 +1570127072 +1990421092 +1300217315 +690906327 +824239545 +813037151 +419226395 +1495739696 +689878540 +8873629 +179440862 +1412936466 +215589426 +1107821624 +1702282273 +1837211316 +355270996 +1349659484 +1520435433 +887621228 +1341547298 +2033578901 +532441515 +376976292 +643295329 +231756106 +722599927 +841019773 +1571502004 +884463427 +1638448710 +994145428 +727400871 +791182377 +1685051756 +1551640417 +1604219529 +2104278151 +899896465 +146614421 +2113151780 +1079337327 +1559550887 +181257558 +39675303 +1114349512 +2018468875 +394946299 +316525348 +1391420660 +1282567528 +1658072646 +1277515914 +1815009043 +2035048939 +1920811243 +2046765149 +610165218 +614347369 +1470783505 +1494628645 +105312431 +317445285 +74545869 +896494808 +2002497041 +1626186286 +353230689 +1959291544 +378599103 +499845110 +1924959676 +1457936430 +2059395997 +2106217235 +1497611734 +1026261862 +1977202462 +1892558033 +1342787210 +1221139474 +1027641913 +853376209 +351171740 +695167308 +740941500 +124499336 +594448809 +1351106718 +738846705 +2065232314 +698251716 +844159136 +235193952 +772797585 +1740653944 +90207345 +251500223 +2093884634 +2049498890 +630099326 +446246096 +1826974918 +2088035756 +358158446 +1785708505 +1438163842 +1384420308 +1615427319 +1183238228 +579723870 +689083146 +63396493 +1433100079 +1040254886 +758563802 +26557931 +1164754222 +1353012611 +1377664650 +1903600927 +1270761278 +2075916366 +600276415 +1505955230 +701230303 +193446712 +1596162575 +952730526 +139847698 +1498177817 +1582829852 +586093794 +1177669088 +1523381960 +944252240 +815893945 +814062155 +181188900 +283837617 +1997300383 +760912771 +972920763 +2060696876 +46529202 +2013175649 +671777030 +73087134 +1030446224 +2024789642 +1450751784 +786563503 +1148067272 +1379184502 +1386839919 +506538854 +2080414805 +1580286631 +2102701429 +885661683 +1720134329 +1453395599 +321007887 +158744475 +483581039 +1844389847 +1102996716 +1299474984 +510968354 +1284185616 +1583312601 +360785089 +2045098387 +408749716 +273998318 +2091627590 +274441718 +945775348 +17231076 +1304887942 +823081342 +1467982860 +2091451445 +1971148614 +699683714 +1330807716 +330203820 +632614871 +763610699 +285421602 +1518276554 +336261380 +1738817201 +1839284441 +495005856 +74914592 +1536190640 +1598002572 +1374389576 +2047158995 +734704540 +810218530 +260460436 +632319280 +1218968246 +534458754 +576463222 +1493409964 +1480234103 +593694298 +650814258 +155831797 +2061677158 +594782056 +2126980412 +613877224 +1925589772 +309700584 +1246492095 +541716824 +595122186 +617285001 +877978204 +186455739 +309085794 +1372984060 +261370331 +1845276434 +823502984 +1635759908 +1744951781 +1558207525 +298494790 +2005412218 +43043157 +1517463036 +392387324 +619506379 +863389353 +1872621427 +1213200677 +1514203611 +2028453225 +1127394187 +2108985667 +2007949989 +1741271411 +1887091792 +170166925 +840279858 +281324968 +765289112 +1457564859 +1159303172 +951744851 +1766650653 +384803585 +1213115183 +1464443439 +1208306569 +701391443 +1061911573 +619030446 +999886233 +919840143 +662073603 +369865621 +1312227467 +1281579982 +1233254974 +1037365247 +347297011 +599974938 +918334824 +1474691198 +561476957 +778801165 +1068478961 +301085101 +948968090 +1908758819 +582410069 +1714257202 +1218840030 +1741713242 +518518406 +838007035 +2126516827 +1731633589 +154966827 +1187339748 +285541384 +1216878400 +1806370195 +1285427617 +2136718543 +320960150 +1655293238 +1301462362 +1602540133 +741064565 +191343961 +1949837144 +1341039503 +1109678785 +1277044695 +1902516460 +1888479950 +198040008 +56117914 +689964393 +2106798828 +638527983 +256737947 +1178155210 +232757577 +775256353 +2016162246 +211790756 +359406294 +23645425 +1399130505 +644947678 +1240523825 +1058017052 +1930375295 +1229758720 +1378977202 +1438184886 +383737434 +834033687 +31765803 +575081396 +636387184 +1372805306 +1684760181 +1913431879 +1127838118 +1425756484 +2111471887 +1183956032 +2115720877 +2070787067 +1822484016 +224975176 +1101458630 +2055241593 +1000231530 +970137228 +119548702 +1359637824 +993782653 +1518679207 +2004585503 +86822830 +429212611 +1787477150 +1316581550 +1808189813 +1078178388 +1700318984 +494739853 +1109944191 +127916732 +1131127037 +335265849 +1812676914 +897075268 +1463103968 +1090949750 +861063507 +499576352 +1059186979 +784366927 +174576720 +1284162155 +1885825557 +82334666 +136910037 +708479137 +201883368 +1496547862 +1702261790 +1720562575 +1353649717 +1789084620 +2291538 +993643219 +958182522 +1810481351 +2071821608 +511017858 +157737556 +1034282151 +638934591 +1288864593 +1369548001 +304127857 +38456213 +685168321 +1395077607 +899519721 +1184744673 +306780938 +1683886648 +1359321394 +1590943093 +1422228557 +1441656060 +1727853131 +2130707694 +1643539428 +1076917345 +1685485836 +1216618355 +283083414 +1327086808 +1218909893 +1276726633 +137785682 +881907596 +1201064593 +648803540 +1039645153 +87863097 +1287738131 +181026098 +1457411098 +1591865988 +219482312 +2142579419 +839459947 +1119002033 +1179840444 +1146240885 +655405033 +391678190 +589700331 +2077633590 +1833334250 +170069814 +2060857636 +1329390030 +1246987159 +1598859824 +398524737 +1530070573 +778462984 +1617434630 +659313558 +916248666 +351858579 +1860378152 +1565052206 +1391503732 +1948241249 +705306690 +1572529830 +1258168699 +149689030 +1792012142 +1253264470 +989148978 +763530527 +285621266 +2135389863 +1418935560 +677299457 +577606546 +1349085502 +363150059 +747676360 +1262459490 +1692540090 +1994663519 +713835666 +2091064827 +1377250444 +1492298650 +1561015810 +2036564003 +261063668 +1912874389 +1749458507 +1826115875 +1156894473 +1550216108 +383938917 +581940655 +660901159 +533627947 +226469150 +1914165629 +1522776925 +989999677 +52303247 +1510683141 +261451590 +729602704 +2088289687 +1610537092 +1092752764 +688482400 +725512935 +637809206 +535662271 +1439348601 +581390385 +1912912716 +784163604 +2142406195 +1801993071 +1045227272 +1907796936 +1403967930 +723859499 +917207761 +806700390 +1107798416 +1499148417 +1467601549 +1641426364 +1725617567 +1234283530 +1016719641 +568133596 +1286586777 +379919134 +829585186 +2016189482 +320725174 +292638631 +961458598 +1009207574 +1018151566 +1599267804 +1544869845 +310016519 +33174541 +1310298913 +1094180123 +28097089 +964808336 +2139407396 +1935894025 +221292618 +715783247 +705618139 +1027993008 +1823581664 +57282908 +348110909 +1317524380 +1782900475 +1582394439 +186760373 +203550423 +721497569 +566679508 +1033135610 +590203403 +887404682 +1325774241 +1551662001 +1896612256 +196442159 +1003446157 +1293998453 +506458678 +1036620698 +456813719 +1600638802 +1064717787 +1421622055 +1592562550 +853128165 +1642914674 +160862149 +1558746304 +523424034 +1984443813 +1616029212 +871534944 +1154484545 +1251446039 +306445735 +1341244919 +1454996462 +1027943304 +1907924427 +340648424 +1618146707 +647845461 +1666422665 +1022325060 +396974069 +1862864824 +2025771217 +1690972522 +221839855 +914908268 +302593 +1822478657 +1979626055 +1421924649 +1267557559 +685270572 +917355675 +1428419708 +96533228 +1440779709 +1265379874 +1712562440 +164831005 +272380771 +816524831 +471276741 +1613625690 +124037646 +1499220045 +1374066469 +464686070 +969883105 +2021911930 +2131108736 +1992208165 +271402351 +1846489912 +1870495735 +1962374874 +2068329767 +637920355 +1962677467 +1743324776 +470062762 +1237118468 +863398687 +1155333335 +6990495 +144334748 +1251866563 +1447770205 +1409714622 +816945356 +1612601210 +1682095393 +1633470187 +2083877951 +1148237436 +1757507833 +1435614349 +374820257 +74710256 +258013806 +249248540 +58335344 +102738323 +520650891 +1904825256 +1973234058 +335542117 +1825671376 +463670765 +150735937 +1421512504 +933733528 +1387854405 +137427544 +2089066863 +1394844901 +281762292 +1193449778 +695131458 +1691476914 +2010395134 +160249020 +1226088659 +1496381674 +96643324 +226842447 +1106405859 +1532257673 +601662705 +1181116115 +1790271479 +850911245 +1239451459 +1893009802 +1371562136 +996793068 +1718760213 +1707104254 +674980796 +34947330 +1857840191 +2096493300 +968680858 +1098210948 +86437196 +910264073 +345572201 +368199488 +2103713852 +1040703659 +2059676402 +1966625338 +1200952680 +1138281414 +1315523364 +1297596004 +1365123861 +274445576 +682370029 +1966786566 +1455561691 +325157860 +670214163 +547529503 +70684014 +2041776300 +1544322571 +1789444227 +1601396906 +71819719 +1824391558 +1311753449 +20829371 +645588768 +262480749 +107266568 +1555852842 +608052951 +475466056 +1512083046 +1648756610 +387658811 +1331224736 +702225642 +1525940225 +499264453 +1999821646 +743580438 +773710029 +534708027 +562883357 +81788072 +859865887 +1233097520 +629317575 +930549902 +1127390172 +26156498 +572510481 +581303430 +97976217 +249418391 +1893056879 +118805589 +895007160 +8053981 +226072157 +303376354 +616106932 +701538213 +1815459400 +117379894 +1089197024 +999200488 +819605537 +467653601 +1498464941 +671943535 +1211234040 +124691322 +1206651563 +1774117397 +206479395 +2066517450 +859731269 +835796970 +849583704 +1987121442 +861953469 +1422094186 +420941224 +959929686 +1671512577 +166514456 +1078735275 +419036089 +174568437 +1304807432 +722412443 +790675369 +2006345646 +390388195 +908055263 +948059022 +1389588684 +1727660800 +1415712624 +740569977 +252120688 +479463016 +865261300 +1458772251 +106096765 +1071740695 +1377806053 +965828034 +1907537665 +79906110 +805465828 +622007486 +1502000296 +1226407053 +1581937173 +1026029225 +1392921509 +513188800 +1445065315 +1567489946 +1817996233 +19994110 +210681667 +1676858231 +410382306 +1118736930 +477433605 +1799970990 +698914083 +1893146229 +393057319 +951034771 +225125597 +1258318619 +262323374 +331222362 +182575666 +1640129427 +1297050397 +2090113332 +1720035537 +2102516225 +564637170 +1074552185 +1181439630 +2146574343 +2100581411 +426877491 +512279496 +1398163078 +1994367437 +182792081 +1418157188 +57565456 +1859650312 +1828539494 +1176302387 +189600269 +1481026836 +1875216470 +2082746499 +1874084156 +678767593 +160388448 +984919127 +941090967 +491610811 +1167494794 +433736746 +1788661208 +1110124478 +6288636 +1743693785 +1674761648 +1080840821 +777649768 +1673852344 +1033938584 +1204527259 +38648192 +284618014 +1051411049 +221440273 +1702775203 +1108976505 +2081090585 +1383831049 +137795244 +123207206 +717374238 +2013011714 +58470057 +443974746 +544295659 +218858506 +1428893873 +1485386626 +710469317 +448905019 +1919123373 +351646877 +1559029497 +1925412009 +2095340662 +1086307498 +858769182 +725506782 +612676194 +1892707767 +1930034042 +651324386 +29842133 +833961443 +872764659 +1732617336 +1942937948 +806371596 +968964738 +2080733193 +929578802 +1686338976 +1946261259 +988048860 +2130313722 +343073271 +1206907366 +1411723947 +1828459897 +1917376683 +1860628967 +1600099622 +121539912 +1272174816 +1378027983 +69396926 +210998666 +89313518 +794903709 +823674860 +1982021285 +577454103 +1474999246 +2011863418 +1411415546 +200280257 +1596997107 +1206869846 +1006651853 +418478197 +1140119391 +1936230656 +2104817173 +938897003 +776795868 +2087647247 +1281970274 +1983703234 +1351887546 +962946523 +1753596269 +1065032865 +415562498 +1875136181 +189724034 +1793590481 +1944533107 +400722700 +1882903999 +591953168 +1224397561 +1717441636 +1169407271 +551913159 +1581821407 +433339169 +752193417 +1031334866 +1640209016 +1758845270 +1449813063 +632844759 +1547592278 +1407146588 +1571741762 +176904498 +1347310187 +706228388 +13124084 +551714085 +1669174912 +1766720353 +1616746951 +2084737410 +1494372886 +1806470985 +1730844243 +1291422346 +59710037 +1466264595 +1883375514 +1284107598 +1036222583 +905299138 +1836020758 +470560342 +1338638307 +440730527 +1501895208 +831363675 +52092149 +804224623 +1464208435 +1599684428 +63887563 +888466549 +1776588926 +1411197750 +1594694938 +1789713011 +1962911836 +1116386202 +1408949716 +1432175139 +1053639964 +755838955 +1091162476 +637000559 +2047261301 +1150872513 +2103265154 +1783153167 +287496464 +992004090 +540968657 +2123517222 +1462564432 +1879606965 +416764101 +816975993 +563486992 +468856250 +1621200616 +2027695427 +2068540678 +1685088180 +768678329 +1697645957 +948802282 +215889619 +1339875320 +764230470 +1332275821 +601341388 +48921961 +238432137 +1357180343 +1140084437 +875432696 +1256957996 +143473303 +831214203 +892627516 +430969767 +1823218293 +1433596173 +407003341 +1138299077 +1165719490 +823767442 +1955275070 +1729206483 +1292623692 +1428992039 +1609418262 +1213680723 +966596571 +230612943 +763843032 +1915398853 +446502562 +2103718352 +532145676 +1778778383 +557576092 +581067637 +2017210520 +1914756436 +1721152075 +745159569 +1024230784 +1864625378 +1576373772 +1916858300 +148111497 +1252108417 +1202970826 +555114838 +242923846 +221206668 +1378882280 +50715269 +1950413151 +524022324 +1479707308 +1412347766 +1737703047 +298820231 +1642960709 +354062431 +66735436 +2089463272 +310297135 +598881112 +1720758007 +867873228 +1179948750 +1590484880 +635146016 +753617177 +188160801 +1659376800 +470758907 +1764534573 +1428751453 +618870404 +869159342 +484238631 +1173985242 +1112083188 +705445299 +405383874 +1162798457 +508374803 +929406198 +495022117 +1920722569 +519625598 +793842348 +1416199630 +873688029 +860577785 +1358179254 +1183985165 +1459458897 +931453614 +2051858393 +491923999 +374454846 +539520761 +1245541176 +562615647 +51413913 +1716300083 +179666572 +1480165366 +187686839 +1048825914 +1964403997 +1361672081 +13425454 +522365649 +1767055955 +1176223912 +1030740452 +548978506 +1671246029 +803979373 +1068604104 +317604730 +72695355 +1942292133 +1178182515 +1430874610 +978793650 +490157764 +214844576 +883168395 +982081764 +589299422 +1422689156 +80139292 +1151915069 +1474103070 +1796439376 +1331581641 +806784788 +1984126215 +232923907 +623705138 +1198314649 +246349361 +1146070787 +817886956 +1422573273 +29327591 +1366865462 +946335655 +833306964 +287985918 +1263940385 +906002319 +82794404 +294639252 +189393281 +1061588054 +784797016 +404237857 +1944756450 +1766878780 +993537279 +1219961958 +1847018073 +2145452348 +546581380 +1495973801 +1329550341 +1353366169 +1332616368 +1562474248 +1977071307 +383447369 +1808823610 +975658446 +1201334326 +1083913235 +1004986037 +420716140 +2030248890 +1838293001 +708702059 +1146705627 +596811672 +791496463 +1441344879 +786204954 +1853084517 +78658248 +1190442811 +1650357319 +1845537028 +36496443 +722835630 +1545071453 +34465143 +1269417010 +893561606 +1364015485 +475299531 +78694327 +779006085 +304887190 +462141696 +440346047 +1280545636 +1663476022 +1524259283 +138048025 +2084192163 +1407024525 +1976341026 +645410574 +406246505 +425669051 +1436907037 +1847591384 +1211874005 +1142507906 +1926249632 +254833168 +645381578 +1624303013 +291329611 +1368217208 +1021890818 +325794755 +490150570 +1915452425 +1689810240 +965450102 +1994146752 +321332677 +1270337292 +308804800 +761678725 +403399281 +1972280823 +138454360 +541447306 +1908989338 +1545478885 +370304685 +406916264 +1951725390 +795973736 +1843823301 +1651833127 +2007847741 +838847559 +1430599111 +115197261 +1484229137 +907418476 +406526873 +704962697 +1929309295 +732321628 +1195113268 +1697278072 +274648220 +13079722 +1543941176 +595980897 +1283417014 +1852745976 +1357659622 +1686816295 +1677543151 +1496113982 +80779954 +1439048841 +894109220 +451084639 +1845965105 +698350962 +1247058375 +1542304758 +202700441 +1107422468 +233668670 +1633299553 +1222619729 +1717897807 +393234381 +1629146602 +275376857 +175060028 +213984582 +1470490125 +1872338100 +488632802 +1483569847 +1268795628 +1084613700 +619503213 +974057957 +294789674 +158835861 +504117460 +1790903657 +239615815 +1943166302 +537529229 +690700454 +1641647759 +1235880191 +1937758829 +1036468870 +1438580633 +897697649 +1270137540 +924396538 +2120317378 +840551699 +1317630919 +1601980333 +1115928556 +1492690948 +1815964915 +438935033 +1217545400 +157114070 +1922504880 +338857381 +1241727770 +394524446 +1312915338 +1536517444 +553360307 +1817032798 +1179937453 +792976122 +1612715452 +1717466682 +1483676576 +1106879564 +805863226 +1273951757 +2143348434 +96960211 +24165758 +1266002326 +1021356749 +2144483136 +2106554025 +191504020 +1598979821 +1074998934 +1684194968 +1267461089 +1513933967 +754256721 +1424575159 +1288955200 +1093114102 +518819281 +1683479646 +258545792 +2055336725 +89356305 +2075578590 +1087790531 +882332427 +1540810395 +657773565 +218525355 +500206311 +1463636791 +1492477112 +496071097 +1560597002 +1516642870 +1762073423 +434470103 +1513642358 +1721143800 +625974124 +965138532 +648659086 +162685444 +85115973 +15109406 +916942165 +1509691132 +1304064606 +2010056267 +2028510413 +840060604 +121118411 +1936363490 +929416909 +49213354 +876670373 +1811749336 +1590023749 +1534443939 +2030274691 +2090230060 +850597082 +1375268155 +438817509 +263710437 +744427377 +53407284 +698180540 +110586087 +1774551084 +1324154664 +1075724619 +275726523 +1486840109 +1160840592 +290835929 +256298626 +523048076 +1594900535 +118871246 +404074841 +287477491 +239989657 +192954684 +1216894400 +289203011 +1069625057 +881160088 +1879226760 +456585348 +763951131 +1821973172 +1307182431 +2139219286 +113307033 +1570892868 +736163015 +166714317 +121589760 +846749102 +1941265402 +1445744425 +1922473722 +69508277 +785100886 +935830666 +360344206 +1041399512 +1458878743 +1955244741 +1160270758 +1862953584 +95238584 +1400260416 +2055908268 +1312132984 +1689463427 +978049678 +45809424 +1421206540 +1434635026 +809760555 +1095696064 +594333809 +801496193 +1209003098 +17743029 +1537659208 +1375717415 +139332790 +236924662 +1169499169 +1585077215 +11914736 +1239007446 +222694453 +947745403 +1599351652 +1264093965 +259140498 +1407112745 +276881076 +2122094082 +1502351329 +1677141492 +2030518703 +667000665 +1219121271 +861084733 +712810089 +492844163 +148236111 +1522570644 +1588540228 +742569921 +176583189 +650059678 +760312950 +1714242397 +2025777093 +899645740 +1951167060 +1047792615 +337239307 +1963081796 +139316413 +559933760 +763343551 +1738668066 +1824027726 +1022484049 +998297163 +2100908802 +997094484 +353164845 +1630566646 +880129539 +1020165510 +702204269 +1741214272 +1732975600 +1195048433 +1889450383 +1108062596 +636105013 +484536656 +1284645786 +1286164691 +1244849607 +851404535 +1164458136 +2144495347 +655087947 +64767103 +334251007 +470686096 +204083517 +894184767 +1234029647 +1942751583 +570728845 +109030049 +793565098 +524153999 +1106124533 +1146729943 +7236997 +1986254072 +19411806 +709441267 +1579984696 +1752387406 +1904489700 +1321951431 +712966354 +393111065 +1806488088 +1997612140 +1679275756 +903854047 +701533028 +696250244 +900865746 +1356620975 +761017348 +1235116753 +1827307071 +965100865 +2129301521 +913853071 +760368800 +552546718 +1022883120 +1553933898 +1076700718 +2129007653 +553180194 +1083937715 +1967778077 +572592000 +1793378982 +1400279125 +177495758 +1550385034 +574746908 +890462112 +1943496099 +233751348 +740590605 +1475288207 +1137605395 +1442123633 +24054804 +2038471142 +651260960 +785072152 +1126104247 +331084384 +1750173017 +1107922120 +1244937455 +363058169 +1660468839 +120336927 +1916992067 +589685909 +101860932 +322688613 +1673623624 +2069639009 +895280613 +1319518959 +1322434486 +1072776371 +722420345 +1897181394 +1963238484 +518432797 +2130932743 +556345441 +1993721004 +1121054490 +1998469074 +2017775808 +1012041984 +502246386 +655364312 +2138146232 +833330770 +258053681 +1098584704 +2078268225 +621111850 +611569895 +51121504 +390620270 +1201255804 +152982436 +713308883 +727395781 +75137797 +1608589497 +2046914740 +1397572283 +533882220 +621851437 +1147270030 +349637056 +1140284234 +1130719125 +905982497 +986521591 +104289967 +756967923 +856813751 +1116331952 +1259214310 +1512178064 +1106994536 +2092545080 +1770231745 +58095592 +2023329658 +243859948 +669665488 +2074451162 +634480218 +1870921292 +79949951 +1347789101 +450833425 +155087748 +808894950 +350264517 +1552660032 +1342777171 +972115955 +552446414 +1692414227 +2112400189 +1683165539 +450913077 +951438132 +1787455506 +1207881000 +1808251884 +756303810 +319611662 +1172946300 +1863298346 +264673095 +795694397 +1921393939 +140519105 +1039554345 +443575779 +67486619 +1674034563 +167013423 +147436570 +874340017 +617846849 +302524319 +1683234967 +968111366 +1855184351 +878528490 +1940227321 +260147117 +423459070 +1905143863 +1943312656 +874372147 +709098347 +1583284514 +2082253147 +369866583 +192104677 +254381162 +1542812883 +2055403023 +519054257 +191023633 +1829313314 +659573362 +1230577978 +125405445 +727059981 +757128894 +292418869 +874496552 +1631468911 +910265718 +1177020871 +1167220230 +1878377084 +884721574 +2045748721 +1671120758 +1144868691 +321724143 +1428780973 +940697699 +1196096290 +2137879320 +376498565 +1130865789 +360262256 +568603242 +1385246951 +1903075139 +476522618 +1904301208 +2094098772 +158352284 +416390922 +1177193103 +283757730 +1143450904 +1934321997 +576176599 +2017947456 +1418307260 +1486442317 +1047484679 +438043842 +1217335753 +1932206253 +336308915 +740972863 +929591296 +658033058 +22270188 +1870288995 +1854129348 +12665861 +99303912 +837511490 +372928117 +667907155 +75274793 +128519608 +1144429773 +1979576002 +75134733 +1302782057 +248483276 +1252327836 +1586539787 +1391934180 +1039166185 +15232738 +1262397988 +309989797 +1501675055 +162399019 +748033639 +571527161 +2094605272 +1084342555 +1312500024 +876712920 +1742375613 +1334770213 +599518267 +1449021314 +1347436074 +698822180 +139049156 +1720364191 +1366729335 +214323949 +1848883799 +363675460 +46416303 +1924018532 +1666457517 +294899580 +1028862720 +1105513657 +1686833760 +2068028905 +1120746395 +801748101 +230535054 +474937803 +964147120 +978568694 +1046464964 +911268745 +2062911249 +211481340 +1787981665 +1657803214 +1546251553 +240016285 +959340880 +746203979 +938838465 +1098390036 +319084522 +158084152 +1312713986 +20484674 +521759612 +1359130289 +1944503206 +40733481 +1654029869 +825882279 +1146247138 +1193379982 +746427536 +119509886 +1995128083 +976962591 +594447689 +811791555 +1955531285 +1640912653 +1723060300 +1870958886 +1852393993 +1363558318 +1381278452 +1251161899 +1603574603 +193135685 +1997365878 +394929420 +1291525721 +168966753 +553013572 +456756059 +189451427 +1074773184 +1815886349 +2133954633 +1115506665 +1322432570 +812353264 +114270156 +368328904 +1558780801 +233780042 +215973339 +388259744 +828227731 +1027764895 +196307381 +321656736 +603341547 +2067266267 +26567081 +1966899865 +1301061071 +1277728980 +1422990820 +1494196756 +1127611211 +1817920240 +638238830 +1296577964 +223450164 +1094994889 +1486029391 +1298223348 +763397590 +1472500376 +266246366 +2085830161 +137369993 +380516522 +306675417 +1696150794 +614296564 +522648757 +2084410538 +1442524295 +1550413652 +133234271 +1764181031 +6271551 +53016890 +1790748112 +1973171417 +1354077961 +920993445 +1248678589 +700791070 +2048604656 +919115182 +1339029900 +1197698972 +1142565346 +286541141 +536244715 +293305047 +1049938732 +2008745091 +559551413 +988285245 +2146115084 +940067935 +1294960662 +1694782230 +1554364499 +1817609419 +1631709120 +849405146 +1220539423 +1764943391 +466102529 +1226810975 +1817960281 +109366993 +1052498744 +1024554595 +1030360438 +153693685 +1725345665 +931481446 +1072808867 +916891917 +2129180418 +67890566 +1203433058 +517941485 +361195613 +105888142 +379202929 +920747026 +1094173387 +377834365 +1860814961 +241650402 +2072616596 +1267695812 +2059259821 +1556842068 +2117100958 +1132315597 +1174301812 +435719839 +211642924 +844778445 +545086832 +1264141668 +1869333040 +1575447271 +1417835353 +1447195057 +359445069 +343160573 +216603326 +341141840 +411051139 +1420036385 +859083325 +772246752 +1525924527 +1238286254 +1692993778 +472614267 +1616120620 +1406325091 +714264669 +1541253568 +526537255 +626040842 +950611988 +496154565 +1758356439 +2124913800 +931874404 +1969999363 +822208598 +1476961236 +1086657383 +544057990 +904924859 +357009089 +1991253048 +1264369929 +700169662 +60372726 +1605511769 +1111220801 +1480409111 +317111446 +1883467553 +858849991 +1555397701 +1428977683 +1331464258 +1024034673 +687819126 +2045728927 +417804593 +1214356381 +524286121 +1368416581 +1710510946 +135158913 +1345846734 +494901702 +2105158276 +20571684 +1971862938 +1044332012 +564629674 +729304150 +1401341101 +408399074 +1993674079 +2101510763 +468771801 +1451702200 +1065247916 +1949180912 +1768813646 +801231821 +660547255 +1176727699 +82725856 +1992011513 +53278724 +770544982 +1890256792 +471083317 +1984901363 +267059266 +1839499899 +1547928661 +402218179 +1037862985 +2042830363 +359892807 +1058434669 +1867209653 +1404224819 +1623064343 +449030155 +658082272 +2031463418 +295220586 +612109387 +352751571 +1746922786 +1677357303 +154448835 +1368252785 +331105476 +814996091 +397496836 +413831332 +659523956 +450775561 +1184376314 +402297101 +921858878 +1021794029 +669356367 +613875129 +422239042 +1071574546 +1651738114 +317585757 +1431467353 +562689135 +37311763 +688208525 +38269831 +486341918 +1346290797 +2069733249 +781562505 +1958400185 +275001172 +381001643 +1488273840 +429450007 +1749254428 +1819379317 +1244446098 +2146751265 +85727001 +1903970055 +450043178 +1270103316 +158783508 +1371902056 +144413697 +828139875 +1985777186 +566652740 +1899714421 +1490031652 +884238497 +1183698126 +2052720788 +921550260 +1871906651 +2090990619 +1407892179 +1070713801 +2013240220 +41971036 +881630338 +140757744 +422972679 +222420530 +570207751 +24743460 +2041799847 +1814653850 +24011077 +2127526849 +1571140257 +474054255 +1250146517 +1729923765 +1845956311 +1394560214 +410579992 +1684249849 +1961212954 +162810765 +1026797854 +697967804 +1346508891 +932034994 +1619518064 +1070931895 +875541965 +879926595 +2141645696 +741298537 +921897631 +875792386 +882056281 +1344870311 +1098212916 +1452264032 +1369613771 +992529116 +1119434234 +1393624848 +972572317 +543090843 +1867679103 +75235186 +125530960 +1566151766 +1469795400 +536110952 +1102917968 +1283524707 +698921717 +2129715822 +1981492511 +2045430609 +914267168 +1453526927 +968878856 +1789809133 +185969875 +963040904 +383624022 +1107867506 +1838833290 +1265680303 +305254169 +789562558 +570460687 +1674867940 +1782091674 +1689894922 +921009140 +607180343 +85502117 +641204595 +682415529 +211033078 +59872714 +4727282 +747144030 +1162790682 +1288251989 +1446065748 +1145022856 +1122260852 +1344012709 +2059290024 +428304131 +165407917 +1701615509 +614274006 +1128448821 +2085239531 +1722141513 +819798463 +1203436186 +2027395682 +1609361021 +1773896873 +1554779975 +1243969048 +1316308147 +328305467 +1851149391 +1401810265 +969510063 +386081273 +1612843343 +1029382777 +390808555 +212503725 +44689811 +1679060544 +1658569473 +1189712667 +653837748 +855098534 +1101519043 +1082141879 +1020506451 +655650904 +1696415886 +1471624 +593406787 +1271073751 +821270087 +1796842973 +1150985785 +283147461 +1423256198 +558282112 +1527116509 +592080698 +886587580 +1230782252 +1993890963 +1856097643 +1616863525 +1459250658 +737996772 +2007672080 +1671754383 +782686583 +1539248976 +1182840209 +1972399250 +45603076 +2037938743 +926434645 +1127744956 +910961547 +1582085549 +676677194 +912433171 +28008688 +1947750945 +1733703259 +1824851661 +951253082 +2016850720 +1100624211 +1509535195 +1396483581 +1692704909 +248639127 +479782185 +1539112224 +2104736770 +2096645711 +850879234 +695249894 +1956834143 +375149970 +1477936477 +1348599472 +1557990179 +1302852079 +1394202548 +1448445274 +81803076 +374463856 +211923173 +1663888625 +1051141050 +1124356345 +1691897313 +851408347 +710575956 +1369265326 +1802661430 +579943028 +322405889 +1164712977 +1976426609 +2015110799 +1413352104 +308725146 +1406739375 +1370605226 +257887209 +110134962 +2065855120 +67237705 +485284932 +1396307949 +1415837177 +2043275111 +551676380 +662556077 +1344236737 +633479456 +1037019934 +1556159911 +149884433 +2088160984 +533032608 +1841781746 +792085684 +1243608564 +1063563424 +447263466 +1823551592 +1385969313 +1611976443 +1652494553 +1253596464 +877844899 +1961219699 +512852192 +100966477 +71623261 +622987154 +19337949 +138860966 +1108272086 +1415645898 +1554698143 +1004063549 +1967322278 +69770572 +200816638 +453318086 +1106790506 +1756976549 +603202519 +1047467843 +142525509 +297500617 +1839553527 +1386134073 +1361064041 +139333345 +1062202017 +599549706 +1751309788 +567212922 +1853146171 +481671039 +380948974 +218514715 +582637516 +452572235 +841501869 +601975465 +591433201 +1949773955 +2017621363 +2146131344 +806353856 +1837459993 +68418268 +1007170494 +143294431 +1175208775 +616663396 +746496950 +75192970 +759188905 +1043997567 +1914746497 +2145322979 +257577960 +2054079842 +1060041348 +857127666 +1657905982 +1627254271 +562790189 +2139577021 +2008203245 +781304904 +574730889 +313291832 +1622806773 +1176706354 +904725033 +1425097080 +1046844069 +903372729 +83967288 +736820414 +971790997 +1091137783 +880114845 +2146999772 +1707801179 +1626611795 +74709094 +319506436 +523125714 +1989455591 +317345767 +780703674 +1896051785 +1377387116 +1637831340 +1406474119 +857157739 +53137882 +1398567492 +717877336 +834442786 +1973298381 +1031169168 +309765912 +1002521087 +1935894201 +1734862992 +2049365156 +691783282 +1818830281 +638701922 +1663574279 +762484416 +1518816767 +1663090404 +322801947 +997944914 +1737799498 +642308383 +1521070628 +1579771442 +959654151 +154290654 +1328339579 +189557619 +1792121995 +587330051 +1046715358 +1845259877 +1985897543 +1764592694 +532219015 +1811712277 +648278214 +841984927 +666749716 +436688767 +429364272 +568631225 +1128472049 +100710905 +1207333147 +644562680 +863195321 +578666267 +160169436 +1185997268 +1576611181 +1897968935 +1828305651 +950198162 +1330256729 +640476154 +1104488816 +511112660 +830033773 +749127163 +1098442711 +1876749131 +446903392 +936856607 +1493858177 +979122408 +601085236 +2142136391 +1821107335 +1267834952 +431341510 +102987959 +1836466177 +1559813559 +203698864 +896315677 +56892592 +1066894185 +1474981944 +217062028 +105407805 +904109477 +2115030963 +1933713457 +1854307639 +1297804044 +426705963 +811312808 +1808916705 +1256739737 +1560439971 +759875768 +986005220 +2007343364 +1696732375 +332379750 +838982124 +150333963 +327032493 +512605811 +1418168916 +758374004 +615593771 +1107151445 +170703915 +819292635 +2003467122 +227596507 +1886186821 +1330965418 +444658536 +1991594626 +87591248 +412205851 +1777824435 +1941898887 +1710009896 +57046751 +605728047 +1371442953 +1313786488 +18684371 +2131318721 +152308060 +2026027735 +1680567449 +484687810 +717526211 +1830901412 +811720304 +1230132022 +1101586680 +1570094308 +1845725793 +61254478 +1740798223 +517534781 +2064721600 +1968394731 +256237954 +1248203371 +265569619 +100348932 +1335794619 +677775470 +1878173368 +1130209858 +240301718 +1935220119 +1735937906 +1611744671 +1101522959 +1754622277 +1595579745 +1253831019 +1633166364 +1128663546 +1738518830 +203208927 +812081310 +402755486 +1433340949 +1913667991 +1972849794 +1131583095 +1974922469 +1566164369 +1649117876 +1892160421 +1387075452 +1905355830 +992880144 +1652645071 +2005704762 +181191115 +182936894 +1736394482 +1311400974 +423238612 +1524130953 +899855232 +2034983284 +478170264 +506993861 +1483079381 +1732001284 +2140160225 +464259279 +1323036466 +195885504 +1276340589 +1725791952 +1629226453 +1042524932 +1551158098 +613325900 +869963753 +969838819 +114960128 +614640527 +209430624 +2020315958 +1607520671 +1862075695 +1878537073 +1788711787 +2045012589 +1467447907 +952629113 +320767554 +844095213 +1852484345 +208267190 +1322265477 +211994558 +1691346571 +906783113 +204671135 +8122202 +82335931 +400556639 +1284462791 +1808127883 +2029783092 +179504076 +1211802333 +495625345 +1049467829 +34157505 +610585473 +1664108356 +243588129 +483417784 +1124145380 +2105663824 +214471209 +765373519 +2003192766 +1681919116 +1718002632 +176476672 +378530681 +1423003329 +384743862 +1700796159 +1634997887 +2076090433 +460095624 +1839669022 +2084212635 +542431556 +92742013 +1221191778 +203075791 +2122525105 +1400695854 +1414878125 +470666802 +302680036 +1449035630 +1081252276 +1966788392 +1692623759 +1564670060 +943450124 +1650803935 +1779141269 +1708823643 +1506513053 +1313576737 +1279342627 +1682989725 +1692107419 +554862308 +2067733587 +1245419930 +42376547 +1996340372 +1705515554 +1882045569 +1933069359 +100463462 +1974787582 +1006777490 +303539254 +1949829040 +259989696 +1718417379 +273012194 +562669732 +1019969361 +1354264470 +381974477 +565109472 +771450882 +1325424601 +68429759 +403108503 +886764597 +1574942813 +1716685241 +18623576 +1110448890 +1261309012 +573485885 +1030698830 +359245294 +615862432 +879555554 +2064760848 +350424354 +665141266 +17740663 +177728288 +1671918756 +321279917 +2127557328 +1931908452 +2039697296 +253085875 +347094537 +912183009 +1607350345 +729069014 +1477292481 +231317580 +2054493615 +1545722240 +634426083 +793774564 +973181405 +203627676 +812398141 +2083630296 +1464936688 +1385884026 +966845478 +1824181982 +2001746458 +1846401032 +1741459183 +204687164 +364058650 +1759199846 +382415453 +2035977406 +2080479763 +362489133 +1820402211 +1972693411 +615575008 +20013100 +737392772 +75441706 +749082114 +67201605 +306759286 +656092081 +1612923845 +941185369 +1449866646 +438621603 +1144813046 +114781139 +374768251 +462266086 +1500665165 +1341613729 +138964421 +1354927975 +1040531113 +1880423604 +1559615140 +1404589764 +1492139802 +1942030593 +1293083522 +1425135917 +157036078 +966002085 +1250345680 +772611087 +986015185 +1987738452 +848052793 +1735097299 +2054940057 +1154812079 +243705733 +1520380254 +2095997448 +1693572379 +1959001857 +1093326846 +1808353518 +186286460 +1555592933 +1161535035 +1527900189 +1694557354 +368979362 +420947655 +1427497310 +1928594502 +1825537419 +772153464 +1723141447 +971137293 +49805733 +1880177526 +1937139379 +1300151413 +505304965 +775670916 +1140406217 +1353357758 +363284568 +1047862626 +360686189 +606990301 +420759232 +309199989 +153079032 +232277442 +1402526836 +1961432550 +418563902 +810636121 +975483937 +1946464092 +357709827 +1344463299 +219928099 +1785207137 +1125574154 +2045465518 +409876953 +701231953 +869119163 +459682686 +433925831 +658774894 +1759834099 +939230796 +1434445811 +752756668 +145104906 +1797730379 +1800619294 +505791095 +257237032 +73894878 +814991085 +410316064 +306172320 +70034273 +224264966 +724736223 +880670394 +1199748903 +523716667 +1238380221 +396728554 +743644766 +876103710 +1522302708 +641626636 +1285980663 +76051014 +1510745799 +1745663349 +509976845 +22037046 +1358013800 +1449207642 +1456482857 +2110770468 +1594312548 +1106729588 +1763906114 +2100103644 +1363966620 +1837800992 +767611081 +1774282684 +2143973313 +837645354 +1998547650 +721225888 +1718315748 +1050812905 +1244942555 +809212321 +1447541459 +1988587321 +1685316031 +822360520 +482730309 +823813046 +898411534 +1993476108 +421992747 +1408388379 +2015513154 +1780006547 +710112373 +1324512363 +1743293367 +156941274 +283758303 +1359715833 +109561270 +1647724923 +1050033177 +877172351 +1274523959 +1046522842 +1714817705 +1125587961 +1767748730 +1285649805 +28917218 +865207637 +2094862126 +1476458678 +706311310 +1632694509 +151335550 +1189041619 +309023907 +1049747084 +1035034080 +731016654 +310651815 +903063586 +363539553 +1020764189 +80092302 +2106832920 +1177705463 +363850605 +1319065105 +1287266733 +2011575529 +221614634 +16955436 +1138615840 +1268137477 +1731773141 +116720154 +888402559 +869939298 +145637372 +1753610197 +817317776 +1622096050 +312437859 +302528637 +1773431600 +1501479479 +611552544 +675695036 +389029911 +1342569198 +986346852 +1292093497 +1706108751 +2007111041 +1372185799 +1665458023 +1037332856 +1736036405 +837039480 +177115941 +1600128286 +1058654114 +194071377 +591260478 +179307943 +1925844518 +707980632 +1067710503 +648300168 +853618005 +673837052 +1465617944 +328230407 +986274911 +1768146581 +2101662008 +340270742 +232215477 +629873396 +729300653 +1574784675 +1616220248 +2021394151 +1133409778 +1475847641 +1246096302 +651384153 +365696849 +834649059 +1488423633 +542812790 +287293697 +399594099 +736884167 +878554176 +578902043 +515245037 +1586534808 +1646612546 +1163545205 +292669165 +172965950 +481679501 +620899573 +1159240861 +102342434 +575077933 +1499511604 +334557911 +1204951329 +81328609 +1909342586 +673687930 +2102722760 +895268716 +2051923 +1201335415 +1546652869 +367748773 +2035984474 +887592854 +910561563 +175794524 +1287186954 +1647445731 +1054348700 +1866088997 +15207120 +493399860 +1365217895 +1178752326 +786069026 +1538183845 +1660431827 +1406968599 +549941058 +1762774262 +1982046532 +2049452662 +2097332173 +1039514213 +2130781272 +1859191112 +1713202143 +2086020384 +606976180 +1715254067 +1139872151 +6145402 +2083002840 +1028372978 +893738256 +846080755 +1204167502 +33441562 +346042838 +111032554 +1899530559 +361249959 +604432414 +1117264806 +1540002285 +1390501440 +507965003 +1052950464 +649986391 +1057906062 +668241078 +484549275 +959875076 +618089604 +1524063489 +943172700 +329797068 +1089781984 +881709437 +936773248 +657552403 +2021581588 +942918650 +593071595 +902470918 +1836656907 +1439152351 +2106638420 +1870098469 +1785195189 +70187326 +1622145381 +2146445148 +674619741 +591926539 +1538963785 +2065121181 +1099891543 +444430602 +567623925 +10313957 +1112671680 +1052173200 +970189033 +1730761284 +428753041 +1913361734 +2060558352 +1518535026 +647587523 +849847953 +28603781 +521685463 +1792766603 +621675377 +1424156382 +1481939862 +2060827728 +1383311154 +1204554684 +1698539269 +1453498481 +679216417 +1697500770 +2128118222 +1271142956 +1088980907 +2045755755 +223550851 +1533411509 +465896032 +233864808 +498599542 +1518069233 +1204053842 +81877178 +1946822274 +969931928 +2142435531 +1317873652 +1617519451 +844799836 +1346477434 +2139204914 +490082791 +1968152811 +1415877648 +1972022654 +1881496891 +651705155 +1029093690 +1432552512 +2105203636 +1708310107 +982569634 +2085838210 +831969415 +2071550542 +1984110317 +1055520267 +1457478403 +302522702 +1289385075 +1956077945 +1820591935 +345955269 +2037955124 +1619930561 +1315887197 +2032907007 +790320566 +785923000 +730223195 +2136798000 +777644267 +1220305986 +1957467163 +46038267 +1044844992 +1691480406 +697743422 +2073938682 +976549270 +655463410 +1634765141 +1959118905 +593817972 +319250909 +1883185799 +430444642 +1374771176 +1193180554 +732967344 +516672603 +1001774852 +406075631 +862627873 +892246328 +2026006192 +31031422 +777669687 +668843110 +816954423 +1507892882 +658157462 +1594598690 +580715220 +468140977 +1640636957 +1625560213 +12137735 +190896732 +1552015247 +988687006 +846360142 +1039296741 +800322263 +1440178115 +1358547650 +536024414 +1870622757 +585835178 +1729204968 +456106453 +1102507781 +583496172 +862182084 +1965135654 +1475742500 +740704628 +1996167077 +105928539 +1409547739 +665637852 +1613821421 +2067705201 +112752894 +47052994 +388362531 +1753389851 +1672613207 +400500266 +1944286583 +1077144806 +1389187272 +643163078 +2116441547 +42025887 +2083341193 +1327505549 +578050301 +1806480302 +1913340727 +159771622 +115103107 +868364861 +743267794 +977285191 +686016867 +71526647 +1717989819 +534700296 +177455186 +980053910 +1200338148 +1791276608 +900275464 +1313091042 +1838329602 +1288637995 +918997246 +1363459161 +1689138261 +715800181 +293120319 +930841886 +1358963259 +262078219 +972867773 +1294820804 +1589583768 +1550918075 +953817458 +1355440848 +1710689697 +1068920565 +76322061 +306473843 +2046205756 +762338928 +378000490 +1616711928 +1297039225 +555455677 +449282190 +349893725 +199248637 +1349557654 +1662984768 +2037578239 +490712001 +434498366 +1253553752 +32366615 +1150298547 +1546674071 +963208501 +361778159 +1808752290 +1936076274 +1656598963 +1250852411 +1339510701 +462932774 +458809611 +902716750 +1531853339 +535131672 +1209190594 +1430575448 +1297470600 +1587191084 +899803728 +447026177 +2142646761 +1349085918 +796919903 +194411750 +551159925 +312421023 +84506341 +1041871926 +746919389 +1338060093 +1074238541 +1897217936 +737250517 +2037447042 +111512447 +398519159 +1826039669 +1768111411 +1649371570 +1018066722 +83560537 +2108181181 +1920783473 +1615413876 +495829205 +982490419 +898505676 +1793299806 +422197855 +1798309404 +92842335 +417360969 +999911675 +889762238 +611772719 +1551071600 +1202183261 +696279061 +445459878 +1949102650 +2034339154 +1519698420 +1698836939 +624106023 +1409661814 +1810349386 +1022625183 +1088217835 +1430977149 +524513105 +2106284558 +1514537686 +485210639 +1879584383 +982467915 +981039844 +714591154 +1880973591 +626856002 +1136789009 +1531799348 +719698338 +1554149978 +384227375 +1609460576 +18439050 +1935298975 +664160190 +714718111 +233275205 +465779192 +601573617 +1752973625 +17132483 +1225679641 +1015151792 +1827481870 +100821176 +2103369627 +1110975371 +625334281 +2062170537 +478029410 +1110544920 +1794271272 +1460497325 +2091584765 +361378778 +1193987268 +570957119 +1498167788 +578302968 +1290655457 +904834118 +962530343 +752632386 +923273168 +750345670 +1416792576 +1637991279 +983620876 +1882571768 +92081249 +589110853 +1899704252 +1317760890 +1604262645 +1579702474 +1418582066 +1560148625 +543194197 +2043916347 +1474835514 +1021223607 +1006977620 +1121623139 +334237284 +951078737 +1483001917 +1528224553 +1522035856 +833686057 +2106527521 +665207666 +1738520176 +921574217 +1417840052 +514309696 +1671919887 +687148980 +4817328 +508057115 +422237100 +96898577 +1097167969 +174457704 +1414659467 +553946966 +1754160178 +685757885 +2114095591 +149870728 +582190584 +1441447458 +1171094335 +1589168204 +415586949 +1505331620 +392763293 +1898588866 +886072525 +1914799150 +584791276 +845116398 +432523168 +175827804 +1766690615 +1850363220 +690137500 +1291126855 +390028552 +694954828 +1799183970 +812265652 +791853405 +748868291 +986723357 +59029224 +1302815258 +593399887 +744787109 +1269427201 +743270615 +1326977694 +563391011 +1914364951 +768662250 +978977960 +1272212923 +1161425544 +730083179 +10801800 +928741046 +1314874455 +855918198 +1361264214 +1490702259 +475125166 +1064143786 +33356111 +1766252021 +1454172338 +728310940 +1417952343 +118954342 +1520164345 +19336987 +1105677699 +1579193570 +1322152245 +1699077587 +176497031 +444095798 +294864554 +1503474725 +1007486810 +61745857 +124653328 +1986464770 +1333958780 +1286078872 +569064301 +1344760580 +67336270 +1883938756 +53195131 +1428600484 +1227157367 +528320297 +345260622 +1260513479 +147088670 +1799432960 +1988824419 +1565041013 +1918387302 +1361505116 +1584378000 +876581354 +793215038 +759046597 +428175293 +969712070 +1203142396 +723039847 +325703147 +63145558 +784785705 +450356475 +2049610328 +2118744485 +1736435347 +471190982 +1316021418 +1803771617 +207646090 +1369216549 +1084888453 +1434803458 +1897536846 +1430149075 +547833289 +2044625516 +1082098387 +389174060 +1462182881 +853002042 +1750679176 +899077234 +1729583396 +396410567 +1658123831 +10275041 +1366122637 +713782579 +733314888 +1691825784 +776928137 +1518100593 +2142182260 +679054818 +1489361431 +1731133959 +1150245800 +657899201 +1387421929 +1357891890 +2027115750 +324826734 +645211700 +1777168948 +1754975810 +1193044989 +1674310816 +689590549 +1582219049 +989010049 +1542592591 +1185414578 +1888087283 +1124692339 +1581825145 +1398727467 +1134967380 +800464134 +2112510046 +1868282269 +344806270 +741954536 +1238899214 +339504882 +1421009354 +580776997 +2070638842 +423771506 +1238676198 +1310577123 +1781663396 +1118308300 +1635403857 +279391449 +747993600 +1242896019 +1472436438 +274820768 +1932486569 +907171840 +1263830818 +1327595512 +2092586418 +1004434453 +304804204 +1526927915 +255678272 +1439771584 +179908401 +220704671 +1160570205 +524714671 +962659207 +251985772 +864219554 +236184913 +832762769 +787374748 +659956419 +2071438968 +2097951871 +294136167 +1042263620 +1585872080 +573527616 +1790257221 +681284452 +2045964055 +2065077989 +466287373 +805652247 +1181425159 +1793882885 +750755017 +38375965 +2098687089 +130199284 +294054237 +1390975026 +310107685 +514758908 +404061583 +834822356 +1477418115 +656047355 +1699041910 +1713603028 +1488810125 +338933010 +226075799 +1412765445 +289401233 +520211967 +307545417 +1875273314 +1093739583 +2097802638 +409074118 +992219990 +2015396980 +875361491 +1797872237 +1049338491 +521760728 +401143606 +1087714456 +472964170 +531342890 +1381768694 +1863939196 +841450575 +1896527602 +120517131 +1676272932 +1226462070 +776564487 +1227831194 +792581450 +117890964 +1566764205 +1018657250 +1530656409 +1856165438 +1538869217 +1838201826 +1583955104 +485125152 +1788520817 +1993029222 +1477345143 +1656434149 +720907065 +1127733732 +558288992 +1242667794 +1528877339 +1646003449 +1715631964 +2060220229 +880288495 +1432087512 +754187157 +629332449 +1552604643 +282976441 +1855794519 +181685482 +1510807635 +500892322 +299576446 +930088192 +1519549572 +1830232855 +638769983 +910935141 +1520951034 +75241439 +1396060293 +1161988203 +2068270662 +725921788 +670938704 +641694079 +1853655521 +1229227696 +1884361873 +1235049212 +727747497 +1452510189 +1147785793 +1608035992 +737114053 +1901972950 +89884794 +142235049 +37465743 +1945679313 +323920531 +1548273379 +299087987 +623496978 +330877923 +1818637559 +306246185 +969647906 +582089052 +1827197219 +1044889346 +1978149346 +841701774 +965676360 +556587486 +1512640478 +1607370439 +262759359 +594384527 +1344248665 +1497808571 +1322132024 +649275206 +498110717 +782684369 +1386389260 +252600019 +872569163 +1528624309 +290065763 +670764828 +1852544840 +1838339142 +969852816 +328558170 +21733417 +641006727 +634804356 +991381324 +1223095780 +314517927 +2036270670 +1053761478 +1156219702 +854463382 +1610348964 +521376532 +314350173 +1873108324 +1115761059 +1658598838 +1223433247 +290409436 +160390397 +1721543964 +1073093805 +1546779657 +1974143984 +1945662968 +927920318 +116726099 +468944148 +632981510 +1955065241 +1438796964 +961539681 +1976798658 +2079803692 +1596344037 +820696334 +1155415824 +1910861964 +709483356 +61693654 +919598018 +1563946738 +1672042618 +1440974551 +1878296912 +1397667294 +409251962 +1389412102 +473616894 +699661398 +1549802499 +47677210 +1772755203 +949098508 +2021821194 +1570934523 +1877018826 +2138547293 +2039878672 +362516689 +1946128886 +1331191988 +1324056370 +1775443897 +1263512032 +772916759 +448656583 +271444208 +536295075 +1158139940 +333137862 +1455893094 +574603030 +2005180481 +749383997 +305416294 +1255364127 +1158635959 +1694828397 +1728981021 +1858297358 +1097147248 +1776658232 +1483568913 +2046245757 +1650995778 +907019789 +1775780935 +1642059424 +799414813 +2138297624 +1440704662 +2130606801 +1314870346 +1068664911 +1246635186 +2087787105 +1517321495 +1518079394 +476598533 +527977787 +1851217257 +1932491627 +1102580817 +1708914090 +534391976 +1407997112 +816794569 +1693027935 +955341861 +398291943 +1403841645 +2052489109 +27466527 +739926911 +1951251218 +1678462305 +1646946700 +1579548506 +1173038081 +298877865 +1570362482 +466259096 +282001018 +737749181 +1534924007 +1528636204 +678052638 +904761854 +899231951 +1154651171 +1432739641 +602965560 +939659150 +387836811 +164396002 +1474051126 +1795833923 +981190571 +1019595414 +603692136 +1379482514 +275953411 +508697597 +1406949041 +1015880322 +312465168 +937927699 +515343374 +1892013674 +2110965780 +814221239 +1314892508 +429741228 +1096222258 +2052641689 +1964665236 +477374814 +583210680 +721943442 +1376606765 +1737861851 +7199436 +1979572325 +530037354 +395036247 +2143968327 +2004088480 +43386522 +977675251 +876200246 +647078658 +209674117 +1152153658 +1155776255 +1616623159 +20550332 +1468241423 +407067210 +535893707 +1212771449 +370549342 +1350114946 +380180310 +800290571 +298853556 +285338351 +617472159 +776228371 +868549031 +1339415601 +5351488 +458927235 +1346615037 +1984923814 +988964589 +1741651284 +1981408493 +845569421 +1785037806 +811600096 +1721769668 +284632816 +1021274214 +726439678 +1440409072 +490413725 +746990010 +761166847 +897480935 +1282883717 +1973938297 +1268030277 +485515016 +206634959 +2068320848 +784368572 +491973310 +538309359 +1560596943 +1360522342 +1877724961 +1565948432 +1819449577 +1076856350 +1403388598 +660930518 +671023987 +1237313443 +1506499939 +308578145 +2048913540 +1080785959 +593210962 +922704106 +1807225637 +2033620034 +1413117831 +406732000 +647303233 +163115118 +1689615717 +473757882 +1431145395 +27647085 +680392841 +1351982596 +812015658 +1172366152 +1890291955 +225128953 +385404846 +1620533268 +1791077385 +57370775 +549905971 +1046982335 +718301293 +1220929958 +136812131 +77317584 +1529508103 +38242023 +1158103544 +2122719065 +960946129 +817845533 +2008855451 +226580312 +1224577533 +508675037 +389695430 +766709603 +982432919 +1820840825 +794356688 +1662825761 +1025339773 +1606372346 +687708265 +768148081 +1831501300 +1073113111 +241197701 +1475095037 +1130483886 +791103672 +374593725 +1848785179 +2012033630 +511405856 +1926102763 +1394058086 +549647879 +936722659 +1369293503 +1510594008 +1754568193 +1230665307 +1737174320 +831662078 +1739340344 +2126869750 +1598371681 +574289615 +1800226927 +245244722 +89631728 +678083053 +1851617068 +777339993 +1446231134 +1535634720 +1850453104 +1687428835 +863246110 +833453342 +331048860 +1237839835 +534754873 +195598842 +1749245691 +313373989 +1589656928 +151409922 +1250096648 +811466784 +1662003930 +857181193 +2042132091 +1251694602 +1688843272 +1633988787 +1231080704 +1139731305 +60794754 +883823983 +1384976027 +150426483 +1561907036 +1089109448 +927766476 +860654522 +477260520 +630735933 +400599710 +1340506630 +1464189275 +731648570 +430862817 +1998944149 +927247412 +32624860 +164834490 +369420693 +184034782 +1414931138 +1180887477 +1846038712 +124628684 +1075535920 +950249666 +1813471956 +562041059 +33846722 +805719613 +622835813 +917670706 +43211993 +773262296 +332094094 +1132321441 +1701028773 +1192748617 +1609581961 +184281058 +1593348327 +802604944 +1648470333 +177513249 +1233467761 +1499930834 +1104760661 +1266092622 +1664765324 +1474181354 +1450127404 +932212815 +507585183 +1148682469 +1056841499 +1583121103 +2098932135 +722829807 +2145162162 +2132778858 +1528549420 +620514328 +902965916 +1571761413 +1393776624 +1235060010 +556599206 +947321749 +280324979 +18697520 +1131602807 +1873673306 +821302464 +632589493 +2051186555 +2054770225 +2132520327 +1008463569 +1173379199 +1649802004 +335161275 +476022956 +434531171 +842746459 +1624705425 +1491372670 +278383914 +1576153912 +66718829 +276062429 +1561449122 +1595268249 +896576757 +316931390 +1019546015 +142869733 +1551991401 +1576145221 +1090191483 +1832316380 +1594842741 +74310642 +1558506039 +268661557 +706900135 +1462208946 +175948135 +691936815 +323188867 +1349327334 +194255171 +658350143 +1825350290 +628786342 +1501096602 +1302572067 +2120159012 +1779480516 +731242332 +39394193 +2055542945 +145207806 +1634662442 +804636054 +462139197 +506724809 +947505788 +2014130598 +2082870031 +2037697271 +1698963330 +1530229124 +2112007913 +1109985721 +1798890682 +671424401 +424711020 +1974838817 +1363361216 +747899887 +1176682503 +1557616387 +1406250030 +854549146 +38919081 +759862984 +9637565 +11594445 +391859853 +740879897 +50988638 +299919150 +886087704 +1685651080 +1104555205 +1348226901 +44892242 +2052060993 +1214873851 +2127762273 +1942274616 +766353533 +1510507749 +1906798881 +1876339255 +1161914783 +430739634 +153566627 +989269952 +1794100850 +901466514 +18468808 +1204233589 +160232897 +873017954 +1243152670 +920095881 +882655519 +1254747115 +1311955734 +1623535417 +1305735753 +1611874885 +362139473 +843903186 +568946442 +1710366374 +888795428 +473523787 +777756577 +869074053 +268314755 +1544110110 +232098154 +27629988 +1272965717 +1394012938 +458369623 +1426532344 +235799242 +104986825 +180515211 +254268050 +1309220415 +340748108 +1127286004 +404889437 +1260843989 +2009941524 +1659636553 +425316076 +1485993293 +817888658 +2037190961 +1848132766 +1661791844 +458653755 +1411015492 +403103624 +932177542 +41288421 +1272177677 +1200492297 +1585398531 +1504275832 +1228122285 +710880601 +750805122 +1686491908 +2137412945 +986604364 +1791478734 +170444508 +1240872415 +953215501 +511192616 +220674771 +1358104938 +1772036606 +83132647 +870257843 +49869034 +1569125940 +1688146502 +2087059995 +1269775058 +1202454698 +398230102 +533306902 +1605558323 +1330407644 +574595323 +730252352 +383416293 +12510207 +87044536 +1611538578 +723390808 +837849658 +1150546839 +713320105 +1824454023 +794541925 +883764614 +917842790 +1747757426 +1394957230 +1138517561 +958378716 +1019510188 +1221650209 +1828636560 +1069379222 +643292501 +1369299414 +1008955569 +1913067560 +424270464 +1407185671 +298890814 +2029828787 +590109667 +873486138 +612597492 +973525960 +885996345 +699642028 +437580891 +1609387153 +1537491687 +1588127730 +175223610 +1214462062 +235186007 +1058988224 +2132304852 +1982943433 +306461807 +1123338765 +793838501 +1325971995 +197505326 +474991413 +247867570 +840797828 +1844290827 +1256823139 +606381740 +121077644 +516525163 +905272554 +3422783 +1106634830 +1778758692 +616020275 +2080160791 +517271389 +1315662304 +370258034 +2126658542 +705670343 +1958385764 +154398505 +1920132405 +46088123 +1213386729 +1904953609 +2029031556 +1519848536 +880808726 +675386409 +698336884 +1078314053 +1150377823 +946204454 +1919111881 +847185002 +55543945 +378009973 +968262646 +572069108 +1283282527 +971685430 +1678703939 +914557572 +1587705705 +1611381082 +1431828961 +755884361 +1981639116 +1411003856 +1461554704 +1792541232 +1565402361 +1234203461 +1838629355 +631305442 +991673422 +1720177263 +3670331 +1872482149 +248080024 +702007215 +803312554 +1398457847 +1648211669 +574940787 +98159202 +1703755614 +952950760 +1066421848 +128341075 +88749639 +2038107278 +1807045014 +1003307211 +1478329336 +1270942448 +287652525 +86730049 +1105097916 +1698656381 +1548284754 +750155500 +1116575094 +635004567 +441301207 +1747880536 +1626677990 +13994822 +1751550867 +1351676491 +262074846 +306074434 +7505397 +1660532694 +1954286103 +582446184 +1758691896 +1510558070 +1535396944 +677630096 +1638899145 +1624146583 +568253727 +1298460511 +479970147 +2046583063 +421919311 +767622672 +2133313112 +1527017227 +318795405 +1534114218 +129689079 +1435370499 +21635138 +570990286 +1035767387 +1648313128 +584985108 +639834607 +852505971 +847059954 +945909041 +860011368 +360109000 +752711497 +1442457552 +2118800896 +115785919 +830370848 +648947345 +1754685064 +307033783 +1217201072 +905661927 +787003930 +1116300487 +1327581238 +1554626602 +1102129951 +707114817 +1873422007 +488760522 +836803896 +1161308858 +510395660 +1407794182 +49592598 +11225140 +1992779290 +689427205 +863731111 +692355596 +1635336246 +1723742479 +1052464597 +240564095 +1018716383 +1023781845 +356350014 +1849087231 +1672729190 +2111035078 +8637366 +742446614 +869213357 +795641297 +1858747101 +49310947 +202784251 +813393405 +756425764 +2076206259 +1302153927 +1593229660 +1090031469 +1812549587 +853540194 +1139624067 +1823774727 +698835836 +1829051272 +540022190 +1391191433 +1316903871 +116281021 +296172382 +1557467966 +1134997404 +1319954227 +1913817981 +836600987 +845199770 +1877369411 +845238353 +1587646384 +599099121 +1640879650 +1298909838 +648410068 +1843663902 +2112303243 +1404835833 +1772386513 +1266973522 +850581845 +714934334 +932039461 +1704122040 +1854558402 +608330540 +255474228 +1536126026 +1148352730 +1646665661 +705546249 +1264633751 +1942838043 +115530568 +252147507 +1115308623 +2029348549 +1088748494 +1960508393 +1759234312 +1933986847 +1400671129 +210849785 +1427382850 +552097319 +859259854 +1123563104 +516916914 +116612039 +748465969 +1783890436 +967193884 +1463400303 +568446249 +523832276 +1170475057 +1176776789 +779306505 +559117436 +177645871 +278488518 +1264663685 +1442279622 +73842914 +1380194253 +1694427129 +1189151537 +1262059154 +635691975 +1002176282 +873809819 +422195175 +255363763 +1084659604 +1849578025 +807461083 +1943919458 +825657481 +1324377997 +2060531497 +1574123450 +960784786 +880241734 +890040105 +1529231035 +1404074010 +2060515163 +558524177 +35896867 +472148951 +736170048 +314385386 +1736812636 +30966023 +388228300 +969523242 +1725393152 +1577379837 +84098748 +213601480 +432072471 +957908567 +635796655 +687436234 +2042568172 +337891032 +1494897317 +1839003982 +1163548513 +671791667 +1752051832 +590188315 +1632576453 +484809918 +1480228420 +1014323840 +1888883928 +1393259935 +1572848017 +1924780796 +1865408886 +161534418 +91682534 +1454737875 +192500441 +479910834 +276777469 +1917893593 +2057290671 +360876217 +2131495073 +341879494 +1318784785 +619808080 +1029315728 +1213869309 +957699112 +376729398 +905389643 +2121247625 +1048521065 +509957827 +563952292 +533613870 +994767745 +2044180713 +1547937710 +736168026 +1289957000 +973302080 +513465174 +1007882239 +1134836498 +605147708 +315136466 +1327336939 +1085058542 +591913935 +1097746884 +994865565 +952790152 +1081758310 +1336745059 +124091289 +1701566390 +218577139 +1337960598 +511781855 +595306537 +95866594 +485545832 +1643827602 +605824421 +1049498125 +29957824 +1600592167 +946195190 +1577895535 +189276545 +88668542 +403713967 +702741719 +1096550781 +1538550465 +1307889427 +1411687247 +718403756 +245464321 +2003601182 +1816150640 +1240329886 +808907687 +750425302 +429591297 +932998976 +304508045 +648168436 +123475927 +816289900 +1243474974 +219342521 +1301835732 +739818928 +825166942 +203850209 +769776753 +278275461 +1150045399 +200188640 +467552006 +1238713942 +603902607 +1170293725 +187781075 +2142453072 +330699504 +1599468323 +713373180 +576163825 +1455585857 +382040172 +1816493711 +117009896 +1132465475 +98601360 +1050008873 +1436973520 +746769797 +1173484800 +105779772 +1990244771 +1392827321 +1407615504 +582580051 +70510615 +1611465714 +1352356804 +348786077 +614027465 +1552545444 +816338083 +1852741407 +8964403 +1986631809 +2040522483 +3933827 +169847665 +1492507158 +717307007 +746011491 +800609367 +1099347180 +415021554 +917619264 +84329007 +513622915 +1967628137 +1521302527 +1260392712 +993629289 +1627082299 +1103153835 +238972962 +887214155 +1685733886 +309483577 +351196221 +890607043 +658269654 +965223687 +295668839 +1474607738 +670481446 +304633243 +1313755899 +563520281 +308567070 +1483603564 +2056027439 +1025874078 +82131407 +709153159 +2125221258 +497152962 +1626772423 +62066617 +1010775877 +1446916912 +1583369144 +123684941 +293062553 +1062967795 +1226838776 +532035515 +1950181950 +765089014 +841519092 +153894524 +1655696057 +1499788747 +1119118211 +1951364897 +826912837 +1789599657 +108514492 +2140668736 +205636291 +417081562 +1476788652 +114180082 +1442955640 +1558920060 +823333241 +1420693250 +2056073022 +302622016 +1482759867 +919365251 +1749538928 +918645363 +1043050192 +2042601481 +1981613158 +122405320 +427153348 +1784311461 +887494334 +1268672441 +1938205985 +395706744 +620977540 +909840548 +199587993 +1447890377 +551956557 +308102485 +1441075465 +757592848 +725184047 +770380469 +871772931 +20656040 +181816881 +1695106172 +1441349290 +90406255 +1997728189 +776625510 +1009771506 +1599783469 +1695270873 +2052821698 +1494901303 +1529400384 +27743370 +1922054651 +1166228197 +915237705 +1043243444 +956950534 +1310944449 +1664220984 +1866791082 +1510532442 +964627713 +271263991 +1818634927 +258219530 +1028856840 +396335326 +1028600000 +1900629771 +416991366 +1210416881 +1448252295 +1858340657 +1300823137 +1298496836 +487482519 +163110995 +750796658 +35269744 +68449046 +98214313 +1564670128 +96192416 +2020268964 +583414677 +1011430121 +916028761 +1540365211 +174890922 +432766097 +1259672645 +1685423364 +1397393811 +1530936637 +1356574643 +1655613341 +412309829 +1752909970 +536729693 +165455952 +22417688 +1747146575 +1613708247 +1880758345 +900486064 +764721436 +220757216 +1063597059 +1515518094 +256026961 +1132046105 +1613732407 +1820697089 +1228238522 +1486517723 +256628119 +92184995 +255062836 +1796993330 +267075918 +687828934 +909182328 +1952499282 +2085222745 +292635317 +1161590278 +1593352438 +704945146 +767016600 +2130082132 +870401098 +789434288 +1729745059 +336625697 +522708986 +482747475 +1101347133 +743466202 +1546344534 +469381579 +999493163 +530906992 +2083113986 +672706605 +1759145514 +1422148062 +929334724 +1851330509 +1677210898 +578844406 +2118406427 +217556184 +1488026734 +1923422062 +155295281 +1780662051 +937528692 +1748647720 +338123549 +1704545292 +1731246204 +1208524647 +346495932 +1313507615 +1545150345 +869204918 +1796255090 +499013830 +1612671121 +1195115976 +968395410 +464680636 +1726022968 +904025748 +1137387241 +1337684834 +178690162 +2066721965 +1041531696 +1855901061 +498082724 +1012454475 +2073457245 +1986109458 +788392889 +81268879 +1619287862 +1725921581 +1829916599 +1957411411 +1282983225 +1413679155 +1018452411 +1629479158 +579703122 +416119108 +351200428 +228474564 +915132938 +1963871549 +1423590540 +1883528348 +281068538 +1002129861 +640070449 +1418455779 +192331047 +818760611 +1337694097 +1233862743 +527178024 +1835776821 +98833571 +453151622 +1674402631 +887226460 +534420501 +1146206845 +465664394 +216853452 +956134609 +1748647619 +1630532607 +1974587020 +1230643129 +62752081 +243222480 +1581843558 +291226645 +1158355418 +1398231459 +1714817185 +894400119 +1679299997 +569463398 +1534470568 +950272129 +761794446 +205747531 +140482578 +1995657189 +732925556 +1976259399 +2094490760 +1186077178 +1503178382 +834233573 +1720497679 +501901580 +1299897967 +1937351131 +1458036189 +901061938 +1420400090 +1285139561 +2131705068 +1483152171 +1528362041 +1566064978 +1774378816 +539233811 +816812789 +1341712353 +1433633930 +348629139 +1911175752 +820620850 +1298901268 +525486550 +1026368382 +1439383846 +373660091 +1759293938 +1268159597 +320667204 +797887468 +623854331 +1154900777 +370901499 +1125755911 +307315096 +160768982 +436308452 +1208377034 +1581169072 +1721448013 +1192598454 +916837595 +1102326406 +611179784 +543732763 +1641560218 +1427992574 +1885445116 +927710500 +1776621713 +1649137220 +1748331351 +928039333 +27140122 +627216085 +219939531 +400800214 +239026375 +1488099128 +721467418 +1036913843 +2111953459 +1876368195 +1407815342 +1090225723 +36199643 +1568584324 +1526534175 +1244576677 +1002269748 +1100498541 +289691484 +1919107343 +55341299 +900871268 +315356458 +1696901517 +181380194 +53317926 +477128370 +1958001907 +1702455147 +77976073 +738557592 +1729595269 +705192158 +958497123 +2130395483 +944218533 +299112603 +704379253 +1981132376 +263582415 +433263800 +1241464070 +1353808138 +469463443 +662564746 +732858665 +1714040121 +1664834494 +1833357206 +2003731605 +1436458189 +1888698506 +757119225 +1751814647 +1438116375 +938499420 +1805132573 +1915244745 +749017679 +1360104072 +1993220818 +1487575272 +942215694 +550929328 +298588747 +925127529 +1495147861 +597701351 +1629506783 +1328796589 +861283766 +2062770583 +422777011 +67608256 +384750379 +1085341757 +800466921 +2098790500 +602692603 +486340480 +1955038457 +2039150792 +227555338 +564674034 +1643481791 +1665671713 +1503173454 +1301130717 +1433432811 +104707486 +513751141 +1279169981 +1592282758 +1455966835 +1830099310 +1890871505 +233610717 +1177763523 +341089208 +1863117500 +359076465 +1202372974 +1778404435 +781853476 +1269981230 +15671166 +1867195234 +2070448152 +2114461666 +322404189 +409304984 +1922016475 +214071334 +636860322 +339206862 +1857553125 +155048387 +1842380316 +1011200194 +1588481198 +1947087802 +1524951336 +720167532 +1391886912 +833434523 +402783194 +1135274770 +1067045240 +1580546717 +1476363978 +782679092 +1939623182 +531253305 +413599880 +573993011 +1801234535 +429271046 +293704597 +1724199039 +396249065 +616108786 +2133504023 +170781892 +830180120 +622880697 +509988754 +540249598 +777929085 +204885423 +1551449792 +218926635 +4489577 +928917480 +939094167 +1396376490 +1762352004 +1341877361 +384167612 +681913596 +774940431 +1860531590 +1464592689 +567079965 +244301247 +1878192569 +1141072976 +2045535783 +159979967 +1434777573 +1622251174 +556229032 +2050886360 +1608271550 +727010925 +733582832 +83668599 +1236999679 +1273832430 +861597684 +1441885102 +677798575 +1080524320 +1446374680 +1606716055 +2019618487 +695267522 +1221584411 +1214012201 +1079435134 +1903498008 +1988952632 +792483076 +1220607049 +408548949 +1036784324 +951315970 +1549621926 +934836459 +1111295937 +836915851 +409603985 +1667524970 +740318563 +2017875535 +247052247 +1473901396 +2101544135 +1484051926 +600250178 +815658171 +778453381 +1278048753 +1896182491 +77344413 +737281161 +1768317331 +772611935 +1958865572 +834845884 +1852047069 +1714879932 +676314868 +497046497 +788003333 +1084863817 +1533830821 +1739319303 +487002095 +321183632 +703131593 +1323917947 +730787618 +223172915 +2064236510 +601179505 +470225162 +1390654258 +555239992 +1954277088 +1990904437 +1370898164 +585246821 +1121469542 +1119597007 +662591234 +1858750703 +740430690 +1435203169 +1670132628 +1575276574 +1139766590 +1237528912 +104107794 +1636813088 +2025532246 +1188971612 +1023160261 +1617367901 +1675973707 +1344343894 +173015846 +852408006 +2075131512 +396188761 +769160869 +528827369 +866413923 +12331479 +1084067362 +673207364 +2003235916 +307481878 +1258454185 +977221811 +1427078885 +1921045420 +688488866 +20025928 +1208764941 +211137846 +1595302502 +201047884 +1448666759 +1699410297 +1837860972 +1326715357 +740898261 +713537585 +796599610 +269388320 +2057881479 +969615457 +1121796327 +1985529343 +1365804218 +1890957196 +366873065 +84734494 +1903288675 +1450940427 +757941858 +1759040944 +1758422305 +2016396043 +588779107 +1038017542 +1789957815 +1277267973 +1058043470 +851239109 +1488405820 +505862325 +1052286993 +789588931 +57788974 +742664317 +2116304288 +798687235 +1456201902 +765420250 +1068075555 +1366599734 +1735035707 +42388234 +1204645429 +953356278 +1933345430 +1571518494 +1038090772 +1689150458 +874975273 +1796032630 +1300707754 +485913930 +1664945025 +1889486861 +1523931473 +1307419193 +1019271186 +434491295 +11174654 +360193358 +940353620 +1063461647 +1149782289 +998142594 +1806125964 +1118602929 +1796829829 +1114844218 +1884023180 +717421737 +333960304 +1471575239 +759809971 +1538605734 +277447869 +545671754 +962640580 +1315538641 +87338564 +1837615854 +964087623 +1388046318 +176046136 +481549001 +1130049531 +1699977609 +1788968194 +1837069 +2134468905 +1800142848 +362030428 +927338877 +716120847 +1511812717 +1925481472 +374763163 +482931999 +1574827653 +1489607381 +219471531 +144765742 +1823567686 +1691046770 +904575714 +1214689772 +1968494640 +1450247468 +29846704 +1136549633 +1537586032 +1867462558 +2100637257 +778148702 +2043508695 +434702610 +1908198233 +1596002656 +76187156 +1910035302 +1582987913 +1876330004 +124582082 +362843143 +444967203 +1636394800 +140840967 +819730366 +2119326799 +1715668620 +161854099 +191314682 +1860434363 +1985421785 +1882361452 +617526429 +1052627909 +1703372444 +2067773897 +1082474614 +692438430 +1457876281 +802453524 +645592039 +88541335 +698478571 +1080294649 +1996739568 +146997580 +1156481805 +1759291222 +1729985493 +885328161 +1883873305 +2092828636 +1330295364 +1372784457 +86185955 +2542082 +1344627608 +1801854576 +164396181 +1535942290 +1514805291 +2334319 +1270820094 +2132331720 +1054962228 +826708891 +2052621969 +2137436842 +1519147321 +1363014602 +792406719 +17255712 +1451555937 +1490885290 +1097550361 +1300811857 +1637882870 +106548518 +912619431 +1220384716 +991876679 +649009088 +1165729704 +174688395 +2021793545 +1251915660 +177230477 +1218937505 +906286588 +341626658 +607396147 +273608231 +343960977 +1878216242 +258456303 +1398923206 +557441485 +163594624 +1388876400 +2076588806 +1526609226 +33799471 +2093844518 +830681515 +1524684762 +1043911231 +2131493372 +1015083984 +1150459749 +896629155 +87985052 +2142336428 +1545638244 +1253714757 +169541175 +1419948141 +358146769 +346771652 +491401999 +1264433357 +688398310 +1098798146 +1538041588 +1032359288 +829530740 +1796497891 +283798846 +1386972225 +1960092515 +1672675246 +1316077383 +1339218093 +1706474718 +1262438253 +22415960 +1083675832 +158865836 +6425684 +2098759816 +1309325585 +903054839 +39261221 +1304178365 +301209435 +1292975978 +1473719540 +1721157577 +1651122747 +1820491192 +65075928 +768072456 +361405855 +1163874074 +158630396 +1393765143 +1993404815 +1955128287 +1677563989 +1232893392 +1767737154 +1202755587 +401487128 +959471599 +761746657 +1663925381 +981887559 +1845422489 +1822791218 +988313243 +1796698658 +984633155 +1891368082 +1835959879 +141327873 +45093870 +981452209 +1615047413 +1766251447 +485091308 +1288054958 +1831327375 +1253163764 +1649460813 +847717801 +1411794160 +895742308 +693638968 +1219438799 +425822649 +1926532361 +839692305 +1628578236 +180535841 +1799163904 +242841246 +1844461222 +633567815 +2088263735 +1519768792 +1621881058 +1737478745 +356918300 +1365765492 +1425954976 +498246173 +1410859362 +259923537 +2113293586 +1029627161 +745014845 +1253864896 +713470888 +1998178609 +755842061 +1561188690 +1262489121 +1651584369 +107344010 +334444272 +2077407018 +2033876371 +1174136577 +1558501607 +66928564 +825816833 +1801342853 +1911389787 +1459384648 +1742122940 +1283674931 +933782058 +1332118038 +1640593231 +152063903 +610589366 +2138839404 +1562923265 +870512904 +2104649343 +445066779 +1615527749 +1211030591 +1158537667 +1466222711 +1966872653 +572242709 +581228184 +1470973374 +679586720 +915672457 +1400896745 +565979443 +2089809034 +811914704 +632908008 +768142220 +465773909 +396814147 +80043220 +60413201 +1680489078 +1013825279 +1392531239 +1173598662 +1165889182 +2003120606 +1164954418 +581328799 +726149862 +1122120113 +1026395578 +194193963 +185667057 +37449598 +1660416674 +5056062 +609692307 +94161211 +1476029436 +1289279027 +1009833668 +729442533 +1855258471 +952159054 +1541357237 +340682831 +1720301274 +2007131146 +737496978 +1800344495 +2067544348 +270502408 +666686126 +1312591939 +1444101070 +1832575308 +1168228897 +461571841 +266420459 +1894378759 +1583691954 +1292816038 +2088572723 +1769359011 +1330265636 +1601505749 +1774415073 +1939957943 +1695666960 +1102960862 +1081753323 +558016980 +1832403395 +789528146 +1510176035 +1226276985 +1130210977 +1082993661 +1085924483 +1867707955 +735854508 +1005985183 +2138210363 +1402540634 +171093475 +1434827786 +1087632294 +1339322372 +1896399627 +1354052754 +1086217484 +1332607933 +499385144 +1027306559 +954483297 +1829650780 +481328660 +581414722 +1622125075 +29511973 +1684375584 +556394750 +587528953 +1369295332 +1345922896 +2097704988 +448088669 +328650225 +1033215002 +1534013152 +48874532 +1769069510 +392514688 +39601248 +1024126497 +563608163 +1474429034 +2111758791 +1902930535 +1223345013 +1318327897 +841664371 +408469298 +1817713041 +1868970930 +1362952595 +1499880173 +202815943 +1944367318 +974521601 +232327916 +1481259254 +1530916351 +819856869 +703070938 +729355600 +770078210 +1151159607 +1058005825 +1803293212 +537689112 +1106880358 +1424879074 +930203800 +1146481606 +301521923 +1493811963 +473426992 +265797067 +1249258850 +1696772005 +1584124964 +2090923222 +2105241303 +1254354358 +1812410504 +1320710251 +606750883 +2015226447 +1117593921 +1581272484 +100070715 +451369527 +964705188 +919927585 +1154440466 +1694060788 +1690005795 +158116425 +604582965 +1345815359 +695805537 +1711463323 +623210785 +1626009337 +710461281 +924732709 +972337652 +1183888273 +1190529776 +74112855 +733176630 +627171092 +17552429 +690934286 +1881525450 +1829962933 +2011644537 +340792686 +1697705733 +981754810 +1922065170 +1797776448 +1433124337 +739286710 +570220385 +440081155 +285863850 +112742532 +598197581 +890446816 +1458557891 +1294003118 +454426491 +2081768677 +772528808 +1164887773 +859017738 +1744866460 +201292398 +2049547514 +1818979315 +934469029 +529234958 +1836531744 +1625403315 +263276761 +1519011030 +1489564204 +604069447 +1069233115 +323835366 +378650969 +719525915 +1756959703 +1117937680 +1289746301 +49557211 +1403801530 +1402488833 +647754792 +146764698 +713563077 +1941757910 +601191190 +647848106 +566803070 +1766078963 +1506865844 +164185883 +1967371361 +1408929710 +1983165198 +754356742 +1938164668 +1672213295 +232276409 +53957781 +1043740677 +1721840613 +658027228 +2112973792 +2045675979 +1036678198 +685016059 +1655152035 +7132230 +1974762360 +1704709246 +1410933760 +1229767546 +204980390 +1557698459 +1943330623 +2146738300 +11406001 +443695081 +566057723 +1777484964 +1950560925 +730243606 +1597372677 +1212006987 +565925156 +204245772 +1002688007 +90654803 +436522181 +1056645789 +1134395480 +10879147 +1714673017 +1099885624 +2056555126 +603867567 +1784901684 +1564223513 +610999797 +1612180396 +1121449111 +2021933558 +694464294 +1326429501 +1432148369 +490311269 +1325684154 +1443554370 +934006350 +1891741877 +1073555686 +737083627 +474501835 +523444715 +1949090614 +1040426991 +727690487 +804294974 +1131081795 +1164212669 +1860940763 +117993627 +1175091816 +1428130132 +1217879252 +1084163294 +2031997700 +855297288 +500903160 +495513849 +319994036 +1622352271 +369963759 +1014458331 +801298125 +1802112128 +1504769600 +2126982279 +1098182850 +291292303 +1871240508 +24254888 +1028375930 +198258695 +547699604 +829982897 +1238685686 +1275390091 +1634277871 +222283833 +292119112 +1347734986 +340277461 +1467210928 +628381470 +1558156713 +403890575 +512895522 +265970353 +904793735 +1008409372 +585964389 +379662358 +1378373131 +1600422720 +1180960483 +1033001612 +957708673 +1160459114 +2131184462 +1249000976 +884215974 +7955703 +129893258 +1082474669 +555655307 +959876155 +173676708 +1831045398 +446670378 +395960541 +2123164511 +1794405364 +736238002 +1442891791 +275303187 +146911067 +1846782366 +788198709 +412881420 +604092453 +1796608081 +998845810 +983754812 +1027497565 +451784882 +17231647 +2060499177 +1409493555 +1177690762 +2044199991 +511010883 +2061906736 +2052155694 +640904142 +996897758 +460327353 +1600780297 +1170574466 +143889104 +2047450676 +1566535007 +119569967 +1694372392 +155289362 +1562461758 +1969675579 +302200429 +1261760477 +610390641 +715081850 +1865852930 +259515074 +1713927660 +702124094 +1287012639 +18228894 +719355742 +1200028168 +1427722450 +1897046504 +1096744512 +1938733333 +1811469592 +1001416558 +432153827 +660883702 +1461743912 +2032934125 +1831458168 +1605633016 +1932901153 +1250509528 +1725202983 +1479789897 +1405798890 +1140181093 +1301981829 +1707999319 +254457922 +1912372470 +275597521 +2120310853 +24403896 +1989525181 +674951299 +1311416536 +2007754076 +1394307041 +363961056 +1287992878 +1143869897 +1460705568 +1079242563 +807855842 +314638479 +1511396391 +1468739544 +1776382391 +1396846868 +1152714065 +1234531759 +1182264373 +255739945 +812251094 +514570622 +1661538835 +1952432187 +1816552451 +1222054506 +59406462 +1581441273 +1497652028 +32233667 +1605845170 +1339693561 +707184966 +769778058 +1199963989 +2101492008 +1133739114 +340473219 +1097878257 +446961035 +1419715783 +1905734099 +761599514 +783628526 +1226989996 +390498257 +32991746 +232220413 +1625030016 +1215256119 +487960358 +289797462 +1729826741 +2015545 +94746001 +1398895545 +1224070051 +154152463 +832853170 +574238431 +186386130 +291214692 +1913931993 +893571097 +1060992750 +966412334 +847579457 +47248217 +1306885554 +1945457714 +494209252 +579117689 +1703708166 +1255808766 +1362746215 +783214514 +1646307023 +1395737961 +1015434927 +1123853391 +463510432 +1503395285 +1413650853 +45853525 +1505410830 +1508396854 +1444749070 +581997233 +1662549318 +130118593 +1156235665 +1848935448 +421333285 +922684010 +595022897 +1482326036 +1889096344 +1442602354 +1529574253 +1048498250 +1240576421 +2023783505 +1627615939 +796800939 +1132108623 +842878506 +1580015453 +630931998 +91132819 +447966732 +1754785389 +554643251 +1951362017 +1020952594 +600496777 +1309289199 +381865800 +2045245847 +1891286432 +2044415118 +27880792 +900038449 +1745866919 +449214078 +1822722459 +193406168 +1931540114 +1564335156 +1636008523 +1313630719 +465349758 +729101296 +1189930576 +2092965698 +1525902235 +174555551 +788360556 +958434040 +805487549 +879493376 +1406400772 +412789290 +1434136627 +1210279141 +1433741884 +2034633404 +372084692 +1815607684 +1932395604 +115887476 +1712539155 +1960276396 +1015925926 +1310922426 +262006826 +691164737 +1504328594 +46063292 +108016245 +992853469 +1359694011 +573366004 +1721954765 +402140939 +518848054 +1100373352 +576696490 +1307208610 +2058807392 +1382184039 +39218338 +1317724516 +1794973329 +1473354966 +380520009 +1081231565 +1360504722 +752604701 +749355602 +1145416678 +868492178 +314411109 +958209427 +1884418104 +1625333535 +1220216253 +428099193 +982178481 +1266279546 +536115439 +1975031951 +478489909 +1109481443 +1549503068 +880630849 +1628329497 +502392773 +1457327339 +788054459 +413716517 +692027731 +827272798 +1731441034 +339517412 +153144116 +2111961043 +1420748978 +1513648838 +717082097 +22620932 +511581869 +1585574275 +337032041 +1469791296 +1322508731 +1962365576 +542523901 +1750607924 +797060409 +1808803447 +139239715 +624608712 +139809709 +1248721158 +26628133 +1020440558 +729567007 +529020906 +330284249 +1517621467 +942737423 +1022311980 +197410617 +526694809 +1361829393 +350554733 +491172205 +635094723 +1864203571 +1208254302 +657715655 +228301792 +646344929 +994747696 +1698093088 +1968853660 +809629624 +93133342 +1571977936 +1606690033 +1901936789 +1711217652 +83815098 +2041746498 +812455162 +110443231 +914703408 +1542022170 +639464137 +1244987658 +912159989 +1582201560 +119815990 +1109570606 +2108896370 +1481645383 +1460125339 +452584927 +2116740106 +1176845262 +1660839229 +626972113 +1405147055 +159700510 +1621719809 +955756495 +2128554170 +283865785 +1048889837 +1553048458 +1890555819 +803342979 +1116782462 +1974370917 +697605829 +1929237625 +2084814148 +1612309238 +1323776147 +576794637 +709813248 +88452488 +11512549 +829629238 +1198023094 +2120408919 +163790974 +510664785 +425510198 +133047432 +1687510047 +2086349427 +760019546 +945173454 +98566289 +234255707 +1900929950 +79636811 +518121493 +802336139 +1632685270 +261193664 +1605679118 +601984084 +88080933 +155801300 +383738061 +25411433 +1768110538 +1707514208 +602206070 +330440138 +1795966696 +613718619 +1160069376 +846506142 +586643891 +1323860350 +1357170927 +1012154089 +1456907783 +897197327 +951019869 +69443681 +1842370781 +1049586158 +303699388 +1595817083 +1129222970 +821820881 +250669575 +614424592 +1083014545 +1856348693 +1216408676 +1171095478 +2012149993 +1600146738 +1196506911 +1632776883 +1160177298 +1798712981 +1963217021 +808660347 +264947953 +975802750 +1655166489 +851591844 +152179452 +864853769 +1863745933 +1609087235 +1762051096 +667282154 +1678530916 +1456938229 +1716868313 +1982230305 +905271665 +698607635 +656567538 +1155941240 +1313032227 +1739582084 +864806285 +381957255 +763193914 +729472631 +1982103993 +1959700826 +214765866 +994797644 +1610930159 +30499240 +1803457991 +1875878112 +1006301990 +1311140832 +579986308 +1158481442 +28510953 +296248594 +620085030 +1790562049 +963530748 +151132298 +1100016631 +532915413 +2133362603 +2005288296 +1231523048 +642446494 +1013745888 +397071627 +234544930 +1878552173 +779028883 +997738844 +460541156 +613649228 +809956022 +675307023 +1608446872 +273402534 +705806263 +1264421215 +1796998 +1712108253 +428078400 +581783307 +723106047 +456589353 +878031901 +1343191077 +99667755 +1841562649 +1494323376 +1199684386 +226994415 +1480202331 +1057489034 +1458517463 +2122648825 +2071234922 +1855589091 +209710107 +1802303447 +487134326 +1207448952 +115360956 +1100783554 +2017404974 +790667979 +561746779 +143323860 +1496474242 +1826167994 +145120859 +1061098847 +106762746 +726904166 +1784204894 +563352100 +1604936067 +979912324 +663019855 +1299015068 +326752052 +1862704241 +1526009483 +1806954383 +772709627 +837043299 +1782119561 +696460901 +545148742 +1991829668 +351280700 +1032283068 +1051794972 +466641656 +2133066622 +921716299 +1257309635 +547329753 +1065040159 +606300229 +226014100 +1210161018 +1667399076 +332776846 +1937065184 +1304120323 +896128946 +1394517603 +136548999 +1559148801 +546049024 +463301051 +1274369394 +2072058507 +122771786 +2047079021 +761618158 +1904891347 +596056274 +1306766900 +1749237368 +947336975 +191566320 +653548692 +1413978631 +177149295 +1575264991 +523804619 +724479048 +492821503 +1130104848 +950493148 +1702982521 +650020277 +1283269995 +1492564058 +1954140600 +31915293 +739598013 +2090689599 +1591064095 +1285647037 +406507002 +717949841 +1210221897 +529278788 +617545215 +1971840055 +286686488 +1213601489 +1131123308 +2035923856 +13454816 +1322689628 +541988900 +1427433448 +1499838923 +2117253892 +1951238067 +76834324 +462591747 +933859267 +1027327472 +18090620 +1583879544 +163113819 +1510654678 +1390536496 +195029113 +102769044 +1333742447 +1786093208 +1388416081 +1740249449 +356559401 +451154330 +122044590 +974104616 +275510738 +408731078 +40222458 +1406634046 +297171286 +53677274 +581840026 +839160186 +1481110722 +2081678950 +808930430 +1284865141 +11029626 +1271522177 +71240761 +1038357098 +1289612798 +1655120305 +1201470918 +652783828 +898173154 +1396500031 +755552872 +84431953 +1035109591 +2143968954 +1824681403 +1391668992 +447639636 +1946725993 +218289961 +723150374 +207973423 +258512419 +2129784420 +505144709 +312189693 +564140799 +1344304895 +1793300416 +498336101 +5751678 +930681909 +509365727 +1277273855 +1001922670 +1547722825 +419403005 +509559328 +601710095 +1072186834 +1407732482 +1998210126 +1827739706 +1492164435 +885836069 +1824225012 +1169362190 +130021414 +124381001 +968604535 +348311375 +847531375 +1176577958 +606823794 +829832148 +1681722667 +919013487 +1393972947 +878543915 +564830255 +1892309048 +884295593 +1495512165 +254191127 +14085800 +349951187 +1801913952 +433488806 +859510515 +256140400 +1505675640 +119759349 +106866878 +1185931698 +1611923785 +992702948 +862673063 +633802327 +1122724362 +987054064 +1602406863 +1471035737 +1834585439 +631501173 +2077859531 +516933939 +165740193 +849389370 +1910906886 +1044284108 +1414219626 +1655732286 +1928579701 +762248143 +1909923413 +1942665501 +1112199330 +1564353718 +228670659 +1971709846 +1820494118 +1734346299 +2091469195 +1927360996 +772794350 +1555909332 +772580296 +1635467413 +42228012 +1895304658 +475037829 +1644634875 +1218856747 +162139620 +128652400 +1149232630 +679073560 +294392593 +1998622001 +442496798 +1338676701 +1265357979 +2098229085 +1119772754 +2027606122 +1860668850 +914954608 +992321804 +1277538920 +1143625267 +816548002 +950549390 +730487919 +760533550 +730426739 +1503282269 +168959234 +1503007035 +991266034 +211187246 +1250828046 +1466303863 +1855822121 +322201145 +1628443483 +1984474522 +1471433776 +160033395 +131383467 +1322572129 +602530194 +1470060169 +440446460 +553275631 +442349275 +320568934 +266460833 +1357303883 +1312890738 +1543999754 +353445503 +2129438741 +347065496 +1083933422 +742488643 +1077492235 +439732043 +911447877 +433015623 +1430998077 +1122635124 +1683843669 +749818292 +830973597 +2006044814 +230778127 +667964471 +1329994942 +390811523 +799347939 +505083423 +993341717 +121924460 +945529883 +1546617348 +564273735 +1266098817 +1813078181 +1921577619 +431505908 +1209594287 +127539474 +413461001 +1556659784 +1211472896 +1155949644 +486668371 +1651204939 +2067397521 +919683994 +934719368 +1042548997 +456044015 +1684537660 +1873522595 +314605182 +1915315787 +394003418 +1644600124 +158643662 +1193351357 +2199900 +1151985379 +1315275817 +947729783 +551119079 +1879549553 +66344953 +216713613 +1653643524 +497850861 +1426307900 +1781182998 +911311862 +835484036 +845172246 +2067261506 +1322152408 +348893537 +1987175379 +94352754 +1283612905 +882240729 +550396770 +820666917 +608279676 +865001952 +588499056 +1002283094 +362118428 +747142719 +48150804 +364318328 +1899128098 +1363426621 +1312048112 +302763530 +1095492526 +1378393065 +519477143 +601652402 +1876243926 +1945785043 +235351752 +640072140 +633785432 +1080523998 +559849998 +1955937840 +1429417535 +399541729 +2050290594 +565546792 +1281782458 +453203716 +1386213709 +1890062134 +1318205668 +1974712766 +744861581 +1680324097 +574371837 +793012385 +2044642425 +326016287 +8955358 +1209206889 +628779817 +1104447885 +440116306 +1148256960 +1706100287 +168876584 +946558356 +1941452040 +808948724 +1580343788 +874492390 +1368798722 +1388797980 +156426278 +1768340452 +1291604926 +721973070 +902639262 +1744808643 +2108186780 +645217749 +915530663 +1935415898 +1390079330 +448371112 +362304087 +35608067 +345529890 +688320374 +44563425 +1554736779 +1317100192 +1149011310 +1994853086 +317873504 +707627950 +16246022 +1264431860 +501596342 +825194747 +697292000 +1376088732 +46509821 +2086089980 +1532515010 +1814850273 +1230211259 +107004433 +570005888 +827536254 +67707565 +1215223637 +1743066917 +2003123463 +457819319 +43954382 +217943902 +493427386 +389484272 +906264276 +537990811 +1944221051 +75880820 +1687002122 +1791590489 +393754325 +247146424 +1807836512 +1658186185 +748742766 +485547611 +207994538 +2124831498 +532057432 +146600870 +1509862861 +199424058 +1376812129 +1616867294 +769429946 +56864735 +1684574859 +1984653583 +1799931653 +1540214674 +294989254 +1843886035 +1758158576 +788416640 +85886659 +516939204 +1326407451 +2030107710 +592820025 +865925925 +1674214552 +986574350 +1113072349 +1334567416 +497276887 +1861815115 +1820115027 +705271425 +1839162966 +204688811 +851872296 +1201542179 +404112869 +81200777 +670925825 +1173542815 +138065513 +208017036 +1010712750 +1937997166 +1748231710 +1305702004 +1634399553 +1358906638 +2094118644 +1720286212 +1875845842 +1273042448 +1602910274 +321182219 +2138968373 +1129641178 +1307756569 +1104557075 +316724946 +1805033457 +818888542 +2136839973 +362821234 +510567860 +194045137 +1214693530 +1712110039 +598158006 +1295894308 +235552216 +1771700822 +1433959821 +443569252 +634929924 +1224473339 +44317314 +1940631929 +711389244 +1403223952 +1887266925 +284191808 +1131586147 +1012825725 +1887102082 +1452768366 +1004310451 +869259613 +613041288 +2108867526 +1185984559 +270591097 +780272420 +1175340885 +633412331 +1290840281 +1369386022 +1848105862 +855466672 +1967544028 +996516522 +1091018889 +1591761202 +282992695 +1534588141 +79207479 +1507466034 +1578905456 +2019839408 +71371630 +834645760 +1759622685 +355563438 +1966231907 +624964763 +95181872 +1271516626 +1629275214 +964441485 +1884557914 +1590659092 +2942397 +7665363 +223447864 +1178283282 +641077694 +1514288145 +400185656 +341699908 +222271170 +220246036 +1338216430 +1313290059 +1812007239 +1621209125 +700394552 +1891214718 +981191511 +131816360 +1763570478 +1052563141 +966462121 +1375709515 +1408126579 +785210380 +2000674278 +1503308452 +2056727006 +1482465844 +320266289 +1793801272 +925641288 +323208686 +1801466635 +1149089153 +1501491968 +295060682 +515893650 +1901677624 +636760590 +738164820 +2121923661 +1974977021 +2051454879 +1786447252 +1448702498 +604365784 +1530178322 +282410362 +736182144 +1146265152 +1334973503 +1702644265 +374491019 +595616435 +340370998 +227681650 +2098924887 +249614356 +1710147494 +271707528 +2043415629 +488305135 +594916215 +1697398616 +1637394288 +2096408183 +1992459298 +5804290 +1850602160 +481736241 +743969111 +1825042173 +309229614 +647940342 +1464005777 +1757932112 +1252306126 +846700451 +2040342474 +1988488271 +1992965603 +1227832330 +1543648888 +219972974 +1823448765 +1884019886 +447654624 +1774890004 +2133634243 +10318471 +2046597532 +2029566224 +498623606 +494030099 +1579481192 +2136017894 +442954635 +1424456843 +2141822184 +146073147 +1906193084 +738307647 +1971115320 +67939050 +1386247990 +1287637449 +1825871162 +491070468 +2134337900 +1718729989 +332075091 +1979819855 +799078671 +1875723980 +52309181 +475043788 +1612260218 +499963806 +102450144 +1598410813 +510282277 +1564028 +1480493389 +1008905883 +495594128 +912490934 +997440129 +938548763 +189464129 +991778665 +1084621910 +2095657213 +1730086313 +908253582 +16112615 +968850655 +48407383 +1841983777 +1459921123 +35261635 +1413230118 +1791996215 +2015081490 +64825141 +1520236547 +2067390671 +539868929 +985013117 +419870829 +642319073 +435940283 +930153106 +643883102 +1916433672 +1939058989 +1139477230 +681440958 +789015470 +2078025993 +870905087 +1780794136 +1015164255 +819078652 +1363396801 +1923417837 +835191267 +184763808 +1971825220 +529691397 +1644684931 +2007086855 +1942921515 +1289197498 +1874684697 +2007746657 +661950397 +1794591720 +400131938 +1646963515 +66978902 +1042451012 +2082903798 +997132008 +1686334114 +1851853822 +788707350 +678327696 +385811133 +1577722820 +608870041 +1256716220 +1211033308 +1624034296 +2075794873 +426946461 +1399968485 +763502492 +611710269 +1224310057 +1293193889 +108911553 +1083913264 +1088631757 +1398109051 +811114313 +948894766 +2060059449 +458222385 +1349026704 +1559539316 +525201287 +243994068 +1494959466 +1522333296 +1930328182 +1199329640 +163556998 +461172230 +1585140773 +1741279818 +1070042271 +694373346 +804829479 +546592919 +622684571 +1231775940 +1946561404 +1386187063 +1843486210 +1023387813 +531897305 +1952397763 +2107301077 +1620529062 +1203023166 +770931742 +421940180 +1115598967 +1229154128 +1770966884 +527654635 +1754355415 +2014960953 +2022614101 +1129205063 +1797805487 +1074460094 +1292762061 +111494070 +512117219 +886558232 +1181536341 +1206490565 +1691387711 +1728129261 +1829175136 +775680003 +1527207017 +1067878552 +471682565 +403111183 +1599775857 +276596680 +362928612 +1072821271 +1479619847 +1133860355 +1494761451 +447735166 +215530835 +1118244687 +975389802 +1969886250 +985721992 +850520255 +951607666 +636043832 +1924980349 +96886079 +747537902 +289613921 +983444311 +1929074243 +1496104486 +527348374 +1509719856 +1177795975 +1303028378 +889443226 +98190879 +1774710943 +1292554409 +1697966736 +2051307624 +1655483021 +623304359 +1383443823 +641859728 +2118065810 +1831178989 +857390563 +1088826849 +659085143 +679793166 +2074548842 +1509605399 +1631400832 +563109026 +1287102100 +1728286911 +1310646928 +1576716021 +564247575 +1092237523 +925336860 +1091595949 +454473732 +2103132835 +247140679 +1343916958 +53840066 +2021851623 +488987719 +1751806802 +1925675599 +2144470740 +227627513 +1161635774 +638846821 +198209675 +845331115 +1496237384 +1287036524 +1504416259 +28546902 +1214101718 +866538010 +1659947734 +1777210744 +6156462 +1240750998 +940374024 +1582872484 +1804998573 +2032611548 +360725696 +749110874 +339601632 +316374883 +996251554 +1683518590 +370214949 +870619529 +25022661 +2122021751 +648811480 +22009753 +202165616 +1810447254 +660856574 +400375291 +508294721 +9610311 +1687411815 +2012710980 +38157213 +754029886 +731765342 +1698104948 +383756982 +737921805 +791372298 +1324131007 +173310641 +448887223 +1209258907 +534036337 +1197998097 +1548860539 +850411220 +46766003 +1084895481 +1220626169 +917385532 +1109918142 +1195164272 +1566197012 +1131927895 +1397329888 +1229160618 +1792784470 +1797705179 +1737455340 +1802394781 +1337633346 +1602682672 +1840551994 +2091663232 +186964367 +1391173294 +327936567 +924886172 +35061944 +1652067574 +1098196813 +483949167 +713842833 +1632233150 +1681947265 +115219724 +335160722 +1728713268 +1200115205 +1555786891 +498615153 +162549699 +603467515 +2064812165 +1294477594 +2000797403 +1146489136 +939778416 +1651018934 +736460828 +594689549 +841168632 +191659852 +287757896 +785348217 +378624219 +1678931190 +1113284784 +1303510391 +1713993135 +617868710 +254223556 +50458654 +1331711543 +1886456706 +1732405919 +1446931267 +74133780 +1313635540 +499562824 +1629920671 +1812250693 +662112523 +85904538 +1729579210 +1956590117 +2086701941 +728584698 +748884886 +1590237227 +1465045526 +1343574435 +283922212 +1656705379 +1631332331 +1069270429 +2035329598 +1162779874 +35071565 +1191356342 +729289361 +652940275 +1445579898 +779748015 +1984651818 +1184552957 +364670287 +1284099437 +1258686737 +1678305827 +1783662261 +741123761 +1343072872 +298291136 +827028299 +925168434 +107397605 +766246593 +1653753133 +856282491 +209000172 +971315011 +52373279 +492922384 +480536742 +1683705610 +1562192813 +368382693 +699001836 +1597264378 +1559739035 +1428291197 +102721005 +857835285 +60555565 +2087372823 +2042388242 +425225852 +1223988612 +1153591332 +2103531679 +860167225 +1894715093 +1299120903 +1158458361 +574259744 +76805689 +1265855967 +1340506337 +1730558822 +2122138458 +1549506510 +554390186 +27028089 +2042428894 +1034926928 +1710733700 +1457138060 +1403309621 +262251888 +906918790 +815565008 +1690543086 +1009639796 +1673400294 +1751098651 +949528971 +1568304888 +28840855 +26033936 +574412572 +2132372534 +886201161 +321644017 +1284009789 +2044659523 +895903762 +1360815478 +1163031842 +88926451 +943890653 +1137686652 +1638432961 +1498280839 +1164714742 +1533378208 +385724119 +727964794 +843032620 +1789033741 +990216682 +1749951410 +457115101 +533276120 +612107558 +2130515395 +136891123 +1561636530 +1551336636 +165731978 +1587670466 +2125749208 +150620864 +326387979 +299909578 +1434630653 +223563854 +1195813340 +647962484 +1386595696 +1284739791 +1591853137 +376798701 +775689105 +942650328 +1541513443 +161583665 +1328374447 +121994589 +1004616285 +969924540 +1112211271 +607084047 +1427039642 +1645487392 +1219191606 +1410071389 +1782378515 +633344488 +813924377 +1948110494 +73531306 +792189938 +2098731358 +399919285 +1092099516 +1385878364 +623483140 +140429208 +2033840848 +2010078836 +1425168999 +1478210337 +239393889 +53374456 +273377017 +1780907332 +214958121 +1601751464 +1902901921 +1219574406 +424192357 +867629545 +1826658454 +1851231999 +365633289 +898366412 +1113819740 +528156 +1531710900 +1927744118 +1948638650 +1605242206 +572450408 +1899886361 +2005161491 +1664549924 +1138281077 +481160983 +1804979132 +1024638277 +343756172 +1082664483 +355364966 +583150061 +1136038940 +628741983 +216573746 +1350997061 +83009799 +2119475667 +423087820 +507202156 +839621564 +102262626 +210950507 +1205254853 +1000629038 +1324770248 +1205783010 +384856290 +1105030718 +1006938012 +1990098496 +1677481126 +759340725 +1847776339 +1194547402 +1897621802 +181453675 +852042886 +774776431 +525209847 +1934707369 +1130141397 +1108359908 +923262661 +1758883380 +1324933654 +126776075 +1841893180 +1296925674 +549863895 +201611688 +2136547238 +652126521 +412562196 +1194318444 +1652755559 +1737332444 +252617806 +2037611849 +694879514 +1259555818 +1880226697 +224876992 +2018896544 +1580519388 +1419424394 +1769034698 +1761973063 +123983632 +396327482 +139699262 +2058691001 +1526468879 +1248059171 +834470015 +1137868612 +425509177 +961246090 +832278144 +1722434851 +1511109985 +1033889832 +1711498442 +15752858 +1446452028 +758333238 +1668508417 +1036300824 +1010951044 +1558636618 +1731180338 +123023214 +1291379667 +1956057330 +2141919758 +724415407 +1227998076 +1763470809 +338904823 +1351981708 +12314643 +478604085 +1263189062 +1538783522 +1726663256 +2097659077 +529168486 +4688786 +911421519 +1361446630 +1727123637 +275047856 +247852815 +1291138431 +290800714 +1694304843 +2049471669 +1959309131 +583122020 +912939065 +1370462101 +166818710 +1035962280 +514358120 +2122876041 +1030398390 +1238773527 +1203390469 +646385551 +1577678350 +407888530 +658700194 +2056282436 +1671077592 +50000069 +1635462044 +1621253021 +579168555 +1640150830 +385190892 +1940615186 +1219790820 +660238748 +40984353 +363445603 +951039462 +1735289196 +265433625 +762864945 +170927568 +1178372690 +2133327046 +337746279 +66851322 +500201518 +313138672 +1097249713 +1738975045 +1516529141 +1743635264 +1169169748 +1924417671 +254851811 +1077968536 +1448011615 +304851880 +565946932 +921780988 +884020435 +58614115 +1306971880 +677151973 +1278404935 +1967210628 +718136326 +1641850538 +770766442 +305941875 +1907284163 +1533631387 +476869443 +938173206 +1519474785 +814615722 +1005024528 +2019676303 +1127754394 +2102274241 +1611167701 +496799888 +1698425858 +632853801 +273733911 +1953277669 +1710822337 +1721745527 +110645901 +129285621 +496042867 +994666336 +187899736 +1803014748 +1671818310 +1466304671 +1622741728 +242470988 +960671562 +246024523 +548412863 +720472077 +1779655910 +1025282307 +1658645283 +1151647048 +1839898029 +516186164 +1023839703 +820168776 +470976757 +487523756 +1316968664 +21918967 +1120377557 +1590702575 +1975196636 +683716246 +1164964454 +2085842537 +813001868 +1661007322 +933025226 +1000901604 +1316538422 +457359888 +319722628 +791796502 +699830876 +1280394190 +1037821025 +1248243740 +2000866267 +669993288 +126042399 +1512027903 +1821640336 +1965940428 +2028214067 +697996391 +638625556 +351707176 +1185520148 +1955594220 +373626144 +158414057 +1398813148 +201339132 +842130304 +416293954 +139698022 +1655132172 +2077301276 +1072723248 +508550128 +1246356050 +1530083136 +828272756 +2038152553 +82430364 +2108666946 +928489930 +1330674104 +1962049566 +1598483218 +1456716503 +1326593821 +1272639906 +1275173284 +1207324240 +1970636298 +1913798840 +1559031416 +1008672798 +1721909413 +1932657560 +1167086855 +973238913 +2133996693 +2009217159 +1389532867 +126211067 +1516865683 +1319350496 +1198934315 +2025415812 +418222898 +581533803 +706204920 +308891803 +663964167 +667388219 +1237381734 +1994638272 +481954137 +688381304 +1303871127 +1808547958 +1961021211 +431560763 +868388550 +1784173861 +197875956 +279936318 +645363011 +1919785369 +65110231 +1812449866 +745540634 +51623276 +1674183378 +2135073501 +177834343 +1043565413 +1306940349 +1376768658 +921497577 +1725163248 +1958302461 +1627702498 +2034055051 +474782980 +147607069 +1123953137 +321937604 +629561206 +1812334442 +1625808732 +290625516 +1625872005 +2057369495 +1159014066 +1262562218 +107761803 +1438950384 +1907925229 +2027547172 +1504060615 +1572891447 +625604158 +1555683891 +1099591177 +613194012 +1733518234 +2143156591 +1920134361 +962803244 +917170520 +1497813961 +773622057 +397389370 +1384385365 +1248405038 +544996439 +360854854 +1570342642 +1174557645 +25705648 +1048667726 +1465183161 +1651577653 +958553574 +476713579 +766656223 +1066315377 +1915663964 +527097804 +946378902 +1272240931 +2099989252 +1571983060 +680441175 +1052096781 +37693424 +266475761 +1047769724 +1957827786 +1229279006 +1964940245 +1308158099 +2002901063 +214845967 +545059816 +1103822453 +759842407 +905914671 +526681448 +1934400052 +931620319 +1575349174 +1252099566 +435714325 +386419100 +1728813145 +1202370548 +1452734478 +1496993461 +1729468353 +251629732 +621750745 +1681973957 +1823612792 +1302191920 +586587090 +1861306217 +1568667681 +1634356815 +1671650355 +650463039 +1451813412 +832324806 +505880455 +1666659379 +1377384623 +1609702908 +279018138 +135815646 +2136384356 +65934543 +1067435965 +1564249883 +1318034109 +1503150290 +1950668983 +899363606 +558037191 +1255919813 +248873420 +140021896 +1507549545 +870624165 +1821995853 +1183678690 +25332437 +261099295 +897501259 +1594000118 +1895456110 +421667966 +96979510 +1199785874 +1253992772 +602859965 +718961606 +483893747 +65079225 +997979744 +619709393 +53979934 +1063914287 +1687145359 +1618229817 +234464748 +1042812001 +1421415152 +1133828355 +1600849192 +529851318 +1382701775 +1740871088 +2037400863 +105842292 +1415383293 +1073595905 +131174729 +1676482589 +1971097164 +1725174847 +1424455051 +245281482 +1822154357 +476757278 +1499274255 +277530674 +1195718884 +1983168002 +342609900 +46214980 +455393748 +396589834 +1110129268 +2142539107 +2014819651 +1344594016 +1037867460 +1288751155 +330938723 +491233005 +1818602473 +1713640498 +84620445 +1708519689 +1819482790 +1500003739 +634631946 +1950657519 +1029002680 +458245463 +1528348719 +305974083 +703526945 +1203019428 +782731361 +55317552 +1480550103 +1978450245 +2038485555 +1823160003 +2024665226 +346395655 +72266189 +987310846 +341451114 +2087085840 +184421214 +1379318574 +1228353347 +515359938 +1870551579 +899472173 +81516788 +1955172025 +460508214 +1900999579 +1307692116 +1095140160 +1704173450 +189211148 +1553385623 +1085038521 +495185231 +109428921 +140574302 +1277916593 +164746473 +1621124405 +1108883190 +55748380 +1296800760 +986064768 +402144035 +1369066949 +1973375614 +743595149 +1308669141 +10313181 +2122913724 +389538840 +525673119 +1845981655 +1289011013 +607189907 +1653670032 +1749519227 +360705838 +813878500 +697175740 +2064879289 +1003089648 +103077715 +1002434162 +1498274880 +212506636 +1143008464 +628707825 +377253110 +616649221 +1737591015 +433001490 +1913449981 +576172136 +835145526 +1135033282 +402064102 +1578740675 +296218775 +412377283 +1554170751 +685757616 +938050402 +1252668759 +1974768629 +1545240310 +758855143 +1576804209 +1905946148 +1572733644 +126496301 +1823341789 +428339644 +229574016 +678292304 +1926614524 +442080653 +1821300768 +407838701 +819333763 +290466342 +2145429717 +1252335253 +56432675 +574118205 +2087480779 +1191465958 +976182307 +1518737807 +1487684733 +1388559591 +925424910 +25958701 +179126345 +30610021 +2000727331 +1724366655 +789465165 +1430047892 +1482829156 +214715161 +1556544193 +1158687297 +643054805 +1786118209 +1836979601 +422185682 +80715214 +1510796722 +830024383 +900048977 +1801263064 +827970452 +4900583 +1857695739 +1402088657 +2092381362 +901678049 +230787317 +1463635521 +241879135 +1619346908 +241576784 +267837836 +1798473253 +272186805 +121081519 +1375356261 +1061651970 +1551129411 +710701769 +1276367131 +960189956 +1869389066 +1919421937 +598824518 +1558885020 +194123971 +679539732 +922198094 +1024148354 +1579588710 +575977510 +1852118807 +1584489293 +286189601 +1106723816 +1529387007 +1187867651 +1337511133 +845538881 +1429746786 +809374393 +1087115665 +1697584622 +460363999 +1359302470 +1818666142 +1835720260 +273470793 +1222311905 +398938381 +1549837924 +35018214 +120843799 +1321776213 +633842732 +1679728819 +1515900184 +1313382464 +454443265 +392564891 +745487526 +1030420775 +97200050 +182493171 +1316610377 +1203923866 +1711880179 +356994380 +393951352 +409935412 +1786741166 +1203325745 +1497051077 +1336842140 +1663689744 +708869899 +1008024634 +1351926356 +982340692 +82852892 +1750864737 +384694969 +117871106 +1871708537 +1706471182 +751713838 +1403953708 +1074887719 +2065096302 +1858396974 +1467452610 +663100181 +741334101 +1564652660 +845593352 +2057944478 +621092878 +409989883 +267455210 +1015044230 +819925295 +2054196376 +70886328 +169492724 +1243554869 +1734576072 +878362624 +104095855 +939018781 +1860703316 +186948747 +542399870 +97914637 +304819853 +266624759 +1804385820 +1056533691 +1670578468 +731789891 +974146346 +1381491794 +51758853 +1637246527 +2122825895 +1616411513 +335356231 +2033286726 +90020743 +745346115 +153258288 +1105064974 +1565271410 +59971017 +1175951302 +1734764135 +1303525886 +763043726 +465643111 +1407621741 +1702062507 +178862779 +1594570489 +96978730 +276777417 +1899390342 +363603489 +2081163237 +808440386 +2034181957 +665469480 +1782586732 +1268190103 +717228333 +1272349611 +1243532351 +186156198 +1607705842 +1129335429 +276176941 +205568309 +1282593717 +1381241915 +1770839720 +1342564734 +409709569 +1358120207 +498606972 +1172753296 +1823763318 +1906228714 +727332155 +2002626097 +1353315555 +824310885 +131919866 +1105222249 +1187914375 +65599455 +1913662635 +1074612684 +731068935 +1548765719 +195319140 +1448297268 +673631682 +1438851491 +1634453466 +133853877 +420703272 +1910630408 +339422186 +1703296989 +1144388675 +2110261906 +898378076 +1554098245 +1320898465 +1396985048 +579367893 +997178135 +1155730114 +1306700048 +852320585 +361562021 +2131010934 +984240451 +1466784271 +1171441661 +1049839907 +1232963258 +98570697 +1780908842 +634245330 +293889837 +1081722463 +1307877012 +1732741328 +568692281 +1441730889 +5960952 +331839041 +1781153076 +1709257942 +1476227717 +1743931334 +460152370 +882842314 +917346152 +1857137418 +1462210207 +1914524287 +865383885 +621426607 +619361224 +1226945906 +604953893 +1603601676 +546246529 +1776395554 +505957935 +1779209788 +1874966252 +139383129 +265971470 +21372441 +1221105592 +1573848482 +1754113770 +1789797874 +868095724 +1760074722 +2121636915 +501765152 +1321849016 +1450380984 +98212838 +1782001386 +185739650 +1015558990 +1491655157 +1647949857 +782599630 +209555394 +121892817 +1401960854 +1436501300 +726846710 +858078882 +1982747830 +355758617 +1364036817 +1614473970 +83241221 +1503419947 +1880445440 +104613662 +577041891 +1306810274 +1858727432 +219356117 +27422350 +1471318507 +193509385 +529187502 +645683875 +1643890369 +627400341 +280201614 +1829630020 +1642959331 +1771856771 +1330096229 +278075313 +1981412165 +1451989046 +1680036168 +1270429817 +31352109 +390631402 +1105693999 +387110726 +1754668220 +572684321 +470351947 +1110604519 +305646113 +574965609 +1687646410 +1612456388 +286209394 +1907002528 +1639878738 +1757527901 +2100511913 +21582593 +255728128 +1596918634 +648982934 +535929742 +1279065006 +144458617 +160302865 +461677588 +422533931 +2141715030 +1913666634 +2102570099 +1264661200 +1945018743 +345717853 +222871551 +184645821 +2100386073 +795555873 +654997768 +1063506944 +1101201986 +1229963378 +603669707 +566174726 +1516172772 +363188587 +58569817 +1126217025 +316216852 +80152410 +1381945153 +1913135486 +729135344 +1917874896 +1044716845 +873593961 +2078177761 +1506394433 +1296127892 +2072409144 +1272577419 +1251214343 +1189586696 +1070112515 +1596932197 +1412458247 +1254758336 +1549834622 +60530472 +1909756105 +465857919 +1161732459 +992235835 +1069527626 +1727907185 +360924959 +1432716213 +1786477002 +1487141984 +1748933065 +1866629412 +721603489 +1514584903 +448281108 +491994737 +411818100 +1321875070 +422688851 +1918212533 +470519314 +347614347 +1043306305 +1721733658 +1537201043 +2113418820 +1171182207 +802175642 +1220693508 +573533181 +862706115 +982965965 +1039391100 +2024438574 +1975201800 +2108918726 +1604862111 +188643111 +1394151291 +1243855466 +1675785095 +995600708 +963001230 +249904937 +362701964 +1411282339 +741899674 +774520064 +585673761 +1164588525 +545248950 +1056193075 +1512202872 +1588555255 +630443085 +901920267 +1554490427 +1801625292 +1704095910 +627700287 +227674826 +419318377 +1610666253 +1267065926 +296273303 +1438384405 +1228501005 +1901135414 +1627027517 +475168648 +997507232 +1155328964 +1470769357 +1960508463 +1405233901 +1833471321 +1224307154 +2147133576 +460507737 +1809980915 +1164238453 +1005756687 +718690342 +528957678 +446828294 +1349133428 +1430877945 +2001318721 +1003275072 +987490207 +481535361 +1230949898 +1406808584 +2092201614 +350532177 +1703081887 +1383102371 +1579033182 +1456733654 +862646240 +2054201830 +306757238 +2017975205 +1377487539 +119782053 +1275725458 +1063475212 +1344089207 +1275375386 +1523982950 +1006586474 +292130192 +382255989 +1725276817 +821087870 +829084284 +926926597 +104482167 +682919357 +1930201669 +1091972375 +1164454718 +1013667920 +351297311 +1109172684 +1364200097 +2054379199 +344791408 +795749631 +1363629205 +1207437648 +702467813 +1670386443 +1077929205 +2079955353 +1790168497 +206171016 +995946917 +986774056 +1481546402 +372446219 +1993360531 +1773676594 +754702209 +1571153700 +447280816 +1583786493 +350596649 +551762984 +119222202 +133314670 +1643735359 +1283676921 +1146982590 +1995032670 +245365957 +363699039 +1901928221 +590157365 +1159448670 +1118073778 +1797595014 +1861916484 +640976574 +728040571 +1794388189 +283661423 +934211587 +642851458 +1270435479 +268274342 +1015297678 +1116312362 +2041950936 +1769999887 +539982414 +341748105 +1206302732 +890579063 +893511089 +1325524934 +1023893734 +389762800 +461718207 +23392676 +237311822 +707084165 +387091716 +2139240044 +1297241530 +1546540386 +1109830174 +947352896 +1260973222 +1750806748 +1675393468 +907877763 +2034468171 +462121407 +1550729222 +1157420003 +730395749 +418543252 +126248717 +624863038 +41059491 +666231132 +966611143 +1247362223 +1556810195 +1860122232 +425403509 +433220281 +102401384 +887121717 +456612958 +339713206 +1594205882 +843704674 +331469602 +743963764 +242761412 +1441299777 +1691316661 +1503734635 +1044622877 +1219226481 +264128750 +931607401 +1681347888 +1814857972 +2089027404 +264259990 +85917576 +67792473 +889123028 +126977067 +734023605 +1855734171 +1374339290 +143350153 +1568372755 +1799742800 +576570434 +1670774139 +539380869 +1033183392 +2010487345 +2133586751 +1876888066 +194473300 +730066867 +2119649479 +1635773077 +273899880 +1475900466 +532912306 +1493126361 +1740029216 +1464519707 +1026990602 +1407403541 +1406063463 +1291250592 +1493321117 +1473855937 +32889972 +1620298185 +60395894 +1888624143 +847153827 +203746047 +1309513250 +499412979 +780316482 +832803741 +1038793848 +1813499874 +695807438 +1024896951 +1542904293 +890280738 +1754963819 +1515070124 +378570167 +2028863699 +843486942 +911482474 +1374506413 +436032510 +228518533 +254013367 +1843436051 +1634581997 +1545263959 +1189273521 +960954286 +1578153931 +662088058 +1021350180 +1319294426 +1509241885 +1225096228 +481324028 +2008654865 +2005412710 +1314127769 +899965065 +1671428936 +2009935207 +1924862017 +1066849581 +752732298 +1532342188 +434436057 +1131302465 +1413722239 +1277922999 +2042784939 +640745004 +1713955510 +123819825 +894758371 +1409907913 +1758401822 +292538682 +451697786 +571872460 +1870692613 +1113785844 +1593222640 +1042503391 +475544082 +670835220 +1523827419 +336715299 +528764282 +690471540 +1236680364 +52709571 +552923100 +1014058733 +1119559152 +1305655398 +398917273 +1553995210 +289474215 +1812639513 +684434561 +184775507 +305900869 +250906423 +308595332 +1200659241 +1660814337 +2066997154 +1493197923 +2112512123 +491385966 +1216406889 +1078814320 +2084608606 +111426632 +1554358402 +607960179 +1635254052 +1891073701 +1136724461 +178241944 +980270417 +1189434032 +731165044 +1994329151 +161509537 +2036820442 +245762776 +1715504747 +178811010 +2058402289 +252455660 +363586517 +216819511 +503362084 +672181849 +1417478752 +16692773 +591695355 +763193027 +2129204896 +1083081321 +1979599916 +1060535568 +1020206279 +2091026549 +467410322 +1628166458 +1578796953 +211000375 +617407272 +1757038897 +1191270793 +1806841304 +340720294 +1038116296 +1968350841 +230057088 +1283879072 +1536371940 +408868098 +1194797714 +1788827601 +772454615 +1411617225 +144706037 +1444636464 +681612329 +161398810 +2036331819 +1444805356 +143120058 +971929492 +1276921625 +1203655627 +1992135772 +1220464526 +1671065949 +1472818582 +651777831 +1882066325 +2090225854 +261333080 +925853470 +1749583511 +602053374 +1963969766 +1570450704 +832110463 +1100365190 +959338997 +1240978561 +147679256 +600682950 +2013433177 +1559296481 +745388987 +1310585993 +93425162 +906787797 +1199434165 +1538230519 +1049907855 +23880009 +667668496 +106079834 +2016015781 +1888133022 +1777145784 +1341350716 +392427205 +1511728461 +1284092922 +653760285 +290098283 +886192785 +1255813660 +106584401 +309159842 +2087924123 +1206949591 +1268498839 +1181419036 +1354628848 +1869181789 +1047368565 +766441681 +467087128 +210470911 +859866844 +1373874925 +1409905076 +250613715 +276299132 +1433785085 +918282211 +382378967 +1302317219 +658931585 +12041103 +496184287 +1051358790 +1523769564 +1780277209 +1705119075 +1813867847 +518986347 +813449087 +1920452248 +828146189 +753889562 +979918191 +2096645028 +1935308599 +187063391 +1818343169 +835193516 +953505073 +137946649 +1045664427 +1813371917 +1511821574 +308085855 +2063985632 +1788120706 +1741870941 +834784195 +23016025 +896704512 +1493715780 +35057128 +1392888799 +397590922 +1558826692 +1025682360 +2102709997 +1225210891 +1544668707 +768675437 +998179491 +225331248 +1522564999 +1978097683 +174492628 +1310389950 +17677426 +1992835797 +2145583467 +971182499 +2130782446 +1043764246 +637070768 +1495120372 +1351850102 +553572752 +1135757431 +946237395 +1388356947 +1158773456 +1842941907 +734589079 +1193830585 +1088347058 +1132180001 +605173629 +2114029418 +1087406351 +1830384521 +1511214478 +1856081788 +681080364 +1736545726 +1231163139 +511694399 +1911038355 +394069442 +529371826 +1756390504 +392169261 +1500554325 +1739689303 +1435933507 +2137625094 +1087326027 +640299961 +543714198 +75599810 +1586537356 +1932071146 +1234373267 +1281995615 +519176577 +280720204 +222859025 +1651356579 +885893833 +189404796 +591279282 +568794706 +1700619274 +299877422 +1249875071 +1289681352 +1531040561 +1761569470 +1053236059 +1925110003 +143457648 +662142916 +169795616 +1644011974 +254348571 +1605729124 +1634153420 +1341674598 +98545437 +30383970 +1417274409 +1685082794 +1962455116 +504164028 +819594761 +334148046 +784884232 +1042453787 +1985504625 +1670778065 +1231858583 +429300259 +92089124 +784994209 +729177681 +1341964195 +2074675561 +112734594 +956050017 +980427973 +2037844598 +1099507666 +1642570889 +60156566 +596035992 +1896919460 +1665885690 +82705764 +1091110410 +1764431128 +113089734 +360901171 +1302030274 +2075544851 +865065199 +2121625035 +262209249 +1649949431 +1016595174 +100230226 +1173243849 +100970109 +529530485 +1265332973 +885964318 +1258708166 +459813520 +813156232 +1371442760 +1415863537 +1793584205 +1261803710 +367887555 +1288671446 +1321960277 +963923547 +1038107258 +840362319 +1046629311 +2129217668 +457309799 +1159719046 +342635192 +1759340073 +1087780249 +1207700391 +1733481461 +1349989498 +710166175 +602592987 +1450219724 +1883410024 +703563097 +1979750209 +1001259349 +1589527415 +1090974727 +1461072869 +255199999 +314933839 +729452758 +2048784204 +1576737550 +1097340314 +1189972002 +751214179 +2061263861 +80595612 +1591576498 +960409525 +62329633 +2048886298 +2120128571 +404964825 +1660742723 +1060425172 +1612665216 +1246740536 +262931022 +175347743 +1849333524 +1713150746 +2058757767 +405412973 +1545417307 +912533468 +1994940388 +488908386 +226122689 +102656740 +803842225 +955575448 +3957296 +233096127 +2052915762 +1193929299 +984310306 +1966695975 +1274524911 +428403157 +779621852 +1336854544 +329805807 +752266775 +1741819369 +1990548530 +1812691947 +1207000938 +1089805419 +2075622969 +1382348681 +791655295 +1641290067 +1293622801 +1197068268 +1039223726 +58672621 +1044525008 +1528132112 +284795311 +1147181748 +184490690 +1240370759 +1151139045 +417586817 +1145802873 +197584696 +1401897124 +965015200 +1472109607 +1830300281 +1744637053 +661480504 +12622440 +349420180 +255816225 +2003170970 +14628480 +1462817163 +945492741 +2090251449 +697682197 +1737148036 +1584057869 +1991304998 +786732656 +475797947 +2049977619 +1831257665 +2003930060 +187289282 +830955765 +40937102 +1427660041 +1982094810 +458523919 +425979266 +32195858 +1860421043 +1390994467 +1504305466 +1543237676 +988147872 +18302322 +1555860116 +1337568052 +274118547 +1411547439 +1352196532 +1736935711 +209556532 +1294964334 +287134260 +1946704569 +731538555 +130955610 +585953577 +1207336502 +33449581 +269727594 +1063782914 +220738864 +1100683360 +1104720016 +1648398905 +935294522 +1563243936 +2074378172 +967490381 +1276181331 +1317888991 +324312199 +671935360 +158553215 +342614521 +80311828 +1496121267 +616733068 +1491859267 +700834152 +206185131 +1701415800 +1995798486 +493319391 +1500636721 +579853393 +624275001 +2086590298 +1787189895 +657724583 +208834245 +703489162 +878463447 +1309517605 +1808209178 +379378704 +97328479 +1223969466 +306273228 +1064818860 +352667150 +1624162219 +1389131059 +1024602510 +1782715434 +1731745580 +1104914338 +1131353054 +200995001 +449289958 +1832187206 +407180132 +3222110 +1680502044 +900499524 +1503858831 +112871789 +1524774525 +1442965481 +1900061684 +35015460 +1651799726 +456067198 +913478907 +813833683 +116792729 +1292857612 +911162163 +1340762195 +1599130840 +1975981023 +1693429345 +1075809412 +1217628435 +570548207 +711041198 +801890367 +1675462546 +1842394252 +1002885368 +2124752504 +1527097810 +1410065501 +2127974614 +1060116206 +163081377 +1484349797 +1172987995 +1687855902 +779831630 +925566032 +1722871363 +284147709 +1381633230 +488866622 +1097981392 +1498425959 +1781724234 +2009143555 +691704507 +1233371427 +1837640931 +237650204 +161697191 +907785718 +808198412 +872738389 +1709676085 +336177310 +567648994 +565077806 +313446166 +2094746804 +1975143307 +293937132 +1007379363 +2138224684 +1778286929 +32883710 +1678596938 +410634911 +958449742 +1253984653 +694782620 +192599325 +1742851276 +1792764013 +1691025284 +1377091862 +1654423920 +235246143 +462979641 +1344581203 +472896348 +624676832 +104883273 +1281094760 +1497415222 +1814559359 +1617272070 +2065064216 +232153517 +1930718236 +2012327372 +59813176 +77171720 +872223087 +50554212 +1855458649 +905106798 +1729151150 +118609912 +1863556540 +835652156 +813392533 +2056155865 +431019784 +458672898 +1599697502 +1808111646 +2113096818 +1834943645 +123607640 +1310194374 +160356345 +748284472 +1415077647 +1441451105 +98216046 +1082153358 +911239527 +15796614 +1314306875 +694474115 +2028123987 +1374120051 +771645835 +752863426 +1424674263 +479620836 +1657970224 +1006341766 +598230749 +1374043117 +1841993922 +1411623282 +1282715334 +125530058 +1870296180 +734929188 +1933641704 +1835909350 +422389186 +2057249344 +998620076 +582745531 +658050169 +266214076 +2024196637 +756266215 +1348367434 +787952516 +772062830 +515190662 +1482426632 +652703169 +1889310713 +106588819 +1405566595 +1166501329 +586209656 +916053172 +25359447 +1184440405 +142612641 +1867353369 +448580039 +1425327975 +1992883427 +171392571 +12773516 +1779041483 +2007301921 +435162702 +1688807180 +858438350 +1017908233 +199373701 +1124652426 +894621222 +955639916 +325536212 +1682573739 +1727702746 +840726874 +1017516723 +232922267 +582553940 +1124105542 +1638488863 +1749055269 +1710315198 +407058387 +1774414716 +747271955 +549671028 +1494284437 +1195851994 +1974999003 +1339684216 +1367244565 +1987772519 +971242051 +1227062839 +275451573 +512565583 +2085501189 +1293359807 +711939284 +1062669967 +40497381 +1667579201 +1388206179 +1723071120 +1247798299 +81449406 +593104195 +1480720567 +664003346 +1717209738 +971725782 +265574967 +1280041288 +1378784169 +2039989683 +2027313244 +1928455197 +1386790472 +1075681590 +1755970552 +578991040 +295442508 +1596259424 +1550233091 +1522505347 +1871710997 +2062798675 +1460522888 +1017587156 +627254311 +375709207 +1058084538 +147349864 +1763915386 +633672010 +1395148164 +1845364792 +1226776206 +728385083 +361884490 +796502296 +1700110865 +627459457 +2076543584 +931411386 +519965492 +1956373180 +712382935 +1906755964 +884571123 +320869839 +338263356 +1180013631 +1917129263 +1888496448 +555035330 +1641356613 +1803811475 +2015558218 +511460121 +283582138 +243783777 +1569544659 +430932003 +2007699163 +55733022 +1826080167 +1705580308 +1282509228 +406981602 +2067464798 +2079011524 +2107092467 +547440608 +2008071460 +891020205 +1067406100 +1816960993 +1603403140 +826678417 +554048468 +1924272979 +1164941773 +1734062099 +1693918595 +905954573 +141613781 +1187791560 +562282400 +9688351 +1699251681 +845864539 +253472128 +1121312693 +1276796542 +113687643 +1177045715 +955393061 +1819267951 +312071295 +1362374663 +1739249102 +243599171 +1321983482 +139206062 +104186983 +65520039 +1206612162 +1921147976 +1668923179 +2033290579 +327712796 +1445712510 +1050748705 +2061774895 +992147457 +1956703278 +55905028 +32455369 +371502031 +65593379 +1731707051 +1217366570 +319065507 +705536096 +346679464 +432753151 +1882581811 +1302072525 +104537454 +47169458 +516963540 +1843786556 +290768629 +1838947022 +1982992618 +394955612 +1904467061 +1042121133 +168619941 +1425906592 +927928064 +496332737 +724135454 +1978676769 +410623985 +1716282912 +1787896400 +466529013 +1748738281 +11914783 +532122393 +1332961684 +1229281353 +851187900 +2038497780 +1575960817 +1283941051 +1773595943 +730549694 +1388478506 +1820765401 +1247513234 +1084781414 +2111534030 +938976608 +920290385 +359005995 +695960021 +1962411518 +527625936 +2121866613 +742855934 +1023958673 +698518419 +574049056 +1434582658 +267317683 +214461808 +1901111672 +2016055965 +226376591 +285750417 +1201534001 +1455657944 +1136938317 +1092548134 +884135113 +273395721 +718660429 +1614684807 +1661874227 +391942183 +714714393 +599171993 +355992565 +1653691001 +1519462378 +714998560 +202167374 +1334390248 +1242624496 +176550339 +2077246183 +119099522 +875068758 +503811591 +1553682180 +1142386442 +718273399 +1307310204 +1010958759 +944649990 +1593060621 +65009112 +252824286 +582515291 +1157557246 +1136959399 +855911012 +1876217676 +604160558 +370301591 +120676211 +1318874951 +969473584 +476668776 +825082304 +341452315 +1191667337 +1027249678 +1675842563 +286808185 +1203800017 +1605605098 +405907707 +2078868775 +2109416689 +1959589888 +1073771569 +680206440 +1119416444 +2084730328 +1624856430 +564993418 +2255793 +1877680716 +1147508709 +1159813039 +867156467 +2003419721 +888547067 +1471317025 +226237664 +1009223278 +642708328 +1195711248 +1485892055 +1467790632 +1537163563 +530075744 +347556662 +1065522479 +816883929 +1551356679 +523643929 +1222791637 +1482741807 +485576971 +1034897877 +409029728 +1165783411 +6830673 +346276409 +643156194 +571824091 +348532202 +373353262 +1719332800 +1508345241 +1240509730 +1575268873 +249408661 +564343107 +1801506537 +1258631939 +1207051436 +849734138 +597040346 +527358420 +239414053 +1127116090 +874915083 +1304936532 +1944000020 +278788114 +1828580462 +1019308009 +1761529921 +166673785 +2054205886 +23076002 +1332457196 +2061036559 +369352411 +1975613390 +485377003 +717884613 +201483005 +57226155 +78746206 +1441992735 +1632495029 +328154867 +2006335842 +1286517918 +1586786807 +1065903630 +2136252056 +36343505 +1593262051 +228182462 +1163459596 +320693486 +1533118994 +959975968 +599481600 +1214215808 +1979283977 +213527874 +1380889593 +1886006215 +236603876 +565863142 +1799559126 +605956287 +393992884 +137452481 +1323840900 +595475889 +194678637 +1402587106 +2037468624 +1827173666 +1730741974 +1896320819 +966207936 +1170045133 +814740801 +954976345 +1206388638 +260519204 +1183158807 +222364586 +581212690 +568794153 +1182340554 +1180694291 +1783009962 +1014140883 +1394222165 +1016415907 +752663450 +1630826041 +1582279049 +404738929 +89298680 +1976271934 +542191410 +1413139580 +424264175 +736870047 +668243038 +314249152 +416560065 +251501364 +63086323 +1382768002 +1421546497 +877827124 +190260699 +480451488 +1138346329 +1373419506 +702816074 +1719559019 +1942213659 +1885156629 +752769662 +1577739973 +751813864 +2146991827 +446672233 +1504477315 +1630334220 +2028951282 +1909216244 +1719632900 +1857739568 +303924006 +985288832 +134520096 +1040794054 +1653531871 +448769248 +1457354119 +1905033235 +511855571 +692638473 +1179096085 +1389682695 +882899172 +1659547573 +380545376 +108835030 +214879999 +2100104396 +2051048690 +2100036628 +705390410 +1481305015 +704366845 +704898590 +1927977248 +61360512 +187749162 +1809444883 +1970576756 +1907382063 +1519700803 +127017114 +745187247 +1654220899 +1167811168 +251235470 +2102990147 +477681640 +8785058 +467362070 +1170320113 +1187881143 +1857044766 +2053219286 +699945068 +90106494 +14570668 +914825067 +42727242 +2065619358 +867378048 +748117653 +1399440726 +1571744893 +1453016243 +1179934326 +1633105405 +1640765405 +841895561 +1456198513 +1400663820 +214112717 +1583215627 +2145851068 +1868333616 +603543148 +249602890 +1823840116 +1081224788 +258387948 +143718538 +104061253 +1446269091 +2000763304 +9796891 +2146214159 +2090869799 +24367560 +913555579 +2133597041 +2089986918 +1780933627 +734231046 +1341943996 +1205194872 +39763641 +374394675 +690816629 +1680529047 +1216290236 +2147015142 +933709219 +1430402953 +1582747121 +932076639 +1151252922 +38806621 +1181679530 +827609390 +1120031409 +1440067478 +971327928 +1224092663 +738852922 +824607585 +1233889554 +737583433 +767993736 +1258257114 +1651139012 +754107129 +1200760385 +1284588991 +1488338176 +395220733 +342300215 +1528101817 +769615408 +1033116844 +1061147216 +1985905645 +1032648338 +1994856436 +1268824950 +467911812 +779449427 +272594224 +506718433 +1961128957 +1100203614 +1626749843 +1253712788 +2071531543 +703358858 +1992565710 +748655480 +1937248412 +582665495 +1516649216 +1048021879 +86320860 +123272697 +101298616 +1370909851 +1611610873 +496519349 +1713210067 +992229043 +1266134758 +598843263 +2053376259 +1104556755 +1631491602 +1900749047 +225898057 +2099403414 +532714827 +498492282 +458638199 +346360136 +1598695896 +2085388042 +1600072924 +1522743791 +641263252 +1445154986 +123915623 +431028017 +2027820482 +1640564839 +1479049896 +2114141342 +1763837537 +1580348512 +1337567545 +1227964762 +2076867861 +903293964 +72710157 +1195518971 +1502137228 +2126086417 +152592078 +986145182 +1879351816 +378490136 +938064948 +264582995 +876982418 +1396703147 +610943132 +328194666 +1334607542 +63532408 +1850938458 +1975870794 +1508687395 +1974854081 +259415163 +1389024229 +1467935273 +1738465059 +1355681923 +1084289162 +1171329923 +545765820 +164770276 +1100714137 +1449059785 +237480434 +148749460 +803713365 +216083203 +301341539 +1789858547 +2095435019 +679831675 +580439847 +212534367 +1556814093 +1977142994 +823477499 +1885008759 +1164266888 +887009907 +1588463569 +992654035 +248213654 +1415834003 +1252069198 +1637237883 +736285628 +843050610 +845436158 +1820574790 +2014380533 +1391201979 +1985345066 +967611022 +692778116 +75341852 +1116360483 +1496491481 +291425055 +1417702022 +1138866380 +239376427 +2097533697 +1719306227 +451910794 +1506864142 +1548965573 +1275388293 +1244389253 +565748814 +14914552 +685369175 +1558402849 +263128207 +2101203178 +662988399 +1900366090 +690005158 +1506039009 +598318601 +363096300 +1372935895 +1989520580 +200957718 +193063269 +534815048 +276299571 +1309423752 +2031306529 +567724626 +579642126 +1022689261 +807101053 +529692175 +594511840 +1259011847 +2036556317 +2143477413 +386916492 +1133461923 +561742579 +401831045 +1818831098 +2120145428 +664959252 +1772550628 +635650180 +417841694 +315072138 +2141689189 +1016160295 +678168438 +1367141436 +858197227 +879126156 +1560204706 +1393012275 +1155425727 +722144810 +1276835156 +1723150354 +1301786937 +152040769 +382767759 +1831479112 +746552609 +1641779607 +1720551782 +742546375 +2028696099 +706530057 +1304288954 +283043496 +377877507 +1276950735 +948002748 +2944487 +1912600915 +1365844443 +318016625 +1906806456 +234521090 +996185063 +1126464245 +1092718318 +1875311219 +539185303 +338246945 +883253299 +1261330113 +1615082102 +458920005 +415633402 +1767122871 +841687764 +99628867 +366191833 +335983723 +1820180649 +1108738208 +217196175 +379227058 +265543514 +500239671 +757104565 +1542494249 +1448242420 +760049052 +1307611516 +666603215 +1078065677 +1066934325 +901124305 +2074250740 +45914922 +1993842623 +1802078311 +585100225 +184605921 +537847962 +1846430338 +1799688023 +996767967 +114580093 +1419327246 +1838455732 +214208960 +1785519079 +26955807 +2034389609 +746773639 +244151982 +266133019 +1012317154 +744391654 +1023237584 +407327755 +45150426 +1783286636 +1714939272 +711753641 +713868665 +634389949 +1612877946 +640635757 +680304871 +1459236922 +295230420 +1265405096 +1643842843 +833078383 +964351786 +1296047218 +1829846350 +1078931879 +567890816 +1520818434 +1293140839 +205926248 +1547774242 +1180046800 +952699887 +1791926224 +1446179819 +1965017041 +388834230 +321933755 +224861149 +433984656 +2105220391 +1939800421 +1145738297 +671605408 +426706722 +611132596 +1312241165 +1107011593 +2070369518 +1607471586 +224933041 +1566728713 +293066321 +1189284827 +715292283 +2122912671 +120733059 +1283183099 +1496247458 +1413873898 +1489109347 +896538052 +446437051 +294325587 +540980628 +1892616870 +111858980 +929814859 +67066978 +336720129 +1363799515 +24803721 +129036902 +362054165 +696409130 +555743624 +973186761 +2008650295 +1662755217 +896072631 +1468638233 +1887688258 +315317696 +1761704554 +929489438 +1030609979 +1737133578 +1050222497 +166309430 +1085897388 +316612747 +1655418778 +1982435440 +763049798 +1949744365 +375932420 +508183021 +2061603345 +1305747279 +575249999 +250839827 +522063147 +600053720 +379876729 +884117312 +1296462850 +935620354 +1857304073 +1157629498 +450891923 +605893056 +478784083 +191096534 +921210752 +93004990 +1120585972 +1951820731 +1830138568 +23324821 +2118130161 +768552308 +339937568 +1626065291 +603504100 +1102987367 +1428326008 +979436520 +1611170388 +1342445706 +137700152 +38936739 +1593285533 +659763299 +638990459 +1973162262 +1543880611 +1935453310 +761298968 +1253701036 +945599160 +1212190892 +1859594092 +1424383243 +1403287426 +633321196 +1517388233 +376389750 +437658279 +1200043153 +399714571 +408304792 +1968595461 +739652139 +2034370084 +424615913 +1842639506 +1315212444 +1404052434 +1306326246 +510174502 +1541752586 +1345262985 +2103460035 +54032237 +1984253445 +1929138650 +1597912848 +1772223107 +542953970 +704130236 +570338619 +1755144862 +416240680 +1994721862 +1010948640 +1049561876 +1364626448 +1387338390 +1487220155 +417185953 +1787052961 +1895524947 +238297767 +379221453 +1782411383 +662913680 +74377311 +950140180 +2066966114 +1380703558 +1460314682 +1461235052 +578482895 +1416291070 +1515267289 +415252692 +1197946072 +965696489 +39992151 +1740900042 +1669826725 +610330770 +1348561257 +2086067405 +457568985 +212026249 +988145633 +1822195433 +1599364640 +327882140 +91897738 +1238933953 +75923440 +330195505 +1618155406 +1858334823 +993109186 +1692532718 +660991355 +912591652 +925752628 +2121306038 +226343057 +1504235523 +1390113460 +1741610346 +1919488216 +440575884 +559823188 +1959480367 +33992278 +82166265 +422327490 +1382553535 +20750023 +879896475 +1594579785 +1008895656 +554608260 +1046460777 +1336777797 +646505998 +137911082 +1412701237 +976701504 +1756066489 +1123552412 +1969810690 +1301115559 +1784543768 +734918694 +79384539 +1758366158 +961261751 +1583620062 +1000995970 +555388450 +1355624630 +1441571854 +1115211638 +1167621350 +1475564132 +1197377903 +1589948840 +710634020 +1218127926 +322361667 +157730157 +79539935 +876969927 +1204190934 +1416317732 +1523475925 +1342102016 +681535321 +352693781 +950684857 +1805087733 +175020823 +104316768 +1442147853 +909939518 +183701307 +1053030363 +1871201269 +1767321370 +2054026333 +279106071 +975462352 +1348114539 +1394317709 +2143083702 +676195024 +444211965 +1585548894 +1386829044 +1662339891 +1907910561 +1544559201 +1741879826 +637396840 +601266487 +1010713910 +13389118 +1943368503 +1692249231 +366082899 +746569713 +1349853317 +541103723 +850886481 +644517522 +1451043241 +1034587789 +1697547886 +1174760862 +654425511 +1604090571 +1453866934 +1629887863 +804721463 +700700995 +1625487918 +1480916487 +1144912960 +1063553164 +720261883 +659769204 +823980078 +117337436 +254165382 +1461376918 +718603923 +1264879293 +1474766036 +514488778 +809644876 +1840848936 +1261058491 +12014545 +234469011 +2111944973 +656532068 +1685512252 +999049114 +206596306 +712789466 +1653474625 +1810686877 +19172752 +1135878840 +467924692 +719873748 +613883110 +1948841179 +1864786708 +1677436275 +521619414 +377072264 +353932705 +638956850 +631237647 +1815309623 +1357560773 +1896116940 +1142592012 +1872049552 +558278168 +835957300 +985624395 +570292714 +1070426311 +950085720 +1226824782 +608454915 +1949134834 +1433421088 +1321244381 +1455125811 +1096624317 +1340417134 +443521004 +1564549010 +2060290882 +1057404114 +1365906541 +1777593942 +587356741 +1887525956 +7182559 +941289446 +378999158 +638420206 +609115422 +1736559932 +387053498 +1751707434 +1461125836 +945331666 +440181086 +299266583 +1515624380 +1510607397 +1249352304 +594965514 +2119062312 +1051003490 +2028386602 +1292823045 +358645654 +977527272 +485756531 +802166658 +394592634 +398563765 +1859570772 +1760499175 +28674060 +299443866 +1500541483 +35856619 +1240733312 +1879540642 +674276825 +1849848734 +1468616926 +1061330323 +1454072520 +782259114 +2006661989 +1894253606 +1081525697 +1374802722 +1257377355 +183394353 +1969768236 +1228956019 +1234397844 +1850671191 +374295417 +1593043498 +680714815 +860051948 +247726508 +1075307449 +1258615714 +2107297280 +688322976 +1287289774 +259257498 +41380812 +1323146393 +1499990811 +1920921454 +1997423218 +1202355897 +1242054732 +911269893 +508944770 +2024313846 +770448234 +255714728 +958355895 +2145250956 +1513092084 +1141750249 +1967535545 +594564455 +228664445 +1670723088 +968859872 +1821707943 +203954255 +1828911821 +2069434451 +1279261704 +940043887 +2029248083 +1967584680 +79850013 +141021934 +2008965492 +1402996406 +1641012745 +1782403298 +1252935976 +695884994 +876974382 +16722221 +1204829764 +753804580 +787170455 +1460544493 +1712160476 +784937764 +826152929 +706427077 +604989661 +1420717384 +935091522 +128229101 +242093609 +609315817 +332183356 +2071005430 +531266620 +1611445060 +863565669 +413031055 +1431546092 +943415682 +554052989 +1293027937 +198928440 +47582086 +927947587 +1451864416 +743467081 +1804921970 +1468586637 +1948296845 +411242902 +108273444 +1261357690 +2123403378 +893211208 +2087510619 +682346807 +1498200869 +1360744356 +1617438329 +1626429970 +1602837965 +79270498 +1958613326 +1526359747 +610537118 +1422574738 +242441768 +1023568174 +706637183 +1185857450 +1577621163 +1999665120 +1384785890 +1625203250 +780129059 +689166658 +221186683 +437567381 +10269647 +21999880 +848810284 +118543091 +1283357571 +824730014 +1011754300 +1223384542 +1507076822 +362471521 +436645250 +977031503 +1988901492 +2039483215 +1056302002 +1800031170 +1418359314 +1666839120 +1075122261 +1660801082 +542923646 +1781759444 +699174884 +2120544810 +1633940916 +2083960774 +1598264412 +266586327 +625643784 +1819451095 +704153709 +635913431 +1841450975 +1552963993 +754456523 +977324898 +230210359 +1766210823 +53225793 +1737287181 +2128682344 +489871043 +566835037 +1970100188 +381870611 +1623137039 +1622647711 +1800229925 +1142492511 +550286324 +1313547360 +1685416158 +184562120 +2012722244 +1658477320 +1818503036 +1949199371 +1109258084 +2085089363 +427359507 +781225531 +641759424 +1063272939 +475192858 +47239769 +1817729462 +1452517757 +277450129 +1436456637 +1505743550 +2014737310 +1417655333 +1995614593 +434088699 +1240271874 +230001556 +2057225738 +715435937 +2030231482 +1052234602 +1265722261 +1196295194 +590167112 +1450284381 +1061533790 +101160784 +1121303769 +863249513 +1210418868 +1058909484 +1290609021 +1991644399 +1700668909 +206398312 +319353609 +1747908678 +2024127774 +1771871366 +2025358807 +1313100763 +1130131268 +1892612470 +583272448 +978262214 +179217521 +1823544322 +1208263770 +88959612 +391496611 +1091011604 +1141194214 +1657218872 +139823150 +1731361326 +960019605 +1201356941 +1832522110 +2081323374 +2064606454 +895457330 +992749211 +1207731827 +739618081 +545934472 +1414130139 +1058971690 +146359502 +1290774265 +683359409 +24234662 +456391380 +1813490677 +1916847132 +1039663829 +644269243 +2096064653 +715724503 +1852533014 +37540617 +1107221115 +796060970 +1178734831 +616956339 +935884121 +762612509 +1576975945 +2137241062 +447650971 +1510815671 +2054363868 +1343108301 +356081234 +1114612048 +2082726382 +902015706 +381258539 +994214425 +1048375209 +1672032805 +1677573834 +1072609871 +2128424185 +1343580863 +841973355 +1020604366 +1987850107 +790554360 +1736328870 +1692899473 +828094978 +696066337 +341476795 +2006829809 +1313022676 +1277360916 +621958671 +742514973 +1267118330 +1069609642 +105846997 +1173998551 +265234296 +461928231 +141126951 +200477030 +1363943938 +522385490 +1194691455 +264835499 +46934647 +724781641 +1337445370 +27875185 +2068362505 +31935077 +1048479551 +1908728964 +822489437 +637324773 +1454144789 +1650584415 +1333391110 +1795621584 +1509930577 +498930139 +925498853 +2131889248 +1241445112 +45133535 +1054015242 +1347292109 +1219132086 +1319249538 +1809220341 +1360259037 +1519726569 +1025680631 +1882644528 +566934376 +1290516130 +1929579175 +1291716018 +480477852 +1957454360 +1212594875 +512412929 +858450264 +973840191 +1334902366 +1495775037 +280501332 +838003134 +681682500 +2076122916 +200450063 +1180612639 +854138121 +184855663 +274574103 +899271657 +1238870905 +1621866213 +2118403743 +410636796 +1283602906 +1331179133 +1930363365 +161799889 +1066340013 +349814093 +1452316019 +848435540 +1641530111 +1932793871 +658406253 +706641338 +297723152 +1516856517 +1680481529 +1632625518 +865147906 +1960982861 +323145004 +1546830406 +1889622130 +523595067 +579959397 +596276603 +708450730 +854533501 +1495548260 +1947321636 +328916066 +1466468356 +210474784 +1612518972 +650163841 +2140838149 +1774318861 +1716503854 +343168594 +1079151232 +417455746 +1984698706 +864461455 +1075861999 +543856396 +1162184607 +445234868 +76854278 +647326477 +1310382775 +2037837139 +970471482 +709729533 +1779975621 +1494066549 +1289688931 +228768577 +55033632 +2144222432 +1724316837 +2002355268 +325654850 +1043301545 +65346404 +1938173822 +1693465386 +58700905 +1565009035 +1262485592 +401869499 +496676619 +1679941339 +239084557 +1361138074 +608319690 +782940954 +375839033 +1053554559 +859795232 +1023165510 +216453686 +750148723 +1993636992 +926183219 +382640697 +1340219894 +68388502 +611409274 +1395253526 +65127286 +188242463 +1250125146 +390782136 +1231544009 +1315471550 +181472310 +777525747 +1374172455 +1746481345 +2040011340 +1776041954 +95674316 +1572469031 +2015126512 +1456812390 +33305073 +650583818 +1832651423 +1086859632 +1510379050 +708333286 +1303313318 +113044125 +554486630 +82012890 +495684822 +1894706524 +150401392 +1107094096 +1142476402 +215528679 +1295336560 +245117900 +606310815 +379396921 +1560589450 +787783126 +1156922668 +787278257 +386780823 +1049450360 +415836564 +482455140 +474435743 +283479428 +1939267530 +507740817 +934063246 +1624435306 +1594600449 +296958648 +185284944 +750430120 +410002773 +739771574 +832443010 +905687596 +486994451 +982844402 +2012781692 +1629470853 +1198373081 +1160634604 +1874588754 +1804683897 +1540031525 +1287694556 +444983375 +549470546 +2074972814 +831764198 +1598920906 +343325730 +1314219338 +2073356650 +626805158 +1106003221 +433613819 +1560868404 +582954879 +2028214268 +1857827052 +768239823 +631160740 +120346177 +1508011397 +1463603750 +1026033773 +1995005848 +298964505 +891331818 +1476993054 +1497337586 +2051966422 +1204098160 +1154537835 +1444514300 +344309068 +1599521210 +1993984846 +271798234 +283801761 +1445422104 +615123964 +1598021099 +1371295106 +1241929122 +556540672 +1804908925 +655313878 +1139495551 +1685639546 +365657282 +1907735374 +169316638 +486003460 +1268263124 +1632920389 +1512037233 +1115785324 +1931884894 +255885403 +445294730 +1281738832 +160368178 +1649392890 +288793020 +1604882478 +1993701959 +1888314230 +1451383676 +118016545 +24632343 +749322132 +733140510 +1622653443 +2120617239 +1975069632 +31710467 +1778042516 +482899863 +1171206019 +1316198414 +848557145 +931457745 +1485515053 +1334560605 +52237221 +970951794 +699114191 +1168022546 +755353040 +954999594 +1613317276 +2037091872 +1115367772 +1115226519 +178401244 +572766602 +961444830 +2066715475 +2024150278 +1079461375 +2091347818 +625988763 +1812601885 +1566517613 +599122354 +1640187870 +1598228081 +229681222 +2123087733 +621950452 +1545879637 +824161230 +1553408197 +883911042 +11238188 +1605645419 +1854862836 +710352379 +626184317 +462732228 +1665351973 +92017945 +352340452 +633236098 +1207244464 +530741697 +1206002700 +21205646 +449973524 +1082669331 +1100667022 +393837694 +1708658094 +765785259 +1960355308 +160296800 +258489481 +1411099741 +389978022 +234093566 +2033050193 +1935857659 +1058254797 +1438974742 +672285053 +1069492985 +897136513 +379664241 +1779845364 +1523320830 +842396469 +1297713689 +1615338776 +1194736922 +1930949787 +675099592 +1725478619 +989468840 +696305239 +27968495 +2072138171 +1796972261 +421806189 +1633312617 +415273872 +234677849 +1793609417 +673763354 +1645777590 +36103791 +907856920 +1531344135 +1971961451 +1966111717 +822835230 +496762856 +888121054 +1719971743 +876427098 +520482770 +1095808926 +1718823567 +1818196460 +563664054 +766076841 +1601662599 +1238763646 +344071812 +443647791 +1935068885 +372040307 +368302314 +1584557498 +793846497 +2001614931 +1999831371 +1028524346 +1647740700 +526111077 +526818289 +1683844492 +1433967997 +2058162424 +1508322295 +1252596067 +733514006 +2005085151 +2140717121 +306002102 +734028601 +513716244 +1401811028 +305368521 +184429056 +1965475082 +1071445362 +1786091655 +1056755080 +1415517175 +82255799 +844340318 +1787557482 +450558113 +281414168 +433920331 +304689397 +133761891 +1462444678 +1952430097 +659872968 +1989262967 +1488790941 +2093840966 +1899941743 +849629588 +1198953385 +485972102 +707231092 +1192186858 +791974204 +1441259693 +1705903102 +46301584 +1746628214 +1890332158 +2011776666 +670589929 +1528940166 +921048098 +2086107104 +1611195965 +1765388416 +1726180938 +2061754078 +2046802585 +12617622 +218959827 +33080828 +1475062300 +23906277 +692953797 +1316841619 +1512697218 +639311115 +1069299714 +214843159 +1838264500 +1555271816 +922074251 +882967710 +199762372 +215850296 +441387165 +246063956 +1962478511 +184235675 +110356974 +485584792 +1713175841 +1031405073 +424208248 +1176888158 +649309841 +2905538 +1091158589 +548628778 +15523160 +1310118416 +581709607 +1490585460 +1334024693 +1274663404 +659943431 +699238264 +1913974519 +1729243146 +914081423 +1604755371 +1137031314 +1836155674 +340239433 +1336793687 +2052005970 +781626598 +1582857643 +1867000833 +965862274 +1693214618 +205101977 +531554467 +577136043 +629310225 +1708442626 +1226445884 +632215764 +652117567 +1775074663 +647738924 +1962235983 +209300622 +2138324385 +1148777029 +1483964026 +650784168 +1848015293 +1250454897 +232543666 +614613068 +707726620 +1369574981 +303285094 +1047966053 +558885020 +207807416 +1829592652 +2141742663 +2074808250 +647971278 +1687473633 +132426579 +1179525745 +117126028 +761736805 +740484723 +1343571913 +1393952569 +1392602290 +971162928 +2041691493 +1207354626 +1180463550 +2032532230 +208648007 +516943928 +535832751 +2056663300 +1767398825 +768376417 +523792720 +327641797 +2137951398 +827077814 +1375607850 +549352770 +1034885230 +1057716854 +543611786 +962209832 +1705688132 +83601771 +1094636412 +737730230 +200727800 +1856373217 +1478214953 +1544299713 +1102842138 +723333596 +367978993 +997049983 +1930688222 +1548442543 +882098566 +2139336229 +2065386471 +1417931317 +2048515881 +1685301648 +38824086 +424824953 +2012943445 +29291837 +1251902767 +1241067647 +578644607 +139304349 +151300854 +1122256393 +1101514182 +1856988986 +1205858165 +48666946 +447235568 +1406585965 +1905040163 +1925450522 +803402030 +860398653 +501300470 +1171381023 +1857448636 +284505044 +572339918 +592063554 +276357625 +490242741 +2009994871 +177389858 +28060741 +2048818958 +602214811 +2041004186 +2078110795 +1854117578 +1134588185 +509271754 +1993421927 +1285889039 +1631528148 +947452461 +995394378 +689902665 +996119407 +1442629946 +2096488630 +753675922 +1220596820 +752407012 +1614074575 +1721897290 +1923788035 +1324039564 +2006402334 +348644305 +1916103118 +135276311 +838887046 +1778614342 +312666169 +866947787 +1679949652 +914880980 +760468325 +1610576799 +621514910 +1895056510 +2119848553 +467453190 +1033461902 +1603893053 +1414905651 +2028856280 +146312070 +263541411 +1324002578 +95317052 +1017217333 +397115751 +847724064 +483808261 +2119013041 +624028451 +1807847825 +1977931728 +972672756 +1576467295 +2113208039 +1811559802 +1207597989 +278390561 +531023941 +740063993 +1193271541 +1291492266 +203157144 +1814786452 +1039065129 +175522050 +134755994 +2072527031 +1779415103 +1549661645 +1953899663 +1925727174 +1813203056 +1130418593 +2021044226 +682936742 +1527534344 +721284643 +1166745003 +1499063738 +1345313094 +827109180 +1329511818 +170502203 +256092827 +1295236209 +1982062005 +1463690817 +1573626770 +365602299 +56271162 +619414664 +1657094565 +259428307 +286717468 +548676046 +434950357 +421473462 +473719429 +66881812 +1971135107 +280135444 +1992608986 +1636854516 +1410554038 +1866169565 +172307610 +790604734 +439970560 +1339052613 +142184824 +1785283654 +18678145 +1471696642 +1955785857 +274770972 +619449204 +1790364215 +1738461789 +45592326 +8482866 +1794732952 +665006990 +1665577431 +2054161259 +951724458 +66769830 +341627968 +1373197920 +540489259 +408509780 +1196849380 +820624704 +253635119 +686220248 +83695094 +2119804684 +858527858 +874299828 +412291596 +50096823 +1016484653 +50091602 +68774968 +340697647 +2005877460 +343545940 +960146851 +1648758027 +2082007730 +1005739178 +1657240893 +1729257034 +1670746168 +1175334676 +1635934645 +474986979 +1242104506 +1977562613 +1848184899 +1782593766 +238588745 +897550631 +455734822 +492223864 +1583770879 +539429916 +464544900 +294815089 +1413729744 +876836496 +344911912 +282730749 +926928099 +413686880 +623428397 +785321911 +757232821 +1583575248 +286596290 +691756903 +441830778 +1943837183 +273530289 +2112576947 +971688211 +1909464934 +440080278 +66309070 +1739543899 +140781529 +1848902836 +1978132644 +1038332161 +157154010 +322872861 +474619392 +696583926 +787417761 +769434482 +2110313670 +1664254258 +1114346394 +245560772 +443698709 +1528033275 +868989169 +1229020620 +137782448 +305080769 +1515616910 +829539351 +746911548 +1311970445 +1103069640 +712004847 +136175008 +865050926 +1152085125 +202484078 +457111177 +1292866654 +2051386914 +287760173 +183715167 +61057276 +610633034 +658334560 +757641202 +1398050796 +1427769042 +720471225 +914821406 +394631788 +966031997 +1358520115 +1922665063 +1835021166 +440057087 +2060447511 +2140101935 +1955673997 +742503214 +739529835 +1120160794 +1845572854 +1451534682 +1256335802 +563140132 +456136159 +1458819881 +1020251309 +1749002814 +1362723147 +1308011483 +1932717981 +1423780424 +1918644517 +443568893 +33937978 +1169211665 +1871337935 +754409203 +2084033071 +118486076 +1720441200 +1295069538 +2041151139 +1407978718 +1735126625 +1954115003 +1400597006 +1543316974 +549134569 +2140126841 +515994120 +247223776 +1444177876 +1772329923 +810363908 +1900314035 +1083666156 +1830615218 +1501833201 +298905655 +991143053 +1287067535 +1722686079 +762303922 +1730636428 +1756624058 +1931515588 +1454490716 +363549613 +1868065011 +1572976792 +2083990814 +1015650902 +1466644283 +1344485884 +603293879 +1273275638 +597599242 +2146610854 +1822410208 +590242436 +515121326 +2069633984 +2034420312 +139967601 +732514244 +1787250699 +1223633757 +415645814 +1141600253 +1522539413 +1406788867 +281184140 +1097741844 +21609142 +2011820568 +706882254 +1953124730 +1318827636 +1070431868 +1673706093 +744320780 +1006939034 +541873347 +63481416 +203941270 +1145167227 +1336757054 +801540513 +1144294433 +1011683614 +1391782949 +1659415759 +933833950 +1278719613 +1799383361 +1666348195 +918486664 +875533470 +2081994009 +2060086917 +250589235 +1341299229 +193787409 +1348331080 +1362908371 +58124330 +2055213334 +1168549453 +1376951966 +978161554 +694771898 +2121272747 +1985100588 +1236645246 +37270515 +41558211 +234328825 +1374027569 +843098724 +1378623258 +238227536 +87398025 +890555369 +1172061486 +1366117638 +542455082 +690926033 +137120654 +1417988553 +625436395 +49723924 +1668577788 +1966735624 +243511333 +869425220 +1182160347 +301635663 +777154907 +203226152 +1678587630 +1755316461 +897998050 +1652376729 +1592933402 +2134643296 +1689647244 +1634491613 +221488473 +916191165 +330106689 +1600111731 +1154418701 +417504714 +343183453 +178996540 +1783622352 +885638535 +869922573 +1920743006 +156143440 +1495358968 +1970466930 +1824721229 +1314610944 +66494616 +546662801 +349287643 +368130279 +1323817708 +552513795 +2046717909 +931650522 +1450511846 +1551610990 +377100276 +1437671494 +1093774586 +2011591889 +1659159968 +2009965752 +194214930 +1111788051 +1016900805 +611719644 +1454971504 +1195897345 +247858348 +193126392 +2065819919 +21117706 +349269832 +1413695239 +1991584637 +26507413 +580822536 +2058079253 +573170215 +930110179 +278725884 +1896987923 +1482623975 +177960146 +681154797 +785652173 +1729571136 +1058255073 +75840019 +675862075 +922363314 +1734999987 +538344179 +1116578244 +699304391 +1555244984 +1728297888 +6792247 +603658682 +1976156236 +199918639 +521994953 +1997273943 +549188472 +1935690192 +1841374932 +575695885 +369029080 +1751970537 +1148866100 +1299139260 +2030696421 +898370376 +634279587 +61172919 +1579525173 +1419931760 +1790744056 +490296599 +1495771779 +319122483 +1412659913 +1083288119 +857466662 +381754510 +1782592510 +265227998 +2110052398 +1789384757 +868886680 +1938724987 +1989303397 +1390881633 +1788515282 +391008221 +1179088178 +1482406566 +966704106 +1548117258 +1086893455 +2115570207 +699772870 +970106228 +866456935 +1334052457 +1031279148 +298498460 +606500569 +674539556 +788795059 +2102272349 +993662039 +53971325 +1038076820 +1851128701 +435725835 +673185682 +2116356699 +398294585 +315086791 +837759732 +189535924 +156906540 +81157717 +1978051206 +547914761 +1260245895 +1312974124 +1514618868 +660879506 +252383931 +1482705427 +1360652376 +1222490160 +201678714 +547221186 +106285660 +500177174 +1153721755 +780825216 +1288972234 +1108510456 +1774487255 +1342943559 +2146587276 +1478132308 +1778669394 +672289310 +1447005359 +29480331 +987376102 +137281443 +219016256 +1144282642 +218439161 +49583814 +1692197404 +1478685056 +1362557939 +1059332624 +2139564562 +1614941870 +394554403 +1352733291 +689948382 +596233117 +1899954477 +796234042 +1096410291 +906192584 +1577059258 +237898877 +2014703041 +1204062865 +1580842436 +2013806669 +534711525 +1212028182 +538612332 +1981716885 +1241508514 +1525988434 +2118998328 +1460524770 +522787428 +189953841 +1510108584 +67501184 +1668638898 +725182875 +1126833808 +1660719812 +192641098 +1521388211 +865969455 +882589480 +2117621328 +618440284 +1678823523 +1066547972 +1524632869 +1108399133 +1304446849 +1391852262 +164978351 +737805638 +1258175283 +699689876 +1949833820 +1796787615 +533923113 +1043858686 +1175292401 +505437794 +356899808 +1698079830 +695391635 +1867008393 +1765581014 +216546885 +444707620 +744931175 +1877266698 +637348718 +118835738 +595752505 +1519938199 +88973419 +1214192790 +1051278074 +1155521391 +591342011 +12193559 +312484592 +1983194273 +177171910 +1050290230 +1093885908 +876861787 +852640403 +743189876 +1410784900 +1896499089 +1918482277 +1916222694 +105915250 +1469078459 +464130682 +1972923643 +1087175826 +680677567 +270147615 +1832107001 +410460617 +907496334 +1950942739 +1006213123 +279950885 +2039916158 +72922265 +1331228959 +1047953901 +664264276 +1343422518 +1360438494 +499974901 +1520594429 +263245076 +1593860809 +249972568 +1115885479 +189567037 +1660757468 +864900921 +2108049315 +1429496515 +970816171 +1429644126 +1893627197 +796256166 +369336304 +426821116 +1066403781 +53959657 +837281734 +1973900115 +2004902397 +1843494857 +106367352 +1897334907 +1916417122 +1437596311 +797805161 +433197750 +633535182 +10760007 +933172651 +6645963 +274005083 +379549812 +256618531 +1389890563 +569116850 +1917375999 +107307836 +529682517 +1199388866 +1078124007 +1959326643 +945532415 +1874380173 +181179300 +1372353532 +793300306 +235138957 +62151618 +619716774 +92557706 +1905646475 +726084126 +1989892614 +1674579949 +16196790 +640214127 +2107777699 +649731972 +650974134 +893466702 +656377935 +924979217 +1273016514 +912996466 +167386132 +1842133364 +682888817 +274693968 +224332233 +1882277684 +1352817975 +36175229 +680326451 +1079714500 +217354529 +2052679983 +1873014807 +452493486 +2114831601 +345247933 +545051193 +1872994428 +1071332059 +387460159 +1400090729 +1087528849 +1027674286 +1360384780 +1737260821 +1678648420 +106367834 +246155108 +456143989 +1379384349 +1159151574 +623530122 +1074034065 +1842040392 +898224090 +1298366299 +1576834428 +103558418 +1334541528 +109677231 +1183272918 +1551896057 +14873567 +908804077 +2004389543 +2129705168 +1254052010 +401957088 +1855215949 +177900422 +789417247 +1107823030 +1265429271 +1817091533 +320724163 +855206445 +1348256305 +427091997 +1101361553 +1804400295 +1806476346 +113029480 +280446769 +733026764 +1955069872 +1178670859 +2031393063 +1384420652 +1282229277 +1218450943 +1494097883 +318018548 +622863352 +1508971450 +1226822625 +479769247 +1491192971 +333390988 +881726336 +1198925272 +511291410 +1671143583 +159264654 +1776720681 +1340751469 +479988817 +484443478 +541524126 +907080815 +1585805032 +198440773 +566073513 +1698834512 +478887542 +1299100277 +1506420736 +1657558402 +1183009692 +743357740 +792304031 +253976987 +89971975 +1110322579 +876840339 +1598943426 +189661557 +1356609587 +942652749 +523052545 +90852275 +2141578021 +1034343955 +1761995858 +153359027 +663580988 +955263679 +633347845 +1148024467 +1496787806 +1540428660 +586345851 +1695228579 +2106502173 +137696715 +26632474 +1258118803 +1644117451 +1684190876 +293644847 +239991543 +329011259 +547621835 +329963518 +1439333839 +1424462174 +1928906944 +1628995396 +633588113 +724076045 +4564293 +724440388 +718170418 +1038908248 +338952599 +871529446 +1702489236 +1294216278 +1504877291 +703030055 +643520436 +897822303 +1289375906 +191265368 +856840828 +1427072621 +217897842 +2114959631 +923706424 +1902088718 +261120831 +1163697967 +83616329 +808742666 +1493661486 +1522950168 +85721192 +1275084782 +1004461916 +719309306 +1999160828 +1009026209 +1443749694 +569847598 +2047934457 +1782702293 +1441377044 +1602940046 +929434924 +798770687 +158486453 +1572955360 +1696592990 +1447862360 +1764220728 +405950171 +727451333 +1982118570 +373426154 +1651157758 +1736723640 +634546985 +667372077 +1820339970 +1443289651 +13549915 +1195806490 +1529010844 +1288634698 +52784759 +100836502 +1140311878 +1061810968 +1544586196 +1710159476 +962261778 +1179804842 +1004052873 +417718176 +2109239766 +1802823560 +576204629 +1534711478 +1351932903 +2024066989 +1151448559 +1757883074 +604034675 +986083481 +2131309228 +107708785 +575323474 +618372566 +775080862 +248179796 +2061662217 +788630778 +1443986286 +1443189413 +2077265476 +1496771045 +1544025915 +1070093706 +411098366 +941128464 +632769534 +1373360144 +2120933306 +1636822407 +1791078320 +2082689424 +1292162320 +219799301 +1469917254 +496611575 +96382643 +473882165 +107011001 +700417318 +1459965647 +90836581 +808126103 +2035289121 +709209147 +1583206965 +135985269 +623387717 +224354095 +1579971555 +2066577130 +154135923 +929258953 +1463119398 +1224229629 +1340357319 +256764214 +1856999164 +566233815 +230213872 +1346337923 +209828487 +165419648 +491016595 +429627788 +1635336902 +987628170 +526010431 +2109219068 +1094639171 +1226427749 +1421701067 +1185475753 +2034553852 +1309506540 +1894684900 +1470277170 +1445491809 +370588969 +1694631265 +877979716 +289682452 +1848767189 +1807238669 +1752801850 +925513170 +1000112340 +2009566064 +635028686 +1566346155 +92296288 +1981366610 +1776174642 +257715936 +324899557 +58318783 +1893052838 +1312527728 +584329214 +1854788258 +259683251 +1810756964 +1129005677 +1445159004 +1697827168 +291028569 +1192360257 +1020620690 +1736520378 +1562949226 +567768308 +467016447 +1852631678 +269051849 +126771468 +1457949880 +1194565019 +1126883809 +1320032296 +1829593706 +545746316 +1412328584 +1663476668 +174437311 +1670044520 +1988376225 +232756094 +1415613711 +1153420305 +817085308 +1122918321 +1413103557 +480358624 +104440351 +710778913 +30702145 +395468920 +1903139170 +1051322835 +2131989299 +1318604749 +1619091143 +451522098 +1023752779 +1888142992 +578293566 +334219012 +935224364 +1705177375 +1654251308 +617334422 +103440044 +919096245 +133327442 +277877355 +441657117 +2121703667 +510633449 +1857270828 +1127640325 +1327718757 +832705502 +393260234 +1808077382 +937145853 +1104039147 +1838779527 +1332614773 +859694670 +742618714 +1317120424 +30815771 +214226210 +1768642522 +1054568550 +2102369202 +199452441 +1388787562 +890109918 +1904629816 +895555223 +1507444340 +2008069860 +1814651468 +1640771782 +138463567 +108824937 +1614991802 +649097016 +1966095766 +595148479 +1976815774 +651317620 +988408713 +1637409508 +1588463473 +2092447860 +1328705387 +773594598 +804658882 +2071324101 +2090715023 +835474653 +138066663 +1711873897 +1890043204 +92952218 +1911326338 +1131347118 +983062136 +1668472507 +2026902341 +343022829 +1529058719 +1694070161 +1983794611 +1667522287 +1802895099 +1451302765 +169135655 +1621507217 +2046451244 +2145951429 +125341189 +887376309 +1635877289 +1713804662 +832340522 +817099028 +339915612 +1636999404 +740939482 +283146987 +324990410 +879006145 +1995020885 +67549966 +971958363 +1758863575 +1198897084 +1955020500 +1279852434 +1078315778 +150559681 +661427506 +624902291 +2134354292 +181466145 +280313742 +1438173410 +350601800 +1901820959 +1337141006 +349069582 +2027162148 +77033668 +1984946871 +1593483162 +909374190 +654562252 +1933398775 +398889946 +1395501734 +69062114 +723880356 +127024231 +2064082999 +791430322 +1098982595 +1675462927 +1990327407 +906519447 +807831713 +921159537 +1057079128 +1469259219 +1546061828 +1043949772 +1650725364 +1826375571 +334639534 +2001327165 +1580712882 +1671780541 +202913099 +1460391383 +1748814209 +40376322 +906390897 +510704751 +694938574 +692306024 +909594697 +2090440308 +761368139 +1633475054 +69980892 +677967490 +277421728 +1168963487 +205946769 +120265487 +2075482934 +1013778483 +1041425024 +985078414 +335554054 +440003205 +2029028186 +1986279419 +118895128 +216184073 +1840122936 +1699608010 +1887964614 +2043036035 +1012515745 +1489295175 +2083412357 +1918906643 +1999999926 +630867284 +463729019 +762110975 +573823944 +1225097158 +248102381 +643804836 +1903064649 +525524110 +1812768323 +2109011418 +645789597 +1740767609 +975306253 +1687214622 +578362375 +1310860308 +2127217827 +459906914 +1149656079 +98629307 +676090987 +842295367 +1798237317 +416571953 +737847754 +663269415 +1905867128 +673776463 +434692410 +1758383406 +1304643747 +898421429 +373010733 +1878467692 +2123518588 +621113115 +374788880 +1879099589 +1146637225 +40073556 +1840627359 +1792426822 +1780841165 +668449965 +1332157796 +211719893 +1979310273 +1311891975 +671626807 +981482704 +1410521282 +1347717794 +1823778071 +1061274952 +1764289747 +414142177 +1724544367 +1522673227 +1087918640 +11753129 +1133572985 +245078740 +910174558 +1506583718 +2123546432 +886209498 +2127696833 +350851664 +617825439 +1126850410 +390925220 +310969151 +771793585 +24282738 +979419116 +2103951381 +236002631 +811245741 +1268359709 +907629438 +1792728445 +531397343 +107863584 +1469022868 +1592672295 +1872153331 +1883165045 +1169733014 +1247342910 +823600037 +1181486143 +233432247 +1068678777 +2091660702 +1740015965 +1044741561 +830386552 +1720229151 +1395593226 +1448211992 +699595913 +1786518446 +1759181143 +1471389498 +1810801184 +591116611 +1427857232 +2046803815 +1402362352 +548733293 +806949605 +1047607149 +1080130636 +914813189 +369146369 +525319284 +639482872 +104827766 +1695052298 +1886825782 +928427803 +729054794 +2120258029 +1997106581 +673231848 +1712790347 +894364494 +1503618400 +1285535850 +142474072 +804346744 +1985131763 +1928992519 +416044239 +1309037614 +1592310055 +1007160850 +589411198 +1491630223 +262039554 +1138144491 +151096180 +1309646703 +70791479 +1065909370 +1678793072 +596110763 +1705392242 +1783620838 +143679414 +1444734377 +564564994 +872734208 +1417508758 +414187927 +1545966056 +982815457 +1308552421 +902100808 +120867659 +1451026494 +1706447553 +2105999423 +1232535365 +2122491792 +1267553389 +677361772 +982168995 +1856964587 +21508347 +1244208549 +847625430 +172604528 +406371605 +918416909 +1238513898 +2085164677 +1514527673 +796422492 +1721301868 +1658207087 +93673221 +138383214 +383457647 +1511181980 +552571141 +1929423703 +346513789 +1861123562 +684040863 +467381449 +1164666408 +243004768 +425897224 +249718125 +218012913 +1693450613 +927079898 +1200181908 +1402931552 +948588245 +296906809 +103073334 +1121192773 +703278414 +1021490243 +212223023 +640959444 +388534268 +1008645516 +214777664 +2046741355 +1102318737 +353160878 +282715354 +466017069 +905732019 +64655409 +812530859 +619371933 +748696273 +1279912308 +1784038342 +991701041 +1705809532 +2033756467 +1209713954 +1251776497 +813352717 +262412214 +507224401 +1761940963 +559319024 +610297735 +735650088 +1262597438 +1631787978 +947873112 +1903556882 +2020322247 +1956518628 +2118334546 +1919579954 +911353717 +324011776 +54811661 +1377370787 +1229743795 +119467070 +42417998 +1849115729 +868163343 +1322330306 +1485670423 +1859864385 +880656190 +1371943242 +922094691 +2132432687 +37812312 +1184506906 +492173440 +1799753275 +1743825930 +1102471175 +387919715 +858939720 +586775505 +1335792827 +615012955 +459614104 +1144827807 +585863853 +231710411 +2056181525 +909875630 +286522072 +1286068664 +2139619425 +405989142 +1328486662 +1841251506 +1274152486 +503333320 +1179438281 +986533223 +1383989510 +403897876 +1908627914 +1368938549 +441710188 +945651172 +1861111989 +93979815 +541993454 +816099516 +481899530 +1400933175 +1402875021 +1817692358 +2015946130 +1862489126 +815036517 +454326335 +2094199537 +723734394 +1364201965 +233237961 +2009803058 +1356337743 +639227103 +1190806072 +1050105601 +1913379589 +1694139392 +82060235 +752429164 +930645254 +485958111 +513573431 +152100155 +927668299 +1459224603 +2013212144 +1021648114 +2001218058 +681828012 +1503547644 +1254667585 +2084703034 +1173756354 +1123130067 +1799708512 +1988792872 +1577456402 +1746424401 +565043618 +794174720 +1979662362 +427363029 +3028815 +471405817 +1618169101 +1053134416 +237301759 +1164824846 +1135194651 +989730923 +2095470100 +1621152762 +1503304354 +100086608 +401337413 +815045310 +2113298752 +1422985527 +668779720 +647643117 +779049524 +1923447305 +584862503 +1952805878 +899093724 +237087367 +1794115102 +329066478 +1983511768 +211675073 +1123241198 +1815690482 +639038102 +1126270013 +139612651 +109723555 +31920782 +376914410 +1274548401 +1167115433 +1366645334 +1222534854 +640784548 +722466040 +1322621462 +1042121961 +1537511350 +1288436566 +317623841 +58807422 +1936079683 +1096673365 +1982254727 +373458538 +901995595 +733864803 +610545905 +548627050 +1062931282 +446574025 +760302123 +38688832 +114780859 +1399340225 +1164958846 +254393511 +1509063780 +1196879628 +631307921 +636128534 +216511413 +1997953255 +1858663388 +857295961 +572935648 +1033801202 +1899417923 +2110446998 +174754120 +69558116 +21770773 +2110833804 +1166231481 +2004025500 +336808694 +2068227076 +590406656 +947354600 +469370478 +1653337938 +1393928625 +1229672601 +1692026770 +1508709485 +481529178 +709501968 +1763102996 +1990592959 +1906381596 +246927269 +479237845 +2122893010 +97396877 +190417585 +832705323 +670332525 +1224218787 +584639598 +633295875 +1398972907 +654197714 +655066648 +1362323063 +1820429195 +511608501 +1699131758 +1741172624 +1102015157 +499002710 +63059454 +607869447 +1892931335 +1292732056 +152412569 +1254157172 +1774261234 +861914538 +869776520 +1617370545 +620812486 +1116703790 +2096608390 +596221848 +1214100667 +139542327 +1428927172 +1884433192 +1363761114 +2013566770 +370245419 +615250374 +520280837 +1025312068 +1977573437 +193226384 +1536920569 +1529221547 +1934399008 +491452078 +2028224257 +1997458463 +1099321525 +1773671945 +1142706871 +1251734094 +880345469 +769484457 +2113648632 +1750121990 +239371355 +586977471 +719342132 +188496097 +1183199319 +1933442799 +328038425 +464642843 +1670392343 +1691799539 +330725966 +2040637762 +159566265 +851006803 +918466182 +2137139703 +1044233187 +307903103 +1518877602 +831148548 +799355181 +1399618212 +681123363 +1898676706 +1025806509 +1823830234 +1002927153 +1906151978 +445831043 +969092137 +1508790320 +685202398 +1556069608 +80648804 +873698496 +591785280 +2014091603 +1201736921 +1056428123 +1537000298 +746052812 +1387154089 +1430154413 +905619078 +90677244 +201136947 +895275133 +1134910432 +509040051 +266669087 +1966058980 +1308395232 +1666287299 +499698695 +1059588291 +544610160 +176045281 +2062515444 +303278491 +621876324 +884123933 +1812068811 +1307078723 +292709894 +1892717616 +33293571 +884495174 +1759325571 +1235030492 +1940923297 +1148842222 +1981083304 +1180593739 +431512987 +739218734 +1271270983 +632649934 +1634493867 +258697767 +1141689985 +1901162955 +77273099 +302601570 +1419966606 +576971794 +1362189861 +1964576767 +753017075 +1277221657 +120371610 +1374893400 +13861942 +1932440421 +534488475 +306571836 +1677674389 +567782046 +1191067010 +1289516313 +1802812538 +984506660 +290874887 +1636412194 +17616751 +722387874 +228147281 +1288887734 +1355037808 +1862641148 +1547585502 +349244146 +1616320455 +1624858601 +651845716 +888803414 +54346748 +2014035577 +705896533 +807363823 +1143773586 +826268143 +34773575 +1157635528 +611224916 +569262050 +1464207365 +141415658 +1137044096 +507790727 +1430931971 +792372986 +1492297387 +1721806858 +281301533 +1509914138 +296711084 +509448814 +651318225 +1651748892 +224606314 +51420079 +2000993038 +1840926770 +1676278680 +505355106 +582246536 +1730625428 +371907035 +1288143069 +390505604 +1515680621 +2114411212 +425279179 +525832502 +578152480 +994541230 +1990039867 +719568138 +2131585326 +350346946 +3016461 +776474665 +1842644334 +1724823319 +1057776198 +1205074824 +2021534403 +1567225012 +1856393049 +1525799648 +1791831326 +1907813128 +1379309038 +1485274448 +1436608161 +1884664145 +2067520984 +1019749941 +109087532 +1208180405 +1410255545 +1624768154 +1175107969 +1835534725 +3117008 +1753260450 +682592307 +1993156875 +325344940 +666693985 +196020173 +328361402 +1443168650 +2038664507 +2053184721 +353461200 +1096255684 +1927235477 +1920686212 +805165085 +1305551477 +1565033891 +565494566 +537376867 +902824691 +2002102727 +274557364 +822862028 +874369020 +383644897 +2031042433 +137140918 +2008413051 +1058666755 +1972675643 +2011530059 +664443557 +507784302 +1857203286 +989788497 +1174478287 +2053223459 +1318149899 +470163290 +1944404319 +1223850973 +823624490 +893176355 +1003602802 +596827055 +1698341440 +161670631 +14377298 +116352358 +699047498 +917201989 +2118455085 +973604863 +1740064017 +845340458 +1357249760 +1623622803 +982481376 +1218179163 +534805910 +807673371 +1082225574 +1199249467 +1315457673 +791945212 +41554316 +342452312 +697685023 +1359704216 +812615602 +494605694 +436071541 +1636240093 +1387782049 +1439674343 +85583500 +938639842 +1601344974 +99960798 +1054992200 +152908824 +1017162787 +1025963638 +1126513687 +609743157 +1871304096 +336279799 +85882312 +706301824 +1554458962 +620688222 +1513975195 +489200888 +1819937689 +681949220 +1281146100 +1861492005 +1024401532 +1978831124 +1073712573 +1837017135 +325953170 +1509784114 +1325773580 +1713735220 +801974809 +1411357080 +504891414 +255836135 +1511317878 +1559883614 +408744960 +380997017 +438363604 +1535258647 +990740174 +162184052 +1871538447 +1076622486 +868485876 +1278513761 +1697310708 +234977423 +1767714650 +1369764749 +916926643 +901377102 +1083773107 +1941328176 +732724578 +10002032 +1630861663 +1058677749 +1519786147 +809151595 +624929321 +174277308 +73025027 +1129820735 +430113444 +1584342905 +542220701 +838858404 +1965339922 +980584306 +226633403 +808596449 +1142768358 +2098171850 +1885218935 +2011254235 +1229201964 +1435045996 +98748010 +849432966 +657327097 +1015674654 +1750810068 +1741100204 +809519182 +336050999 +1751102237 +292897197 +1394728748 +1123404736 +1102048792 +2019658069 +1297682044 +1175073819 +1001995156 +1727795488 +611933076 +1544215857 +419170244 +429789350 +377316515 +645803648 +1238385799 +1520084874 +596491850 +976121087 +1383855461 +1825693814 +263683435 +1482603471 +527643132 +921010532 +350794477 +130969553 +514627089 +1160313659 +467020552 +118245678 +1453210856 +1861749300 +1241650414 +407776000 +1733923721 +391848810 +1582849819 +588435229 +2119644299 +47299247 +2132651086 +391330895 +477088598 +362483954 +1037134543 +1715474397 +1882568828 +1633626394 +544111836 +1118940641 +1311836560 +807795271 +454060464 +1839479693 +1728805804 +804854942 +1970449246 +95949245 +1965168601 +289986150 +214194923 +1270895810 +4251802 +1455845337 +1678671810 +1738175523 +1847694147 +1114037982 +179127104 +1819854798 +1161337229 +164294542 +63702046 +1638425827 +526778496 +1100836589 +1206416577 +261863676 +586979335 +1750528413 +1380804317 +1898815896 +410840037 +1834864782 +1590811941 +2139645841 +492236076 +1413777539 +88111438 +309921029 +1703763689 +302306361 +1580816839 +1708015491 +1758151698 +1112005002 +1298707366 +1458362197 +78559336 +1477834470 +1130733348 +1239896565 +1642129012 +1194435394 +730838745 +21423861 +147788335 +1937255322 +283287537 +734767671 +1540300087 +1664091855 +486099919 +1951140124 +1351472989 +2076911860 +1943302317 +1843709065 +1343205751 +2031413755 +6146446 +899485792 +186236468 +1586963286 +460017635 +1944388166 +551484640 +1758725001 +1255266716 +630043976 +1089075823 +238516416 +1869940541 +583721187 +1432951810 +453295638 +605145048 +1580740145 +243067312 +888432586 +168024168 +1783367400 +405040793 +654124087 +1587023876 +1756513782 +583552299 +1382842546 +1452739199 +1926758050 +1266772653 +1458885645 +678760194 +1453009122 +898365283 +1138777829 +1249913640 +1449849923 +750019182 +357696708 +2079893899 +1839095005 +596213124 +1802350793 +275332545 +2029164934 +108162783 +880477593 +1462421432 +351230096 +1768910179 +1630445600 +2134597496 +26467324 +137086040 +1574137724 +1782981106 +720638339 +809496622 +1088236657 +499912742 +2076269276 +399638655 +1178672936 +1381794750 +1298003938 +169967118 +484224742 +600370214 +919986300 +841921451 +532780465 +611597658 +1438134575 +187647610 +886930203 +1319815862 +295810394 +1767407796 +634753646 +647040490 +1388834328 +117715598 +634154338 +1415301652 +254801638 +60808414 +1050799111 +975439978 +870305037 +2139035768 +1475352720 +799090665 +391190775 +506542008 +33401767 +1689194714 +676509126 +517626509 +142081280 +1596495427 +1359547960 +674861745 +60609437 +650198888 +862509356 +947539640 +1970014750 +1158319750 +567463788 +457284748 +1805360240 +1956298116 +575000346 +292030930 +1224116121 +829801985 +352839344 +127431584 +1805241963 +1223144381 +118983704 +1133111035 +2022235046 +510174480 +1639653043 +2055636813 +51885546 +168678522 +425779675 +193966826 +1765173949 +1785327635 +868828571 +1825783386 +288042875 +1731337927 +625839378 +110573977 +742174029 +1193303166 +567858725 +400050621 +1002117635 +1142859072 +692081551 +78750108 +1972661057 +1044920896 +206181692 +1630419372 +120581629 +325165396 +616046759 +2142816676 +835339876 +108216154 +2050969841 +887225422 +276894676 +329265868 +1081192248 +2042068625 +2114593504 +1950020820 +1720368363 +255152731 +1533875099 +198724093 +365726709 +128565481 +1392027260 +933585434 +528616102 +246661247 +2076444506 +1220697654 +325411355 +1901621915 +118134902 +531593047 +1384557639 +238716531 +856758443 +2000604398 +234049559 +1692098320 +2108820553 +137535753 +431840094 +238231581 +466801621 +1513032343 +132816559 +433911477 +1315569515 +1853184922 +689064209 +701960966 +2051909016 +1054790918 +830526447 +1296452628 +1988376352 +1359142550 +1543113875 +1917337211 +432356556 +1868525230 +1671475478 +550491458 +252634629 +908549470 +789207989 +1109393072 +761670220 +1023257549 +654007744 +723007125 +1160793302 +1085847839 +961238707 +1627594923 +451396534 +1094055266 +2061506401 +1766966049 +799756540 +603086962 +321443367 +704181908 +1657877880 +1151969815 +2000634536 +1498770584 +363628717 +1396264763 +1268624147 +795985273 +1117306345 +792615978 +1346476731 +1369940974 +1701165448 +2135684720 +331850399 +315352020 +1011458621 +985858143 +1038359146 +24768275 +2071705982 +1999597853 +1652363199 +375618868 +946169471 +1566385952 +2142584917 +1745926011 +21989266 +316544637 +302624272 +1679867146 +1468514452 +155775160 +1031154082 +1832143169 +1552039924 +152294582 +480644794 +521862621 +944910560 +1827121525 +1891803596 +498592360 +1815322597 +76170347 +813944380 +679297571 +1062028490 +1852303526 +704065846 +986250825 +1704417731 +208945397 +1361869693 +503103554 +1775331349 +1356970963 +101545918 +1797320615 +1673515600 +404170190 +1329704113 +994546404 +559945350 +213374548 +679205925 +2111985274 +365669130 +1159850719 +486364248 +1310579690 +839488596 +230684196 +1809172050 +507327545 +306854543 +475632782 +1186625116 +1368883033 +180452661 +1890690963 +207650210 +1884870392 +2099636360 +1569519904 +240490299 +1727484062 +779007219 +342036217 +1377321029 +305039171 +746206407 +559541495 +1299585575 +1306151757 +772916043 +1978791500 +1270653384 +1138585173 +991158571 +1757017632 +301681215 +1830647167 +1987701828 +2110853265 +190491064 +147072723 +439002399 +1377116181 +1515955756 +619455060 +1120323496 +1723605967 +356841805 +1072476208 +1145642223 +597332104 +652476622 +1924649442 +939368321 +2029797652 +82204965 +1685574728 +441855499 +1381790540 +844242837 +1214771542 +1213098392 +2114896221 +205873067 +56773315 +1724430205 +507554282 +1887420482 +1564648385 +470923899 +2077911546 +1711721108 +909926298 +1307544079 +1080193217 +1529381359 +280383927 +656315536 +1886223164 +1352860136 +1801957759 +336071620 +2005336758 +1579123553 +1275439941 +1887650762 +1661328518 +813531021 +182022613 +895635410 +1657773858 +1396794155 +2108733802 +1625186432 +1602667222 +18023469 +1202132989 +2110221504 +1905443951 +619297727 +433661755 +1835871849 +183535187 +1343588054 +995932281 +1263728404 +725485765 +1276316208 +1920043940 +464225281 +481692696 +1574518051 +800296901 +339545807 +1006157956 +2075736842 +79712921 +520002826 +741784215 +261735535 +1415638236 +252074425 +1658529690 +1376888390 +1877260857 +1113713265 +1394911859 +931910199 +1076451121 +1152872162 +1551207926 +1510112877 +841260364 +1734743113 +706217283 +1837192645 +850987870 +1431703048 +966025205 +623548162 +1895928329 +1447717902 +50582566 +548741582 +1787263709 +1056740522 +476994776 +1866976630 +1576743349 +1218778991 +2128712165 +844897937 +1470853416 +1639758208 +74302680 +1200630626 +605987825 +1469214539 +2132540825 +1682438946 +474603054 +1536265103 +1045068175 +1315863418 +1123524568 +1751285458 +1005572415 +1974512438 +1035504858 +1971597620 +450576953 +783949539 +1271831874 +501159519 +1332691121 +911611935 +1557900041 +1809685897 +631104918 +987159742 +880981240 +612333435 +1832057680 +204351009 +104607995 +1906360360 +1404981635 +710595820 +1228091251 +1390038812 +245551119 +1702694305 +778820267 +1290619294 +871074075 +1902344835 +894421105 +1876646490 +1729373626 +1929925963 +1700760463 +32466931 +566391855 +825108689 +533626450 +1899082976 +1736720625 +2091526491 +1561285226 +220341895 +931202586 +294782818 +832675330 +615776618 +499133827 +937283326 +374653330 +1904115462 +1647879146 +1602744581 +1146670626 +1893430265 +1157955239 +1925490893 +1036565912 +2029029314 +1680352081 +1930987017 +1758192157 +1262242059 +1713429332 +1311468972 +1294708990 +132337539 +2136577661 +1828335440 +2031420516 +1725814638 +1772378283 +1445222094 +1946156533 +556097221 +1740004912 +631348216 +1171873839 +91655092 +1568631542 +1546527169 +1995770554 +1069027040 +1001788103 +994957533 +814973658 +12259694 +772964778 +1851539570 +2041289008 +305833211 +1635042939 +1651997517 +1568075270 +1200988623 +815982841 +715300612 +1333326163 +805076855 +396152404 +1217263031 +383407845 +21047040 +515001477 +182080731 +577144261 +107522741 +813428947 +1749018101 +199177833 +234576841 +1148061622 +47464740 +1303603881 +2366077 +1042422273 +2118577539 +14625771 +1815387051 +1822633461 +2055914780 +2121220263 +1310192752 +1560428649 +1541811885 +363697728 +228927843 +109628850 +1697023891 +1034004698 +505781254 +766803274 +1417412543 +526828294 +1281804751 +1599493274 +1103972556 +1389327492 +265438573 +705507009 +1588505326 +500015414 +1853568631 +1635970066 +1803619296 +1855934709 +530908691 +1774713187 +1870560480 +198812094 +1449863001 +1778991612 +172548709 +612572105 +1191936614 +1714360595 +976269833 +1420864457 +1823989445 +525810076 +307385507 +182287051 +1292613350 +1724798050 +709115346 +426934453 +1176807677 +1813087902 +1816261946 +1442246250 +371111263 +1257283624 +1942261665 +77196246 +745770042 +1598397313 +1933130955 +1276678733 +1225626852 +1656207788 +1475490827 +528006205 +1287715752 +1648039537 +1140578311 +332168718 +1214916484 +2116848144 +1753033175 +891422281 +495174573 +2060418682 +1073709332 +1787787923 +1637733085 +1782824678 +67238729 +667057114 +1448428932 +1883500675 +2109303364 +1819540195 +993300651 +1904081381 +1896736442 +1739070693 +1354995046 +1682383749 +868265778 +433138251 +1191107889 +196272957 +961144456 +331339994 +1844312494 +2101722767 +663508712 +911745330 +2071087264 +269058240 +1803167611 +418778189 +181993274 +729393296 +59082464 +1819726359 +364734326 +126321193 +339299825 +1813163259 +2009821868 +301119542 +1485219806 +855638871 +57717275 +1234472600 +447225916 +1412712322 +769372702 +1315491694 +1845850573 +1960480591 +1511764652 +659511381 +144336937 +1208593498 +613750501 +807845650 +2120338829 +537354117 +1076903890 +1776022792 +956132306 +1258897164 +357932440 +1015214770 +931139876 +722666767 +1141535964 +1270439701 +388346378 +1003874184 +1571559243 +1873566184 +1859513056 +1629276519 +960555137 +159255324 +894505193 +1729927839 +1474747019 +592872118 +1542924782 +839028023 +1252383499 +1687261720 +2047621521 +1866134000 +347623722 +2020476702 +256004469 +1424527612 +1649015847 +1212136775 +535941128 +2006948287 +79867898 +1467081004 +582131406 +1221403862 +590037058 +970477784 +77794398 +14112653 +696560321 +1937307454 +1643389172 +1657115458 +2096562779 +390410717 +1239559649 +1423826150 +983282835 +635000783 +115370525 +88182687 +174778855 +15508398 +1954316687 +522402577 +2035985101 +62837509 +1946930189 +1537517300 +1274974284 +335387670 +1396981939 +1354842182 +1802468674 +1979113346 +428762396 +245022084 +802107482 +506556795 +259134738 +1498667803 +296380601 +1902523910 +1008299613 +245459732 +145450980 +100375614 +1669285882 +1128733815 +735376398 +1784656407 +1216916502 +910155253 +1800164806 +1023749542 +1432557831 +1688666259 +1086587051 +1232004372 +1078699911 +214077687 +1567392042 +328198202 +1568919870 +1222377069 +159827900 +1997682266 +1467399153 +961935383 +356755413 +1726533891 +313119538 +653136015 +1481574154 +1321419152 +898595747 +1627025134 +1421794766 +420397982 +608275301 +9687516 +57570741 +1825191804 +919842770 +1857735547 +701457698 +204916953 +1398918158 +1788044749 +1436921325 +330134421 +2002122436 +856829720 +658332624 +1423558658 +2079206789 +818160524 +1273757277 +1399122294 +1780095907 +1630512690 +978172538 +2093215446 +136165057 +312263044 +1267150950 +1034760805 +1939288178 +541462068 +1455158787 +400079831 +551149585 +1512729528 +77787987 +1470992355 +1222981428 +779245685 +1675909308 +474415938 +419806786 +965346985 +804550360 +274445575 +1822176705 +1462882984 +1698004233 +1753899846 +133559860 +824277862 +1005538493 +1913655768 +307306905 +1983711031 +1859387566 +443471962 +148490427 +979054868 +1478232767 +2087778605 +1520516936 +785907906 +340374788 +2071666521 +151153787 +418162776 +1395175228 +1374135215 +1197408461 +923600888 +1848551153 +1617215248 +1888947874 +505617865 +1891660823 +1563640931 +1968500849 +1442181408 +1170057130 +2102060710 +118975623 +28111975 +1868232830 +426282528 +2011823006 +1580136748 +869754490 +12829785 +411707968 +200503610 +2100608390 +1932224904 +986411516 +293499530 +1856407778 +1137565303 +711662306 +1104099358 +364216870 +1909070768 +2027700247 +65284376 +1378802368 +1769164473 +570902241 +1122979543 +1185321756 +391919443 +417677303 +207895238 +346496505 +536652926 +236007213 +67245687 +962935454 +100346571 +1647382435 +1832689945 +113176356 +2059090403 +2033193555 +66301098 +1843831659 +872121423 +359800629 +1552755789 +2009686727 +1071462935 +509371500 +226419949 +833050055 +389588099 +291704325 +64368775 +11268924 +862606567 +1187348318 +1196590680 +1254526010 +1605025622 +1404485919 +1601022515 +2141678548 +1640493132 +1668268202 +957130355 +1740839704 +1168166989 +642336652 +1854016060 +1079773744 +528046559 +1920317159 +776121755 +1400167982 +132634140 +181393897 +1262371061 +1204097075 +690765397 +1488791011 +2037147131 +1080353496 +1780495336 +2101515906 +1091622420 +495618255 +1141380577 +140729452 +1750144265 +598922551 +1545215371 +1203683132 +593117451 +1038224856 +724467686 +1550247806 +631580912 +1892634675 +45100810 +338113324 +824924771 +573147369 +110946835 +1601046527 +1973315352 +243580975 +1782440424 +1088202765 +1447678051 +325722173 +429510128 +1337341534 +1406075669 +62521817 +1291373792 +350214441 +558140072 +285270721 +490943893 +160800690 +884193272 +2036159265 +1364483822 +1477310724 +926900473 +2088951509 +880074882 +1558481385 +1834102536 +925175693 +1896594709 +511543660 +1498323062 +2007541545 +2112590187 +1324154766 +103638872 +1747546963 +264873884 +1551316923 +2073269136 +694384012 +741174809 +1331861157 +756905829 +2032548602 +1682075598 +1315045902 +170335675 +25535843 +1475846592 +1054528948 +2061695108 +692846766 +384356024 +841111933 +634314627 +1264430906 +252109670 +320933516 +42122951 +1220732 +832477176 +1540446014 +2008762277 +797583715 +717117132 +2112401149 +397647030 +981991016 +1516234425 +323432518 +1676375029 +109925586 +1655293675 +285797210 +2142474188 +1189885625 +1600843112 +165326216 +1215421468 +929206056 +1219855164 +1129632929 +1622052823 +1604211188 +1970744862 +108883802 +721158446 +75370885 +429817318 +763281398 +76591617 +1262294494 +156243764 +2085353894 +2059878209 +873360896 +2050271395 +310041591 +1855351913 +1419022172 +633474109 +1384243294 +1528947759 +141284136 +1670040504 +1523938299 +1331169761 +1123399969 +1689264515 +399107582 +2052606025 +761636031 +1528740511 +1527175200 +218363571 +1352001725 +1636059003 +939522018 +1427372610 +2065876321 +1702803416 +1503964227 +1180687168 +1859047180 +1441834473 +1093081729 +584924428 +1344622221 +1403123321 +292792693 +616160745 +2036597430 +1677035987 +2145108504 +30397919 +1199592844 +1521563156 +1361567680 +175509165 +1063344023 +1760675262 +80631542 +1824980055 +1141932125 +1607806743 +2043343626 +346450203 +1096382098 +835381996 +1773822813 +1014774771 +390701764 +1130303393 +47978291 +102265296 +424654218 +1141060021 +687189725 +1769276439 +396699694 +979982418 +237953537 +285813476 +509534758 +235578393 +316211395 +1709127602 +1757141549 +1677779076 +1884636767 +673001925 +1290970690 +1965268309 +350498332 +285419168 +1425591404 +246358310 +631869371 +374489854 +1081740307 +258208536 +1389264626 +1472442071 +1388511929 +1437242917 +1574707368 +1813166148 +430819290 +114413445 +1434958939 +827518984 +1094395863 +1672912476 +1113332461 +1603930621 +1908490870 +1429543856 +1165574575 +1518148771 +959839284 +902727694 +43667048 +103326327 +720512356 +394165380 +388745495 +2146103760 +640523691 +1020614866 +373109967 +1722263998 +1278823402 +1762374593 +1047222421 +519851684 +1052133862 +474446141 +185534184 +1482953153 +588859586 +1620493123 +162988489 +1683255450 +1145921952 +1276320950 +1139702423 +906929174 +558381159 +157793351 +277594297 +1518220443 +1060521045 +321261346 +1621546770 +1781033401 +715426726 +2010292265 +1779653514 +1355950417 +883423483 +5279833 +930730767 +14763238 +1767654426 +1977953189 +534614922 +672304640 +304915682 +720149106 +7774145 +893775269 +193158581 +170762635 +429547071 +1339080533 +1447083585 +1569249494 +98526059 +2005464744 +1727042845 +376120357 +1376201540 +640080243 +697381703 +850264662 +273629996 +1412808429 +713073280 +2053283510 +621275199 +1596496763 +2058563343 +1552005966 +1611260001 +1678734121 +1382475507 +2145874923 +203555114 +1687391190 +718540381 +211329259 +433682811 +911698963 +382091894 +863229882 +103295848 +1829175480 +284995728 +201821908 +1687156576 +2012038574 +577942265 +915874468 +504635169 +1275323968 +1766139131 +778265165 +540648749 +331728763 +684065028 +1161923948 +1928225526 +595144723 +566446267 +1392001880 +126395197 +1948921774 +1390393155 +329950311 +1488829316 +2108933537 +541279570 +1922512127 +873148852 +923371465 +638258361 +976444700 +605063297 +923254090 +1178266608 +144736225 +787809016 +1756208873 +1060610694 +1292444185 +884049193 +679266177 +2070709350 +1424697943 +1010994940 +607290730 +439138243 +791736818 +1202435454 +1005584510 +36255050 +1328830651 +807022637 +1426648206 +1658780962 +148368305 +1388098095 +52576884 +2070880433 +113763299 +975948349 +561655146 +1090207999 +1581011646 +1484909236 +120990960 +1725747872 +125234604 +1877199833 +638874918 +1417678789 +613765379 +1318141095 +1340904492 +2038463322 +181652387 +1948195222 +330117917 +973389205 +1003147028 +1335702428 +1009644256 +184494031 +2142725065 +288808814 +1843274993 +143609722 +1676906909 +1895851878 +67006507 +1790670208 +724316579 +628661654 +733394559 +157844578 +2113570890 +854385519 +1883592450 +91321847 +584101705 +374983720 +1509000636 +1197867084 +1693124815 +702421480 +1088846758 +1874777202 +503133055 +1418964675 +700682759 +1506280083 +607183455 +1710327015 +1690774115 +602424872 +1999135829 +1386565460 +746034595 +1528559090 +1134933690 +813041102 +1171745650 +1859250270 +1441702756 +1905140210 +2017094848 +1407789999 +612042081 +1753203650 +1499111846 +1196143786 +2128187370 +860628834 +246527222 +1673828537 +1563050315 +1335373980 +1401122091 +2066183370 +606855008 +2101804850 +1424979805 +1214038463 +1664648218 +968270272 +1816463336 +1516300399 +207352085 +415014283 +897375842 +1342285775 +1228055385 +2069121492 +1054052397 +522274494 +1826778054 +923663597 +1930064493 +291336488 +529383599 +1281692691 +1487480274 +510087321 +2142321525 +1734007497 +36432210 +1557888192 +921897829 +1437554301 +1476587914 +1528752837 +1391875504 +754084072 +595307653 +909040074 +1722354344 +264287341 +277856825 +1929706429 +679301624 +1175232667 +1124508557 +1907357009 +1096870512 +31077306 +282147855 +776164918 +954740904 +64728700 +1067501406 +1484124503 +1346421391 +407498033 +1994211825 +1341259269 +2141505530 +2030644035 +751663813 +915919711 +1320714689 +80768080 +297188901 +565106545 +834852152 +892496554 +1474146619 +409722848 +1156783895 +1752003444 +191945630 +1836085519 +779752464 +1316454187 +1595958880 +1876622976 +1347531493 +1878106736 +505304246 +154788749 +1942835436 +1572805653 +1638913253 +1141773180 +1980303686 +1485641430 +335548801 +1974325568 +1368801817 +1087212614 +742761631 +542032858 +1167980694 +1039950532 +1107139403 +2002832846 +1932447086 +433802374 +265072047 +941747333 +38322171 +457017677 +630349204 +818074635 +1773471864 +78824437 +547213963 +973519709 +1956931173 +1052518209 +1128308459 +1752282961 +477840214 +619738064 +746572493 +310660252 +2105379494 +1082121294 +137502172 +1326697663 +21850261 +880263804 +1868730522 +1189830955 +1920214336 +828386277 +1045180154 +1705177775 +1262188652 +1310252201 +499441460 +1300510823 +1767269878 +1129790665 +2118585458 +1393258094 +1208615102 +518315773 +219294155 +1018062627 +1570833982 +1347602614 +622861940 +2048674197 +1967340678 +1369434434 +211850801 +1925236524 +304072080 +349352974 +1104450540 +325922341 +1229616778 +825697414 +1515753297 +1002347466 +1654083691 +413449803 +560041593 +768788695 +1723702004 +1059483054 +2069299518 +1343488234 +41790071 +2040401328 +589262680 +1250405173 +411233453 +808556835 +120984152 +1982067436 +8675802 +743846092 +1883257985 +1976016480 +2113280526 +2095108786 +1753769357 +269868959 +296978112 +710736249 +595791300 +1526594890 +1536433663 +2111544597 +381458709 +1043033706 +377510752 +941500302 +1811822402 +2101212756 +2000983356 +1733638272 +1297217342 +2042773427 +1626555953 +1886480022 +1145694952 +2037789406 +547553210 +1266679104 +1872373194 +556229012 +2010525197 +1608147531 +384761844 +1976322075 +1555772670 +2138531201 +98707386 +1852750782 +701783802 +694498687 +1231862025 +90733817 +658559636 +1613320734 +1133767524 +1036070389 +407337388 +798106278 +989799497 +260837097 +384260902 +139533192 +156126876 +2010816855 +2026013214 +1301821829 +1901122614 +426082776 +421017285 +1626012160 +982311788 +284058834 +1086676044 +1367073633 +112897262 +494965066 +1358121186 +211604648 +200232200 +2059904989 +906103335 +1432094225 +3155158 +1564662972 +897931311 +1136922682 +453249713 +1305268700 +1935028960 +1443049210 +1566105797 +171806215 +1582582402 +1722232673 +35139422 +1461111969 +876570854 +1936262036 +1887194745 +1297588140 +1414790549 +722022886 +1581646974 +353982945 +2089096519 +1694544236 +848948011 +1299734057 +1906148885 +1049180211 +1212155398 +664768572 +333790789 +1215310557 +81947896 +1231722100 +204749591 +535197609 +389507152 +2139778552 +1978246820 +1955612949 +164101119 +1413345574 +1530361975 +199240541 +726973895 +259449181 +2135502578 +466684993 +1557037321 +1402809479 +1188707879 +991200648 +1756792424 +1130320750 +538261236 +458256787 +282571159 +296926473 +1507436998 +1494726558 +961695046 +1841227787 +562553467 +1043642942 +925466240 +767303058 +1578840552 +1314973392 +759597962 +1409603724 +1123102694 +923699081 +675465650 +505981021 +1122939623 +1402439546 +765430202 +1110958553 +1869124539 +174983876 +366284384 +910348770 +1166184524 +2123076808 +2040669520 +1704445760 +433849947 +175757031 +2001372234 +1941286945 +1670483589 +815583632 +1635031085 +85553408 +1859226574 +413013677 +852856467 +1290583478 +1727987069 +1612454429 +552703554 +703606115 +388669863 +1228169205 +1209587136 +1511609486 +483125103 +1975017339 +475084391 +204765994 +2517567 +841368775 +1115114764 +1168702091 +816961935 +1008300636 +725664203 +1250811882 +1184057667 +579552789 +1044615179 +707057609 +1395136421 +532162616 +792611017 +1106879348 +945176293 +1645467484 +249979178 +525679715 +1110438266 +802682733 +1229285830 +1499108129 +2030851938 +291389319 +863233967 +366493393 +118923010 +1338318358 +571259387 +121440577 +32203485 +1686374151 +1290142668 +849165420 +547191139 +2015806871 +2099977302 +1731248806 +447876013 +997108833 +290822767 +1843012434 +1529271450 +1083433785 +802408134 +326964095 +581417621 +1052387313 +852643810 +1691855887 +1855070046 +2081929641 +1043480368 +1738438336 +225835312 +1906714335 +2104931729 +344758322 +1097549045 +528707468 +466198899 +1129752530 +67597971 +1756341567 +1978917950 +614789110 +1624664790 +1931411604 +198554268 +2072540803 +781036790 +489377036 +1768069590 +162824592 +1572810821 +422994076 +489788687 +6744794 +1475381389 +1342432498 +1698600682 +1182967787 +1276878491 +594597402 +773922475 +1502713803 +353828090 +731370556 +1847472125 +1451377135 +1260078024 +166187376 +433646018 +1327675995 +1922528943 +265080320 +1942465105 +1399710085 +49008277 +2141019374 +1324767241 +830045067 +482912762 +945353183 +992869659 +2055723583 +1368347259 +1482658346 +2062468377 +696245001 +677607196 +1613585411 +1879212788 +1954485687 +60699166 +505651616 +1309715842 +414527256 +1237022172 +1009704319 +1865904391 +349616549 +1175891695 +152066761 +1677292544 +950936990 +417147082 +1472274002 +203163428 +466155359 +1465809728 +1527930669 +1296200426 +1948722490 +325800204 +141586437 +1856962425 +1694147463 +1624244783 +1771947154 +242908816 +154368332 +1238048918 +2122121605 +2108854019 +1298748084 +480289573 +1271086214 +1713275340 +1717311745 +133306885 +1431696083 +2066928294 +1309198581 +1583762845 +1596737191 +112651923 +2000909927 +921527545 +315815351 +319581638 +239853625 +1843746020 +1615782064 +41092467 +22062576 +1757368501 +1898054892 +1716210040 +1234129636 +1522518398 +1959118856 +1388497968 +613083668 +1933756813 +1349868340 +1911831752 +266562738 +473470906 +1477623444 +1983874484 +606777791 +761835880 +1903319130 +1915976372 +198115077 +1352572673 +2028628296 +51541356 +126616570 +196959999 +371122994 +366470195 +2040706020 +1986905058 +407562662 +2062768596 +1596789911 +158133906 +1631494988 +683435899 +1680652305 +1443130197 +2071933868 +146252325 +1229403362 +1274318560 +2058084078 +1495966101 +1747789466 +1388223874 +1332356937 +207083609 +2576106 +1088192419 +2123059982 +200691183 +293281445 +2004204630 +252232539 +419898015 +53680981 +623355533 +786368211 +2094387001 +462776943 +1193930873 +2009671950 +2059566854 +1352064780 +1493683290 +595519106 +885233437 +789329839 +519969326 +1031485762 +2018733202 +1794287886 +942086192 +1367215655 +1394593704 +182826419 +552088944 +1601677313 +185402525 +1640281363 +1577253647 +386093709 +1933562808 +1433974629 +638326248 +205977176 +1487655611 +1261681782 +992345387 +1434558964 +1724458725 +38792612 +1296747266 +1636541932 +1390857392 +642946909 +84577390 +128607181 +1432276748 +604546716 +1160092944 +1303526302 +251350954 +2102179136 +523258309 +1645944658 +137521907 +1075347253 +1100138323 +322924433 +568144969 +529908323 +709018142 +354224129 +1963882952 +1347344390 +560201305 +1304054915 +461542524 +1552546692 +591130232 +38517602 +1591339305 +1887877498 +1675059534 +834713049 +383340759 +1759636924 +963320231 +1815617508 +216699992 +2123413175 +971660162 +468050946 +2078108663 +1494918472 +2113995604 +68146923 +422782077 +1066650279 +391071356 +990927046 +1596558602 +1100089498 +1345151176 +1412957907 +299950240 +1905352481 +569529174 +761492765 +1310415526 +1160659406 +800010367 +754271183 +901053257 +327586253 +1588984232 +1284394016 +2087223177 +404820815 +952527876 +156439521 +380750342 +1924188039 +624490467 +311375358 +1271622863 +591002423 +379522281 +1694404940 +1657652702 +770593637 +537848339 +1106727657 +1870683135 +1882999515 +372201916 +23149727 +1640868348 +941731090 +784642492 +803800226 +2102390497 +1584652859 +1558071409 +855960106 +1912239112 +999571994 +2140354122 +1851978641 +1404392809 +945398351 +2008418162 +1785143152 +722102742 +485424981 +2096518510 +1993725605 +1076427404 +328557143 +1540646897 +586596459 +1099150780 +2078495236 +1693324116 +822350267 +1814011103 +2065526032 +845499994 +1307395804 +859773474 +1630142487 +2111196030 +814680323 +1067311698 +1521783792 +1670640429 +832067163 +373872138 +1663510904 +536562156 +1778264947 +461425607 +397496671 +1415924451 +1183528349 +882921652 +1364959313 +1029770306 +1959349057 +1693516456 +422933555 +398461868 +645183588 +353945144 +2091785984 +1467533855 +20472599 +2009828368 +165550202 +1327868403 +722118194 +1795692689 +1291580786 +1536798518 +715520739 +665880930 +1059955299 +1547587902 +1039753068 +575982555 +2084150059 +670534367 +1037408162 +334163082 +2086458819 +73452863 +1217084734 +1303934484 +1103223169 +1028950143 +849967293 +1526156725 +1427412011 +1495150881 +1880101869 +1371714347 +815201089 +1900574468 +1234059067 +980751291 +1080959224 +1956177262 +628960332 +225056362 +1345492132 +1344481071 +890937292 +257963783 +744585326 +1930690360 +833946339 +681251737 +453741079 +1871354501 +1015414819 +392716250 +1944807365 +85015905 +1696650735 +900546886 +1113966049 +399134380 +279219963 +393894412 +1894285261 +11838184 +1765608760 +562002702 +1912412653 +852184179 +1542753993 +845888229 +660877793 +24230677 +1070944591 +2006369925 +1368711749 +1961881883 +116850061 +2113297075 +1745088595 +950796400 +647065164 +51346026 +674667253 +1662479983 +444062277 +471990970 +1747495888 +2140713012 +1372537857 +713978289 +392363744 +1651757820 +1107872702 +139165357 +1663596005 +725997814 +701168060 +1428525010 +1578181993 +96438405 +126929591 +91576139 +120669083 +1197874182 +2097946064 +1489380832 +1012272417 +67312477 +1455194259 +609877364 +1018108877 +2102259423 +661223390 +1692776131 +1617255758 +1105285667 +17283453 +1217267998 +1098515031 +1389821310 +1931246288 +1490878775 +894095483 +891635342 +1630044133 +410207840 +1617633156 +183728545 +1838732850 +1048331501 +280166950 +1965662441 +1139907640 +400836033 +1016052975 +1090370057 +1890216865 +2028325392 +1157682534 +1197927476 +490719108 +28307764 +1152703251 +1151942498 +1721083895 +622475361 +109744518 +1738367348 +1839743360 +1208259549 +980705011 +1623506000 +551654677 +1874800494 +367657694 +34215162 +137524686 +1985290850 +217943707 +1976257536 +886138703 +498110657 +1794436329 +2026046344 +898946691 +663005656 +968932753 +641679908 +543847400 +2126615287 +1839607385 +1034566508 +7439403 +844826988 +39025358 +1728523298 +1467302350 +148769876 +1319406999 +1159562062 +1357029426 +152628362 +635584414 +1908684103 +2027428856 +1003242108 +1942899265 +17469894 +841049310 +13359324 +1993727430 +1727188013 +511469981 +1640680111 +1605750709 +1410416672 +156202119 +427199814 +2052096581 +700049519 +406331454 +1744220318 +1734616027 +413770857 +441563658 +1773641385 +2142294156 +1908866008 +1922411262 +1314217507 +920944422 +1131957040 +1466845869 +1556528836 +893157495 +1346791077 +412287296 +688573112 +1364260971 +1253336606 +701932436 +1210504753 +833040972 +1213402417 +703701216 +291308033 +476335442 +859903335 +718507848 +380948375 +1559952854 +1124839302 +2125168693 +1147085233 +1538610159 +419248703 +773242970 +1533420667 +180631064 +548170584 +700154526 +1101575486 +1680127624 +19516747 +510620675 +425801471 +1366307824 +922907971 +1114374583 +583085147 +28760930 +1816307019 +1793589900 +861801902 +882225789 +349807468 +1153109935 +1358561231 +1209710803 +1871617783 +1739509606 +622180009 +848973437 +1717194651 +1769265242 +240099949 +2136443354 +395024565 +1773520616 +169590770 +943195149 +326191495 +1271166257 +475839126 +345708242 +1781786932 +901640597 +1712016067 +557211255 +2016015181 +147617566 +585972185 +1684838552 +1941207467 +1447774087 +419580693 +143531287 +453400375 +1778141924 +1353242091 +177534510 +1370167882 +1975422100 +1026507948 +939878885 +1597203695 +1266607897 +928838592 +1992228260 +892644865 +1098429362 +787939761 +1218836360 +222111971 +1263778887 +1564544603 +2003898903 +17935837 +1129077022 +413626511 +2033951018 +1276694588 +999598696 +1571305922 +1070418407 +299889136 +1990886616 +1213949695 +753289511 +1621544892 +419708138 +930824021 +844229127 +247646590 +1957331969 +1784108012 +1844850285 +1076456218 +565462956 +1689594897 +1969101084 +1663892319 +330051011 +1040453796 +1886004290 +1593829898 +457514751 +1742419546 +1611765735 +1586591773 +8562409 +1498233105 +715802714 +1008161105 +922055380 +1786221121 +1308050241 +765458348 +852687168 +2061339752 +239519592 +1272395306 +844680126 +1083748719 +1520041897 +654528447 +720373084 +1217408534 +1730984666 +1285836040 +759519784 +1552602102 +802244711 +1089570795 +445572250 +540765354 +535917045 +903087002 +135701252 +199133 +342195127 +144263661 +1498432238 +1057997841 +1152424766 +273003970 +696735315 +312991360 +1038462318 +1549422483 +226847464 +1277981911 +674334142 +1071527590 +214246982 +46892391 +1726056038 +934620066 +1264300925 +1309557056 +72972459 +2023820709 +714675510 +875217170 +965907856 +1160247760 +1415982524 +1501824902 +2063334762 +1551683776 +1502024035 +258046242 +1695947437 +852972625 +1316044083 +700888556 +1125976596 +2012779398 +1013879916 +16955266 +1414718234 +1240727380 +1294937177 +2089052376 +164771323 +1509184160 +2135944767 +1890827361 +296320578 +1252762044 +1052900769 +369293037 +1129099106 +1767576279 +1244510208 +2095006962 +780340391 +513009084 +1449348216 +696191506 +2064692861 +803888603 +954237748 +1613156650 +1656861229 +122798183 +166561558 +635354177 +2135577582 +1180441474 +652309443 +1402812168 +273685207 +1947246621 +1344380896 +438456530 +1308947133 +1332842015 +181800243 +1605267711 +438120411 +1234701012 +1974560749 +1567219517 +854793643 +1071587309 +1514742832 +1635134034 +1584596393 +816607400 +183841892 +1501805606 +1620496004 +1138079640 +967478609 +1129873585 +1260877824 +1134040167 +1765227762 +1248971758 +166997994 +270053557 +504300278 +440683201 +69816530 +1848681174 +879139731 +1378763663 +1034039541 +1060939974 +836547727 +1472159952 +148157338 +663624828 +891895822 +1002950981 +1735212137 +259155006 +490601367 +1172324882 +1075762406 +674443260 +526646841 +548774762 +1812522900 +1494125450 +1678648347 +925917076 +480681969 +1296392461 +27405186 +647679963 +1566446019 +531705464 +1088363164 +1636262549 +232902990 +1967502895 +867542565 +1266942531 +880959221 +1704090292 +591618836 +1029116559 +220231472 +1483514658 +2032067540 +1955443609 +1742669664 +375185260 +980284843 +670948422 +1049628520 +1506931684 +1219723185 +714667772 +853573486 +750887884 +1640584849 +1334255456 +2047280346 +1667990035 +1981935419 +1466242717 +52211852 +922814936 +955021618 +285114842 +742834183 +1822564183 +1552057374 +1623793405 +1379170827 +2143676210 +505426316 +1599402299 +1479707220 +390010209 +1407362260 +1074893236 +765195469 +240163456 +1745841658 +1814823989 +1747095140 +818081195 +382008113 +453184979 +1568969080 +2022592962 +1787440435 +1468765778 +1543099350 +1621892206 +787524847 +1595311202 +397223494 +1742546465 +1880426044 +1140057678 +1417627001 +1284999770 +616367435 +649314180 +1281192332 +1121793751 +101232832 +613415904 +1511803960 +1508595092 +1688309140 +129515781 +1748758548 +1286667151 +1944339770 +1348370041 +2104748346 +178864236 +1801555020 +1526233778 +53973550 +1441511807 +847515908 +1597072900 +915920365 +1635040755 +1044900454 +1313143860 +1230103573 +777842851 +305717890 +500246926 +2062842621 +922085325 +1149561106 +1196551306 +2043879076 +1250793938 +1809967210 +1408199389 +611905383 +1350792703 +1537715170 +213180283 +489976206 +1334571293 +1561550324 +447240904 +1513435529 +1215621696 +1973474683 +1567409079 +509649855 +673506943 +1016998332 +1425570221 +161064051 +2061898786 +591230433 +1391167624 +692257989 +896948323 +1891414550 +607616963 +1819033648 +893492008 +1804168269 +1715429076 +2144285947 +1466651831 +976144817 +608707682 +669960886 +366376340 +821887965 +1159937092 +1700947633 +235954642 +1607177997 +1066899514 +1451576338 +1433169032 +486824945 +1961226194 +2106675975 +1503823277 +1239312767 +120256378 +1418238416 +1830543200 +1511424002 +2110496405 +580007875 +1255354904 +570629720 +251557875 +1363265 +227314341 +1966986951 +2145649212 +1693966173 +795648121 +606873246 +216443411 +1162024461 +1428761211 +1376380504 +715488446 +1664715853 +836074853 +1782387960 +968808544 +121760237 +121729257 +782551090 +80952564 +1625552535 +2021863857 +201208943 +896307303 +1704923409 +1712632945 +859320060 +137447636 +820504202 +1429949781 +389005511 +821867467 +1657264122 +208508814 +820033031 +1203746647 +1004156935 +1426906277 +1420190059 +18697748 +708183840 +649086915 +734186194 +225416046 +1485161768 +369090506 +1194224590 +1606922005 +490819764 +1976775680 +1687874569 +2116372299 +1851155889 +1889083512 +865195954 +1408595650 +1454232810 +1724516014 +1546043286 +127253364 +1006982147 +1935048797 +949120831 +516762622 +2143557611 +1769153862 +1720509269 +1000230899 +1048576491 +993215680 +1018928647 +1756760331 +1642302595 +1753114842 +1982176377 +979980715 +2122205348 +1028917319 +439419072 +465541464 +858209351 +2127293642 +434430115 +561881592 +1868893506 +1299626069 +1970477242 +1175642668 +876658436 +1369036880 +1302896032 +1883640583 +1156602029 +104533215 +252919557 +1152675993 +1873687077 +1973428827 +5423244 +774779920 +819160859 +1024351891 +384056604 +313979807 +629983085 +218749333 +1293960522 +604704786 +1247666653 +1733379595 +1070246250 +2105876004 +1713189589 +1504676366 +520273949 +1434599447 +656818787 +343267543 +462758468 +1533477223 +1712304424 +1765654500 +1269634159 +721422805 +1870187716 +1522553716 +1874098798 +1596391145 +1348498895 +1879522042 +223687418 +20176107 +756390286 +607744022 +334155914 +1386373371 +826493355 +1628116436 +1991078157 +2074160008 +1214012383 +913840760 +2032552365 +779718324 +271033478 +405342666 +66834124 +927852265 +748610209 +529592592 +313845841 +313430985 +147763444 +1583480000 +1034853791 +2017951160 +958550068 +761468941 +1466858658 +159565316 +493507336 +1690546076 +179741423 +1249897622 +150806450 +513897337 +488787345 +977299805 +2142013773 +332381855 +903976166 +1208542509 +1246222615 +789044883 +1988260833 +1517256093 +1194387549 +2055094957 +297624710 +1942997758 +437203901 +611470551 +108945096 +584967346 +47466903 +1143798887 +455434858 +1006016972 +1905267828 +1922293516 +1165582288 +251291516 +1465355944 +1345323711 +1501189138 +1616162394 +1859221048 +1989976484 +445978552 +1853751173 +174874691 +1349954718 +914810034 +1421097306 +2138999601 +755587220 +790869751 +1185903502 +663198529 +1088494461 +981417612 +1100402431 +1699965013 +1090362708 +1685369777 +1747431916 +86677947 +2140804635 +605965240 +1991945776 +1915614504 +1771547528 +95753644 +1233486800 +969387591 +1596942783 +702165547 +681124991 +1439435619 +1148144099 +387392517 +1614310310 +350615169 +1302202551 +887923968 +342131122 +2057789771 +1678793719 +1528034624 +573504653 +619804532 +361968588 +1673907084 +172285897 +1452331297 +1211793213 +1919717814 +1539009244 +1205114200 +378199406 +1383471372 +973245056 +2263287 +1479225017 +59248209 +971650878 +928684152 +761413756 +1652775870 +220636123 +1909557855 +2040168387 +1834946433 +112689376 +1194887290 +575386753 +454820498 +1105193414 +106696824 +1982855122 +1678698067 +726501356 +197340062 +1205121503 +898787254 +1649671359 +269431068 +671021420 +1041196956 +1474545268 +1049220826 +277184680 +300306677 +1051484113 +1756409697 +359554886 +2023134992 +537610201 +1120968642 +1528427214 +758246324 +883042849 +1421111953 +445709109 +995732225 +468515595 +1021095862 +1450552723 +1573709009 +1127792686 +1285924197 +1104923428 +1854294043 +1483264259 +162561283 +605597649 +985451971 +431992351 +1276619069 +2026648927 +1906537620 +178356247 +156349959 +59360649 +1229840361 +1912759657 +418915535 +1105491705 +302886210 +1539884177 +486435271 +1061132535 +275443378 +1907547224 +1506841644 +1271175603 +228579171 +380453859 +574244678 +1802288181 +1508246545 +1860168875 +759727961 +1215056940 +1195949486 +922289245 +1820654589 +33917809 +1354281596 +949790010 +2060566736 +1113335568 +1128146258 +69433048 +1172696217 +210502971 +1982192705 +1591611752 +1315994676 +137595267 +984012281 +1802429947 +1198727802 +1259455659 +1562493523 +558085799 +383147614 +1791072694 +938539658 +957392292 +1445877227 +299302555 +670077519 +58121541 +1514359496 +1866027006 +980410786 +1187530437 +1899944815 +187208734 +2137320448 +1813027904 +1300544303 +1117983058 +1882460952 +325756872 +1328486029 +1717170009 +1917368625 +496997057 +1854765276 +753897258 +151943356 +906009431 +2013352918 +1714436879 +1464095230 +249016884 +1358025925 +255151240 +1206409177 +656419505 +554453795 +1876486696 +714541046 +2068813291 +1595030054 +1694951832 +1108860081 +1347491222 +1882160566 +1098696881 +1013035478 +1035221221 +69196291 +748012782 +1360978094 +1397682320 +317699143 +1130863071 +1894679377 +24980771 +1884760329 +2046622733 +930990202 +1750629599 +1613575964 +247601784 +1999646484 +824118241 +502753024 +1058572013 +1480537746 +1057206820 +787575061 +47595144 +978536463 +235121468 +1742546976 +2087396544 +1582612690 +1477223895 +1038609777 +448164520 +364961468 +1107806068 +1196177302 +1725939562 +358004740 +1513876445 +709318985 +105200469 +1538857216 +446595667 +4339554 +322363771 +49741618 +1617915518 +569965555 +2049388102 +294550112 +1072718580 +960476467 +1775087858 +2129925400 +1748051529 +1822683003 +960978215 +1983172997 +1417746331 +900891112 +1418302039 +747486578 +1939500889 +1866466559 +1112448047 +899823310 +915160213 +690903961 +1257828050 +281553010 +1400222947 +1363028520 +1820410226 +1846818614 +1367368074 +2142773997 +1896560232 +837799945 +565255905 +1798464687 +1132350057 +1637974485 +611457506 +759954267 +1620416237 +212025387 +435153622 +433910804 +47714736 +1852899954 +1334801916 +1466016775 +452902884 +1126819158 +1184999686 +1565350931 +2026642468 +2100159899 +108771245 +1136986870 +234229261 +1508994192 +352531742 +2054639488 +1208329158 +1719899817 +2049929837 +957405742 +410216114 +467702094 +608386781 +1542566171 +2105676579 +1219844288 +155036790 +1578609168 +1431869675 +590190413 +2012519973 +1479584412 +295606719 +1199838241 +798117539 +748509603 +179173751 +1983117226 +166376887 +58332571 +1935793477 +275148132 +1195319442 +22539091 +1784142324 +1547851184 +2077178579 +844987834 +1120267353 +1979624768 +1802393576 +1530483467 +299843215 +263296710 +925565990 +258036146 +1483140998 +1080602781 +1836645315 +767527025 +1670793194 +1701681640 +99627789 +1966399913 +754036233 +897745329 +567425868 +933209985 +733378907 +733802755 +991542556 +521688736 +1008950887 +39378350 +544227827 +645609563 +1587229535 +473922758 +1490597397 +560013240 +306063879 +1145507326 +2090496708 +605907094 +1408804036 +868579050 +863943240 +744461386 +1949181831 +553104907 +1511988411 +1472491377 +107302899 +1611616201 +1291407642 +861339133 +361877882 +1858833511 +1794549118 +1095256789 +445152618 +638608026 +1616945525 +1454103506 +677986377 +13689705 +2099713069 +117732264 +487612463 +1442826819 +677745504 +793676342 +440850497 +620758564 +1399583436 +1849654533 +1489337615 +116043029 +446632271 +1291035798 +669147936 +1958620682 +616043528 +776450836 +1422753235 +1907451170 +1637789969 +1784631117 +1618801033 +1284855439 +732404258 +2063953652 +1923463465 +201866136 +1370573510 +453966194 +215555841 +1322802931 +571698458 +703168304 +618146102 +1249443963 +1496844647 +1058996599 +1870202527 +748944435 +761167484 +1212056494 +864987464 +1207799755 +355608645 +1534135401 +1018936790 +971652173 +163102589 +294206377 +731619695 +1800892558 +2078837495 +202937081 +938264349 +663758105 +119407085 +714244166 +865624241 +1489980595 +1168210361 +1081180082 +665299878 +1739908819 +1784348387 +1283445981 +841869134 +1133709386 +194958932 +564588014 +1882653821 +956126417 +1776644508 +600157638 +16442524 +2132253153 +2134293039 +1035379314 +956421678 +149911980 +1329585692 +1688041374 +1950804538 +1260939539 +1890978455 +741585239 +1924697644 +2010385540 +1455829405 +642838238 +1352882487 +476556118 +1724018320 +2018182365 +68981290 +1360883059 +1154144698 +910850424 +347108797 +1349103631 +1475438438 +82278971 +157746400 +1104599299 +682436609 +174188924 +1089368804 +669246000 +1209568239 +2045790483 +819157980 +391670283 +1586348209 +622478870 +1652609822 +1329843016 +1364064109 +1429823818 +1192744908 +672409866 +2072662056 +398143747 +1148965985 +1649196729 +268842464 +1217947275 +862596140 +1422987163 +2128797699 +1209704938 +624607146 +1456752490 +1291983909 +782353546 +413868141 +1974420518 +956542470 +1503236945 +496182870 +18627061 +1401543780 +1315340850 +410297344 +840408341 +1937819720 +2062907166 +22767709 +1154400181 +1345247337 +1215512617 +1826810047 +1270425745 +1613656364 +828292384 +772138826 +1882498829 +2046239659 +1634734967 +1158002344 +2027553711 +696956257 +1782609490 +1336822553 +1988940166 +417479388 +1750690694 +1815877036 +1374021858 +1106443991 +164576258 +1392648920 +360504124 +1479917108 +1802946264 +1200912465 +1270253180 +1718369783 +1223680175 +277169713 +916133472 +291709144 +2103979760 +39075569 +1905365509 +784788497 +811214396 +1640380690 +683544508 +298465715 +650899386 +563614571 +995421972 +286025228 +1900437124 +836878490 +703504616 +1503644170 +505271878 +2077526474 +462604514 +669848136 +1322691746 +823108638 +2281596 +978154363 +2024021103 +1272534776 +549040498 +1100217630 +1549704489 +1465173970 +1391926775 +1506200601 +1504249539 +1149808636 +143505450 +167980287 +642705678 +827049959 +466446002 +1293605064 +1390664530 +1461867974 +1579630292 +1143618007 +151262816 +135651260 +499778529 +656534694 +65694086 +962383043 +1326382830 +1388385833 +1785491681 +1328664426 +219056548 +1662029137 +453715554 +768097046 +614763119 +2003420043 +85787368 +2006689894 +1362136997 +1590036907 +1009014882 +1505642447 +1758017195 +1651720560 +185208758 +76979549 +797841976 +1575873289 +1538847524 +229988620 +572007648 +1690110340 +365639880 +1071786177 +199161387 +431333967 +2034169221 +1525544217 +1819719800 +1672177254 +706724996 +2038776348 +1186722743 +1160440550 +659389746 +1801485863 +1016376946 +745177114 +1660692109 +231030295 +187730373 +522223344 +1736672742 +1945747568 +26460256 +1921881501 +2022727118 +824302233 +1350271142 +1414090994 +1054290853 +1922278790 +956717686 +1419930734 +846581319 +1155879073 +1851264701 +733266892 +533939643 +1523500853 +257960499 +1240664639 +1414793553 +1444683242 +253621541 +2074183299 +1098685457 +1269998487 +671876765 +611893919 +1501028782 +859607138 +1134117263 +1090217877 +657871059 +1160577519 +864615730 +533114529 +1984879752 +67403224 +1947205523 +891686958 +1989682014 +756439561 +164134044 +688779685 +1912318635 +2015398745 +1422046578 +298774630 +1391415950 +1680007077 +1539439269 +658725855 +977206671 +1793060810 +585425506 +2075892129 +915575650 +1257302271 +540302400 +269120784 +2116909409 +1674419663 +1359338661 +627296820 +687513534 +76470743 +1160411349 +524909639 +143873967 +960133224 +1416596597 +2133555981 +1716572786 +1580730641 +674852019 +1481407773 +1448645738 +2096898597 +1780182403 +692578040 +1629422026 +1172138024 +1351303895 +459145049 +817715186 +1936729401 +387553530 +1733290836 +1046548024 +927855930 +2002411621 +1015973785 +454791945 +1214266634 +1643270606 +1142305480 +1290737378 +656198307 +1667215119 +1434611345 +1616331532 +936328068 +1420683679 +1185420670 +369575061 +2095535698 +519344795 +1818220799 +2044950647 +152043550 +363315191 +1526889025 +1324181574 +1714619086 +1986034074 +2141896760 +1503864839 +226103957 +1727703949 +402929215 +1153959887 +1582631922 +1418903000 +1608751833 +649414908 +914689958 +603573665 +1940152286 +1570888266 +123305136 +1227279984 +1039736150 +1059633204 +500480015 +77673172 +1429208265 +448532065 +597017967 +1099945416 +345999064 +749061517 +1463260607 +1872888089 +2073243091 +1030396045 +1711438515 +2067656203 +386777236 +1937542472 +1647876504 +789706451 +944018712 +1083024778 +61125803 +405286897 +1732439687 +975815762 +1008860562 +1525108325 +399220380 +1132165698 +604904661 +1438956530 +44315254 +1105384676 +1516629702 +1473523519 +1553916741 +2113647669 +425985287 +1899915805 +715225538 +1889245894 +1625320246 +640984981 +772158291 +1189275114 +561157536 +1158935527 +979333938 +61550393 +1948641978 +1923352650 +1144575171 +2009767781 +181155899 +729531210 +838099895 +1190016461 +107155888 +1237320275 +174698511 +712060549 +528793157 +219013765 +1817445226 +2045422859 +1692537284 +1223878319 +2011586880 +2118522571 +976310477 +579328770 +1860284817 +454147075 +1220313751 +484959460 +1643422189 +1781471288 +1643894987 +475272480 +1843021681 +1445053317 +251141482 +840113204 +1307337451 +432297382 +1569644415 +2145437346 +1622313843 +1676800303 +1235273974 +1797012355 +241377204 +1764067131 +2016026120 +2058822430 +1662006343 +1561079757 +1135217102 +1526109575 +1532118680 +2111527579 +2105438346 +1244919850 +418191006 +1178268449 +1729879310 +2061613196 +812256089 +1226290650 +389402028 +507794122 +523860319 +640543510 +1347907327 +1831197770 +1072840892 +770068094 +1829151469 +547671088 +299384749 +916941795 +197199795 +540761953 +533525278 +65742267 +452100736 +48047973 +1626822024 +1587317838 +1574157549 +1011457057 +1551361769 +1532112247 +108893259 +1969552775 +562897048 +1838772569 +1883682323 +1375153138 +917579571 +125600703 +1882947260 +1441439891 +766144214 +1083370939 +1125154013 +1838985106 +1853439033 +806821834 +239172546 +5340134 +1723763629 +436372341 +546102088 +109805260 +502114609 +998202824 +157853233 +2128936633 +438037014 +1732010782 +992910042 +1989398783 +1116639381 +1101803301 +1811467910 +1679536430 +793092223 +1547666586 +907205920 +1710671794 +1673267289 +642669532 +1004628037 +291927855 +1726040472 +2129782051 +2130912962 +1431995857 +789120237 +222601860 +1437335992 +365400219 +658974202 +1983438080 +475205479 +1161088811 +834157256 +633058712 +1142541796 +1272194270 +217585847 +2135451839 +1114109405 +1334225228 +1089771492 +778093667 +866278010 +1882863715 +178276605 +1773483930 +1446051862 +1851543895 +268669815 +303196251 +2143471750 +1994710287 +285494654 +2126901064 +1279222496 +1074614892 +202019277 +569074840 +1440015111 +860993479 +405029272 +1915220590 +2022082290 +1239186528 +400795654 +1017140438 +363897150 +618381501 +1005108629 +1478006555 +1952606730 +2094880122 +108616575 +671401092 +1830260189 +286893180 +297401375 +1128828403 +2138437075 +566071190 +1432024655 +2134425178 +413297829 +1717519309 +2113842594 +1692520325 +644650553 +168378223 +114111518 +2084665664 +1029371702 +519140790 +1852402606 +903970344 +1758327319 +105714613 +1921110783 +2122224469 +724096114 +778735764 +1452747377 +529219196 +726132238 +1561363952 +1200620289 +408908780 +1848257132 +1498021664 +1537737183 +1839210560 +2064092854 +822278190 +1826152090 +329907035 +392313852 +1792511036 +2022427360 +1036964405 +1960889260 +2136538878 +974146422 +842777314 +508196021 +679065380 +1746747659 +119039692 +784779993 +1520374794 +93780513 +1508876108 +151626910 +1546527890 +2038095304 +877759149 +960408194 +1091231945 +1286667929 +661181679 +441769961 +676921464 +352908591 +358379167 +1499199655 +31577033 +688286202 +1891513507 +1824088069 +563229915 +780994264 +1637493681 +552285145 +1755140686 +332787348 +1060481166 +286722419 +2079535007 +1179520858 +1071502412 +1452426153 +1273301372 +432894872 +1604053063 +672345614 +323506529 +334328564 +1632753809 +1414738474 +1620996493 +146451840 +1856508436 +150434310 +499360431 +67403955 +1649633965 +530937464 +755690158 +1393663824 +207541885 +1318920073 +27174440 +1845035567 +1871205218 +1782315127 +30339267 +784202737 +2069037546 +2109874274 +1963723595 +993056310 +1414816779 +1089541319 +1425951183 +871386194 +1761886934 +1749457712 +1205714759 +1247157095 +1016712538 +679227604 +1393608935 +725737326 +829661914 +1892969366 +793141282 +331812231 +276423182 +1548831440 +1725476055 +483965067 +720267865 +1752650496 +181516986 +443989435 +1387481975 +211856253 +1228192172 +1309035873 +174246879 +1044432120 +154608535 +1589063658 +2133973439 +1580559718 +312966205 +1748376725 +1182533782 +1518680964 +848050172 +51762673 +50424920 +94175459 +777499999 +880086835 +1987144825 +1570641281 +1211899066 +116084359 +971989073 +789891474 +600049427 +1692256938 +395058322 +781566413 +2136246374 +1782540297 +993422667 +1216954898 +944092522 +1167669546 +113903370 +1098701057 +609249557 +100393162 +531777128 +922215762 +1848769887 +1714310910 +293413078 +549336412 +1766073583 +343837998 +643511871 +396089935 +1223924833 +483173049 +1966731216 +288340252 +599257408 +791236642 +1078231726 +1199306835 +336009932 +1473290048 +1980873249 +324772658 +1108346697 +826812268 +1541727557 +2052439219 +1994481814 +1655630927 +1003656628 +456247723 +1756024089 +1535433756 +1378463485 +1457310329 +1102261019 +1671876563 +2006646741 +720850954 +2015714562 +502674964 +1116940889 +1092155747 +985848013 +936188458 +1380495999 +1585105422 +1727425100 +311244077 +636928609 +2063435032 +1784534125 +470318210 +240724043 +745397174 +1297130478 +1782451600 +650352745 +1144128645 +1290598879 +1654009374 +1600376368 +899139321 +1041959482 +831356206 +208966002 +2144220501 +355749121 +68129095 +717587808 +223980035 +570804059 +1834528697 +1316135783 +1556652073 +623233507 +549148134 +994273847 +203174959 +860392212 +1631202456 +119126344 +497442689 +2101520667 +359850387 +1242839864 +1251167497 +2142301987 +1893192609 +247812494 +1285417218 +1399718335 +1848188863 +37072891 +294194170 +532061421 +246038893 +290931023 +887810542 +314167988 +1008518831 +1111790578 +884972048 +695563881 +280442713 +294140473 +1318797388 +829590847 +1288414320 +1521972348 +1689983059 +772133128 +1641098692 +39942101 +726170147 +2000949079 +1282781965 +1977337645 +1995767418 +1028490926 +77666491 +1133700988 +280725614 +1925855354 +1170773880 +574919784 +310433127 +1416812773 +865850807 +1198243670 +1730980762 +1874369639 +162550600 +468469162 +422449872 +442993313 +762609635 +1741247260 +1272584160 +2051023955 +1115735960 +815083572 +675673435 +609351004 +855025673 +1401843583 +462816435 +2137807638 +1231697580 +311100205 +1018814916 +1309364071 +1444801194 +1299540530 +1087735778 +468091426 +1874460314 +1398168905 +1884904199 +592827474 +448928927 +1468401313 +319713465 +611479527 +1936870475 +742163337 +1054472840 +551996462 +335926949 +179573353 +455536769 +1451662910 +994656925 +1131210205 +2061013914 +1849682598 +385570140 +376346702 +1840006588 +1617267720 +687446907 +711337856 +779148143 +2132248101 +2010878387 +1866883921 +452855879 +1737855053 +1117569179 +190276431 +183198879 +1566498106 +1658677744 +502912344 +30493986 +1448064572 +1245075681 +1084966826 +2000061034 +1581002631 +1264540179 +308114156 +885181893 +111713456 +1439324361 +798712159 +1961396054 +1824894501 +1175058861 +1653918994 +1294678573 +1862505769 +217773203 +2073826716 +1847270222 +81167942 +1793226990 +152642454 +1819022995 +763312521 +342918885 +2002221875 +182326979 +2001596629 +357650571 +212820965 +1302177553 +1602726253 +1297787792 +1154754940 +1036245236 +414844323 +1462869096 +1921427129 +526557780 +754709809 +572655640 +340470186 +432120662 +1747714502 +1994389181 +1726799235 +1462736623 +64678736 +1653142303 +1162523197 +145846678 +1298885645 +1315165651 +1964869673 +2062198166 +1658084536 +1819607900 +97041498 +1512197518 +29774824 +309862463 +666891423 +1632501077 +1607650255 +1821646363 +521262665 +2022494579 +1137031811 +295206146 +401568711 +1891741620 +867861786 +742038897 +176378634 +468092640 +588944430 +1903177869 +1930829263 +653623166 +1408836525 +945868813 +799469844 +560238522 +113550816 +616855870 +474953041 +1771635353 +288980122 +571994539 +1136349223 +318754946 +881857002 +1803240646 +1951256023 +342023610 +1477403362 +325035040 +217034541 +466951525 +620241186 +618603252 +211209498 +1488102973 +1360642149 +387588132 +1956195613 +1949586580 +143282354 +1739541229 +455726098 +1552118879 +537926394 +1255195943 +2112357401 +651477210 +1872051813 +439826794 +275628915 +13548287 +1011821333 +1411978138 +332303234 +1893678336 +1067735137 +136075609 +88218298 +397654851 +461110650 +305252839 +864606376 +1081351836 +923856091 +1075815874 +421971161 +137014592 +1463404007 +230683127 +2086601172 +1606686361 +1970224356 +394843623 +1011321592 +360667102 +1650039566 +976195345 +1012144312 +1374607731 +1416022140 +1287773228 +1388156018 +280359825 +552267718 +1720459252 +26554513 +1620002855 +1856534862 +114772811 +2017657706 +170161864 +420025650 +734780435 +1251513700 +1343881741 +1810596309 +1673484862 +1480896334 +1126516668 +1904167989 +1420013858 +585719381 +1726908697 +1814857481 +1597040973 +2087575799 +1317413399 +425752671 +952236463 +544537482 +1841774811 +92526043 +1932693501 +2122134636 +644793762 +1505669105 +1205502 +117312969 +1214720319 +115978313 +2134970676 +1384882183 +536003964 +722267463 +488912236 +1879885705 +385380124 +14913450 +1213298391 +1511896793 +1919081439 +485828602 +2097616174 +1498506488 +153202435 +1547173500 +1438598639 +1470615835 +1972926171 +243351454 +2015153317 +1667217334 +335877498 +1800363170 +1641868322 +980671260 +1158548628 +1643073824 +1097984229 +225785299 +1759052138 +1085471257 +1610667483 +147572454 +1807738720 +2099579719 +2027458159 +45635197 +2114493169 +1093272903 +1557531990 +1886090960 +1579101505 +1507664516 +1237113800 +1732303940 +907354368 +528228791 +1055436127 +732796891 +771580245 +923105797 +252530577 +1107457743 +575985319 +1894398900 +2088129003 +1734533947 +1389989076 +1038629585 +1960319247 +1001557566 +2124100842 +1423503082 +1149130020 +1784355915 +1375599153 +1029104532 +1829991112 +1342608674 +2122377435 +1240039454 +1081215986 +1553995292 +600220322 +170846138 +1138815584 +1507574691 +699074929 +46768064 +92887934 +1470655174 +969873861 +345418512 +430629270 +1545859180 +92333764 +371274625 +1132909480 +1482322840 +1409904210 +945745079 +336396759 +1386521405 +221764513 +1485526779 +1023393672 +1597363666 +367147663 +705901136 +792488692 +342041450 +1945940590 +1873704678 +1896036742 +398677264 +2044550816 +887368679 +1906251955 +596142097 +934136743 +1999139890 +2066797271 +1904010604 +197074754 +349942893 +1302386136 +289408518 +721217519 +287811968 +1771731358 +2131121729 +1233557047 +2108128117 +1370159486 +1455321560 +1446171249 +246069510 +905201578 +1813318912 +951970646 +1697690270 +7876715 +750427588 +1423911300 +1903913457 +1149104853 +1320978468 +643798488 +907873160 +1917120565 +1577935231 +759529402 +1836434189 +1334462187 +956604156 +38893434 +489364676 +1246012674 +760110953 +777176644 +870260385 +743749035 +2010733692 +830904854 +2113908521 +1318571604 +129592455 +212494384 +76289535 +1942911368 +1164465030 +1773979805 +1950788083 +1914892619 +1050407458 +1707217892 +916513824 +223902278 +203532733 +1824386984 +2141022844 +1781467964 +436432739 +1829973385 +968446504 +1393036895 +1868866819 +1457811180 +491565922 +481494125 +87504176 +1361826307 +1225243160 +2098237868 +45247513 +1191668033 +1269325825 +174839969 +1404162417 +1345615360 +2117751337 +421143800 +972111517 +1921055772 +188552771 +2022518975 +1480790016 +1105066595 +98937606 +1684322749 +781969931 +92476802 +1318307066 +1218402670 +1922450187 +139269922 +463955918 +1643833358 +1597081102 +955521840 +2125327483 +1684585278 +169864499 +1203086995 +1635339499 +215112012 +247271381 +757181676 +389951981 +1651433798 +2102797036 +360219670 +2072577598 +927424905 +133791794 +113646721 +802460233 +1614581811 +1218713316 +901397839 +1151420912 +2000683248 +993874641 +322244330 +1071602270 +768841180 +461514252 +1535558188 +265190890 +2058595354 +343596380 +243034726 +1595696985 +513460879 +1446121721 +1083552836 +728572892 +1693393102 +1840734512 +1118524873 +1197343253 +1796047900 +1478744544 +1122437203 +575989157 +1612536338 +1236083925 +1378449390 +1079634501 +307313593 +132363581 +83571766 +160513193 +1126238222 +405816096 +1232115464 +1895079402 +867330349 +620190004 +12786645 +778442055 +963786385 +255821371 +226655392 +1477247264 +1701943092 +1310208228 +58336508 +1247852547 +1003459092 +1176861382 +297712152 +652023344 +508122278 +1420149355 +1228012502 +2120658616 +508749632 +458978244 +1052809470 +816063226 +591341826 +1136381236 +976576419 +1717580048 +1542197332 +61208235 +1465175803 +262044033 +681398240 +1477962448 +1040486089 +1645184625 +1733783819 +1267141481 +974948241 +1288243263 +429866062 +1033284750 +388612162 +1433325154 +62662484 +686324314 +2085348499 +570784762 +2106473670 +1165877353 +543959730 +467739654 +1624855597 +1596769200 +1283802880 +68713775 +585666788 +112895652 +1786293824 +2127864121 +174103887 +1103985979 +242424506 +855502127 +434464779 +1282910595 +353203104 +20764950 +402568429 +1328151346 +1309008213 +832434491 +213952448 +1697620376 +118275997 +276614932 +236461042 +56140848 +847399694 +195451064 +1222018201 +1391359424 +663190719 +699390151 +840644977 +1946993599 +768103926 +1426311765 +2059889251 +406914102 +1406692238 +86509491 +1510900081 +1649116745 +942011618 +1945364860 +784543692 +1295214723 +1966129810 +1187112121 +475882421 +1127654376 +2019546612 +689834869 +677791104 +2137822610 +966449801 +914252146 +46479810 +1813849495 +1109703211 +1268498012 +1057725271 +1772893930 +1967888163 +1898370248 +1572403881 +588508441 +1177198366 +1484809485 +995422544 +436406956 +1571318976 +358838977 +2085523701 +365846946 +156720190 +722583746 +1661061669 +2122850000 +1909695867 +2136944090 +1103020728 +1781758832 +679295311 +1780811832 +1772097794 +1645745112 +547580331 +1818577604 +1312110959 +1657283542 +939591968 +222352583 +1282693824 +759996483 +2120722831 +707614057 +1348504925 +1150437549 +44939894 +196443821 +1586844506 +1616258870 +555282798 +1524884559 +1982105817 +712002988 +99984657 +1495683838 +687369341 +2009680525 +1485144281 +1790390069 +1643955709 +16955944 +1423718254 +1268569855 +1662701057 +1971298585 +939663811 +827328368 +1481098479 +1879255780 +1049680951 +616308655 +491768615 +1022920135 +1323922712 +1840273540 +25874036 +1368862607 +2036717361 +1612718542 +837637829 +444516512 +990119454 +672259998 +1156519500 +1090104111 +20460189 +1843888841 +952300988 +1505604470 +1486795263 +448773049 +1522560414 +763029869 +1717342904 +1037777823 +586844806 +509523068 +1865106192 +2067943285 +241295200 +767303495 +536768292 +733063815 +1790223630 +1860691004 +425853708 +1816097667 +1082069963 +315087421 +1281332561 +1919707793 +759603933 +123968367 +444484143 +1916123434 +1214072479 +464944332 +1612528627 +18889819 +1970548802 +951840242 +467662869 +1345625569 +1714870111 +37522125 +235919744 +154231269 +547045193 +2101025936 +74690906 +788340393 +720845784 +611459198 +1521404209 +363585766 +324666555 +1947257917 +32199785 +1406736518 +114861690 +1313532347 +1178960663 +874465624 +1437500714 +1623444807 +643105410 +504089545 +2088389139 +108150389 +522979365 +1911454294 +1059990632 +990642234 +1109596215 +627377095 +1028164359 +1345515959 +781608365 +1575209553 +1299058248 +856299271 +216066298 +2019904032 +1467758470 +1737470507 +236006150 +1792425025 +1537244776 +268205936 +1051677895 +1652106467 +1581738283 +83154911 +379088443 +871755349 +1706599718 +1022193853 +1375844895 +1647505209 +1130344242 +1898824260 +1411475855 +42851226 +741982846 +373588422 +670228322 +1770147205 +1719104382 +1451836687 +1197873110 +870678982 +160652310 +1413939409 +743099366 +1628410780 +1003926268 +979105516 +1273352157 +393687397 +1247311452 +177546405 +2045793864 +681566087 +260701316 +277398659 +1553321437 +1967301034 +1299592512 +781682684 +1467322595 +282453106 +533023296 +731314803 +325304333 +1275006142 +1104903225 +995532655 +897669699 +676523959 +299885694 +2095542810 +1547202941 +460538004 +1361998571 +142818659 +2088948785 +218441191 +1121924176 +1214817294 +612128588 +221751980 +1392363699 +510438804 +903318068 +1653065015 +787837463 +309155857 +1472882401 +2087429975 +1090838541 +792721349 +222399434 +1623861837 +1524036152 +547703767 +751384331 +481455729 +1543236422 +1649054030 +1157979689 +1843122116 +1597113192 +557698982 +156176472 +811628115 +700517642 +97641609 +1030069307 +1822441818 +1312458904 +1642197895 +2044193798 +557338955 +5153052 +800028218 +62920323 +792990515 +1109184075 +1535802724 +732936843 +52538968 +181040425 +955336277 +1676400805 +1705076577 +1503040044 +280301488 +39048659 +898792818 +1929355519 +1197028348 +594431286 +1378985063 +1754727330 +750607758 +43129531 +307761324 +848249368 +1073198838 +2130203142 +13224624 +567913085 +2026913293 +570563579 +573066137 +679457863 +633483902 +1366056653 +1788641939 +21802979 +2098993496 +1841180907 +202843404 +906846125 +1370098065 +1907919982 +262402521 +1650399553 +1946968641 +1161195339 +1432271424 +996513341 +1755626625 +663772840 +603757023 +358750735 +706902371 +911518348 +1207000103 +1780101209 +894237842 +1220224727 +200530646 +773667487 +1790788307 +773596784 +1453125351 +276788561 +2139653437 +1094283642 +298591540 +2091163285 +787980901 +501434945 +850525762 +10595318 +261871279 +1112928283 +1660994872 +61356272 +126639974 +945782648 +1057869613 +1882266599 +1609555488 +1661626636 +93533686 +168974211 +425661336 +1300533790 +1949075420 +1319899179 +373274869 +2122419 +2093566666 +16579528 +775719203 +1399208369 +293368090 +767888992 +346008363 +591959630 +711568629 +1133989265 +1093394575 +1562094391 +1144584583 +1355265854 +527539026 +658095807 +1416622126 +654179000 +1603878456 +327008091 +388961951 +1065950296 +1988634728 +482495637 +1234924508 +266812416 +1783029427 +1036516280 +1586711595 +8820649 +1038638699 +1532794614 +25400177 +1814357902 +784519335 +318768267 +434763246 +1130527699 +910727898 +1146331875 +117033316 +2004122473 +560942618 +1261617899 +1211904680 +1088481644 +1919713707 +481043158 +1742660644 +1376108515 +808051250 +2131622595 +294575163 +649202330 +466634585 +1529499671 +916014746 +102180364 +418532304 +355242694 +111001013 +1457171003 +1888037308 +136401191 +1124045258 +525072995 +455169458 +1558808504 +1655600694 +1365897356 +557656732 +1772634010 +1222536182 +1118599350 +886768262 +286957214 +59597347 +658998321 +768000372 +1802257991 +2035106836 +1576051622 +1786396939 +182198351 +77770304 +105547876 +1711698023 +993785051 +207728240 +2130230327 +1349027745 +318729254 +1439917682 +1089581405 +455130445 +416479292 +1614654400 +910299903 +1975287797 +1122771447 +128713612 +385460881 +747921809 +1351249794 +1504060231 +1634690071 +1638207008 +1563657578 +146204744 +258723732 +1218431922 +33827932 +1834775355 +857345213 +216026284 +1912545659 +962893089 +1927724307 +758847062 +1170621329 +1910470986 +2107874807 +1489350583 +1202905020 +1049972564 +1944481028 +1619384313 +517143317 +707297284 +1447188462 +1639914764 +836010896 +1832649343 +240352925 +39777042 +1189225926 +1875042997 +1677984050 +605399857 +2021247741 +1936707782 +1823831779 +2055075674 +1623999489 +533693344 +123618310 +1389061501 +1496586433 +2051342617 +424915 +519724114 +1814329955 +2108299723 +2009074698 +869751327 +1010788639 +1806072078 +341651992 +1527931956 +365885714 +1788840454 +1020363072 +1201896610 +1474006149 +1260715998 +1241673652 +515748428 +988275347 +772174054 +1121148285 +862039440 +561398189 +797496416 +769631466 +37914030 +1331189760 +893249776 +1426975531 +680292545 +797108745 +1427400447 +1200016659 +463955052 +1388216522 +1061607709 +1333706380 +251521513 +720196140 +1675358372 +1779453470 +1086081854 +1316715179 +652332894 +140494817 +643237680 +1913048892 +1382168469 +1158986108 +753840591 +6858876 +132650745 +1615880032 +568257065 +930147161 +238027850 +606171095 +113853273 +1131277627 +2033146627 +794145818 +1928386372 +1313063426 +1994162478 +244857777 +553796300 +908286539 +1578564157 +805317813 +1628482679 +1106438881 +437287635 +567080886 +275670412 +1089620530 +707575703 +918908093 +855185774 +2089744172 +2077894201 +1609026366 +2096603048 +63061299 +1077422750 +517376465 +993208460 +1315450600 +1123547561 +1107061734 +299244579 +1009210540 +1901207552 +80147304 +174790318 +1747886382 +325005081 +728586618 +508689274 +1903569238 +1533904431 +2137171953 +862524471 +1971192067 +556769191 +1138194884 +913328949 +1264344894 +2057102977 +1768514723 +1206605419 +1987513530 +1230057441 +1155724819 +2050574829 +159996543 +1673101285 +896299642 +1475447144 +649165198 +2003361376 +1774691723 +1658375738 +1757085280 +1854839027 +1833166056 +1357488015 +32360460 +414269026 +1866177289 +1935929698 +1948173457 +1855865594 +650970522 +1771881876 +265151138 +1789165406 +537727177 +1529496032 +1698784735 +158758253 +588617803 +1538814617 +1388815694 +1744342623 +1441905799 +1548812238 +1269960260 +190721793 +876775734 +1919125458 +46599521 +503983809 +1430017548 +1803684801 +211339189 +1115699956 +1013689168 +243699649 +1529968982 +732382809 +32145700 +1330658791 +440764756 +683116222 +955057020 +705915894 +324797980 +1492784197 +87928278 +2023582715 +1651542450 +676546082 +1414913684 +892874497 +273405057 +709335835 +294203087 +1543365317 +900057628 +1170978821 +1315007127 +946657149 +1674962630 +597541027 +602858303 +1886301819 +1713240983 +1616547471 +2130001469 +1095726317 +201446633 +14663521 +278901460 +642211389 +697779743 +1233958480 +1348127283 +1022577723 +579259030 +1436055561 +898676790 +83317832 +2112601643 +166106826 +976192329 +238523052 +875442662 +1270395416 +1781888369 +1775500290 +293890589 +949411848 +574673792 +1968853220 +1546952875 +1177532095 +1707671391 +1112710210 +646595918 +1690189212 +60952879 +848042551 +1704852733 +339854340 +1490253940 +255148828 +1573812820 +690897575 +1277726551 +5588202 +2126953137 +28919693 +88906035 +2092071132 +195026520 +1065098364 +183110537 +1070469182 +188010133 +1964998906 +698485824 +481900722 +766927107 +1273159616 +303270294 +166396334 +303208063 +2010941686 +1279106545 +949803982 +1553647250 +1340059424 +1797846533 +1111016336 +1679913764 +1140616826 +1366165164 +1106242937 +1831514401 +496408068 +1111831139 +1810983890 +525327761 +1200737174 +1755571375 +720354281 +118351891 +1938681912 +1790823463 +306362024 +1756197170 +341825640 +788262746 +375640629 +1614985256 +1091533041 +542036964 +1918193320 +954991079 +1821143509 +720513654 +361154681 +1013719285 +370876539 +1472171017 +546149402 +1511493365 +690852534 +1652392339 +1195524119 +1187260602 +616739830 +859024361 +1712588363 +1817477005 +467112088 +285458997 +1935828896 +258310352 +2076282460 +94707272 +2014507523 +270624452 +882970018 +242664504 +1885609709 +1974503059 +784701468 +1656319381 +782010490 +458361329 +229349387 +1143165172 +1472080615 +600225926 +467852541 +2018230017 +2111719292 +1158705075 +1523138708 +1159759763 +198482029 +2139878538 +2018784124 +1911070393 +1809871895 +338412565 +49045742 +1598217143 +596722917 +2125328202 +1692924415 +463746792 +248469007 +428410786 +706411297 +2134078716 +255430197 +1491112765 +1642914449 +1037440688 +1949474095 +1872263836 +33122212 +1274071062 +325006114 +500974753 +1144817431 +289241758 +1659679829 +520472491 +1449001521 +1858161858 +512867381 +1320301998 +1621748603 +175255629 +1658714563 +1670794345 +1773472772 +107953832 +1648638900 +1318913540 +571700625 +1897107907 +1747324326 +1278111922 +1883702975 +2002754523 +621741039 +1379133776 +892711563 +423731486 +1103913964 +925833775 +1697802548 +1428920078 +1426808529 +695136331 +1718161837 +939004710 +1215608822 +1019679710 +649682920 +1728476204 +192498060 +123947876 +1903731833 +1851212623 +1794742221 +1529720957 +1959166456 +1295897473 +701150849 +383383433 +1045521732 +300991527 +1661495355 +781741059 +156262403 +135752746 +13391187 +1048973966 +559484233 +1117305151 +1974807742 +109803133 +398741582 +1254132623 +804939465 +2116903419 +45653685 +2020548287 +989099481 +695336605 +1601540843 +1181597542 +819284481 +1357789028 +885326517 +466543055 +740026338 +697009325 +1762440528 +1441177187 +1080392758 +660478613 +1742168715 +594404465 +1442219672 +1898431118 +730157212 +1455610860 +799921436 +1289641445 +425432363 +627245530 +1399444578 +824173945 +1881378153 +56900395 +793593716 +1927031838 +2077448683 +1782693198 +474884796 +1531505878 +816807092 +1294169277 +741811259 +1702133609 +1760712332 +1481837597 +251659287 +1375669213 +775531136 +1332052045 +2036147826 +370216203 +1926456511 +1330883850 +121163673 +509130075 +639011062 +921085110 +1798771520 +1064443426 +1548330640 +1050732450 +1888617371 +1282225146 +1107632846 +534727440 +1061773336 +1037597881 +169936990 +1536658132 +421620111 +986744082 +683343762 +1163431370 +541394043 +296572446 +497785319 +793053330 +1672241659 +1273316456 +2125105376 +1560905837 +1643532659 +1904078239 +744306040 +1764696333 +265724666 +1383317102 +538297795 +2064496186 +300276880 +2086628435 +967744988 +41410604 +1221369933 +2075377834 +576138044 +135659622 +965492067 +746075034 +1672317754 +1387112179 +1732819116 +208177868 +403059901 +126729511 +504750315 +900845221 +919782842 +29508326 +26678029 +897404570 +1590414164 +1670210688 +653999161 +187236556 +1287423373 +919723827 +1570553658 +1825721168 +836736365 +1870830539 +1764865956 +1804481353 +1912241143 +838752241 +1732375540 +340895539 +974411863 +550383959 +1086970573 +499245970 +1937496138 +672306041 +707423838 +193072392 +799035552 +1212174153 +1093917613 +1718818394 +1241682480 +1120595642 +468739316 +684612996 +643322682 +1122738477 +871849552 +1930746056 +2042462304 +294919562 +1608983576 +731715021 +18266453 +1226365884 +388712727 +1930507596 +2065118126 +2121088267 +123919487 +892046341 +523988578 +1210890060 +1391292311 +314001069 +1883196101 +2098716150 +507073461 +534748006 +1163406655 +1600991074 +106082752 +257605487 +574103068 +574822069 +942218483 +1217425750 +1697560546 +1814068035 +1000688158 +1592539203 +2108987598 +462188087 +176770576 +2127254051 +1688553971 +565483303 +1910278000 +1606188449 +539087922 +2034197487 +350751143 +1063076501 +1097603900 +1742043454 +1377077570 +833316353 +1693275956 +1884151031 +1368064359 +709198964 +1337658457 +1474147112 +966804451 +1911761525 +2048969181 +1909022935 +981703627 +1599046079 +1575607322 +1982391786 +1044101634 +1537111272 +297096225 +1220872211 +1516881676 +1985650196 +1786355514 +1279676028 +1444354998 +177959789 +1166389867 +1795106141 +1241036290 +116510119 +1389665947 +470630212 +949826473 +935458256 +207297595 +170407184 +1644657220 +1544956052 +1644554296 +463978023 +1309233929 +1546039829 +225517310 +143453908 +997602261 +1801124633 +2125845694 +2041703895 +1190752257 +275458271 +1115092458 +560150285 +113624820 +753964325 +1839826313 +1557979818 +931924114 +858732533 +1205602311 +25476756 +975242652 +447784610 +496106968 +1925069125 +1383242866 +703404563 +2095476310 +880416438 +100876967 +1592546958 +1344394462 +1410110896 +991103140 +1569911772 +1553564804 +1988705401 +1223552757 +1531926851 +1882925648 +266821367 +1807385122 +850534459 +826971652 +1921009942 +1604498784 +519314318 +1331506112 +388939250 +1378046851 +389624775 +414416006 +205805855 +837409386 +910522974 +2130874981 +73168604 +1613927537 +2078867643 +953585043 +1714804504 +1523930953 +150495857 +977431752 +367550445 +1720407629 +383512908 +208772198 +796476739 +1915439759 +2091697847 +1063298106 +1575341234 +794748658 +1890269758 +1348867528 +251763794 +262100428 +532889993 +640703044 +1640147279 +922514768 +1055119050 +1845953135 +1759924154 +1965642024 +1829344468 +1833092759 +1432085913 +1760728463 +639194154 +999406769 +1137175768 +789690011 +1976838521 +1504726214 +362613992 +212867781 +1713498412 +1159090731 +2128307541 +1657712611 +74905189 +1556165127 +304977621 +1965174948 +757549007 +556741415 +79791728 +1290439000 +1197444459 +1719939008 +65470121 +105079861 +1418408495 +1825394275 +2070721885 +1100269315 +1511003386 +1355324150 +713514130 +2713892 +207247271 +1850689898 +792403903 +36602144 +1207932464 +1155017896 +249469926 +773947229 +166624979 +230293819 +284176192 +241530169 +1786458946 +589153814 +59221469 +396524305 +1145895229 +139013197 +1686963306 +195856041 +1858952205 +1752433427 +300935902 +1129877052 +1430344054 +224174140 +82662719 +793863793 +1579498290 +796176849 +796577685 +1786745562 +499383100 +1588981589 +1823347706 +1707315564 +596515837 +2072817632 +333779145 +763140816 +155627803 +617955338 +1004670985 +1942086749 +1207109152 +1063892454 +191127407 +205520733 +1202905652 +1878090713 +401376774 +914374209 +1483040492 +702312677 +2044251262 +765900898 +926486817 +2126913981 +1559764691 +358501459 +775607183 +208858729 +2145247021 +1274990283 +1797840318 +1821111080 +834822199 +246872507 +1746445064 +1168601345 +1010013323 +1902072868 +1786556683 +2014684309 +1696675969 +846182187 +931093115 +1887803376 +1051702920 +2133998767 +1618410441 +1453079695 +900889329 +953967285 +7908724 +797656943 +1719868184 +934395541 +777087276 +1132149227 +1292897000 +1552694459 +1341007956 +1290660374 +680201094 +991364626 +964287806 +1515023294 +1238237133 +563249222 +536140991 +100766809 +317838442 +175214026 +2115451118 +2014514412 +1021396213 +899060585 +1754834140 +2073099133 +885575705 +1225760934 +1378695180 +1786465034 +32244571 +1386603904 +436638329 +1752112755 +173515797 +1213725605 +736778335 +1466412798 +618936417 +2077786291 +609589524 +1299137511 +921667270 +1573877330 +666677157 +12420755 +2137126552 +1202818148 +113187564 +307481347 +1378032174 +81155034 +174512111 +251944739 +980215620 +1929346251 +177560225 +1865791325 +1007623537 +1556255405 +1504772711 +1039868109 +795375662 +1941411040 +644497216 +968891459 +1007652997 +1381275551 +287820609 +1626589414 +1311578195 +897410133 +778243278 +85761817 +323803815 +1444920435 +98182572 +313446720 +500254936 +211370137 +620928067 +1878287110 +292525171 +795440178 +2130231850 +1272740791 +577302781 +160308427 +991048468 +1584926319 +1716563832 +348337531 +477310780 +364455846 +142264923 +1121807996 +1333347306 +1149917921 +355599900 +1621167915 +629023687 +1667178095 +371094401 +1407266965 +1752939912 +694898216 +704703753 +1851122484 +1008344936 +1204958689 +2062492621 +1629273003 +935762151 +207534145 +277229533 +918510353 +1480274936 +854532315 +1078818780 +323839757 +291974986 +647898965 +672177288 +769285766 +1012354811 +814442212 +1891093762 +198218469 +1964360133 +99210014 +1819386385 +445900172 +1766388109 +42997138 +1853167138 +1371844373 +737895354 +410387243 +1075483210 +1746240291 +1615345932 +990492183 +1228029646 +403624435 +1198026328 +1505259180 +1322134789 +530817617 +212307847 +253469921 +854657374 +504282833 +901368886 +1526834662 +1273568599 +1913723698 +193793226 +1017178713 +2111942167 +10669711 +1116388728 +1783844904 +456569884 +735293189 +1826842042 +162253374 +2107137563 +417253749 +572640617 +1035137125 +16010392 +40502901 +2025629308 +1244040038 +444127336 +1076171989 +601815570 +1766262125 +1606989606 +814123417 +2019732047 +314163332 +1318406250 +773617285 +1840997994 +444491201 +539857335 +2034791221 +1461669915 +504315855 +2045460932 +430574995 +140677111 +354547168 +1165868184 +1967519154 +516800542 +1125522099 +237289255 +1089441159 +13175576 +253299647 +1129944060 +2038804885 +1497339685 +1574071397 +967493226 +2099155256 +1192849874 +426999184 +765795025 +1065098273 +741162516 +2084201276 +1838715559 +434676862 +381208829 +231089246 +321984435 +1842878744 +735405101 +219961720 +125970091 +876082213 +574508888 +1291838276 +696117719 +1091309431 +269876727 +933406974 +33266942 +283052304 +1186706621 +1163211003 +174373541 +536562658 +589798752 +1141866767 +488234266 +1782648626 +1568865951 +1254029292 +700263252 +162544819 +1190746920 +391495163 +597221681 +1571955749 +622584409 +919206117 +1267350846 +1357989511 +1139167837 +1393320937 +86588076 +1713676725 +537675565 +782705795 +657502508 +807552293 +1716112769 +690769451 +1090604597 +755335742 +1853980454 +1264978138 +1291898400 +296295558 +259361257 +1780132667 +2078944184 +1828227208 +886678311 +631723788 +1990772027 +2077425231 +1023218951 +440510060 +1501897332 +1645803361 +1359716177 +621764530 +856309224 +351400366 +2015085468 +942897300 +2065077092 +405277385 +1725603095 +575095952 +1212829678 +1294232216 +1265865403 +155950627 +2049567958 +972362209 +1420928765 +1193982710 +1268657767 +1680290022 +826631729 +1200118304 +1361033582 +1713310040 +1831842092 +1204321961 +1643251623 +707577396 +1644832022 +997665308 +205897109 +857064551 +1619429838 +1062206333 +1208464918 +1487031658 +2005103633 +1126058362 +1892309044 +1583223080 +1701154314 +957655074 +729971648 +819536070 +1113605702 +632055958 +1791898279 +387050819 +1826038668 +913072399 +2067340842 +505186750 +2113190703 +1280890776 +71013142 +1797549147 +337729090 +1714264766 +357642895 +1982561112 +564446426 +563540004 +692142015 +36392616 +1625746337 +1900606933 +1523424275 +1483366322 +879181647 +1268249671 +919105754 +432852314 +78421097 +1649077402 +1252388384 +1192026799 +133649712 +896803015 +1579077619 +1959688381 +1809875414 +1498934813 +317391483 +1775582469 +632341941 +388404625 +1425647969 +970071031 +2102669391 +1783290864 +805148495 +519632169 +199347221 +1497290511 +556024786 +1825093558 +1250413796 +2079449061 +1160976233 +2129595444 +1200215084 +2080081987 +414964110 +1278636181 +1581675742 +1667352494 +323179333 +1715325454 +416671861 +1902256952 +1527530187 +79063628 +1253708117 +1844921670 +1854646097 +1886050058 +85842648 +1132810418 +708637442 +41028391 +768617635 +1513785937 +560660561 +967964856 +863592800 +1116685347 +645574766 +2114006597 +1048650760 +1806550999 +2096118393 +101382196 +1739149339 +363598855 +1380018377 +1173341433 +2030951349 +1703197710 +741183239 +300139562 +1457971014 +121229779 +379203190 +564195483 +1966151449 +86365640 +302761894 +2051994097 +1219176058 +1011399336 +2093022489 +1987793693 +377701625 +506199402 +808274901 +1241294426 +1622884749 +1453849668 +1207817375 +524051861 +1112917019 +1156452120 +625434057 +704582710 +1520050975 +2005452434 +1877924143 +1403518676 +1561166497 +471623735 +1703658238 +871653863 +592853514 +2082861429 +1435849347 +411521315 +21743421 +1738611241 +316031765 +1240919479 +602526929 +261570606 +1081229525 +980228554 +767770008 +1889504426 +74039332 +243171109 +1195870446 +1281856707 +767222970 +161303818 +290825179 +1392657027 +865886528 +1810876154 +1250625813 +596327024 +1066911182 +664308662 +1067950759 +623085773 +1535962526 +1660804273 +558463554 +824328225 +2072325588 +580206975 +415455818 +240873705 +1821126454 +1017982747 +502444311 +754872331 +1998211301 +1270214319 +496893110 +2072250634 +1513385428 +1692763556 +1206623693 +133124750 +1854067374 +1497448873 +1525781777 +572470255 +1160841379 +628923943 +1168797279 +80268914 +1293232605 +89264390 +703354687 +681711483 +1750068663 +1261818241 +1506039708 +1674910603 +1842025216 +1921495526 +1915784309 +1515668022 +791994625 +270744972 +123056706 +642722279 +1540959292 +619949816 +567489265 +906861072 +165229724 +1774112958 +1039985823 +2019297099 +1124078183 +418283952 +444283706 +137435915 +1047207895 +1613080985 +217704829 +192956853 +1702345375 +921059516 +874668336 +1304930390 +35394109 +233224397 +832357345 +1877419325 +7236275 +600658006 +1245603699 +799230901 +871402979 +1368660405 +1441953180 +264878623 +1988610221 +2009442445 +1171739695 +6356298 +1636071755 +64241870 +2025653397 +612666291 +482525823 +322453455 +750102206 +1529733718 +1935534440 +967807035 +1722690571 +1490396167 +1888866551 +449875260 +647842909 +1924260660 +683099657 +1480200254 +1654196337 +690335932 +2080858261 +752316388 +1489566833 +804777592 +2120976794 +784036365 +1069656215 +1962103367 +645995162 +93912262 +1968459665 +134583270 +158154133 +1846629414 +747249561 +640679956 +21599221 +1497351767 +22930026 +1957133661 +317675154 +1745620598 +1300046180 +59058057 +48012210 +1947889089 +1983318717 +731111867 +1280605696 +1490031406 +1421447799 +1213980309 +94864146 +763530985 +2018757901 +68357292 +1547567350 +940930468 +2030460660 +46078865 +1034842730 +1851436677 +180662135 +1192996863 +1550582444 +927911696 +1833676819 +1572181665 +277779815 +1856606846 +1381831679 +595454969 +1454743796 +534394211 +654513026 +1502756006 +334799653 +490348095 +86384225 +1615405349 +1980379501 +1507832024 +681902010 +2075243647 +123879361 +553176263 +2143600940 +1671446712 +1494106731 +2026577952 +1717525577 +381465813 +1730530981 +1898187712 +1574462677 +1133629777 +678615760 +1260655848 +558327795 +956395575 +969779046 +1940159474 +1551850544 +277039194 +327070037 +58879922 +1779795200 +661869690 +549228017 +1866179425 +129791391 +382123870 +1226527802 +811693401 +309883869 +1350407163 +1364869664 +306001161 +874370227 +711492747 +185095465 +444412156 +1092958561 +1915626447 +195116220 +519937590 +901772576 +873731980 +1780593438 +1460100371 +1830127555 +602888837 +1252776197 +1234494451 +879928031 +1579846235 +1293374373 +512239584 +94232277 +1842602390 +230935361 +224023669 +77242612 +1457463163 +1035717070 +387126482 +660386679 +253103087 +693127643 +1534756906 +964595834 +878223109 +1979169063 +2057554395 +646365908 +26801635 +430008337 +1548138484 +900533616 +63118128 +860755208 +583177523 +666006965 +2113531405 +1817671975 +1545934996 +1545893992 +963562700 +2058174580 +1640126270 +658681443 +141626294 +1864149939 +735924055 +1599089457 +752383361 +1123050537 +111992488 +1005486448 +1816178181 +1646749395 +1970082283 +546917642 +1478434810 +1880153030 +1193283550 +1505236445 +162677720 +593938386 +258286413 +225795848 +1454693594 +841463937 +891802813 +1420741352 +511652264 +290254161 +819151696 +1475214964 +200945094 +311794318 +2133896407 +342571388 +28460609 +722336815 +1941660845 +780843971 +1845387352 +2053653334 +1786330419 +1514081885 +1552919081 +1608929054 +2060999527 +883870243 +1341598437 +1106799429 +241623040 +1504276157 +1700737816 +499909454 +1730072005 +1007947762 +1341373391 +474391170 +281205466 +1853025655 +764645331 +1100357163 +1180756971 +965590425 +1412151481 +1167169731 +1308161813 +1440612091 +1889506546 +1102339011 +73972414 +1587410250 +1008508697 +1860302833 +954008488 +413944130 +1321748240 +867524367 +1297814373 +515863029 +1974323797 +1539437413 +2020139186 +1527577965 +2039346867 +1602727543 +388042079 +1233236610 +2077118713 +669247546 +938778617 +694280396 +1769604709 +2119535589 +1659870822 +1034272542 +1139221672 +820548987 +327400985 +881244570 +1922887998 +401373399 +321171172 +783913047 +114192585 +1275179660 +1197857177 +1435940825 +2142704028 +348187902 +1951803854 +1969544177 +1887625316 +1824459392 +1349638494 +1779488535 +1279703287 +1737680573 +865241498 +1209338352 +259444471 +1804020115 +1903618748 +2029049180 +1776072056 +1416005922 +915838075 +767810080 +89071262 +1243239060 +1649054650 +2011959260 +1644612460 +1970225823 +648388660 +1758805045 +1097921835 +1846245837 +1047262222 +1093142215 +46950092 +851582428 +915202744 +1934575408 +528558172 +117357590 +1566580295 +1808261459 +1855038164 +284338145 +870116163 +2114482635 +2088358261 +626251263 +1996048168 +1716946669 +2042257186 +764402595 +337273102 +2131328448 +2007641655 +1986327752 +1995804060 +1504770467 +1809069927 +496709072 +1116091864 +759508115 +195471262 +15870438 +1852650330 +242421354 +867452866 +620369427 +29513114 +1396011038 +737727017 +1596093409 +1056788849 +445281533 +1880431555 +1926905012 +412280521 +1821306168 +405672628 +260845041 +1390769189 +300446166 +1025247636 +1728042291 +284290966 +885405643 +1566886396 +132611378 +242692463 +1228472675 +629320451 +1358784327 +1987980790 +824791713 +1374654766 +1693147473 +1067213067 +94623984 +166033252 +1096726181 +1490635023 +903760269 +545335942 +399940224 +1349041803 +278283849 +179361589 +1761322324 +2099590017 +585034217 +2022167365 +1342875559 +885480383 +899931353 +923434202 +1169771349 +1785336996 +342836950 +1302382727 +2028029459 +1571309626 +1931703178 +1239330139 +1411806768 +609011243 +466501257 +957470593 +1676224310 +561125241 +1123503845 +625466843 +2051760264 +2027264115 +1170802786 +304216841 +1228822270 +1449086635 +483578430 +842660946 +1401193005 +1068612647 +717344663 +596584916 +1954093030 +1617276016 +1520019118 +976380731 +1255129364 +1862856069 +131279810 +1135675176 +1286682047 +2062982989 +227521667 +551005167 +524510584 +694022924 +1508475761 +53251247 +1255148165 +484495958 +678718090 +1159424782 +364276425 +1849520876 +1463641623 +1593098695 +1151123864 +1947220053 +288275993 +404833221 +868349052 +1005620656 +1001418137 +674958434 +475413024 +373953607 +1651339165 +1730542389 +89326028 +1782618975 +718733917 +1376008075 +1698118316 +946255584 +1927013243 +75145253 +1640278508 +1288005356 +128396500 +747943025 +1772501314 +807114590 +1907367807 +2136777740 +509151819 +1223525782 +1582392787 +1660275683 +1023262187 +1870668781 +2065108904 +1891611239 +728805789 +919043393 +419086025 +1204218814 +1292997000 +2070425190 +787277555 +1382323029 +1705560518 +1506011472 +610847456 +1256195186 +304783408 +390377051 +1331340439 +1945061916 +1678382407 +1459736939 +545521293 +1303400074 +119367882 +305405453 +1292694166 +628519701 +1528931235 +727603305 +141311736 +404709775 +450788438 +58936992 +148837366 +1179594228 +977980385 +567923392 +236329394 +123493737 +490864934 +1023606949 +1505816766 +48941804 +382134773 +2116664223 +1305136991 +686918181 +359557626 +488993782 +484496449 +2037940034 +1948730722 +1030017742 +1193856460 +2068098604 +1335423195 +339066978 +549134657 +716870783 +1066670283 +690446393 +1121580558 +1517458722 +749383385 +1270417924 +549569302 +1727363770 +1838341316 +785898696 +1850857507 +181722603 +1809505645 +1209190626 +230664407 +44156770 +1178371201 +1535801398 +731074951 +1537928827 +2024795181 +1215571400 +1428385213 +1826042255 +98105494 +474758025 +1746657211 +1433528690 +813825003 +148308220 +2915825 +1880495287 +838754613 +1124496383 +1250470361 +1588137998 +247430659 +1800039663 +1168018120 +2085771976 +438454711 +871391979 +120010931 +100476708 +2080582605 +350675338 +144633478 +1111470158 +1886476737 +875708429 +501915338 +1763788270 +2091279829 +1930300551 +1442346877 +41901675 +257574929 +1041520440 +1475430365 +1071399932 +1189828660 +1478346190 +804411571 +2028583273 +455358925 +2054881932 +1469237623 +702789585 +1707437947 +489772095 +641077913 +2145892658 +1361164074 +761088844 +98885718 +1294263032 +1111764182 +243519196 +258249542 +850757271 +1119227625 +760164880 +467061893 +1063023806 +542981784 +1909408770 +1104925482 +800556713 +803445562 +432872199 +1871956645 +1993274222 +1911218390 +528884569 +1874373847 +219093667 +436282853 +1196127822 +921883252 +2143720801 +1685899917 +1562961165 +2142129811 +899580344 +176566361 +93531882 +46359728 +1288330544 +337051078 +304609270 +2139087815 +1456278704 +1064774151 +458666061 +371818862 +1607755935 +220591183 +1476744344 +260829000 +1024036746 +1909616544 +2132785645 +869827320 +1673351286 +514186566 +596717520 +1892444953 +950469420 +1792845342 +666844558 +946706573 +1331261612 +82322075 +941352736 +83358308 +258888437 +1034884618 +129718036 +1547218981 +1371935697 +434327306 +1538823148 +680730753 +1499101457 +1997489209 +1052549615 +959373744 +70596745 +381810312 +1220202744 +1094633491 +143943208 +1205504742 +1964460811 +1817294494 +1719691308 +413694683 +1562255799 +522677080 +59056378 +81616709 +1469383653 +1390317990 +163938785 +263252742 +1473676298 +422827222 +1298137360 +1603394334 +1970046203 +522589409 +2037721640 +1361385703 +1203320162 +1389339450 +1211391265 +108386130 +201229546 +1281988010 +490196442 +1421432291 +229137853 +634139650 +479453385 +46115016 +303950496 +51661045 +459809700 +1866206295 +574338126 +518866078 +1947823005 +2043721779 +1909184068 +2111761790 +159490873 +1235376718 +387105364 +1457628234 +691287404 +209667919 +1980217643 +581525396 +1571053622 +1036054158 +1970864846 +634961239 +1144440288 +24610745 +1916949249 +1634636730 +1446043036 +2146087102 +121292732 +1925496421 +44718471 +425243228 +1977157466 +504528171 +143965875 +404011944 +1023394249 +2091788880 +300250076 +785094669 +2056067022 +459740949 +2020471387 +295688738 +1917369183 +564275143 +505356657 +1750103179 +1145800539 +2076410280 +638673689 +969181738 +563887871 +1783113977 +993792483 +333353473 +1270267059 +292351871 +331956927 +1391559791 +70364644 +376675398 +1816803019 +2047522110 +881203569 +1960768894 +304050407 +1904597818 +1905074127 +604300483 +542208839 +1813657501 +1064041432 +415196578 +2109346240 +833926968 +979471721 +467219249 +436546499 +2125272261 +396145881 +1075220188 +946970351 +960033753 +710850517 +1940762834 +1293387226 +1981117576 +85631057 +1625344153 +1225193719 +155995701 +2002019552 +894513090 +56034163 +735739473 +707798336 +360084570 +492853644 +465388815 +964385053 +1035062483 +131562669 +2028426486 +1450259062 +93425261 +714869806 +282247135 +560644510 +1151416305 +260035748 +956790392 +79152845 +1207006099 +1916824145 +790003362 +1000285285 +1062727723 +623637290 +1085916342 +540588228 +1848831009 +1241912043 +395124132 +595860451 +1297946207 +1130863606 +1303658787 +1658030777 +1623717250 +1769047603 +474932183 +511296085 +1900610272 +355875021 +1961555147 +1994035533 +1070744827 +96318635 +407196395 +74677484 +356354383 +1363986787 +153830329 +1563360483 +1133327284 +943833691 +416162120 +48571359 +1567470981 +1502078463 +589159588 +1268818342 +596506858 +984283720 +1864678793 +1894453065 +2115147326 +1020853932 +1405000195 +1591380928 +642417887 +1879932378 +2102677014 +395544511 +88323751 +1916748513 +242096396 +1159068578 +2013067148 +649292792 +1233746062 +221937884 +2013279579 +1387576391 +1785298367 +999123216 +183926434 +53976839 +1047694575 +1751397415 +1556055302 +1636854163 +872732109 +5078513 +473654236 +589927254 +1899531578 +441317914 +1610781186 +1157048125 +2032698843 +105715426 +889496855 +1987892209 +501259937 +977820606 +1757157074 +743356334 +2136889184 +1622740575 +1392649126 +1223151598 +1844678459 +1258445057 +463244341 +1482493178 +110084625 +647170775 +1536470017 +1157779201 +251084542 +945041672 +647149716 +1123816651 +950120185 +1120803952 +1713743905 +702168115 +1562121867 +1177041444 +1859216241 +1447337062 +1282756870 +601229448 +1287745623 +1784016807 +1579050055 +897419049 +379889493 +1568455591 +372675976 +1772538619 +644123542 +69870787 +883500029 +1107367883 +1552363965 +993584654 +1754538659 +941350335 +3880207 +2005623201 +1886392007 +651029924 +981956205 +689028544 +1771833876 +548216462 +1391196659 +1186472095 +1725257906 +1102929252 +486325509 +860531128 +1704158701 +1774071132 +497064288 +1135725108 +524006534 +876953781 +556697051 +896682510 +502008753 +1200820593 +966553298 +1385508782 +160704829 +371433615 +231609788 +1915243488 +1312783950 +235489996 +1773383041 +1051692309 +886519920 +607855598 +1740720853 +510870148 +1156072061 +984433865 +1697342244 +733846319 +2087363117 +36184105 +1594377448 +1644038170 +1810255238 +2091441736 +632279630 +186778124 +820911869 +1188976682 +1083460634 +1322920622 +242313627 +2050013932 +560945756 +403018456 +273963900 +792555545 +170778296 +1586747850 +1028045541 +1944161338 +490956512 +1914565461 +404533288 +84193717 +277951961 +1560605349 +1068627582 +1975294205 +146968021 +1008507052 +2011478311 +1741345469 +505061574 +1674249901 +1685303557 +1137341205 +1861028025 +358731778 +178834239 +797005011 +1681652401 +421147866 +699535296 +95114509 +824166323 +973499196 +887670054 +994944619 +412763398 +1915715595 +791622309 +903719910 +1682797408 +1196155598 +987913628 +1960749370 +609277299 +2056541210 +1788559927 +756245320 +917564614 +1652554590 +350107141 +1422626189 +1179320843 +2035410698 +412483746 +892865220 +246658829 +591317985 +1689870232 +1928311230 +1012465851 +241921880 +2023425739 +1836632174 +1215421076 +763612146 +684093146 +1628184474 +531844093 +1475715455 +384420737 +67157854 +524387405 +1372334365 +2027907224 +1133664705 +1281391927 +1668983503 +1889910025 +51472894 +1174054446 +92533519 +1474099083 +205891641 +2127944217 +1886582829 +1098756862 +227119398 +330417166 +641143446 +7946980 +1342883017 +883065326 +2031372720 +1032031544 +2098486402 +647501218 +1716124690 +1579187228 +1179345311 +1044356497 +1963607965 +1246503165 +1568743903 +1188458682 +1126926741 +554924960 +322366962 +648426597 +297351337 +373839856 +1822481043 +389884856 +1847938939 +2028372684 +370345426 +1587038120 +979645898 +597464824 +1917455286 +1620789344 +605411805 +1112854655 +356371022 +489300877 +2144886199 +307373776 +1136802095 +1713527241 +1886561005 +168663758 +610400091 +1702685322 +1415166924 +31660346 +743660357 +394610017 +586585306 +1066027319 +1043036614 +883936643 +1439867175 +718034009 +1273821500 +1140322466 +598923046 +1644166926 +579876938 +1578568944 +94148102 +349848576 +1051874641 +699559907 +1462703231 +1408245663 +1188860784 +1460105783 +1715619440 +178179231 +1026149376 +1454696797 +346842990 +1636549467 +1009898471 +1762009914 +1668209813 +1753558828 +9136283 +107311471 +672102499 +1052172898 +991248115 +2111969674 +1770206907 +117585967 +1104808492 +221646305 +1761752893 +1684685430 +1800215250 +1855900995 +2034534006 +704606243 +407977255 +1349753590 +2112851906 +1596838039 +662375725 +1680987698 +1775017271 +1688525101 +988200847 +2121860261 +1177590921 +1998099319 +1736386527 +698317086 +1604174499 +1745522810 +805628558 +128793351 +650212060 +1796876673 +93279377 +272935320 +1914462640 +1198087870 +494581625 +1528731885 +735289652 +147313227 +1237149232 +622340011 +851919470 +1645126487 +1972093601 +817287729 +1094480879 +486985678 +350791779 +722014502 +28027131 +1338992627 +696391115 +1205618052 +1189608298 +285293994 +1903935139 +646299149 +2030816804 +562080049 +775092500 +533545217 +211473074 +868371878 +806480537 +2125935714 +2066459748 +1301062162 +1507183951 +654265752 +1448375390 +596849535 +1276605763 +152811212 +94492375 +1101215716 +970098941 +1188973254 +1588201394 +1320890721 +1910987756 +1616228526 +512399700 +459895223 +674362930 +1702007998 +745189217 +430814421 +200823499 +628522373 +992894470 +975916000 +1162067590 +1204367544 +1844287878 +1968548127 +1182819610 +1763263978 +1122126642 +542519913 +270046082 +423018384 +1139369449 +1546651846 +575829596 +1233861824 +500383914 +1545928538 +275351430 +2088585309 +719335611 +38855538 +1557330187 +1231735311 +498750761 +84209469 +786259661 +1243939978 +515023891 +987083160 +1872462351 +1507918361 +1962999160 +887046294 +564802258 +1659803390 +708110773 +1747621868 +1275583720 +1830237415 +142658134 +1545629803 +105772151 +1282027583 +944798001 +681601748 +368405759 +1445181915 +80046638 +643757189 +1386283576 +799382249 +682612727 +796130115 +2031117560 +1181363488 +880339585 +669893573 +277819818 +1395363476 +1656976733 +2798521 +755798189 +1472492246 +889844815 +1320600447 +984811988 +1597955589 +920738668 +112912061 +1280709356 +1063396802 +1658541864 +1386481508 +197940737 +455856217 +2068083256 +566346496 +1901038132 +646246 +1210103685 +1139838061 +800028495 +1892716412 +1935968176 +683662407 +926596252 +668824113 +1353555980 +1204416070 +2064187589 +863049065 +1207214591 +672502131 +188057663 +2097059407 +1993102578 +1172869652 +1547531348 +766357598 +1285781713 +680757056 +1829754400 +796839929 +2067238564 +2027695137 +1252696146 +1987838172 +446557985 +1006250630 +1988484418 +1656661670 +2146088691 +641029265 +1401894434 +1934573220 +1324691672 +181007038 +455913685 +530764004 +1385423108 +372617627 +1393813070 +445154052 +1045119758 +1581870733 +394729811 +890738688 +607256737 +1942261159 +1657096287 +1893038450 +475534567 +1339367039 +542394731 +395289484 +1219578529 +1795090877 +235644008 +1666136514 +653857860 +76644779 +1175314537 +652462903 +717674044 +429725323 +439552475 +2042365717 +610732362 +895466161 +425646073 +1996155470 +1268083788 +1819459143 +293825874 +165719898 +1253846229 +688555685 +1056458586 +1861102966 +483333196 +566071225 +1606657769 +958867764 +1905438265 +1568852 +1354157248 +977533146 +1796659730 +1589801256 +496186012 +303033942 +1666446035 +1671500549 +955496845 +236636432 +2101225873 +1395049321 +131518501 +564474587 +143031834 +557164574 +413146409 +1411115622 +229140070 +706972284 +1576835520 +1482986299 +1395527969 +485810458 +1196605617 +1878861166 +1051881684 +655779738 +690245282 +809836301 +657348591 +2044402530 +1787369447 +306524673 +1486720138 +136071811 +609558615 +1005682526 +1807572361 +1565055460 +1242318958 +1761314586 +812621133 +1373837459 +178305525 +955652967 +1931002033 +591451934 +219284941 +12658455 +1298424218 +1796120461 +1495644754 +546468540 +134447272 +544766724 +277846058 +1186328956 +1200546462 +968091340 +1996165257 +1857895053 +865010222 +1636051056 +16936078 +204246712 +1772122867 +626494693 +1209929238 +1432211580 +44066506 +304764548 +1046042518 +856687639 +1678602007 +1224348043 +1812340607 +1462120393 +1815799978 +2031625548 +1474778848 +966740548 +1680262362 +822939955 +1513209088 +1814709634 +1367706679 +1791055146 +853554942 +420769493 +611662838 +702236551 +131180899 +1476673060 +190803959 +148116977 +1680919773 +1962926826 +774611671 +743365363 +1247654759 +818678177 +1048129912 +146213629 +1675365816 +579248271 +1370561673 +1340222775 +2041368664 +1038878003 +1224364676 +1368663865 +2005618551 +757143390 +44120172 +1371343992 +424369376 +1411826851 +1014915490 +1277924318 +1832596344 +1626578329 +1980160869 +1963777243 +955767741 +23481180 +2111894221 +489203866 +1986408006 +739022244 +1232569230 +1086579117 +1557700421 +133215494 +1232792747 +1085582589 +712463765 +455870772 +278321717 +606348782 +1494748775 +1502686393 +1975012647 +1352883678 +112346135 +2019132819 +576744022 +536715511 +1283476022 +1591659513 +1814639829 +968588718 +1070754194 +1647317050 +784882314 +2026521935 +1670798230 +749292887 +368242154 +1509722588 +1488315131 +1600811384 +448818058 +898531904 +1734026878 +1681610805 +1984114493 +299006995 +2137481577 +114952562 +905355777 +1484746704 +1617638955 +732884776 +690146734 +1729985090 +604533947 +1266890757 +119216953 +1888009969 +711066622 +1933856782 +709115040 +1781820816 +1433690184 +1493997354 +1660859103 +957004766 +95806593 +2029101257 +319243707 +1584121724 +1482428993 +768061765 +335169980 +1068972223 +302188922 +171800825 +1367979219 +292186851 +286753388 +125851348 +1776933555 +1904392343 +858736125 +319596641 +1486893786 +1463270072 +1586487398 +1606110739 +1203796394 +150070372 +1392483874 +1912911434 +1931891188 +678690410 +1259425140 +1445266644 +1635695177 +1355231733 +1326884253 +1954938884 +791869809 +661829599 +575517001 +1127039789 +1730801822 +877705923 +1298840614 +951297393 +1169892774 +1585594002 +1077148742 +799342681 +1342502698 +1935884867 +1118939322 +681912836 +1251671291 +557943073 +140539927 +307984037 +708013445 +1533023801 +73411823 +492420986 +64230564 +1332836963 +1937687630 +1699925741 +540585048 +1117088235 +1507380977 +1332454857 +1778917834 +2082897978 +312010998 +1362236009 +813120253 +1610851613 +166049754 +1983013027 +1048961967 +1243198496 +634872060 +243981017 +1031599715 +1753811382 +925893853 +135787359 +164270807 +1066433781 +443771396 +872284253 +451973934 +517183220 +1364705239 +516204498 +1850020183 +1154909221 +68646591 +243121584 +124513808 +1576027568 +1575576441 +1903431643 +1511441898 +1887587440 +1118184004 +177078503 +1350955405 +1284233758 +12607882 +252433724 +379948607 +647479942 +496414742 +1411548322 +253807677 +1422308595 +1547335681 +418078484 +341258728 +1991107078 +1290362737 +793232663 +360806650 +507584328 +1309437161 +63343185 +1662493549 +1378083753 +306464769 +1787007358 +806627673 +1882041211 +1542955353 +170585924 +1622145003 +513655709 +347664427 +825616760 +1797889467 +360272310 +1078050484 +30354426 +1007752252 +1574465226 +1441902749 +1261559929 +849290174 +841754782 +1679638414 +1190548902 +685378212 +822517503 +1983781565 +1046184862 +1330101832 +1145735079 +1109528048 +845111733 +376335184 +1415992817 +484635443 +1182962857 +1150550380 +2027590796 +1353548781 +625211735 +393762857 +1701213209 +1450828495 +44168677 +2061485519 +381395332 +74523103 +921754123 +1955860558 +1516425852 +35830405 +657667084 +210696987 +1715468819 +1848215987 +896075199 +390502674 +1684513904 +1942260062 +1720604506 +682765335 +904304462 +418232592 +1059100519 +172813631 +902868035 +94579729 +1323364012 +782975184 +1448128510 +1948575747 +1176738041 +1001858071 +1251920595 +1220906718 +915859942 +1633315927 +1295429822 +1837614066 +1441692837 +664372026 +1873444471 +2099359922 +875069013 +1441429642 +1800092261 +1771144213 +1831932316 +1337122517 +1565920627 +1405053175 +2019887853 +322741441 +1823285767 +931504724 +495555072 +578670154 +1026084453 +1818919084 +1361645338 +326729316 +1620011184 +390899732 +1328587387 +724448131 +1611806450 +96963682 +210280410 +759752624 +1934577748 +1651973247 +1424124651 +1660538571 +1603849521 +151710016 +954484565 +1256458134 +1922854229 +638933233 +446097004 +1341291208 +2043986408 +318501209 +1664032649 +1719788527 +1250005933 +12104074 +150975034 +128606739 +1831023158 +1512620372 +455336055 +1303550694 +1903520104 +1783923442 +2027998825 +1367842907 +1880887124 +90795587 +2127595531 +1667981224 +1742768835 +1404236534 +1181036147 +1199134708 +1555946551 +2135520712 +308109195 +1331317132 +626970298 +754206199 +525124693 +523473058 +1072707408 +41673694 +95777938 +175229693 +53777768 +246752972 +303836432 +1884800927 +1759373344 +759172487 +1040867973 +1515409801 +395612282 +921383151 +735769060 +129015758 +1012178738 +715880943 +1796996983 +607463925 +2120117478 +830549482 +1806598634 +1528580381 +818586547 +2114707829 +712413865 +1445556845 +721430380 +1237538558 +1969029903 +1794137788 +1279212253 +2064807841 +1969367481 +1332990021 +164077165 +125720266 +1070307300 +1923450510 +884892753 +2111175274 +1291376663 +1280505035 +885074777 +2027145723 +1409520794 +1897253515 +595543018 +1059034129 +357233793 +568176848 +1889583611 +16348779 +2096757229 +560686510 +2131056608 +661687447 +2006243355 +705003340 +1899226005 +1827789611 +351657480 +1030954610 +1745113804 +173541313 +216460984 +1909190970 +299261579 +1286768284 +1685157832 +1184154333 +1250459910 +829050847 +317175720 +2135534687 +708712922 +1726696514 +1885304555 +1304255940 +638246995 +95054700 +1872432789 +380346959 +111403479 +1821706370 +941033469 +94976439 +335910169 +799793177 +799979779 +87652527 +480099140 +1151637259 +1118607137 +77729296 +1325178572 +1335068121 +1986920266 +1624440152 +474352758 +1524594450 +661110837 +1724812668 +206161649 +978286557 +1712863708 +914874571 +557499424 +1450684615 +71646864 +1195746419 +1545739315 +1944079653 +1576093378 +1657142794 +1618302375 +369643200 +1752119233 +1954212545 +1169436377 +404615364 +2041865072 +1649535517 +1556252623 +1012988561 +1727264813 +733947547 +200573035 +1566701432 +210904051 +674925793 +943812234 +872014888 +252254813 +1149973884 +1850301446 +1965118521 +2064848455 +260317222 +1268319488 +2136495319 +1456063641 +666575155 +1933091324 +884673372 +176234301 +1403910052 +1254316572 +1928353534 +1210638949 +276269301 +185485250 +1105020373 +1925804818 +1741737873 +2118008934 +1505585983 +328201773 +171098321 +924803767 +539105824 +846024114 +1868616002 +1411120713 +1098278928 +871106238 +1113938511 +915913801 +788471045 +1374255733 +36749642 +777482717 +682835726 +703324797 +563090393 +1567509098 +879559099 +1967000445 +674342022 +660428985 +1030155746 +950611323 +845914236 +2135176119 +728932493 +440168461 +2105701406 +87034829 +768370234 +129316079 +1011838596 +1307476059 +975340194 +732970950 +571113124 +2073619122 +1604077188 +1685051635 +842049275 +245064586 +911823720 +878798917 +1022547303 +1594659446 +1582123715 +1585637696 +1014684897 +314199166 +1405154494 +1689026919 +974628151 +287826592 +492154595 +1820542387 +275519064 +1221087088 +113227201 +233736822 +1308121917 +881597435 +363052901 +172476866 +41589846 +1338393095 +905447816 +612702970 +1264528569 +362041357 +150270957 +2106577845 +607105943 +1062094677 +837893114 +1629653246 +509270476 +272533181 +1067807294 +1523955373 +586732347 +325478140 +1065498644 +1561360499 +613304733 +1557653239 +1234419238 +888823797 +631256680 +1347646439 +1122560619 +1939378597 +81760227 +1485613520 +2111855463 +123350073 +676522968 +869819632 +736053044 +1941051537 +1231860989 +886324001 +1900145734 +1838966932 +1948418679 +590555201 +1321136530 +310205507 +863088382 +241460176 +1834160880 +1449820730 +566938317 +752175876 +863697581 +1180243050 +162345468 +2098116819 +2069066847 +793602148 +1298279611 +1044143818 +585497097 +1380039838 +382273690 +549868913 +1503389911 +1058796658 +1419688545 +91959307 +852364548 +504065886 +978283309 +605026634 +195549170 +779218340 +1195581835 +1516685700 +1089423847 +2058670218 +1758145876 +776101079 +1361007300 +177600545 +1528276955 +77221233 +1357843595 +1690622423 +27854404 +1279426794 +336740923 +1326134015 +176086964 +922238021 +558690205 +558360655 +1472106934 +2062080117 +1617157313 +744311831 +6555776 +322038213 +1248377717 +984839085 +927064848 +1443926887 +1764057425 +2122646683 +813128939 +705997624 +2033833253 +423791167 +1482098703 +1247356905 +601391713 +862892011 +1324578138 +1959235308 +406030786 +1352432543 +1091178455 +742771710 +531082910 +1267265419 +1665009731 +1089773116 +1825626074 +989633017 +1004369585 +1295299740 +1733944848 +1010925361 +1617337953 +834838917 +1995764447 +396919153 +131282156 +1612338224 +372082189 +944411095 +170852201 +258431794 +1368202262 +1652950904 +1505788700 +1969593975 +368359267 +682883190 +1781345636 +774390054 +2035315733 +725040443 +1517161764 +418914996 +1992305862 +1034687847 +1508688112 +1670448289 +2024320864 +365574049 +818264381 +1610782064 +1376499410 +288118686 +298137333 +1224780209 +685037840 +429419489 +689634786 +1057120029 +1373830584 +860486987 +1315551823 +594549198 +365954243 +673856875 +416659526 +734313511 +1356740066 +50521514 +1508703565 +1244572151 +775561957 +878381681 +1663487147 +620384171 +1913069528 +1024691611 +143348812 +1789906744 +1390265660 +961613193 +1253205160 +619281423 +1249731880 +1551342493 +1844061632 +1934769720 +1980761982 +386212770 +844406101 +1207108918 +1246699757 +12474276 +1801658116 +1612654001 +686331152 +70833994 +199483864 +2043071218 +121355508 +1708187429 +1140159721 +896917465 +439085462 +656163221 +1517301637 +204671342 +1680854832 +1660650449 +1994578086 +923636845 +474779995 +1100299598 +1542918268 +1724511875 +504158443 +1239496252 +1511797947 +337436777 +1625709023 +208720400 +1544545695 +724925132 +221194676 +1198720163 +190095485 +907525828 +1269554158 +389579349 +803113398 +1390909666 +2097766778 +1943273120 +140343484 +389368592 +451952693 +1657645121 +594039934 +2132807525 +1170811922 +441134372 +908960722 +1645591917 +1541433970 +304395342 +1222620144 +2045592413 +1543891595 +586934443 +235545542 +1022116970 +795654843 +1780091237 +1747042102 +1016849520 +831327753 +1937137588 +1924375348 +2100881911 +179233289 +580005099 +1344307929 +129516420 +375794571 +1484651413 +518885012 +827747264 +994812886 +1112924947 +813071141 +18141161 +1554059319 +1722031864 +1663733078 +948009642 +2026427206 +738869575 +846118407 +1422835153 +1325804018 +1081663950 +297468475 +2121458862 +714271539 +2044510578 +990824734 +1545599292 +1834164518 +767716434 +1498997555 +2013397807 +1347721533 +695821837 +2142914227 +1723516104 +32989602 +514315592 +403779720 +1027802489 +1627240539 +1216850862 +1045943650 +1033816210 +791399078 +562193080 +1981825852 +670342636 +1301062655 +680460612 +2093177790 +479383026 +1762124562 +243162617 +453358240 +328912453 +140189547 +1444182974 +1874511746 +1974354065 +64415760 +1226025653 +1840268225 +1412137294 +1921847490 +1835698804 +988169750 +1954837093 +202530748 +1391949471 +835155934 +1829771287 +461316685 +1881099584 +716103850 +1252715763 +295809016 +550446054 +1923058399 +1596871672 +1230906666 +1868752541 +2076254698 +845547580 +2111915159 +382129290 +1174460034 +104621058 +1826312264 +901488132 +2078975124 +1890728024 +2127513785 +1771759701 +1155381670 +1901877628 +1459974857 +2143551421 +1709231073 +1662505606 +1388017244 +396903359 +1344793245 +1849333929 +130519295 +2060897095 +954566044 +426328311 +463859502 +730140795 +2023199983 +1694766168 +451409689 +1951971033 +392830101 +415841200 +186616675 +1567290135 +520462258 +2012928939 +321294619 +451953734 +1756173316 +301324756 +76229787 +764071338 +55718736 +1536204645 +760139111 +1764949809 +1051226603 +672707 +14369520 +248536200 +1850006636 +144888815 +161949648 +657089032 +571217127 +625809150 +1387229828 +446933462 +173091670 +1838639517 +251420848 +565921771 +106997069 +438037523 +2133211906 +627459327 +303482815 +307022877 +1079413062 +2059656131 +608347634 +1155642849 +676243821 +664066370 +544363846 +1436382933 +281532532 +1595590449 +1437055640 +295902052 +1844126650 +1139578629 +440790868 +2006076298 +1796667661 +1012007995 +484401800 +1036413841 +1458941457 +657493470 +727569710 +1710362305 +1223415242 +834566779 +916181 +1209143500 +1462026107 +304398996 +1516166378 +393955521 +216571479 +2124514012 +1549598370 +892815300 +641096734 +2093962217 +181714585 +922629266 +1542069018 +1618770226 +1218531319 +1238712020 +610865207 +1659322187 +1097304670 +260049220 +523846534 +1581706470 +1296463062 +1982787991 +91716293 +2024032772 +1545666649 +1315131535 +711115904 +1546582830 +376791387 +25658363 +1850981826 +1892957765 +419613884 +2067553305 +1869988129 +1969212254 +812884957 +363601216 +1915690823 +994599543 +1286230482 +1310276194 +465886121 +357278153 +401504566 +1076751328 +2016600340 +1498809237 +1336800548 +392963226 +933032059 +485779962 +228267570 +1024748352 +362329087 +1773934219 +192396239 +1073444991 +1173033401 +569187627 +1099103354 +876531579 +314661744 +1518717238 +796601236 +37166226 +1340445844 +1609486193 +400767442 +1108653020 +456602088 +1686997924 +271445566 +922488209 +2044276078 +672950132 +1999239537 +1913392770 +24275721 +1188556438 +158872349 +957307781 +1674336400 +387139919 +1982056133 +2036665487 +13590490 +26968725 +962626830 +1186623891 +596156352 +2061730184 +2063155470 +910818096 +1432963774 +712273058 +947984322 +625925971 +174275603 +1348751764 +1734578991 +630877692 +888266041 +2006024557 +1553365901 +785058471 +531491041 +1405121791 +550967593 +555766763 +446194581 +709839942 +1513074544 +2120530981 +1096979861 +1347647029 +2009712821 +1110570351 +1374615754 +824856003 +149710594 +1970772106 +739102540 +65382416 +734106555 +24582666 +777655474 +1682090877 +650508637 +951931078 +883358994 +237603980 +1582808770 +1771625035 +96144889 +988691023 +409199858 +627635931 +246329166 +960167451 +1183402694 +692523747 +1670007394 +548993590 +665571081 +619503607 +1896640619 +527800254 +1730073959 +1123772726 +1352656257 +1879784553 +947061184 +2091758797 +1945166970 +1681167739 +2116341464 +575338796 +1215774969 +619366453 +1527269874 +2099133963 +856970434 +962594996 +1723275350 +953115323 +1951286020 +2132475208 +1580751254 +50131538 +945159011 +616670300 +742655286 +467682757 +1165663890 +1408226367 +1087186365 +914820862 +1936026621 +669776676 +2038593588 +1141199230 +402077581 +838171124 +1085474380 +199760903 +371855216 +1054332196 +775099700 +1587630185 +1673698649 +154885926 +1539280500 +383185435 +1117480923 +1115072202 +1336300759 +921283295 +1100063762 +769568365 +971414833 +2045222773 +1386238666 +1714070119 +365421883 +404418908 +974812838 +1452608248 +1319239770 +763355811 +2122384924 +1210349710 +1904555042 +376978857 +2048520835 +842545774 +576739761 +272892403 +1896877970 +1351839461 +1860522588 +1423092971 +1506725387 +1252319440 +1806278407 +476722662 +219907994 +995095518 +1398005957 +1319971756 +1764663883 +221937143 +1217710881 +1003418901 +1936007262 +1583132764 +1407837810 +763336453 +888257364 +579593932 +1526692264 +863158640 +1789943643 +1283763658 +1240137498 +1690980830 +2126309432 +1816877259 +1963873233 +1875703754 +1021233072 +1676912173 +1151313078 +380474811 +781747965 +810107837 +857197474 +1001655959 +1805203355 +107719783 +174144067 +1422383590 +329656926 +1391854948 +278318844 +118180541 +827504065 +1686156654 +881516994 +1715761429 +118266938 +260725610 +431436422 +1908210581 +1544489269 +1671573920 +1451707763 +1523315053 +1340967531 +1268097348 +1251535160 +214716955 +797525873 +255364590 +595191766 +1579273838 +1065472427 +1452389240 +433446149 +723192134 +1560109024 +607590216 +2145575724 +1889765950 +1999445165 +276410920 +2007946491 +679465582 +1962567574 +741979837 +247743363 +2080834513 +1002705448 +679179785 +1841561446 +399711069 +203270057 +1145785562 +1923026122 +1544237588 +266399262 +1027077634 +1758954543 +1063925136 +1282442224 +206662662 +495715326 +200431003 +1659051902 +929161476 +923623137 +1071677278 +1536751692 +921715214 +813959581 +1388713209 +1198126134 +674422424 +2068178791 +1013210061 +1416402262 +168438507 +946560926 +271624062 +847618292 +640638724 +671335131 +1050888350 +1786424286 +446877605 +447642290 +2052823549 +1473955240 +59113186 +969265037 +608913816 +265775848 +1464980363 +809344820 +1924827750 +246658191 +1732967957 +849021381 +1783409884 +507199523 +1662980962 +1024639445 +1705325658 +189919738 +945334589 +571052071 +1606322000 +1113773096 +1517612997 +1877946062 +1961391388 +10768073 +401797545 +864796090 +1797192360 +848675151 +1312438381 +1702532261 +175146743 +1371551567 +524313650 +784060559 +1637327415 +1989294013 +1593405379 +1414671517 +88468557 +1178889689 +116209250 +1871878441 +1686089212 +1779190212 +749034238 +1243931222 +1969109951 +1694368827 +1814983293 +1427948303 +660658275 +1185112642 +1158410718 +474566016 +1195880716 +1560208263 +1339362106 +845589428 +261399766 +504316839 +400638041 +436546509 +1875868406 +924951691 +1220607069 +1365712173 +766762056 +666528800 +632900043 +855230613 +1845418489 +749109293 +579625406 +1384024054 +380815858 +1328659645 +480471628 +202442161 +875544824 +147971274 +1630390464 +1536203100 +1333083916 +641317534 +2010769116 +381480984 +54042150 +1202647574 +1227070412 +315441916 +1706964414 +1627708453 +751988426 +1435349172 +405176496 +1972595495 +653577698 +1171938553 +491640647 +1286477741 +2027169166 +189575489 +2035587034 +459310925 +1573599543 +268919244 +1787970570 +2054071171 +471361405 +516031746 +54558797 +2101751870 +2052234846 +1387642714 +595585756 +1915520314 +1769123698 +649627906 +970684241 +848710463 +965069823 +530165007 +328935268 +1717058249 +1965514179 +734111765 +1542170096 +471608229 +1906050318 +2033810743 +1758085970 +1785735836 +75902584 +1646189357 +97563113 +1649502127 +1915108601 +1885533683 +1556089651 +238986359 +254081782 +1610648448 +193254581 +158832980 +850807514 +788840337 +2074353295 +472447565 +1438468244 +897553888 +1321158028 +256054419 +1427718895 +1650093296 +1973112668 +1245749426 +236721413 +1367799116 +1717357656 +2142771731 +1254126211 +1327959978 +1781023920 +1330028796 +826665687 +1878587033 +832047275 +594290641 +1616637069 +240653278 +833277000 +1870718851 +1851301727 +1026531581 +2029551831 +554625593 +1815371918 +1956421478 +1027073158 +1106356514 +706491718 +200747538 +1362410933 +2134210613 +1850840835 +1188039953 +1232476392 +2087562248 +408355421 +802350400 +2082850332 +1662481633 +2130310378 +1716390604 +845026781 +809492418 +1447493989 +1677074056 +1403783059 +916647410 +1917727335 +89576411 +639882613 +1621545414 +1116107992 +521950797 +28687359 +783996262 +330888627 +1055760518 +1890352777 +1037380346 +1256508056 +1105280062 +1024107311 +959865243 +145836368 +109100055 +899943844 +554191789 +911450455 +835310528 +69189774 +894277186 +404217484 +914216555 +1703769604 +1851711473 +443806964 +960069015 +620875236 +214050651 +1049645426 +1260757849 +1835596065 +18269770 +1782708646 +1864283424 +802266032 +2113597274 +772560294 +545135161 +1003493972 +2029068351 +1650415224 +2027601283 +841449946 +1796251592 +2136701339 +1741393790 +202959733 +900668146 +429220670 +272149508 +1794945332 +833438154 +1186366063 +1351231288 +537665980 +1630173027 +163816655 +1158541216 +1844223678 +1213462081 +271815417 +1532336095 +1231731851 +2054524064 +1249135872 +2033997884 +2020637690 +2021696166 +431649397 +876648014 +1903280869 +2082064621 +756765649 +597247168 +1730832565 +745983340 +191157310 +1933792299 +1646651487 +620377981 +58458159 +1294113171 +1453816135 +1244824222 +497860812 +1991482115 +727513602 +661677467 +1002539683 +424253632 +1875139549 +1274355101 +1956589728 +959387752 +1181395517 +1058241952 +845901988 +1054549559 +932454470 +1277551386 +1931197573 +688251692 +1212132359 +540479574 +1285498860 +795481277 +1286462915 +1476656170 +581789928 +785630754 +2097034151 +640248087 +2079743925 +1403366639 +1885072309 +430121089 +1247365106 +465102263 +1091798557 +102421142 +889355896 +819454458 +1376776243 +698461976 +1778842210 +410688112 +1756703928 +477260551 +1465237671 +541674750 +1754811937 +1248951596 +1229926442 +819460648 +1789431170 +367941654 +1614941925 +928410437 +1844597825 +49248205 +1714041191 +1794148328 +689496292 +1646301469 +1050031319 +427084954 +2076422558 +149912778 +892187217 +1020737467 +252333920 +1781543113 +1840191925 +1629110163 +332521441 +1471550488 +2039798275 +2089225369 +1948811039 +1357552298 +483416472 +1556139328 +459020246 +1713342914 +228116328 +100967768 +2081284569 +1843058254 +1029378206 +1778398746 +1892306459 +595935749 +1425063426 +434319104 +94753570 +327611098 +861404058 +23692481 +477523876 +1753591275 +1044429948 +729857796 +1387650741 +737138226 +211484311 +1720172182 +61205066 +103798938 +1661913904 +2010016105 +1461351236 +2145330376 +1418671785 +1920371482 +1711189642 +1646788113 +2021339250 +1644990563 +1342362719 +903233808 +1275905661 +1087185531 +1499169558 +553485440 +1521504635 +1593923128 +881096538 +235425045 +1617615609 +1358620414 +1989016320 +514561910 +2088478210 +1229183413 +1251700136 +152478873 +801871948 +1312905202 +256277811 +316302204 +1175437659 +1717629047 +314148932 +446625796 +1490516881 +2025338574 +2093413909 +1364372483 +1522845490 +1288292981 +120122644 +651267503 +227994864 +1619292202 +1204752943 +1749499499 +1065731682 +2085849481 +1984924544 +535863644 +1296986247 +1826457216 +1050425554 +1237980809 +908156982 +154642042 +1390459682 +1710028930 +1467547244 +1646737493 +2026331134 +495501255 +1216882892 +192996418 +942127051 +559916125 +70851344 +888057312 +1924288609 +1593696834 +28866645 +2044411253 +97480690 +256861509 +1516219807 +1302233633 +2006361008 +434467841 +1240599467 +1843801904 +970331485 +390102066 +1522775473 +2020757039 +1628082876 +283448807 +27915433 +871058910 +1993477737 +1495462677 +370312756 +1872325223 +1990963932 +1587195648 +2065321641 +785607335 +2147111774 +2136172985 +1673664648 +1923916735 +1582386172 +1702531293 +1820844340 +1679866862 +1959392803 +1189580499 +834616847 +1818270163 +1624048340 +2075216314 +1514588420 +446896178 +317834733 +889880245 +320169569 +1945917609 +1173329052 +348085003 +669492871 +1019323141 +1843547680 +1039805627 +744164716 +1687027965 +479517628 +662002709 +325151652 +479145754 +650692046 +1998816300 +255578841 +85594570 +1553863946 +2076423181 +1765461432 +1365773101 +1118520032 +452594632 +1036559616 +595084724 +380327298 +403664388 +1041980902 +698162031 +1293544633 +1362150472 +496595992 +319390037 +1710235475 +1166088864 +1338713178 +1406299507 +58410843 +2082877894 +945843824 +537928471 +597396955 +1270995477 +1017074225 +1248089002 +1122328129 +1272653066 +1333683572 +528708427 +1201592599 +951661357 +1894481528 +172628983 +1404255989 +783557497 +767713708 +1784583287 +1187221885 +1809694610 +335261671 +333282871 +1024361434 +831857663 +652672908 +587113261 +1997946527 +1991386087 +1993412769 +2056357371 +1926780333 +791772945 +446802194 +376693641 +2062768422 +1463876420 +1624782643 +1037612904 +589045838 +810982567 +1566321331 +1790638438 +1762643924 +1313319212 +1963267421 +1019416265 +2096876709 +583497481 +656515905 +1136614946 +245708444 +991777576 +1469897817 +1270069878 +1823635239 +2122570726 +1857183140 +1674098119 +1966473165 +1703112261 +1582971842 +1745769850 +347401558 +2029774036 +2122463491 +262686333 +1346166808 +1599762486 +1300299237 +1935212647 +263261406 +719136920 +1578367437 +2025905330 +2032456132 +1394151210 +897837948 +1981849193 +1977648692 +1554353853 +970980492 +75873488 +398647781 +293394661 +1345943366 +74799372 +268481739 +1055642858 +1748897491 +87471256 +611271471 +1184385685 +1833241107 +958673030 +1066676074 +1808220950 +1221359363 +265359234 +1260499789 +374174952 +53088233 +1523761195 +1093311872 +1631455670 +1402182877 +978284357 +878123233 +152537177 +812649902 +708288277 +1706891030 +1783630394 +784161765 +2105538811 +2077025056 +2130105131 +32854536 +198023147 +1038264342 +1781752027 +285494404 +1649535813 +818654065 +2118735511 +460725195 +1885330139 +1779472813 +1682084558 +3205725 +892488954 +2056259510 +56293959 +268766501 +1002087735 +1687749629 +1670949379 +1980372092 +418389214 +1823486556 +645538346 +1126677491 +1382893939 +281685093 +1910839256 +1340949102 +211226501 +1893460740 +1373803638 +409249648 +784241434 +1008072018 +694744052 +286293599 +1826726083 +665995915 +747018795 +1564572574 +297985081 +281619705 +1567778299 +1190474035 +190395568 +1624072258 +1459240537 +1192483303 +1164338240 +982706268 +1025371747 +1582727454 +658709176 +1670910093 +561921298 +2041603115 +1952595186 +325276906 +1235068570 +16338039 +71253998 +461388560 +425587688 +855495432 +1469460578 +1120331740 +1141789032 +1148703013 +1786327656 +1888807827 +565791939 +2084312737 +22943884 +2133570239 +1127303124 +213339452 +1610158849 +439060013 +1405822755 +627013441 +1421766281 +283710854 +62257248 +2080475458 +1954620948 +624178546 +1974594925 +1759732486 +949455452 +1062179847 +1776070526 +1020709451 +1523568408 +54174566 +1876204883 +845545338 +1174506306 +870510267 +1994248352 +813350314 +611834446 +412556643 +750179403 +634778331 +398643234 +1877482528 +848117783 +2008802084 +169058893 +106456891 +488331877 +1590825175 +390167745 +550589125 +1523816985 +197305045 +1174767671 +1350928262 +1957037532 +2124223124 +265624462 +1585624410 +997448927 +1789192870 +1639798976 +726170162 +487254560 +666821634 +1596680430 +334019264 +1480171949 +61031228 +746575908 +82867704 +695809559 +1145219142 +1960350232 +1543927343 +1006537578 +2129409126 +1650384234 +1494869456 +1572750653 +2040551979 +2045458581 +949083990 +90373377 +1072742605 +152528604 +2047410909 +1049482081 +418153066 +1485551671 +2046931008 +59862288 +977866999 +625617522 +547116849 +1644688633 +74814304 +881136113 +977376934 +135845533 +1627712021 +1060244639 +831655092 +625447516 +873111223 +228098787 +1631985094 +855036701 +1878483021 +979370902 +280303706 +1771551353 +877345836 +1229387696 +1861924730 +1950088441 +1381916301 +1761851991 +852086874 +1800069367 +1099920014 +751534234 +1859931656 +2077787013 +1377151756 +259564857 +1574991998 +1451966061 +1140700970 +404885285 +1587811594 +620929344 +1465129924 +271983038 +1246376860 +190757499 +500081826 +730878306 +1045794201 +231081199 +1710249209 +1326097907 +2002632552 +440111397 +408001956 +1717073634 +242716190 +1789918257 +1331441977 +1094803064 +1442503976 +283878343 +1846337298 +1154951984 +214181708 +1076005406 +1414516841 +1789173707 +380487819 +407734164 +46575344 +1968299413 +1028663508 +1511705268 +92798804 +127556720 +1702462767 +592880630 +858435026 +600773320 +823961829 +421200587 +1926871228 +679110734 +861311984 +187389536 +248700720 +1104028174 +1977307793 +1580142698 +51347590 +1272328121 +1864021041 +1897684888 +279796458 +2078202750 +826206647 +1694313299 +1719892809 +1206694466 +2102047463 +1766468153 +1027510232 +983227323 +1130689773 +1120309036 +1110784043 +685668892 +1713189666 +1969219070 +1286442213 +389667847 +242936009 +1065829793 +1068778581 +1104247994 +1253219329 +1317479302 +60792520 +1083043474 +750138352 +112140111 +207887947 +466675745 +2009824999 +487684405 +397394847 +688547998 +34514057 +2117287656 +1895242465 +2136561520 +1736272161 +775269049 +972305196 +719478286 +1895578085 +2083089239 +1405147179 +1461284103 +1904824661 +544105744 +1850951950 +277023 +1609935537 +772246884 +1104525017 +715671218 +2089726186 +1165317537 +1798714692 +692380890 +1277457648 +2006602639 +1159056635 +1139799000 +346803397 +1556451483 +1828346998 +381317454 +1526255491 +1576105815 +370395326 +1115044005 +203891216 +1342700522 +1834522291 +2099469301 +1278306114 +1092185822 +1413269756 +1035647127 +1636291566 +1116738059 +1035924150 +1098743455 +1888984943 +2140449167 +1814414673 +1831227481 +1158283057 +1465645717 +376124723 +288257057 +1324764709 +1535181358 +1428056057 +1671568106 +944149193 +1108919408 +2052885560 +322921037 +537541575 +275797238 +1437965042 +741432792 +1618497761 +1125003685 +693418445 +749320227 +69705860 +2106688202 +1784967354 +1705997426 +1075942613 +673407857 +657257234 +817443908 +666373376 +324188259 +501187741 +1824656433 +1789833977 +877312464 +2112913491 +967115038 +265010174 +1393485900 +491199496 +1209159368 +354921660 +396601408 +1532080405 +892463236 +672398646 +822561799 +1633896028 +143412759 +1947565484 +179830825 +892732986 +2017271344 +139035379 +530216693 +1575785123 +1214977992 +1203624550 +85558709 +2032421900 +1869997926 +409746968 +386125993 +1547170712 +52097297 +1263438457 +1512600555 +1019212335 +1528448632 +758602807 +1510411831 +590124352 +1113524468 +1907013239 +2122204757 +2005987704 +431928238 +797282908 +1492400084 +575340997 +597364744 +1672230909 +1468073984 +467152441 +1811266289 +1998290677 +2042937564 +878760633 +1054431579 +2128496273 +763698886 +776945857 +390759593 +1149824879 +176632921 +442856891 +265779689 +1689233476 +1462069226 +1794228321 +300352636 +824997410 +236869025 +1413877104 +584527001 +211590134 +1272381160 +1016455239 +1008873042 +617297596 +1591796237 +1606237786 +142044857 +912386573 +2073390227 +1953311146 +763193602 +1968844143 +684588132 +1817625181 +1949856768 +1448287018 +447087390 +193132714 +450628249 +623720312 +635989605 +716407938 +165470140 +2098058831 +363152611 +465822776 +775572593 +600021636 +1879699880 +1360099595 +811611770 +1004597392 +229071186 +1820484812 +1621894988 +1820867423 +1279238951 +1763939846 +585770348 +1205145530 +1569767344 +1348963950 +1026506026 +106871828 +1019105483 +828879146 +1555158846 +1466192874 +1022011860 +2005787096 +2089913186 +1658001465 +574711386 +107899678 +1608576649 +937863998 +573722455 +236665594 +1537885634 +305938687 +1596765189 +202013757 +1310536080 +1825836376 +2022498569 +784947420 +1499220151 +1154253872 +401403618 +2084990500 +211915755 +1971170963 +1286470802 +1238421781 +2078042791 +158092638 +2067300927 +1485717990 +1624285512 +941829140 +1344021438 +1566715050 +452346957 +1918732824 +1674614728 +2060923606 +709113174 +100853535 +150105553 +99515161 +406792223 +1746870742 +301528918 +1717328303 +1425223470 +176543839 +354792075 +776959974 +1330797712 +756195694 +714466826 +1542713467 +579883009 +2000937628 +633651600 +510442152 +11546618 +553468879 +1996160142 +1635832130 +1495298019 +1192697932 +1055063532 +1947644977 +963947109 +582194613 +1861084935 +1673060283 +683048148 +2011190488 +1772575444 +1089840371 +1610577583 +2074104362 +659685026 +888317405 +103164554 +1014477102 +1665277379 +1433962266 +1770672796 +232260557 +829192085 +203072157 +85714538 +1462843685 +713514309 +97261156 +2016312564 +562190804 +1733093287 +1364126936 +1754888736 +640673171 +1164288265 +571352197 +1222867784 +877889552 +96928833 +1905915933 +741596393 +1869504277 +848272656 +204690328 +1796124992 +1507957683 +1093007733 +1899289546 +374951137 +610801465 +1185768164 +2145623933 +843062022 +2014960249 +201212442 +928776560 +1330320286 +914726751 +1026037717 +1199149202 +1476917555 +611647356 +415792490 +1084322644 +1252320527 +1580080755 +1655674841 +327704664 +310486660 +1752603674 +86136949 +1052083053 +1474624304 +934409605 +1256773381 +1123265648 +294883640 +202297466 +875071546 +669834777 +813098931 +2060839710 +667975062 +1656160954 +1928316311 +869187504 +437453866 +1111152949 +1783914256 +1463491583 +162818503 +1113348163 +2075138939 +578610994 +50187159 +1179975819 +11208101 +1705862001 +1507680483 +321694761 +1310982027 +1593817432 +1373777814 +638122683 +380743389 +483067547 +1761388331 +675627030 +685365014 +488976229 +1345461807 +1498463945 +402332291 +2013436870 +1007141251 +183164954 +735140726 +1444595118 +1294317903 +371571334 +760603053 +1457136407 +1484919498 +688258345 +2035747401 +1535106657 +1868234164 +2046955502 +1093485010 +1228430999 +221166616 +256983390 +674764783 +1594944430 +895106073 +1055508172 +2078011978 +509010757 +1731135202 +615893344 +997986986 +929113362 +2114357289 +1400319278 +795066584 +974014893 +1583484232 +1530207310 +271126363 +730318488 +1901778645 +1031729416 +39971247 +1239214495 +1719987761 +2075718648 +626837504 +1440738277 +1975190502 +1720322515 +521685628 +48873470 +1977305905 +1196450411 +1643817901 +724928330 +104474936 +1574346231 +1233939087 +1835610138 +42755927 +84442426 +617239852 +9629568 +1484761704 +1412306436 +983644461 +920762288 +795030099 +1254770824 +1651080776 +549325096 +139016593 +1691052023 +1788539591 +1859004354 +1619287023 +267893447 +1152258984 +1446993878 +1988215962 +1673944612 +1495867348 +1818038219 +722911376 +992201601 +395482902 +827386312 +419064184 +1629421989 +515512802 +461820111 +1713864415 +1132752655 +471449680 +1051142471 +397575443 +1455094141 +1971904760 +1192605542 +562381318 +1475501888 +1741930638 +701397911 +1019070264 +1382986581 +412918617 +490873639 +1650880029 +1565177601 +1937867517 +1491612343 +1091638566 +1286251218 +1162166915 +1814549942 +130969171 +1557649817 +494452606 +550033356 +1039588158 +1009965408 +1011853467 +605968926 +2142718063 +1483303147 +1657111397 +392809859 +790913641 +1481532509 +1585415401 +1353294959 +809550750 +1179862392 +2054692870 +1828621014 +415365325 +320127839 +172011005 +2066245354 +1885305441 +2109878523 +1410374050 +829460359 +1248646093 +425057317 +496526653 +1379615264 +1982707134 +990979259 +1929648620 +874811644 +2000944667 +794018440 +1480780570 +1996179083 +129837939 +990408320 +241505294 +920751580 +324457181 +1826920695 +126562891 +1134007931 +859299439 +33772113 +815145297 +1274664765 +353899953 +987156303 +1193426471 +91721746 +949551178 +456316873 +921182105 +50713623 +881374190 +1417708758 +1430328887 +716597676 +261204369 +1212493860 +1591409321 +114665388 +2006512300 +924706243 +2110844471 +2136350239 +1915114563 +204866117 +909618172 +92088097 +2031786813 +1036181063 +1226096028 +743602604 +1069953177 +2041241326 +2018267369 +1423853130 +880913981 +1064210193 +1515574876 +1830465159 +1520527066 +289273333 +1881178782 +254417609 +1706982091 +1164024021 +971015285 +1968186460 +229034233 +414940958 +2082851848 +88062885 +1339647202 +2046212672 +76929477 +1107278117 +103595141 +986547649 +1199366214 +2135381954 +2022728712 +277978595 +731500911 +945198241 +171736273 +602284632 +221567723 +1052650254 +1666494825 +1737142599 +735631765 +1039538244 +2026415932 +469326899 +1293955853 +1585914375 +1633350920 +117487490 +1406617187 +1862385154 +532428449 +1341985388 +1950448039 +1872075651 +1240714412 +2027377516 +831870120 +1344309553 +866441517 +2031236335 +1332207860 +741686582 +161731282 +2063708771 +1686884823 +333467555 +518509755 +1908452547 +1386117809 +37520933 +1498111498 +2121749574 +1077059177 +1377043783 +443592825 +223531382 +815474510 +2076943745 +341018872 +74608050 +1791845251 +873447321 +1416593438 +1594809643 +598039324 +509824202 +1474703511 +1429909445 +1854133755 +193661381 +1313662132 +1038857967 +935347963 +1475393414 +955083090 +474749138 +1808860969 +1473592846 +235718037 +1047495130 +1511113779 +1733829536 +1021761056 +440689308 +963389671 +1465353881 +664220690 +1778864181 +1394813978 +1005239562 +1853472231 +1039175582 +1878686884 +1122582021 +486501577 +329242560 +1632406223 +1961205088 +1759152005 +1339056331 +7382821 +925330489 +230430650 +942730784 +253240255 +1185513741 +1417479923 +2062101224 +511622939 +1653197960 +962112706 +2022736718 +1239543848 +1983873762 +315942378 +55449871 +1301743995 +980163068 +1834314053 +549074326 +1985402630 +1540302636 +1588249908 +1716605866 +515401010 +2074751485 +2045848427 +323585 +1888472925 +1657516784 +1339379916 +1895855747 +435363626 +1569810567 +691102883 +688603881 +607840660 +2108582806 +603221458 +1119463599 +1614297119 +1565334164 +994716669 +706357319 +1401724279 +1310659047 +761807191 +555984626 +143338467 +448637596 +1105058952 +2128741097 +1988940232 +545825212 +1697863316 +356857594 +473093049 +1596228095 +357181180 +214082327 +1106261231 +1696561096 +2109938074 +1541624857 +1118888015 +653557309 +82745091 +1726728675 +614656468 +685966549 +698708626 +81469939 +103817065 +1693425295 +787827258 +1505541344 +856600694 +1549634449 +2061525971 +999939161 +1998272045 +1019101275 +981196611 +1839728630 +1564926488 +531576279 +49102576 +2038019537 +2127804374 +406283756 +104618216 +1086581957 +2102844853 +67072642 +480723167 +1074249220 +720629952 +563468258 +653494248 +1335286420 +1249434807 +1352202874 +1416756359 +1353251872 +898144522 +57099969 +711309569 +1754745216 +1606734419 +625351892 +607200730 +1457522816 +1644453167 +1588397341 +1149767798 +1061896007 +2119973620 +1198870375 +952431897 +2100294346 +1605154131 +1057050113 +1039392655 +1560515336 +1124122756 +1520115822 +487280909 +1844752708 +2083584080 +1140775157 +1032555480 +1185535239 +345494383 +301828191 +391303464 +1243638905 +358928160 +1102613033 +850900474 +1965662579 +1727964925 +1458101204 +1275701748 +1224934444 +899014897 +277985898 +139346804 +871504869 +1476856273 +1091778701 +824315567 +934526757 +1345166 +1863708222 +347558445 +1125467922 +1236340397 +834839354 +822736982 +1172440829 +1975614511 +1855292462 +210492421 +173625247 +9637005 +601795885 +1417264152 +368565166 +1704408918 +120680978 +186744097 +1284890195 +1578782182 +1462445845 +362340991 +330313431 +1740431744 +501687795 +1201818300 +1069804369 +1593466496 +2026133867 +2004331126 +1594811663 +1742358442 +204405924 +572795937 +831215191 +1039245278 +1395532920 +2003656020 +867376142 +1103341734 +66664793 +1041001389 +1112978740 +668460678 +310781893 +1481543906 +225385948 +431462872 +1668288003 +1510276143 +2010245054 +983250201 +1872617135 +193074838 +576198297 +226821282 +1394893138 +1646002666 +1820287779 +1273543358 +1502850145 +1267615794 +868418152 +1707256069 +1840411731 +1699633343 +599017699 +1088461003 +1555805715 +1466393841 +44319090 +1622470509 +359911582 +1157297830 +143447539 +670693476 +491358088 +368833488 +1102156348 +12162443 +1879109631 +964917754 +995412644 +1604243118 +1157992592 +1571610941 +1831064401 +405402083 +1070129960 +1503868532 +1678945441 +425496457 +624000678 +399879945 +2132752526 +316928761 +2099513288 +584286577 +1405389765 +1507835355 +2050680419 +1449708855 +982822216 +263108353 +459523037 +1126269756 +933801829 +950881125 +1495103244 +2035958177 +963043568 +1226729227 +853392284 +1958456213 +683488698 +2011384876 +1382583506 +367069451 +269303311 +305229818 +1870937983 +1948248752 +730726275 +347455013 +200645049 +715995153 +664383774 +152674689 +1300281731 +2069773539 +1660510045 +1203478502 +1371998746 +495848613 +1466586855 +1831521783 +1622118369 +252905037 +634919260 +969737965 +141379566 +1597962829 +48983545 +994771850 +1408935394 +732472243 +858673079 +644035252 +1099541694 +1127976390 +949265071 +822996029 +928741495 +1679991346 +1170451042 +1129386544 +248502852 +1834834816 +1282061234 +1548784583 +1757124708 +795087631 +604779437 +981639806 +1290936244 +2071366292 +665677942 +765570966 +176787681 +1300597202 +1735308931 +318167248 +751076383 +1784292476 +1312939098 +12528129 +369281071 +24128529 +656563382 +1468822765 +1152104920 +1605828453 +144335146 +2080846415 +1138336151 +1314786188 +1062749311 +1386839003 +1002137357 +197326897 +788139938 +611778417 +992414528 +1392919375 +1593418223 +135867125 +1316802020 +111612517 +901438091 +1493589701 +1412209720 +489263374 +1811756949 +15802455 +126072203 +977212400 +28330585 +495353274 +1001340929 +684893967 +1964176040 +5962201 +143238772 +2108511186 +2086808616 +1281574923 +1275813727 +1002074280 +520930279 +130467436 +1199401177 +1309070217 +742245853 +44332058 +554505945 +188180428 +180199183 +1871307965 +299792946 +1081637274 +1217414018 +1712002666 +1570900648 +881687320 +1727805121 +1696972851 +1858899720 +1756135706 +44842478 +712757001 +293546025 +2009018518 +718719203 +436784797 +1970046056 +658044171 +1718359721 +1098376135 +1660118451 +91806352 +1228843571 +712035981 +1400876569 +1971089424 +756368039 +1955382514 +11786205 +936567222 +1679206831 +311579151 +2018204496 +749137202 +2023581817 +1441621496 +1630824522 +1603903290 +991110700 +1342240594 +1212555349 +1035953178 +2054997595 +1506101374 +897488048 +626233150 +1942886172 +720050456 +1284277322 +1513762245 +1818426592 +796912125 +1605568597 +899786515 +1508948106 +858961518 +723392292 +117832497 +666860385 +735178497 +1054399719 +198583568 +1046757648 +925120567 +947720770 +922855817 +219258416 +431061644 +379275459 +1210369116 +1773302238 +1591830808 +98838646 +1680816186 +950448535 +996326694 +159565688 +745851059 +1716377150 +1443843010 +112129656 +1387320094 +93271488 +1717698253 +139622962 +1602219594 +429176123 +863015254 +1720052092 +1096036508 +1598193751 +626968163 +1294620077 +497467751 +1552088731 +94857199 +1420323568 +1771347147 +525918844 +1799599027 +834232615 +151737434 +1243946188 +933071261 +1832553620 +46911075 +1929397955 +1992119309 +792762134 +1498291457 +1288478671 +904891790 +738127904 +1381750159 +475106395 +877750866 +836486106 +904282518 +1740766120 +409054550 +2000319027 +1191476223 +1036022713 +1147455456 +1688943974 +440627796 +1242312655 +961783894 +64491295 +1768231499 +613899273 +898723910 +1919968934 +1857845461 +1831795171 +1605038906 +1904756536 +1613709478 +1449674567 +550035022 +964517288 +590669591 +1454926812 +1702645192 +1972419750 +1930033207 +432912410 +661422208 +686832078 +26194882 +1070476758 +539667457 +1217671105 +2106499472 +1687122913 +759131431 +399643620 +781951920 +1720915325 +464134916 +402699772 +187330950 +1362858826 +175185058 +2045176412 +1047170350 +1780223964 +1802449300 +513396180 +1082414884 +205000675 +1477913468 +1673084475 +1659927487 +1033075012 +1498020577 +1442477047 +1465987422 +11959138 +2129309125 +1492182304 +1082435896 +521492934 +562369761 +1041451720 +61132199 +1321501192 +1441095341 +843084119 +894932869 +1905230257 +1245783891 +1082263820 +1120605435 +1420968949 +979956584 +20292137 +1053709266 +634922236 +533688318 +2136124150 +839922911 +2011601786 +1661724977 +352366751 +897193151 +1012261906 +1794843798 +215696925 +1024221044 +1776669275 +1707879230 +2106656941 +150678561 +122765343 +1000625013 +211810760 +1444266536 +294236706 +1054894879 +191715757 +51983315 +153195123 +1273979577 +1172588751 +1574164072 +106452513 +1192880888 +480389690 +741374750 +1726569206 +469030192 +1581297661 +1590687345 +2130755169 +1933664412 +340396848 +995533428 +1581024562 +556093773 +2019754472 +1210210189 +116489355 +1978927765 +1360888750 +239254699 +832069131 +1572699510 +1683521235 +1126305837 +480110742 +1875236992 +1178289153 +633305865 +1001732922 +203394256 +59986289 +1108185435 +1396275144 +540375980 +1849560185 +975360703 +1009406172 +1283374199 +418564400 +992677694 +1069554963 +758961248 +1988211122 +503095878 +1315055021 +1860481946 +1713306067 +1431544377 +1691926064 +926711170 +1670799076 +376511547 +351927032 +1206836663 +1502817384 +832037774 +934590007 +533622889 +1465343639 +1936322929 +737017145 +1525329929 +897024717 +2133292290 +2065705909 +599101254 +961169345 +927628433 +1882475453 +1379733745 +1920306127 +804546769 +2138694993 +1761033601 +1307642647 +1306266366 +1474031900 +873465066 +590327095 +1018474316 +1800176236 +113642523 +1394985863 +4619621 +1320479186 +750319599 +836657395 +107585546 +1283942489 +154517387 +2043908475 +2020959634 +1679847316 +793449544 +2006768276 +1598069577 +1392550799 +820453973 +378214362 +1127542604 +52704070 +151036842 +1932089373 +43915415 +1912070443 +1092248372 +1350181782 +1238618695 +1965713439 +1940508877 +109609363 +1618406027 +2054151401 +1504595226 +1623025648 +1227146939 +107431178 +312199396 +1334732485 +1391373667 +466716783 +1231157313 +1264849653 +2146564099 +2024606857 +1124134282 +1597150028 +1269674008 +1944588255 +1975364390 +249732965 +1997292326 +2126401232 +34338690 +2041207741 +1890988028 +1126587063 +1243905875 +982123075 +944816854 +1036931105 +1091732439 +415739233 +943598858 +448844017 +2038764882 +23262149 +556275195 +203480630 +1357994635 +1947648862 +670197413 +441668300 +1065014868 +669277864 +318791509 +41665502 +118944244 +1588465518 +1986253757 +2094308634 +1838198483 +1836062435 +2073226219 +1872537173 +1729786529 +1816730599 +851640588 +826208756 +651370026 +1796457442 +1863139861 +1743102465 +64713028 +659255071 +44462835 +2103477910 +682517221 +600738030 +159474892 +2040511856 +400903245 +829672305 +334696508 +1465918113 +1498950169 +653488017 +1507583615 +1617894413 +94469887 +1346353724 +1564719399 +1932668370 +1034932512 +1490461970 +1657721896 +617235393 +1159708921 +361878836 +1443444149 +1811078948 +10852631 +1159100363 +1406697765 +75565659 +1818355434 +1451160600 +31559921 +353389007 +2051898631 +191034813 +246417215 +305318228 +1020707118 +581113723 +1771236341 +372173639 +1234601741 +1131336308 +1990068052 +1329071628 +330206384 +1407303803 +1114256351 +1365138896 +750282126 +624494599 +1982374289 +1909991047 +986373435 +1278334791 +1573586347 +997226066 +289951506 +832800465 +1072791725 +2108306940 +136477417 +1104351646 +314212300 +40892400 +1295386459 +560629515 +346210628 +168609929 +1141743239 +2117446969 +540783568 +228861332 +1101299629 +383367972 +1557932960 +1431506014 +1790671776 +524705663 +649161262 +393470254 +1149200262 +484051904 +155977653 +2135573698 +1762386695 +1729564001 +985316116 +2052338201 +414880818 +2058107842 +2013161493 +551358235 +1014975840 +179890145 +592250636 +162878652 +740519661 +938461264 +331488581 +1882262900 +908424586 +872272150 +2111124232 +2009724215 +1255640122 +1521573544 +1293746581 +898828250 +2046279208 +1942907844 +1292298504 +1047995822 +279476100 +1448276158 +1036085872 +2041862795 +1030356511 +2021401989 +1946717348 +1445237329 +1932026183 +1812395193 +1996595564 +799518375 +1992285339 +441362552 +962397027 +585321352 +1379823817 +1293885609 +320100604 +140764755 +18674111 +283741188 +3005322 +1274314233 +1805314732 +1296751904 +25658836 +1704110292 +1092176100 +1317957340 +604622467 +1371652200 +618749850 +1640708339 +1266031347 +1649106361 +1514626680 +1065265047 +946860042 +1299169215 +730176592 +795971959 +2098687591 +574978283 +1237334511 +913600970 +1160299635 +469674680 +60002931 +1480400239 +610439435 +78677042 +1764141427 +613444758 +1352991276 +1421972512 +1910196662 +1378650112 +978599156 +854889114 +549123804 +1583221623 +79057666 +1167873655 +1076446315 +1345089013 +669496368 +443589347 +262870412 +1616356411 +1742758563 +993047004 +264844722 +1693962506 +1568025288 +1502179233 +460079828 +580841275 +1971853914 +520082760 +2061241515 +434809701 +598759802 +1677899294 +1048254459 +1951751078 +952388158 +810967473 +1182917542 +1930987315 +1665856587 +1732041347 +1366725290 +1744914253 +752431354 +295687957 +942519618 +1421927722 +739277305 +1205390030 +890800485 +334552220 +50953387 +1155645207 +2028514726 +1618978675 +510340793 +341110906 +52336302 +334711059 +861193666 +2113577817 +769520760 +1459953469 +1643993464 +1817775220 +1264220899 +448897974 +481259045 +299654794 +232401641 +2147115633 +2031696141 +1599126932 +1744546238 +636643847 +1894814889 +539582209 +2058571569 +486608546 +1744972239 +801888407 +821160766 +1795925626 +1957533614 +702191844 +1267420653 +320390759 +1043302751 +1319756956 +655101818 +1904496417 +1285851125 +1424622579 +1216966238 +782360941 +1094914151 +333703490 +1231258916 +1576173196 +633358284 +1463660557 +1575805181 +517570777 +915303841 +1172867772 +1154214624 +662635083 +1712449981 +1065302545 +1149243629 +1309938572 +1867190952 +1970404396 +958380551 +1677240919 +525112592 +78317556 +1997631678 +1568415343 +1398074512 +505249849 +1325428113 +536441990 +1929872428 +394910703 +1318802931 +877302931 +728614193 +402578199 +305992479 +1361972477 +1866238757 +1881797661 +1879543254 +634058950 +907181785 +886274230 +1296694033 +472148118 +1951576776 +298454015 +1782086690 +1671284080 +121374763 +592983593 +1201041351 +646487355 +671301150 +1051189382 +67419051 +2069375662 +1556439231 +1392847164 +458334004 +1338828011 +1787757867 +1777136936 +68647294 +368888413 +32231487 +374639773 +1730860890 +1898470244 +108953786 +1462920497 +385045547 +1016135571 +201711079 +1681739580 +1488283689 +5804207 +1980193595 +1122886732 +1677088288 +2101568358 +1715870325 +730645991 +600572066 +239687827 +1781835373 +667991117 +161579842 +1190790956 +2060838281 +619913846 +382135319 +1701112500 +249567134 +450782613 +2070000913 +281798622 +825422387 +1653378156 +32785218 +934376173 +968815005 +417830765 +1950511745 +1170526084 +2099570346 +1291311786 +1176330292 +1932280293 +266714870 +705934932 +1886365004 +1982585196 +1436580923 +339453422 +74789375 +1070932649 +1007444539 +236369217 +114239957 +920799172 +856283064 +496375277 +474428024 +1105850198 +947157890 +396945290 +1387648820 +1772580277 +2050323446 +1420434039 +559472803 +871654803 +1838264804 +362500900 +2042180887 +1790351502 +1653812686 +1071027531 +1575148148 +1920527557 +1776962463 +1314029504 +1755629105 +1066059739 +1653482926 +1830418480 +2136992388 +513443817 +2066787698 +103748697 +1434242989 +775587114 +600123974 +1908671013 +1881437312 +1547281865 +158132655 +1121602485 +1172378494 +60972453 +394552876 +1731851297 +932627256 +85334032 +2094352197 +827324496 +1875685535 +1600681236 +1898352027 +1303350035 +1373725145 +1527830843 +469895891 +981870602 +446406934 +2123378817 +664805434 +435915674 +489338986 +584109484 +539664371 +1923581975 +1359696598 +1139788346 +1684769340 +1093650263 +539586563 +1842901996 +67769100 +1711965057 +1903874449 +462321976 +1296332707 +689018058 +547656008 +1243201256 +1516342554 +275857895 +696398844 +1267210933 +1579207930 +2070123989 +647558128 +2049103821 +904510943 +1093965062 +2024998990 +1569316378 +1529880736 +366854328 +5942214 +2069545108 +142952655 +1365638813 +1061849806 +1827721996 +311805428 +1601436369 +1523140344 +379574528 +1165917778 +1279531145 +841896504 +314766837 +1968549203 +1389552512 +1557968094 +1337408109 +1665410408 +106883290 +457135395 +1097134690 +29523632 +1104693523 +998754864 +934034575 +51174938 +876270206 +355867305 +1581055674 +1243124535 +361809520 +1503117134 +1386077190 +1727448333 +417483292 +1066315538 +2039253761 +2018919661 +441972234 +271344641 +1037353792 +1721503380 +1113241145 +1352120629 +1542568935 +355310009 +762605075 +732493397 +2020720417 +869488366 +1189628792 +970371460 +899011998 +146838667 +1969126324 +1833046573 +198013605 +697912882 +41430231 +1779069280 +1941037417 +403239751 +1134702766 +1179630960 +2130688084 +1552186059 +98462850 +2022458197 +1423622072 +540435085 +146319190 +313492216 +114454817 +1259560335 +1665612846 +1657023752 +1614870344 +280734273 +242033501 +1488107114 +1150222639 +1431662293 +310994926 +2049234637 +1578500961 +132637602 +1734797563 +1776514566 +830550484 +1776227794 +1408100198 +624104254 +31983897 +395319317 +1803735214 +15188333 +1947505376 +1902198064 +2037646530 +1223643800 +295149501 +36482072 +1537136017 +409604318 +1296042407 +1055265215 +2066628071 +763429103 +1335999488 +161177924 +104052569 +338738480 +1592840218 +415047495 +240489469 +1023857531 +547685097 +1975287032 +652888449 +1378235582 +1604031178 +2060988648 +2002339836 +1636015075 +308824317 +1658591402 +1651203408 +108846045 +1413305818 +1541366290 +1332489845 +1708455320 +1577848362 +722142214 +2118059638 +726407121 +1777407429 +2037204061 +1489836225 +965923270 +50898338 +1593888794 +1304661750 +1643738556 +2008936290 +1545151219 +520112439 +409137739 +1372954604 +1173000888 +1787373321 +829502134 +1086505888 +1642229509 +318033562 +1395330205 +1153337263 +1969236970 +1504176250 +419159434 +1363119613 +689182448 +2127614754 +793484327 +1411324662 +2098190744 +1519891449 +1041248444 +1987911158 +862244026 +2007171714 +2038809496 +308649172 +1164349816 +1535064404 +170101814 +562017387 +2055176843 +579239554 +1934971991 +1080694083 +219129227 +616990478 +19716324 +1861358737 +935024040 +1415046529 +867212352 +756777362 +771739132 +1286371786 +2119896975 +1460921580 +1266502892 +765897655 +724762594 +1217209989 +138305456 +1766011038 +1057637499 +1000549482 +1625699104 +948963347 +1309198654 +642565272 +336544103 +1479300469 +1204582660 +244237298 +2058540023 +992071003 +1324931381 +130185602 +1609061481 +1344647705 +1991544339 +396601873 +612210587 +711273044 +1153379236 +1383949719 +1997644830 +1125792563 +697387651 +1116664075 +1891690218 +1422150245 +186390416 +2029995674 +1040677636 +1244027915 +883061508 +518893092 +45507614 +44776515 +1161458365 +382051717 +1524076984 +218557377 +626289015 +1435133359 +1210628380 +1951220396 +1565318961 +672206214 +1148384454 +1409379653 +1068808087 +1760595041 +2120652697 +74703675 +997061112 +1970813879 +1200496239 +1694448763 +939994306 +944702809 +969115360 +1126384722 +827214836 +2009792996 +222928989 +1710276344 +381202441 +268436603 +1755052859 +1542660806 +650488320 +1131646195 +1761218183 +1276777335 +419295906 +824362915 +1080514084 +1984614868 +1496569129 +81414890 +1246510873 +417893569 +1842009931 +1219679922 +492597244 +691587395 +1043010153 +1693093483 +238552510 +1983004460 +490312645 +1207667870 +961905534 +1317527481 +1069977219 +1184834524 +880320177 +1451179660 +1453271127 +487889389 +846356818 +2103759448 +1619535584 +460091353 +1233053135 +2038831491 +1284454268 +166083571 +1875962711 +633539750 +247498461 +974989936 +1051433319 +2089508392 +47186210 +1544030563 +633612139 +1090196363 +1089640399 +872164649 +925717175 +1579953044 +2079832520 +1887622710 +749996877 +1002326091 +924973586 +1630317054 +306022103 +230761065 +2118206443 +1152378921 +187036865 +1590258380 +1612470274 +1420090001 +1481606223 +749440894 +1586173572 +1210085286 +1382980644 +1833672034 +37591574 +286930315 +1775696778 +84777784 +1830960879 +261825270 +1174974147 +773117630 +1133989919 +2100691323 +205587026 +1066338791 +1840830385 +955583903 +2068664882 +618320323 +438417309 +227203337 +849081388 +409140105 +1379582258 +1036118254 +1999398485 +844568884 +308724607 +1333521060 +1594009779 +1894898179 +396122698 +829506775 +1581086565 +433714272 +1116437091 +1209299696 +518492056 +799914322 +1471124966 +1693466203 +1573031952 +457631237 +1646673878 +1778618978 +1523970029 +1340020615 +586719233 +1445151263 +1958340938 +1025136542 +1672354601 +659938679 +1434276647 +904453211 +1696056933 +1286191484 +1749022096 +2004781540 +472228896 +1195548227 +1752196071 +868351594 +2025055002 +1185798989 +1302065866 +994008445 +247615037 +1820557922 +1793922767 +1718740003 +1366540478 +1219471071 +28887592 +865730708 +850606401 +1552857621 +58267676 +1437325634 +850525237 +2016608614 +314978529 +375396190 +529063645 +1749255176 +1279849401 +77636930 +887963013 +881387849 +2082418470 +1360191909 +2076936076 +1687130894 +81059856 +1954507431 +725446235 +1383125722 +801032228 +973061272 +1056199997 +447471348 +544317627 +275256827 +1666942419 +573205219 +1140987535 +370065173 +2126062841 +1199255211 +1807390807 +829104430 +1068380178 +2122369336 +1204500620 +1597443823 +1724140865 +336866373 +1675080754 +464620230 +1218254223 +1610015576 +1824812139 +1147706651 +1149662822 +1905871995 +954730434 +1875109057 +1141514070 +1755762663 +700686681 +50230419 +55750363 +1245004308 +325487246 +1722692782 +1818209528 +1466474781 +2092757955 +1796788721 +518246345 +1752665115 +478409503 +1586626523 +1727550803 +1682910123 +1036586698 +1304208020 +2019776496 +564183804 +1768828250 +1090547071 +26715733 +1446156742 +90770075 +1176378555 +1204545089 +1045500509 +904003965 +198575511 +653779524 +1604690646 +248805930 +709529887 +702211307 +574293176 +284739022 +372937187 +2040767958 +230013329 +22242260 +411530655 +1982678444 +500651763 +1998157178 +1562745600 +36078238 +887260228 +719469972 +2055854734 +1451444033 +340814575 +998918158 +1478159766 +1786971317 +1089688233 +507054673 +844032758 +2135188742 +1411058638 +1042608270 +641484619 +868265637 +1291414200 +1351014506 +1570476944 +1865707377 +1635753528 +1943414131 +1758991687 +1865766858 +1965656391 +23038694 +1700961654 +318824506 +2021195872 +1116223606 +354902744 +760972452 +1835693579 +263273830 +64932837 +29024506 +1262191988 +1543092603 +1815995823 +204396573 +2050147277 +512544933 +192101668 +1313722267 +1555153203 +833586287 +34504256 +699083756 +37117145 +1604981200 +417307485 +1672870674 +1400911683 +28815524 +1391153884 +1219084426 +51854218 +944631890 +1537908932 +2073050090 +2060855497 +1892811676 +686538894 +1749065428 +8601859 +751471732 +1778089934 +1270793847 +147080687 +1446602109 +1475190421 +49744316 +1959147042 +1667292089 +1363466584 +1366816598 +353394728 +1397970840 +2065900354 +390511873 +855468393 +335724191 +2063382547 +108896428 +364539715 +1307052783 +1327980855 +416393933 +104201026 +718406139 +341960375 +17572875 +463734168 +1028499269 +1766638303 +472336027 +1779971001 +1397244589 +1743129874 +1927051689 +696363050 +1070836647 +1976796005 +508026444 +590645088 +1192778941 +1874843042 +944039816 +443266134 +1793259748 +1334551690 +1298734527 +2128983939 +1250450589 +1407630955 +346040006 +410019725 +588128162 +762433939 +514220751 +1306534302 +1104394314 +531793626 +1770268470 +2132893584 +150948281 +95120849 +1765380937 +1548192870 +1838250723 +1544948978 +97072272 +761603723 +1374261336 +605098716 +1352248811 +419556629 +332458111 +148804980 +862822763 +2125717859 +1483356670 +14073642 +2107218151 +586323611 +1421704598 +305774509 +996343336 +2009832760 +1068208449 +1510564087 +1168883414 +25119115 +2042357713 +791668236 +10529051 +45822346 +886789085 +1775909989 +1594015216 +577556161 +1173375319 +1691087488 +1339159884 +400153007 +148702557 +543925047 +819709637 +481160668 +692730027 +1682532400 +459394879 +28603049 +1696606043 +419129382 +614926661 +970826993 +724903892 +1611269997 +833176105 +1793112341 +974350437 +2002059520 +1818231456 +869224502 +646244108 +1828760508 +915046849 +1533033194 +1457186849 +361578417 +2110589355 +483078520 +2052665906 +1302265591 +883231528 +53884815 +1846190638 +1702941165 +535045483 +391437018 +1237989917 +994440362 +420040067 +787112312 +1413569745 +1034966728 +1757939305 +2138473637 +498753078 +443631763 +1784102330 +1473103515 +298207635 +1454850138 +194844369 +944451743 +1136126998 +1109891218 +330001289 +445830199 +1471469636 +293106996 +928908720 +1376651894 +1595372587 +1812140248 +1430536709 +1294079578 +1367597765 +1965582192 +1685516596 +458104034 +812538906 +2105556663 +1245216347 +78625003 +993039744 +855672004 +69614992 +1491792822 +1299303767 +1853717322 +817412689 +1597511402 +1161083813 +1012257058 +394479498 +149727163 +2122148277 +724480787 +595557363 +1446134265 +1017587784 +1524466083 +675302511 +465476723 +1189122683 +2105839220 +1759556301 +409236800 +1923937764 +1297589249 +867340834 +588993022 +1255662265 +2112557181 +667618026 +101218361 +820745538 +737233018 +1593011183 +2120049305 +443466693 +262940224 +1570077060 +1604550506 +1275197282 +1964556558 +1754277669 +1249861911 +541553697 +202351384 +548512528 +1559141481 +1726817467 +1223815039 +2024618205 +768456502 +1182170611 +1636690858 +1177693302 +958624727 +786796460 +2045034137 +1547617750 +2042458725 +2010107670 +67752128 +2143677086 +683369560 +804985146 +1589204621 +655935218 +1248451839 +1852144845 +78528630 +705518697 +979858479 +2043085188 +312312719 +82236743 +437155237 +514664103 +630749271 +1996296719 +93997923 +1854564311 +1873431276 +862454425 +889251274 +1362638486 +2040147728 +1847876002 +1951298 +1937698217 +1248010104 +2044410023 +1800322239 +1315762232 +2040603461 +336208152 +2120747378 +1482324434 +992143370 +1221715570 +1186985631 +1070672000 +1927234267 +19360463 +966273540 +92063338 +101597206 +1403428777 +606727442 +732346477 +1252241848 +700725365 +439427140 +978189476 +1563179790 +1328678415 +193344315 +1455843870 +1029070769 +195295613 +1246058439 +129597225 +92221989 +898897031 +1445359457 +2132825450 +1235105183 +1418623187 +1467666237 +79764905 +492855109 +507168220 +1150436905 +272605729 +526528683 +2116710445 +364669067 +628125889 +1372655574 +971396509 +1360472367 +477413775 +1672121874 +1799899507 +1455603251 +1087818017 +981094274 +1648947566 +396178239 +2010165043 +1844243180 +1642236679 +2139762268 +1936465169 +393650062 +1437638077 +1921806971 +1628755245 +708777617 +1241989560 +1708520150 +1201632726 +1749157781 +711473407 +1474238455 +128202816 +680700204 +1838907523 +756328706 +2053355778 +662820384 +2116801073 +383285905 +187458611 +1769216932 +1838889157 +1275276628 +602827559 +1340353075 +1671454867 +465508954 +1037112607 +1166207898 +457787575 +826094128 +1559857960 +1895425652 +600417452 +1041129557 +456719621 +1842407012 +602166059 +1658352348 +1444081145 +1313639466 +985107155 +1572283962 +1994339670 +676531030 +181129020 +1900211801 +1339351415 +150446445 +136014058 +1526810026 +1919663377 +1974903215 +654603006 +375007288 +1167772643 +178574225 +840516243 +57401602 +1344782124 +1298303818 +883495731 +757156436 +1046245822 +1483913183 +1798285994 +1502965444 +1178836547 +252968405 +1013834144 +475434045 +1566607872 +1998941299 +2047718007 +1413463894 +527988682 +81363379 +1166192047 +1867340097 +231809824 +1302206106 +1246666475 +3989553 +1129625673 +1901269481 +378996842 +149914668 +2079843706 +1219513085 +207316271 +1277142182 +370333255 +1090812002 +2034298619 +1416579077 +427241537 +1685100965 +772060873 +1606078084 +1938069370 +1785895017 +2081512129 +1357193594 +1637352669 +1981746488 +623173841 +17857703 +2063109867 +1789365888 +1885197800 +147436043 +944088346 +984380627 +151425597 +2073714020 +738166460 +530422439 +76145040 +670526518 +1749935524 +283461311 +1947668701 +2120268779 +1374273313 +1834483672 +1389364208 +1801514850 +1372100989 +13941434 +1260109287 +1162686711 +1799836451 +1194137768 +372396658 +1289705472 +1028400609 +995570499 +1307563175 +944026828 +637452739 +1045277327 +1091462872 +1581541086 +2029657954 +1242888469 +1507771458 +620340766 +1773310908 +1583916498 +1290867285 +1375762784 +1867377810 +1091052338 +1348547915 +1094167475 +778052362 +590428475 +748198678 +2669703 +604369909 +2008307965 +1165356414 +256722713 +1054962085 +1537753072 +1546428185 +2083362694 +385839923 +706507713 +879905875 +1023292663 +1751785040 +1971368747 +457350101 +1633959347 +1066773568 +1965121559 +106816465 +692600828 +1401554409 +1397683750 +2068363612 +1121448571 +341252440 +1269427879 +68132399 +1119304802 +1859856354 +816331077 +1121974505 +316742616 +677155394 +139847272 +573465329 +1732117479 +1677600344 +2119893514 +1667996526 +2063440268 +678917579 +400418753 +939249283 +283218972 +224303852 +1396599384 +1917178319 +1291077420 +1214237295 +2023994784 +1983678248 +468308056 +1274194887 +1904558212 +1589756628 +1615447327 +1026502443 +1657889027 +587268482 +738875149 +326736456 +1709242987 +1055617765 +1003891850 +1849090259 +1629083094 +588525681 +1379206956 +1601492961 +109038559 +1295163576 +132926892 +509457312 +86929211 +416145864 +733761164 +1483528595 +185840535 +2024838584 +550282242 +62351672 +1861033184 +1018590298 +1336546559 +1618107748 +460863278 +804510238 +497126543 +2118752305 +1391778720 +1236001693 +298005113 +953538060 +144135810 +1301896963 +655144671 +1773218905 +1890422645 +2034351627 +1227228218 +1999461204 +1182031555 +1360155110 +361434869 +1268960766 +1776300975 +1095196033 +605005713 +1962141510 +972550970 +1155287955 +2024493182 +686100506 +26394606 +1213556093 +156724607 +487257884 +2018066332 +653851150 +458526542 +1262361404 +1889852843 +756531655 +68415816 +2033988654 +2058428619 +723560488 +1659723911 +1801367616 +610428467 +739468481 +1653345172 +1792460023 +2099623591 +2014780041 +913937141 +1728440918 +962492427 +1518942855 +1543098781 +1935043397 +526747162 +1420108315 +473660255 +553141768 +486180761 +630384862 +1040399653 +356763445 +1284236013 +1498926195 +1619124849 +1026605208 +107974202 +1687540666 +913110214 +18919173 +263617506 +425350477 +1820286789 +874045973 +1164818958 +1326148314 +519022348 +1116958902 +1193444707 +1432959490 +697916172 +8453486 +804418697 +93531305 +1943496883 +1331165859 +1513639621 +269673491 +1884307628 +1999820382 +900058353 +777223633 +209100179 +36810718 +128666180 +1828225028 +1063415927 +236640382 +1368282046 +1976526141 +255559556 +1631899552 +254392971 +2075846345 +358461878 +1419211929 +1254511011 +877484226 +388687183 +300472071 +162960068 +1086603356 +308925557 +967378765 +1180134661 +104938793 +151060977 +546290634 +374612284 +2035368605 +398627368 +1274670637 +665108590 +607727547 +1311481356 +793774770 +288468928 +227413635 +1030415152 +1656750974 +56456128 +1285974708 +1141166879 +310849099 +1214337406 +1499628757 +1730061029 +321364769 +229629335 +2118748212 +621836840 +392589404 +1057867920 +930762398 +1359968169 +90518934 +1035701191 +1511029146 +636809568 +1410313475 +1398914103 +1035436937 +537500464 +2064022693 +1643164484 +1848981820 +710313815 +1931633412 +2076395455 +1740728968 +1440900739 +2132851584 +879220028 +434583970 +296217035 +2093557434 +1934212727 +2026278064 +267438556 +16358414 +1997542629 +889275396 +408947818 +907926901 +1820037794 +1768915988 +998445835 +708255337 +1132461486 +1635255404 +2118568812 +383891942 +523208693 +508585629 +300430987 +18889529 +210083801 +1010744803 +1950522942 +138995609 +603990123 +1243940033 +124363545 +1483210151 +1678524003 +420580580 +1429283938 +1465253082 +299374997 +1696722494 +1481611496 +149433978 +438514242 +1890559315 +1057360879 +111068389 +1511991655 +2055806715 +819323726 +496969493 +1543578471 +790408891 +880861435 +2066787164 +1298994520 +1181292423 +2085676693 +1509078321 +44553578 +1888715987 +1648073930 +648543701 +985172372 +1772437475 +2131753852 +516212727 +45534408 +1413554142 +1981465809 +344909405 +962792988 +1315593658 +494343383 +1401307231 +1058669325 +1551704262 +1512375620 +423177332 +1460027329 +184215698 +920146825 +856122152 +974624589 +1801008261 +775425668 +126135461 +834817036 +713618714 +1635213783 +879370614 +454851053 +1135804065 +1527914315 +1440023426 +760757893 +1512184519 +1956236153 +806292301 +778255014 +1790218315 +1151201706 +1741048002 +958328325 +1645545089 +994871585 +2016997650 +1049765703 +359763557 +292691334 +362309385 +543979256 +1212838159 +1218431537 +1518603845 +866362772 +1993857206 +1644739307 +1701179808 +559992272 +1132469442 +433066774 +1014843325 +120789859 +1960981089 +307383103 +881547752 +1325681961 +116135609 +1687840053 +2103936975 +1906353924 +691558111 +1697501329 +717198601 +189619552 +544889267 +586712603 +1239385256 +904652824 +879403937 +1601694641 +1448632080 +2092242096 +672642530 +819752278 +811121221 +519016088 +317007937 +364817381 +1079008360 +1449477379 +797884156 +2093851686 +1570267238 +611381597 +253751141 +304331343 +1937063558 +369886750 +1992171396 +1893516885 +128757026 +536245860 +1443534567 +845955627 +725865412 +1988423834 +1432668230 +1965250668 +745593010 +164588519 +1419461661 +46741443 +109346968 +2092104192 +866493721 +920468189 +463636632 +1183501658 +1285285570 +1542644993 +485495389 +2083169726 +1489013031 +2055762627 +547067676 +1742764172 +212610322 +336647586 +2112650923 +57298071 +82680824 +93924301 +593543931 +1526215391 +939879929 +1319409343 +1367155577 +225064511 +1137176364 +2112748587 +389653031 +409154377 +12006382 +498999999 +353774921 +878500103 +1419468188 +817411554 +2062001761 +557270110 +212572899 +400013502 +492956189 +1701585930 +308292482 +1040023865 +1296866454 +520902804 +1376671451 +1262033729 +578200875 +1459352275 +1355958031 +1171744806 +838084018 +148354312 +343670502 +57755947 +373418823 +1480846866 +23020887 +763071854 +1890001243 +35027269 +1262071853 +96292517 +913527373 +534056393 +913704071 +828045486 +1091326504 +1126276970 +1228058989 +1584282693 +680379252 +1536351471 +476822910 +1977245706 +2057254275 +1853494361 +1091795788 +487971503 +1165362989 +300270171 +1659716309 +2003447007 +448624483 +2003386811 +2061202955 +822043306 +1336750029 +2084223842 +1585115161 +1079267625 +2119251111 +699703366 +1175560142 +885294836 +1233759760 +2089264213 +1713340323 +177602616 +1068057535 +793915664 +1761885309 +1748436787 +182783487 +91224571 +1578198845 +92554114 +1944718932 +522510985 +580525617 +962598273 +822781156 +92758279 +818561633 +1271405639 +2096145090 +732280940 +2093448946 +1285411472 +669021134 +1531080459 +217195449 +640788597 +83300177 +1392755591 +1526083434 +1317059937 +1334536156 +1091940109 +1494662553 +255110043 +1885855773 +1109064214 +2003546830 +2068639260 +1200288785 +1434262027 +13709726 +997524070 +1956773013 +594235344 +1960122343 +632070521 +686993623 +631200328 +1903476161 +635655065 +1363481268 +1849441459 +1921066537 +2032502402 +1233038270 +2138261986 +525807352 +1316338447 +1383533929 +2051890786 +485914737 +570586437 +996347247 +1980577290 +825696480 +734719372 +942157857 +681759662 +655874984 +2142446642 +2116021690 +669584710 +992487064 +1925311055 +1263820054 +805125760 +409897928 +1950813677 +1436326088 +165890441 +438985095 +652323709 +2015331900 +212567984 +537342463 +1100886522 +203346323 +1063149815 +269741322 +1586880252 +967556953 +755656059 +9983042 +1963904200 +588749701 +835679522 +551139924 +1530907558 +1517439185 +1207014908 +1525870553 +1485977227 +1876599619 +370873969 +1263804634 +992936025 +1175999729 +1673702562 +796266055 +464842170 +1839593004 +1235251150 +1117165879 +1707441256 +1447819134 +1654508342 +660844131 +1651165457 +570174510 +930585453 +1090562062 +1537731463 +1686241512 +1100545104 +1354152016 +127507565 +1936224626 +1905291940 +1658415124 +1306180163 +964823201 +1036802029 +644673742 +693939172 +1407675998 +1908478376 +1686875197 +436192080 +1434697291 +335657604 +901034250 +1126806647 +1570908754 +2018200129 +686764255 +871244241 +1525224823 +1347608386 +374926050 +2095399333 +130710191 +1465488112 +1485647149 +1816951703 +418549568 +692315517 +1944459269 +207290547 +450123809 +1455390745 +1513470710 +1414947010 +344709126 +10660805 +2108886182 +1752385124 +1919139181 +1648277732 +41093556 +1206352824 +1983935336 +942127806 +185675823 +1407360443 +812844287 +872440079 +131121036 +190585463 +72564817 +506047086 +138501148 +203275009 +1971535199 +1624148297 +2020226712 +242601119 +168980166 +1817202333 +449891666 +619103976 +1125109430 +1963362377 +2034050986 +1469818556 +1974023182 +1995453521 +1074720033 +1745678715 +1496247605 +1115813589 +804547892 +1332699293 +2057941396 +990223715 +592576088 +723302035 +1862663794 +723697124 +913887498 +1935228612 +1229744211 +1052388647 +2138503621 +1053795762 +529053296 +2011246685 +1296396881 +698033463 +1680965371 +1746288548 +1317137439 +658591153 +1562167277 +1203704777 +2128409710 +1388706811 +1051674650 +1055646095 +986901878 +400438607 +23976036 +1791449770 +1733137901 +2081917432 +634189838 +178230341 +657735820 +349369984 +901927466 +1571623318 +137114948 +2131671677 +476528317 +128134921 +1037983791 +1005581614 +2139381607 +186897024 +1703615077 +1672863330 +1933185572 +873268868 +183970835 +1347869201 +2076973645 +164896897 +589092364 +981164648 +1220542992 +1575994243 +1381603255 +1244519029 +1219960365 +967257508 +1178952813 +1854150203 +1145487850 +1836688633 +56036540 +2047415316 +1260828304 +193151488 +2031603345 +1737356621 +321286410 +922103488 +595454587 +313184369 +1109000512 +151586016 +1986047699 +894702437 +1024854884 +22534886 +95087990 +954344882 +187431784 +684180355 +1935509530 +1407974776 +112690950 +1169629137 +505010157 +1332651315 +2136886646 +1683962971 +1039317871 +1134890848 +1373167956 +1095354411 +1034822516 +486512612 +1288505899 +918942213 +76385586 +1609792309 +1841045701 +671840173 +1922976678 +802562565 +823426190 +1761540729 +1697265002 +1848281074 +1784075616 +1792352993 +655142308 +1971507400 +329049700 +443168190 +1231998528 +441740650 +1612797328 +1737008686 +1774391965 +1602200326 +1273488009 +666226188 +589607526 +499172317 +1761580599 +1624430042 +985684930 +902602851 +395888607 +1062070516 +364911512 +89450660 +1733910689 +140404543 +892013225 +409853231 +1901945272 +441794580 +110650658 +1538537240 +86663925 +765792966 +1362560992 +415713625 +1208961157 +447075873 +857454275 +674274837 +36600911 +484362592 +128991515 +1310088920 +1150588781 +718599041 +1809261237 +764685732 +195545435 +647462519 +1667288583 +591434042 +1709533035 +2032200096 +680884702 +1295960077 +25120991 +1572897927 +1705813308 +1927066263 +2014692507 +1816463966 +1318119856 +2101356432 +434773285 +533197200 +369586409 +1643734442 +980273073 +1227040684 +170525631 +1016873984 +1711403277 +299517146 +179479256 +714508410 +1018116187 +1988740494 +1479194142 +1213661622 +488719365 +998999078 +1805095664 +50768753 +883715526 +338496718 +1346728830 +908836517 +1911394645 +905058490 +688419132 +1778603505 +574038809 +2006538988 +1732476289 +1008812094 +392252541 +2102062699 +505062888 +1372525614 +1181619735 +675588519 +241915951 +745539364 +975105665 +421395207 +1460047774 +1993221852 +262652053 +791758269 +1059399826 +751371419 +1790757347 +717011842 +802140172 +526989225 +1055508560 +1385354 +1435825742 +819419557 +906443844 +2124244874 +450539414 +1480482653 +1983300215 +35532056 +341811099 +228069108 +2137594755 +846873987 +1600594722 +1171730842 +1522462506 +1842510673 +1917270207 +350084523 +116422233 +1229834333 +195822727 +379074286 +2021592602 +1255222553 +1130445705 +1664866301 +1972234395 +1932585877 +44371878 +880259307 +1933971231 +1480197620 +1699678865 +692931428 +1456958847 +2734631 +25930433 +1292775414 +38266687 +367741533 +1520844522 +28377794 +1214615520 +973955596 +1200108637 +589594379 +668982622 +969895196 +939678902 +785404855 +52245881 +1135501630 +1164479141 +2073838484 +243240535 +147441199 +1591221137 +67991283 +2080027076 +1635593016 +948250590 +1866514660 +968306988 +500445807 +411962440 +277782187 +503180439 +437892873 +1570557601 +541447126 +805634406 +943918475 +569824921 +2020249927 +1917874072 +1769933558 +462360658 +439373046 +592345106 +1402039560 +1224777901 +644590987 +390057542 +241773394 +570945823 +633298078 +389214593 +14683313 +701289361 +321758022 +1650276329 +1649539951 +40789034 +471099669 +2502111 +452751474 +748881857 +505682550 +890644347 +171955810 +1047129676 +1696278754 +1115874286 +1616954597 +1569045033 +886264710 +1239404507 +2031405691 +1325637756 +1831749613 +1285961603 +402932009 +328856953 +1676019146 +644705403 +899802776 +161833576 +1033919997 +914486089 +863122937 +1355678019 +417278770 +365179240 +1396467053 +888378440 +367681351 +1849218527 +1637260297 +873363901 +592379226 +1809216107 +1920493578 +141174332 +777606745 +1389964527 +1710219365 +1663871455 +481885387 +1594141408 +842025563 +166151352 +732619364 +1244957572 +495008305 +261154862 +1889662976 +1394811082 +422988438 +776099325 +161813523 +1286111375 +2131777344 +579092294 +1651290615 +1380760749 +1467470734 +2018971967 +1082495628 +957247383 +744852220 +1674874854 +618979842 +517862150 +1816049187 +1396586588 +1907826678 +1378784904 +912974395 +242228417 +825442665 +1754999959 +408379769 +1558062029 +852473883 +903388075 +1819216891 +594653211 +150715509 +94721681 +1370752536 +312529032 +1380833056 +1355046232 +891621326 +884640023 +588323333 +211608412 +756128342 +1670818961 +1168855795 +1500980563 +1198210168 +1787835638 +2018842713 +866775707 +1036938578 +1779185743 +98076963 +1949912973 +2021414160 +923519628 +1557429284 +282310282 +334098009 +262419520 +1185698357 +5831252 +857072731 +1336413866 +100552933 +80341620 +1648942898 +1481385989 +1435387852 +393080577 +218542365 +2023711186 +604688989 +974670707 +1547046499 +1773544785 +328167622 +597773019 +1413896775 +199526688 +1464548726 +303351705 +1978712431 +1562625690 +105781030 +1852642944 +338661670 +1663210315 +2134953226 +672759680 +1925629835 +1173167935 +678590932 +635218918 +362098153 +779143866 +715560538 +2011041051 +113046207 +3464743 +256637980 +331588572 +2027175929 +861326970 +1306259280 +1426738780 +487388107 +1634426902 +2024511800 +1901284882 +1833953590 +1341576878 +57152939 +1665182374 +756718920 +162933969 +1370341670 +1095380591 +1826144284 +1357811248 +1768140271 +1604290471 +383495535 +299247555 +92025742 +745593688 +1078391421 +807586280 +609151091 +1191437629 +811051023 +865789072 +1523026201 +690743304 +1727116042 +681801833 +2117482085 +67020501 +168745088 +1994510237 +1968305383 +2002698678 +1188603467 +2025458322 +1520397404 +1945322388 +40908643 +743255426 +893219331 +1867052928 +2101066674 +513875954 +1323859751 +337078561 +813123509 +1415885493 +1082672249 +1891514931 +75988126 +1691823341 +935468912 +887039149 +410128765 +311011465 +1577782454 +2137244807 +992813299 +1547780891 +56781660 +1161558387 +1394807480 +2025087043 +1016773417 +435927299 +1903061717 +389687174 +233766039 +1943970360 +1132942600 +1126985370 +1663539640 +1086525627 +1640861324 +839915744 +1423604188 +306501186 +108317589 +358792790 +50532469 +184305715 +2050616131 +986001381 +1071344865 +313261248 +1297012846 +501643671 +303022407 +142342497 +2049424562 +359804067 +1303900884 +1296748394 +237407462 +173190654 +1732675693 +2140469179 +562877828 +1966441733 +1936955891 +1695820428 +945943455 +1453011884 +634862407 +439321132 +145443980 +2058466596 +745822318 +253761569 +269775738 +796354787 +438067285 +172908221 +1782356168 +1509412150 +486169469 +931885366 +2011055821 +789191876 +1074227864 +1912996735 +1148995943 +230645100 +1062261481 +1386403405 +403835754 +647453526 +1379388936 +966713582 +466411611 +1168861179 +515050363 +1412355067 +474389415 +1149912770 +1851676199 +619833395 +1060895718 +450014869 +873594965 +1330671456 +1246369656 +1311662250 +1503579677 +881242176 +673590752 +1989749146 +1813127542 +537162925 +631457374 +739871758 +302676012 +1780453317 +970516859 +1364937493 +1019373074 +1374352613 +2012391019 +251278362 +193582548 +331318983 +1420139542 +708632911 +1743674050 +1894528957 +1858545681 +1447866601 +366878705 +771957752 +1897881470 +1240473670 +2102629208 +996767478 +404652272 +1458725238 +1878009654 +1078243024 +1300990736 +1543653548 +1615405949 +1932448111 +136041659 +1918081961 +1565417780 +1106558518 +1135535806 +437307207 +333427483 +1000443177 +688585569 +527010031 +1331762160 +2108725111 +1235642942 +927952562 +1855770421 +946704976 +228335515 +75165478 +1718662728 +2126216985 +1315639148 +1673808288 +975500815 +1720291420 +985049878 +706026821 +651050796 +138556967 +102196722 +118973097 +2071005078 +238238381 +2037055058 +1488939210 +1344796899 +1025107216 +1926246417 +1678224382 +2025550393 +467348339 +57750766 +1209828906 +428589802 +1293393708 +2137781468 +136876575 +92615036 +218633336 +212042053 +1811277764 +197366673 +1527681201 +1337602405 +1172867489 +1100488973 +175168635 +1878894310 +1751539769 +313725602 +1981091032 +1870512866 +237247032 +71845765 +1760084276 +1726186243 +1416642664 +637707844 +1504949012 +947383399 +515774590 +1972297351 +1005134165 +1725603496 +253403506 +151044225 +1715901316 +390280081 +243659262 +1934534652 +602322135 +2054937026 +2131901326 +2130003336 +1245055783 +1157285167 +1083008662 +1420224419 +888695829 +687064783 +1733950021 +722303214 +410094002 +1971197054 +794148979 +22694630 +1549899649 +63307996 +660402475 +907365013 +1010691395 +1176177065 +732178717 +2015825560 +754296913 +985582223 +19386137 +322714581 +1375862304 +263045399 +109765586 +1978184439 +170498778 +94183264 +1960704128 +1415554561 +1251468431 +896229142 +688295332 +2140164260 +1583293925 +274761706 +714983826 +1993387927 +98475112 +1509132806 +2016082558 +1648374761 +1572440802 +529001385 +408256126 +435648549 +1705178450 +1140434843 +303990461 +311991715 +2126017066 +323376598 +634706296 +1354395723 +586421998 +744471882 +1185096514 +756920776 +838655146 +998316994 +24991689 +2090123577 +1894546136 +713287022 +2082804190 +1330356414 +988048728 +650304368 +1176260693 +1086523840 +11953526 +1044859603 +587414953 +1584394328 +1573860988 +995671079 +2020042877 +1131555790 +2136105923 +176549690 +1443547505 +2114639341 +499926289 +2078253802 +1321551416 +1086348287 +675242036 +359164283 +1843269063 +1513897183 +1357481277 +1868260752 +1456537112 +1104543766 +434064126 +1391857654 +287416532 +1422112854 +2042162023 +1463677225 +361153046 +2054115549 +361053181 +948567999 +1491026230 +1934914169 +1944239079 +1363585459 +918986312 +1932861354 +1540135150 +215050169 +1900017047 +2040061439 +145820323 +1074084816 +978926078 +821062360 +1433249099 +674711493 +187475895 +643246728 +395488597 +1644013007 +1747790494 +829552724 +888387014 +2035207026 +104181930 +783065389 +1351400604 +465334977 +689697290 +1712453785 +1413902976 +33239872 +1499884306 +1210658407 +1396825332 +271386970 +996036113 +789476834 +486437140 +748569513 +682054625 +632257463 +1822654329 +1660980703 +1453319823 +1108419780 +188208548 +1640795718 +1751666508 +583697145 +1137325078 +1351973355 +1413249869 +2025712092 +1239696733 +1517431800 +661293833 +443613689 +1982766777 +1350991123 +8583826 +1249186105 +1384230996 +1508468133 +312360865 +633572680 +1779855103 +1308396978 +1423049514 +118808595 +2056966491 +2105104139 +751066059 +1732137172 +1618601194 +56902234 +693073304 +1806809742 +1697697953 +297256165 +243023239 +687539383 +1649229520 +1656273109 +565767827 +741442605 +1026221261 +1227061660 +1185056295 +861504390 +430569135 +1193640121 +2110690495 +1814800131 +554624606 +275567712 +300889163 +186996062 +1583964691 +1723938677 +305804657 +1493447534 +1681559168 +1056870716 +1078101059 +1152676714 +1113772951 +1771174363 +812002808 +663987256 +2068430528 +1055026048 +1351526639 +1570176400 +563815509 +1917294466 +164135358 +1590036770 +996872478 +1349191653 +304057512 +1427441613 +395348126 +267264359 +1094758097 +949972733 +542832072 +1395647260 +1136968795 +2126796763 +972102290 +1442773452 +1472760649 +506177810 +352160521 +403378060 +1658854525 +1465933472 +27068776 +323373685 +2129920728 +2095499304 +1378399733 +1333963719 +1518192057 +1942215242 +1103774537 +1682327415 +1384768364 +2100647015 +884035420 +1688825876 +1380604980 +1279383546 +1956090236 +327879429 +81872631 +351438660 +1723526690 +1218841426 +330751775 +548145332 +514131231 +1803512424 +1054323142 +866291752 +59406837 +565694019 +184741576 +86475613 +889067705 +167178656 +34491269 +119983790 +1501142375 +1552683326 +2062199033 +457433264 +1087527093 +1299483749 +410596631 +1971562513 +840825978 +1791201611 +1103462412 +649432566 +2119081041 +1185335043 +1000871226 +1695124083 +256692822 +1331623001 +95785767 +770824053 +987651777 +1150108909 +1637115805 +1047058614 +1715802929 +1821857381 +1133534227 +457386986 +1989036037 +1168025497 +577370776 +1342694764 +573225175 +492086161 +1800128028 +1660752269 +1791569911 +63241011 +1484831134 +484912241 +1854442622 +440809898 +1134344807 +1826040015 +1626144942 +2135216033 +1373680450 +1882837764 +1319355386 +1469466217 +506178169 +159523515 +472091479 +2143293974 +1206582130 +40410760 +1817667707 +192632709 +497797746 +1659220096 +1360658206 +1075168522 +854431212 +1933883382 +1567254684 +507075592 +1447152003 +1211340947 +570316603 +784499489 +1696253188 +277275577 +1225309388 +683114347 +2103315593 +703970682 +670846732 +1329512395 +439324798 +1990202118 +651494965 +945502967 +2241985 +1123586444 +941313293 +1208824115 +1163997204 +611497352 +1401456825 +1661794950 +123233800 +614631383 +589479824 +977665012 +401031117 +9250860 +1484740604 +1848183120 +1220591807 +2055057207 +485198962 +769361347 +184849136 +1710508350 +1452475694 +140681081 +266995384 +2123322426 +1470193477 +706320182 +1966040896 +2121688442 +1651823149 +1968282882 +1097791238 +445652794 +1029623349 +114304794 +1057150146 +283596526 +1776099744 +1180383946 +898227910 +218095920 +10565310 +1299259027 +227346781 +1495305914 +999958500 +1447938588 +1402879473 +1485157462 +69816288 +1587728609 +1048182164 +1522291982 +1728409691 +1315177548 +1498130761 +1051119520 +2021497730 +1316688009 +1025324314 +1525837231 +1137487243 +2123115552 +1971490025 +19626945 +89936698 +881156523 +303223471 +1866036442 +2061540469 +1201451381 +2084132362 +2072105779 +353226761 +163995495 +1419928045 +1353185261 +1611934084 +675323870 +690859075 +1681750372 +115568831 +1739041239 +1056558706 +1843978522 +906735139 +407205819 +747614394 +780749221 +1723893829 +1772938708 +159102804 +713897424 +1748570612 +2130592829 +733524369 +1838507310 +864265704 +1036747841 +1557060104 +778322525 +90715574 +1493708819 +702944656 +443942335 +1657704314 +2122872701 +1797127596 +1122154750 +650712923 +340503023 +656421474 +766281754 +2079544262 +1712980181 +462776629 +838795753 +2120186000 +1210391023 +1619544974 +1696596181 +835846084 +1778647778 +263009958 +436933048 +1761756959 +996534327 +127956711 +478539015 +2033282168 +1685016815 +1256861540 +2123997743 +1031241986 +1959806196 +420456430 +541462653 +1935195249 +70100379 +1663617403 +438424524 +410603402 +172555230 +1204706279 +342664017 +1885535411 +1667482908 +1181459770 +1858237763 +730390283 +653521097 +1407350297 +1566236367 +284685227 +1670360255 +2003169416 +2046442187 +519410934 +2131126127 +377497554 +405209455 +1668659294 +1634359095 +381723550 +552417633 +1446681643 +802179980 +1093880286 +1234393245 +872280359 +610014041 +1672817769 +1282883762 +782569271 +730040400 +1625547779 +520621034 +250039660 +659523901 +231375150 +980429944 +1313044998 +1638725447 +399182663 +1597730226 +1161602054 +254868431 +1496688765 +1681012988 +238510910 +1874186319 +2086222443 +1907170205 +1361061766 +320462345 +312104190 +660259762 +1122642326 +1405984476 +1894653007 +1994922685 +2015998517 +1419987128 +1130322799 +651084141 +2543881 +608386930 +1171705175 +252583541 +1267910832 +1403080325 +1233013485 +433472182 +894322124 +1632196149 +2031202408 +2055924178 +1887064580 +1380407525 +1589453519 +2125575491 +1107110197 +1528192314 +1885262048 +320688315 +1848654660 +49882590 +980948077 +823813338 +1455867066 +728117436 +671252375 +1324381935 +620917 +1801575175 +1975466076 +3164798 +262478457 +999687604 +255748339 +1530389289 +255284281 +1488761825 +1963861472 +1149606406 +973474326 +1847580232 +1058046936 +713055258 +1080504110 +500016807 +691147101 +40130659 +2028209122 +428925501 +360818974 +1729380134 +478808091 +1341767052 +405709824 +1934675157 +2069884488 +1076962199 +1111573445 +2070505405 +731053726 +939555873 +2073670203 +993532184 +1939243477 +181934895 +376437825 +47044111 +1670696720 +192815649 +1196650517 +496687398 +2040395882 +107213805 +1209742656 +973416344 +607230613 +1900889758 +1013547003 +487956087 +182331611 +1374365977 +69852573 +661139703 +568649381 +475562397 +448331212 +491050222 +1552524596 +1559904657 +414071979 +136094675 +351976883 +340258535 +1129626859 +143736712 +522193430 +1506064684 +190780823 +45406502 +1698880334 +1387431340 +542093900 +1591792568 +1494645146 +1751836556 +417725264 +2101875759 +1505242666 +1431272267 +442348198 +1687574278 +658154596 +512200771 +201230333 +1226803978 +987763168 +649561545 +1717854200 +392804116 +61982555 +2131926179 +528898791 +413959438 +324701066 +1658525650 +557696150 +846894496 +1017106687 +748476974 +892300998 +568503373 +2135908314 +1434394898 +12812293 +1483069812 +1038747807 +430537557 +1437461923 +396506825 +1861809824 +1879810121 +2084081103 +372480772 +244527244 +137827788 +1599284750 +1232290412 +787389334 +1169655302 +1625094529 +849371889 +1154097834 +6509672 +1263331327 +1478798900 +1665035323 +1821027477 +178209749 +534658362 +422020803 +1070510747 +1103161735 +410445470 +357421998 +1115974028 +1893515282 +1396169805 +1546511585 +1183493558 +1792676630 +1260837761 +915820031 +1729274086 +1633318533 +1160347276 +1867101874 +1085119636 +245154040 +507007560 +107291290 +1870248569 +1356379449 +1261389124 +1876758242 +472227128 +592704377 +1394309917 +145770958 +770914126 +1928968279 +567791761 +1841424873 +884646366 +978237231 +51363223 +2000620394 +724268866 +1447533028 +1399648331 +1907762424 +1092726011 +513002444 +676098807 +674516449 +2146320977 +1836446083 +394134675 +1083956965 +2081600124 +901142236 +1191248256 +1804365045 +110038037 +305153732 +1533639639 +582265166 +897858109 +780465908 +728036124 +1668772235 +561950539 +1295827885 +1362713461 +1446596905 +126581469 +1414076684 +1299733651 +850850335 +714126065 +551898334 +611129111 +1806852076 +1064900778 +1287227918 +333884877 +1063738108 +976190354 +728019552 +211425 +910306830 +1629161788 +1191459681 +567188227 +1739199826 +1496613414 +2100827867 +173981344 +246987875 +733810127 +902017468 +1915760111 +1295760667 +50361705 +1130989924 +594873924 +176943174 +397582960 +1894607576 +1027793509 +1111709025 +299022262 +1638922620 +771077453 +1363923041 +778666891 +1104962330 +280177501 +1754857245 +1832981883 +280388926 +517680427 +1314660023 +1471848608 +1084868654 +906376201 +820978374 +1038212873 +1080357545 +1067966249 +1772023001 +1982375013 +836242712 +920300020 +2032736719 +1967232636 +1515173944 +62196245 +217331949 +1262297872 +1089989755 +1329040974 +1561320135 +581428727 +2100118428 +777759528 +1360095618 +1057597110 +1057937029 +967469215 +743095345 +1338325955 +1485149642 +2057755369 +662690915 +422534649 +816647922 +1483669289 +1460747522 +1897005468 +404151891 +1085286875 +1731896833 +1240394603 +2005586895 +1617149904 +1060143592 +1373277192 +1679346150 +1277475541 +488091416 +621852257 +459032867 +2049411551 +1203280984 +411667647 +679687431 +415892955 +1469264758 +1737624460 +1383362170 +64876455 +928466768 +721028165 +2122631824 +1591157683 +1143562814 +791796099 +927343325 +456826688 +541317919 +1331495216 +1542113564 +125731104 +424406171 +1400216811 +1742881009 +1484549763 +626010355 +1274743511 +614541656 +1114101772 +1896595768 +1073574524 +1016029675 +952393104 +1485242171 +1695717107 +1368286059 +807023281 +1285857919 +604164582 +871899737 +66841039 +1325192747 +847047913 +1657998723 +321271913 +1638844012 +437858400 +778098601 +32678283 +1769353616 +172728517 +158409388 +46276139 +1572945329 +1901290397 +1530825903 +51472036 +1028550260 +2145367559 +1165573808 +777662380 +1071458435 +34119836 +1730055484 +409216959 +1729836943 +950857896 +1216240240 +868211214 +1555022478 +2088139977 +935052254 +732731577 +787704243 +445567329 +1054003490 +279064607 +883425729 +1832102091 +311742891 +505295697 +2004830609 +470152279 +551571836 +1430292290 +223959028 +2082397739 +1481764326 +1252509288 +2080281651 +499854487 +2030171668 +1004256438 +533974323 +1612743504 +1413473397 +116327618 +416117752 +482229990 +984538832 +1971140230 +422886319 +1919591086 +556388159 +1210590562 +217674767 +1610391649 +1489655170 +1101100496 +1295010093 +1801398061 +1606396193 +1152357054 +124066692 +10484382 +435165696 +348025720 +2092882121 +1916930022 +1600535008 +2025680124 +269300861 +1483223028 +882452915 +803275184 +948482884 +148442664 +919602802 +1364600637 +630672654 +1904141635 +1188257219 +1053558974 +1676249073 +1744645379 +116665888 +1893923841 +1207553380 +1606321058 +847540689 +355079825 +1260235471 +306453235 +1507436879 +1384302163 +316937617 +1942602575 +1732327883 +262336090 +1712048950 +1185379243 +140532567 +1981349811 +521118623 +1022985482 +637141348 +1469601508 +1171428146 +1556744150 +686718497 +1802100801 +1313402137 +1874975716 +708176127 +842167563 +1472137447 +824842015 +588607756 +532207180 +283679426 +1436148445 +887287005 +1543914897 +1742601680 +247240237 +780733413 +2059539297 +42359164 +365577648 +174391740 +1754408114 +1550956892 +314924307 +1588274278 +2072075515 +1337909789 +77931978 +1394193375 +361854287 +1634676128 +2080911872 +16471440 +800594618 +1808403941 +724647567 +1642762181 +1133057740 +1549489583 +83886289 +1665264920 +1833169009 +1520034734 +405068278 +1229600258 +1115152767 +652308515 +2010333671 +1027208416 +694667679 +228427672 +1201600156 +301592146 +1779384564 +1516524463 +1889866424 +1703976431 +706950604 +1967798402 +950686159 +1068804892 +1454990882 +884114383 +1085276332 +108101852 +545034676 +1809923900 +1750864033 +1678092417 +1211929835 +1834750322 +1195873689 +897615196 +1207301409 +1600941967 +2127215454 +174970528 +105766834 +1990065478 +1202178944 +800434514 +71009502 +256295453 +1102026660 +1850394066 +1772819916 +844409436 +1406886849 +332286873 +664724190 +210089360 +1401091765 +2119715072 +1094203744 +338884449 +80333277 +1639238420 +1324701 +1831197310 +1169847189 +1213254536 +1518463985 +218237231 +2110869732 +578281746 +1819179198 +2090601539 +753252274 +1924946033 +1933183369 +1955431218 +577896899 +2004192871 +64243023 +1679923559 +1707103289 +1837062940 +376849347 +966506490 +21866165 +1041573537 +1176595851 +1422957930 +1013804961 +123315947 +1761842379 +1094138238 +1762554367 +1763167081 +777851901 +784917909 +828937969 +148832238 +1003155140 +792324054 +727113984 +674850690 +735441945 +1480366258 +452313075 +521141666 +1288313828 +1030209974 +377850889 +1352556852 +562649885 +2084954178 +1042136144 +939499232 +903977020 +1064002309 +1981072769 +2080572871 +339476591 +847394083 +56405170 +2101318970 +1941532321 +1818959538 +1717002403 +571900574 +456393799 +398456725 +720732812 +1459548939 +1190780779 +1447846796 +2134399629 +1926222724 +780729406 +439229057 +299880742 +2069043235 +1469439031 +677731631 +1274116439 +2032088917 +615202161 +168768935 +824104501 +1519179181 +1232771244 +657693623 +1452268405 +1572247835 +1505087706 +1508673575 +1526083157 +1299136379 +1180149465 +1095601913 +1871036954 +1636543264 +1494058638 +444286118 +948608555 +537355769 +1892132915 +935524537 +316094845 +525378673 +1374753594 +615975587 +446938260 +696708977 +1293707218 +1721054699 +581314246 +1908909379 +1889823634 +1405418748 +1280604912 +975111230 +2063112371 +585389669 +399875417 +1420716429 +2094063245 +1925958575 +572369160 +1126729062 +874076840 +295922466 +615788679 +220651830 +740208585 +1564397234 +758007599 +484857852 +352438123 +1074102444 +1010236525 +1727191717 +1690078031 +1457174786 +276417047 +836301601 +1030745837 +857731293 +597727332 +773085824 +115666393 +1878332244 +1748197054 +31295116 +316238266 +588824 +1452011545 +262817863 +1926547399 +2024380706 +1389546925 +653140591 +172819524 +2005335604 +873792421 +913028109 +1422249191 +1631800020 +1397885961 +1774687314 +558418816 +260638839 +1354395384 +101013199 +1717813625 +1630812431 +937314800 +601075814 +341060076 +1535042132 +1374161638 +456726470 +1265890728 +974875045 +488021586 +1582128994 +975463869 +1940033132 +1844946857 +754527620 +1816930190 +1087010135 +1407668211 +1989749714 +944862091 +133976984 +755294176 +219627634 +1765777004 +5696489 +1994314949 +176712172 +266335328 +1201226685 +277725371 +1984148953 +684555468 +1215040171 +437741120 +1025615544 +602598655 +1811902758 +1482342014 +1868489383 +639294155 +1970363601 +1303134730 +1614758024 +1762913085 +1000597939 +221801996 +1432359627 +2087608074 +1629470207 +1274625693 +884986518 +1763447191 +2029919869 +1104614152 +1381740547 +2035616359 +951445453 +1558452719 +154468039 +5188490 +1836178090 +2138616993 +689743958 +903734613 +428874465 +1715359503 +1506333268 +93293575 +1050217869 +1227339004 +732587731 +873097822 +382990086 +199862107 +488527259 +1383588025 +421664104 +1920886886 +1323712452 +2051134311 +1048028932 +61215322 +1667097855 +930465153 +1165829474 +901354754 +818597864 +2117274928 +312323826 +973065904 +2122463418 +1018268 +964199249 +664723729 +904752882 +1393073714 +232599584 +263602502 +1486367289 +1282817453 +1490941506 +71471372 +8431628 +1873931592 +271333480 +496958887 +1110035970 +692997584 +270362126 +286264774 +596648247 +1318391058 +347480096 +116262454 +101372563 +1513309570 +1017617209 +919970428 +1483100850 +1329941035 +1893036332 +1458080621 +1330959303 +709751933 +2122804350 +88228537 +2102825647 +207920286 +351831040 +1441709288 +1490737739 +1842772546 +1513180661 +1499169367 +1569220491 +1784514141 +1996128255 +531772813 +330028077 +119006733 +818037587 +926676324 +1437397791 +1165517683 +1042938779 +1538770354 +531343605 +2060555988 +311257134 +2014444456 +1243013375 +56809818 +1325041429 +426489030 +766561751 +1300362131 +514717568 +721903750 +1508282417 +866548608 +16129391 +851536508 +561837506 +1529310052 +203222228 +2131057997 +1166340545 +51866835 +515347162 +1496368622 +170873568 +1333384749 +275561298 +1608271359 +351418784 +1318500077 +999558065 +882762390 +1231572417 +1310815200 +749723198 +327102144 +1367625018 +2074764627 +753591175 +2134186770 +1227643110 +1268308743 +708606872 +588441879 +2134857351 +724736263 +1439978387 +549211209 +106562667 +1643200615 +532785559 +1272903212 +1695067450 +1048132721 +621788186 +1865941018 +234033823 +897349485 +1326728729 +585452607 +68365914 +178803147 +1468214997 +1299938332 +1489618347 +70454547 +1627040476 +709759717 +2145219174 +233148003 +696462839 +1225378636 +1501456746 +1405069712 +1813820515 +1488830449 +2129805975 +1106315255 +2038041659 +88884995 +602032222 +423343570 +1361788207 +149616025 +1471476291 +1983576394 +2015557043 +1705510114 +733442231 +1194802125 +143479074 +801808145 +1373605272 +1611694071 +2101746477 +715739971 +1682148619 +1581303306 +1425499688 +1679884145 +1814451309 +2121962528 +757779134 +1168424408 +1379548592 +424116001 +509771209 +1361870919 +1530431256 +400329220 +1450755914 +2132463479 +823672790 +665060474 +134595856 +147665434 +501153220 +2669251 +1853175548 +1234595451 +1197471376 +1996654622 +2036403596 +423593000 +1460865046 +1990666426 +1139332971 +995530017 +1424486084 +417349012 +527930514 +1091453745 +391827892 +1285709648 +112394505 +1771376484 +1709825650 +622165715 +985763755 +1092773258 +1022494935 +289036022 +1077753089 +1846167726 +954096496 +1212348945 +1993833160 +1455249716 +1215018197 +1699525060 +542361519 +265005925 +1548696035 +431281467 +688598926 +862077433 +274464245 +1827931897 +1857607450 +1698950329 +97797261 +238054316 +642920427 +489625153 +1523763965 +755314932 +113517989 +1086105967 +1377480647 +1099281745 +31395577 +252491935 +1388317767 +1109148667 +2098659661 +194930615 +174013964 +1945009173 +1650180331 +1389032161 +1497050585 +45058202 +1654038087 +898262972 +476339669 +195153365 +1760340405 +750803915 +2023085262 +1470464207 +302270596 +2120882524 +1708518524 +945191023 +463024029 +1084798841 +1700505956 +576542019 +23421160 +930502955 +1675823764 +54816737 +1182994890 +916657883 +1163965404 +1134170903 +1111588498 +1337979369 +931696428 +614285181 +579527882 +281263366 +659343383 +86082321 +1179526338 +1135683052 +281235686 +792383096 +1886486967 +156837301 +115363655 +41273916 +130236177 +1823882179 +986464939 +593260206 +761197372 +539487247 +1169802225 +784618532 +1469990203 +698142341 +839435270 +505501445 +1614800224 +2003400674 +1639672349 +578905074 +1193896395 +423885129 +1193190255 +1773424278 +705148495 +1852533638 +1859506599 +1884674834 +840733043 +2140742286 +529574282 +579736362 +150095939 +644937937 +621010278 +280332116 +321336469 +1607475218 +873592322 +1082533841 +2146962465 +2043394548 +1867152374 +1469469020 +594053241 +559103996 +1974970466 +61369818 +415021022 +1467159167 +640274892 +1608917418 +1891044296 +1833465148 +1234858048 +448709144 +1538515138 +946880999 +185900330 +231764533 +940139637 +715474612 +811500896 +1090235576 +1360412549 +1432511174 +1370567692 +1681749018 +892502744 +96676367 +616799212 +891981562 +2140070915 +336467938 +213966934 +586640508 +895571934 +41453752 +648010326 +1310592956 +1508612919 +1288285219 +772026726 +1252173568 +974266719 +2006884774 +1700882712 +365298209 +806282126 +1886783042 +597062743 +1746421763 +454774006 +1408563639 +689173692 +1815186555 +693591165 +2059741384 +1349451926 +1586093910 +8934103 +1966251138 +330591824 +1521370 +155235428 +544558758 +588161879 +1050807362 +586012511 +1236172205 +213916670 +2094625430 +376973776 +985943397 +1199315350 +1351240495 +845344523 +752714414 +1716538705 +1651626649 +492013808 +166117800 +1250564765 +946787814 +1574681439 +1939738457 +614490722 +120788956 +1851996193 +1963942648 +1706882866 +1860930297 +1782710138 +2037474690 +1862451667 +1937945566 +434549801 +303129898 +841269280 +1020562312 +1539302104 +1055185950 +967704094 +1916275880 +2041129347 +19535797 +1120032728 +738990223 +772250211 +689087785 +243133224 +1264264020 +855205585 +1493697989 +63568186 +282403376 +1285952798 +678058908 +403192332 +990465344 +494517908 +2110075199 +703911993 +129744398 +2000066241 +418880012 +2067689964 +287132394 +722009911 +761475596 +1307694706 +113828367 +1816661547 +127915153 +2030104247 +1710307246 +147450950 +1002653327 +301813821 +919701161 +1691741112 +544947046 +36481533 +399463049 +2038645035 +100049720 +681866425 +1177114186 +778108628 +1085058758 +20095882 +1272626537 +1047650309 +724007875 +1402370935 +900232902 +1142887887 +1322577252 +1187365297 +1864897798 +2084052848 +347576355 +1978726165 +1753230747 +475491508 +1861346765 +1316054346 +622942458 +716516444 +1617868167 +1542643620 +260773909 +15331565 +1579125153 +660236958 +2053976601 +1679174873 +1342103384 +1083607139 +309799854 +279678494 +1103703021 +1582426391 +1327328803 +1827710896 +837313678 +80078057 +823115135 +12407282 +1267443354 +540529286 +2096460131 +1615019710 +371771803 +1702207230 +2090511218 +85634920 +870777928 +565970029 +802151365 +341162448 +2108613649 +1062925274 +356494013 +1540255154 +1723162232 +262986966 +1071946380 +917781968 +1346594105 +1381746234 +1197460462 +302813478 +816688977 +377305617 +2130524374 +1654002655 +457383675 +806155862 +1666409938 +1724827029 +1346685148 +1615386421 +1192363091 +1718456951 +1170110003 +1135390662 +1804091872 +2040887932 +1701360691 +458759589 +234566732 +1662490692 +1521684863 +591060745 +1055262198 +1097363447 +854047712 +2127208578 +2015145416 +53158169 +1361471164 +1065122230 +355971648 +30676493 +1442427848 +339012374 +1684679149 +1899811523 +1145168236 +1203605439 +1477154904 +344369736 +671508212 +522034348 +2062826688 +1841618215 +1657425010 +1719434912 +1735022499 +1211302053 +30710853 +1969589231 +726309097 +1552395716 +413166329 +1781571295 +502275515 +1267214041 +1761296226 +369937283 +1320372210 +975283742 +1435059514 +1676343858 +1005960236 +730003714 +2015356233 +543155737 +482331589 +1013040821 +1746761176 +1959486493 +1357410558 +270785740 +334037193 +1272753598 +2112403955 +1991462203 +844704862 +1699942807 +1055280608 +875415715 +1522048390 +1781589705 +280327783 +1935214719 +1415677353 +782603298 +1054945112 +1029489931 +1152540582 +227833675 +2004773673 +440116448 +1904177533 +863250261 +1170120162 +1772050118 +1406405998 +1652451751 +637607292 +1005683526 +1464454596 +1995017850 +1276469266 +1798491790 +1120287800 +1241389574 +1642470345 +1964992662 +793848733 +550267306 +692924729 +168413475 +184373363 +973252512 +2103628195 +1600050716 +1755855810 +1011089659 +482056999 +760912744 +1238923334 +339347025 +1201029192 +995617220 +1202597286 +223665706 +620183690 +461519637 +1876117457 +1257790982 +1467203163 +1193088406 +1105325184 +596188782 +844096548 +78129336 +1837578356 +339083245 +2043121998 +483943441 +889350551 +588563079 +652356916 +1073723915 +1561815591 +608501463 +526290983 +1170187754 +1619591123 +1008347983 +1931100498 +711030809 +1347695008 +984646043 +1706648029 +402808646 +1208311749 +179348072 +864328283 +936945559 +1437139054 +184047799 +2130033965 +394980591 +780236581 +826646865 +473109927 +470331289 +1165730110 +368748278 +954274730 +2055080662 +957311357 +1606631646 +981320929 +371643301 +67649462 +1507611912 +1541831055 +1687240585 +368476247 +1325447905 +250787746 +1716171255 +162610300 +1957435776 +2118979902 +1370922050 +2136783848 +835824537 +160383961 +1426439254 +1019872336 +142934278 +1821419845 +1800108917 +969581143 +147046125 +122956558 +2135311253 +515794403 +1077231288 +2042908267 +1473105760 +536379287 +876745548 +1844749061 +604028749 +236873813 +1239096468 +143785686 +605350060 +417060726 +394573432 +174037668 +579671026 +204525560 +145533922 +1950593076 +193825760 +981358459 +2110977037 +1620265015 +2001230796 +106427667 +1294201212 +1653856065 +1076008810 +1441247337 +1776812624 +1063836416 +1957041740 +706560264 +959261035 +1282663853 +1242939551 +1836006584 +979929266 +1846968300 +2072880397 +71542087 +1990753986 +530746809 +488602813 +237843771 +704784477 +1068273839 +442369331 +850318399 +871383268 +636195092 +1831676859 +834876657 +108976459 +1685424007 +941304325 +1403177671 +1191796424 +2017313135 +696941361 +821125400 +933665903 +506499453 +1527685665 +1892926939 +1789163306 +623141568 +1581449875 +621608925 +322626221 +1506846624 +693151012 +165896559 +2037593433 +1181753825 +403740330 +594894263 +102544016 +846109662 +1445212662 +973927284 +1482304754 +1129405873 +1808803942 +1591281213 +667346232 +602624619 +846975236 +1859142657 +472454106 +1543916597 +532784409 +1406120010 +2050416051 +2060470074 +1151563301 +1692095709 +536127995 +585529528 +166220986 +858754216 +2092376152 +859371998 +1024650775 +1982485937 +2041125823 +1428391106 +429896552 +2143669840 +127017120 +1875109215 +970113476 +1609321874 +857031440 +631433770 +1053119439 +1524377673 +1234058389 +1900094675 +1236036682 +1706512496 +1296527625 +1768821091 +965148858 +1199460028 +1681807518 +2116712159 +744072089 +70451865 +554758039 +910293076 +929206081 +499650543 +1769665074 +1953856856 +334652832 +1663307250 +1234764314 +764549385 +1659493442 +1361781434 +492174952 +482123270 +823619660 +1349206392 +1113557041 +1876739099 +726100417 +200131782 +1629350127 +1962137099 +1906644278 +778394104 +1583474543 +724309488 +1977854132 +1117798413 +693537999 +574442573 +1188250278 +1248296038 +1484735649 +2117456359 +1747946581 +1106917076 +1923829567 +2082599414 +622740678 +1011110234 +699665151 +134750472 +225408020 +1191840103 +616873742 +1049027681 +393562847 +1730430783 +778283132 +1119663265 +1930562566 +260149611 +934316716 +1689723196 +1038543715 +370307611 +266549037 +868914199 +1488106024 +960087036 +1443356773 +528872654 +60899427 +780608774 +498845365 +1808846008 +1887525850 +275191285 +1743961774 +362782880 +1286301519 +296143277 +497533352 +1511709539 +1487983380 +1114407095 +413253572 +1881546228 +697354230 +1191536705 +853725845 +480433148 +1451686316 +1788042561 +22672697 +342746384 +10866525 +289221734 +1211660583 +1498972549 +1249308770 +507533708 +2027845204 +1310208197 +1288142483 +379206921 +971570558 +1028184685 +654398206 +568048684 +1390967566 +1940699725 +864191962 +1888500918 +1304925617 +204691694 +855424365 +1718179189 +2086237922 +1552778596 +762232246 +792480119 +2033211744 +66434915 +433039033 +2055884441 +409181299 +443905558 +197622527 +1620841882 +1942878107 +1446931298 +2128375591 +1823239663 +609655847 +1269034426 +54962937 +1581226405 +149735463 +709361143 +1791442 +1540703029 +502577221 +865983404 +1281720300 +1807502838 +1070675098 +2137144665 +1378198379 +1009429373 +1542439613 +2140430626 +1801909492 +1428167710 +59381893 +87464877 +1336568503 +468563192 +531370435 +1534191031 +2089405074 +326764895 +833638681 +2070297017 +2520910 +1443294528 +1191847795 +57483847 +877037286 +1341583259 +766844991 +878828728 +734802640 +1269422212 +1744812132 +2016522940 +929441402 +668003582 +2006183958 +160156133 +1677432955 +1401139923 +153103111 +1331858800 +681823985 +212485004 +1419323677 +2018392489 +681048196 +1950694113 +1405099872 +622969623 +129975360 +91254905 +545782992 +132496270 +1534549433 +1737630788 +189980118 +264103071 +931730399 +956825109 +1142931799 +1666533039 +78763673 +740260283 +1535572332 +1008205075 +1408263866 +1394272642 +1168361208 +938213173 +647928917 +1321464320 +122588325 +1329752903 +1533949324 +1541912003 +1200661744 +67513873 +1345122468 +458277968 +690483496 +1475097828 +549532873 +1236266488 +1607594098 +2084082306 +826413628 +1797574216 +200701730 +1758144027 +606915677 +1343633529 +1277193419 +685679350 +2083893813 +665282103 +1693884425 +1344674031 +2059554745 +714761986 +135403556 +560000014 +2036226306 +257991882 +1889752917 +1422691982 +1799903885 +942931013 +1490205855 +997542705 +1401208981 +33205703 +325156885 +1950741854 +1269472192 +1932750983 +1887340513 +2095885820 +1582841552 +2088042243 +1706546200 +42273581 +1284192124 +836255971 +727952932 +1220602289 +1501538074 +274353709 +417792672 +1413609171 +989115695 +553196229 +1973609185 +877858353 +811188111 +1715878455 +153066688 +463608348 +511325820 +1643272543 +1461151053 +1912534802 +1676478247 +1786307938 +1715793008 +798466791 +1571575273 +1455649873 +746868963 +1006933177 +1396208468 +305931515 +1049206759 +532916945 +1142187486 +1777159691 +1753519234 +496241912 +2051513400 +23828259 +1909851083 +893145448 +577024488 +1735976621 +1771003801 +1388212599 +1304371428 +1924070489 +1851820947 +1815697248 +1419859385 +1165488352 +1580748402 +948853984 +804312642 +1149057763 +1747320775 +228404267 +457223988 +346706090 +1235337445 +1853432457 +652637606 +137060556 +238865754 +1794825092 +1914220247 +1992384988 +143583357 +1818249999 +2016213247 +2053434440 +563911799 +445754087 +1641927413 +187431953 +1833966686 +798815193 +2111502442 +1538303985 +467028794 +1383878179 +556308689 +2047777196 +185248515 +1360621331 +1049351311 +1932569290 +1589025599 +1506575300 +131791733 +676879396 +1212524109 +784429339 +813939952 +1451389863 +431770783 +580676551 +1296291203 +575354140 +251442902 +1165020803 +481304933 +815354702 +1610774890 +2123232346 +1002786655 +1297257929 +774563892 +966805449 +688078266 +1241592686 +203199981 +1244386956 +1141886234 +388448496 +457524639 +43753898 +173534139 +2046550238 +1550329198 +305325872 +575945986 +615369659 +1089755211 +1389885938 +2066759522 +1521525994 +1970562489 +1215567077 +2096880135 +74521744 +233104232 +430701420 +889876446 +1843879123 +406450118 +1892663101 +993653404 +1181014010 +711984902 +1681731670 +275123048 +915184883 +778634978 +1417009283 +1303633380 +1236159618 +1460763181 +1477167519 +1135226208 +863608731 +1782493391 +1711172195 +1478978390 +724764954 +953574485 +1398254264 +98807300 +776653327 +466337693 +48203787 +851175071 +699441926 +478905207 +1741051517 +395837401 +885355326 +1486230970 +1389490805 +2066369336 +50732224 +923738827 +194008737 +965917108 +1702373806 +1611018020 +122066840 +791049776 +924297553 +1599234359 +1926275984 +1787906284 +1234244102 +1489964531 +1119401026 +1959009056 +296055369 +370171642 +2057816356 +1072708696 +836509335 +2106020144 +1923883767 +1535951261 +437441703 +1517451636 +1931788662 +1322797029 +856198958 +1173795819 +1241682718 +906931182 +2097534647 +1435691455 +1872848290 +1652424805 +899225827 +1994915130 +295990933 +1823523380 +1446665841 +74783269 +1463946016 +533426295 +1564747801 +435863394 +344951703 +1860803170 +806035036 +255284412 +786028218 +1642544371 +213820908 +562428337 +1031011985 +651262611 +2079879973 +815316999 +1974059641 +788595283 +1989112819 +1068258711 +1695526465 +1939163818 +356466518 +1420891108 +1444104975 +1255692345 +1268322590 +1740095908 +931732077 +567504784 +1814879177 +248194445 +1100931079 +1232143330 +684057839 +1445882783 +945462852 +1490092875 +1701167195 +1731491070 +985153598 +1914988103 +146435759 +2016165583 +418767066 +78832084 +683998935 +245343059 +867427367 +525628106 +1313601770 +415470185 +317308276 +1670068288 +1836361293 +1761413251 +778276985 +957200235 +1354025511 +1710009062 +1524705019 +1021421040 +1958203507 +478152451 +106080723 +494777698 +1924035234 +1051543575 +1984870573 +1477718781 +635550998 +822540524 +1245223236 +781986757 +691222459 +1663990302 +860818842 +1375221394 +1909333362 +1728246209 +1900849500 +1075451484 +2143716394 +70674128 +598036125 +1832594039 +1832087379 +1376313110 +642310627 +1038629242 +938838525 +19531998 +2060050283 +749558384 +497684449 +18647358 +1244336083 +274236035 +1070190933 +1081723008 +1751954816 +1705741931 +1904263532 +849694404 +340245041 +448002344 +366201059 +1201063883 +1823223738 +128050773 +781826444 +1576589591 +1203502257 +778059191 +1647263719 +1801538382 +463169582 +1331867451 +1030367845 +1105480209 +223013045 +1969206370 +1125012208 +135579680 +571281106 +1622696657 +154227038 +1815617189 +1896932693 +1224417972 +749856550 +1501403861 +782676255 +506636434 +203614618 +1122921296 +954638778 +569815677 +176501531 +630378869 +697866450 +958327976 +59484812 +1901368707 +1736387167 +1706748531 +1555423442 +52073101 +891132334 +438307639 +1157553311 +1114145380 +260030361 +135081871 +1249725060 +831311467 +1757778528 +1403952099 +499445009 +1507227573 +480886423 +1249301559 +861147787 +1263562678 +1755937993 +1064762405 +239000327 +563093124 +1634578082 +415501858 +1193471993 +184960884 +1373829834 +1252956805 +2086329591 +962733353 +812221688 +1494269385 +1014806455 +1703354023 +1932577024 +24876118 +670015755 +45123737 +159957989 +1919740815 +876435205 +1917736517 +1176209266 +1375880214 +1277480443 +1657095689 +477698125 +2138628230 +773174720 +86152470 +1055906987 +1012175047 +649245594 +543001421 +1427676905 +1842717587 +727962305 +654023092 +948190744 +666808248 +1616756445 +1760412433 +13593986 +484079252 +1316282808 +1946171010 +508955370 +1986298563 +1991294748 +668913359 +1758555730 +720246305 +439166229 +787281349 +2096126519 +1716646672 +296893390 +426340996 +1707791254 +1070068110 +512493466 +616214593 +2082243157 +1161739061 +1159216014 +1362436415 +856973000 +1887178319 +2016459507 +1805163745 +406502919 +1485732304 +1418092530 +420096905 +1969811557 +586891690 +218784268 +331283279 +425706605 +62595368 +1000196639 +36778687 +782841673 +1439362868 +824060036 +731484544 +1008525892 +1120953427 +1157825540 +568833498 +43537889 +1670319006 +1185048091 +2125781047 +684574419 +196780457 +1340733814 +1541547420 +2083958776 +1209709673 +1199227517 +342978047 +547958329 +469836399 +763074953 +370286238 +1056728089 +981859221 +701569518 +1482434694 +1044454589 +1701766157 +1519213381 +1827296262 +993645377 +195789770 +411297158 +2002171269 +1316743197 +1569122698 +423521119 +1360281086 +1091958056 +1608569210 +1338578485 +1776532476 +1805349667 +531828651 +1170596248 +1741824795 +1741538324 +222340117 +2084802842 +142013006 +692176516 +700394147 +512299244 +1748904605 +1682253368 +1213868762 +1083855651 +579224309 +768151271 +455585384 +259036923 +1761796648 +651375154 +670334081 +1616484269 +1968118351 +91973131 +2040005388 +1180915790 +1183931188 +1501090950 +372010627 +812980016 +1158956969 +903839279 +1983576264 +753298116 +497893955 +58432733 +690617311 +639906961 +750609249 +1391011458 +1152206206 +352030206 +925781179 +218591320 +1435885857 +1505005488 +986742592 +1891471241 +1764042412 +601055592 +395362748 +286892845 +70056214 +215997451 +378865977 +2110061602 +1396913241 +1562797165 +1463668905 +1768923869 +228293533 +475142226 +525279500 +64386149 +1228440343 +1023173455 +122818882 +1919057654 +1663080417 +873428131 +1162585464 +667802975 +1225458337 +2088366643 +886394295 +513860546 +1445888484 +1873136887 +257848139 +1062447248 +326708832 +653210887 +1349340093 +396765046 +869208339 +1728206070 +359343000 +118637932 +1143519587 +1823011905 +1887561801 +1371813120 +150670484 +265357653 +1436199269 +1379110827 +1288531109 +1559018151 +1150684833 +804127878 +284962634 +165786649 +1471930853 +1510420971 +106669645 +210841500 +2024281517 +1552558129 +2083978388 +134646009 +467521729 +263203572 +787856896 +1816861822 +659968618 +1657065235 +1397584245 +1019311618 +1775703168 +393620184 +694839876 +1515781321 +1765433305 +845510360 +1781138975 +1054148926 +77137539 +922186436 +465683430 +1227822372 +1726314314 +750646064 +1393609021 +1050761519 +113583388 +1500278666 +1261603019 +2137864905 +905353147 +1198097759 +125027266 +1372874876 +1461301331 +912884163 +1042253051 +2121269949 +422465750 +292353648 +993097920 +50685270 +685973832 +1687937796 +1566466592 +303923489 +385964508 +1200121919 +1358072416 +463102047 +2122308355 +1823755846 +1690924419 +1701139021 +426918262 +937049792 +604416892 +540501650 +289844811 +1866019911 +530882908 +1195197958 +916634023 +655910174 +420589187 +230451706 +1568794337 +1462842238 +204238008 +1991260088 +1755195886 +1197335928 +2041945358 +293686070 +737790076 +1460928302 +597609560 +1123754584 +513566573 +1955681976 +1586856631 +488391280 +1631954174 +1130297402 +42046653 +2058872436 +2067347194 +646463545 +451890439 +209708357 +364999809 +982773347 +1404906316 +1281633832 +1638683521 +1825495503 +1512085538 +1059994211 +1140854093 +1716323546 +903770651 +748566331 +766175826 +798232361 +1042252401 +1503965902 +111677016 +1639861961 +480236838 +625243589 +1448060289 +2067093469 +1113634870 +932530815 +1049907223 +1155681523 +843919604 +969770770 +1802145069 +1295810043 +1179479127 +19661230 +131099742 +436901795 +1301295062 +1769783263 +114913650 +665896952 +682293826 +1255767743 +234736851 +1586064477 +2004334074 +1000912677 +236813191 +899102828 +357394932 +348490207 +391481141 +837631770 +973733796 +1839541431 +757241592 +2087368666 +624588598 +1807148815 +1095566542 +1468508202 +629435937 +750227963 +616834597 +1808915065 +769889193 +747934339 +98333212 +2071184255 +370233955 +213246863 +589597559 +1052527781 +1469014606 +824334410 +491108611 +1325865033 +1825247088 +727921802 +77484213 +35158372 +1076412009 +468965354 +872790142 +2050145805 +161023137 +1630031734 +1990030824 +785611736 +1289696902 +938113718 +106636290 +1919132839 +1688341681 +723470888 +1580564256 +310747226 +1471405227 +1678897469 +234447833 +1841639182 +1892144332 +824045392 +746683316 +1213675290 +1648379803 +1237791927 +392056675 +1326143243 +1965713729 +469540888 +1361301615 +894642090 +938506243 +86608109 +797304247 +1099529380 +1716639844 +639851423 +1885141116 +858853098 +1577965141 +1991777407 +630502289 +1118823174 +567764647 +63582898 +1429570400 +2039169874 +1742480367 +1664018233 +1733325409 +1487141051 +340579978 +332525077 +553332693 +1988959781 +1570317004 +945389369 +1167619376 +1388547085 +1414930257 +381437343 +135705527 +205952852 +468045452 +933009774 +1305482233 +37201648 +1572861198 +1043139701 +896054746 +1003342691 +887433460 +1526557036 +2122165866 +1455198107 +1590139934 +1404252618 +1346884334 +1185136653 +920787204 +932726095 +524794056 +1261367182 +1265251172 +1078126749 +1102843315 +688084528 +2023516118 +122979043 +2076631613 +1290962728 +504416386 +64853492 +1496915580 +972461838 +997863266 +654914165 +1009663487 +423240816 +1698053867 +1905718233 +1426583508 +438003679 +1284791621 +1401265726 +1893201787 +727447907 +658034696 +1092602473 +1912584560 +1578821900 +2025328568 +289894968 +692705434 +1143096092 +1368021718 +1795548749 +1831180620 +1244054188 +1918527792 +1760328585 +387533268 +275460530 +1825182077 +1884448849 +1247922369 +675561695 +391879366 +110102208 +1098802512 +2089933233 +2015820441 +377902372 +380453265 +1153128415 +1779168098 +126171404 +1880576322 +289719146 +1218773877 +1645677235 +1868541047 +1096618797 +1935572203 +413762833 +92231241 +1156110273 +61827935 +1923411861 +252680814 +1980355727 +1536256798 +640214082 +108332610 +1213955227 +377179283 +1356254979 +1889516922 +769058650 +1466357187 +840835786 +711508235 +1334693980 +1218738158 +1091961500 +340338747 +850422608 +1218132904 +73431422 +1140141755 +289423133 +1719108657 +861199154 +1386041930 +1507197212 +1274961987 +1478273171 +515823838 +1336789922 +1254201384 +768504652 +1169662002 +642974534 +1408718734 +1277994612 +1856929761 +1785898018 +486765943 +1598963036 +407473020 +1953123130 +292315174 +1118981255 +1140333462 +1511053333 +63459108 +1480672210 +213992293 +1281592012 +1554103632 +1354134048 +1571015146 +1125728641 +67849554 +809573428 +485442205 +1342811542 +140362952 +1001266043 +532117816 +1394564336 +1769770695 +1701779818 +2037538871 +1031005782 +832290782 +1746984984 +669420152 +1319056725 +1198464372 +1076893172 +1124696207 +1490779547 +48390779 +117546022 +854349232 +111849887 +1598218232 +1068341525 +1393441900 +1004838216 +274991926 +816973398 +2130566857 +342841480 +1626546826 +468525414 +1685653022 +1766909778 +1469791458 +70287191 +1013990467 +1092078505 +1772067009 +904045690 +2123084287 +456874144 +503547026 +645020791 +1775930869 +1702011399 +1721913963 +753143429 +1045307298 +1770304743 +870689451 +1899656530 +1882154630 +321424035 +820514407 +1128112882 +1326262251 +1095506333 +1945086280 +1309345460 +1438347814 +1424149459 +1777870874 +976517188 +1043575589 +1100178684 +1046804379 +2057566056 +44773542 +671387741 +814128098 +20374181 +1128261885 +1317675125 +665394973 +756709106 +872202876 +239825288 +1509852535 +1917510174 +2010130031 +233058338 +1669683056 +1744801014 +554482373 +342713815 +725430248 +1880744624 +1438220149 +523032881 +1042606436 +729084315 +1947182340 +672993663 +1705601503 +843274281 +1773172347 +604922235 +753356690 +1817945889 +1276309976 +1567484788 +1838320071 +257088213 +737676265 +356231396 +1013797319 +1609879141 +596056684 +376166207 +1379905667 +458703068 +609224545 +902105075 +56020434 +1163706919 +1244818891 +781450682 +896967895 +535555392 +1304483563 +1939574332 +1264639707 +1104182255 +465084347 +822757562 +1947456537 +90773046 +1427679797 +553329579 +1908718936 +556506125 +2120814367 +1599555359 +813594338 +711006985 +1955786755 +1827391658 +173402478 +404359791 +56074217 +1553308146 +863062859 +665298762 +307929573 +919083293 +1829005681 +1552748464 +1700533976 +578489929 +2088303856 +857533891 +370580613 +1205459915 +1961716147 +835664960 +2028217478 +1761689036 +926438006 +1308413627 +167534967 +687673294 +1864919753 +140865686 +139745005 +531030443 +851872671 +2095531760 +210938453 +1025275150 +352407904 +267012670 +431099648 +1215470763 +932311433 +739029221 +2134554057 +613833466 +144294038 +1687604385 +1192323395 +85114246 +397654628 +1562904008 +1290574162 +211887127 +251085320 +1171307992 +1973576163 +1177523327 +332237971 +2141111130 +1865196621 +49674076 +134493169 +2004941627 +580704520 +986365840 +1952989739 +791642973 +2011640990 +157913995 +1058655644 +295256990 +1373384759 +1990967077 +1034286212 +1360455168 +457316895 +1178580250 +900575905 +1649640291 +1263694496 +1298230533 +1065060651 +406785010 +1510117661 +1316145972 +1578093002 +1336210176 +346185651 +1910330974 +1329837659 +63898624 +1960005050 +1464330828 +2068840251 +393225922 +303213020 +1874346343 +1184868896 +167370363 +2032260338 +96040892 +462627353 +1258161449 +2087007969 +1496913565 +471132969 +396841216 +528010167 +1371708874 +2046481507 +1791704664 +522455760 +964058511 +51006026 +2032573421 +132720835 +1629099029 +1221299949 +478906486 +1391946355 +403653960 +542805110 +1204467757 +1867984788 +464161714 +1597693680 +23714161 +191024409 +635078928 +191084524 +75801099 +731119820 +653711877 +1333962549 +670644141 +3141795 +1805095518 +1067485357 +531151962 +1029320745 +966483217 +175372978 +1551776505 +1930541728 +226379005 +1436866278 +2063262563 +1855478034 +510682579 +394685401 +1099940741 +914336540 +937490511 +156924850 +634837680 +1401652225 +1754618530 +658551841 +1592676634 +242213810 +849636365 +1668477734 +973333630 +1503348243 +854956635 +1643977771 +1506490038 +512568505 +563979481 +2037642000 +1541889250 +1530462698 +65531331 +946182107 +1313520778 +291910336 +235564737 +1229299693 +2147388370 +746247317 +1623985094 +1099845463 +1660583857 +413991957 +1256770313 +147937889 +1815644183 +863905196 +806489731 +1260837169 +1106119006 +1656126096 +781831255 +2079452637 +1011990691 +1636787890 +1575946760 +370997081 +1872748 +2139926241 +261155434 +1543761998 +1522905291 +326686765 +342460458 +688942421 +618597101 +578025195 +1918242114 +618501823 +1324272512 +1394743560 +1718347286 +837372721 +1808735518 +827633951 +985310611 +1476896053 +1691539147 +1791800342 +590249574 +650174506 +1300442790 +1372080830 +582143495 +164949834 +861385072 +10606607 +535946915 +863257820 +3049201 +797102349 +259536171 +1525954492 +1123789114 +601996629 +67413266 +1742386215 +1180021824 +1985655380 +213404390 +356810689 +1232915293 +1931751676 +1194183410 +894167163 +611901980 +32010373 +223579568 +155957479 +1823810715 +813829142 +806131985 +976769858 +38426324 +1388275480 +1141719692 +899811397 +1398882088 +1677666607 +1763069217 +1401931289 +327285309 +2022605388 +780402133 +1451074423 +477118369 +847815399 +1045976991 +1657140194 +685987132 +1259381381 +2013950883 +1918902425 +1043649410 +1060650645 +665585940 +1655551390 +1092661019 +889165508 +1811508869 +768988086 +1702994650 +470157207 +1745757944 +1741420975 +1858432687 +739993988 +493748724 +1109831127 +270176948 +109334293 +364278768 +597462257 +2131939682 +1144680902 +2048536680 +461574403 +1992496301 +947030023 +2118714597 +530999785 +58927757 +1985181832 +302418562 +1102577167 +898348830 +968004502 +610644909 +1991009849 +1857170010 +274670130 +612514287 +1412681013 +744827337 +210788584 +1006618340 +455776377 +950782572 +1500367064 +1565607504 +1220959520 +1609701357 +1929886273 +1818421777 +1594157391 +927083527 +1719474810 +2055731795 +772096180 +519021185 +2026962744 +1303095966 +577948942 +1864660929 +1605514528 +1680526109 +615526111 +426035383 +143687370 +459052312 +135721745 +418357501 +1071566599 +1548402758 +1163184838 +1282355183 +407537450 +1618961215 +85654108 +1907904514 +1037085072 +1306613628 +1370122224 +819487697 +977551758 +816795967 +1746571224 +549542920 +725044114 +371183756 +1068564105 +604523211 +1674279722 +1646513048 +321700492 +1132310603 +1179555509 +937226603 +1558345986 +1323242880 +1396278915 +1694067731 +1741600381 +320361866 +1094986842 +757301571 +1602717050 +1502524292 +228779139 +1688371158 +1262945159 +1265864211 +847501138 +485583735 +2085351908 +1825052896 +1302379702 +1684439484 +227112168 +2027423817 +2055623240 +1295676274 +484463380 +1582419315 +794705674 +806163872 +567246270 +1974261183 +1743390475 +2125592256 +1150020415 +992185742 +1672176339 +744137148 +1312547608 +619679533 +1501438720 +767781010 +2122203826 +1730217859 +308668520 +1237665337 +848598422 +1156169659 +1723249072 +786466682 +833738907 +878145126 +323422518 +1060851076 +758085295 +231562110 +209043702 +1242548675 +1813981425 +1003749376 +2048712547 +233744047 +830526911 +1644619374 +211852655 +1980547327 +489321468 +1884028995 +577200827 +1801869077 +356224880 +2078639547 +422166439 +330945058 +1661373758 +730834960 +1568610395 +362488532 +1887004619 +1144375819 +1148955214 +573259878 +2022520946 +1472377732 +1634110954 +633122593 +1703939843 +1843154656 +1875671269 +1370437620 +699420384 +1776900168 +1604181668 +1529947296 +1274035895 +1816034323 +1363010975 +1763357363 +1552579670 +1940211802 +1417742792 +1908804551 +1871367702 +1839909232 +92265961 +1385257812 +423260544 +1660876357 +1747746345 +162781515 +657768528 +749217911 +736041393 +532805826 +74111996 +222668700 +1165928420 +1778051839 +2065823356 +894116041 +1001005811 +617760093 +523532561 +457703831 +223741 +1797568456 +126254507 +1363234716 +1413442172 +1678834177 +1155962870 +683701316 +1440155080 +879846924 +376126900 +1532421042 +117621089 +799387444 +1045813751 +1865367434 +962168959 +1703582279 +467101697 +1698210353 +88904458 +541213693 +1920879053 +1254832878 +171781884 +1839218761 +1465271 +1172787696 +309495206 +524997832 +1630491527 +309718947 +175082641 +1756746034 +1672953663 +1588524813 +1288096564 +681432886 +124742481 +580767996 +1561279810 +500869382 +2113189038 +1678900899 +1300256826 +1011519141 +1396784685 +114942138 +567617773 +1863886383 +1813152491 +656522231 +257616428 +1586547896 +1911355109 +429398313 +1278283009 +1912820380 +1602186009 +1587778216 +290334564 +1085193888 +1897497163 +465417205 +694456275 +1422967179 +2053942018 +1982552839 +2104400065 +31200852 +415837187 +1518196227 +532070234 +381542578 +1049613479 +1832327060 +1393061719 +298914516 +1947269198 +1960679492 +15317251 +1612938041 +469718075 +272933680 +1052002289 +233589536 +702331993 +182801651 +2146409916 +157034354 +1770579867 +289260833 +1242228242 +1520593382 +754678038 +1936684517 +796076913 +661136409 +1771753708 +752993330 +692337261 +40107248 +123705910 +1224407495 +421649826 +1173319389 +909250907 +1814711545 +1472233905 +709036458 +1627907390 +1487551157 +174490851 +2097625465 +1760484837 +1226493141 +183731354 +315333182 +1409294792 +182657622 +472367536 +1032391011 +471918455 +1714595778 +405500745 +1226596494 +1503796648 +1201577659 +1887732903 +1128066708 +1954570989 +432586516 +1168173956 +2078276899 +1656994011 +1589823782 +1104112640 +418761270 +1257051680 +428862898 +1127797728 +737475422 +1916414055 +1302288580 +687617239 +1529415244 +381298073 +871348593 +1844748426 +1790592865 +1054006216 +169632314 +675500228 +1525924671 +1884228092 +1081000973 +605037517 +1240541092 +135094984 +345286772 +221124153 +2089665974 +777873288 +1389298109 +2020459225 +287383651 +831638244 +977088218 +706144922 +2088689924 +1405951116 +1833942650 +678681698 +1174881523 +988747582 +1366298937 +556813119 +1370045655 +90163883 +254077897 +1013154872 +1144170099 +423710211 +1688655100 +522611122 +160454655 +622172426 +1127648640 +1400995748 +757267410 +1472935412 +1622119901 +699449736 +103325053 +863934362 +572425314 +390708704 +1695572606 +1549513532 +1096853626 +1636778882 +807981000 +783312629 +167976932 +1982862523 +1772060211 +1534275870 +392191994 +994622219 +1624439753 +646269891 +2007777091 +621126204 +1069980102 +1548948544 +1143737326 +1230434757 +23637322 +123902318 +483946857 +780904732 +1596837731 +2106066758 +1480354469 +1700162784 +822517473 +2052779783 +2090871488 +370606431 +1454809667 +1040241467 +2007385314 +115307019 +1823554096 +27878598 +2098169542 +1448130659 +1562154468 +342877888 +295269230 +1039110573 +989147779 +155562674 +1660236777 +2059127881 +1704511218 +656490456 +1142078990 +1728148540 +780392774 +1626025848 +361569624 +229746857 +1584608958 +1841924093 +1929909641 +259642783 +1747220228 +1873297482 +630249215 +1054546247 +766055301 +490150881 +1169853266 +442125749 +518029479 +1120539160 +1890256408 +2080183948 +1463417048 +38041991 +971810873 +305081179 +193604665 +484564003 +216725412 +1898115883 +1141054459 +1358804403 +1478780775 +1921447233 +837346603 +1840350399 +3710443 +274471913 +1534790845 +1933620084 +534114697 +1134527425 +1659433918 +1164363912 +41590025 +278005571 +1654514793 +1211443291 +720131320 +25060624 +184498804 +462904081 +2105244572 +1647915852 +500946072 +929571798 +1952997032 +694550737 +1414135801 +22238796 +445182972 +407706612 +1381043199 +1923963747 +181670197 +70906154 +1616830498 +185380640 +345378068 +1004137695 +2119000725 +879492765 +2138665121 +1630950995 +2043856677 +32771498 +1908956567 +1550887822 +1244214789 +481604239 +1575948446 +1428713593 +944508320 +1533709371 +929145798 +1445454392 +315797521 +734659182 +2140005129 +1729933322 +756897978 +437704453 +2137639934 +2137941178 +214184552 +171826483 +61363684 +1831015051 +357207124 +406741752 +687669098 +328724201 +1286234517 +678850571 +1959675196 +1182607546 +711622069 +1721148115 +586011720 +1955836859 +55268707 +14476519 +1237066804 +999777027 +1548185890 +18728954 +297747772 +1863983411 +753388136 +290269253 +1446433085 +1510286115 +727973707 +1436589371 +1500743645 +942158259 +1608415854 +1562107329 +625689662 +1965622978 +1968849082 +1313358761 +146863531 +1107599951 +1992209332 +2106538728 +142723850 +556347754 +1680203195 +728735570 +364700965 +1735471902 +743212089 +1601767769 +587765282 +143914331 +1620496724 +885513054 +2007897742 +226401212 +1175782307 +1306847179 +1736687327 +1903756014 +595952902 +1089947324 +698430626 +56885109 +504571006 +1324120288 +2022508087 +325936440 +489995401 +21887971 +1433536391 +334721086 +2128426699 +1576260241 +891068840 +1661146246 +157512164 +1255769805 +1249134501 +900724253 +710053926 +1836899783 +1044638585 +183067002 +574929189 +905052679 +409468215 +1750711496 +64416211 +2146155542 +1506983863 +660369113 +1088619219 +57930841 +717254222 +1593190225 +1382051129 +592278662 +1919126665 +1872046531 +614166633 +1205179408 +59283969 +595109684 +633956002 +950352809 +108772282 +791468166 +58638966 +1357906783 +1692192419 +768692892 +1047322918 +589347356 +951759895 +1622252107 +1494400036 +1361228110 +1225479956 +1558816247 +1359900004 +584980171 +71701712 +301035575 +642911012 +788955935 +1894225800 +2024962141 +1381234597 +1665868817 +1749525024 +1995401230 +723564578 +1808808993 +443027266 +1357520580 +611678154 +551799548 +1505098 +670317120 +1909706332 +1693697517 +1439010013 +809545602 +135561226 +243286260 +284314062 +1629961262 +1604514370 +1509794018 +1041293861 +816930726 +2094774189 +1112995573 +1117966302 +590201553 +1901951508 +864708454 +467680046 +1135702457 +383093624 +69721423 +983620039 +1106658202 +1878530416 +1426647305 +316695134 +342724923 +1978446854 +318200232 +1013042043 +1740669538 +2011897749 +304568408 +402731492 +2147458975 +547854668 +687045554 +1629936589 +4885390 +49355924 +523746802 +821816117 +2144130113 +1636742376 +1939782419 +586848018 +1391210236 +657007225 +1054528065 +379429046 +1040100849 +1124249488 +1363049085 +2146759051 +855296256 +642212743 +315970537 +1198021179 +473175949 +634170769 +63579575 +66361839 +498584871 +368147983 +469093331 +498560198 +916002652 +1156138886 +2128496788 +920888042 +1205494810 +504759942 +1742704159 +1202141276 +2141502318 +1535002930 +1788989294 +1385228907 +44526508 +696033711 +1764657953 +1084627357 +1820283199 +980223390 +1083902761 +528095808 +1622436133 +1399873298 +1726116987 +2095612082 +2034044068 +1789696562 +14490273 +385145291 +10360898 +483583605 +883705489 +926363550 +1639722491 +864718629 +1847251592 +697733653 +1369478572 +1442472104 +1899874929 +1363497242 +829991386 +1541380576 +601242501 +874517894 +89930639 +218416806 +1959145252 +1910213839 +1198640197 +895564365 +290825999 +673592682 +147954015 +2016942986 +621721117 +34514435 +1659155901 +636211390 +419659726 +1669516799 +1119794995 +1303365216 +448396701 +612033838 +20600197 +148164645 +1309767492 +1390078769 +1590636749 +1062158773 +606092364 +273144488 +456055701 +1207334865 +1147662382 +545986341 +1425751672 +959323986 +308716532 +476908221 +1854888351 +599542531 +1150500903 +2002842367 +469001869 +1772222020 +2037356802 +2128157770 +260949763 +309532881 +1650190921 +1380744758 +1612898097 +2098587622 +1992778597 +1633498294 +99268620 +1155062441 +876093416 +1689905369 +69737566 +1482185780 +1963049857 +525793268 +542036997 +963228592 +1071779609 +1967788669 +1922552578 +1380496141 +297213242 +1629957282 +1980038672 +1447714146 +1485316001 +301556893 +1072452518 +1375189155 +282231016 +1333402281 +1684722036 +1932421937 +566663392 +1150136485 +1883525912 +411958341 +636151132 +1982794532 +1567020782 +1512244548 +1525216253 +1636758348 +846946680 +1340782463 +15067968 +1388983677 +156527407 +1086847577 +1209288699 +2079079985 +319860070 +1506501941 +1561553619 +152415094 +806732439 +899385972 +453971988 +1879184958 +127091480 +736203004 +1065103591 +1811813516 +521141293 +1631766983 +814466354 +257183557 +2043725324 +1450617486 +92494441 +1463262458 +815378386 +1617710695 +952537159 +1662325066 +811009510 +967605127 +903825095 +967536917 +2054452705 +2113113794 +899133254 +226829127 +1472132088 +313203226 +379244222 +131380879 +1212589198 +833216210 +2010565837 +1339680678 +1569419214 +928185781 +1004010547 +2090560507 +412469116 +1818476901 +200260417 +308710793 +1121610739 +292754858 +1771973251 +1936989125 +1910465553 +577026762 +1451830543 +573991415 +1544631890 +208171990 +1541528332 +1451600947 +173802137 +293177939 +1678430074 +1645934225 +606381165 +2057674296 +1777315104 +1818970363 +743406858 +1640397294 +1011167394 +165342424 +421099427 +2015177941 +108419284 +833568543 +1686171194 +308679701 +1142279336 +660298285 +601434559 +766768940 +449803762 +364416465 +1343795702 +1901634305 +938407880 +740943944 +2109806295 +332452565 +45061243 +136124784 +625630504 +1723491318 +1782059009 +1232011669 +1633681966 +1411890466 +903498384 +229605177 +904804112 +1914665778 +394947601 +1325903539 +1782360071 +503366885 +11988434 +1321047617 +812046586 +1154267771 +1981345902 +1413481146 +1921036711 +283666016 +1777897611 +1117348765 +37816673 +568821843 +1858292710 +139321 +901274408 +1903353953 +136264105 +1526904912 +1479361623 +1918323115 +611432933 +965559942 +1182729933 +1514931318 +1195165119 +2087534045 +1282113448 +1590112720 +1265953936 +916989872 +2093479606 +1277942370 +90553841 +758042544 +284726493 +2071899744 +24040042 +58279556 +208082112 +1801937653 +1175628322 +245898786 +223275849 +886437384 +246038107 +1124550257 +642307689 +382302212 +503971522 +2121669313 +153141679 +1115404455 +939745607 +1335871612 +482852125 +2134910726 +1275922009 +1764965574 +1577539798 +394392297 +534471798 +1523535756 +1672334668 +625025639 +134094653 +1957061161 +549441735 +158134695 +2015340718 +757523848 +1960072349 +1043485392 +1003422634 +35864550 +1929922776 +1249460741 +1160414807 +424746817 +1631762953 +1664386329 +398932482 +1784904633 +632307137 +1338678089 +973292597 +1115159262 +1326105167 +101730959 +732641188 +756161318 +496123256 +1267112986 +132213426 +20974276 +1892138626 +266308079 +1978035438 +294096713 +424442775 +1845892508 +1051620561 +237031476 +741894252 +2055043195 +272896026 +524333380 +1157020288 +1433310833 +949080197 +641299594 +950213515 +1348012680 +278720579 +1582520652 +539207121 +1252013176 +550196266 +1865312289 +1353744135 +1282837455 +473989959 +1849867392 +402466793 +606203385 +1870841668 +147121771 +872511465 +1701393458 +441218485 +1296954240 +1399802318 +1492839046 +1533985716 +2141696570 +1400398594 +1806881742 +518546302 +409935234 +1092708927 +1467626500 +1051234828 +2042922442 +668155532 +1329955407 +1477959446 +1207362653 +434484936 +2028155713 +925191294 +1788229071 +1163509520 +1399181253 +1490612815 +1565976313 +2005384639 +1213970836 +1713098085 +730412456 +767880646 +6832922 +2027366696 +20199317 +1499671968 +1413868764 +14412239 +752586914 +1073266858 +532958542 +1162522149 +18492137 +2000585042 +66273329 +2061414580 +521256926 +1396228737 +1391890378 +1728619579 +1830713673 +1272562443 +506327226 +1471459096 +288588315 +1905508479 +814588264 +1854564629 +1763409470 +2028559100 +1420179066 +346338278 +648956098 +1427011988 +226221326 +669155415 +779200308 +1640090090 +683567655 +1531787223 +565873300 +1216526197 +546825724 +584365438 +1069627591 +613099053 +498296370 +1590884517 +2009327790 +1890186748 +1172020448 +1692557815 +1015265544 +1678347674 +1016533264 +1303853859 +1436372506 +1831121528 +1010934840 +1052298328 +1712196980 +283630258 +1398636607 +213669430 +1710642246 +1624857933 +882824846 +342358907 +1117464376 +1566392501 +1874146130 +1683337676 +635435050 +273488206 +120219466 +1705062641 +886587259 +618515836 +1148463510 +748431402 +361218937 +173000310 +293505569 +1376484481 +1851347985 +1310038833 +532854692 +1140236843 +993676713 +1543789533 +45051523 +558390045 +1827419791 +1443688130 +772059476 +1390578390 +921062416 +1654884322 +1732937297 +2038526792 +1073793175 +1459599779 +1574380820 +1709228225 +1733087985 +1694600287 +1266807218 +472191596 +165632475 +267787080 +1220622998 +526851412 +440787390 +1514128568 +1903335893 +144651727 +676683753 +288706938 +1284888570 +1670360467 +1832496471 +1329940094 +81266864 +1512432614 +626144576 +853326340 +755527356 +1547206992 +360727014 +340981005 +1438250136 +1434520189 +1800580784 +865147309 +996264766 +1386185121 +412263948 +115588336 +1858376718 +577896423 +383375416 +931516068 +1104747836 +824162807 +298160988 +860600081 +968814534 +974844742 +1149307019 +106219457 +497721561 +834319842 +1436159551 +578988425 +199268809 +2062304127 +1432314766 +954796165 +1462027472 +1793041780 +1295777171 +752793960 +1080078322 +948874307 +1617941269 +2076343088 +187575781 +2030205217 +44447777 +2045952499 +460617993 +427823193 +829984919 +1565365829 +1251986000 +1128145908 +278482262 +73316887 +2102990650 +1427789282 +179536344 +453228563 +114625476 +1615695895 +1032216988 +313894285 +1530516374 +317048106 +1268690451 +845060198 +2110089887 +416983974 +1597854159 +1042684561 +1365858281 +1068311780 +971544001 +1553434062 +951033350 +1015991778 +1451902913 +1411651343 +1443814972 +134404185 +829533524 +548317324 +1262550093 +1108015786 +621634211 +1218057095 +388321420 +801170555 +1671285658 +502946897 +269382802 +556018998 +816841182 +1799899177 +873067105 +2085531633 +497475727 +835673344 +355031959 +2095329886 +1878357905 +1720890241 +1016158019 +702418258 +1126840655 +1967191369 +1718410037 +431259921 +1231359064 +1014741361 +565664106 +2060892588 +1563058685 +1828214199 +1021424726 +37209249 +898787646 +1409746147 +838379804 +422589656 +1912693044 +1107762607 +978608654 +582050578 +760178136 +1851675759 +520098564 +1257653863 +539865455 +875130523 +1205500102 +270739712 +448537116 +74174473 +973157971 +1575377772 +2041365842 +544084360 +2006637693 +1125241258 +1558825721 +424818151 +1038650198 +974400758 +105548702 +2060074924 +1011610007 +1004336348 +1322337423 +1849989812 +1426926004 +1087546819 +810268771 +258051010 +1669597398 +1570446907 +2109726770 +42212314 +680617122 +502108577 +917342837 +1886117224 +772848290 +1365879954 +1960291697 +1746006261 +793774078 +1854173891 +142606973 +652928123 +831931501 +1701432694 +1077746274 +1870581699 +528349804 +1183294976 +1783172976 +1539959812 +40147676 +958026751 +1242465976 +1467073680 +2045573571 +2052734747 +1725124690 +1567687321 +1475698006 +1687367812 +1609899635 +8831480 +41992742 +379758824 +1894948705 +814841032 +1745638778 +1707756754 +413363645 +391929208 +1414446998 +555970618 +1044857331 +98894851 +109919664 +2122603605 +1969476551 +638269468 +1158414933 +1605165879 +30745632 +1198562609 +415708982 +1273211608 +518152641 +313798905 +1178462707 +95793684 +1881486226 +506677065 +1783161496 +1343902213 +515508546 +1825154238 +1723661038 +262973603 +492511622 +1321816168 +1970730357 +905875267 +1713745377 +1237693707 +1461845885 +611119060 +1336588559 +1571765549 +586239018 +1158581462 +62551370 +1744653951 +616263693 +93297002 +795732913 +1031972675 +1366508611 +1313885554 +1345771581 +397487670 +1409679238 +1079774159 +904164736 +1045357087 +276192725 +1419673282 +723027677 +1999853763 +1682646885 +1215539300 +1174186283 +1505893594 +2121414567 +740448012 +596103654 +1435776805 +1351567073 +1932692213 +860058706 +1937806091 +943790027 +922610076 +1534976394 +1560053720 +1015907079 +183225659 +444542747 +234932042 +1497111214 +1790314328 +632419712 +759306804 +722604840 +1536584448 +1804663891 +998797565 +808774082 +380207921 +851167680 +343937319 +1595747221 +2025353963 +1849830914 +1569678140 +618318328 +298450920 +857971297 +1969885401 +83659485 +1718030004 +1760207844 +1027449512 +493156432 +1147700590 +440019584 +1509063511 +1330926250 +884562331 +1743995553 +680553816 +527393012 +228931618 +1439860620 +1249997852 +1765516066 +1097040864 +101311769 +426806501 +1477248785 +952479449 +770743820 +925512358 +830349764 +473091086 +347706850 +1448668092 +771542006 +1205678148 +1271069845 +855201491 +776224504 +883794041 +1882651003 +1269380936 +2031494632 +175186939 +630960800 +1214937234 +1059749271 +227472705 +1895491050 +1587142283 +456404323 +1187868022 +689656487 +74436742 +137425238 +790968256 +501243243 +1614674023 +1743447705 +1271987063 +392702733 +426313821 +1745078150 +740409584 +1874981914 +369136508 +1946087732 +998568111 +1224338000 +574828588 +1882362153 +959505355 +1844209524 +1766373137 +1134692295 +327686676 +833826723 +46957918 +555159382 +581834125 +1634100201 +1011563705 +1769702147 +176273040 +1086000447 +1907127386 +967241296 +1587243690 +1374317761 +563205353 +711747106 +1767020495 +989519174 +309341608 +359946431 +717017440 +678478116 +158550515 +1715585552 +1902816116 +733379103 +1450464057 +714837824 +430104979 +1069353546 +1849530119 +757791656 +1903180269 +1896488037 +1312951038 +337530746 +1383104590 +177031095 +2107232893 +1559377630 +1263031543 +1866876631 +379135278 +702791585 +1093710745 +942340631 +1414538691 +713247592 +1931859805 +1723880299 +1073194023 +501393598 +254874768 +1231744538 +69495502 +10207236 +1965123641 +1519959559 +725045060 +247744972 +441829457 +427091531 +1005536628 +197526078 +176095920 +171004018 +535056824 +1559200510 +348035114 +494806069 +971094492 +1611066657 +214199053 +1350229770 +166374594 +1307909798 +145086753 +1580913286 +2021157390 +2076946559 +1157309937 +946867765 +430856509 +1412184705 +31128655 +500352011 +1422391942 +1996252296 +2020311570 +2147437002 +96513620 +314657379 +427044886 +1102050249 +512183457 +603140806 +1273054267 +1047240281 +14857669 +1621089381 +1542046350 +985952161 +1084672390 +1756245403 +188698284 +1251046985 +916671553 +333785037 +684476623 +790345295 +263247948 +1841786560 +1737213060 +694104457 +1106487618 +1768341715 +1194456468 +381395912 +1617110363 +1067284390 +381349266 +1713623984 +1381941769 +808394152 +668190585 +1894125226 +1411534959 +1941244852 +793881859 +1426392628 +1414850586 +188444562 +264861141 +352039328 +1944689965 +453559425 +1603086313 +713877871 +787344463 +140079288 +1504223166 +1050592411 +1981865849 +1093952579 +1744696869 +940869819 +714810646 +791669689 +1322265731 +184437362 +1858954080 +1703614997 +1898061346 +1093412201 +364525502 +418768283 +840053780 +1776060461 +212529487 +1633935639 +1054969441 +1627380073 +1822380201 +1319830582 +1979419402 +1619586519 +1773390008 +1435022067 +185980742 +413250823 +1575101356 +1690203908 +1463843234 +1409483557 +636672839 +1061056455 +202869728 +1351483486 +1852726145 +1525135459 +1535920848 +1564196577 +1081266808 +1286498546 +510125130 +1445792310 +1705266829 +1350178910 +1074369123 +1917796316 +836630902 +2129338564 +1397692742 +511527455 +1301685499 +1229628496 +2131113974 +927591859 +517166915 +169611068 +1340842682 +2092268271 +1859814977 +657202268 +1354268180 +349004168 +1718258724 +1557137908 +1700487654 +1423501221 +934789719 +1088924854 +840214150 +2016056528 +227939752 +1350339280 +1314365190 +1933206581 +553034543 +241250666 +1703519250 +1389665445 +223105582 +953728344 +1901192900 +1524791081 +35873192 +1884823227 +304899292 +553040107 +2054434295 +1645741974 +497824731 +1766765624 +155460595 +1852092911 +2115769793 +1873719319 +1261747172 +1668773799 +1149736892 +49053243 +610215006 +1989951042 +2065109771 +838154758 +1192806674 +1231991314 +623877692 +1745841217 +1473241980 +179913294 +988023014 +1696347562 +1133641638 +741732267 +1073654996 +1169514830 +479071846 +1378554288 +1722554937 +386022493 +876812615 +72896020 +5304470 +1032273210 +1924988932 +2121074263 +758508881 +1039252456 +1642364414 +1908245773 +1088305699 +105095772 +1750713167 +1005931823 +943250531 +796036193 +90439489 +1567128223 +394393763 +1563681469 +1747041517 +1382416777 +1112545383 +733199507 +2124149044 +38716731 +1902714337 +455737242 +1417271020 +1477785626 +841759736 +146599987 +1550681647 +847064206 +1178873197 +1328186931 +820654821 +1937382078 +219955739 +315535587 +1698144203 +1308261438 +420631360 +1301373722 +166709613 +1363881891 +2097409915 +257149102 +783526466 +344320030 +1820830571 +383084335 +1726736808 +785892307 +1116283842 +1703402204 +824609038 +871514531 +11655799 +94396410 +201816509 +853415535 +240996397 +1752498156 +1700479741 +1419869594 +933201439 +373650914 +1209768024 +1153157178 +689186501 +760428579 +313934969 +1109817861 +2061802301 +480644582 +326216104 +2011728569 +737793685 +1109742570 +208564951 +411140608 +1492826905 +1935301759 +1197032915 +461627099 +1491220316 +2021641954 +1333141630 +1502876115 +2116038364 +1534958140 +208808002 +209551114 +1139972648 +1909287743 +1629420708 +2073174088 +135455009 +691705085 +1078847618 +824641510 +1452133664 +1392782587 +1934459372 +1366452318 +1873427170 +113191828 +1230697239 +463737207 +1222934399 +1439262190 +874877815 +568277656 +1227080302 +2071910731 +1029904756 +570816970 +1946069037 +215562738 +2073693085 +1914623753 +1750520878 +135017439 +2124174867 +743009879 +2044305182 +1606111928 +668700319 +32276543 +150333365 +1747547937 +856918053 +1602467029 +992846877 +643893777 +821435699 +718790399 +757085606 +2052132938 +1182527606 +1980020005 +1343911481 +2057405421 +400814013 +423508135 +1981832504 +1430718769 +994325105 +1780417893 +1646281508 +920534542 +1547557999 +1249318738 +1055551981 +1524249218 +1992328617 +952373515 +982877498 +513545288 +984650058 +1133210863 +113609578 +1841568111 +588194245 +1106456455 +337978241 +1409629944 +1825246854 +1095063847 +1314279235 +860290812 +927600204 +510707068 +770212585 +1328414217 +934215203 +604561442 +611649339 +1928540308 +237495687 +110447199 +701591202 +1785053686 +1359765937 +1757143183 +1161819257 +1204610907 +562033050 +2144696755 +1718156195 +1546683108 +1130423971 +1831765773 +1240767571 +1718618216 +790738580 +1578745812 +980764512 +468501786 +526326011 +147560099 +1328792598 +1453926215 +658267167 +2099005184 +634856785 +1592482370 +556082978 +1246506124 +1373539030 +793578665 +1356953323 +2075130232 +431148704 +569235612 +1684789767 +1592967961 +1773846519 +99339169 +1590181068 +1344519067 +1646022277 +573121391 +1028801192 +739306201 +144255959 +1819539773 +170568365 +1125020472 +140557911 +696894377 +1272580571 +1469350510 +3336944 +1930847739 +1420872046 +638193729 +1375846461 +1976955024 +1884699853 +601901844 +623050041 +1094169528 +529548428 +1054198745 +1663405141 +66854548 +499683058 +1289768012 +166193717 +2089864127 +486803431 +1812215995 +515501870 +1515604624 +404038548 +659757830 +1187660749 +574606913 +1784778302 +1328218660 +1271501290 +909875225 +650085522 +1274838235 +693239316 +2070957568 +1913031964 +2069085778 +1900428944 +1650248170 +523503974 +375995338 +596934050 +1053052402 +1430194083 +112855543 +1119906950 +1929877142 +1402623556 +1286100668 +1872257621 +1889426987 +950833015 +240275843 +1257547963 +1354871563 +900033673 +297725064 +1929478476 +537328327 +1625943725 +1053496119 +1447203553 +128545599 +180850706 +2140442869 +52019520 +2093882670 +2062044999 +1952448464 +1596647192 +438065325 +180960154 +46097595 +1491117728 +1611154238 +158953138 +463541030 +1393547732 +1561576694 +1749641698 +1118321705 +1303520034 +552991065 +1358597548 +413584349 +1907862628 +111147574 +711309414 +1689857457 +648475901 +189769491 +595869928 +2095679454 +318315090 +776720634 +2088638676 +370334610 +723119656 +2003200027 +175299427 +172283201 +293781705 +356259581 +218380796 +1784899433 +1967413819 +377333934 +100956815 +1213477903 +1938910629 +1850598514 +184315960 +1094947015 +256105931 +1542913509 +1508531364 +16484912 +1654061083 +72357130 +1706342369 +155053336 +262126621 +154728649 +103249143 +580441712 +931449283 +44404171 +950776322 +1654568939 +2047604198 +1126075749 +1826852140 +193902255 +1482335331 +2045232936 +1978801688 +1302265502 +275083223 +2079758504 +368259758 +66510204 +1782873370 +552575718 +1161457219 +2038979301 +2095489227 +522504935 +2055464213 +1602066662 +594862066 +1614322934 +1757119999 +856988687 +1769051583 +1860369142 +1437430399 +553017218 +1904773313 +240723074 +60102510 +1804893863 +1366798823 +1886954650 +1998796119 +701650506 +1784703939 +1830114159 +2003916009 +2059787162 +1762389015 +224692119 +2126297366 +1397778737 +777267837 +1140270937 +1289274391 +725273417 +1662775872 +1197254956 +179856431 +110154290 +664094243 +1936976430 +967142978 +285662178 +1649861924 +257089729 +838679397 +1407151589 +497812803 +898781907 +1064561805 +1864611627 +638252909 +915874276 +418778485 +275473200 +598504787 +275210846 +187776714 +213410155 +499902965 +166590432 +1611188892 +1277170803 +1306861369 +752979635 +2002444220 +822153594 +1950234592 +34817003 +932307884 +466845187 +1971793434 +1899450862 +752507365 +1474171710 +9056944 +1591186762 +733839652 +506869747 +342485021 +1798401457 +223997726 +980737931 +566792085 +642776212 +1256211131 +1165296872 +917987058 +1443987846 +1378707027 +1417890024 +1610578278 +842412272 +547577179 +769956000 +1595391907 +402537751 +1592109594 +1398142851 +437354754 +376933830 +1864988038 +261664540 +128901045 +470011756 +1735836251 +137957989 +2061198518 +322192255 +644827736 +256199892 +2120593712 +868825463 +1236937823 +539902149 +1511601675 +345665306 +1705199021 +282105085 +1789653152 +936422401 +1699995109 +1252747783 +1778834673 +100088640 +2022703783 +1226742932 +502626391 +1467329729 +477402136 +939981146 +1844263559 +194906526 +1201645686 +1973164604 +664918282 +789998289 +2111122593 +578633153 +1112190544 +608466682 +834833045 +1085300608 +1477292145 +2071770868 +1625202757 +841410172 +269952526 +1182918131 +1123515257 +2059605679 +2119340532 +676026719 +1164869814 +1750691557 +776115359 +1040089949 +829950841 +1278741751 +359936030 +1307352977 +71239249 +56715941 +1502259504 +1272884935 +2029880546 +19694138 +2062883225 +1993519491 +598327291 +1027590121 +454502525 +1433160336 +2112890730 +1931794670 +1357447556 +1590609839 +625721194 +1627400083 +626044322 +1749236452 +1539522114 +597901206 +277779523 +556908280 +201109115 +1053894882 +1596998229 +1031059957 +185152985 +1956934259 +190929286 +256392234 +2013650200 +1693188790 +1529277170 +1896047098 +1712882929 +1444676747 +1742082942 +163726572 +324783220 +49101819 +1596886909 +290190302 +1980896490 +806850817 +1880800142 +459134036 +286767252 +359360816 +60886840 +1826289366 +957262023 +338666363 +235713998 +1158371138 +1392561246 +1832712227 +41947447 +1577714231 +1642162838 +232876734 +1834106466 +1508329391 +1926065524 +1215899988 +1256892841 +1491464805 +513093087 +851492135 +1655191378 +837876307 +900593955 +1104594639 +1128066610 +734006797 +1911445456 +861383104 +1193140833 +50729061 +1220743920 +1254027674 +1877018427 +30522295 +1592694037 +2112732426 +1188893434 +837771635 +1797961005 +1230840881 +268002219 +1292640196 +1463717615 +2102108685 +653485939 +1242299492 +1170525025 +1910378780 +586280649 +1683618112 +614387268 +93988379 +374010771 +1514981223 +1198583018 +1502077381 +101504372 +962544827 +215976837 +1294645205 +1013273888 +1436720758 +401189231 +742808667 +1467243053 +1993883269 +708057445 +508652839 +684171256 +358534803 +1739493721 +952173475 +1651174999 +1055727688 +906798512 +157177290 +150543532 +2077323537 +2067556070 +736824182 +1613458001 +534459690 +830812561 +1987468773 +2049440913 +2029395580 +1342062506 +3461637 +844456759 +1558039344 +1298106843 +1857730647 +847276454 +1699296074 +453055666 +167035859 +1545695695 +1161113112 +675688699 +82383304 +1519647915 +267698772 +1034556779 +1023339266 +1323426460 +1941355292 +1180516556 +1473969993 +1871195181 +1100588978 +63310527 +1337169535 +1635048669 +894123088 +1177154660 +1537005934 +776035020 +371733518 +1540467572 +1620491779 +1929772862 +691090767 +1330738778 +629565668 +242903193 +1783794445 +796601528 +1788598889 +797423909 +1472290227 +1870982193 +169588176 +1739988999 +758055324 +1192927442 +915931811 +551926968 +225960350 +242418156 +275638502 +1326549328 +305728683 +1612808037 +814114349 +1199851772 +642479049 +203636636 +1975886792 +1014212567 +1744104208 +1448894924 +796501782 +287711327 +632150054 +1426067450 +530614520 +268460851 +75185330 +171729761 +1065884760 +1547475557 +2042711954 +1235472936 +1139980908 +653283631 +280916730 +2055912720 +1205210599 +506877080 +150847228 +1480849101 +1833426409 +456575912 +946173490 +500057110 +1656427684 +1588652539 +703693746 +1484830828 +455381459 +300314306 +786242104 +1251883241 +588025633 +1418392159 +530467043 +1118640154 +1686853010 +605652374 +1290369915 +605254123 +5644283 +1185598222 +1840727059 +1145625192 +1838881853 +2121643790 +1054054264 +896608804 +481037222 +1204901492 +229974258 +166979983 +1661477404 +1176147748 +667037094 +1170421440 +617316640 +1370730840 +507768621 +1072698099 +1671045147 +1294010725 +177097692 +111587132 +564919236 +707564735 +1230227286 +104288599 +1313217109 +373113554 +709542722 +1318861393 +1558711776 +402786133 +317002937 +1250109981 +376946275 +1371057201 +2146718785 +857983498 +428475045 +229209395 +1024963481 +2089952450 +1405357144 +1692000575 +1112890242 +2022673784 +915247768 +1620658863 +947888235 +438809267 +767185941 +1124985927 +550396399 +1332105177 +1832550662 +1780623686 +1436393776 +998284124 +6253592 +2145936498 +169661869 +1564965368 +401238984 +486664806 +667591701 +778185259 +1857722007 +666826838 +1636168757 +138713404 +896036234 +513648591 +81182206 +153909730 +58165518 +1194072449 +29099866 +973413286 +667247664 +976988101 +1412222553 +1434433605 +2101974028 +1962618953 +619055135 +1787041042 +1595758991 +2055448911 +637841518 +1602012583 +2053901762 +807503387 +1019494303 +307657098 +1294168193 +1687086004 +1085842357 +1004406552 +206429194 +574527467 +1143119957 +1102465428 +1088176058 +1224302163 +1256375158 +1146341576 +270890964 +1285475024 +2119754863 +938138629 +114979477 +1384493768 +225088586 +69469857 +1199629073 +844143721 +1856510900 +647904416 +752108985 +346868770 +102433351 +658527099 +1154372158 +1121927654 +966184197 +301056703 +661530010 +2052026554 +1305463256 +867959205 +479070373 +301099565 +1970424633 +1567246431 +1525401728 +1079316144 +566104360 +1796292693 +217307520 +538375575 +586947674 +332286998 +1922869343 +812036260 +401756855 +975014769 +1656179982 +110784107 +1622919185 +260805319 +457652878 +1725352537 +919332418 +1612025036 +699796543 +1885516615 +1913081739 +1361326554 +1790059521 +1071061347 +81802111 +121646247 +1372160912 +2052226744 +1688892678 +750078993 +984059240 +107513390 +398888038 +1201366761 +645888965 +985835712 +1533653759 +421274661 +1797871972 +1935410614 +1396289430 +1306568306 +2046194722 +871724967 +1567373625 +356363952 +449593856 +339222395 +1968388988 +1149390400 +77255362 +1733987079 +363233306 +1867314884 +657564779 +445035417 +1988961131 +2029725691 +349778513 +1530370161 +632321036 +1333837754 +1637883552 +1031209074 +387720867 +136288869 +2017044786 +1921374626 +557563530 +1667433111 +1709301592 +1953852960 +826517769 +1608012666 +678094280 +246407747 +1964376618 +1127688136 +585630142 +1785281958 +129594888 +662885505 +1371785390 +492828194 +382716741 +2029350169 +937863611 +224194224 +1911592212 +1287642125 +1754564385 +396429601 +473996231 +1244964289 +1427638675 +861717098 +1381253159 +1297199814 +635608076 +1938816689 +817149277 +197426020 +1745186002 +1643667046 +1805438687 +275796634 +1890074793 +1622331657 +1403484770 +328221288 +1260129968 +1533079659 +991106793 +484431710 +2025907853 +1373823534 +366298231 +816287817 +1598017758 +130406795 +2103929942 +1205098495 +526836396 +430442525 +302579137 +1954475072 +1292159623 +1683832296 +1104191238 +1927767699 +1475165337 +1921340515 +2125193719 +1072867691 +1417523913 +1783148758 +1348664325 +1160115059 +1257996768 +604665448 +1488336347 +370643088 +2137745107 +331959492 +855074798 +2016169312 +1705783026 +1221373029 +684973481 +1156317136 +1351779824 +641419775 +213931983 +1878616221 +1071862300 +516511120 +1685607645 +216538275 +52859768 +642315235 +2144305974 +1528025106 +416172102 +2122016046 +453409149 +1833696015 +1757681156 +1802073475 +846327426 +868194276 +259255275 +187180125 +1238837364 +249516734 +519139617 +2093912162 +118202398 +77438995 +1167801543 +803175880 +1233756131 +372097720 +1444595655 +1447688115 +103230293 +368974308 +1964199235 +1788837938 +585512583 +2017059004 +283669525 +582334910 +1397600462 +699841627 +556867308 +1851009611 +386053994 +167064816 +1505599438 +1232381421 +1035259093 +1764854713 +1419561546 +126612809 +2014371447 +1938701164 +73041324 +2132573846 +2016140159 +1240842867 +788266078 +1102412643 +1612940587 +85378085 +402617110 +1716170880 +454352393 +219332697 +1357525170 +1039864977 +88908053 +1641194695 +1622199887 +1486508515 +193552674 +31583547 +1190034479 +579606669 +198648363 +548150269 +1811988090 +1233907456 +165521335 +1084065988 +1360520266 +32409134 +875283504 +1433561590 +17499332 +743940016 +526920809 +805765410 +1846352659 +2139861397 +891143496 +101486121 +1708548629 +1345495889 +320818818 +918590152 +237877218 +409726872 +412301199 +1860077105 +1896235387 +605853874 +1891660652 +938786218 +1185460543 +2090309016 +1486936488 +849964985 +1176732824 +1652457823 +1934030973 +389769442 +1684866957 +661830830 +1823331032 +1702366290 +1405770846 +202768194 +360648052 +1104639857 +195145943 +1251791548 +1206125978 +1903694572 +449803790 +1526944796 +674801076 +687681008 +1936671668 +1087102276 +400274466 +1685423408 +1692956150 +144451470 +476725978 +730933045 +87276838 +1963662466 +1580898030 +1264009663 +1468636641 +1367445355 +1653779105 +1006019951 +2029276185 +1329626490 +560902593 +1287563383 +1532394684 +921550645 +244719592 +1727540627 +25858546 +1450845570 +1483751551 +475662336 +830306719 +11068980 +1163343344 +619494739 +1098171256 +1563617810 +157434499 +643643758 +1708069281 +634160478 +1374576803 +1795346119 +450339296 +807991185 +911872134 +1918975938 +27952892 +418167592 +777512241 +2057229078 +1747794082 +1338414834 +1197308813 +1132705118 +112481831 +1442028406 +712762097 +138340377 +745390328 +49030000 +614002713 +1575697047 +60098980 +1777346058 +47708139 +1158270236 +1193480220 +205142638 +1801913994 +754065853 +839303116 +1029007149 +401928325 +1289642413 +1836998334 +1313800459 +1061134703 +1864951227 +1731968051 +1838646944 +1774696657 +1332278485 +1029578130 +824521822 +317499955 +1142059961 +119066580 +1030262052 +1280400339 +864456909 +1079292053 +1894403052 +292670308 +1139391033 +1524265462 +340378447 +150177622 +570262035 +545521086 +1952091616 +1324327888 +1384824202 +833615118 +1726256213 +526982967 +523129804 +892573025 +1588117670 +240597383 +477057428 +1279280966 +2015294040 +1809335914 +161375448 +692332215 +2126835869 +1303435410 +811398795 +1009614274 +436352101 +1675855704 +2088906327 +183271505 +1968526013 +1080813712 +1707536968 +161420812 +1230991334 +130315355 +706941898 +1035599303 +1454643243 +2091766101 +1869214421 +1033415809 +471265420 +244860577 +1925988834 +2059383091 +485457961 +255562614 +1191180409 +353268353 +2064898528 +1352555858 +1045600568 +2044250750 +508507620 +1856999364 +906381376 +944859721 +1385371420 +847804055 +1128131226 +1206413785 +1928617767 +688184546 +1367834598 +1012125454 +818499901 +2074776496 +2047724757 +125659497 +2019058949 +1769455530 +1159075306 +342840722 +2014316107 +937580492 +254740165 +352290420 +1193143106 +1445920574 +705558774 +1110557987 +650992784 +1751159342 +1007325089 +1159500404 +1460675058 +1913706465 +2104360125 +698562831 +614026872 +1085007704 +1904976616 +395160991 +1773192250 +1125327566 +1407286445 +444208504 +1052620415 +1307527554 +569868001 +924195716 +929499436 +1728943307 +1267036438 +796331896 +519040151 +1521776603 +1148622316 +1712183257 +820213530 +1854181090 +675257596 +1471206314 +1457856785 +1682582685 +483223071 +771048195 +1448805502 +440099548 +1469611026 +2062832374 +1525107252 +1227103995 +310509718 +1150815855 +204947913 +1717796163 +1595024359 +1257568328 +877840070 +17408712 +34280397 +1807339506 +1746352019 +1301316835 +456187754 +117908522 +675609791 +1604810071 +1830091779 +1495823321 +1311507513 +357865728 +819545987 +621880650 +2040448413 +1302769058 +1392928846 +1341770268 +1742868607 +715056224 +1257118994 +1120492211 +1942160219 +1567628712 +123824418 +2147108133 +1137941228 +1718848777 +1257192813 +2015781298 +1736257489 +1291473210 +1675637156 +1335125860 +445306398 +2131824911 +1453034382 +1120916189 +1589151334 +1135642514 +469255862 +753175199 +1493508242 +1288801849 +1375055850 +1386473007 +444087260 +620501048 +580759627 +39472219 +1335557272 +1837878622 +1159964430 +1130233844 +1258023686 +1283788849 +1129858329 +248481266 +855153978 +239567494 +116778916 +443927820 +1531040705 +1792416073 +1779053680 +1976347103 +1776757336 +1084604415 +949779644 +1218425022 +72763281 +1419035506 +1971600221 +1566271523 +560353707 +1199172423 +805260882 +1004440967 +1819673471 +1386020510 +1043913186 +1007747096 +1076415484 +56393969 +2137980940 +186955522 +1340182818 +1120355621 +435436789 +47853148 +1359923115 +552215705 +491780968 +743480172 +197148130 +123351001 +572343627 +1973905466 +1207955416 +1522123271 +1044846840 +1280718697 +793675129 +868963414 +699506572 +1354028837 +2068135837 +1504767454 +210986156 +1740325661 +743304316 +1254899343 +600589109 +1819719800 +1311293312 +591086401 +2006675323 +503992482 +1711442022 +294628464 +551845630 +923881489 +846844169 +1043626599 +1667361662 +1043992300 +1166977600 +92221641 +870414118 +227449368 +1614344913 +1915260959 +1508168065 +260536394 +636740725 +60190989 +1614565231 +557392914 +1564958443 +1825551388 +150234927 +160779112 +932967083 +750824036 +1980498912 +96776747 +1341910437 +1839690587 +600769229 +905868811 +2134319051 +1152614859 +1829750301 +833679573 +48757810 +1349628315 +1877671873 +1215735410 +1441849956 +600602343 +1443184778 +908711221 +368379654 +803869195 +1169247616 +1005120379 +864060184 +636329199 +1562513294 +281534980 +314396939 +1712748221 +442314092 +1247364022 +316088610 +275329356 +1344140769 +1657999047 +2115019944 +1944909998 +416384211 +2101855347 +950041210 +98650864 +788051272 +998799020 +1448279179 +518239497 +67050783 +742645487 +1118841841 +1510235561 +1651356709 +1487221495 +166621109 +673120677 +344858227 +1030681293 +1309449876 +1907371521 +1312216273 +1623846816 +1472636094 +1754530365 +723727190 +1788724704 +2029859722 +2067867960 +1299240104 +1997396018 +1865294310 +1715624315 +1951767717 +667851872 +1814275179 +592335342 +1666650893 +1115070710 +1110574839 +1733701676 +1857716197 +81933032 +1096453589 +1361589258 +1569154528 +1263074698 +2034709935 +1914012755 +146272344 +1196676164 +1673900628 +1458488617 +673039332 +999053074 +1065535335 +1396766522 +640294131 +947911409 +1317150834 +1939534235 +797823779 +1034961497 +1507674902 +602107848 +1702813369 +1174466433 +1194443190 +1221980614 +142053495 +157534382 +808198642 +1999769692 +239467414 +1904652232 +1213875303 +1808621942 +1020243282 +1101101590 +1575151049 +1166515626 +150294106 +1101568029 +477520596 +823333438 +2100621104 +1543055931 +72616313 +593431587 +343483692 +1389767147 +385482174 +1141307471 +277244996 +1893157076 +1743415319 +1980058366 +920139861 +790374862 +1054555332 +1062193356 +947909244 +1862753975 +914479400 +1187376658 +1619922559 +2128354703 +848514953 +492682193 +1081972646 +276182354 +1659197820 +1232266752 +1377750384 +2136718416 +2055600191 +1330887840 +1532290699 +2128216504 +1924319427 +1875774391 +1370500003 +162317953 +869598214 +1647745000 +2055475029 +465529885 +1480319718 +828131242 +1255904747 +387391402 +1890324598 +56330343 +102661729 +657320350 +1243707002 +1722584288 +638191406 +2092221955 +67782834 +1720164052 +220920661 +1726980654 +804947156 +1598671045 +1716215422 +713063699 +782075237 +1101022473 +693796555 +558911016 +829313216 +2064296559 +721228969 +1698911430 +1564557911 +629220350 +16957667 +897393981 +1457351592 +1272862415 +1284785383 +1200192542 +1329192758 +1387447113 +1857512893 +425416112 +962547753 +348220651 +370154419 +1030330587 +2068384703 +591075081 +609827593 +725848211 +42262478 +178559367 +1438911911 +824337716 +1279581840 +2132708466 +1383248732 +2108895056 +2049521377 +2104477702 +1660322838 +1466595640 +586214404 +1677280506 +216505973 +2043565997 +802659273 +1501291357 +1096274891 +2131852031 +741254822 +806304136 +409784496 +1703802575 +1154524787 +779938915 +586649515 +1075425842 +1371013996 +1196477108 +1801274054 +1413276475 +1375036476 +1092702317 +90130543 +507134668 +1077927135 +1473379275 +468546077 +979964865 +1430373329 +2128868915 +299076857 +2016587734 +1658665773 +515582831 +1912670083 +313841398 +2016874188 +861461326 +298209782 +610645362 +1667765463 +707994278 +166964289 +674806602 +1487933193 +753613804 +1750232445 +711463542 +1950090913 +1404022851 +2124740017 +1177643741 +349241520 +67386912 +1684778409 +1427168655 +1540766187 +5840838 +259649872 +823655869 +2134709754 +558726730 +692759955 +1645891879 +1074309561 +457946390 +1959733278 +943700101 +1319407716 +110459412 +1554345463 +839689531 +818453690 +1721309752 +1514496134 +158903235 +327439909 +1117244931 +870366777 +130047174 +373784134 +847623146 +1307690915 +723025654 +915010058 +844985676 +2710661 +308292598 +850826515 +262360534 +1131948467 +838052621 +821087264 +1824708422 +336460852 +1895396825 +135171164 +148710482 +691613278 +1454578880 +259169894 +98475093 +146784764 +1077623584 +1819784845 +1661280898 +1236526820 +2147224754 +631042181 +2106893597 +129788280 +1004826315 +807033096 +1437479195 +1727851969 +1722043154 +134981224 +1730562630 +2030335752 +985807739 +1992923164 +1014800571 +1823860360 +666526780 +692025345 +12837564 +414439957 +827196509 +161548047 +1106053235 +134291742 +420717941 +1204528328 +281076506 +1498341526 +876829526 +1942357404 +587384698 +876570632 +425915937 +546794647 +1006358913 +1430742252 +1353827743 +296354460 +1011110573 +928387250 +431335684 +594189555 +811239354 +1417143423 +439629072 +1826039926 +1093520135 +1106155852 +370581623 +1106357700 +1520595810 +1197778133 +1267905747 +479165397 +1332069875 +1688623688 +1683693726 +1613146381 +1039481566 +413039604 +1408020137 +1626866264 +1289610236 +1833936074 +26177264 +148485501 +1117194678 +1380005007 +444839962 +2128305251 +160908609 +876175646 +575011158 +972147964 +145835422 +1014640230 +650704242 +1239355557 +2120796083 +1021285865 +198229609 +1493908245 +71580350 +1466135356 +1973073642 +1403650225 +1007275397 +1509283720 +869312958 +2046756963 +1922323324 +129849447 +1526139580 +1064449913 +1963785521 +1552316844 +1212935414 +933496551 +784838203 +1657775376 +914318154 +945746813 +386467375 +1489329313 +1917894777 +532302797 +356485895 +421115371 +1771658354 +329798330 +1442401236 +1969887964 +1823706575 +1513981587 +1288539672 +1649296570 +770148164 +148331421 +1011096642 +1639461123 +47604737 +785936319 +1769310570 +1573744317 +1850386232 +1585612444 +978577513 +915837998 +371625347 +1763415716 +426129727 +1285943502 +561678881 +812597102 +627789167 +332090010 +1344899899 +984275062 +753205381 +969074605 +1314073393 +48122970 +791478921 +990296320 +1562104557 +2080018594 +492109242 +184769073 +80866367 +1503205885 +1824230196 +128471104 +141658556 +1446057119 +1702215421 +1992044788 +884185915 +533309286 +760399138 +1255811262 +149241355 +1186528865 +394271116 +710920236 +1999125967 +1022060283 +1043010247 +1196542218 +2006335346 +1796215628 +18133176 +1172925091 +1844338598 +809612097 +15737763 +1258959507 +742147043 +507847006 +1443728581 +823013411 +2011052891 +1120475129 +951484515 +5227799 +419048600 +506216289 +1997272587 +1303234515 +1039525575 +610188077 +411562130 +1188766930 +1796716943 +805833246 +1899687167 +1648359262 +1827893530 +795213766 +697417833 +1686745228 +443945746 +715551009 +712186671 +140800697 +1525163106 +727924434 +1399760204 +119826502 +1235771440 +696005137 +942839913 +1099340683 +1816480267 +1894324428 +1104568482 +88045219 +253057069 +954357421 +1391279735 +1292582645 +1564545499 +1802841865 +333865927 +1213778794 +461191463 +86069446 +714654408 +141601345 +881283212 +1412072241 +1828346573 +1325228959 +2127623250 +393049596 +1466029656 +1505302709 +1120974031 +718306212 +1625129211 +209261823 +1414311350 +420485476 +1308602507 +1083307969 +167326256 +265687341 +1171353188 +420383326 +1220044763 +415149275 +1712965971 +637106614 +70507492 +2046831898 +1850885408 +531698956 +2132901345 +418056168 +673300301 +866700909 +1830128410 +354163227 +44446220 +1810268012 +747212823 +1510475876 +1168087073 +1868186854 +81298441 +645732636 +2077448678 +1495609791 +1066218112 +1238567537 +431434112 +1233544369 +1504254878 +1602787300 +1653927695 +576815993 +2017936576 +1219410018 +1213922607 +2088444068 +1118758268 +917324367 +472659376 +1104175965 +1335380536 +1145959678 +1970876875 +1018025298 +1500122905 +2015323095 +680809662 +99852080 +1378315324 +1848896736 +1968038935 +1459613765 +347145724 +1898003965 +807739908 +1413363837 +989087854 +1239174020 +499424558 +345859084 +694477672 +5868605 +922675078 +564930600 +1225278623 +2136597685 +505891021 +196553243 +906438405 +978550397 +1300729209 +94335293 +2124510075 +1124122436 +1112360591 +1477149332 +991961883 +1793170253 +1577001413 +222793559 +1494583341 +1397556700 +1682407324 +1841729066 +1148077017 +342663584 +1107609255 +2137164871 +1581837604 +1607033813 +335540307 +128831629 +1612902418 +1258215385 +693762229 +690697393 +1247329423 +1199653250 +887250636 +6284180 +30720000 +40496197 +100619473 +7746427 +1164618633 +1212980064 +1484895760 +9096869 +858666669 +914413525 +231890428 +205766363 +164486577 +1914297753 +2047495429 +1312563594 +109477689 +1007621036 +1302244817 +1691315294 +467171201 +1637785124 +1820146923 +2080073619 +748516862 +366425504 +623287364 +1995846285 +1566078755 +1510538000 +2002130465 +1596798755 +1551034198 +2102749938 +1604545182 +568169183 +1168246354 +941957294 +577266052 +2026913023 +1856370819 +809156481 +85195738 +2020857396 +575970586 +2132691167 +1185937342 +685448275 +992828555 +340698511 +229279921 +1459999756 +1978483636 +2049426844 +1392589727 +579516850 +268368701 +2015877091 +427879487 +1834447456 +1378931444 +282526304 +1283762563 +782481994 +237792594 +740824097 +1350651177 +1406038948 +1682781392 +1927917230 +1285468323 +1391668563 +589590063 +1370664062 +1265042312 +1165560649 +1355871581 +303496006 +1851008924 +201216489 +644194518 +2080288846 +1661216245 +475194506 +1982232042 +906322325 +1054711356 +103117095 +774715768 +1482590843 +1937564551 +6163564 +1765117147 +1073843466 +788645558 +2002909741 +1814667564 +2139296736 +1261465041 +1349965308 +1919730318 +399449716 +594150223 +361836733 +1770113778 +1859192535 +1527397382 +978501712 +15204894 +1230922658 +1179718201 +659399412 +1163727856 +693450798 +1134593918 +998476251 +1599773123 +41821626 +1101593346 +227005244 +1524412469 +891674250 +233168808 +1142045968 +1965517716 +1021814367 +997472061 +1632701632 +1013627455 +111453454 +835183292 +785874125 +510903170 +1429333516 +1147710858 +133533301 +1141042403 +527624592 +1112035013 +1156247297 +1758547250 +144269566 +1815646709 +774791459 +837720364 +802756979 +1773267710 +290009840 +844578605 +727377408 +517015084 +221507426 +1619051658 +750183892 +1363553394 +1437085727 +1771998259 +213541807 +922303711 +638142066 +324995261 +1757487004 +1424016191 +835898432 +1039336872 +424243401 +969431733 +32895627 +951867993 +2081466746 +1189142925 +562931596 +78252664 +857305986 +1337723055 +915973028 +1660062966 +963507117 +1205982868 +357157923 +1690884525 +1722997952 +578665350 +1162452536 +325698197 +1942218744 +452054615 +2097696456 +8276904 +1374358326 +588354875 +333272165 +984361682 +2012371066 +1169170597 +2023698554 +289130820 +2138602330 +2056594182 +1240998813 +2072585428 +1098253459 +1803930409 +3354444 +1955559445 +994169816 +919327473 +1468138763 +1957676933 +2125310341 +1825296687 +1501077811 +1700824646 +256478389 +516046699 +2026522843 +51213485 +968101314 +1976735651 +59490389 +194975992 +417606878 +392762555 +1179337675 +282494297 +1561933152 +1055552581 +571625117 +1553051835 +964663115 +1812623930 +1478153615 +2062916574 +1469070692 +1481508060 +1870992372 +315756860 +253351885 +1191647487 +125950146 +231178578 +869460526 +1627027957 +1932003224 +1125938915 +2143074656 +1811042419 +1177152401 +963692322 +1640294423 +1236642790 +1158668314 +2057901301 +1629405345 +190522341 +192911950 +1043854850 +1246074923 +764537067 +449423037 +63254390 +429677350 +1927576652 +2126170965 +1898748042 +1261601064 +1849679689 +67021254 +1514952949 +893843528 +192971400 +1746131528 +1763304055 +1819999357 +1530651104 +741759322 +1815590365 +1194209876 +1918911723 +631799039 +687020651 +1008070866 +1790467354 +597438304 +489992563 +1980989695 +790350255 +1533847413 +1079580970 +1554887322 +1983270450 +1142835361 +1984564672 +1763363455 +1121522678 +1735829066 +877480871 +823718719 +1802850321 +244950173 +1717562247 +1995821721 +1991081701 +1333382654 +1668337431 +1374249157 +2075141977 +1336444148 +420975385 +1846570052 +1968243188 +1107996036 +707157270 +1611226894 +1705434341 +1197149834 +1444732941 +348300948 +583513599 +376830264 +1903188270 +419300402 +1519665625 +1740269295 +35180209 +493704655 +1328614713 +912661080 +1317423374 +983981386 +1157611253 +887501973 +832319460 +1001209306 +73400980 +353173243 +227974816 +1059309 +1689617391 +648950201 +1847629361 +1510376931 +1756946238 +407302984 +974120177 +1314896931 +1604452818 +271369471 +1663197879 +40482769 +648199735 +1418902501 +459783171 +20381712 +1011688148 +494963380 +514086367 +192819214 +1407624461 +1831509741 +1176800600 +417752066 +571528066 +2009120060 +1418961373 +644929046 +214809655 +1646936189 +645988355 +1904427047 +148402742 +346134069 +1267320330 +1905348980 +753437053 +93956860 +1072762263 +210406223 +365326331 +588476494 +250888992 +1013526066 +2007378996 +710672164 +1033907778 +871583496 +1205635544 +1547994145 +1064402710 +465776357 +1232020238 +93719663 +883528424 +1803548304 +2102839723 +155006149 +300993703 +170165731 +1801942338 +946982058 +2074592778 +1950345080 +1293116127 +1194429460 +1708210413 +2046553180 +1288386320 +633489028 +109475755 +1653712651 +1221965523 +360364748 +519755069 +1081860871 +1071036912 +1553662847 +1953444367 +129188808 +954173344 +870363430 +594965166 +38709934 +964083093 +1478493590 +1842258239 +919439168 +1633499739 +2143251942 +1089604899 +1287958429 +942750352 +1016714029 +1090819861 +88382832 +63659842 +651546626 +2134936012 +1352046162 +1285035655 +96928120 +858275166 +359517530 +457292868 +1378030235 +1441378401 +1528329780 +784209435 +1247339120 +1657518588 +1738382779 +2117702550 +105000106 +1777092714 +934301995 +1583493696 +1471867305 +1853741164 +1069509787 +1467635599 +795862415 +209984568 +262902303 +1812576445 +1300804430 +351285135 +1876236287 +1952351056 +338737500 +1080798801 +1089903063 +435665620 +1939073967 +1449420593 +892958488 +1169620555 +743315346 +273804620 +1953829990 +1990654467 +1931323208 +1544729121 +1960873369 +2036323315 +1174338187 +747691717 +1472333363 +498721844 +453949233 +394359503 +1966357443 +1249811648 +604344071 +81776099 +914904445 +1905148501 +433061234 +643657084 +1710015910 +771798734 +1724455886 +652435325 +1207464354 +1516046205 +2101855919 +2100422842 +538183112 +697687617 +226743814 +344529454 +540858436 +10583375 +1889258576 +354248158 +2046906690 +916113115 +1101939875 +1371756405 +1414834960 +1555889108 +1766115908 +1233708755 +658217108 +222976332 +1315484854 +1573121554 +2128124833 +1748546089 +69294990 +1690657095 +372861175 +1793750876 +195608773 +1580325530 +1162313434 +149981044 +1533264724 +1700496546 +847668661 +1760008539 +2045026001 +1388527098 +1770591914 +1786800929 +1742775256 +1670014956 +555430396 +697231483 +894287713 +1970265356 +105636943 +512919974 +1056490464 +763854051 +735896306 +224491670 +189491957 +716537491 +1973037759 +258786948 +259710939 +198415287 +2052537824 +455319712 +1778740817 +1067367610 +605300756 +1164521893 +620380509 +1452969417 +777046784 +517922862 +694012867 +400155050 +157240143 +289304475 +2070170006 +712670539 +986535958 +816974072 +535452248 +1092172901 +1329894046 +1591942712 +1856026953 +2065790352 +1816434382 +2045518910 +634844195 +1641988494 +156822210 +894555134 +1840403781 +61876387 +1349874846 +1471660950 +1129243997 +1955175602 +488699195 +1749624506 +1260661372 +1265745980 +120063720 +1954674239 +1665901030 +277303863 +96495067 +1588587389 +989974403 +1083031025 +258077813 +1525426651 +27720279 +1587971859 +969885715 +1883747232 +1506278563 +638836449 +1781782494 +2141122758 +133341295 +1938604705 +888194245 +1973745076 +2000481092 +90585443 +1297922378 +982241441 +2045761046 +1786621574 +584382300 +1158938770 +904883906 +704446020 +966129361 +423301288 +981749884 +1062624428 +2011888677 +1971724287 +2145655454 +122482842 +1349667290 +25892085 +1710454701 +172069357 +1909639317 +1069249616 +810905806 +1543938163 +1062888727 +944247102 +1335059220 +1951082972 +770508530 +1188056664 +2041668415 +2068430909 +22814458 +1939945813 +1707568835 +607196758 +951400935 +464969093 +1311642778 +1917530297 +888270381 +145909014 +832671077 +752675411 +2117633301 +830842883 +875158253 +1319816943 +856734968 +438129307 +1491886300 +618890637 +1507378923 +155308459 +15345153 +422784002 +1099555561 +1350404373 +226383326 +1870064091 +390977390 +120568094 +1791011352 +413791848 +2060513907 +1351096539 +1020988606 +864431195 +1816065632 +185147736 +634477844 +556852366 +331056751 +1467148921 +1309527777 +301206404 +150508157 +37202382 +1621023348 +1007243125 +475331689 +965426000 +1626133763 +1982710613 +1120734459 +1641478916 +258010967 +72806372 +844399641 +484394294 +1942870464 +1235377031 +604962388 +1586398168 +1649168879 +517992647 +790011060 +522673837 +1382423842 +458593044 +707821574 +2016901686 +1015445410 +1038878325 +1336566960 +177489539 +1340084729 +1487075117 +214691922 +813624429 +346834594 +690023611 +1779050430 +1972968357 +525250576 +752301241 +1466963625 +783261544 +825107614 +163879619 +1267655838 +620494430 +1399256650 +1872618226 +59408950 +900941882 +243127225 +849420010 +1423615719 +1625551068 +1308013055 +2131437293 +1494969106 +175974817 +1022831970 +684052418 +353464357 +215433052 +23643887 +568156279 +1029057481 +370478482 +1258179890 +660624263 +195963191 +1783430467 +1412925505 +1662926817 +419208363 +90549471 +1826806436 +1686864201 +711043901 +1078579438 +1411998779 +770452851 +1979521320 +1655126004 +1619872862 +1255653392 +1133193424 +780402269 +1239607037 +480678883 +956377086 +114955360 +1164731301 +1309841443 +330388412 +1188375189 +1877997722 +1359445893 +1558853671 +988693965 +2020070157 +1754816862 +624640784 +1285512014 +1270260031 +1043849147 +1376061485 +949582819 +583229700 +2087105386 +2028162258 +1995228479 +710074589 +1860199930 +1502870835 +182463803 +968369674 +488580612 +962866072 +60493064 +969259495 +1919243159 +175448424 +2133990796 +1081600954 +505836836 +1174882337 +812115029 +1865282729 +586252360 +1800808994 +1737869238 +193585575 +277966130 +875897604 +1463845606 +1321815277 +104475441 +265944778 +1905044977 +44097179 +146623388 +1752789808 +754171769 +2006823318 +1108176995 +936635572 +827709345 +1596757607 +1899501645 +888202409 +418533454 +1671261156 +1063650833 +405040603 +605378462 +1569487669 +1579922940 +1417493491 +1287286750 +18691653 +1070818837 +877672341 +212277228 +1348784967 +1753569945 +1676122834 +523116596 +1858045387 +1942067612 +280677925 +1902142566 +2088691000 +2033467733 +508830687 +1948030671 +994161081 +1445466260 +628256368 +443435040 +1197484257 +1516458777 +861968495 +721261765 +432625962 +1267009098 +1326640227 +2002113631 +699448390 +596650071 +1141916733 +718140043 +1667468908 +2019589074 +930417271 +868770228 +1625675372 +459056458 +1391886824 +1336237111 +253640422 +1672564750 +1090896029 +194847775 +1558548835 +1599726717 +2142878446 +405226268 +897709329 +623651166 +848661309 +2095193586 +2140109943 +1710629804 +668971703 +425252257 +830155254 +1995611930 +279882240 +1529603644 +444778353 +1421798973 +100260040 +2112247262 +1293904400 +1030677311 +833533842 +772096124 +1489733769 +77937018 +2108333235 +1743374192 +1750501768 +1051745616 +1938221967 +1161566956 +503988685 +1933616765 +1566793224 +1401698014 +409784283 +267970885 +1349407952 +402410578 +1978600689 +2018379655 +827662835 +661272295 +1866507938 +1107545075 +43392292 +163802643 +381860400 +143652332 +128566257 +1675764800 +1174329643 +962100099 +300377276 +516579765 +1040037118 +261226863 +112470309 +643055238 +1312972480 +2050692276 +1804622194 +1816961165 +1836825393 +1223931771 +1071175532 +99126028 +1491902656 +273099836 +501536606 +1323019698 +143995844 +1329199441 +1984291993 +2010503782 +289260868 +2027684285 +26822777 +671121268 +23852969 +155389035 +199402421 +1198182613 +1117489134 +499779697 +1714762378 +10042604 +761006561 +1827232687 +653097843 +2073979041 +1730441315 +310236389 +1743456558 +1419783060 +1534168160 +667148442 +1518909088 +878587169 +940248279 +2020445694 +54123219 +1084244123 +1202161487 +2038415212 +947264257 +1491422355 +1918615850 +974087034 +15059975 +1942468819 +1129476069 +214462396 +993167784 +99481556 +714242094 +560446514 +109524160 +1475248655 +240195553 +762622003 +1401744048 +1970636868 +1072858393 +997716958 +1242936280 +459542905 +1664865401 +614361720 +1338130074 +457630032 +487323766 +1392253293 +1541874155 +1689485253 +1283184858 +341654764 +1033423960 +1054317060 +1315741798 +1048483936 +849302231 +297734220 +1262946332 +1842470016 +397215776 +1977188426 +255432882 +506739936 +1304953433 +495628436 +1269361940 +559213833 +318781656 +194736685 +1556930792 +1561717937 +654279590 +1074312545 +28596009 +1992409665 +1531942577 +515919776 +1237179310 +926333084 +57921381 +372880520 +1267987848 +1091345342 +1427197580 +436245998 +2139829278 +129016164 +733980218 +1255291962 +1971486180 +1131195994 +1084996741 +79435414 +1637935931 +242466526 +575063850 +759814223 +801680360 +893845507 +954550908 +211127504 +308079796 +1608830498 +1285440049 +336675805 +1453756515 +669898978 +852595581 +543452178 +1596232062 +910516963 +916332698 +716736262 +2001862305 +196046631 +1152982260 +1994207935 +325062795 +1886962479 +1102016249 +149065327 +870674825 +39529342 +228500741 +361127108 +281995869 +803564592 +1120941331 +1083676229 +1697410099 +2075492239 +1294803733 +2005489895 +1536839090 +432760134 +194682052 +843111957 +1102659112 +1047277634 +1386564135 +551407526 +1957794597 +155413186 +1268143788 +1812173254 +351459817 +273642400 +1658897541 +676522612 +13121231 +613430142 +825587939 +883796057 +652959485 +1054088680 +1244923165 +934955354 +1857653272 +218380849 +2018631583 +1407579723 +146389440 +1165951668 +1265585970 +1683228530 +1598711802 +1460268023 +378856840 +553887266 +360062009 +1765420975 +1105294792 +170372958 +1920834161 +225954932 +1982546212 +124810330 +499597332 +1493960105 +801332942 +512718564 +2107390247 +1626920881 +1396514621 +612866084 +533525914 +493954138 +1547821438 +243695538 +712334987 +1418969373 +1651275262 +858724428 +437437393 +769377584 +394469310 +2036149195 +82161959 +773326150 +442552813 +442223968 +391263478 +1547847605 +612596926 +164613991 +1773802537 +447659490 +289424322 +125916222 +1941619595 +1090757264 +638634786 +1901526195 +570194498 +2035149407 +366908631 +1103720412 +381619897 +1914730070 +1347415950 +1093954885 +1186215795 +851207564 +1952679313 +1623653189 +1620585149 +199664975 +1512318736 +1702747108 +972991126 +1954871550 +2144971077 +1364254604 +1355235507 +610084355 +1528868595 +981554397 +1057743846 +1818292917 +1107470619 +851879793 +761566534 +1746105405 +605922340 +1331761032 +1633771164 +972830972 +287997796 +2015391061 +740077394 +1635413746 +961862298 +1926293189 +339137663 +767057963 +1402462730 +1959722812 +966722939 +767297819 +1514986272 +1939714065 +574685721 +1512473701 +1156485021 +1929921228 +2122558057 +537869968 +763991977 +1032818255 +208679238 +1871462596 +1884698048 +970245772 +1470084353 +343136741 +154523156 +956371869 +1315967713 +442520952 +824279283 +2056045107 +2077934698 +1786141581 +1834854648 +269588713 +405715897 +1089833731 +81827877 +1372438836 +1857131550 +1596814150 +1164669253 +284333623 +961804203 +173670626 +66771203 +936878612 +711540594 +830763181 +1969696867 +920219832 +554742129 +1706911268 +1890465604 +2024826483 +2050048009 +2044988760 +833714704 +1218532074 +340026064 +1657993987 +1127093533 +270477115 +1296651921 +814464533 +540065828 +1702367818 +1904298264 +621893706 +927323006 +1613946166 +71224208 +2091992259 +1898279789 +1033028411 +118179237 +1965050993 +1969907024 +829719831 +648330526 +1792120243 +1749939664 +1203072655 +1351547863 +1492921620 +1080415490 +1254112224 +1390426733 +1914130195 +325160650 +1730452797 +1424640534 +1452254183 +2000929912 +573808807 +119235069 +393512093 +128692977 +2023533333 +1015405799 +1056015983 +1489995852 +1086630007 +1000524594 +1240791993 +2119658418 +1118703831 +1058359338 +1942081794 +1948423663 +1706689864 +1586718390 +1550879679 +762278872 +790782605 +896317651 +1842694362 +2044894830 +139260736 +1609340909 +222571832 +1869713534 +886497796 +1674826016 +1723159798 +1460306603 +1794061085 +2116671891 +1588999581 +1670110770 +984594042 +497531916 +1012622974 +2071224049 +1498056511 +105931320 +2043398820 +469276694 +1164290658 +1837996966 +270216709 +723496875 +1277231708 +1821096388 +1485775747 +2068014314 +569930392 +1180986461 +1965425496 +709191128 +642843723 +40513680 +431421014 +1529341519 +1715339696 +7097165 +842164474 +1361917133 +2123769056 +283680407 +884544256 +960879451 +781212324 +1897167230 +884619852 +131785187 +2003098550 +780535024 +601061881 +1019905561 +471048343 +871278591 +1743402436 +1748280051 +544891331 +1081694535 +1668810717 +1114821723 +115197348 +1486752565 +1824012852 +758041071 +1527266246 +107950218 +139898942 +1095122294 +115047383 +982063417 +309555780 +91332792 +1265743824 +1194100036 +1052212243 +2046956148 +943783618 +1936832095 +31257687 +799398521 +569883472 +632319569 +1819304082 +1040931815 +1503598160 +1415222870 +641728218 +2048489491 +349433757 +163055288 +1015827567 +464631105 +1649807853 +692356771 +1222672177 +1029590451 +800306989 +1362571119 +2124712746 +915354373 +197150888 +286784878 +1006687165 +1462894713 +1480884914 +2058899408 +1362367213 +277184884 +1848247855 +1393624901 +1076583405 +270647679 +2025944470 +748403839 +1311579494 +1382058982 +16143061 +1953307713 +1283064825 +365576818 +2116363001 +151408744 +830207924 +1618687206 +843765515 +2052880101 +500794010 +1644072505 +1267967572 +478023108 +411943230 +1465118461 +764807986 +1418630395 +780529526 +98209252 +1330046155 +2142896739 +375394136 +1030810362 +1389037992 +1451977542 +1301458042 +1267498814 +52897733 +465553888 +502074148 +69040795 +271377953 +1785138974 +434617613 +240257306 +1936547718 +1264825537 +1858944513 +632829586 +1170221990 +212254875 +129418443 +290705915 +690277983 +541361673 +1755824376 +1455085969 +1959992068 +388870254 +1553295221 +1142554575 +384283345 +1928689357 +25881289 +1773321338 +1233183251 +1327339331 +893336504 +1286080985 +1792893220 +1395410653 +1355121780 +2064271173 +1033065979 +1789739393 +157044832 +822130049 +907081283 +2015989345 +1454959635 +2077303273 +80760572 +1584378078 +220525540 +771038555 +2125739751 +1976349916 +78640876 +1938248171 +217736522 +1631936097 +933319098 +602019868 +1413141806 +959200388 +227857558 +498841410 +139056071 +1121194062 +1784922395 +1931949291 +369121067 +992560527 +1848736817 +1402187046 +634816272 +2005781649 +76833448 +1541897555 +1874287346 +1531793083 +1471717181 +1955047918 +968687514 +1692242721 +578602825 +946943617 +1521108990 +657243701 +737708141 +1738845512 +141696150 +1671027239 +193381732 +1554837956 +482743979 +421239290 +2053679366 +621800051 +1542433353 +1691118113 +406265694 +1911554420 +536194992 +107518863 +1166257819 +1171011265 +2113300512 +1243091267 +565425172 +1840104210 +627400702 +2037142353 +1647668480 +1596088216 +1581901427 +78787657 +395548186 +955526769 +736031358 +1133256327 +546888633 +877727508 +656799918 +740270366 +285081817 +1139543898 +1161509656 +191277535 +1761343949 +556459361 +1882395649 +20125995 +320530134 +271106993 +127644859 +1486787953 +1442118258 +93461723 +582395572 +2007543431 +1933565934 +1209796274 +1897202136 +1433750766 +658400843 +1331619915 +1512538424 +1053949029 +139663036 +101086134 +39721708 +686551670 +978813643 +696521626 +1426822036 +1263895460 +1836065524 +440848044 +1455172995 +1449925825 +997307406 +1190084996 +1470051821 +1317837540 +1461191990 +1597696680 +657141845 +755826600 +1691158403 +1239537417 +615886383 +1477240689 +301850043 +365604872 +763507808 +960250886 +1697224787 +128562584 +2014199915 +1836887824 +229648718 +2053921623 +375955846 +1208462361 +602959602 +1802777882 +324874173 +291541478 +96142278 +1780047169 +1741467304 +1093449684 +822648517 +1064035477 +263803576 +136356859 +514248509 +920945421 +892183460 +57923264 +12999190 +1508069843 +1535163954 +314849234 +1873674715 +151188114 +1275100120 +1423415855 +279750698 +1141816388 +1112820031 +509399416 +1048254363 +1488775877 +1717861778 +1651213965 +1144070111 +2042735951 +1942755444 +1240212389 +1675299472 +1536739100 +186178426 +350464342 +453290929 +449982002 +486821201 +967539438 +1370927424 +1379004661 +1025462702 +1383926614 +739590857 +413143008 +1698775848 +465781924 +564331122 +826392321 +1889197779 +844081820 +1968208709 +854534162 +1353481237 +868979424 +195826391 +923859367 +372709742 +1339896502 +819111670 +167981538 +432625244 +346927495 +1704720638 +618803670 +697391837 +10527919 +1068785672 +1184213038 +978067357 +292229448 +415734052 +2003530059 +1676156063 +1155324909 +269189420 +1227448263 +1621106833 +833520542 +2053840584 +1362820965 +1677602363 +1874565645 +69871479 +883599952 +596061422 +265697871 +1807459319 +968771164 +1605594373 +479087341 +1136752702 +2038219617 +826014836 +693989692 +509539639 +1523406673 +704517611 +1578325312 +560136064 +1682584968 +1870554760 +975870116 +1538631379 +1399227175 +2131195025 +1807820799 +479191791 +1604818210 +493857694 +385548727 +820155527 +23976409 +112630725 +890027007 +907576361 +708692147 +1155724878 +567552032 +1677463311 +613835603 +1046639373 +666732365 +504571573 +1872654210 +1360722057 +1014111212 +1248577235 +2065239668 +444952876 +1808713299 +1600340988 +168023989 +637099767 +991488719 +1567251164 +620811144 +651825871 +2046442955 +78145707 +1145683565 +284508035 +898301234 +1169659974 +397138760 +1788328241 +2077236335 +1105830907 +796569471 +497304719 +635810570 +1410405075 +1543944092 +1302542935 +1914976648 +1269114654 +515781344 +781604212 +370208242 +433537364 +1226557089 +31437893 +2033878352 +1394581078 +668537661 +877883423 +814348594 +1289348805 +1529709294 +713307902 +1367494512 +527909211 +997815937 +118312099 +1697569185 +1394954697 +1906640340 +1627321872 +353301956 +555726164 +2124626591 +989112526 +1966131239 +1521087036 +144171813 +1733624239 +642718042 +659953157 +367744803 +1012926284 +1093490521 +1594301892 +1044364178 +979885225 +841399322 +1712901839 +1857768648 +1655747917 +854766996 +1239994295 +221572171 +74777861 +1767903506 +1219388108 +193089960 +1317989044 +466859157 +2099730300 +797827268 +820161113 +507972816 +774970212 +1809273639 +326620407 +148573600 +1953445452 +2060244646 +791291642 +465914961 +280505802 +1804217927 +1559405482 +1874807694 +701098457 +391807059 +568723369 +266516648 +102092059 +76987638 +1121283644 +1342086354 +298559809 +1196061505 +962506213 +1517947917 +1389151465 +133011609 +1984807074 +1341398118 +930838877 +657484539 +1849370934 +1705809089 +319274530 +28507694 +1854382689 +125236334 +2088752340 +498190684 +591151295 +221774494 +154924963 +3073129 +2096582189 +856023420 +394880188 +517821910 +1122540068 +496972247 +594809548 +96340064 +1839058602 +893369357 +1292401570 +654081167 +263833626 +534069387 +787092776 +101157052 +1875467505 +1717931653 +758641591 +1577354792 +1276257095 +1077916121 +1605862486 +983156136 +1203152455 +1547131178 +1481346820 +1794303750 +1768905673 +1636271783 +1797376879 +1718004214 +344811555 +44773419 +88342476 +1467351623 +541745666 +683152024 +1563691688 +233320620 +1576521381 +708609610 +887401787 +1840355007 +1242678997 +1674494563 +1941512059 +970662855 +1244942569 +552670002 +400533999 +373716016 +1630586123 +2006396485 +1356872152 +686254930 +1406044015 +690735325 +333075032 +1027466040 +179523460 +2130451911 +597986606 +524335016 +27741682 +686329082 +1991686639 +569487348 +1369481106 +1407894679 +802807969 +798518839 +2116504289 +1690209756 +491390198 +1211699639 +1217220672 +285418609 +34878846 +314679593 +838088611 +435412845 +688395609 +321191086 +294325682 +2045267761 +1007446016 +1700369697 +588519438 +1340521048 +580352090 +768042899 +1323489311 +1178338696 +1292377915 +1351230993 +1864667779 +1136580906 +1920718342 +1086665237 +396991938 +576042663 +1885184077 +366012579 +118768771 +229090627 +1577712218 +1335989443 +514509237 +1612591064 +1650669036 +1352597848 +2048003909 +191580997 +1673788935 +194845943 +89365111 +533751303 +1895215641 +677884549 +1874272352 +328084083 +1445927448 +1050278015 +1506422779 +590821715 +254025361 +1223606910 +1727402622 +27260055 +162788500 +2124394560 +603302718 +2047972577 +342923491 +722071489 +129579556 +1920635710 +2058060933 +644088793 +1385743126 +1561246321 +1996686642 +1286263388 +1752827319 +1522991929 +1481109331 +1842192430 +2056743232 +1228841324 +372593331 +1783531936 +1556925407 +1818520780 +686326304 +915864539 +261858847 +940351665 +2139471449 +1989261469 +967611720 +154776301 +1966172381 +1570914438 +55265230 +161612225 +145502279 +184844787 +2082247935 +56079564 +828933580 +1320507413 +1617325886 +678136574 +459287153 +1222669557 +53644855 +1940396485 +917378339 +2110388088 +1021754161 +1289971670 +1746436376 +431195921 +961008802 +285279032 +1347060460 +1222867650 +1225630697 +1339048261 +1064645471 +45758769 +1493824563 +883334205 +1616673207 +1549089793 +1044946430 +1762175487 +1733934580 +979710717 +1818255051 +415384513 +152734482 +1288097289 +1093521087 +612021636 +363283198 +1147165943 +404934473 +1280661537 +1110070383 +1426688634 +423149560 +709023111 +1857884555 +1384158362 +994302144 +1057461367 +459542364 +72449193 +249025981 +1524187836 +118207963 +1742850544 +260038393 +1734881170 +1144456689 +1304984823 +1349573009 +730907622 +137211892 +1020344413 +1146292135 +289946374 +160958054 +92329574 +901968010 +524241253 +1239495517 +1306902483 +1804902790 +202082252 +586107470 +80568702 +911105364 +296508377 +1464727065 +1905407508 +1353969745 +1924269429 +1977856701 +1602995726 +1300973617 +2096064664 +1198362622 +1561012010 +1683462187 +195335663 +718513185 +885551548 +926243285 +855725077 +1905895961 +2072535420 +1145671452 +2066854016 +17381347 +2047639462 +443611621 +1256876864 +1207058298 +101030763 +1458959117 +1793165768 +181599466 +222580833 +2089674145 +1646326531 +2127988341 +1296160242 +1423112312 +1958361394 +751672320 +576602282 +1906942411 +1950034942 +2137614292 +1442920950 +2145370606 +708643830 +180988850 +924130243 +1564368907 +2086884812 +849182016 +562556711 +2006255180 +866563363 +462712526 +302383153 +2123440227 +1669770824 +403413916 +1434915696 +1315452944 +585013382 +1657496529 +1257643441 +83856265 +1638001222 +406320036 +1506968578 +1448878969 +1157992356 +2083570860 +1208337732 +960543651 +2073701504 +503775034 +958430609 +634861686 +684763884 +1882560852 +51746946 +624165048 +584259220 +614303657 +482936580 +1450822583 +1077016183 +785319733 +1426779163 +599303359 +1188733650 +714211211 +1914756303 +1773747032 +224224093 +1024916097 +1857603298 +1862225315 +1431236133 +1217088228 +1163620636 +441744841 +1153175440 +224474720 +1402288492 +1079393296 +728249754 +213235453 +1714254983 +1413013639 +2095796306 +1766001929 +2037178687 +532571878 +232821938 +372631620 +1983394462 +1309838122 +1157951353 +1262689977 +1909141481 +199201355 +1976901188 +1676414137 +1972948388 +53641633 +553846586 +1683068038 +1915866949 +1985082719 +752672618 +932003937 +279343912 +1905848058 +1156478658 +1681632405 +837757706 +1884728412 +1894867858 +404529041 +1150258403 +1843180516 +23047322 +1039953443 +228268747 +255869261 +1412585063 +64179561 +1565707383 +423052768 +1326869538 +1327365216 +622254124 +1156287078 +856295705 +447718864 +1209928712 +1410142291 +2130786902 +978312013 +1247741362 +735975872 +1910315950 +1527085275 +494340282 +919310960 +1061234032 +1332097988 +656555725 +808618242 +1736627030 +1806814128 +504315111 +1759674352 +699283923 +732583858 +2015543613 +2111868986 +796763419 +1433767348 +387438107 +2123632957 +613648917 +1009692231 +1132436387 +1469944622 +1457411095 +194881451 +732603266 +1440714349 +1173193464 +1980344628 +29206573 +936025767 +1359946255 +523546855 +1855336727 +273696639 +1855644843 +364408804 +1082314882 +1444788225 +23739285 +1586629993 +1056978930 +723023208 +171730203 +925038895 +687408547 +968493622 +211322596 +1074846654 +944642931 +824971513 +2084538885 +2077079318 +147432487 +1394466332 +124477122 +880035753 +687697033 +1297670586 +712896734 +716903606 +86212705 +2072842989 +1240450461 +1941549433 +199055981 +948611656 +158474589 +1281370863 +245916234 +182213874 +720517208 +1302895164 +905237083 +892247411 +80450411 +1592645630 +1860741033 +291773007 +520008636 +657900316 +1116744520 +457063873 +587495986 +1264177008 +1851530205 +711973108 +2144212761 +391743590 +2009643695 +709625847 +1108647196 +2095856400 +634985189 +201614009 +1889922185 +834041170 +1150225665 +2048396775 +2115412033 +1396141899 +83127001 +688445593 +551553415 +988364084 +1580693004 +632003827 +433526066 +1293950389 +923776834 +953534702 +1951850705 +2040521355 +1410598575 +391863043 +1157214715 +1114645132 +1103836152 +1153943828 +1506388722 +965996199 +1863569676 +467552270 +914368951 +351071217 +669166279 +656807489 +1185112387 +1819391945 +557720616 +1153040772 +1068050196 +640847617 +1841486365 +1619603612 +1629211702 +1274695721 +104123791 +2062737768 +421162462 +1027900625 +868788823 +225529519 +920938332 +131903750 +617392562 +2078153047 +1246548883 +1721228714 +1084613228 +605453957 +539741265 +800699256 +1073006228 +1454110217 +1151770473 +1742172507 +2110917706 +189399212 +1414080804 +521154674 +1342439984 +334647353 +1162002291 +1036442701 +1954250965 +643730345 +163654774 +2058374756 +558984466 +584817236 +938791733 +1427773289 +810346755 +1859730066 +1559677039 +1427739317 +1790399465 +658742274 +1001484384 +727529045 +1264196232 +1541225649 +1528228301 +189718812 +847852218 +532515126 +1931891319 +811286276 +721914338 +1198488476 +1332440950 +2064354322 +1533135829 +346959594 +953313375 +1339903146 +990689939 +1116968149 +1250794254 +1549674405 +1701785385 +42102339 +829964046 +364648492 +1901832405 +242157438 +1792387810 +1544748223 +900899712 +646388546 +124793620 +17612296 +40130547 +1653021922 +207331108 +887982766 +38053400 +2139222428 +1699269042 +759967739 +1190227256 +884226345 +676838413 +575879437 +1231185939 +1630151789 +1915782583 +74392230 +599636290 +1019093189 +1624066636 +153938028 +1061195528 +306547034 +518586520 +815544286 +548704472 +163490682 +212808861 +1449604185 +809879228 +337602481 +1467216481 +850009776 +1990624403 +1674547590 +1737992542 +2028677804 +1666286370 +1289777936 +641161895 +709029978 +26520633 +1318000308 +1284909415 +1257706572 +800668449 +1053208350 +1332098803 +1400304740 +2072301539 +808681791 +1554242768 +986013419 +1115228825 +2072829288 +1801557705 +1663933298 +88836323 +2014366566 +966053835 +898715551 +204485400 +285786668 +1748725327 +47626155 +1960334258 +1339234221 +2076303959 +1479136980 +481528510 +569982206 +40683310 +508049143 +1887982515 +1325592725 +1765755716 +541167316 +231317427 +950370871 +1941472056 +156135318 +1759052662 +1348231176 +1142148738 +726797839 +1273576817 +796222795 +243247489 +1362413140 +663105714 +1209301324 +113645043 +867591114 +1495087993 +1862370371 +915217269 +1307938603 +1054120944 +844037581 +639591936 +1535649454 +1414019787 +680275246 +2043698598 +1154518654 +2005867972 +1661970666 +1695685971 +89701751 +464857889 +1489674379 +245837070 +76426903 +690421908 +1387985808 +803224742 +1963998725 +36724955 +1046472232 +1178928217 +699830669 +108289908 +1292573260 +1567421783 +1603377901 +1007459983 +335155405 +763832857 +2061580928 +1179192986 +1403424793 +1449746734 +445729125 +2083700039 +1345961684 +1600247780 +1942084363 +860448702 +1148450103 +2031786115 +1325306591 +490640834 +130139537 +1401733494 +1181062742 +1518125345 +57474589 +997577819 +1554850300 +1103946821 +29022388 +107197322 +1212236729 +1321595649 +1674619105 +668130983 +181571984 +2009774510 +1431963840 +95669264 +1041483848 +687904985 +1545415999 +1487212974 +624121376 +743894035 +939977106 +418722092 +1604342738 +2088427209 +303024559 +782165681 +431584395 +433164096 +36415528 +1612647138 +1951289441 +93890117 +462741309 +1358656093 +1197836938 +491763698 +1465853415 +262590019 +1813359347 +992988873 +930721002 +1994931331 +855279735 +215201194 +2090600596 +1896763584 +903106179 +1488532947 +1236492910 +1527227556 +84943334 +28986368 +1945949648 +1689286072 +2117413577 +101490559 +323968106 +401514324 +534654655 +360383634 +2014161462 +338460448 +454273751 +329419124 +1697116541 +1652110689 +821182822 +1015486309 +1914700708 +487058521 +2008475182 +697938063 +334506204 +716271269 +913139257 +277623152 +465551205 +1816245437 +1766156099 +1702044115 +1195989345 +1851099434 +1731030483 +994455345 +1392901858 +1700960412 +1095945904 +1716869964 +2102474737 +1630600559 +2077253598 +1969152551 +1969061007 +384043701 +151088027 +1518693900 +2036154390 +972270849 +386696561 +1803371451 +1459329370 +247688095 +353825866 +1793835575 +963959365 +1266965123 +2071458727 +1429510570 +935726912 +1690131179 +984071038 +2131716257 +1393746965 +567617873 +978687954 +639165175 +121094638 +2074633858 +208551492 +76085727 +1557750769 +138321442 +2045238278 +1379328128 +522365144 +48842658 +750538381 +411035886 +1021113507 +1137234942 +66923689 +332959230 +1384923038 +420749555 +2126794805 +201398755 +1687714679 +2050769884 +1630909325 +475957943 +1593417415 +467496715 +460190553 +839680732 +1035114589 +1438878507 +1478845908 +1156209227 +1366028718 +1687397400 +1232294954 +776295839 +1825718842 +1130049584 +8140320 +200600338 +1178892242 +758678701 +611636225 +52522102 +1895913643 +678559914 +385481332 +1133353033 +1099309470 +364792489 +1334751788 +639540501 +268078725 +818177466 +1115498444 +1861496141 +1285674181 +1575688997 +553693225 +173305122 +867083857 +2032539133 +1329514349 +85628927 +1572452885 +414325655 +861924766 +1250688080 +1544375240 +870065086 +1451288418 +575783834 +1628743787 +2062924643 +628305936 +1377173783 +594000910 +1013787268 +363043168 +1693310380 +1378579757 +1697794957 +185367233 +1646658483 +368488775 +1300865677 +1360670976 +1654162956 +729071027 +1914364201 +1827468079 +1596154884 +1799419687 +1009498780 +1681783811 +1224388924 +1423824436 +396224929 +327593356 +820716028 +1266290016 +1778881775 +1396499862 +747550155 +1694322770 +2024805799 +2124723938 +140840032 +891109419 +340283459 +1834150412 +122205529 +2038078416 +2019517645 +1768864012 +259083543 +1172899675 +982051340 +1913246499 +1901970702 +748931893 +1593230930 +1350641938 +400867932 +455246063 +884942101 +1625256857 +1879070499 +1281167030 +1952850213 +552302879 +399973398 +1584248340 +1948802741 +1147523554 +1131087463 +1826124892 +1124763844 +1271927495 +569750664 +1465047303 +958594260 +691956193 +1355642071 +830628257 +313336557 +1614725614 +2003527932 +1295387897 +1380488466 +1758014986 +2044319790 +826235748 +961173276 +297704075 +1281481811 +1846115377 +1922960932 +1013068662 +979798760 +1728327497 +1565371541 +1379772158 +1165092190 +1366690635 +379812064 +148696005 +1045331879 +1504575909 +1420623500 +1615082543 +822139564 +231734112 +159555088 +30297988 +1062362370 +472891645 +1645023602 +918406654 +1768279542 +878028420 +528937993 +1665115685 +1704264169 +1490111269 +1962819760 +838262332 +1188742999 +1738297044 +1851330995 +21058111 +1319140893 +1269218888 +1400830269 +336749435 +488425875 +1780642334 +485445440 +1533757755 +1137734595 +1906068941 +1001356650 +1959874159 +2137803053 +1160911739 +1990172147 +1052681775 +1633803384 +1487712102 +1971088430 +1254599279 +218256874 +352542775 +772231316 +1922521043 +1842654044 +587567428 +613299728 +883913395 +178380824 +317147075 +904971506 +1497521717 +1586365963 +158318128 +1834271153 +2074791839 +1938960462 +172232945 +1461065946 +929211409 +2078301886 +314938948 +741601920 +2068621292 +1475850687 +584290420 +973819419 +962170424 +2072002522 +797424201 +69286055 +142775748 +1149966976 +841517371 +2065296792 +845137373 +1429084799 +531112872 +1729050768 +1607465623 +848259947 +486538627 +957503692 +287142262 +644856755 +644291197 +214450453 +436333569 +816524143 +1675516399 +1365544978 +747342381 +1990455348 +2107146898 +668480025 +1318822387 +543953670 +1642299445 +133509163 +468472544 +292239998 +202795218 +611248293 +1442206975 +1044312589 +529061437 +139860700 +325913740 +1060174309 +1868911468 +1933379363 +1908434256 +207966447 +743399408 +48092870 +852823202 +1387690605 +262543324 +1289156771 +56731100 +1938059723 +507218101 +804073482 +1781031423 +466881352 +1472553507 +952370163 +1010835022 +967369304 +1085879326 +1479307567 +1259609303 +1288674545 +2090555860 +554332630 +185503486 +472133649 +694193330 +511417227 +1532307958 +415621150 +297312942 +1293258566 +623587598 +1040712350 +1341351436 +1476410800 +280919308 +1603894760 +618083924 +337650408 +1394470836 +1125302025 +1141723890 +1028018611 +1592183377 +466793750 +1980388774 +455534752 +1434163054 +918784453 +1934842319 +546288709 +59975350 +1877914531 +1100621339 +245478836 +202564532 +1794814669 +756896063 +1734872490 +62952172 +1054209006 +880647408 +686539770 +2094921356 +74515196 +15466922 +228357016 +1678409957 +633550846 +566007425 +925397145 +1758852872 +1707731315 +1953415756 +1203552601 +27041417 +1786320883 +1659087353 +1461204472 +557621688 +1446446024 +2007493181 +617597038 +1176876907 +960630873 +863075874 +1379441439 +607961894 +1619971938 +966830281 +670914066 +526697296 +1847477689 +1357453836 +474135004 +1921992886 +1372920759 +702492021 +1452919195 +2006471605 +1268499446 +230832692 +1617840829 +828747113 +36764800 +673909783 +855788531 +1823085683 +185513488 +169509355 +233223723 +1631959513 +29518888 +850820761 +661352772 +990149761 +1713896636 +2040794212 +1598111656 +1186384926 +860140845 +121542074 +1713082222 +560134887 +1478995911 +39733578 +334644125 +704433022 +742225599 +1787563320 +563420979 +2010725045 +2018396012 +33778161 +691988511 +2055160812 +707687944 +1547777042 +1730762848 +893201432 +1717286397 +1963986571 +377677297 +1746805285 +667323685 +1039030070 +589471399 +233736673 +932340634 +40099407 +1420121599 +1792481479 +161641481 +985720173 +205132718 +1640637392 +1025453751 +539776843 +197586766 +1767679351 +179856515 +761007746 +1630920748 +50768879 +794785907 +175425611 +2105929692 +1502473851 +1723202653 +1689208892 +248191635 +1293005402 +1505711815 +625868933 +892327040 +25551852 +1664899003 +1481798439 +259288525 +449755989 +1521897846 +1679410124 +94753820 +1683539327 +517646649 +299886539 +1176693072 +1543100401 +839663382 +1374279838 +1163296104 +1019519898 +2135287584 +646733204 +1070288777 +782589843 +822158816 +1028734821 +137580046 +397877821 +570460065 +385771682 +1690883224 +2076171881 +1011640615 +435726616 +2101723733 +529055970 +1917525055 +213528611 +978811959 +1291939253 +1892938735 +1073565779 +827994932 +263101737 +1373452318 +2004688004 +1806202138 +65632053 +1231484195 +822014594 +1085151951 +1219288131 +1468747798 +7957080 +2001877975 +143422966 +1036691902 +2139458021 +541300788 +1607151967 +377746055 +84700364 +1535840200 +1389386670 +520426980 +1490080286 +1918442640 +290468387 +1703608897 +749770951 +1582407640 +1449063984 +1823336731 +262918924 +1712165721 +1049305401 +120123281 +1370884211 +1114937454 +1351607476 +45415157 +52605757 +423411959 +1514162956 +60562838 +277806286 +1657585922 +1097254740 +269780660 +51403062 +556923059 +647526715 +136103426 +2092763260 +2036913386 +656530406 +1435359898 +1807872378 +946998793 +991485147 +410159682 +381922785 +293065483 +86012765 +644841710 +2005231205 +1135318166 +764964991 +1228631768 +102771973 +2116572467 +1274046926 +155377730 +392500778 +640726234 +215940568 +670307065 +150828508 +1313195308 +940087725 +202231571 +1870118368 +1587614440 +338334997 +1815397980 +1477044178 +994865404 +1103274230 +1137432909 +1941864197 +2094759377 +1547592591 +176303335 +240341212 +1633605356 +821145045 +98088769 +621439874 +1586110036 +1326720538 +724211847 +1555198855 +453283816 +879589578 +1947699633 +1094010050 +1095530146 +470523050 +1244838558 +261241807 +1410610775 +1447070129 +2131360175 +850741568 +1785405127 +1799274507 +180302098 +632786883 +755065089 +1317735007 +427167432 +702340818 +717843950 +603470767 +942682030 +203965658 +1424615812 +1040770800 +825405533 +863242200 +220007690 +1549617380 +270957407 +673291506 +281723310 +71173393 +1767301556 +1377253457 +541696443 +864656466 +1638495264 +1952307219 +164242948 +1622371791 +655565139 +1949648075 +1274162650 +835867237 +434951310 +2029227739 +6118597 +862118742 +584084909 +723962547 +1465589510 +1526766939 +927928206 +742721674 +420054091 +1753333739 +1605963875 +640061781 +1155467471 +1876921282 +1313353287 +1437190782 +1948094675 +933171195 +666960591 +342307471 +1797827662 +157972207 +147131042 +1962070610 +1780343998 +802696181 +1764235037 +907023000 +1638563418 +51702699 +788767091 +1644682015 +913821441 +1372852000 +221160915 +231927303 +752135291 +1149089121 +974648978 +1172189383 +754939212 +433129205 +1812251164 +1910406683 +162566839 +978120804 +1200113817 +2110661515 +1911291999 +1867074408 +305485338 +1561636013 +2025046615 +452616380 +1376222975 +1657906965 +1255312561 +992974364 +417446317 +746392331 +1044677063 +1206213408 +243590699 +1958498505 +431581760 +464751614 +42942160 +1183717052 +1613840735 +1017591138 +208422787 +221296299 +1450720343 +2020673951 +2131702982 +1613287183 +851311107 +1184333152 +1576465050 +615119459 +903923912 +1881950388 +29271824 +781486880 +187083120 +1405494800 +291910197 +1442395681 +250985516 +709356515 +41304364 +1295662580 +1915569923 +284895063 +1106677437 +199668036 +749646677 +1149619597 +1383385088 +216003764 +19727088 +1591807875 +437300063 +1470447431 +1464998178 +421519398 +936250966 +168825638 +1605852550 +365232368 +783945097 +362292814 +99699108 +813216921 +1143779694 +286782228 +71228073 +1435689892 +1729177909 +322213590 +2145046407 +1770482274 +1617876170 +1913132682 +2055377337 +577069959 +2112800718 +657540367 +1726689556 +1348702158 +873544131 +1746416644 +793026385 +1310844195 +1069380428 +110540916 +1732363593 +2005631394 +279366554 +1190732495 +223380115 +1063311651 +1553025309 +323079223 +1876528572 +549321356 +609861452 +1947756646 +1985011248 +191555713 +122486588 +1982574007 +1962037987 +1740362758 +1748223041 +1869931677 +169949069 +1713540112 +379988396 +1896638625 +914758622 +1253532527 +1495571622 +1707785008 +416893074 +417468402 +1818325924 +1773019 +275616148 +2097692478 +1192505514 +498996263 +1013520481 +598047176 +822075487 +742565405 +1147368532 +1431936939 +542838403 +984896132 +1623492652 +665324991 +819986491 +1438046992 +258204101 +420725884 +1160495021 +428153170 +2134265996 +1540483417 +177308148 +901540971 +646532296 +1672879770 +461842331 +1063425371 +2090348172 +132684607 +1065198390 +218480672 +82893437 +110220257 +717476936 +1096413918 +708267433 +1539552423 +1838979323 +1855635965 +824005714 +234334079 +693048449 +300014718 +899659070 +1513034940 +1738061710 +1157863172 +1933760824 +751073083 +1586016342 +1920543173 +144072852 +1763324490 +674600496 +790605149 +1288720612 +1136442827 +1854030520 +1231585136 +1269127434 +771745262 +1450065809 +1352020871 +881965519 +20059097 +300951141 +1590232952 +1559611520 +2139930464 +1298385269 +236133586 +226780895 +1991433718 +536148304 +1126439966 +1356985010 +126726367 +136819490 +1143262187 +877799450 +1722835832 +916321712 +1021872303 +1338676675 +1590922208 +1812477452 +479913639 +579881387 +1519024324 +1711498776 +1849008821 +143285938 +1014080937 +1053546044 +1025251458 +1034140034 +1354497185 +468000762 +446267906 +1346944001 +1766386032 +682401492 +1573724897 +1610336102 +1218549796 +552681215 +819837465 +1345276163 +689500705 +1963099652 +75591966 +264852889 +731937716 +1097464269 +1603529564 +175376276 +762458073 +2083443204 +755257663 +133998749 +1647458332 +456782836 +277284687 +514055621 +1510328880 +1302536145 +1548195655 +717342417 +1770536908 +1994463561 +2064286418 +1389439292 +529381405 +1490527667 +852291746 +1747931201 +2043208882 +1672129211 +945723717 +585225939 +1487745215 +1021315683 +850078829 +72199283 +2118779952 +306124745 +247575559 +733754377 +242084301 +1002833222 +867753126 +1889542633 +1459616058 +1145037813 +256114606 +822461290 +300090311 +1804310261 +1539803707 +2070627219 +1651290174 +1456606478 +1312582863 +33187931 +799650497 +17390961 +1781119133 +695375732 +1689520173 +579359202 +1280601671 +1029781740 +1600674885 +2130680500 +1101981024 +1571971189 +289321598 +1349556583 +158241918 +531405899 +204906158 +1025995044 +273464885 +1664522216 +23549209 +529579491 +339499859 +323639520 +186406105 +1879303566 +246783091 +1837696279 +1188426396 +1559365954 +1870884211 +1988076894 +1576756916 +1504519696 +535968978 +1118793441 +2083878898 +1816570649 +1091533 +1537070135 +1799767502 +1103072557 +961557676 +2089089100 +305145493 +1119799594 +473011351 +510051651 +2145794638 +746476236 +27090219 +21860199 +1276055728 +366590078 +345499720 +1462461833 +98409997 +592282811 +1152674464 +1286836393 +4165118 +876075027 +1127429639 +1580922034 +233111075 +1663398617 +552231827 +169506325 +1332485619 +553323360 +1706576460 +984769473 +1656395918 +520650488 +926374925 +1961541411 +1640450082 +1399386276 +324109414 +1638761072 +2145862513 +351199633 +1660621272 +1274434593 +717789712 +2006120992 +589412778 +816199709 +450920155 +1742087242 +2103036102 +455085273 +470678622 +1082982094 +2036007307 +703789697 +598897063 +440755486 +873296023 +1931382682 +994078847 +432388835 +768668507 +502991117 +953039324 +1695043432 +317048880 +446005758 +946946061 +641158294 +2084766831 +945324926 +992357927 +1597904455 +72275871 +1710147639 +1456541799 +661688649 +378863700 +1907461954 +256292243 +334416155 +215063580 +726970865 +1417398249 +103587239 +1430760563 +2016295312 +544342726 +156572938 +1800194347 +1538421573 +588961773 +421379206 +2041412690 +1542001097 +2116422639 +210977922 +1988006856 +915885052 +852136216 +1925290039 +1861209978 +1844494143 +1375710846 +1933485849 +1407158135 +684768997 +447690850 +1786021835 +444747303 +703983093 +2120437990 +659810883 +1430953959 +1390352591 +763398123 +714230874 +1259164256 +1307740849 +870803812 +911874955 +698678774 +1459765585 +1333254161 +592607816 +854283035 +1302193152 +803585738 +694806243 +70594556 +1655721954 +472612634 +1931804534 +1352732449 +1848323480 +1717806735 +612406936 +385608829 +18013937 +250945124 +830356132 +721997031 +223899466 +1490167016 +5467342 +1614252058 +106081491 +719698216 +725932666 +1413822340 +1590502028 +1637807621 +2112501114 +902783965 +823578134 +557625282 +1757067000 +2125771287 +1361211020 +304389595 +48882195 +869449326 +777002229 +1980686730 +74698127 +477842061 +1551009817 +687105064 +863450890 +1569023755 +938050188 +1693807023 +143537138 +1161949654 +1036490391 +149004480 +628718064 +1142571882 +868702696 +1354650730 +408910574 +311721076 +844974703 +373928040 +1214505041 +1668552838 +931553322 +824088394 +1646840477 +145280694 +1128477989 +1695722672 +1014730020 +1905480219 +1528925754 +1089428147 +235838632 +932451924 +1776533211 +1099289523 +353992031 +567099751 +645612898 +497529169 +1729049406 +1682103289 +646533649 +210283822 +677191523 +1515236345 +1564934553 +1086102097 +1826957421 +262425608 +1460030137 +893978814 +1930978446 +244099811 +1718067208 +1430335275 +389380505 +699061550 +978574300 +1404110525 +457058121 +360016406 +346055024 +692896753 +1292468330 +2122588236 +1792186276 +1646460361 +542204339 +290315526 +2143989530 +123770097 +1972418815 +643039531 +334053920 +502126690 +10792228 +1898988473 +1588228787 +1837749649 +13930433 +900775276 +584244816 +1944908880 +1144875087 +154828376 +1227760507 +1534255592 +853889926 +58851159 +790882469 +1310948047 +418867566 +1136937494 +2003844801 +1711335896 +1112042082 +1648547429 +1210312610 +1654246421 +1938862956 +1206818492 +1778016519 +1763798123 +1849858024 +2112070439 +118441166 +1860650252 +1863575264 +1706669953 +1550916254 +1877505697 +459961582 +2135161070 +1674930929 +1604836669 +142505798 +755207789 +991608614 +996395725 +814058948 +1782491083 +159860124 +1232926514 +771944929 +16221277 +796778763 +1883987011 +1664768707 +2007091373 +1390749785 +1456148015 +1066426217 +1021282656 +1072462490 +768800593 +985869447 +1190903656 +481967198 +701961063 +750089962 +2032883452 +431983112 +1210051544 +2020560874 +2106914042 +667404565 +15583024 +714638183 +1659013179 +1011978749 +1528697131 +1294020615 +1171838874 +614139998 +2065965544 +1188060151 +1410918761 +1802468908 +705345210 +1270526486 +1045735045 +14009577 +189469055 +2067017701 +1086472068 +958269649 +905403500 +129892076 +1440236847 +1607364563 +879982038 +1325636651 +2039347675 +2090033582 +1198713877 +1998778069 +609954500 +1214296901 +565932604 +121484031 +78792003 +2094629736 +1415504646 +1250630877 +561286086 +1333986543 +291207380 +1972204847 +988971803 +996552591 +1095247685 +2034706848 +1010562168 +1284716740 +1954240901 +2097034236 +95502741 +712160753 +79442665 +1535739588 +172041668 +959424703 +713892591 +63905695 +901974638 +1912606468 +2062683765 +1511929138 +979419722 +481132721 +1633413169 +1058211725 +428278809 +901434168 +161358954 +989564895 +87937063 +452566334 +814286094 +1076908866 +1449118925 +1909533779 +964132066 +312197446 +1046766872 +770889319 +261748034 +1142269613 +1483050072 +341190699 +530525554 +1655091740 +1300615403 +1244418145 +1718997435 +55106393 +1009540966 +1634197552 +1567035531 +1988960688 +2115330274 +1052965052 +899688765 +396125435 +1954399220 +1061047719 +1385690331 +2042336283 +1513614053 +52492777 +971761501 +815249331 +1962026557 +1935893567 +1127446777 +861309781 +559299238 +1389194811 +2003579394 +2042349310 +1730385511 +386621300 +1549957402 +883517266 +1631039446 +1121471190 +938623659 +493096764 +608185094 +358175542 +334573804 +576031720 +1411140594 +1234262569 +972157156 +1218056167 +147826640 +210363839 +1112908802 +1661440693 +262856616 +2084670304 +329206376 +77399525 +1873080223 +1456653153 +938709306 +284895814 +698364317 +794805053 +179761476 +281266180 +1181426353 +1729718879 +1164783446 +664982151 +703706421 +2103407105 +1158078915 +1311891515 +314098999 +1492652719 +1887923236 +1725239593 +579431640 +712596744 +795812112 +727258280 +922960583 +1908720915 +241215326 +1185817199 +1845907571 +570421702 +1263216725 +1571504146 +2027074856 +54442383 +1856399960 +577955525 +849247436 +2036161437 +859221705 +2030673790 +1618396668 +2024005151 +548172293 +174619441 +1979928608 +1706251209 +1486510956 +146543959 +1051420280 +1226950544 +1871783552 +1630851921 +1939547288 +520112017 +210626553 +715024223 +281349284 +451841879 +1900841423 +2127256855 +1022263582 +1016574500 +1551277353 +901854790 +1071016883 +1260193666 +1479810315 +1920264320 +1148871455 +191548372 +1803454462 +619784475 +68069875 +204143107 +794403916 +2047998483 +1910394316 +133431224 +47058794 +814330949 +1360381769 +1918842346 +297699222 +1152445409 +291470715 +508325775 +1867469633 +572819999 +960167655 +1620827408 +552593206 +1982431237 +489918260 +2103870560 +736802379 +1560935143 +1216580578 +69129046 +1333715815 +217968385 +260677418 +989686629 +837752860 +328747293 +1193829737 +1632156776 +229262128 +956740405 +1765588000 +276320922 +1771071354 +978486121 +47679620 +2068770576 +2130931531 +339150336 +429612704 +1850917516 +911970335 +1389780359 +1324261276 +1464563542 +1224727948 +1814179536 +1420950454 +1961530327 +1227631031 +490047384 +2030659373 +413863199 +708015769 +143853143 +1403549828 +1545768629 +472600436 +449895917 +1030441757 +701862564 +1406636323 +648546109 +978183486 +1030224029 +1627032231 +1025863106 +951510958 +1610480114 +1365013442 +1381123662 +1313913982 +129500130 +623420373 +490691610 +1594063672 +1848148321 +157387498 +867530478 +1662195000 +1385018529 +1357577862 +1545370725 +1798881728 +2065593631 +1689223868 +1054947909 +1463878612 +14340656 +1504843826 +346836721 +716203220 +763996501 +995382830 +1694386706 +1794220531 +474931413 +572766164 +598247841 +2085411527 +1937779607 +1979371503 +1251841861 +2067279737 +455308228 +1742533471 +1513859761 +155972901 +1899920969 +233906591 +1818167901 +1137455851 +1591484453 +1216054978 +788853931 +1509594436 +757795198 +1843801840 +825989400 +772135854 +1201162019 +1172826121 +1488339074 +1965158520 +20725303 +1035242132 +1611895403 +495656717 +1608008296 +62659596 +433584596 +1398304255 +2042031099 +1685426458 +1318100344 +349855679 +1280476281 +684476457 +505828580 +1032913603 +918383048 +176512833 +22885806 +362383853 +1392567811 +811739737 +1871978289 +2879361 +508057930 +550484041 +775015215 +1709219949 +1723310162 +115870641 +1526894821 +1744035466 +1151112773 +991306577 +92208535 +611637422 +1053966173 +525793131 +2009941677 +948513625 +63735941 +1180558374 +1298369304 +1344212223 +1865034831 +1804197885 +229642178 +635934232 +1980710718 +252527984 +998318085 +1225794882 +1064267721 +722812727 +1228674243 +1572325651 +1273296768 +2003689459 +1134061952 +849123283 +2119560100 +513473126 +445675101 +1123189226 +1504779703 +537883636 +1734826648 +411262228 +1063676767 +1597284677 +1359775853 +1127412709 +630359403 +510661510 +324141284 +347910587 +167375747 +553783462 +983844819 +602817 +806311446 +1982162904 +1226397699 +1870579167 +557491983 +307588295 +1295421171 +1830788752 +163794106 +281999475 +532428387 +135870558 +795472601 +978103488 +1259059784 +152768656 +1515987124 +846402784 +564030885 +432180243 +296203814 +1923806738 +1559592952 +926563217 +286984600 +1883734236 +1274473804 +454360347 +290034050 +110834975 +454963165 +1096345496 +2092997880 +1681360864 +819441016 +503006215 +1988949159 +2114862187 +186311319 +5259617 +249378014 +718739706 +141130176 +1044850616 +1696843194 +1400189960 +1197619272 +1065346670 +99109097 +1761650157 +1497526914 +395312911 +1537973248 +909636218 +1321876128 +1824957848 +645886807 +448866285 +131834548 +935920857 +559701260 +586797713 +2032266354 +505215492 +120674929 +704223722 +1008221708 +2109624089 +671602261 +1194533027 +2114883706 +920980275 +1913272734 +108530234 +1965830891 +1462632280 +1508720195 +1015966516 +380495303 +1607829292 +630133025 +1878022217 +2003142203 +20622625 +640174787 +1177534683 +1845580474 +1286061594 +1626400968 +1977415022 +74498804 +38618581 +416729087 +2106765158 +543834073 +537404016 +663505232 +1552055781 +499544457 +1335107493 +599105161 +466944516 +108604120 +364894247 +575474750 +2074435012 +1827526527 +2084194945 +942917880 +60538182 +1544540589 +1573050905 +1938560399 +1400199144 +1593673531 +431251539 +430250180 +1291770357 +1717313133 +2056651148 +1121701731 +1791811937 +2095269729 +1538430818 +1751093447 +491620155 +2075834834 +267115031 +2043675936 +427895644 +1602222524 +495297449 +894840160 +1710826645 +860191696 +1470314910 +1637778009 +540234576 +1407026208 +433212241 +600772758 +804083149 +2006263146 +391849510 +56798646 +1452453029 +823101049 +487048826 +596739738 +392930534 +396216326 +1718441469 +37258824 +344002408 +1109388639 +1788352271 +835622563 +1037739826 +2055467303 +731814851 +1465635470 +1510206179 +1227112301 +212991982 +1073549176 +2087303997 +1683306892 +563843537 +480054925 +942849452 +997055778 +1080827684 +1746932602 +855835277 +1472677194 +1803731248 +160804658 +148294595 +143296426 +757544397 +541225129 +539512752 +328502218 +578483953 +883515160 +1437890858 +219352577 +1719137723 +328147036 +127336232 +303468927 +1793782506 +1637542411 +1530581228 +2006774488 +563607940 +1470401577 +1542597732 +1127451477 +1950456503 +337963537 +2124507256 +883800539 +2084896139 +832858885 +208994085 +1741143739 +993663543 +357288680 +1884440165 +1751207940 +898513809 +276469269 +2079710159 +1476997763 +1159984430 +1370117369 +1696350340 +731638505 +1698264405 +1823686572 +1035107432 +1344563263 +1313745335 +418205012 +1203854103 +1877353275 +1888606590 +598968187 +857321105 +1691579445 +936931724 +834344713 +427896336 +874344215 +1667203598 +636890421 +468004306 +513383493 +994179101 +204960823 +117107786 +1892692910 +481430093 +49334297 +1222207025 +1641414523 +1419451666 +771073717 +225569380 +970232423 +447276641 +1260676813 +167312038 +1761021977 +1678881825 +1371166141 +1490891604 +1420004767 +1970134328 +200729061 +964100564 +759582405 +1035073774 +1391996900 +1633926620 +554793724 +2028887321 +2101930927 +1068177218 +875582774 +159408102 +1185285004 +620792037 +640838195 +1234619301 +1842999062 +134769070 +506587319 +466589132 +360338451 +1476819742 +913865773 +1621015264 +1644131780 +527404102 +1152413441 +867814273 +2018295707 +424934561 +690464953 +71541120 +1389035125 +1450047358 +1106614895 +633548378 +936490331 +1661408619 +514952051 +890937610 +582102189 +1390534826 +1050345712 +1767387193 +2011326863 +1691183908 +854522846 +1706842277 +1825952978 +1361110165 +25947761 +38807781 +690446259 +939813535 +1659823045 +187094391 +1467217637 +664752839 +1054908664 +1338029696 +1089687400 +1745373618 +1409570817 +331238877 +1047937328 +368702064 +964787255 +1984427659 +2030110683 +1479739307 +727881621 +464729225 +722790485 +1778227334 +84632770 +586633700 +1321927594 +939155617 +145992329 +1000396924 +152782134 +171940091 +1039204706 +843228394 +1111753626 +551544103 +1030322785 +431487615 +1216296942 +2085231450 +1769517312 +158500694 +1683121420 +1031604481 +489739572 +583575100 +1400306545 +1454526827 +420519112 +1282933580 +786782486 +1148400733 +1747662805 +1509572971 +779144419 +1832295576 +2096206671 +2101072013 +623967545 +94715353 +953985290 +776749679 +266655444 +1993189996 +1619978073 +1378409070 +397250451 +502817211 +1809896685 +1613547394 +440565013 +1431930349 +1772048088 +2123686433 +316051182 +114304012 +559777885 +1716357727 +1568830840 +980296997 +851807660 +208129678 +2128697731 +451986817 +1717702650 +760358502 +136798745 +1666425673 +713946868 +760766290 +1761141026 +1667932158 +1537515970 +2027796470 +1513638506 +1010010395 +1258721892 +1910888957 +1512827606 +921134930 +1376952703 +1953392619 +205581631 +1001517144 +1929595404 +521632814 +1115821156 +341889642 +90506893 +537168348 +1322186639 +942314553 +745298027 +1303400722 +1394301371 +315517029 +2063759225 +1531100116 +1981942702 +630222445 +144382759 +1595600081 +150670955 +1681898729 +1475912903 +1664309461 +544425476 +587151148 +1427714770 +2057253083 +1508286078 +657183826 +1863162054 +1713867709 +1658700970 +1645273811 +88016875 +627038478 +1987163453 +178523769 +1164206827 +1161866444 +1120838322 +1909504854 +317783519 +367656045 +77538235 +234059096 +1898756162 +2059480937 +864281541 +2043138921 +1507597370 +1014952496 +1577554002 +836026626 +531778309 +2121979478 +1423177774 +1959493079 +2031748913 +783980204 +469193257 +1747427320 +350364265 +2127894227 +1245217483 +438381141 +607449058 +1084897288 +616904910 +1771655885 +99280084 +1737743232 +1533677091 +417063603 +2105399278 +1611215326 +651122699 +1856671792 +1523212615 +1515404240 +1752327065 +883326338 +382873088 +1182397419 +1719352964 +914651397 +1156893249 +995047090 +726660829 +1041158515 +1779027294 +1195854086 +641102187 +2129391559 +1176264666 +1886319670 +420289052 +1783713724 +823733310 +1037193962 +1407885961 +923013394 +627453547 +794079404 +1340076998 +585369177 +257811082 +1991199697 +294557321 +1781023697 +1359120290 +2046884386 +516866387 +1741993378 +1081798157 +88735703 +509161128 +91207758 +1083782793 +1235821957 +1132366273 +715326439 +284192395 +1773468460 +697234351 +1460457061 +1512304482 +1117523403 +1096687137 +188554144 +7233718 +357089450 +1111567539 +634687265 +1151168854 +304160889 +1220056442 +1408979936 +147876938 +1514613763 +1042519986 +1506997228 +1414014501 +1559386373 +1101506959 +348329010 +1648122077 +1610668087 +439536768 +584421222 +699006396 +1571903042 +1299747662 +983198791 +1197887854 +1996982013 +296172205 +562708689 +967021768 +1392859342 +751262833 +974255486 +1749948793 +1862830372 +1608942751 +753633999 +19507613 +681515545 +15130288 +167384552 +48645660 +1057650274 +1674381780 +1462660161 +469552999 +628405091 +1810989171 +2117675076 +91589530 +103042292 +554612651 +790595926 +1674945334 +1854360313 +1773794718 +725349540 +1703858678 +2069966923 +1288058229 +523396798 +1315342617 +2039321063 +1497652285 +917807762 +1754667787 +959111388 +1671441762 +1774175401 +1640626934 +1686572050 +1941559953 +1689272594 +596738676 +1468458085 +1004449108 +1066291675 +2096863177 +667954631 +1036483104 +40969059 +770996923 +1591095755 +831564986 +298458609 +1297972420 +457876056 +1023808150 +854347450 +380359331 +164382731 +1377744248 +1695701948 +56220146 +727912885 +466026063 +1810887934 +1687024274 +2137467825 +1437579687 +1180167560 +1676556227 +1231655992 +721956506 +125811255 +552630429 +1726405614 +1192102930 +502009958 +246876598 +81102386 +542979018 +1017873521 +1672198141 +1374544004 +1316332131 +822686913 +1832420060 +192656633 +1677034363 +65295743 +357039364 +907294964 +1760997691 +413259511 +1635207849 +79540106 +76663797 +1174748475 +69524283 +1514243484 +207432387 +1746080510 +598415828 +929388894 +1871891765 +1151046257 +508310860 +916511048 +1653056216 +755187458 +997613434 +48551586 +1773060980 +522327928 +1423095590 +941909463 +1345014841 +1108032002 +1134566096 +874565557 +1173327745 +1491605460 +1781860521 +786841788 +1904864971 +1269584722 +866381895 +1981528768 +296849550 +935906178 +1348288604 +504281937 +534503041 +1946704432 +1433670831 +258911158 +950267042 +1941981692 +1175422206 +455839610 +549685502 +25551993 +504391196 +175262834 +547879921 +1927486786 +1117172297 +1892894762 +888035140 +104254745 +619976671 +2061362885 +1595860206 +254353544 +700721025 +1353241529 +1523938267 +1567102920 +1187286650 +1820787817 +355525451 +388091606 +177586106 +890028492 +187312391 +1611256938 +1148939650 +1137579433 +1405754982 +176878209 +1593419043 +1955440484 +202430202 +2097810239 +2130703319 +750310123 +1877813377 +1100391968 +495721237 +618364869 +1204646714 +1115697909 +532244106 +653023272 +1370051453 +1232965131 +2006264801 +746506072 +652584404 +1046067803 +419810241 +1008109855 +1434159410 +597396348 +1898138347 +1621471801 +61169638 +899594349 +611567586 +1466924620 +1076472558 +57502981 +1274881456 +1278902760 +7829572 +1258101127 +2029212883 +1885642949 +211009448 +377450473 +356524170 +1415656162 +1493148382 +888768276 +2068679434 +715716187 +2121733407 +1927460587 +1462222260 +626834163 +826044743 +1882032501 +1634944018 +112720505 +331945201 +1385598717 +1734192306 +393114839 +137709419 +198276244 +1860039459 +1214181977 +255779225 +987437268 +345601090 +263608797 +98054747 +227330325 +1768098 +309064195 +604780798 +358292268 +1724720357 +2097929180 +1247060544 +1645916143 +666161720 +1221310303 +1425893083 +2128383980 +1848144467 +104454178 +1862932833 +1335604837 +217174683 +47394387 +573719907 +1951366989 +440509226 +711429326 +2159585 +153065038 +1925611303 +257938810 +1140502306 +123728745 +521547607 +1238557053 +351059071 +523315705 +1547621249 +955839869 +881607973 +1124857958 +906285402 +2128668517 +623290454 +1572447122 +1202495172 +2049183537 +1553347454 +903155991 +6154067 +1268796639 +91277181 +223328750 +1316191026 +664997088 +27212091 +1756700253 +1376426414 +29371676 +1909765291 +1154554069 +287310486 +902783949 +1278282815 +808858093 +2141341002 +1629341886 +1332173798 +1541478603 +437698107 +66298123 +518852914 +1343983509 +47482992 +1142143368 +768946983 +1249978164 +1043843257 +174810789 +5650508 +1049997324 +1443607429 +96927689 +1273326074 +612314807 +761924777 +1300538165 +221531412 +2138351191 +1329909841 +2131296703 +1145421612 +1617220327 +886597004 +276220779 +278594772 +880454359 +1905562665 +1610768570 +274449314 +195777125 +1677066693 +793302228 +1539760634 +1724549685 +1935445596 +161223970 +827044201 +831805205 +336034759 +832694709 +1881802529 +1779642188 +929622398 +1007644955 +244473348 +1691547175 +160699472 +466004760 +1682414718 +1490609313 +449817816 +680352683 +960345992 +1336414820 +956573462 +1238940764 +69385531 +714652480 +702225686 +343834846 +910429605 +231808731 +1137137074 +302706591 +1956358416 +925099023 +463930561 +635918970 +1756904228 +799965321 +1468613679 +1491223110 +432123861 +250752430 +351384417 +676597209 +1942299605 +512083890 +1142601970 +1477230676 +2002693203 +1592419786 +10099711 +815555548 +781350958 +966673173 +2054496312 +850736490 +1681325653 +609238351 +1194571336 +444271610 +841047082 +184224762 +746978202 +649921851 +1109323785 +1210908763 +1285840821 +718744366 +2010874084 +606970852 +62483828 +295514298 +857723282 +413868245 +972111507 +652539240 +925952135 +2114713477 +2129769916 +781161691 +1559649615 +2139869627 +1596717239 +193516926 +959059152 +1503729903 +1044253416 +492901158 +2112968254 +91341104 +937172768 +806531689 +275565866 +1684150970 +1456453540 +1384889652 +747576086 +594810713 +2103634018 +610966522 +1201781565 +18634198 +906480820 +2059504848 +432502443 +1878592328 +564560440 +1358454579 +1845822157 +546846708 +2139616270 +1257988125 +539232687 +1588849861 +1451505051 +1498291839 +945096116 +348274819 +1991192997 +910580723 +439615923 +780882118 +1717112412 +715181789 +317549440 +1026082304 +2100071441 +1065125526 +1620893017 +2056221811 +1676092049 +675190934 +2074856009 +435089221 +587212134 +359874805 +166197901 +1151772574 +1718329384 +2012020059 +1698619282 +1710462006 +1122524536 +90368321 +1151828219 +426545939 +1588660161 +2096924335 +774820758 +1432369510 +860021410 +1214436681 +65767980 +429650174 +1929618470 +383317421 +1455732478 +1882206264 +1448442947 +929141847 +1790944427 +977051348 +1604332782 +1718316789 +1412140570 +44061268 +2078191594 +1578338471 +1195833843 +1649037330 +1442874882 +746969477 +1212015688 +417915770 +837337799 +216360259 +844461709 +278514312 +165800946 +1619282467 +1710883822 +1025822357 +686235500 +1776651803 +1455472531 +468370323 +12485576 +763721362 +203092939 +1460928523 +1692863209 +1994037366 +290496224 +1149712343 +1564870507 +1702636794 +1193773612 +1495578453 +1133491617 +242123807 +997132135 +428882852 +989093284 +61664175 +846798622 +1826431083 +278024434 +1691260332 +2104945395 +443825381 +1163059151 +1668345570 +1469647738 +1849294652 +1297513725 +777636621 +170181327 +1309999301 +1541357983 +373274266 +623444176 +1086737545 +219827984 +913940400 +88966240 +1784698492 +469093546 +1282739852 +1132793297 +1602585164 +1524863659 +2129925433 +2031468016 +366473296 +44105960 +730782990 +45420731 +322130395 +274559674 +2882479 +765955776 +1437618826 +1671228049 +88119866 +1139429830 +821258126 +865756487 +1309611157 +2131257427 +259630823 +1682885423 +607217955 +1346368368 +1902713407 +1521158356 +1435334608 +1539928251 +1990251902 +570590813 +525237901 +1445353418 +2095454472 +507679686 +1329337786 +314444120 +551785646 +2060120777 +359864852 +873916041 +187196803 +362747331 +1639871817 +1624815629 +2033975380 +1727991683 +616761811 +707749858 +446264523 +1926372968 +691523637 +705895346 +1461774743 +1298741592 +2052263714 +1217004503 +672416300 +1340114674 +609449106 +515184555 +1910705487 +1134687007 +1960537973 +1858676312 +1642366693 +1142392112 +25636784 +46668692 +1055029241 +385501636 +920584733 +1242226044 +748248967 +412972903 +719558026 +634740699 +2140964586 +1336319837 +1342490557 +439745461 +1115209158 +2034014194 +1145640807 +429500253 +1185272139 +1050420873 +1646504756 +1857688439 +243051900 +108470215 +225389346 +6273739 +1243157222 +38443672 +1864950051 +738040268 +1180835784 +1890586836 +784708960 +88381377 +128604824 +1705293693 +1330607421 +876853792 +2118266596 +2050165447 +1511594491 +2111747535 +1239001637 +706601401 +404009348 +206727147 +593131947 +1549650156 +636227400 +1778404086 +452587381 +135248509 +1488608878 +695639281 +243718724 +1713998224 +701913021 +1486875946 +1752441896 +419379424 +77432566 +785794032 +162482612 +862141526 +874175409 +291087437 +419951572 +57299183 +1167941229 +390734520 +2107464630 +532052072 +354998407 +1198982619 +1238653473 +759007756 +1405709766 +1831785421 +161174264 +2041937167 +1462705859 +613761645 +29702028 +803831089 +1309400927 +273420752 +370345666 +2011313948 +1760296698 +2122787562 +283209724 +1837729265 +761097947 +445692337 +552387143 +1635273356 +736779774 +972338715 +1692572539 +1904721003 +1363073236 +1652553522 +289289427 +1718071643 +704052493 +1527942901 +329595751 +2109762260 +1212244674 +490770015 +2004215779 +527466885 +1104531661 +2033917807 +1331297975 +266448940 +159854911 +1701643641 +130279240 +1920151609 +1676947555 +413488964 +1610397226 +290561854 +859181301 +15300722 +1925835211 +1595961075 +987639437 +1470924102 +1353198430 +203229025 +975993976 +1642487858 +1921300669 +1680046470 +1022947111 +103412772 +1642325082 +87708137 +594182788 +1499057213 +615175022 +1698714449 +1385491372 +1946472997 +1965163389 +1545346283 +1500632990 +2095442629 +1318014244 +1030096898 +361447945 +780927823 +1320658752 +1220629247 +796228545 +1099010315 +669106674 +1783867982 +422450770 +2022305105 +1987097008 +1398444746 +1517309315 +1760914029 +931007568 +392772778 +1864326801 +425849002 +480480915 +311025941 +1924906215 +1095655937 +2009740390 +1162913939 +894645287 +1827420131 +560776574 +247794629 +1775379112 +1878790819 +1277891527 +2136827058 +512234994 +451066632 +1209972657 +1308463539 +1550076947 +1879079331 +944847873 +1972527717 +1753900788 +784461233 +1223488816 +1123726455 +397891614 +7012736 +1516499233 +114734768 +432861739 +1996980148 +425760709 +210284306 +945152438 +288017452 +1373198246 +1839797725 +2115437583 +1933974820 +2087592354 +1743333048 +1665281991 +1218000234 +1732676458 +30033337 +1669066866 +795165467 +1338496876 +1071660165 +526761150 +135861102 +896704235 +133178291 +920322335 +2120193051 +1256904746 +1318213950 +2127205787 +625920332 +1432948718 +412583878 +475416832 +1858709427 +622868185 +1420569270 +2146726879 +1996066431 +1112883347 +2114680815 +1782557603 +1052992054 +1710530215 +1300355947 +123508640 +1295723025 +1330389284 +1792575506 +2090888492 +521402513 +716752023 +470165994 +657263615 +1613456258 +603344285 +1577585950 +1586165661 +1860249032 +748316252 +1565887801 +338685716 +33781322 +1978471679 +814102548 +1892490750 +453856216 +87188171 +1891733981 +302438999 +1200071518 +1858931148 +2084996603 +105579924 +1421977715 +1237868902 +229088564 +570217092 +420774538 +2021664070 +513621936 +942177051 +590932446 +983787931 +1599440666 +56905056 +1587132216 +1029542969 +1643070718 +1299897600 +1777859221 +1061474871 +1638583316 +1811640544 +892462902 +305202217 +1556647646 +1346319119 +392390388 +1300897979 +1648758118 +1592461906 +1012345480 +1586271073 +1698041831 +286839547 +676656327 +1927130395 +857056640 +1097430866 +1801310818 +1370678576 +2039607917 +244759616 +206982859 +1491564936 +301664672 +1794115076 +373624257 +1944735390 +946529028 +3999830 +858726613 +437628697 +1815640374 +1751189516 +742830914 +1224804372 +950024987 +1135221302 +378218704 +451299457 +580199560 +1390564184 +2037570531 +130757743 +1677403731 +566743210 +2057888139 +386976723 +1664174076 +1711715309 +1757655300 +1556298346 +1956474925 +1964638159 +900379634 +110655949 +1611269587 +1274003891 +2055391340 +410314968 +1278003721 +766634305 +847943665 +946160448 +370340173 +1590774579 +23481172 +1320365160 +578512233 +401699876 +1771664618 +1158711793 +1792264060 +1661751501 +1289469537 +1322184144 +81011063 +1199874028 +1709160867 +1745185140 +764105689 +1319332519 +1153999838 +573096966 +1136487031 +2054379472 +683752915 +600272970 +1180899715 +591660607 +1010587938 +311419788 +1358294913 +1858531603 +1257580236 +1728635086 +1301822534 +1281061409 +901516599 +1880334767 +1682761285 +525697569 +891562913 +1327541698 +39965422 +33548802 +502242194 +120976485 +1233422830 +63919413 +1866161625 +1997528519 +1383251933 +872677815 +423141837 +372255316 +779573639 +1106894752 +972528286 +1960473354 +1698555360 +1983116225 +124409495 +909366625 +1694164180 +1381989731 +490518063 +848503067 +515567492 +1392034662 +581354186 +50845130 +1917732231 +1472917099 +1378386828 +1957697653 +1506465901 +1880629022 +2078674139 +592405083 +1944548435 +1797352116 +442449954 +1180316720 +522546284 +865591791 +1552572036 +1302119923 +1972486544 +377616675 +1115109630 +1523558256 +213249252 +1239519125 +285441233 +1907413432 +474025208 +775959296 +608432851 +989592701 +20510311 +1189787038 +1040437831 +1938242542 +515220489 +271341011 +1748456548 +2021686391 +4486385 +1679647039 +466607826 +1949034820 +1329515507 +909057781 +981867893 +1852061791 +1774649572 +386956281 +1006698067 +1599652468 +764572956 +2121807697 +975727076 +977822208 +1213843174 +1261168309 +737751993 +1687868382 +2037127606 +1346184844 +529977435 +2057637917 +388488234 +1570415266 +1848396811 +903708724 +1841756277 +1449369711 +777911467 +1846242662 +981533102 +1244519293 +1647793835 +163564962 +6093426 +482178080 +2015626753 +1780742999 +869134361 +874841172 +1232911819 +1633707318 +849165221 +61155248 +464045878 +2063008395 +1322323557 +1201797871 +1603393130 +1211967515 +400499068 +2133370565 +1122121784 +788987302 +1556302184 +823034948 +1692696026 +1250574813 +124921011 +323123845 +949333828 +1106454114 +1567643139 +449644015 +1270019076 +1573736565 +931822095 +1138162181 +1206995916 +1800956456 +2013003354 +292424088 +1287180126 +714684927 +353579336 +1751226005 +630209675 +1675902893 +805540228 +86119157 +740386761 +1206039296 +72006074 +1862508545 +1995026599 +1628308258 +538059845 +1540238977 +731399424 +662980857 +1863362823 +1680733252 +1769434971 +1283522314 +2130377267 +891970399 +709775231 +914715714 +2030132580 +1916771148 +568188522 +1895652286 +61711588 +1855368649 +462853566 +415290924 +1459111006 +1093063241 +2091193817 +117167586 +1179182398 +684096930 +1323206883 +1251188472 +399121828 +1170749834 +732013083 +937181673 +563505163 +1463412507 +1600162530 +279384338 +996662111 +1222113853 +1562906652 +979555730 +2114084252 +125198236 +1894271444 +1996733185 +2041969384 +314976318 +1744901823 +2103680972 +22861319 +60271741 +371488248 +1481972325 +1153334982 +315198417 +1599139912 +185033732 +999295348 +774863147 +1436222205 +1398417176 +1945612981 +20751640 +188115201 +361634496 +1484164147 +1788277732 +641018835 +333342610 +862907937 +56441839 +1312898340 +829508542 +181640075 +1059686136 +678758079 +76125811 +1374662454 +276176254 +32323135 +1397523774 +336447996 +403811383 +732012451 +1489782978 +719009801 +183668715 +1674816711 +1718305149 +958531862 +963555268 +969238677 +756661195 +984306908 +1157353878 +1118295692 +320987407 +798147962 +1759314527 +654330017 +1661055900 +1815756366 +1967228357 +343080794 +1997396442 +879430845 +1021838873 +2073522253 +106609651 +1298015127 +2105845389 +1504133425 +1634463123 +362173124 +88662229 +976762454 +1081182925 +272330944 +504095517 +652004426 +1230862807 +1467650785 +1621243103 +1987524002 +304474045 +631113334 +958336046 +625461452 +1429261296 +570166925 +1279791469 +942833548 +238439644 +1099536178 +1285914342 +88352438 +1978967023 +160269567 +14391043 +2085576674 +1458284695 +2120236432 +1442226452 +945264170 +334925909 +1530888681 +1922026624 +1416108834 +1803219625 +278638493 +2068113261 +886598784 +1746289278 +1541872716 +726639139 +2050763323 +25502402 +1684975185 +528741127 +1454763699 +107658463 +1808532596 +250113599 +346098107 +760585126 +1536027942 +434450545 +592068501 +1696297509 +448841588 +530161528 +1007098556 +421594373 +1972387980 +1952362727 +756520282 +1355793013 +1726905703 +25145468 +1011528990 +2005544197 +2093258729 +1898127775 +1604349827 +1487647798 +477283266 +1507629503 +1513150200 +14774803 +2036370630 +820430251 +122433266 +1697419579 +1070543851 +468531373 +310521057 +459088145 +902981918 +902589559 +7902006 +1351823507 +1432751087 +1015000563 +1773417880 +1257655419 +819879642 +382454514 +465964784 +399301697 +407599982 +1477493774 +257362246 +353375064 +1228137901 +1861712074 +1841022862 +1705421167 +1221857929 +1206689414 +1720195971 +1110744911 +2027119666 +1842629237 +660680842 +950179869 +163676963 +971201900 +1409268014 +1066658881 +1873791459 +1417170020 +270998740 +1159058898 +284686935 +2044416620 +269230669 +1104566577 +279387486 +735195453 +1503868275 +686987469 +65205579 +1761230521 +1040362533 +1293343481 +1475458947 +733901747 +851281000 +549833228 +1940591161 +423993323 +1660578140 +1820227179 +119138913 +173775334 +622923400 +282815876 +1144977234 +2032191414 +1349474757 +871285045 +1301877787 +1620473498 +2030343943 +1586564722 +1517406470 +152090964 +543647652 +1796793957 +887286417 +2047515927 +336297778 +952491997 +1661262800 +1376660311 +98351830 +989238100 +2110562058 +949632830 +1539071328 +1903669571 +1373626154 +1052165820 +1576413103 +1492765067 +1225941155 +51852855 +1775580943 +223434741 +2084044270 +977572052 +1094719787 +1238438409 +450561902 +977580082 +677519483 +1967968373 +1129671047 +1221167135 +1617278682 +2016957464 +1121199414 +1953576460 +821965813 +634978567 +1182753123 +920317643 +1624216667 +1145831533 +1869950474 +1015804347 +902017456 +1096092980 +2067970168 +330946911 +441374399 +1146427675 +382799767 +69471694 +1369862416 +319360389 +1047043746 +317098555 +1557798798 +1497605649 +1294678638 +87834633 +1318090374 +276866037 +1309001769 +787885408 +146339853 +282717535 +593978220 +968305667 +917696102 +1776731343 +1888623310 +394429121 +775079228 +1611090136 +1410233469 +1677096684 +559699468 +1330719989 +2008043596 +1001073867 +329664016 +243359715 +1070545561 +1699526432 +562720104 +2117589308 +2016624988 +2120518902 +1467711309 +1163819978 +60869887 +638318035 +1440686015 +1369871656 +1426203443 +1587025868 +1652589192 +2020181663 +407847887 +422801646 +1649429358 +148987550 +817230768 +277024938 +1760077686 +79980589 +1954121622 +172293507 +1410700578 +1814681570 +1173367374 +1740364594 +2058041285 +96429288 +1292407378 +473277741 +66534948 +1161548718 +446312995 +1534246257 +177885048 +507182883 +25080644 +1618571063 +1877054539 +1451284087 +1058113284 +1382160083 +1323982102 +1465961171 +1804961730 +825927812 +1614948721 +474708850 +1102952750 +1227542760 +554689439 +909590724 +1399836267 +1965390017 +576788647 +425719993 +1558270963 +487346284 +522149281 +703194693 +960624026 +588684229 +1864743412 +1406937021 +2122930486 +2042628460 +1914119904 +527482 +1513715876 +1643690796 +1451811569 +424345512 +878367231 +628310023 +1890306683 +535845313 +1454237835 +1357771757 +1010554163 +409706937 +437830869 +1565243602 +1319297662 +1837667136 +1383149971 +1896086309 +115903481 +793937286 +235948945 +638052763 +1497131980 +1196572971 +1226736992 +1214391744 +456026345 +1202183831 +1109536556 +222662601 +1202711313 +475768784 +1866353397 +507039235 +900114296 +597236981 +1135349258 +642937332 +1133082294 +442103446 +2000709089 +2143636458 +851810383 +291056310 +1561396412 +23624397 +2128723446 +797062736 +1919710706 +97143279 +1591000022 +8176004 +735196042 +940648354 +1204748975 +1961933035 +7556450 +1660775320 +1016633218 +1117093007 +1883437922 +71860883 +1592861791 +1602307671 +578900118 +345492440 +52061004 +1714249377 +988429772 +1185143299 +8869175 +841655213 +1181296109 +860679558 +1132711523 +595208873 +884303956 +1113951321 +1392271609 +656531014 +1211094600 +835787984 +664707018 +1946290643 +1776436338 +1869455994 +1760740030 +1783992789 +1382747666 +629889600 +753602148 +1118701940 +701750483 +198980291 +573525964 +1280650602 +544472731 +625586968 +847416331 +1532902503 +1810730267 +856285506 +227074068 +844542728 +1716965064 +1359785591 +1439751602 +453785372 +326253264 +684539563 +1110316387 +1537347865 +1520327547 +1775023405 +1336154860 +1149280238 +1496995751 +949411242 +785789379 +732259770 +1579300842 +1539391527 +1850961710 +133567677 +1738371818 +277004026 +1414218279 +135360902 +902590995 +114150962 +1668263405 +565837614 +970436468 +1895337474 +1410380343 +539917885 +1107639417 +702648297 +993703257 +1433892682 +1387187860 +2104019644 +823756899 +760031760 +1731559402 +12428111 +1909311998 +1081071505 +961839353 +547617729 +1813331275 +393656547 +2087009256 +1516809338 +527224224 +1677897426 +1793813364 +1941442504 +1813258328 +548920711 +2055593466 +1334038086 +1114758326 +878546287 +1081891912 +377655021 +1418464172 +42047681 +1080303318 +264683781 +1475940363 +320007530 +221219778 +152213614 +1080039290 +1952779180 +164641725 +841867640 +886367037 +1126481078 +1389485369 +552214665 +1520137625 +1329010977 +2069024003 +2047361850 +859424756 +1715353719 +1841320706 +525199436 +116790783 +1749430524 +1859237522 +1231549109 +480493163 +793645786 +1609204130 +1898957335 +835693468 +542023800 +16157469 +164150183 +862031330 +237377247 +316363798 +1942070621 +42672779 +481005523 +636454613 +929039816 +1607486602 +2025939983 +1481254481 +980140579 +1207467312 +1402794836 +880018781 +2066892068 +970664908 +573855839 +444607857 +1087455691 +175802716 +156361731 +171521152 +656295879 +950007518 +1780725282 +407769567 +1785700986 +175265434 +423927036 +1949851169 +1037296764 +661304283 +118731319 +831883737 +703977062 +599736843 +1468338351 +1633016878 +59739797 +1346794686 +966787712 +1039880376 +406778350 +222098900 +1919899158 +326186771 +1192763808 +346271349 +770794628 +132735851 +522074065 +927156359 +304257003 +1178369945 +1877163877 +2084982285 +1586139512 +1515381215 +112764071 +2010066548 +1317748737 +1150060836 +523887183 +1436480056 +1981944573 +1227864245 +2036216899 +1302799276 +713397475 +2095956696 +502110314 +1680185187 +988353425 +908888665 +1902284088 +760768935 +1235075436 +947564248 +1107040284 +2005870064 +1080300100 +1629114350 +785542775 +1384557103 +660000647 +515223005 +1322055741 +98656511 +2030604220 +1434819812 +2108723059 +1200869309 +437397000 +485126594 +489865718 +271857926 +1712990839 +378598969 +1574657202 +278904666 +327072018 +2076767517 +1959089854 +1315425443 +838172534 +1713890294 +2076194378 +2073247970 +513970894 +1035751014 +1931634386 +1594270994 +517381716 +569693513 +831344450 +1177382363 +1084916518 +5916543 +1276038874 +968037091 +1440736355 +1237278285 +21422752 +1878133356 +1722404879 +511288470 +2507634 +1287912070 +889887440 +1577164836 +1566816737 +1216959458 +1506448705 +1378422943 +384901253 +197137591 +944829589 +313611983 +122901913 +1458800483 +1349362997 +2054536299 +905587830 +1866744714 +476746165 +1736932280 +896643429 +1561662683 +1742848823 +25198656 +382216126 +1036101530 +1262476941 +403638879 +766751238 +837398173 +914927349 +769258872 +2125310243 +1804814789 +198940061 +1544643332 +874290599 +1705388766 +775582627 +1259191852 +1902526358 +1720412216 +1572803835 +2025428271 +1031729052 +774683185 +1932480923 +1937316882 +493944251 +261743440 +1526765514 +1390587680 +1823406123 +1122130689 +1415786336 +58138602 +10748571 +530779630 +461777481 +777499810 +1368177803 +1376704830 +1546758682 +1346004398 +1034035972 +1745698743 +743164083 +1908326571 +1303603862 +1518746710 +1020034776 +1058646572 +1091675279 +445354963 +936591195 +2123404331 +1220038148 +721588470 +1913237565 +1713982399 +983331910 +1292519431 +957086432 +659254386 +267166472 +225389120 +717392988 +277915043 +756168750 +1179170469 +1055414853 +2124346553 +408391651 +454689888 +1322867304 +1442427623 +52904983 +2066031387 +1203270547 +1356508845 +1437294449 +75821675 +267671769 +381486080 +521176638 +1204262965 +357406763 +1741214787 +1925851435 +123160680 +1307713538 +761699698 +1415680111 +117316322 +1420954084 +1682846583 +342705443 +2138347072 +1960761627 +1098874193 +1170033893 +868692832 +1075737099 +1578425544 +1323382720 +251120755 +873369520 +1376287704 +169668494 +2076640067 +585312901 +1606962943 +4978094 +852984671 +1988449024 +526154732 +2057247636 +198372139 +119885871 +1835615423 +321532820 +1427599410 +449831473 +1737212931 +1544915732 +1870785557 +1272575867 +1887621175 +1861648981 +1085853846 +839011721 +884199226 +1954546678 +1914748820 +315141123 +1130445751 +18385927 +1188510643 +359249807 +188054421 +1117667062 +944562708 +1795017364 +1122645156 +1797547379 +1635982740 +1648799888 +1707311367 +1834354880 +1768685760 +1395443143 +8404052 +1048801522 +1845274616 +1745616983 +446233606 +1568576526 +870709202 +186371134 +1282741859 +1956563048 +1025382855 +19457438 +1763626079 +792648027 +334598561 +746588182 +811033954 +1523109204 +1105837989 +999088375 +493292618 +2050400697 +646622091 +1615937774 +1700464429 +135121184 +1117254014 +1260292148 +1969476064 +738456126 +508251643 +1977880116 +1787257648 +206042612 +1576013451 +86007607 +1774619138 +299239006 +272378741 +909877349 +108318406 +1297761596 +929334787 +1871944485 +2090409623 +1263933348 +471049019 +753959929 +639558904 +1576887008 +1753048304 +1132851522 +1479804058 +252186747 +601305648 +1032784839 +387307931 +1718559663 +145593339 +209300347 +309532141 +653844983 +39696815 +2096789790 +859887595 +1615710267 +35313749 +487023085 +1914949273 +307692490 +1396900434 +2023267679 +1605454086 +178751574 +1747728517 +1548380061 +1442684922 +71293888 +154856342 +2082243827 +1648180897 +1907904646 +1067611701 +980501307 +12607745 +1668917350 +2013286146 +399915677 +1239993365 +11395837 +609216024 +1549525506 +665240820 +648912840 +1498831648 +1525128415 +117139459 +1534145397 +2012151500 +2032088732 +1841837887 +1261568287 +1907872763 +1299808325 +1440319861 +1508117632 +700704738 +735521135 +1579411521 +855561080 +670281314 +1080108770 +615982078 +1737893016 +2060610077 +628589824 +1259326718 +1926412575 +1028505501 +351836435 +1937808412 +1637721525 +1901361941 +455565585 +139150717 +1252709942 +1980694000 +256290176 +639371691 +1845361853 +140895260 +333725931 +959446492 +2048768024 +1633534256 +252282705 +1409402008 +186755347 +987803840 +841329881 +1042316427 +1658085155 +1921438651 +1658298506 +1248494523 +1834565080 +139404682 +360337593 +1613494007 +1167910183 +712174028 +1403818772 +658148060 +466052321 +1859384357 +797298778 +1718762263 +1692594709 +1053588954 +210650307 +1390472914 +1194484215 +544376238 +202435758 +1095768591 +30426846 +454718463 +357686951 +217182193 +1442522304 +1199016833 +1259498621 +953123811 +972971836 +770313479 +54134686 +660053269 +909718161 +414472279 +126063628 +2077628344 +1126646307 +1529882400 +588292756 +1592698628 +1241783109 +1385591534 +1163977244 +786894171 +291696841 +1374627551 +29883437 +1486181056 +1919003789 +232319196 +434465999 +1949430635 +687037659 +792152950 +19129181 +2129559963 +1991169783 +1278627802 +935200126 +816657972 +2048941281 +989334812 +1476711241 +811175794 +1403807091 +1602774869 +741320490 +382969750 +985173622 +1329613246 +1975668379 +79473083 +567721133 +992161975 +866367254 +859417974 +219305878 +896250692 +198115382 +2138309667 +1128569888 +632581381 +1940256654 +1815607547 +1424734331 +1959385835 +1797683863 +1268420467 +1090529989 +585400341 +2085078439 +991987622 +1574735154 +1414306032 +1803163416 +831058597 +869597253 +397000258 +1214028348 +1854770875 +1726613505 +1042213079 +1934243959 +146850990 +2034375054 +653127565 +1006268964 +106197284 +1549378257 +1204384346 +97023303 +530464497 +1836965727 +2037279957 +198588397 +1114216410 +1849182145 +1996272260 +235153229 +792228486 +434188953 +172748020 +1784216109 +2008924107 +1587054052 +1439895877 +692499057 +309167658 +1836896136 +1906527405 +16454885 +1416025993 +801256836 +1950698844 +1562876983 +688148242 +456342762 +421662299 +794345526 +2005721019 +1626046645 +891368829 +388701869 +1315528724 +781165138 +587290266 +282261486 +482863635 +436078878 +517414716 +1275092122 +870267831 +690162736 +911824583 +731708291 +129733141 +204236812 +1424207348 +438900799 +2041132948 +1183251105 +455355684 +1309675293 +1984507941 +258570881 +725068628 +525172535 +714913643 +1146730927 +1319518061 +573151014 +625293924 +63403242 +961852883 +1940822648 +844568380 +1549143149 +75600487 +1327432016 +1985222027 +593015203 +455040490 +708006211 +1283177939 +1366865073 +1439714502 +1412911080 +1571101885 +716438202 +1851811879 +1464751186 +1899689307 +159683916 +626942831 +1736713600 +418254797 +1352011460 +114402487 +1133168440 +351258739 +1433920548 +1706319454 +976552664 +1497323790 +520688690 +769891664 +194408522 +2069831839 +845492151 +1521840538 +1907570219 +1438507354 +1976881028 +468092782 +574201646 +1196262453 +1907807284 +1987112726 +619880691 +476761838 +1691440958 +2084631877 +228967497 +1851124874 +564091060 +1965681097 +121896023 +1916102520 +2080083584 +1255064463 +119877612 +1366520484 +813900269 +1096430276 +716360626 +1334588959 +1866321940 +910769148 +1256937151 +564330444 +285126039 +1017023722 +2002837798 +114523419 +1485116504 +429555796 +1310785873 +1245440140 +269184875 +1930666564 +1722201978 +1960625833 +1867814793 +1951169475 +1664267059 +284422205 +1769366924 +1786163082 +53041078 +1701966860 +893743897 +172918690 +921003696 +1707644166 +1269348966 +1637364322 +894749478 +988187258 +400649822 +4202981 +1552517702 +685775861 +1021226703 +1407871853 +800299281 +358859559 +1837427649 +2111085154 +1604299699 +2106612524 +1894268070 +1179018029 +1919754709 +1614599215 +982703856 +1436538120 +1899021420 +604587132 +1075217554 +1952062498 +159070344 +1968961451 +2124981188 +1080074040 +1529121970 +1246846506 +569954714 +276387800 +87550117 +970604536 +280590781 +1640067819 +1656380398 +1301817484 +900456024 +309196031 +1660677043 +590400026 +272797537 +1117493094 +549528902 +19581959 +149027475 +321799964 +1634181174 +1131731331 +1758338084 +1385718946 +1736318463 +686071991 +1190297797 +1895388807 +507549794 +1167795337 +827979199 +2036671764 +267158196 +1397933913 +165575916 +354708313 +221054801 +446166697 +1994776132 +1877435199 +1747984181 +747748509 +39147582 +1261177576 +1338148535 +311945119 +231187022 +1887677437 +331527078 +380214497 +61993753 +1965708252 +1511945828 +1820331838 +1203943551 +1100780643 +358920181 +246757700 +848685802 +866469975 +1414553037 +1676665001 +755658092 +1681711233 +927115266 +921234008 +2036419546 +1148170068 +1367400706 +1883712031 +878121619 +967901239 +483976892 +917269202 +81595168 +1822125427 +1229214321 +312782190 +1562319216 +1560741400 +692996688 +1624312970 +1378966004 +57458868 +1297161160 +435425907 +1158239512 +1656081341 +682183607 +2006925314 +375067668 +2096736645 +1536106668 +1130725760 +1630964230 +315738286 +2051959769 +1519900129 +1463908354 +1271876827 +1256128512 +194546326 +92294418 +1740105404 +1111815528 +173889586 +1414747183 +193546201 +486671777 +829582751 +1754287601 +1179668465 +306412073 +985769958 +1237127333 +1603573233 +1421195865 +247883197 +1112170926 +2103379473 +107324864 +1487238595 +2052632470 +1643431532 +470480707 +1536113052 +1959169818 +374956828 +908529533 +1275594525 +1646833655 +17174397 +1470140851 +1739128074 +1757279801 +434472731 +1913017660 +1024543336 +628018932 +252205789 +1854126088 +234822886 +1431874254 +13054513 +1220592844 +521517940 +1616627747 +494305061 +769401137 +581315025 +450200886 +876726001 +2068553620 +355349708 +372673885 +391550680 +1891462761 +184360056 +766507508 +652508646 +1459954581 +265857516 +669683044 +782611784 +2004985590 +279479197 +1217084515 +1770519602 +1304022534 +1845103447 +2022725392 +1010664974 +2079926333 +1307115998 +1023719487 +1153035529 +1828633938 +492863586 +1647340591 +450551428 +1074178612 +2097541477 +1327277429 +995248584 +305407538 +1699951315 +1386799264 +49386651 +1884311371 +5823125 +701895297 +1196782304 +271680641 +1371578341 +1979394088 +129182583 +1651057539 +1048994955 +1899702185 +807596425 +746614754 +1774943929 +1818261399 +679057440 +934576280 +694497238 +1832092969 +615726570 +1187360825 +1331949912 +1066277998 +114055789 +1282007742 +246071780 +1109304373 +1587415280 +1946023095 +348619990 +1636801931 +1682850818 +354443115 +191213580 +732149474 +626123756 +1562791922 +564059914 +755306339 +1066365813 +1613054869 +507524876 +1873962238 +212185975 +134985158 +1544739989 +891243415 +1069561438 +91753579 +575852737 +1685288008 +1279114404 +1907802649 +604082359 +1393170193 +1042326743 +850154139 +354990919 +482258375 +648693586 +703610909 +2119060306 +184060756 +1058054024 +162790239 +916210230 +1684177780 +1725582161 +1480270144 +292000471 +644464326 +945841365 +799525347 +370942916 +1158027340 +934510505 +1915682905 +2049270756 +2004071943 +2007436484 +477639845 +1541876304 +1139067241 +237958846 +2145958663 +384753786 +1280285590 +848629154 +739744705 +1762543965 +1497322740 +1443355614 +1734120624 +1681383496 +353925990 +1896910863 +450110078 +2038103770 +1475009376 +1930380222 +182620593 +2119473702 +728737939 +982145941 +342932970 +1886765279 +1916656446 +111132227 +1788552387 +1773244742 +2118568711 +118708584 +1167637398 +1110152304 +356667431 +1166112413 +1494906091 +1636953021 +2014741567 +87167148 +1252013338 +1364580659 +1530522763 +838650314 +898480507 +1884448753 +588077529 +1348590585 +1775068876 +2063086905 +1131487159 +1957689469 +2035076959 +1860225098 +792351762 +230526281 +1599506729 +561524561 +341658508 +1240575469 +187285655 +312743572 +1359284053 +1354923053 +1422895876 +1715951484 +373551818 +770318319 +1205420857 +240809737 +857485468 +309950548 +1605390396 +240524583 +1148600862 +356387255 +2124973336 +1736678392 +1704977840 +1752558564 +1652281649 +688981351 +1562764386 +1539874961 +401722801 +207632500 +1770401242 +2001229530 +769157061 +2112059751 +1094321351 +956442716 +277319675 +306121757 +163882121 +1700215551 +2022073241 +537433939 +323050223 +1080010451 +778243676 +1180535691 +1389960999 +236150424 +1421060274 +391078213 +592537679 +1398549962 +2127756605 +150031871 +1003624879 +1632554607 +839013222 +418905617 +1024945920 +1240736023 +626538117 +647863514 +1094481906 +1395695179 +612439617 +41319609 +204654247 +889759292 +347441366 +368536369 +442491196 +222030960 +905970308 +765541419 +1302041411 +1684213985 +1946077110 +544518762 +1920364409 +1219653736 +935596975 +365418441 +470720050 +915869933 +515450312 +1474344929 +400940892 +1354463535 +1893250546 +1425886812 +447715910 +372305016 +2073750326 +1542197816 +1768000195 +538706296 +1583517426 +1972654442 +1428465588 +1930958792 +193707163 +1870956784 +5506104 +1099677472 +489014555 +1307547515 +636407809 +287608017 +1852066277 +409288570 +1507261753 +640179605 +774707011 +1977981804 +1556049538 +1290157324 +1304843085 +1956990430 +497137211 +1050609984 +1235393594 +944853121 +1422915000 +1161660272 +339567290 +1043431547 +1700366568 +1923084716 +868602341 +981348509 +1706559860 +1062309505 +704821645 +1712065965 +14503329 +1193836201 +872129832 +650911138 +1481444218 +576712462 +1060199708 +841222324 +1216892067 +1834906720 +671720480 +625457957 +977580396 +1976563565 +434964739 +1474717607 +879689901 +1670358333 +272087080 +155121253 +684534957 +611654370 +1198552800 +237417878 +387255438 +2067155142 +1218766387 +2093815299 +981980999 +1923588032 +1658397616 +996484328 +969940585 +383043800 +1647395466 +303901156 +959756262 +560111526 +1145123480 +29164681 +247534598 +1816843960 +654622638 +1225114994 +1645923877 +1089587377 +552348953 +378130131 +612462062 +824436034 +533251384 +1296997020 +1436090404 +1731804185 +1534414898 +1823345843 +1651475679 +605697637 +1769677494 +485973030 +381802021 +1280591462 +1482457358 +1351742607 +1663635262 +982369176 +1655643763 +475907877 +1542480702 +653283595 +505072558 +1790015301 +322643907 +1159695197 +867646647 +1968567784 +101798926 +1419995601 +199214267 +714260989 +96947987 +732465652 +2011258009 +1533038391 +316786189 +1398189259 +1208900586 +1968261868 +2003886896 +831094432 +306751250 +238205269 +2111685894 +1789208608 +1589947876 +1627837509 +624094136 +1098107991 +2103745386 +19091190 +1751391586 +461334296 +1809106491 +2074035493 +1621029493 +529269491 +1895119630 +1722828420 +1949265092 +2094333897 +289605761 +2046213079 +679315901 +153380122 +1431767822 +996102090 +1551569381 +493184761 +816880310 +1407972629 +1324279193 +1123631560 +1646177898 +1288481440 +765356520 +1088642127 +768835301 +1389450656 +39266470 +725097039 +1408541847 +1790658057 +1186431335 +1070164690 +1717209902 +659977181 +1599434181 +1464845884 +235321953 +1401215625 +1411696134 +524927714 +1299945056 +2091012035 +678307836 +584229231 +939630478 +82393569 +1077413992 +1756510788 +1490366198 +254209537 +732658701 +989060448 +1542690977 +1498015221 +2077702575 +164042630 +739982230 +2116969046 +889139669 +1040429 +1760143455 +2075571005 +1071205119 +1329869709 +588064538 +523155653 +647231946 +823386491 +1924371278 +2058928080 +1348314205 +1076832687 +2002456467 +2026622041 +1661061918 +794603297 +2109015610 +590992262 +403630438 +1451898160 +845201799 +1136289139 +293474960 +240409129 +486820712 +223693888 +404451759 +1226802942 +193179286 +1293591429 +1227843371 +1953322741 +1221678786 +151564843 +1135708802 +1809743324 +674720496 +1782940748 +485646167 +451608126 +1694385180 +1833960372 +1528440813 +1549358000 +1713098765 +1042019083 +196477649 +1674630727 +1633011345 +600108087 +979045239 +330729497 +1736397226 +1272520199 +571138626 +75734291 +1496214087 +975590385 +1302537233 +1689393373 +121698166 +382896957 +1495232466 +1343376952 +534461800 +483457621 +1005636628 +1209182296 +118914721 +1491282795 +1660790422 +1813299902 +1177759519 +1041747588 +1215174254 +743374636 +2083766671 +1411651903 +270521715 +1569294369 +2011759991 +1249566954 +1900023866 +1600673569 +374603506 +323678844 +1676407860 +1870817593 +1299269229 +831461446 +1412727319 +1420967396 +1214358403 +760476137 +616860700 +1748820203 +1243933758 +1622497329 +810518851 +1362848480 +966296476 +323825625 +1028664734 +2144055996 +1365573213 +96355340 +739946984 +1301856237 +1508007243 +1010468700 +723666958 +1372283586 +112552006 +476207176 +825473508 +487155512 +799886020 +354397720 +210489458 +2099155249 +1185859166 +1623216777 +1372638997 +252733921 +236209266 +1989499698 +2001554124 +1480143025 +1464513379 +664589327 +695507857 +283326207 +988414953 +1724172591 +279898555 +206504518 +1820527931 +1019845540 +1508360755 +1181051526 +2030314240 +84544065 +405851465 +2142866246 +560751241 +1231324973 +482538111 +1360637261 +1585722693 +693027569 +1312308863 +624098212 +168760698 +537464212 +876832133 +404969964 +379480262 +730902610 +1885112989 +1843993641 +1395491937 +433137198 +2127319849 +236423242 +9826141 +259734756 +442927761 +1830354072 +1279580296 +1951288516 +863921951 +1162410888 +2035832582 +1269773416 +1157793487 +449100175 +353614741 +1640331598 +1809737437 +1939337434 +185875519 +974562652 +415951998 +354636217 +1512026864 +1292784132 +759606181 +1891507127 +2023686742 +497235523 +1588017120 +1271695031 +930372721 +1567853321 +1508118274 +940198863 +1827588078 +1951046035 +623069287 +959684726 +1754850903 +1486991238 +2122095615 +1643199837 +609281006 +1132405454 +2092300013 +962895747 +625253404 +1754553802 +754749534 +811128923 +581632806 +1170701532 +1165765140 +2093659670 +316002016 +1925371321 +1837683149 +192205110 +275123196 +1278216622 +1463900142 +1205495918 +698586295 +824534768 +2145694781 +378690725 +628097155 +621280420 +1338375452 +235464410 +2108271659 +1312987419 +1878664248 +570069017 +297909225 +1823480613 +1532964765 +923162629 +1430550767 +140230651 +1734291552 +2012183573 +1310932183 +752573044 +1958359595 +1626934200 +530460717 +1648559097 +1819139310 +805583914 +779292071 +1135555804 +2011079832 +1477878366 +1960090572 +2009290965 +1856569092 +440704079 +483087737 +1047460896 +676168490 +443875748 +212964667 +407349090 +1013944766 +510873892 +83346055 +399425883 +1434036521 +1513896822 +539656534 +1020844425 +1378596747 +1850588717 +1773417469 +1189472694 +1330039269 +156394538 +690548143 +1001694932 +961978452 +1469840214 +2137250736 +825574636 +800234933 +1949857661 +687381953 +509320377 +243078092 +1170469691 +1556781273 +919246582 +1614345439 +1769745940 +1326595672 +480806557 +133136184 +1409941727 +880232440 +1567172705 +776354901 +1419888974 +440533482 +7468000 +1122994044 +66467303 +1196940695 +305549665 +222861841 +1887488838 +1307244597 +1184840294 +1209845405 +1297011686 +2010414930 +2010080338 +1099385699 +550313236 +371917067 +1342463791 +1720782927 +1928698340 +114226726 +1187644718 +1550960632 +1440822398 +1668451276 +1684096816 +703280478 +401200068 +1103785873 +1479635379 +1821089043 +1544319355 +1487103380 +796599439 +1610786658 +536560427 +1102149104 +1833648499 +276565617 +261910054 +871005145 +1486411022 +1558921740 +733936428 +1349007712 +510823791 +1284249664 +1720924779 +1853287582 +857548943 +1502139471 +1967514308 +2045193661 +905616455 +1260853059 +1566161289 +442229623 +1964133537 +1967361358 +1546015496 +1296285268 +1640966753 +942851203 +635905000 +290082544 +406154213 +1172465427 +1392231648 +92319065 +1449031045 +1654141702 +963324210 +787958419 +1065579794 +1697260638 +2136966132 +1576403585 +834026654 +1710407263 +1282207520 +1691575597 +1065063087 +1102238180 +1589285611 +1970679542 +215607591 +1007963252 +265425518 +32257480 +827840962 +1811441014 +1328542749 +321324067 +606808570 +1964447749 +611406611 +1012962783 +989429529 +2003638260 +1105281848 +290976926 +1510296314 +2068606059 +1078935345 +428392461 +1618383049 +1068417829 +2004796046 +304926056 +631341445 +1139519918 +1996501653 +1696404532 +94274451 +1438303616 +1519600426 +309882042 +298783221 +1785025944 +342139523 +1126624183 +1448983311 +1670682272 +1447948251 +2055791881 +1487646373 +2059354862 +921271016 +329592254 +1915509474 +2026552865 +620569180 +1278322141 +1947675276 +1699504526 +1706714602 +1418574677 +620438707 +1564027000 +1723500733 +1251780152 +556063271 +1572518739 +800701036 +650337722 +863338707 +172817815 +960219764 +1162121928 +1957843759 +1302359287 +141262464 +1259343422 +825557911 +1589210715 +1167651655 +165720637 +1501081929 +2088922672 +495312891 +1269107756 +1967991889 +1115882072 +399946249 +1768183517 +667902950 +2106660851 +1039274546 +1288341657 +1523204203 +615291632 +392638162 +2079267474 +40326723 +1193339198 +582121548 +903665430 +1366157013 +1542341313 +2065787359 +1176517125 +697216952 +59566175 +288376899 +1522774864 +1648776890 +1456028555 +1688495501 +1002375171 +1397467579 +36324744 +123999279 +1217975820 +1152206816 +523945528 +838675689 +1820109766 +483122731 +1877950235 +960967776 +2006326935 +345758219 +1353605938 +1938110761 +386084942 +399461488 +372748662 +1289750373 +1765618502 +1915089975 +1208054084 +794651979 +464823279 +1267620259 +1083028878 +1987598143 +768913501 +391573785 +1528609996 +1771288672 +1789041364 +1564934741 +1895287952 +859533536 +569657909 +271749832 +1698209225 +242284028 +754872564 +1428675813 +1203251804 +613715851 +1774434032 +409374094 +404342964 +13035327 +808835582 +777091626 +1302785700 +426970436 +544697953 +363356136 +1221622415 +1009521233 +1630976395 +157167646 +849635728 +252406248 +548741431 +230762077 +2023694920 +190299148 +1795696818 +1771499224 +1049832684 +217871079 +2043249057 +600558262 +460155107 +650637973 +2029234075 +1663406911 +1264353824 +1656184459 +2072781005 +1668696788 +1669219786 +734132940 +298304767 +824521838 +1161103376 +843002720 +1187877974 +235242144 +1852523953 +671370721 +392409790 +554676034 +923776969 +941151221 +785438111 +799988242 +1131450369 +433651281 +424003818 +33799406 +651522360 +319769227 +634357668 +1111677468 +970407200 +516108095 +627600731 +87277376 +24808906 +552898089 +1755974165 +1694028693 +1287031029 +2054278932 +371066883 +300650757 +749798004 +1558944858 +535892901 +454838310 +82831931 +928302691 +1009514344 +1006608901 +1869453913 +1794952455 +1806597143 +853420634 +81120088 +83117313 +887220040 +732642448 +402886541 +1521577708 +1844319916 +1373293741 +2037685803 +324437000 +1460571118 +2062494710 +877335089 +1069061635 +1609039755 +16882470 +975856919 +1980106638 +317533227 +1725654923 +1391567848 +853426129 +33009585 +1474399780 +1781728820 +1042523929 +333525033 +1503699085 +689992736 +2140122176 +209636072 +771112824 +75755841 +1096856112 +1503755273 +478642382 +470950173 +1200591541 +1851936124 +361152328 +1525028541 +1165023594 +276163390 +254879982 +86601581 +1885203145 +271762452 +1062458500 +1717826136 +589295680 +640629775 +961910336 +1442721809 +673639361 +288826468 +1076966981 +1716163290 +622351501 +433182419 +258672379 +614990029 +642818491 +1029785203 +690745871 +1739674603 +386056828 +1169388253 +63141128 +1586648370 +873840729 +424293457 +964193263 +2038864323 +700456847 +1219073246 +2125465904 +438176345 +1490835698 +1040440756 +8518833 +2080131378 +1681070532 +970429169 +1375369539 +207226245 +1259255638 +304852873 +1923389535 +1881607139 +738035292 +34578266 +349113521 +1380853783 +1064363470 +1039859392 +973044738 +1450420298 +61763997 +1036185867 +889585020 +935604727 +1460479324 +1853778284 +826985402 +13452523 +925367882 +804967659 +451628868 +268719932 +1845408415 +460147701 +201367663 +1378995299 +1430576871 +1576737202 +1586221544 +542348861 +1881590075 +1362127432 +276472352 +472141719 +1396705698 +625585873 +1852995502 +313585520 +1665445265 +678556593 +1764005819 +1727209263 +1714742460 +506107191 +515330342 +1027738136 +212401827 +1342315744 +1041190659 +1137769709 +2147283403 +1492819528 +1406489642 +1845208171 +1952967229 +1607857305 +1076719822 +1236060452 +1037110859 +515457719 +1778409313 +771217287 +1877585151 +2054881666 +1243359006 +1126807201 +532983891 +948870861 +1440392722 +50945509 +1627427454 +1056914893 +1778154772 +1194686266 +1563022084 +146001466 +74940754 +1775423912 +1488317210 +1116131413 +765709973 +1488116966 +461467293 +24715967 +1185841489 +266950875 +1632573272 +115077663 +1503011327 +522200484 +630535382 +1133936993 +1293417771 +360636885 +1041335011 +389293129 +1487444087 +1574318902 +1338163990 +780353161 +1625264411 +818107796 +1837268054 +1255935535 +2012794062 +1252806490 +1401937001 +2087734816 +880746754 +742770564 +1056382582 +1646456728 +83403882 +1517849875 +1671172695 +1269245371 +1784800750 +1156262320 +1384323034 +1140328430 +1678462804 +2014858417 +126781775 +824396927 +228011654 +1168116786 +1213690056 +1715455741 +594952040 +404370399 +348325254 +72732804 +1222478195 +38109660 +1328668339 +1087788610 +1290916151 +583121693 +1028039778 +24179257 +1325892257 +2084422360 +1670635985 +1409296139 +1454788588 +1194325033 +531057862 +1092105690 +203103705 +1915380896 +84950472 +1881566509 +1782755665 +211732247 +558479788 +2010767320 +1379849033 +1772169844 +1578739413 +1974801074 +29056595 +1927064668 +2047533878 +1251534791 +1965174328 +1228718569 +191839753 +1108606831 +1811840262 +1219879531 +1132786089 +990248871 +1156818244 +655938426 +252061362 +464123184 +1850263459 +783119224 +1556228874 +2053367164 +551016473 +1641179347 +1787450025 +186288490 +1852911594 +198446165 +49572162 +1085276980 +1970616010 +1628311576 +912594406 +1999672605 +1407892596 +812644636 +1103723748 +1225583276 +2041363205 +1295563501 +186706460 +1705719820 +367959385 +1319492549 +548485043 +1524777629 +1975430975 +800546406 +1988900813 +1678210787 +1583665630 +1397646039 +1584094303 +2134682103 +891341738 +1224060681 +173486946 +596769685 +1422506846 +223059108 +1682046665 +1245639208 +1851370684 +447157423 +1097828166 +1111779632 +1259802059 +54068266 +189879261 +1153681616 +1349631768 +376585721 +711917788 +1717591153 +1696078270 +1260402832 +1094885134 +1524025597 +2060949238 +936302299 +1054752736 +1497131220 +186464690 +491363392 +1484329676 +1077806429 +1715424073 +1657816622 +1674576114 +990447271 +1880875730 +1209139131 +88602832 +1584762767 +1656296554 +1186430998 +549058751 +768614965 +1240499264 +738938012 +1922296581 +442647384 +1115523733 +486730722 +12754889 +664118355 +1747133554 +1107640023 +40660305 +1660599144 +2043942322 +1095413041 +1010246716 +82923365 +1586776433 +347092744 +1160729794 +1154716858 +2004909366 +687822260 +2145164130 +1738301449 +1896961391 +86283314 +1175580568 +1405774297 +1272714312 +1724639319 +26905614 +365729928 +316093684 +1949202195 +808377313 +1431617417 +288449269 +821132202 +2095735773 +2035582823 +1928772226 +2136396078 +1548698319 +1825230900 +1084325471 +411461388 +1908154265 +523618257 +758554132 +921400411 +1678335115 +615979851 +1609222671 +1676015597 +206797652 +1358700414 +1762298911 +1382378220 +616991063 +887529575 +959533891 +643896677 +1253259504 +1275627575 +445615225 +2061636817 +559761345 +734064494 +735285371 +508013470 +622163670 +516573949 +496925900 +23378341 +194321202 +1581251371 +434839729 +2102475467 +2104869628 +1193393862 +876392231 +1635721096 +1809373713 +338131254 +1164253045 +2016171365 +1696831669 +779068309 +1251065937 +166339084 +1666597884 +63116180 +810235762 +772373740 +1338743756 +1255850987 +686526909 +1898505101 +1989915481 +1421812281 +259034923 +464595503 +1938386230 +755960823 +487973845 +2132707432 +189728546 +922813574 +2087699252 +147114527 +2116207436 +816607835 +1782835623 +1778097501 +1154739089 +799605020 +1646785218 +704087110 +1578673329 +750367507 +870426195 +1097787566 +813483688 +1680661957 +1870161306 +4743796 +789029296 +409204568 +1903248897 +631461129 +1831016849 +14800172 +1096056633 +1621919431 +770760995 +1584030478 +1607143216 +960489541 +359360404 +1547358820 +1107604068 +328084193 +216483007 +742956043 +2106181694 +1371222096 +1542561064 +1605483265 +2075309207 +973750745 +208367124 +798251754 +2071538311 +1021850812 +331430063 +1794215970 +1026594608 +1120459359 +55936890 +782359857 +1751920488 +1886953739 +797160029 +700493473 +1361389522 +1567921024 +137040303 +821049090 +380926918 +496400708 +220924262 +1488530986 +824484901 +437407269 +84003382 +783182947 +1808629366 +1626564446 +241182564 +1736454925 +452831543 +449549689 +387223031 +376886207 +1471400501 +718653094 +23618529 +350511462 +1839112453 +79555419 +1132871319 +1443549293 +1966509158 +1930031349 +2144042767 +1180415032 +1350468725 +133599422 +2001464123 +1731395643 +630000130 +74904737 +1072442982 +1454485031 +512312007 +1156446364 +90184331 +173457725 +635527162 +331366895 +1909912650 +1088358705 +780916584 +149652033 +1465244912 +104833438 +868305127 +1488863441 +455344900 +559933932 +1568418860 +1588216219 +2003483225 +1387444370 +1370763920 +2000042344 +420375755 +573748998 +2133641767 +274356230 +157660993 +616158249 +349260967 +1230103975 +2070643281 +861572974 +239066691 +13343964 +1035030699 +874593853 +344710859 +797459701 +1962952559 +1125627444 +947111734 +1280713823 +1230460882 +1815416861 +622093617 +1685805782 +227867145 +43028829 +1126538353 +83866723 +1430473200 +349818626 +2083909067 +1850848955 +923567624 +2070067186 +2125205185 +1081228617 +538741788 +326982504 +163848945 +461901421 +1188555479 +402915636 +475245385 +76102530 +1277509490 +819956244 +873562232 +1092978401 +1945583688 +1820673966 +226208576 +1028560922 +1488607180 +848302193 +566883056 +1716474325 +891331023 +1693421410 +1800341048 +174320575 +2043240036 +1736766468 +2025169530 +819324012 +1659350006 +2002891067 +1900552629 +50608146 +182389923 +2064401574 +512509567 +1370945402 +319833563 +987754952 +1447047933 +1597343053 +1807711197 +173126517 +542837806 +1605811237 +1993800483 +769046382 +486888512 +1334924015 +1617348576 +1053771568 +903914693 +361195951 +599709330 +556772093 +535516526 +495465718 +146054913 +413202408 +1314789730 +1805404920 +268609827 +1067858712 +1856013066 +450999750 +984776638 +221038986 +1821945153 +1304610201 +1208793938 +1121509438 +754469606 +869021487 +1294635955 +1297307412 +327349077 +1140952790 +2066353795 +814237589 +328393158 +1536218723 +1868009157 +1232307851 +1897414674 +320234840 +1789079944 +285447552 +815700558 +1935134858 +698649960 +2130490289 +1593056130 +967259787 +1050865353 +1301585548 +1418259537 +2035641991 +1522624534 +1092721042 +1192768545 +583934825 +66746832 +1947238151 +1452956312 +1361382787 +1097061916 +1780305389 +354851930 +1015932063 +447059330 +683245088 +404667138 +167584840 +1915552939 +154598164 +487819680 +1557149235 +440045716 +1303520238 +1344800445 +1138695676 +1286526879 +790372927 +2105955463 +189908584 +2091958476 +1376731352 +78066928 +1467099362 +321968747 +1270835473 +2051034187 +388715579 +1070589976 +1356506852 +1750098367 +20168244 +989328593 +2104950297 +1036100307 +1436387924 +640711737 +1440767445 +1603972764 +408781028 +1595365609 +2091792444 +1965930263 +2035411325 +1247829034 +1163247061 +1026623353 +386872266 +1953619988 +985095168 +576780850 +1898094816 +214342873 +654847778 +1217710531 +536311620 +1925683251 +1121261070 +925027199 +848789580 +330284274 +527641918 +868957824 +1319612868 +485108567 +1905058132 +608517144 +1125820304 +1198341929 +65006260 +1534601332 +646223891 +9315056 +1353047948 +534151568 +1257144090 +368811361 +1560774922 +1644016356 +174947701 +398386442 +73313559 +2073042518 +612729315 +728161337 +1143269401 +1149040935 +506360941 +117046823 +2074068135 +1355150521 +447331098 +454226405 +76624697 +1766943966 +939334973 +1981682829 +227977462 +2065155277 +1032541111 +292983722 +1452272962 +1678765002 +302298778 +657837262 +65432922 +1559442868 +1026648623 +1626207844 +1055975577 +1201596324 +2024594287 +1129289136 +1127155194 +489839954 +1857450473 +122940947 +1638880890 +216327766 +239987771 +1565465377 +1571478287 +687318869 +2019691782 +1648102985 +306779187 +811543107 +1482302166 +534756649 +729214737 +367359629 +827740371 +34004051 +2046124631 +1130039149 +691841313 +2111557554 +541998369 +1718489936 +1590281750 +1597973946 +772602612 +1467392389 +579779434 +1899757807 +1957232344 +289746260 +2022698754 +1448629586 +506074026 +115202877 +866611315 +2077552314 +802521746 +738819449 +1578171651 +1109300933 +1550362557 +912990169 +1644057582 +132093646 +1280349799 +324314305 +166097697 +1178990782 +1454353454 +857939010 +1143064688 +1996351824 +428945298 +585862791 +1446842122 +1201547910 +2053255180 +2026621557 +953822069 +1863003876 +168884169 +829037176 +1164149814 +674958195 +944240053 +2030761129 +605026861 +1746761800 +622096931 +35714864 +708579085 +24975840 +948705034 +205153020 +157069486 +81571185 +529467325 +323167183 +1260561967 +1983820780 +1181106193 +256143008 +1832688956 +1610051491 +842005799 +1132047430 +664115753 +747777331 +1011185339 +1617937823 +463297560 +1180069508 +299491351 +1627447374 +1855027704 +1243731404 +1510724856 +312570917 +843009556 +2132821787 +348285782 +1551588642 +10313979 +1296990816 +1756741662 +167383465 +1378562001 +138725339 +490550648 +491640320 +2122546119 +1671656841 +747783328 +1807751427 +1134224684 +1589789127 +792315210 +1798340437 +190082811 +1803500549 +1268794612 +653380371 +836086410 +1568285963 +133344097 +543630466 +664533720 +1644068953 +856201383 +1507543276 +1629407092 +1204487165 +911648270 +1639721071 +353994333 +520906284 +1807104536 +1732556334 +659631624 +150171536 +76713007 +634694095 +1821828377 +824496335 +294961875 +808569413 +266801815 +1087277085 +459426203 +456884626 +743293986 +1728220815 +1110264997 +1579380396 +1149023131 +1243609094 +2123010862 +1813556851 +740194400 +831728598 +1173616479 +222117844 +2036215763 +2085264750 +1861838916 +242726449 +458687386 +1521459804 +1975282783 +1118319010 +1671631341 +2051995790 +1753013106 +1345976070 +729008478 +2047974981 +7061836 +995810293 +987768418 +466488039 +1452694919 +1731062404 +47225206 +415476268 +1162959153 +1196248337 +1659085362 +1138486367 +862321540 +251796114 +1970214965 +2035938020 +473913959 +1858947081 +1973719122 +188269227 +2101673530 +284922860 +1709729031 +1929472665 +1403241871 +1233876724 +1833984808 +1008771329 +432369147 +415509638 +909262662 +439430983 +1411319931 +1897031080 +905919022 +716531202 +1480609836 +953144228 +1132007470 +496085341 +1908918 +643609184 +1634571709 +864230458 +895405299 +1457303026 +752684830 +1369319258 +1168766459 +578920304 +1557588485 +1122956341 +863843165 +1119833868 +904945359 +119601388 +206226945 +591446519 +1128372717 +638596092 +1006956157 +2037635379 +1078027075 +270792440 +1787182811 +1983946097 +987323642 +1120308999 +789606677 +2119331112 +1616394341 +791515595 +615456648 +1103482402 +1655746054 +1510861947 +413301780 +260947236 +732697557 +1582068240 +839867541 +142802394 +557540933 +1703710706 +1262636263 +1462486292 +1823312094 +1468863208 +2053932811 +804201163 +2107459300 +913405320 +694352894 +1038002727 +1184197760 +334052057 +874465176 +24037754 +1454361056 +1664071853 +2143368866 +923271749 +308103801 +611341867 +2026754151 +1963849855 +2122203814 +292572284 +77313443 +707417724 +1874640524 +917180984 +850220118 +284697809 +473408042 +2112856381 +1747184102 +149236488 +1434235941 +1653633265 +953437651 +1394211593 +419554938 +1647790545 +284730672 +1603752698 +1981842602 +1159195848 +1627790453 +1288720011 +675784054 +1623675671 +64508112 +983887855 +87533890 +2091262264 +800254062 +62254057 +236350900 +877567505 +769671781 +2110991424 +1794748490 +1619891899 +248205585 +120672884 +1585264633 +1995389687 +269909373 +872016926 +1501539305 +1223347024 +118744872 +1921094243 +723653922 +403475544 +1377363293 +558012876 +1562671393 +857670098 +1846732887 +90971799 +333862122 +1911241000 +1074859654 +421396012 +1855019616 +1875113716 +483650069 +2091370516 +605197573 +1253321850 +2054878292 +252462415 +725730102 +155600229 +373135300 +163511087 +3506269 +643044673 +1035528013 +1505045574 +1866391697 +1154272885 +1278656169 +442561971 +1557748430 +508535814 +1000574848 +972936175 +1366205913 +699824087 +1063907974 +1700068035 +463581439 +2138767628 +2121464047 +171117407 +1866397696 +457630469 +115004275 +324111621 +1710952319 +22398919 +576574037 +289198773 +177999149 +949709337 +452709860 +181505418 +1592754010 +1488237874 +1686550992 +1311662059 +495027111 +817723513 +1754224031 +2052775541 +1326259327 +607315231 +878228068 +544981592 +1307139318 +1942136042 +97565979 +1770720758 +1933420022 +71546379 +1941838165 +1652334070 +529176848 +2056842441 +1976445692 +92645519 +2079241360 +405536081 +381844293 +109756861 +1355245418 +834554153 +291262279 +800515780 +175308379 +1977813271 +2112177839 +670335491 +648053136 +1718918222 +575627384 +1974312464 +178749805 +1453855453 +371810408 +1485889124 +1248507847 +469376388 +1109126234 +1034444222 +540922767 +903480751 +539294644 +1070099615 +812839544 +368256688 +1162745134 +744597257 +773792769 +1544589427 +854354118 +2129038187 +231659933 +1145616398 +782070319 +406968312 +975946021 +746764511 +1077303803 +1623999158 +318199085 +1652931188 +1450827974 +496948891 +959302993 +1822638382 +1982838015 +60327192 +144531122 +944480601 +1094771414 +685453889 +1847961352 +1634066059 +1755553504 +513317249 +2002322747 +770814991 +1257914506 +628631869 +167920770 +2112268624 +610186408 +399580703 +1110401374 +1392256728 +806549016 +2086347396 +2139021239 +1883852819 +1562862906 +309736676 +1389300359 +866207232 +806685567 +201119704 +541361966 +642039934 +261446897 +685893089 +1586520535 +1356218311 +1371346978 +1286998240 +842800722 +979416835 +1800315489 +697639822 +1750231826 +910746347 +1326271691 +1918152596 +875531323 +1936458099 +170249652 +1985932698 +1181231179 +976798668 +1924796446 +1172768770 +713167839 +1340175704 +1482505447 +2102468199 +58899288 +141707366 +156104255 +600261254 +783747301 +417551152 +1286154343 +222784188 +1773769464 +510017674 +1509782428 +469086538 +1489434509 +1162614269 +1166726360 +1092182687 +2073360616 +345514403 +862851635 +801408292 +134488855 +1033101287 +639857342 +1315720034 +2009899955 +417170140 +341005157 +575584147 +1757345844 +1823510604 +530568698 +1816245132 +1965217970 +686672953 +269022738 +601481623 +1104224106 +1555177082 +824265812 +730509922 +2065194756 +186564592 +1199596460 +1407145617 +1349178862 +218839173 +351844656 +1275055830 +564353576 +1214696291 +2076464122 +698842431 +100313931 +568837816 +2014562466 +2110213886 +986007956 +208083975 +538314385 +595870152 +2031594579 +1068883083 +264631636 +1849328901 +1755556037 +533654375 +303326877 +712296495 +2088831457 +1127592689 +1442806417 +2006542565 +1314157281 +494919229 +1266204534 +515852495 +713758402 +1618049190 +1790908326 +1278111979 +685261833 +1719888800 +1976954410 +785575764 +141242969 +1844033228 +748306003 +1127250925 +2052117203 +1286620388 +1723121078 +1936228134 +208019824 +1987752714 +1638073388 +1963575861 +373923441 +1941400265 +528388708 +315271250 +921509306 +1971195125 +174330167 +88182939 +318630706 +1440534701 +604035435 +1032389109 +911100243 +247460113 +163017440 +1596362077 +1967348913 +2139971850 +234454193 +2108591882 +1836521431 +982760196 +1088359160 +1741154986 +121896937 +663996590 +1529899473 +329916761 +504265656 +1020489213 +146008974 +878189098 +814405830 +674397682 +1193460348 +1735915136 +498109159 +1367790516 +1824098075 +816739865 +660841569 +280649862 +1849128974 +1571941813 +528109975 +2012146414 +1020820242 +347975241 +2004634617 +1255274435 +309083475 +1693672400 +90550984 +1397442635 +1287343738 +212447921 +2061439225 +669759563 +542364682 +418221234 +1690248776 +688373656 +1296410332 +357170958 +1362771338 +342387032 +2093086094 +1860880497 +1710177548 +1769700522 +530136714 +223535470 +2050350384 +231782041 +1795477283 +430976712 +96444807 +668813877 +778951953 +2101079424 +1924088312 +1088035428 +1647268176 +2014639296 +337994416 +787128267 +79603569 +251949993 +1456887830 +621968251 +670171227 +999652959 +1310341907 +1966581559 +1356823917 +525629597 +161484944 +1302426364 +239026446 +1871662492 +924643238 +769163161 +2095197962 +827509974 +1000945202 +1743191597 +1258486686 +1097390009 +264521826 +2037438639 +1050985786 +41126491 +977990420 +550770314 +2055765787 +1315984836 +1337898581 +2135369357 +1567934829 +647302764 +609853960 +90622409 +1646955723 +1920195868 +2057203968 +856295992 +298341817 +71205264 +11238708 +537368264 +1942867757 +935881946 +1306531425 +1890582071 +1763391921 +159992979 +1486290021 +874394959 +1257382988 +1750811847 +764349951 +160885126 +1791938338 +1742340371 +711655441 +1700220478 +910841559 +2049554022 +1688106187 +331292740 +549373138 +150476499 +421915149 +48845213 +2070672367 +331635470 +905141206 +221530537 +402840734 +916379914 +758898801 +198224843 +1852261861 +2065430226 +2088806915 +1468170134 +77939557 +1427613288 +195081445 +1335322545 +1030941487 +959431396 +1496207672 +675396178 +554288119 +60379465 +228133008 +1465129678 +2109933487 +1916239195 +1796422419 +511822978 +2066715694 +70853920 +560668191 +1989904414 +402489390 +1465809397 +63951303 +805330125 +234705664 +822850104 +1003554968 +2086967525 +740796682 +944878235 +1407654011 +818736239 +225007875 +1602735456 +6575136 +1255949363 +414683205 +1502782808 +1931345541 +968971324 +1563162273 +11994901 +286617355 +1525612113 +1928234096 +2083039774 +2037435091 +1847466142 +6410046 +450619634 +1689886908 +408899437 +1916429032 +1753838211 +1214229562 +3651048 +429204667 +70300882 +2090618573 +1170001349 +1015179118 +1350788936 +1988737588 +1240186993 +806040744 +1995312725 +348652708 +1220723949 +1350611885 +132514601 +42211626 +766290511 +144509502 +328828981 +144418976 +2072743598 +264385107 +34370419 +1772726093 +270795153 +484990053 +1315129353 +679694590 +253935437 +921483917 +1893924152 +257586485 +1350688584 +1964225035 +200721410 +373206286 +831920505 +1551510346 +214460226 +2072107498 +210067443 +62289303 +273276559 +1430791392 +1412901189 +405791160 +1473003018 +31708052 +550300663 +1801831999 +176127028 +475560613 +2066217106 +210497447 +100803058 +189528612 +695487500 +1415932412 +869223202 +949422938 +189932681 +615663707 +1207009423 +1540621265 +432405094 +1407730834 +1913827551 +1264325599 +811757532 +2128287778 +1188949449 +1021824975 +43093433 +1462226008 +305132720 +1455994622 +1868017169 +1778135738 +1487702674 +270834184 +1432484090 +1663829702 +746394797 +1351217548 +1874327149 +847197856 +1540746160 +422331002 +115646620 +262485715 +1371753940 +305579301 +878149422 +431279715 +1846200566 +1310554516 +1839010549 +1612544470 +427396467 +503284434 +1593348600 +1616345916 +1525109409 +1636442033 +931088277 +1830242129 +944953008 +651621798 +1460894220 +285172034 +922455982 +745894662 +1949001737 +1668850779 +2097112210 +1675845238 +368564987 +1490374723 +2098176240 +484211607 +1752860438 +1322446532 +789790908 +483526212 +1753726248 +488507827 +1794080728 +1445253149 +2101052297 +73993547 +1948537583 +1546917249 +1690339463 +1326163345 +1035875634 +473944092 +1008921826 +1980828642 +1125565890 +322332398 +118517029 +2048021872 +1068227060 +2067518766 +1569389004 +1017855623 +1595880356 +1937953991 +360746698 +1546572949 +274681951 +2113607136 +721535833 +1064472859 +449649700 +327778433 +1552980686 +96246780 +1773031583 +1506549335 +170240327 +1574085518 +905982936 +1860579790 +752765215 +1941858571 +187040235 +1761687042 +1775203565 +1312606125 +2084019440 +1893720594 +1213144350 +1004762853 +1813755712 +635049706 +2022618476 +1262152421 +425520049 +235881526 +661241722 +700202000 +202005014 +1382777555 +1764674860 +651654714 +1710555989 +1170171898 +747901494 +1336103924 +529237586 +918141821 +762705794 +1435220522 +631237963 +1515471010 +1229595445 +818278198 +1129674404 +857315363 +2130884324 +1066210196 +603552309 +1196545026 +2070973049 +269824374 +1831594732 +1946107877 +1531976795 +109631133 +34505755 +45734869 +809833134 +236510769 +1428512424 +427024346 +888165483 +991584765 +1597196244 +1636066977 +180205041 +2126433830 +406725150 +942910836 +1414170705 +1037963114 +310898198 +496282502 +1856241312 +1440572602 +1353597865 +1839641988 +359299150 +1957150175 +888703366 +282788552 +79490901 +572814450 +81412781 +1611467696 +682445584 +115918537 +1657202565 +1492278718 +352429306 +938231341 +1919303064 +1240594790 +1929816107 +1369015660 +729178119 +2110021148 +1347965843 +1135903270 +905448336 +614652900 +26382736 +1216346534 +1110935402 +1882624048 +509435488 +317049620 +1574782389 +868734639 +126716147 +316002107 +1151523191 +206207048 +888816558 +1232935972 +1817674744 +1571262142 +1348854509 +1327393661 +916057212 +1701283816 +118141354 +687876628 +794394958 +2047957461 +2056892288 +1523573077 +2010494962 +1257374483 +511992699 +768459650 +1872027383 +538375435 +1984806185 +835479138 +273515836 +346758025 +1152528758 +1848298225 +1215492664 +1279244905 +16816684 +219532207 +1485451953 +905633242 +1452468180 +1155643049 +329411736 +653839041 +335553062 +1245468948 +207639209 +453694416 +1933345576 +1002034167 +354168230 +1842754217 +378123597 +217179544 +952645052 +890116296 +985639194 +677188788 +1428491732 +822961731 +1512667926 +1702007568 +1169719757 +517713036 +1402822145 +237728773 +1796957941 +1419638829 +457260981 +1134926246 +177788424 +1909729161 +143085647 +507200160 +416084554 +478638709 +1752669109 +623723764 +932333125 +1538531037 +1625757931 +1286501355 +1233801606 +2003881528 +1503680899 +38963011 +746514177 +341836446 +716151799 +27522261 +1164798177 +81336077 +1729529829 +187034286 +599049113 +984868326 +424763060 +248523406 +257023507 +882024041 +1383449652 +434811931 +644269554 +1526535299 +942012092 +1060354108 +2005174008 +547197553 +1684077872 +790023485 +2085728590 +1162352156 +2076524841 +1172046549 +1018750036 +1432722092 +1211009560 +1765264213 +1774558538 +1927161359 +1792786474 +791873068 +2008497436 +1374832655 +978907354 +460062901 +212217333 +1403670414 +708586307 +469240841 +138210807 +2092035959 +904052772 +782480361 +1471087610 +1846064864 +1842834470 +1328777970 +245778769 +1379428694 +2118801455 +184023712 +394297202 +2047842648 +1356070261 +1413047239 +1333081093 +419596173 +1030827804 +960155983 +199273884 +676130631 +1752029051 +60287672 +2050963286 +583452758 +520350573 +115696972 +1987123172 +1228936880 +584937813 +2125333980 +1173489191 +1488990585 +760330693 +497093153 +1187571802 +455681515 +1825871123 +1433350571 +1835110210 +1797188930 +1617374283 +81923764 +1697547931 +825960896 +1494971003 +883145376 +1245557069 +378315160 +1843301359 +1444830953 +1054445791 +1447846763 +1505118625 +957925429 +2031299521 +2025469198 +1073622401 +1870939045 +1106922430 +1658560214 +1848789377 +132927973 +1000067152 +461636423 +630021126 +40155306 +917317938 +308408601 +1473505877 +604944500 +2105597532 +943396513 +686868265 +1655661815 +1769357409 +34355620 +391323543 +867430831 +412670780 +87141254 +164778136 +1467116571 +1534988017 +1669896762 +277558353 +1418803890 +1547882312 +1351180754 +1142259288 +507321095 +862257321 +843565017 +640249068 +1862324473 +1305201440 +1270270195 +1902479779 +75035731 +1578678796 +1228502008 +679980231 +1536792680 +24414873 +1366848496 +1044970847 +1793772283 +1401204117 +1436294390 +513719466 +1813874897 +1523435645 +678497602 +1133507821 +910940014 +200910716 +1411066174 +182260257 +1748793029 +614763280 +1324519545 +108630476 +1477020601 +20600914 +748879544 +1191861426 +1325802355 +2019149739 +946857557 +1400838086 +1450344888 +27875918 +2080818317 +839653920 +52290791 +1300183166 +1884624768 +1846063074 +553903635 +1173435510 +212298892 +220294884 +549387507 +890796495 +1353802705 +1460327522 +1091707211 +617385231 +1642587779 +693016592 +1232148512 +819623676 +801647068 +561685465 +840224590 +1550526613 +1753546892 +18543297 +1422192704 +552920801 +1419381383 +725053944 +580796719 +1352716053 +1564707865 +633087511 +505415571 +1301848985 +331666937 +1059319206 +327800847 +543965830 +1279614090 +877188355 +1434762325 +485933148 +190032229 +378985888 +1103318379 +1832620008 +1072002481 +187983243 +504760036 +1873649549 +749668709 +1344984626 +1276692514 +355731953 +1363527924 +551401571 +908652754 +635425659 +1276455515 +1489449474 +1988141712 +693679732 +2122536985 +346073635 +1995528717 +306720274 +1405392841 +175845917 +850686104 +537523284 +1053034272 +137964781 +1023456432 +1243066501 +516950670 +2126774811 +928202861 +1588953151 +167274407 +1432962897 +1315119052 +916943116 +630463875 +444327919 +1272675069 +1993991799 +995729490 +33844175 +481933811 +124701357 +1523293649 +322591875 +818381090 +1498346986 +668665511 +666426159 +1805067261 +2074058352 +842272076 +508269717 +464097988 +1895306348 +646234499 +1487554420 +990889201 +1163185169 +1466845584 +1919092062 +604654672 +1634119991 +1204571311 +1919773724 +403579459 +1835035187 +216617995 +1676254528 +1681543338 +1212347485 +1710098703 +15993501 +1337048843 +1085908705 +338585377 +7946285 +436772043 +1007250888 +674372444 +94355656 +933825592 +1516644521 +602625374 +1397923581 +1264467221 +1248859873 +737994353 +107872775 +264561394 +57356289 +2026964837 +869216066 +1691476280 +1084052501 +641506142 +2095055739 +771604040 +858124138 +1623826619 +305663730 +2070471623 +1186441675 +321657232 +1260036818 +124866732 +660242609 +1267983103 +561638775 +1667493497 +1942355548 +655994432 +453835441 +1311516421 +1258619806 +1851759022 +428499994 +359996031 +442269728 +536372769 +624557425 +499626017 +415853959 +1493773491 +43618650 +1499906460 +2135279633 +2138674389 +124026852 +845920123 +1615017361 +429690582 +768908099 +653975388 +751347814 +2028944917 +778842120 +1411590423 +1149444373 +1340480895 +931600272 +944316273 +1996475327 +1385435714 +108349046 +1107611485 +1089711088 +536849040 +1467607516 +1531980816 +1073221810 +2092164941 +2031606834 +1489075769 +1438454784 +2075225484 +841498581 +1426250770 +2066416225 +965525433 +124687245 +1533949938 +1395216015 +893595344 +40441678 +2146563830 +775056614 +819283798 +1410670605 +1924500987 +12281046 +194787230 +721333612 +2008756373 +1580222944 +829682658 +968884211 +522450384 +1366531698 +289008079 +2054431201 +292269860 +233689373 +1938554387 +1781345629 +1672144157 +1866296223 +475360562 +950911279 +1785228800 +1440885995 +1075598525 +1171695091 +688618363 +1969193869 +1212136769 +687698545 +596766835 +2031420568 +2098369150 +373784174 +2043701614 +145672732 +1095117786 +1904974339 +1725895676 +1924800444 +726374902 +100862413 +1143848495 +1015382982 +7809966 +1436118355 +1249072355 +1946364353 +1069980337 +773732864 +1665176928 +1545340899 +1724644144 +1302922080 +838743247 +652759021 +327133523 +1527361610 +474469242 +1539270293 +67576507 +1071236078 +1423207213 +18462009 +1445020252 +1319425179 +164134742 +392654391 +1076915870 +1890030418 +169971187 +1803290773 +1990892831 +1313819682 +671190107 +1998702797 +602454390 +1920262462 +1797583502 +1672434727 +546511678 +1315276782 +1070291978 +123672174 +470715215 +1909035225 +776431195 +797848738 +1288913187 +1250900438 +189635383 +1356489694 +174652868 +1612842596 +1374951704 +1619673120 +784784127 +1539086446 +2012327511 +1861699998 +1281633216 +34815051 +1517507123 +1125042400 +1348634733 +41213582 +976261549 +1951089123 +1961476044 +626361404 +1476040202 +360504074 +1941638186 +398848533 +484176249 +264869753 +160400110 +1260607444 +1062718492 +1449313298 +364024234 +1252353875 +658319344 +538677102 +717712824 +2033271048 +10866575 +1502496951 +1424873846 +2023194086 +1216713301 +559023415 +2058009137 +586736776 +1684065815 +1259160223 +627950358 +512843716 +1062765698 +441942754 +1139205120 +391322253 +802446829 +933359659 +790170786 +1286623078 +1198229412 +950570896 +399746874 +113464256 +252400546 +763771109 +1365818132 +910719891 +1302448211 +2083530956 +796507291 +1313314786 +1438544259 +73897490 +1189025225 +507773913 +632920905 +1099550714 +1094510689 +169503072 +211227289 +1722461048 +682346788 +1273992988 +16920154 +1821551909 +1665315241 +819366983 +607427920 +308002379 +2105990061 +1805657332 +1258573275 +358253288 +1919121589 +1510973822 +1122024397 +1137456073 +274210065 +276988960 +1073503381 +1070717356 +1590303747 +364563992 +1144614846 +631845324 +872337905 +1777535751 +1731396038 +1966848595 +1947038823 +1942623328 +1541825995 +481901964 +1069132668 +1558746149 +155970225 +586964261 +230629485 +763398145 +894966640 +189135898 +421571829 +6056267 +547389186 +193209770 +1517030089 +1669413583 +1330665843 +1791240154 +1946402544 +256685576 +714473863 +1389222643 +621249569 +1859088709 +2021067967 +1493587474 +1489140813 +1604980357 +1312952421 +1288695988 +1400120037 +707294768 +1770597952 +321769057 +118557270 +1926568177 +908733318 +349186755 +542482674 +1803699958 +538322653 +964054504 +1809756226 +1085711840 +1157264274 +1179302667 +607641775 +340446470 +823059174 +406560671 +597132046 +1537533037 +1795783314 +1218381615 +1249138098 +1669367633 +564485442 +590795263 +1126864343 +1877437863 +1879491252 +379500732 +437248984 +1502605556 +701269790 +555806254 +1281690086 +1610003108 +904993009 +1824172760 +1266219419 +1443315662 +640743616 +928491997 +381543854 +1798007891 +2107794664 +989185630 +2138454361 +783370190 +1395746301 +588102759 +173419579 +1044045968 +1806484375 +1422557678 +565929953 +223486169 +2013352941 +1692794296 +2100924032 +1745360545 +2072295029 +390689368 +1100482454 +626081171 +946495622 +234688892 +88600631 +1851488631 +2058861652 +1354820050 +1147320646 +552121621 +135828399 +1528864500 +202645864 +96139416 +370566482 +193616577 +879509606 +1766312784 +781719336 +1052929186 +662875104 +440720063 +328003216 +1228805057 +664206232 +193872509 +774115706 +617646617 +1939233055 +698927087 +1008335985 +892231861 +1325008258 +1954831608 +1126920753 +1413608889 +1658836591 +1038298757 +620945292 +658673589 +1590420378 +756773691 +40054442 +1793066242 +852913107 +410620924 +1986682819 +1732422714 +29450060 +620918508 +637868252 +692325164 +1061638571 +965871468 +1921130222 +1725844804 +1159743977 +547762280 +196007773 +951493384 +1246689367 +1204343758 +1843725245 +424213977 +1011691718 +823162350 +1837822866 +523044662 +1861461108 +311284510 +1181718251 +1304397838 +1068058202 +1221772693 +949980433 +1920971309 +1632393618 +789179604 +1505910375 +1661843678 +1410098112 +2143778627 +206685195 +324253036 +962166447 +2127815417 +2050097840 +2121910425 +528094049 +98621965 +925920161 +1774783416 +1302965723 +622161759 +51513745 +167173794 +1445324109 +1889336611 +690218456 +1159301569 +53137474 +1871936707 +316215760 +1121195676 +946225753 +1266196193 +894683337 +431135723 +2055375797 +253110065 +2092979401 +1317990262 +249405044 +152180948 +1642243298 +1211571492 +132512717 +1544857490 +1185998269 +660606766 +1643479455 +2111918430 +287906534 +798961530 +586596541 +339420279 +966135324 +2031920651 +81273243 +1656353780 +1043738572 +134410717 +1380806840 +1359954332 +1255606393 +179548945 +478666877 +2806082 +610684668 +386559027 +255916147 +556180421 +1704549289 +505321192 +708361370 +1199308939 +1716892684 +840874087 +596682781 +755407305 +1501480854 +92678588 +719842087 +1789387388 +891640118 +1306438629 +2128807668 +1857775443 +1190875632 +62597263 +1366645575 +87130556 +197007980 +599968767 +1447084889 +1452614373 +779517712 +1925751766 +1455420455 +1390202380 +164827145 +1711336603 +1946382802 +1869376434 +69174147 +507260524 +921201725 +1786066831 +1348134611 +1517884506 +393990488 +702131817 +1610563094 +1113832575 +344035558 +354719565 +272787556 +325359578 +65011360 +1463663188 +387956841 +1431656935 +1550793745 +584964821 +2031625703 +850394986 +2037579194 +663659767 +628663104 +1345516001 +2053862148 +793490250 +909368956 +1852761302 +515383036 +978543103 +212538178 +1436584762 +617126286 +1560672789 +806985620 +1011116774 +115320959 +270065067 +2124949350 +459356517 +624784632 +250253258 +784716095 +689795992 +1713916447 +1172672936 +2121452927 +1117226544 +1757637757 +2005594982 +1967621530 +1647733303 +521771102 +448800986 +845765656 +428149602 +1242291236 +1755134613 +133427256 +1757674273 +586194068 +345965434 +1046775387 +1203320355 +1906638223 +1853761007 +66953481 +2021959182 +2123826074 +44419183 +333832051 +601127058 +294672442 +1118548146 +1290923050 +2008588889 +143737434 +1264892330 +978331785 +1901375191 +1123003664 +798469667 +1401624846 +1644774766 +1247270653 +99906855 +2072924368 +342078242 +1855041468 +58867976 +2099752515 +293751888 +404833410 +999044254 +1497072243 +163987986 +705321613 +1564025725 +38463520 +681664040 +1608444908 +372295572 +1282791098 +1903117350 +1490843718 +426230501 +1764222591 +1634581153 +1691122831 +595070728 +1388472696 +666642847 +1393540395 +642613895 +163933966 +493327401 +742520750 +89374686 +835405643 +450078570 +148242663 +787674510 +743830458 +553076073 +1786718764 +93419054 +717064059 +344556729 +1657444779 +755527580 +1026220769 +1118406039 +1127823152 +161528220 +874039742 +471183222 +587758721 +490778685 +2105764375 +131397904 +1085849414 +1346753424 +798040751 +331906161 +1989367319 +961974717 +825233562 +584404421 +1051349404 +1660639205 +1034482991 +1199592067 +300830067 +1778313449 +1752668140 +2087548831 +1871732503 +322248552 +284621913 +1381693634 +1077776132 +1310842682 +352616026 +58115636 +1472370902 +1226655768 +529298858 +2060129623 +1717434453 +487579586 +44043879 +655800219 +1834333010 +842084631 +987706381 +1676216681 +1804059348 +1812939943 +113137454 +707925104 +1326095501 +1147620445 +1907517171 +1626925568 +778450246 +1512701664 +1566990752 +502699102 +1834950216 +1851612665 +1884392736 +765242700 +1014971699 +89525114 +823358336 +339858954 +1316180882 +1352657194 +252504929 +886131688 +1840236780 +296548809 +1541931907 +1527086142 +1138633440 +382154640 +1055819175 +795209140 +47610936 +1168956629 +1503134245 +1373706437 +169093426 +1263167768 +853148357 +947543673 +628385784 +272655461 +1450242775 +315852352 +2124268126 +1187151863 +1081095052 +991756178 +1276676978 +1904453388 +1331615132 +445374212 +1109626935 +1584120061 +1331505900 +802380067 +1880668870 +725954160 +181982562 +871818662 +1108108800 +1237801737 +1667027803 +1155719736 +259274719 +1022678400 +381942525 +428368145 +138362520 +1235090883 +1375911818 +766748305 +1507746344 +678670945 +1082600657 +1484530823 +1865822809 +16212062 +328803353 +995016139 +1920665450 +1660418485 +1440390351 +882808737 +1097054898 +624412604 +1685188805 +830240121 +1350366764 +1867171367 +1702058783 +310991916 +957489456 +1221602938 +1466711653 +1216764175 +96797690 +1848654178 +1645132321 +235160211 +936261413 +873560491 +1001908516 +296524110 +1552231437 +2084509173 +1781054933 +1270570598 +2100721235 +2109858286 +118103089 +1873903038 +1622793123 +1558493440 +609228127 +572364373 +35422396 +146933284 +1402604494 +1385789160 +2014104651 +957179630 +1696781077 +824110460 +31298920 +1016009082 +2040874635 +128096611 +717179612 +1538523308 +363256822 +1653441026 +264600152 +1365165338 +1949965136 +1816831589 +1302190863 +1583536421 +939918539 +1255428451 +1545911059 +1058021628 +981847841 +1021220534 +469031420 +1591075968 +1593584907 +504453817 +1738009253 +848705754 +1890242977 +1604630256 +1805885384 +1439540406 +281257068 +1837184304 +308065840 +174648056 +1965280915 +1025245453 +1713171364 +181054089 +531202831 +1977771516 +1546219427 +333684319 +1647119457 +700926643 +1917220740 +439554348 +1956355094 +1315648151 +1497575976 +790719287 +189385037 +1966607397 +234311607 +1782969944 +323577566 +1972320860 +484192050 +66336895 +1429467469 +142593786 +1505877302 +1710724537 +1979778091 +1813943142 +1885372593 +1797575358 +691704947 +1451060310 +1978629448 +1222907778 +1281348178 +1377365227 +1556592097 +780983988 +2078291870 +1326329189 +1220538336 +1887163316 +494493692 +570630665 +530398955 +683878729 +389754414 +764710563 +319365026 +713331980 +589547775 +803557076 +779668875 +2019015244 +946150863 +138062529 +1582256134 +778445306 +1952005672 +1320145079 +428537016 +496226971 +623721741 +259682816 +1719134750 +1905069920 +1637048044 +1128243199 +538570260 +1567856266 +307088741 +1759108596 +1307535935 +801582433 +182255613 +1837934890 +1485461163 +572010027 +455161805 +1804826189 +1285342007 +1044709581 +460899617 +2065010883 +916241177 +1407050480 +55589764 +351013663 +38012138 +2007595436 +1671158743 +466549155 +356338760 +147396836 +726231971 +2075473510 +2052466756 +215796367 +1056233061 +443553368 +1783652634 +1363321802 +55178317 +943704921 +17420588 +237433930 +634156163 +1502881751 +809443958 +1089317969 +1160224292 +2094785965 +2134027550 +1621123909 +2012313200 +902785079 +880690742 +2067902965 +1253798743 +918702880 +1928014753 +777473838 +1385252035 +136869865 +924870674 +2111484007 +64859727 +829853783 +179796726 +1121092789 +1273407151 +1963449360 +336930943 +1328585468 +759670633 +354351531 +1566019399 +1393826797 +1857233282 +227979709 +335661118 +869973926 +175282026 +322205020 +343614188 +40111579 +1224990099 +1224304930 +2108014544 +331305194 +2143007810 +1888545649 +1108779032 +1380776198 +2025415515 +2033649707 +1344776557 +2090275242 +716019842 +1524573283 +1063884383 +1989426993 +1340538996 +1400815327 +1170528814 +2100209629 +1755166858 +589064565 +1346552778 +1464916493 +817044274 +1682213896 +187406771 +992326300 +2004418916 +531020959 +1032437879 +1081925368 +1755325889 +992968775 +1413230562 +1750850052 +734030777 +374525947 +984142602 +611962644 +260692006 +181435511 +554754238 +976711848 +1706008794 +1618638622 +818655193 +899064142 +871970301 +1989184007 +851790124 +479653511 +430764924 +50859254 +1944570004 +1247809198 +1733073151 +2131976776 +92651851 +1590008419 +515514087 +1125089730 +524450139 +123356329 +2118058506 +1937680702 +1874206381 +704605635 +164723001 +710865335 +1316568279 +425415007 +892300846 +1871322517 +1402126855 +450825992 +1342477491 +73298400 +1349890135 +66964144 +2062482408 +54196611 +546617656 +345763684 +105055865 +343704012 +1593572883 +1838129016 +328197140 +1686224734 +1280653788 +843711228 +663830816 +1805103927 +967067557 +634405674 +1595300981 +693790290 +1339011309 +1760023982 +1404655625 +508095940 +37955341 +149472823 +231934810 +1440082196 +600298815 +1574412301 +1513380597 +1950188950 +1641376446 +1428379357 +2004385561 +40510454 +1774143041 +2109441427 +384214466 +1220232276 +1800086795 +712411607 +758973362 +933256935 +1556122835 +1422804179 +590877215 +375706744 +2057209853 +38694548 +1069497034 +1248737515 +1798718531 +326669011 +1756833455 +1836673872 +476141834 +1988768265 +1129272421 +1076440649 +1415696919 +495169370 +879145952 +909589717 +1923548727 +736047865 +950100171 +1550208120 +698005644 +1334314637 +622956749 +350608792 +2046726244 +1381930111 +1283865727 +1455365431 +657250642 +1874742942 +1831072175 +566976848 +1913437491 +753085561 +1815714363 +1564672374 +1079754572 +1425064170 +1253862598 +1555896406 +1266348788 +235651371 +484853408 +534562059 +730820741 +1363999360 +1444151776 +506885820 +2100047225 +246768299 +2057093941 +650569222 +1581082936 +532567042 +1001178014 +1480325533 +1914497153 +137560093 +788207316 +424264148 +2012303036 +471795844 +991240996 +1778256879 +1224881405 +659471711 +1195445605 +157152330 +2084535881 +301824555 +1713048736 +1203401021 +537475927 +50418496 +1737963080 +1268296668 +1414417856 +1034631208 +1775182489 +1366981434 +1281399507 +1684792782 +2017550656 +714998796 +69876176 +871245022 +47840681 +1984373329 +1008805115 +836047997 +261153829 +873624503 +1307843841 +1252394825 +504397734 +385241599 +1911866536 +1699843339 +542393929 +1848918770 +2001667895 +107959017 +904836143 +391660174 +158377514 +495315576 +1659956842 +1572795370 +1529946784 +1287655683 +792293156 +663862644 +824964817 +662360164 +1378861440 +894840993 +1533605186 +1426702121 +731730675 +394926654 +115266470 +992884504 +1268551157 +1423110312 +97795682 +1772948892 +1808351911 +2009662218 +1325308583 +203262192 +1711097340 +1179492830 +311221209 +468449836 +1571153004 +469598723 +963765412 +1083626199 +2042394094 +346228548 +223798234 +687203602 +1010091192 +1048763052 +1349563767 +241468984 +1943604045 +735685305 +1668171105 +527851072 +1130611959 +1783437576 +1520735577 +251679469 +1059064240 +1618531259 +2024628361 +719932503 +1480709829 +1202453296 +923194695 +1044323522 +234462479 +1234415904 +1512773358 +1805615483 +1704014628 +329055122 +741758034 +1598925074 +675283670 +965556269 +138645028 +1685374863 +2014319321 +1488208795 +1926843847 +1810439718 +76410453 +1447531305 +190807143 +1207022412 +1083485233 +1711542720 +1458701881 +2142549473 +1182590331 +1335846594 +714998328 +515816512 +390816243 +1638193023 +1560140034 +625278722 +725125279 +925429744 +283410557 +281656259 +1254484866 +1025168592 +1880581333 +1929768537 +1990724861 +2019226362 +1467659752 +1857560534 +1359951509 +1247019951 +1520516604 +1436361962 +547067608 +1711323747 +495900727 +1630552841 +1275382819 +1954602608 +1625618666 +310489502 +1142965555 +193133346 +826306015 +1533781798 +1831326369 +238962401 +11576872 +408968001 +1164392146 +294987429 +690624260 +271393364 +1320156021 +423721946 +53678253 +1163397234 +295464660 +1521338005 +873474120 +1655416169 +620874309 +246507077 +944294484 +1167941917 +1957830824 +1440195211 +651011111 +1085729996 +1247314171 +129146129 +1396219498 +242796078 +322279476 +75041865 +1776577876 +6122197 +314004267 +1788154748 +415090198 +1478396413 +2083142178 +1105714459 +1749789777 +1255814551 +1529436405 +1803468031 +271728138 +1824901065 +1177322388 +1145202258 +1332833586 +1798196697 +1391709335 +129644422 +818654967 +1202056512 +1569839633 +1469666078 +140302860 +669670157 +1598812207 +1536522358 +912466235 +1921091683 +1611564224 +541560464 +1927213881 +1925568491 +182231564 +194820431 +1256481256 +117890094 +1300534890 +858787385 +1373704646 +682487647 +514771768 +1645432784 +359905064 +1692094157 +643151394 +1692738651 +1342807206 +2034860730 +1822383073 +13978525 +1089433594 +1244739059 +1483644603 +1229736454 +1914409216 +934973163 +618775164 +679391803 +708581198 +82855740 +1220952267 +488311431 +2008424231 +1403183832 +683131863 +1117421839 +1521073926 +1983666753 +1976209225 +747294924 +518670753 +343497345 +245244060 +878575817 +2035591502 +888395455 +423830820 +1230915061 +775772537 +98730246 +1244893586 +1865206131 +1343469305 +581054542 +947458937 +1110394873 +1516027705 +1566234101 +1789786676 +77125255 +1649089842 +863255296 +565436687 +1510030425 +118955480 +1248568550 +479968617 +1640029406 +1084751655 +308694194 +239840683 +1603422408 +652191539 +485084743 +334514578 +540299394 +1373480198 +758345398 +1771214455 +1769087 +857075644 +868624393 +1866975218 +53061301 +1449678935 +666950507 +1163456174 +818222992 +85700961 +805759203 +895348248 +1734790803 +1669014499 +1460784935 +1097337580 +1787969979 +561869837 +1577306197 +1280515737 +1646621492 +1886000391 +1520356420 +1102560253 +390708283 +2005441164 +1437074831 +931007677 +1231437714 +47936581 +554738484 +1233206802 +905012226 +1423362877 +952698372 +958073527 +725558165 +1619648880 +2121529702 +1543781157 +1705349841 +779805257 +291645757 +1292656996 +301336108 +1752430692 +242510928 +2089306087 +166816881 +1819817126 +1222338176 +1813438374 +1558333869 +595210949 +768514979 +1949042152 +453168465 +58106162 +732566181 +1684606179 +106042743 +1287304665 +770329333 +1011054969 +563183895 +1723027706 +1969128497 +1288742060 +1195192938 +1943174551 +685039569 +753059131 +575496160 +976685327 +2045716127 +876832268 +581632371 +140743407 +818654707 +748449253 +1960560533 +2040992883 +414403979 +1371410755 +488720184 +1182918958 +1172969259 +941888649 +1241025120 +1905535441 +479011181 +1347067863 +1045356458 +1249340514 +210639185 +1608540353 +824884572 +32284034 +749798765 +2020077510 +1975458585 +1434838335 +625652993 +403471097 +264040014 +523885472 +1280303365 +845672385 +664628880 +2098958072 +1594121638 +477705765 +1992467307 +2008525617 +1849116520 +333703844 +1043960927 +874602132 +1275592493 +137502399 +632653925 +1754603674 +1484570263 +1678010383 +856460541 +1695209448 +1139067089 +1681345113 +1727493482 +1888865854 +1553938976 +1555468419 +1176220541 +32108321 +1958939516 +1440260555 +555993794 +1091759233 +138449293 +1220622674 +1043233657 +1732570931 +1698328439 +888217316 +1593612901 +1399961312 +1221921160 +490090180 +127079796 +350030006 +627592580 +759733721 +2104633680 +2112162843 +290260456 +813610573 +1659888643 +1429327545 +347472039 +1239898477 +1170709752 +1901411015 +647883248 +199446645 +1933519336 +459339116 +1639707201 +342029482 +1551098349 +1778156494 +1562652156 +446848358 +1363243777 +1113496948 +1335065674 +809373030 +365974612 +409503187 +1299463211 +493054408 +759533193 +1927055791 +1252788129 +716683225 +1891734986 +1543048585 +1530293799 +1404139981 +824892483 +1877765838 +496554810 +1995602235 +1631693205 +1144438058 +47565232 +1417728893 +1603777174 +1687272433 +1759758376 +1007391875 +1317945279 +1174926884 +1454240233 +533705409 +140940184 +641822259 +1343078439 +506914796 +1051325446 +495058002 +999969204 +1810858639 +274630145 +105273685 +380058217 +18881483 +1648322271 +1910352016 +1423021464 +325731106 +1640634206 +1919576274 +173849693 +1124843763 +916530684 +221414925 +395089008 +372824210 +1908687359 +7363736 +1380216085 +1079148990 +1182290621 +686972670 +1612854399 +1323230805 +1328794930 +808449191 +1830145602 +232636728 +1303507193 +682631158 +2043495368 +1578137339 +787904844 +276069937 +1597018822 +288743467 +38938305 +872556639 +614474573 +1679572511 +644649265 +788324266 +656932626 +1561179950 +1009739191 +1052021634 +1934004160 +770942902 +1059385371 +1166736598 +1850091893 +94192344 +1853709268 +1315462644 +1417423149 +1035020550 +2123911835 +1100085103 +1267657279 +1279935381 +1782716262 +1163668999 +710589072 +423137458 +1439738936 +160124246 +711880925 +1478677241 +1032680885 +1326355498 +1010766104 +1677330151 +2114679764 +1667698730 +1091026453 +976935307 +572236716 +877546965 +1747878210 +1631622087 +2044283563 +1450486455 +1725814431 +1750509184 +618465451 +995753933 +638046086 +594893639 +2095839036 +1905703365 +1874829020 +1731071650 +921888716 +437934444 +6725460 +214144004 +598058690 +718606385 +1692821245 +1630739576 +2044961883 +556103701 +1160586079 +2012157999 +76318783 +104128884 +841609659 +648555500 +981675849 +442004221 +132693939 +878475765 +1892490676 +1858508371 +481501301 +363472479 +706778656 +1119547387 +958366118 +655134044 +877767105 +685711490 +238722047 +1799655821 +1123645934 +245447507 +2013799826 +1721704625 +964053893 +1559137423 +1204960553 +861532128 +2115241125 +218062984 +726206480 +44076260 +322191868 +1567816139 +692631760 +1303867717 +2009820360 +825325700 +34859834 +1754827388 +536350423 +516361135 +2118299867 +1243129079 +1635908523 +929182338 +1898263123 +366191980 +1614893828 +2136985170 +18364153 +591056115 +234949030 +2032163979 +165277092 +1199002923 +1443817755 +1370237645 +2060535051 +1411575232 +1588300629 +639257883 +1455651492 +1910492497 +59590374 +799605 +1066876566 +2069410734 +826125305 +1101736401 +1676754474 +1362475728 +1618097536 +1647570694 +458121159 +1106522411 +429269384 +208900634 +1472714391 +2044163212 +198402157 +1491078545 +487735679 +433351187 +1375758876 +653012771 +1632354110 +672092983 +2023250416 +1545405513 +2083668215 +1464067397 +37179749 +1391836060 +1227076246 +96770123 +1392635665 +146469165 +18697210 +71277322 +1248205566 +1695451684 +1433753050 +718819454 +1195538730 +1891874209 +1825341866 +1624808114 +2100774843 +1150572609 +1521487679 +151693352 +494167506 +2009223358 +585044539 +1869926383 +514752482 +69915001 +394535718 +390519250 +1615320515 +330720286 +1854586648 +1652500264 +1722556346 +934179246 +1749270387 +967708363 +1080648411 +1767967597 +1038985685 +181370329 +1315935634 +325255087 +900189784 +363990716 +69645648 +578048002 +1988798831 +22936843 +1728620611 +1362802862 +174630196 +75304470 +1224542572 +759674735 +1945230853 +1739295054 +829589737 +192282923 +2129814305 +297426604 +523003209 +1836917305 +1949926868 +98075907 +623612903 +1551713607 +1065784270 +1704261315 +1172197557 +2104769955 +1885631644 +340649543 +282541394 +638337780 +704640259 +352187042 +1216385782 +545955442 +375123886 +797522746 +1908758304 +549754082 +872827216 +985817229 +1309428817 +670574421 +577628635 +2139018554 +862857344 +559959292 +288961510 +1385860554 +249392949 +91404730 +1483936461 +873005853 +1643118338 +402237084 +429783520 +667832247 +359523391 +167931516 +1008481790 +642064786 +806269297 +1713122049 +994251828 +2022655079 +111593844 +1369375714 +672694177 +2020352148 +1919129796 +1545521393 +858685729 +1081074966 +68612166 +1436314365 +1072609872 +931469511 +1996273657 +1361571383 +169846417 +98182959 +1452976113 +1653782878 +971188812 +948610803 +2056019962 +1400972332 +1616443050 +268059706 +1568903848 +477441192 +910124492 +227689497 +43079594 +1904376320 +102860929 +154673438 +1126268387 +775555106 +27541938 +897914535 +173592852 +886227668 +1978989501 +242205018 +175058385 +904115726 +1173674529 +23848394 +118203461 +1343520946 +122031353 +1571179574 +849820177 +1093220165 +372306730 +758356491 +346708849 +1988749780 +1026416197 +1915612698 +318707325 +1936540689 +2143302195 +361786919 +1693433362 +98679476 +516460357 +672218101 +874234583 +544002295 +1570132636 +1047827435 +1430229963 +1401638490 +1290032453 +1605288348 +158270568 +316223335 +1629136743 +276474029 +1659744281 +1751168096 +1847653603 +362080810 +696904614 +72476685 +1120437302 +1043613463 +2061226466 +2146853499 +811742513 +232450143 +1935910541 +807561061 +594237062 +1481860255 +906240537 +1110697419 +6594708 +1780475120 +1654699714 +1576727344 +680818907 +937446030 +830882186 +1970851361 +395250730 +989152754 +139591048 +2024387473 +1265626783 +1799335329 +1628071922 +965796739 +13932492 +177492888 +1038273424 +1134369794 +1221106351 +952016242 +1133739645 +2032848865 +1184466385 +922166538 +692926278 +1778703447 +256543145 +1599166815 +741917218 +263137853 +1232158288 +249133285 +1839865198 +1912977195 +1186579315 +523263736 +1736344908 +1581830045 +1512416491 +1875935956 +1458733871 +630559626 +1527787638 +939322145 +1596356365 +1541720130 +1116815033 +487146142 +528606276 +190437736 +1439162384 +1662345921 +75802953 +476145122 +437028812 +768729231 +107364921 +693571957 +220412399 +849282140 +956709811 +1452570687 +1098415425 +649091361 +1218064234 +137511092 +1172355097 +806925495 +1719341137 +537287940 +535377803 +1030591360 +1167847567 +2063165441 +1969913505 +616720284 +1457401923 +939244890 +1103866426 +1986008199 +1129682627 +395545163 +1500870473 +1205485580 +871690285 +1937899285 +1974214812 +979055206 +483987594 +47143563 +1828337346 +1440697405 +1499714250 +779269123 +2089788766 +570294836 +916780215 +1114660216 +1377220331 +488637705 +1651948156 +1912598135 +1519229065 +672312075 +1828279928 +1341658923 +1289032360 +1138198204 +133420165 +245415138 +976722755 +1263102792 +640960301 +330109580 +321104725 +1512650586 +120525217 +147835889 +344222145 +604512812 +194979452 +25075843 +2045210217 +1694693702 +804344967 +1987515336 +117504890 +1721125182 +954691904 +1494725222 +62279239 +459156412 +1259839709 +1581508305 +1131468488 +940635989 +775683580 +273017200 +2078834193 +909103745 +518432338 +908073301 +24722890 +1159392640 +1238182881 +345827615 +524559578 +1358708099 +493663504 +868781723 +1963220911 +688642956 +893857567 +1860947480 +235853010 +1698202534 +1700979168 +353357900 +1271844068 +508187424 +1848083122 +1334123308 +967343837 +960439183 +768147965 +2098812325 +1901075173 +1543831545 +224345877 +1832425718 +305451642 +742778215 +593015371 +330174532 +1902170855 +1831198253 +676002147 +279246786 +1042422704 +1169665651 +1148028509 +858159967 +1858308607 +2041886076 +571623799 +2094161617 +1592604962 +125119320 +300035870 +716965383 +633306744 +635344 +2051088691 +1600650581 +961074528 +671753008 +1551979258 +714666053 +68100905 +1776325135 +399608123 +373552547 +371619703 +992623495 +703727080 +126306910 +676338100 +1379729227 +405553696 +1718760804 +401911231 +1553582206 +429437123 +112736190 +1447984634 +1001060922 +59414160 +893105949 +1126180242 +359450030 +1610071332 +1759486987 +360085374 +1513676375 +1212653920 +1321159902 +37945735 +617149531 +2035825955 +106046640 +245991018 +287950431 +479599187 +617610721 +1280573926 +1183326267 +743917632 +1956912026 +415571847 +1149471328 +1528189182 +817483078 +555569886 +1957626305 +930219268 +2003554521 +811203579 +989633428 +749176822 +1937383822 +1349083458 +211764506 +1549387161 +1709168833 +1725440881 +614557433 +882845087 +1763386616 +1231706964 +771187395 +1869433256 +1477697983 +1059137826 +201548795 +2095308704 +192228104 +1384875063 +691742688 +1656482 +1800446910 +1841214017 +1529845664 +470446340 +249300255 +1339988321 +1400665608 +105371128 +3708252 +242815389 +854547950 +1941092074 +1591898847 +1066312456 +1342995587 +1153584032 +644269689 +1957553021 +2036429120 +260172657 +1041776337 +660132867 +2129605913 +371990672 +1719270693 +183671061 +319815729 +1911498797 +1568546124 +1011558417 +1913155279 +1221509386 +705288786 +1295517295 +1691955726 +954589042 +488021968 +945137686 +1059960170 +491730220 +1187953075 +1914508121 +285338647 +632368275 +833336929 +1628334234 +1785952307 +1477606619 +1438403607 +1674897779 +1737779276 +332696297 +187546998 +1719901542 +704686969 +1906817691 +1903572603 +1024502698 +1670832840 +1324635079 +2036061116 +1436504471 +398660817 +593866254 +584538118 +2090616543 +1548455296 +1072560086 +888270581 +460931819 +1564290307 +2076223657 +227956292 +1849628954 +561108284 +1061293221 +1330479540 +199576943 +391416192 +621399500 +1874474723 +2129195469 +954095797 +2062021721 +1701613363 +1658782766 +1821355765 +1457702318 +535801817 +1344704957 +634853749 +424379285 +633725781 +1033514566 +1018245539 +1218263899 +976647461 +419217188 +143340338 +1864918042 +880149007 +1707630645 +1793658051 +1108105299 +1409775951 +207282687 +21914872 +592771843 +406859631 +413331065 +1214171343 +133850706 +395042886 +20783492 +48388779 +2096656249 +1679566259 +1869744544 +1406874919 +67884428 +1066965854 +2041728668 +492263713 +1700691635 +927759586 +1510509252 +771471886 +1904407047 +1929726440 +914812224 +1621841441 +662391799 +474959221 +1268015845 +1770497098 +1884735172 +1475298532 +1792411971 +330023368 +1882158163 +58259388 +1544194711 +2016008869 +453302274 +1564978204 +2064397649 +402474875 +1097060815 +1786658545 +1809349794 +1164945243 +706140751 +1703594814 +1657208956 +259348738 +483870752 +1020234560 +1030820625 +240794151 +802477353 +1945632849 +1862635592 +1464869152 +273108423 +983167789 +1087882603 +10359947 +310982674 +732810926 +340383315 +45657189 +791070314 +1884578027 +2061666059 +1244372588 +1302072583 +1978580060 +1646847463 +251649750 +1617754957 +1308713609 +1416594993 +176412061 +864824775 +926320301 +435760799 +1348695527 +1946554861 +1466581424 +1589489678 +601548566 +1264730626 +1304641622 +2066417719 +1537839049 +140325764 +1006816674 +1548198996 +451308438 +1739627600 +1888582312 +496965627 +383214266 +1625676691 +411148038 +1627586854 +780265626 +242244450 +1126950669 +1031915376 +1859999408 +288180630 +301026721 +2036411469 +1153005405 +1227347022 +324688620 +354217284 +1026418235 +1791270045 +1943706962 +1627966802 +908517023 +1100864936 +1546900873 +298872424 +1241190700 +406233899 +1847071420 +1692499138 +2145861499 +1588170084 +41981118 +381592117 +1066363127 +453129156 +2009178971 +1846628753 +695373607 +988645992 +731060481 +407889367 +1276826622 +1032087202 +296817188 +282348379 +111950576 +621505808 +636565663 +1138368812 +265292205 +432788977 +618851966 +1173809228 +1533653913 +18269191 +1472681652 +627360966 +424503090 +1172269425 +172376456 +422880941 +612955861 +214357574 +804473058 +1679318989 +667486731 +666168381 +1378464094 +1362860338 +1654814373 +2109524576 +1770749705 +784157347 +994128130 +2067566893 +1066505726 +1106078707 +541589053 +1703071389 +96963871 +806881259 +2135860366 +715815837 +1980690487 +1522030631 +734085028 +1305888492 +1907949 +1158588118 +330674269 +174284406 +1581469059 +943630130 +388641980 +238458469 +475465471 +1056128711 +904626850 +1853929566 +271505401 +411957575 +1815970494 +2042255106 +1196114922 +662614976 +1962338351 +115137000 +1768693683 +356443757 +1818208389 +1865657554 +1163325016 +1806585107 +433989743 +996531855 +1181132090 +1168074771 +154936699 +1183040040 +179179241 +485610968 +1357324446 +1760648300 +1429241099 +1745966426 +1999106769 +1904706570 +654611490 +756249971 +1611152488 +926116891 +1168207546 +1279639334 +820888350 +216838820 +1942254311 +635743053 +331975820 +1563464346 +992186810 +2700561 +1281638253 +8028178 +1809285668 +1715627996 +1004560034 +842934111 +736219120 +1159496733 +2025974151 +915398361 +1645107702 +1235814949 +528563014 +926865153 +834297727 +380186135 +684088075 +1488909217 +1136436107 +147756916 +267542461 +157160005 +1427396250 +1088430811 +373998826 +1222166913 +1724173864 +705974646 +638147612 +568877027 +708675208 +1919785865 +576905205 +370477228 +1487930213 +1581465239 +1213411339 +76665685 +593478325 +1091901842 +992064047 +91102379 +180233143 +1520627061 +1017967532 +1014530871 +1900813196 +1702055607 +355956440 +889765655 +1849812523 +623498901 +1046925661 +1129725126 +1711929712 +1420924487 +204408391 +1288619929 +2126899133 +842556003 +1857496956 +688090693 +614858220 +286918513 +1058567922 +2102788434 +1868383753 +124495613 +31970471 +314378430 +1216397456 +1024034518 +405480809 +1396630599 +397177931 +1423448341 +263677822 +150507480 +978020300 +619634263 +1040273135 +680349176 +1243133164 +2087198796 +1810074302 +807579229 +1360639635 +2014482693 +2096199158 +1340055121 +709555049 +1806212466 +2028145814 +1324413269 +2093130979 +939230088 +1279718055 +1814031084 +1063725702 +1311688527 +2128409514 +132639510 +188239397 +386406675 +1529270109 +585417329 +1809855016 +1792947932 +735924809 +640391669 +265098547 +1776197944 +1320740845 +1508231711 +1715913093 +983331499 +168327292 +929069080 +850330544 +117042802 +121640553 +1559885593 +1923255268 +2302720 +736815215 +1868902600 +941532808 +2016533270 +1535450036 +2005258510 +1180738149 +1516375903 +2137898020 +1368977547 +1902782578 +1519684482 +1954394876 +1565153947 +1165148766 +542836037 +58061968 +1430247313 +171550333 +1378802813 +790995376 +1887463426 +214650664 +959322669 +669048859 +1064981208 +1076365471 +790689412 +477383154 +852137092 +792992132 +1214198369 +573556044 +1734524941 +1083247991 +2109006080 +1592299803 +116502493 +1477898335 +1582714176 +1485480040 +1233197266 +954915010 +1292391268 +650867565 +2120063776 +1835227305 +708929533 +1402827441 +2006777638 +2087732346 +46339169 +1746757417 +154899362 +1005661838 +268322628 +1219880570 +2082027310 +1059012040 +1697263724 +786680754 +1852004173 +763978445 +1360236798 +1439045466 +1847226437 +1321759230 +883861621 +1963728930 +652173918 +319092149 +1301725322 +1885371184 +1274007159 +446632942 +388755101 +1246587287 +134376599 +1097684634 +501931080 +2141154237 +1037933332 +548270250 +1740428006 +1192832694 +1553932088 +2008750634 +265229616 +1488475750 +920279027 +1962493341 +127672856 +624799552 +578988138 +1487909654 +2063845018 +278730927 +662185237 +800222991 +94976209 +1314359155 +1119315141 +1396701531 +1052246691 +245838652 +1843334473 +1441001792 +1492425940 +1977711072 +391202778 +1994357020 +1971381662 +1429136110 +395143622 +1564326020 +474485156 +1949075711 +1425593007 +739714772 +1290067813 +198388386 +554724465 +1417740670 +823187938 +1133712604 +758166676 +739549308 +1412443531 +1420351913 +1539772299 +1507419741 +587227420 +511603792 +756637624 +1639474111 +757442445 +452488450 +932992255 +102384737 +282715874 +1324195033 +2096741757 +106613888 +605847495 +344401732 +1670939909 +1080332651 +145993795 +949049268 +1820047424 +1436061608 +1147437654 +227288241 +706318630 +1970625592 +1361000845 +1464485307 +562691252 +625960729 +737353572 +2102463551 +2133380470 +1324580993 +466583696 +742534446 +816571456 +1224026141 +1195022896 +1749563712 +1326410878 +1477738771 +926275097 +1275668987 +1584352659 +1532122593 +1620070719 +1107808920 +464971596 +1766064514 +2056858188 +137535372 +1054642475 +1056812194 +364823614 +1760961105 +879954138 +1725824459 +1077962764 +1442645390 +204301540 +1815316337 +1397625294 +190198362 +992413682 +1864208990 +932732809 +1808985138 +940751483 +2127755705 +1411065202 +119678713 +1458010828 +189856652 +1395347700 +894879840 +1721979245 +867934772 +2002688760 +39467193 +486515638 +1912063301 +177002566 +1541158113 +821391847 +541826180 +1154635571 +1701345986 +120166991 +85114687 +996507728 +324468532 +1900431024 +246649374 +514666894 +745361058 +2110858364 +1447399703 +406862549 +904126199 +1427671761 +1817927751 +1023804912 +738198941 +2007784403 +271668965 +1633078781 +1582280000 +1139603737 +1488283894 +1621747194 +1626119375 +1252863547 +1798749760 +1019793841 +2074255394 +193092292 +26945764 +1628117732 +313259283 +112060451 +477141813 +637727815 +2012491476 +723791187 +1152394710 +610368886 +687165904 +452310765 +1017231435 +1591292103 +1879982526 +687675539 +467613368 +470697820 +547976294 +739282333 +2103776601 +2130256295 +1878886070 +1444576847 +1604519841 +1357521797 +549956746 +1255785953 +229831990 +476728493 +1448878245 +256777754 +2104846225 +1762137528 +368838206 +434504390 +252381696 +233846034 +1158295578 +1404776406 +844214920 +1845461482 +1857087171 +1861446356 +1289269937 +1589586050 +401638247 +1756883305 +2060283870 +949614541 +348681990 +2016576823 +932387188 +80084412 +1313670023 +389423381 +1437606210 +1863626769 +1645209334 +1667438200 +192871614 +946603931 +1924215955 +150234192 +561257812 +145570513 +584738582 +813639508 +379416547 +1743034160 +70932266 +1223631467 +1441011994 +1928019437 +937594175 +582798284 +1370121839 +1339232422 +192197941 +1282922061 +141363316 +540879932 +1152015237 +1073750504 +620964344 +318201612 +1463173886 +2058570554 +34344733 +960899572 +1578525107 +227216348 +1907503504 +1355257414 +377450540 +321277668 +1500827927 +962189122 +1134917176 +1880244474 +557739635 +1205849442 +956392293 +1998751629 +986385231 +1893986469 +434066265 +209023423 +1085735243 +626264207 +1491945484 +1227098559 +1167144139 +496477073 +153365416 +1788108483 +814678685 +1616539302 +1699195390 +849023419 +429955226 +1130236849 +1076239767 +189975082 +338010615 +1453690307 +511252750 +1838838542 +268395781 +1646169926 +1571599368 +826135416 +704535720 +380508013 +677403398 +1690920952 +127010834 +1111469663 +1899944375 +1212746078 +1737733870 +1244406211 +292360989 +757394361 +1740883285 +445726405 +398019197 +408078322 +2062265707 +2097214587 +1257101741 +344737286 +1079967788 +185857860 +534712368 +1417978403 +1639548167 +1045965119 +1109333297 +1907943949 +544651397 +533449017 +586595717 +1249187118 +913957030 +1263999115 +792624422 +1040967865 +227985131 +545085149 +106230295 +1965719001 +1789491360 +398591284 +575629715 +1382890997 +844317690 +973648912 +1790969320 +759099749 +923379851 +900587413 +1103837035 +2003347639 +1086445274 +1638549404 +1273842394 +578509793 +537030875 +235692043 +338970094 +1081682272 +769141060 +925565812 +183385742 +1683098090 +42081279 +976010164 +576582307 +270066410 +1521095313 +682812602 +88301764 +1163103026 +1081403887 +663931479 +398510375 +1925721577 +1637580391 +41996047 +537337678 +413476594 +942583461 +1641174714 +269340585 +2029028735 +1132240470 +1543182979 +460054880 +1669271345 +1778875022 +799024975 +603469969 +400532434 +1724590787 +786855712 +2083630524 +1766672066 +1762865876 +512729184 +2036738477 +1136477542 +1195541786 +2125040241 +152096920 +129462025 +641488072 +550607295 +2055183602 +131584815 +592603343 +445037633 +545061409 +1535186804 +2086212347 +814401994 +1416731891 +1070969169 +210101325 +1876786771 +592756866 +1988976347 +528328098 +1196226835 +242025133 +105435237 +1983082547 +178172009 +1872107304 +1598464776 +690901193 +1761362133 +587458670 +1886442980 +1738918726 +739555590 +2015905005 +232923150 +1290162885 +1923604960 +364507965 +1882766228 +221158945 +909569374 +1270469384 +159887644 +1723971368 +539717627 +1230856813 +1934072693 +269020751 +1823613679 +1775565392 +797348849 +872356866 +2017590525 +902784087 +707955766 +48278886 +627407743 +158936894 +739180080 +241286228 +746395564 +478139412 +1980204954 +1485951154 +346560769 +65644456 +628630391 +122682081 +430152421 +363912972 +343841026 +1339721795 +1634382356 +503728670 +916209515 +26616336 +1734585483 +702798560 +295637087 +1410715514 +330880304 +1092985936 +135588733 +200987181 +1995770023 +843544499 +249266067 +475694118 +1002481393 +988446147 +716980346 +1748876957 +1466585559 +549701652 +1087344463 +1813146329 +615346108 +1715974854 +1935828410 +1045498529 +2079887826 +132185789 +237736676 +1566786535 +635914459 +1153946191 +1593402871 +223016295 +1856744751 +1889039958 +1633731809 +40141407 +834542246 +1769320542 +241128588 +682828622 +465381393 +490394656 +1158522740 +1467862786 +1478840803 +1875503087 +1069256095 +797942715 +277721091 +9116910 +463605396 +893067200 +1725091765 +251950158 +1938565729 +1657495943 +384135947 +28818758 +1076798830 +1020050407 +1182764949 +522718053 +1243066702 +892026053 +264274363 +729314863 +932167460 +1098816610 +351151758 +1173296049 +1781645232 +816533151 +1663690705 +792684324 +136912290 +995047860 +520703763 +1206168385 +1792990575 +798424855 +1215285296 +109112323 +1691492055 +792893413 +361062482 +1482574136 +302905708 +745198429 +1511392894 +1379704539 +1765248836 +546674196 +1902422592 +860831890 +1438700249 +19213308 +1590146754 +223384061 +1118029918 +1941298512 +1396680110 +752191502 +610348015 +912887167 +1544875826 +747260305 +1907935028 +2065579590 +1953428691 +1553441955 +716520797 +1021230339 +1662554279 +260529204 +1814123752 +2023616761 +1743103340 +2117029460 +621331542 +1107012587 +1349250351 +239096731 +1653686783 +1104189296 +1099928621 +944903384 +1123402604 +542591727 +1168287445 +93948874 +336406591 +417483908 +846140376 +946754607 +1330371075 +243532554 +1694014912 +1090822455 +161628496 +1499959955 +496780763 +878149293 +373706646 +11851394 +1138678497 +40346750 +2035468155 +734298190 +9892563 +509316049 +1841310777 +1359142914 +748412780 +1347513912 +315848562 +1848341402 +144933648 +1439251166 +243449481 +1313221093 +1533200040 +579856073 +1730705001 +231856768 +1526610680 +913592429 +475389323 +1073141944 +2004414884 +637017819 +425618252 +353711999 +1515167113 +799324898 +365563393 +506361962 +839671649 +253547900 +1240660152 +849564212 +762863950 +934487281 +61223478 +1511276730 +134517545 +377072041 +1212134484 +279451193 +1816323207 +1455583966 +1592672287 +1202039600 +2035440039 +1175893640 +1433896368 +1414567071 +2089486069 +1909285691 +340225367 +1946417306 +398819863 +765843619 +152645657 +1913986976 +1565168518 +518209051 +272865290 +257356519 +771756951 +1513525443 +1106920731 +1534620901 +300529076 +1168144209 +898413984 +435046622 +1545216250 +2110548468 +714497815 +1214055810 +1418648786 +159686454 +268611762 +1306605177 +1335580095 +1702508130 +573688600 +1277582516 +1464310174 +913913968 +1076516174 +1863130037 +1679757587 +1229161832 +1629633365 +1097442457 +1747370883 +1902498655 +1354798976 +371644186 +1268540450 +314236059 +1906265088 +1569069527 +1482380269 +657195424 +2004116149 +880112871 +620260244 +571130316 +2094168681 +2038909031 +730816771 +215296795 +1198030560 +2066396866 +1917804926 +1771719161 +1196495734 +1234631452 +538149481 +125528261 +950277841 +70423420 +1354690093 +432427558 +1167865878 +954577328 +187442565 +375181206 +1326221514 +1455983016 +689417266 +1085002954 +877568895 +24313887 +1742198378 +734201396 +904426758 +214974975 +1305331712 +851111792 +106400358 +2036148483 +1066408587 +1304430918 +1955061701 +836729865 +928666431 +1004073788 +2071361317 +1466815912 +1129602049 +874155510 +1537239333 +336808494 +1306583068 +557621563 +1291385822 +1494025634 +932802769 +470123688 +802525002 +1622220035 +1555126643 +1680093897 +1646533922 +1149841373 +266811645 +403477033 +1364816348 +1572143357 +1254588825 +1471216706 +1460808193 +173513764 +628163977 +1268386246 +1010243630 +1556830408 +124976386 +934121299 +876162673 +1254578435 +1808276810 +265918358 +1591386929 +967376230 +823539921 +735289103 +313918216 +1756342690 +1205412792 +1116443218 +1231079078 +613055787 +649053467 +730129352 +1762897160 +915865112 +1133606385 +980229861 +340524822 +240711562 +303962919 +1801333015 +414225327 +932126896 +922235613 +1424468957 +341473657 +1047212000 +211106608 +1217636330 +154306787 +2019383418 +1483554688 +1745693717 +839276001 +159610961 +333499172 +1153194217 +1915953651 +1538911964 +122153788 +999549081 +4484103 +771207255 +1729678434 +1767381264 +1687072368 +715801171 +600127477 +2027597190 +956512734 +904090396 +1681446557 +1370738061 +1836217293 +456198522 +647723370 +30207302 +1503410522 +858829978 +1247843632 +1657717310 +730729749 +583914672 +1255927379 +1570005750 +743525633 +1589426551 +575716319 +511995636 +980854868 +697870107 +1511544718 +985338971 +1469077363 +1093739504 +605236587 +1008666083 +1809540675 +1205364064 +888779625 +618569761 +2109454461 +422742534 +1989307822 +1798188106 +878941056 +489547544 +1828395408 +234867931 +1348377523 +928755392 +1892585241 +2079107272 +1512670064 +1001028972 +1501629374 +108712049 +442971875 +2077345693 +620707685 +1423826743 +627732153 +2132252403 +261682067 +2096809516 +1078508259 +866918654 +957991951 +740565287 +2072282719 +1846771576 +1359135048 +2034253532 +122030462 +1200959223 +1684957990 +1000971518 +1690506767 +1365869750 +1235839449 +891400642 +147141494 +980941042 +823024266 +1659811558 +1981970014 +177169992 +1768523607 +277458242 +107032038 +241747644 +1701284985 +734764191 +226516400 +1962967052 +684090059 +1305024659 +682402059 +1642082010 +2045589946 +607201130 +1341369938 +1257241347 +493971014 +1463400400 +310716922 +31445356 +316888270 +2001223689 +1397315106 +1552727720 +745140684 +1544456600 +386185114 +1568164950 +1056784510 +220671481 +1745334943 +677824469 +498129723 +1852366981 +919572113 +51931060 +439647524 +1146088513 +2014898113 +1123737583 +303629525 +549816524 +618335945 +201735823 +1157017654 +1959705883 +1458977170 +1650988668 +1275622635 +1769694092 +1682434024 +1592510905 +1623434134 +932265482 +997754977 +221091170 +329238434 +1383940092 +1789256120 +1386022944 +1604611573 +1387107415 +2063847413 +2102741296 +1091990748 +835935878 +7188708 +1531638272 +1982024392 +2022086821 +507892207 +138170269 +424419697 +1126228152 +339906092 +1581437351 +938450387 +1798883263 +1084942371 +66589374 +1421093707 +619892747 +1659100280 +897044193 +1552158229 +509371609 +1118135363 +1881396663 +1893311701 +759907836 +1119935959 +1350439626 +2147015251 +1036299724 +1305697274 +1091522352 +1872235603 +1312885983 +475676976 +1706776347 +1187489156 +983569184 +1844946616 +1611908854 +2109797336 +37369060 +1045862557 +900764076 +1836252323 +2130804929 +967353450 +1109862383 +603214028 +478970082 +2006906576 +7888610 +988341692 +977558292 +1889285273 +734169745 +1737466128 +861737585 +2084609372 +1736997731 +1898037309 +1242822998 +681036435 +1622789264 +408225333 +1156713412 +1182081963 +1595714490 +2140282596 +879544931 +1060139696 +2102596284 +916913992 +2106002253 +855876712 +605682667 +2089323534 +1823230163 +1715545050 +545053915 +154716597 +1574967979 +552942525 +1143058289 +405042623 +294744150 +1877228035 +2142508751 +1156481735 +1814353759 +1732022834 +907035397 +909693109 +265575622 +382341013 +1317918443 +1422289034 +1564422977 +766149285 +1415087982 +296484260 +1826288981 +1370200618 +1213398252 +1784807586 +78593683 +1819080920 +1726647473 +1901823846 +1387142322 +124217740 +2056540443 +814626653 +677160265 +1052115085 +1219669276 +971904415 +781859472 +1214694379 +2128386151 +448729583 +799233566 +887937900 +1358422692 +1064809188 +1270278913 +528857487 +339614574 +687218242 +1295006772 +1754702556 +983702503 +973812105 +977419526 +49617107 +611136044 +1056013209 +1868698027 +190299869 +810353407 +1108356702 +314517609 +719410203 +1922983355 +991677874 +1771525288 +995168984 +1963582289 +405901112 +62379715 +1944484792 +854630695 +861613281 +684939044 +65569739 +1926422469 +1955217958 +594427227 +118553395 +494952552 +1889433999 +1873255951 +1478655055 +715762457 +703191830 +1528272163 +1326898501 +1759205039 +1249486542 +1517198370 +422074799 +210359596 +1831715979 +1141485002 +2133342952 +675910205 +765526642 +981028288 +492008846 +1171427754 +1043408003 +289009991 +2026058449 +1905021285 +973949035 +2091628188 +1683960106 +781683345 +538571767 +1802513502 +1276635898 +280522119 +1528285805 +607807305 +996284576 +83993987 +2136079468 +175699429 +1843199027 +1238082363 +1692897799 +117790178 +1448441959 +1377130130 +1259275180 +1434301263 +2053040335 +2024801822 +267845903 +397565533 +1048745928 +1311253907 +686575524 +927320729 +1068791544 +1660524560 +871465269 +605268002 +294724257 +1410037037 +260297856 +1571360155 +1690559156 +1788583662 +31683813 +539360084 +1872577649 +20279633 +715059513 +1568293028 +1258361996 +260473664 +1686083206 +559320308 +1637603794 +797874738 +1993621571 +1543160481 +675192912 +113983827 +1940726014 +1723938840 +1425237734 +479817891 +503775921 +346545630 +2140342451 +1375241191 +951813632 +287583060 +637794580 +1212111489 +1858943216 +180870088 +853211503 +1890627029 +720230172 +578305504 +1910906662 +1435289685 +2146598533 +1021785011 +1695763349 +1685198091 +1581105319 +1185883495 +335589182 +1427243242 +581560328 +1010782094 +1541227069 +374802694 +587237287 +818981155 +854620585 +1091013208 +1165526785 +847479388 +318770751 +2117340418 +1135062449 +956565331 +1181968259 +846522017 +1137435419 +2035179762 +589665398 +1857665591 +466001618 +353088412 +1145471628 +465116503 +1374873423 +693751329 +2830947 +808495094 +1879634824 +338420129 +88254689 +313711504 +1349202223 +1629481758 +688514199 +1936439510 +300979266 +1543134784 +879969071 +1466506051 +243130525 +1198739822 +1436362821 +1378192974 +7821506 +470847432 +77231343 +1145256925 +358543546 +666896741 +855438869 +824545165 +1019985153 +2000910497 +1289661668 +247374929 +547178179 +1292492615 +1055870023 +279329355 +1630912744 +1144124712 +593040860 +832631320 +626122823 +1281555059 +621587182 +927102089 +677206195 +1501556253 +246124492 +920336720 +552812428 +1682487314 +151046046 +560633934 +5851098 +228277389 +1705890859 +364394645 +895174130 +413846080 +1188939810 +1915159284 +267272930 +331117830 +15050565 +814451109 +1623610446 +1070920588 +1093780464 +1107039542 +67561653 +1686821324 +1939670862 +693684476 +820892735 +413774397 +1620786565 +1498098931 +1915330650 +1866911057 +270952003 +320659430 +1401914723 +421998050 +881293364 +1407765822 +650275439 +439700576 +1772160467 +1545449570 +853546656 +813616629 +1313125206 +1120819586 +1144734459 +1328175771 +1935270695 +620861257 +251612711 +881567512 +1727900800 +319174364 +420905188 +1520088014 +1012858840 +1241797924 +1933862411 +486161757 +592413207 +1701709414 +205589167 +863365210 +2022368844 +1607503890 +1285363260 +756178561 +867786064 +1935638700 +1195879137 +492462883 +1333604622 +2049425793 +1306079512 +499246180 +1022761732 +303330324 +1827421951 +810548779 +924191581 +2079034662 +1692116291 +504608733 +250725379 +2113021480 +2024696748 +1263584219 +1207335756 +1811075511 +1749745977 +1799748963 +1365301277 +1955335144 +515630525 +1240186474 +1415355386 +1800993786 +1996365035 +135657803 +1589148838 +1044760524 +628120686 +775269812 +946702669 +1934200199 +1274515992 +1969464401 +90046875 +954454295 +632529533 +1014238456 +886005309 +177162176 +1518847190 +1136730688 +142700008 +1396060290 +252831260 +1350035764 +1059652153 +2002577237 +1002301079 +277469783 +1810428733 +1517931605 +1517656257 +1078300471 +1171441743 +1366537644 +1213958274 +613106933 +263814520 +1842078961 +1388376745 +1210517189 +1628795512 +515409089 +1032497943 +1718842387 +1469863384 +1665027476 +585597195 +208385045 +1842189652 +2104444385 +1345115734 +1984889661 +1353021027 +1597946994 +1187441777 +265189533 +1453040583 +42259209 +542659316 +1115985668 +1560190814 +2060315573 +46802491 +584148909 +1279369569 +1260760766 +1197255842 +1543184089 +955356079 +438148939 +606217630 +436667943 +953558028 +1638715573 +8026682 +275937764 +1156259401 +593623877 +484322809 +850965406 +550584615 +1829438543 +688371419 +1903605642 +1279901889 +1875813196 +21311527 +585458824 +1918072405 +563970843 +1701444492 +1330779571 +476802768 +1748246984 +1914928480 +1756172337 +861524102 +964700674 +1151872778 +1816880181 +1402849613 +1758090409 +106064476 +208923993 +1249322334 +114091158 +484861757 +258098088 +707715035 +969184567 +1109063494 +1258299650 +651139462 +1797434913 +1014421645 +1931041352 +1525764461 +1035733172 +369016528 +1296353219 +1599704016 +2070461021 +479649142 +2076506784 +1671224357 +247093975 +1685195474 +385264811 +1211794649 +689584604 +54661344 +467160615 +300191365 +160725820 +676084608 +1549513700 +274816978 +1160946366 +1807611788 +982532013 +2130130933 +769191634 +93348016 +633786747 +419142899 +1107769661 +417344451 +1944907360 +2143502833 +786360980 +1093776931 +1595723201 +709338353 +1573426074 +1524746338 +233079062 +1820520049 +1062458164 +618343873 +884831050 +1752042768 +673005217 +1351991665 +2052234134 +833731037 +2028076274 +1454264186 +1108548015 +1041538992 +1114392326 +2091080028 +1024186277 +1883583960 +36944396 +1657973024 +155243211 +1144714057 +2075317476 +2100150571 +1140733243 +714194808 +1046443855 +588972796 +1423533161 +472386281 +2113719134 +1656612223 +145422682 +1028693650 +127472448 +1030253732 +633252771 +800477665 +234761750 +538003257 +1634208702 +115354376 +1992267443 +595273069 +1156893368 +959176121 +538869449 +33595997 +695276433 +575813846 +1691569021 +850519644 +1720527903 +1619402849 +803186567 +713777498 +186114009 +1849630422 +1302750295 +1609647170 +174533055 +1268985781 +1118775745 +319955737 +150195784 +1246248193 +1350209470 +783448555 +2046725858 +1584971220 +1321451812 +1533450912 +1700325596 +1166235607 +2128723981 +709735316 +2125411728 +520109783 +743331313 +673204513 +1095923629 +287416686 +1523724157 +668967884 +1906819536 +179427076 +1382745383 +2092933545 +2029057499 +538012030 +1555097068 +56106906 +1806997811 +526389165 +376062644 +1957193595 +1772637359 +1726272114 +593158502 +1671879569 +1163759686 +1914610314 +1057846834 +716601634 +933362273 +1039087167 +1426336950 +911290353 +1559196950 +22184615 +1584494866 +507636931 +309601301 +960735375 +1176604816 +68937189 +1140162452 +411866551 +14387087 +1021736303 +949878581 +1569484155 +1077843209 +609392744 +2095873320 +1453905853 +419102692 +1721027031 +1032694319 +1012261194 +1245422953 +48970357 +779387861 +155786139 +765571991 +1712750134 +1194873306 +44425293 +476556840 +606586609 +66609908 +2061051706 +1114223540 +376211210 +874303434 +143344708 +445148399 +2014465886 +555211259 +459535486 +888718541 +1505089840 +2029019641 +1966561750 +2114482585 +1977409314 +1272983956 +386101629 +1550952697 +158194627 +1398362823 +648892002 +207164985 +30267036 +804678141 +972736976 +1743017171 +1999551448 +1017162270 +72090363 +458654409 +1083772178 +2133142069 +1572877949 +1459983388 +859961855 +1716222658 +1905131788 +726944093 +123950269 +217183626 +1615662634 +1629040110 +98719620 +1434740737 +1596039047 +2076128934 +560241045 +1982140676 +1479597983 +718435672 +1233019851 +2128489986 +925600657 +1263286888 +785684479 +1898337634 +858820411 +637752279 +768016256 +930910774 +1096406688 +1851788434 +916569195 +521800990 +1164288175 +1776531051 +90540000 +921936315 +355991496 +214490269 +1139119941 +1971654131 +1843530379 +1237839561 +1258911220 +1292085778 +1166484847 +1819152265 +1126742806 +498599183 +390104289 +212279010 +479605521 +1315704947 +1475565898 +1265290000 +1066558933 +186902661 +1903042280 +1834575189 +1117813435 +851965320 +1538879975 +2034382630 +1373766310 +555684502 +1663430033 +1464306310 +1477620817 +2019421530 +1678796580 +469257111 +1843592013 +1374843311 +1707096672 +955019585 +519445442 +726097872 +626688202 +1646188248 +1224697055 +1016792491 +1858467258 +1704302576 +185013790 +1186549508 +822108928 +1251572723 +1373452169 +577667560 +938664264 +343781956 +1429632881 +330060592 +230680939 +655915543 +885745094 +1894110972 +2120221854 +215882264 +1766048854 +1651534786 +685139375 +1462157219 +878894449 +244752399 +269693156 +1398339891 +970850271 +896381358 +897044492 +48063678 +1913173850 +608028102 +1752366254 +2098187640 +1794577611 +426991535 +1202276716 +1020546132 +1004659095 +2140940980 +1364328089 +286808328 +323517924 +1595009028 +942723872 +1209263019 +1341636352 +915462078 +1425145283 +960201559 +419513216 +2110284658 +274875130 +1298407665 +207553409 +544568287 +549263909 +1178403681 +1440949645 +1446308401 +1226467359 +1206639847 +2054336503 +831349966 +1157343840 +1701430466 +1258341501 +212136908 +574492951 +115516948 +205594240 +1938821040 +402325277 +529112165 +1386346420 +1345049149 +1738375184 +580499124 +113027579 +1016036819 +1540700683 +532540795 +978837829 +1815575814 +1830948460 +1186391238 +212660453 +232728721 +217311271 +1653610098 +1679037122 +1443778631 +712766298 +1585889978 +127644949 +1870110138 +1139836796 +1385986450 +2082247046 +1714329747 +1501503398 +140357638 +1505667139 +1903828675 +669469803 +744529911 +1101394176 +260361339 +1325029036 +1214421755 +1276398158 +718246071 +1746962550 +107752339 +386338237 +1430427363 +1294143578 +598998690 +1663156084 +1511454849 +105125141 +1194709559 +807749832 +817891439 +633115889 +935394781 +540517929 +1772952685 +173897583 +475281327 +1339798785 +1675400982 +615638965 +697982276 +1431746009 +1285108769 +1442512188 +385656538 +1545470108 +620057576 +1600078293 +674384619 +1338303647 +1199557196 +782136958 +1724641885 +482500911 +2076280536 +176156927 +2145656995 +1440251738 +281282068 +1192882906 +100517922 +1099173507 +1825998795 +1035912704 +1639691436 +1451467833 +1209810287 +2114972763 +643782970 +737727621 +583128081 +1341765246 +21989983 +1868236850 +636793786 +407646521 +1266223310 +1256851362 +2007724814 +1940607929 +447671362 +1059798362 +575261240 +24829599 +1542299273 +504058128 +200986526 +1540472621 +1944309866 +482268595 +585871879 +2044827789 +1581442102 +264387027 +933256845 +1073649891 +1715854860 +2143067132 +1041139006 +212154182 +733311106 +1624267087 +1553919428 +755301089 +1345020289 +43229567 +1162947610 +463759952 +1300080929 +1023188776 +256884233 +1747752291 +2082987139 +832145473 +1772581890 +1477802764 +1336203602 +1973568417 +870791737 +1133029820 +308353364 +1456663617 +1030373961 +1889795466 +1721050644 +1963630806 +815961709 +1289421856 +1959214291 +1857100716 +1501576038 +545041749 +1333884155 +908011818 +1300342838 +531420797 +951241385 +315806800 +995180749 +103838667 +1338995576 +1252064982 +1851590958 +1274499067 +2084210456 +1476689201 +604818184 +1272930410 +1302773970 +1475609921 +258476582 +1611127334 +784789890 +1288850544 +1353439152 +358356886 +1104997702 +21917214 +1647778742 +916728345 +1879017930 +1001871132 +1461770094 +1065418437 +1909882951 +614629284 +1596839234 +713640688 +930436084 +444536335 +817479355 +121948013 +1696601318 +521586666 +1396447080 +1633328126 +1998275867 +2001265264 +758774888 +1153566189 +1329391538 +1017251470 +617209875 +2114181428 +158618366 +1970649027 +325054667 +1263616069 +1992566241 +1972833409 +32860766 +1724100523 +827220894 +1494630861 +642035313 +589620197 +2109260145 +91390899 +1303260885 +892212582 +535927235 +2120740241 +1014160595 +85044905 +494843259 +263124027 +1718373031 +345635478 +116905644 +329664271 +1499201667 +1446297182 +1346915741 +2116411542 +1412994962 +1505534108 +1939576921 +1738049629 +621666529 +1784659515 +1563399391 +654527295 +1361276390 +243136637 +1674508 +2003311703 +832756834 +2110934654 +2094702603 +2136017719 +855663588 +483146190 +2109274312 +1869824183 +568191095 +456633923 +2132948210 +139080478 +802269401 +102370206 +468744749 +153987420 +1548667388 +1815660490 +122915314 +814178703 +1173710950 +2062492236 +404744684 +1795377479 +1699668103 +1968144075 +302421127 +913460845 +63797064 +304095635 +769288901 +896553898 +267546641 +716507856 +885087970 +1123210229 +1199654046 +846878634 +845550764 +1767845141 +1303512558 +831015327 +1906925619 +2105781959 +933385533 +228186720 +112285732 +334569274 +2043847210 +235201046 +1148747977 +1070074513 +150209634 +1553492661 +717968344 +1849877737 +1374153089 +1020389471 +615854935 +1437950153 +1324485107 +1385143836 +187020404 +1592031748 +2101651692 +1072108374 +567758330 +1153822090 +1918987008 +1413309094 +774183583 +1075015918 +96840773 +533625554 +1033314230 +1030226307 +761812274 +1145599962 +1364795581 +658175836 +1380801008 +366059910 +1728250349 +1531010643 +1919552571 +298735046 +1233404732 +1146222012 +1319124517 +1849259667 +436688518 +496125976 +1086919855 +623708922 +2088157725 +1041087899 +1695817296 +508432407 +47426341 +1467320656 +1921741501 +821609924 +394852927 +2018582275 +1355235478 +1428167157 +901324934 +2117047752 +426283471 +118636867 +627739941 +1807084479 +484696777 +208506642 +1190611474 +256765700 +507241688 +276532559 +1402987713 +1826366206 +2125792226 +1839676231 +175008534 +1065228434 +315901505 +115682611 +2106316333 +2011718801 +624115018 +6259027 +1331555809 +398372872 +827868951 +1726408736 +269471499 +35620782 +1007092245 +1170796433 +5184886 +1433375716 +1289433300 +632924827 +1092976548 +1774130077 +841431470 +136104374 +2030895777 +1348673158 +412636933 +1286399842 +1027555716 +390945512 +978592425 +1202564251 +1456173946 +1294493930 +1318246862 +1415006631 +1158729083 +1942361881 +1421265658 +342801245 +193251105 +101650962 +2069209981 +462722604 +137271744 +928818579 +1633519037 +142456630 +214710647 +775468689 +775381458 +1307687195 +402115118 +1616812928 +1443791570 +285527247 +818002438 +1856428503 +1571927090 +1845558155 +99890367 +403035867 +900638758 +1556064313 +1697529798 +71401972 +823587297 +708775233 +2013763853 +97369307 +1051576478 +59531310 +199020269 +973302812 +522253914 +336292013 +1902121391 +8289303 +478748644 +2116832038 +783757992 +1254130102 +1277035586 +1185873110 +723459382 +573343508 +1471400358 +1541461820 +282288363 +895843800 +1239536327 +382178731 +1298879667 +2140175085 +1938243044 +848925817 +64093410 +614346693 +1557701051 +2077857263 +711716001 +461793881 +2137388574 +910736270 +1435096693 +512158840 +1247028284 +1189734436 +520448144 +1725776928 +1159082827 +1304206136 +832423382 +288634765 +342595599 +1555882764 +861978273 +1813995957 +949860936 +1144266636 +562356109 +41913616 +1526445367 +1861235776 +34605053 +1317204764 +562677946 +98698463 +1931551457 +2120378997 +29072079 +495783810 +434689230 +18977005 +1406520081 +1869785924 +531135845 +506064717 +912036712 +1051583989 +84357997 +2071119539 +208306478 +916781379 +212270656 +550902077 +325180495 +1074248929 +217414386 +1275041431 +71031918 +779770495 +1316955047 +1597477285 +493522623 +1351560101 +767198401 +1056200569 +1450258564 +551266211 +1029095918 +1479330643 +1047050021 +1463785149 +1498307648 +306086454 +1186087425 +2029443494 +812151171 +2098124137 +933543835 +896509168 +2021760029 +1141850313 +1813290547 +86547037 +1692752390 +2138471042 +1160795967 +1910166776 +1266028826 +1231827885 +542453623 +435500225 +681821522 +1035976247 +1787060326 +1449019924 +2092176816 +1089835243 +2000286135 +973789087 +421682238 +899852508 +290090588 +1919989887 +1205938963 +1476178013 +1801949733 +2018090134 +1426818502 +588009920 +767115655 +1301094883 +1729860234 +432922554 +1387641921 +1275128976 +423909949 +400954240 +1037812105 +1689938775 +1632782125 +1580265728 +2125439000 +167119999 +468758327 +1765015679 +1616139923 +413451496 +707367274 +1468942410 +1387240583 +1129049512 +221311271 +1677331171 +901555751 +1427250234 +1006025536 +556021836 +1297856720 +285360390 +1144031757 +2064972375 +1586455274 +726408343 +350411282 +826613547 +2001537319 +774321231 +1227567787 +891865776 +316776358 +712866264 +324647857 +294731710 +879986263 +793406184 +2059747389 +348642539 +1206857680 +619631015 +1817584949 +446614615 +1748680528 +2038896220 +2123945786 +502752631 +1318662806 +982487674 +1058774468 +469035879 +1267848065 +55322577 +386524606 +706819691 +781730920 +736935888 +1533433238 +635784591 +1511257119 +613517377 +1527650368 +1828033477 +1326383641 +1852298225 +2122765188 +58886256 +498220761 +2035028929 +407528795 +1705078442 +507176297 +77630097 +4209409 +108373177 +2116526317 +2128155196 +611125808 +1287705476 +963159222 +1669900276 +1756741355 +83523639 +1725222853 +2143265961 +790343330 +359470125 +732718202 +176292920 +995254717 +96491673 +789810297 +375421437 +1924525151 +2116193938 +80236014 +1899806691 +27596547 +578456775 +1787351972 +435125342 +136051569 +147044621 +512755439 +140260979 +255417798 +481798109 +120932527 +866543607 +1769503585 +1084091749 +388960235 +1378761292 +1167615389 +2114183089 +1374543605 +1957958719 +326169566 +2107261807 +2134251640 +1321424283 +56269833 +776578289 +1696845720 +1980794984 +745288580 +1777081734 +1733118027 +772885127 +208054862 +1372986351 +1208010469 +344106431 +1520030973 +1720765909 +484367410 +1775448771 +55080370 +605299937 +494508730 +1824583955 +1689391687 +883468966 +1055861599 +709523428 +850168407 +282921556 +519998499 +1176337973 +242699716 +506766491 +350278609 +298969549 +1283344781 +2047124329 +132280885 +2028633361 +1676722416 +1865398912 +654034840 +1884777278 +1090901615 +1862045309 +81400061 +463448940 +1435327570 +565767472 +91414064 +1490407940 +1171067409 +585922794 +1167508247 +712975448 +1469391760 +75886198 +1422498876 +172076519 +358807755 +1942497376 +1348414493 +601507471 +301780219 +1698693102 +900477020 +1585125000 +1598333783 +1032757905 +1466274713 +1127572551 +750673169 +2120309553 +864866181 +1841574784 +1834871215 +946266243 +157540077 +1122715137 +1512033715 +248954141 +465639430 +535617476 +834876935 +1633147677 +1248592925 +156785048 +1709033876 +523608153 +328861567 +2067841631 +318621881 +1677276060 +521865454 +620402101 +1228485514 +1422342474 +58043453 +679335650 +307616731 +1524318167 +1806908201 +1058289900 +1497144072 +524290735 +752381036 +1184531639 +1470556978 +909921113 +159763129 +835107045 +1158875254 +625402559 +1370724521 +1993752190 +111066588 +471833798 +3053590 +1820100464 +995441952 +331915157 +1740458447 +1314063833 +2009191218 +114840253 +1934465934 +1090193084 +1537182727 +1992509388 +1769528734 +1844799458 +1369343907 +1428953288 +755605710 +719004331 +1953244023 +1507986747 +1903535971 +1276317353 +270424212 +2063299100 +2111424398 +1429299467 +541218011 +1334665271 +1275568009 +652284599 +1806499070 +1278621599 +324901416 +654457374 +1610536756 +2065359863 +1968521207 +1472244326 +32716469 +1755503494 +414953763 +1569899196 +1600529234 +36998849 +1267215007 +822389493 +1465952137 +2022820717 +1541393824 +1271712512 +1383323816 +1297446147 +400546217 +1653748029 +1213261599 +364486967 +935563848 +1754479610 +1699152239 +63648209 +259280562 +1358167661 +1342269808 +584181978 +2012625035 +805322916 +502058193 +1833662594 +130083595 +534774662 +1441682440 +545037358 +2104673859 +894728026 +582036207 +1224405218 +1717117519 +2047988345 +1099742287 +1111027696 +1172217209 +335582456 +260990195 +1572763427 +1989330485 +1474251795 +1937250394 +777410685 +1081247757 +1488918985 +841058894 +1340528319 +699602998 +35845054 +1924710297 +564744385 +841167970 +279284843 +250923332 +971251565 +814059505 +1692605772 +1516288923 +771249716 +439850151 +2098325131 +1995654934 +9484022 +1998829828 +947913574 +1120511718 +1023563389 +1283496030 +1381501914 +448843168 +1125342867 +708270061 +238609915 +1902753552 +1789517818 +1727528900 +596328798 +982562490 +279648251 +632173852 +759789139 +844392636 +1473341822 +1039073982 +1095315968 +297109740 +1853133488 +640438093 +1813398663 +476899556 +1080288244 +1764240146 +325070843 +1089772266 +1615586326 +1272984417 +62800337 +491666068 +408996799 +1444302251 +940509236 +1534339666 +5088664 +1179119151 +1289609570 +1794606482 +759164404 +1885938368 +629685324 +1038812655 +370628572 +1389474464 +1883205291 +1843970394 +281064798 +831037612 +2141080134 +2134198286 +1471475705 +1806995150 +463614195 +404280301 +1423751648 +788685038 +1494052567 +891854327 +2061669455 +1556852904 +1383520395 +323182606 +853671507 +176545983 +1857522272 +858760171 +1355665135 +999648194 +505883006 +2114829539 +738102914 +1135568330 +1006158546 +1108731486 +377559146 +741880189 +805218232 +658623945 +1572917801 +798814719 +645338583 +896909858 +458326221 +1108952778 +1301190159 +1882077869 +1897637816 +647759079 +626448548 +1811823623 +57128335 +2009968943 +2135006229 +910799843 +39031279 +1845044853 +1769560014 +1394696414 +697209399 +127959372 +1362042305 +1435312313 +1263527703 +220717203 +396560151 +1641086849 +962597392 +1201778384 +152227146 +388031546 +2000593103 +797565730 +1284941404 +311435676 +1906518508 +438647916 +46029897 +1656672677 +1086406995 +672478446 +1321012652 +1143535330 +534963741 +1308535234 +2054335173 +573995020 +1006096439 +1676411540 +1968691434 +1703305839 +1804370912 +1183250091 +991134504 +920414967 +1403967294 +1387694656 +414018169 +219081039 +441989392 +566245315 +607112585 +295098847 +1363811045 +1892053989 +606534523 +1122845906 +183218257 +652564420 +632034935 +1269625252 +1325042866 +1953047587 +265676935 +1860006608 +1114099173 +172528460 +286517980 +2120195613 +1848940000 +107725767 +1676017804 +1505827265 +1290975858 +519668660 +278758584 +547459505 +1907363316 +692776753 +766540544 +201869060 +1259022069 +1373653129 +496967907 +475349466 +1118223470 +1103502430 +1598195372 +1301441728 +1756066851 +82746659 +423583332 +933626069 +2035794247 +689260267 +646149029 +1002409772 +861788728 +932667010 +975121737 +563245080 +1040392777 +503655893 +2069072345 +183884987 +1023324554 +200347282 +731344492 +783204222 +893124035 +1497885036 +985073283 +4662456 +724054517 +1482041190 +480011923 +1842277988 +438059973 +2078207295 +996236068 +46643176 +13470307 +1419819400 +980269245 +2049264554 +2109079668 +1626418275 +904190678 +823384748 +411601637 +1879312416 +1386629828 +1451994414 +235484661 +1308218526 +1635879401 +1258809215 +1508565808 +219740246 +2042013438 +254206195 +1717625282 +879603073 +258868652 +294196152 +214160615 +738880575 +2136474140 +652220588 +669604222 +985226560 +698863764 +683074529 +257562312 +1679133010 +584855435 +219158332 +1158067637 +1489046114 +1042543080 +1569669274 +1220874882 +281689261 +874180040 +1456359543 +1589907787 +362575793 +567685111 +950989947 +582316039 +462214901 +1205196142 +152457674 +1341817974 +1464064794 +446653826 +1555978589 +55461721 +435644318 +60715530 +725065944 +1420870878 +759579294 +1408140473 +1678433190 +291228656 +1992995909 +1897591523 +1449296293 +1334558375 +792650955 +871481919 +407949609 +1074340216 +1745661959 +1864309152 +516764355 +2108237753 +284510615 +1467754302 +543070144 +746725516 +525466797 +695527818 +2088543490 +1989531591 +1142181644 +1497038432 +2044993313 +1577825962 +1557753962 +622575609 +851213192 +169849608 +2030716082 +382162735 +461078265 +1876228343 +132270610 +1910374558 +1063303070 +924921565 +634372830 +1471252679 +1999261782 +232551141 +1188078184 +368542489 +193305246 +1472588799 +1836296792 +736375391 +71830668 +214279941 +1431903209 +12890510 +56327884 +426601206 +1509928942 +2101321197 +2004427168 +920199256 +576413158 +708156713 +1090048865 +459645593 +1090319448 +1551127130 +188390288 +1222590058 +1314018040 +1251693359 +27975 +1948390870 +575462390 +1999289757 +33458364 +1763540574 +220348599 +226763610 +1088645726 +2056645391 +963139001 +1160476394 +123441684 +247558563 +1173366904 +179769568 +674159769 +535812199 +133607118 +531103289 +1456011455 +710020276 +1239260002 +398576672 +1169665869 +182095802 +1949703802 +1358056158 +1404685860 +1116238195 +462265869 +1404713836 +917145417 +1037728259 +1256519945 +950603781 +653785186 +1476868544 +1177367392 +1742430912 +1386030287 +2140506393 +755423658 +1509471971 +240581308 +1928790562 +1689241540 +914741077 +317119113 +1822848658 +1445844367 +1773130569 +385385286 +537620721 +24223593 +1555051156 +719716524 +1973927396 +765623666 +2124402384 +942681943 +1227889535 +1381632572 +1859827360 +118134146 +490668870 +662947494 +771919332 +1967537414 +1840314886 +366866596 +1206084054 +1833337631 +1122290254 +568072377 +2073918940 +903597169 +109830269 +841176369 +1220716282 +1932678927 +139537088 +846363203 +170580566 +677157810 +870586797 +1725631722 +1396874334 +697030545 +343771740 +1373793070 +1639712488 +1571661275 +607941995 +1352056200 +1689795421 +1098610865 +2015003694 +314231106 +918664631 +1707834932 +681097702 +2124748685 +1393688916 +1803387957 +545337415 +1320124208 +559501478 +655167684 +13816929 +1780217760 +440362964 +153354018 +479097316 +610943530 +830511828 +1349684113 +189091604 +79902514 +2046714658 +532863344 +1453695584 +1538943498 +2104524619 +2061637579 +743516050 +1646836392 +1012764796 +611036097 +1961067498 +1931429428 +171387381 +494681553 +1908694465 +1565076297 +150585862 +306548232 +737716857 +710087340 +961715917 +751533787 +342821452 +1402078881 +904887805 +821918768 +2013022411 +1735399633 +24119233 +54630367 +1815302147 +2070833891 +587493711 +1121514083 +1462293741 +544534682 +1035668015 +58326144 +43887426 +2048432811 +669362241 +2004954925 +1832378591 +840749622 +352152830 +1593589409 +258342272 +502738692 +1900137641 +996059129 +1212826032 +714369910 +1747592916 +1555647484 +2116448791 +504997073 +230082605 +1981987554 +92913058 +254201838 +2036617921 +1908215205 +177552082 +476627984 +882245641 +1639845823 +1021162666 +1917913656 +1698171967 +1065050093 +1818862819 +220050560 +922521370 +1503757763 +1060800183 +1274674200 +949863524 +1319142455 +1777412892 +702517517 +167717936 +842755276 +1416887428 +1915310853 +250919112 +1385852571 +272824278 +481001717 +1220356478 +365737337 +735203556 +1109490751 +126468894 +912755638 +1586118736 +1008714535 +405117813 +459797754 +779144543 +2103289781 +1524847847 +450523715 +175856693 +299885569 +1954281478 +1236656876 +1574559769 +756661354 +408315683 +1204489013 +1459178871 +576033620 +2047244289 +728582651 +343860825 +150679754 +2114435223 +616685103 +631681471 +1187308053 +982422440 +1366885027 +149315156 +1108891335 +132157017 +1735433892 +2117605870 +537274831 +47747999 +749266766 +493080964 +1572595846 +1199790481 +668937657 +1872481416 +1006588311 +1905594534 +1299557537 +1763249665 +166426569 +356562903 +1074944888 +742460189 +256323544 +1803527540 +1086321014 +407003298 +1770479115 +1703006118 +1038684770 +810303520 +537944910 +258086149 +959618676 +1646836245 +390243167 +547568921 +1616958468 +927517998 +595316920 +218741586 +1420598962 +20429118 +1418532067 +2089536619 +1892910534 +277636730 +1847647505 +1044984424 +2040886395 +2014074075 +1401547327 +968347635 +609050616 +1657870871 +624391527 +1695371631 +2064874170 +247386994 +1250894101 +956075292 +1057690514 +1788839011 +1214161441 +2017309191 +1288191609 +1604404608 +417394464 +757666429 +384438958 +1012711384 +976408015 +1805037920 +1033140502 +247456434 +1747090892 +778567389 +525093164 +1447254749 +1823551813 +418495911 +1313845176 +1077615492 +1386843546 +1922895793 +588002715 +2011235074 +1470783776 +505393237 +111138420 +574194229 +1461468529 +1168828935 +215549592 +528146323 +1038654478 +1503741201 +2132550931 +1456048942 +113923982 +369506242 +321276678 +1090331997 +27060514 +1354417180 +1337788431 +1774151406 +2132984569 +1862881595 +1073922508 +1809052734 +133893858 +240284036 +739184578 +1520737405 +15696181 +1327187294 +1384488831 +1486479957 +1832580531 +1495627251 +2060674186 +1146565413 +516972538 +128740131 +1674711736 +1555627016 +1632481332 +1659779019 +864192310 +1746405315 +2029285261 +1185468988 +689253664 +2056345776 +392402521 +2027042096 +1683013534 +377903442 +1742440043 +609452394 +39472529 +1876333902 +849736431 +778657107 +1249587659 +865432612 +2105844401 +486592842 +204428922 +1790941285 +1982220093 +117619460 +790023050 +351708984 +246359591 +317251138 +1907336000 +1878840924 +1977030157 +624044663 +1477762591 +1858831771 +1809513651 +19532607 +1767693899 +54432524 +2046574703 +1303223785 +432335967 +1641531099 +1912676180 +471808496 +1370381353 +614928963 +1250465603 +472485364 +1480361575 +1208826357 +959078206 +1684790497 +852283994 +793814651 +1802409958 +1642307044 +1145523635 +2048769549 +1959558182 +905375988 +1780126825 +1789104691 +1529420651 +1110405768 +1500452814 +1191450654 +1129938376 +1120663065 +1245883179 +1029029431 +276403203 +1678219146 +523076882 +41595735 +2543994 +1893458235 +656524698 +1253009597 +218459951 +2136886273 +314352306 +1177538157 +1674193123 +1166636300 +1971352809 +1329119433 +661459696 +969392796 +1230405334 +473534230 +1874768784 +863048512 +115155274 +1256705787 +1973454280 +1615608088 +300672794 +955909008 +588787506 +1546555973 +1984938440 +865190709 +1077291471 +360531674 +906786444 +1079835465 +106506262 +1563311142 +185361414 +324966213 +1552713767 +499713721 +1502504371 +1079423242 +1666350021 +1326373532 +261059027 +180326070 +148282680 +1491464362 +653860300 +2023051465 +207029226 +769015574 +1132273604 +32999858 +237140015 +1432946398 +988908867 +825927521 +832018723 +826363659 +1691118230 +1909310194 +1186895333 +450421026 +841662011 +1293401595 +2013732168 +1027023426 +1618367809 +1418962287 +1526737147 +973388532 +350901882 +1045603520 +152278416 +611960909 +1225929590 +300561096 +2103425271 +1879789891 +176128913 +162970849 +501321817 +1308402518 +195970708 +738461832 +593865268 +1184879575 +1564389353 +1425883992 +2011243234 +1108023935 +1187710538 +1050654919 +1558444961 +2029372550 +196572867 +1424693481 +908912328 +1814940676 +696172121 +288165827 +640845560 +1047074003 +1333769347 +793123976 +1659034912 +412215290 +1093685072 +1614976536 +144521533 +1269813986 +1777947385 +645843350 +430732856 +1973918093 +1384305183 +1024598124 +1011314020 +801210888 +302998468 +875073606 +1909234824 +1490709007 +1925728526 +1320196137 +1372597909 +2122301393 +597405971 +134026589 +1789758421 +1293578092 +422192416 +283120333 +193168447 +1755961763 +1076244309 +1852203359 +20693405 +22445733 +1319696247 +165214938 +1292259719 +950159985 +811058289 +1722992575 +776594430 +47879824 +600107052 +1787908451 +849090712 +903105520 +515498409 +610841888 +246330879 +293743287 +1931038026 +1618928788 +268561032 +380960349 +1752955377 +2058319453 +1674538441 +27664145 +193956138 +1867706888 +1783625909 +1270200447 +1572426599 +1804319314 +1292646181 +744639199 +1969534253 +437422252 +1694799184 +633108894 +12931180 +323909966 +680988718 +613038232 +2111818417 +1530079430 +1516143752 +479833179 +2140921319 +1762474632 +773576466 +1924475697 +1233919772 +1042137499 +157952398 +839391502 +952973304 +1832490839 +867055647 +1146929443 +1552714079 +503197908 +269646242 +977657030 +160033575 +1562292423 +1722296229 +2129567828 +1999714676 +1269611765 +615193074 +2012645856 +1593521732 +1296181792 +478200440 +1557856501 +678777574 +1994344192 +2037689680 +672215245 +1609335176 +663782499 +449207294 +695771301 +1705919998 +607159692 +1535162803 +511409654 +292166883 +254734802 +1658339097 +1844880962 +757932711 +1927985340 +675054345 +917966286 +1342794115 +249866926 +900050466 +1195025143 +1519478692 +1515243540 +1060187351 +965516776 +663941684 +1538387791 +375889629 +1342719258 +1385248336 +266095662 +2014934504 +847099864 +929878161 +316658150 +1542871165 +488314511 +923817843 +930550320 +999724165 +1215984726 +1185285123 +510579615 +913382041 +1943217834 +291081307 +1588436386 +713700472 +1633875422 +1838303312 +1613750938 +681416918 +1210298356 +981510830 +1741604269 +28331484 +1645452514 +1132508413 +404221114 +840688124 +370273101 +670316776 +708138980 +1217372965 +1600194937 +1024797131 +612760483 +2088509448 +1948614974 +1543310803 +940749965 +1017116052 +581112278 +1451329580 +1930498093 +376846464 +1742410887 +1371450831 +1090546936 +1228802662 +1062270496 +556814226 +1910219580 +125085204 +1538325056 +1504340201 +153416689 +1036293922 +489364966 +557637803 +1876982047 +859638067 +1227954579 +437637379 +2077011033 +680665868 +1462434510 +542287868 +621691668 +1263565836 +2085598671 +1562441633 +133198241 +519227302 +866287566 +2063696334 +896073766 +461214805 +1287663518 +1986620703 +1690017467 +202450366 +395951281 +1452753399 +327535570 +1934276338 +809609953 +480952259 +823086612 +1298974919 +1038590062 +552585011 +11129339 +119060993 +990222391 +2088140372 +799726861 +305173253 +482944592 +1421418529 +1568739090 +421059615 +836376515 +1701937331 +940286917 +1702664081 +1618150017 +1836360684 +16395238 +758329887 +1675497739 +1706412706 +960780253 +2071449020 +1011682457 +1288315824 +1858241710 +1821292410 +1769268083 +533844675 +972783682 +660374498 +1086429686 +983913021 +779435491 +2076652077 +924569745 +1579162353 +234341683 +1407514337 +853097234 +1803080773 +1828573952 +1689473749 +1357534456 +621377222 +1244654182 +828200825 +310254258 +1261049421 +1586530713 +1985751997 +819978479 +399827318 +1909717369 +1831660936 +1688143142 +1620475432 +1505469699 +1309927578 +6836459 +330769733 +1970302076 +1093266145 +1314682754 +602253919 +1022434575 +91768851 +33932624 +1256776258 +1499283188 +887029859 +912373383 +1180373492 +429019960 +122424191 +1801750714 +1673674143 +950625016 +2112004972 +787239916 +389672081 +1950273321 +1607218395 +789499400 +1712507043 +1291395683 +330158894 +1185498827 +649381734 +1640086472 +1192335286 +980151467 +1462904900 +138117783 +147350573 +2065158820 +1160552358 +239119424 +2099091444 +269844968 +1738402612 +838637655 +1182218351 +771292457 +1267657616 +1304642542 +425559523 +793848111 +107783911 +390080848 +1581088027 +497455992 +192870521 +1040822774 +1286955392 +1905377564 +184734809 +1617114287 +943392743 +834116544 +1109717111 +2135728029 +1814268011 +425138364 +126362165 +1961618585 +342813536 +1286914523 +53254361 +294421332 +1556759492 +1791656974 +1133058988 +591494195 +415465783 +253232956 +1896136738 +841025306 +1047081067 +2003920649 +1231106154 +480685446 +353892993 +1423976676 +1521508220 +1640848386 +1181870592 +1706243029 +1110479025 +2125263336 +392875925 +72712488 +2113507717 +59660289 +497850852 +92386234 +2021278874 +840664388 +1379300758 +2074533235 +1135085721 +788576602 +1718706561 +120661061 +1380070797 +2134172344 +373894017 +1128723887 +827714003 +1420975084 +985160888 +2058820157 +1901660530 +1339053882 +1335313185 +1275685102 +832418620 +369700130 +834444483 +1942897645 +347479818 +1227320409 +2015610133 +313503887 +1286980698 +365977338 +405890122 +1160775924 +1206641726 +1785190880 +1087825511 +194243799 +426283834 +659048425 +314904860 +1806354631 +645737121 +688798877 +787594871 +1473451124 +2109773961 +1772755759 +1384787634 +1863950843 +964325993 +572617171 +992152297 +1796744613 +942317301 +1826596781 +1592158610 +1289797119 +906433542 +1460285096 +1603301007 +45930592 +1826262434 +2009191129 +1206706516 +885420512 +1646898361 +147048379 +1079664312 +2073182195 +806096804 +1394569172 +1732053178 +1451833926 +2083368050 +372164401 +777801402 +2045658363 +2144920161 +15105388 +1762125559 +961762506 +587722560 +606794208 +611023472 +1530039861 +285907341 +55698434 +672353333 +1192340883 +1515983530 +128170692 +1238271475 +1194762316 +2137361821 +297494343 +2080182829 +1636776534 +444542723 +1012363493 +1562475081 +1250639527 +259449017 +1147044611 +554989805 +195333419 +1519209013 +1332791208 +93508135 +1516645526 +1347896596 +1855633694 +330924384 +1935619156 +314944254 +941947856 +1318175370 +600851596 +997646291 +1990528703 +1793192479 +366146173 +2118699395 +883980307 +1560908490 +2108577568 +1181474650 +1493607671 +1597870454 +1626017373 +358487516 +1012861887 +729173253 +617936533 +12422850 +1284163058 +813269953 +1531631863 +469470618 +906778088 +900793741 +1817367215 +614928134 +1231718126 +1605502723 +929872388 +26182334 +776194445 +1530723984 +1023828625 +619239500 +1176432816 +1389974799 +590455247 +2060413123 +803399641 +551549167 +1094404125 +149523664 +1935973 +572937851 +508011180 +1014797860 +1302111104 +1125947713 +1027220711 +438790514 +1939217666 +411368926 +908261133 +698512106 +1312162668 +578144700 +1313440240 +396397146 +36163775 +95828981 +422579480 +812358221 +1626552965 +1446408106 +1431597721 +655502133 +688899257 +2022052969 +568431608 +1492298898 +426118488 +1662835734 +1641822562 +428054462 +88289937 +2350094 +1442852322 +1390401041 +1128297807 +322589385 +1829191555 +920031826 +733958312 +589969040 +1618543932 +2046120980 +1168113740 +784500525 +295034478 +1204277516 +880329506 +717613958 +2016635737 +359398823 +16538416 +1300749810 +1014900957 +705437673 +1175319131 +1583332565 +50252923 +1601437620 +1098684651 +1692075485 +2029492082 +1186974588 +1694425579 +1324860756 +429891981 +675239739 +1647450142 +111599889 +1595271565 +233924806 +701568929 +1066331849 +132562138 +1869682670 +1850832374 +427596616 +926476538 +583678232 +1145210574 +795628627 +943077056 +1161748991 +2096378437 +1957978013 +1867186664 +1124213921 +1393826930 +1917439588 +578167893 +345027934 +1462031425 +460176327 +1532002522 +1008973357 +1785037083 +1961894504 +1684213096 +1285003577 +2073494393 +1132001013 +1518928383 +627579674 +50849214 +1651490521 +349778696 +1901681589 +2079087137 +1276255234 +337876173 +1076814064 +2071883861 +1280953229 +91079407 +2020778651 +1091447594 +1958266071 +997508924 +337790877 +1728222011 +1575676817 +682818811 +1042769789 +2035853144 +67337685 +2051743146 +1673406579 +2029232189 +1588472594 +810926509 +1955242934 +572989959 +182371244 +435338961 +623839173 +1833861766 +785117657 +378037114 +1765465255 +2061372892 +715913288 +694795671 +1985773105 +1996866517 +785875078 +1859068108 +940830464 +596657502 +709093384 +1278621341 +177395865 +137286553 +1961440152 +1220165654 +25656049 +2028777837 +1124425152 +1699062629 +1910526379 +565414098 +362505490 +1718285665 +1138404057 +544876734 +6140978 +1762243231 +231254852 +791258636 +2140280345 +1996720108 +705147880 +708709985 +544032131 +543437337 +558092855 +1329907210 +255021798 +1498923319 +1926564712 +964115182 +630061012 +2103960577 +1101401736 +444017516 +1176642584 +1127057785 +325311705 +153584088 +678636766 +88354436 +718998187 +1041142256 +1806640102 +1857402244 +1586018991 +1812781080 +1472161827 +1817273843 +456556068 +1464958525 +1666510303 +1161703948 +26184862 +63058787 +1705141286 +584277717 +1392965997 +1960163084 +2083201036 +1172047061 +776794618 +565778400 +1128523990 +1878196354 +1009795916 +157682926 +857770492 +1335107622 +311267015 +1536407258 +1423462058 +1030265202 +430065867 +1082618512 +740183798 +2016084858 +747915945 +64861978 +1685875053 +1204472013 +1529820503 +1204901709 +218692314 +1556005365 +1267960496 +1923833600 +2140283083 +513442845 +1736513036 +2076000471 +1685489906 +365824006 +494295224 +666530248 +96536713 +1504091140 +824213175 +954307205 +691715114 +1135480190 +343230815 +2115177173 +18261744 +773296682 +1050312037 +758445542 +641897892 +1798227982 +823307520 +180289298 +855216348 +205644375 +1385191007 +1073908662 +1761649741 +505667855 +850258614 +1754449176 +1019110700 +439288002 +1682965999 +557116958 +805112008 +29777575 +1223647206 +901648721 +1533868716 +2047860381 +1855955926 +78100182 +1035856923 +51703094 +45793707 +1054118667 +824999776 +1096105745 +1812564210 +1466897669 +746850079 +488388082 +1647186967 +1602066427 +694032458 +884894326 +528491441 +308198551 +1390562181 +1378750055 +2062647727 +262189233 +1818038057 +1598130078 +819306191 +475666418 +1627907654 +2042953397 +1377315139 +1014292722 +1943330131 +1085787418 +1092392904 +831703406 +1137490512 +1138186612 +1885822074 +1962490288 +86808709 +1550902636 +1281904309 +833658788 +2039290718 +781607628 +288241568 +585839528 +1666501954 +816733009 +894038079 +909580487 +47999417 +809202158 +1171769720 +1866037474 +259848589 +1991075911 +194220244 +1887756243 +1886545661 +1571535384 +754565317 +1682392144 +509839154 +1846958221 +366611902 +1647329666 +837661185 +104950328 +1462336306 +924469894 +1655852964 +596756968 +1758128683 +1547660035 +1378364596 +2046370251 +2133499563 +897382903 +715619612 +880053995 +1806963390 +763619029 +1689256153 +831249463 +482172856 +1949104742 +674841726 +676393100 +1689377337 +413903739 +100444836 +296459006 +2096295883 +610283990 +2143417228 +315424138 +110130008 +833594765 +420374466 +1572466315 +1758064660 +2076227431 +21739635 +1368709695 +1476403818 +1400104231 +1267596298 +1462419733 +150003486 +1983215910 +194990080 +1956966877 +599351292 +1884246234 +640732692 +1081524148 +1685867328 +1315574418 +1757917248 +1227761018 +1729478158 +1858362085 +1524220024 +1678290393 +321162427 +1520153604 +1993714531 +431292436 +206264722 +266605350 +2003758751 +1964329382 +195349133 +2025498386 +1185555429 +1671752951 +1278118969 +305668079 +986689036 +1428122456 +141400341 +1181679117 +1237605685 +740751633 +918441703 +1878338377 +1822275781 +456825383 +1046429147 +1432709382 +1684586401 +628423657 +1143587819 +1061322778 +159230403 +1464750246 +433992734 +5461286 +1896042682 +640257456 +272066636 +1752317785 +457103190 +467415769 +1630332523 +1642658619 +2139168720 +760967845 +1948326698 +978374109 +41606653 +2089727040 +12569578 +1279212338 +682995025 +931011281 +1010067067 +357787159 +1387836664 +2056496214 +1790496541 +924939418 +537436224 +786600712 +1986262196 +696666627 +103867310 +272771282 +702127913 +1999909993 +913028739 +974194550 +1604744130 +1370131929 +1441610319 +1087593006 +865306901 +1433295392 +1848560851 +666149951 +264185853 +1890167504 +608393343 +276755431 +1021896194 +1291388369 +1207766712 +2031963261 +1649175528 +448119728 +1940975827 +1292188421 +1373059146 +330928403 +2078789133 +1211837694 +1027595030 +35172795 +1484608977 +1729722944 +2035082788 +250154068 +556433846 +1492343271 +1620285997 +1998044165 +432452629 +338109250 +1283855909 +133529832 +1004259202 +1548041762 +2023697336 +1612652545 +1824797193 +898109882 +756557266 +885080257 +782589495 +258249146 +1333199986 +576081674 +1550437567 +558775484 +907010078 +1481743052 +1770613179 +1934605108 +1516915848 +1107738508 +1516844404 +1404514988 +1357892576 +2073278250 +749374611 +830694925 +1923838768 +1181827240 +1168804176 +1060211029 +1315357072 +25579730 +460769144 +1191570760 +1638232275 +138082689 +2089680642 +247305894 +1023162947 +724786489 +505555040 +208879285 +1300868164 +2055992608 +767654769 +60394594 +1390252012 +390784300 +1994999702 +759684212 +1498522808 +1364360459 +16715553 +708931736 +1290155061 +766090164 +1539626662 +1066510181 +1947917405 +560947190 +2126721211 +1115790829 +586526920 +440006707 +159877942 +77275547 +578089396 +102074936 +324581441 +1601252343 +826861426 +830136482 +1810131628 +2127729590 +738645442 +430302750 +40640536 +2128897454 +821087050 +2035640238 +741098019 +172126211 +1252517049 +757813572 +881057947 +395188463 +1523903736 +273200961 +1461698644 +1324337493 +834148151 +1440936207 +292644675 +1420675071 +1880942914 +452522617 +1497950619 +311548663 +554597553 +1822532060 +1912801006 +1381458979 +505184894 +1575448987 +1361704921 +1243830336 +2005751737 +1402345457 +1225244143 +679355139 +1290502048 +1966342162 +851481350 +395535449 +576672086 +1732539298 +790723912 +2100575822 +2005740259 +104938909 +1277429668 +692404763 +1545875116 +1570074343 +2113079834 +1279334383 +2022596960 +1463546805 +1590883046 +429710865 +1138595218 +1356200404 +1811169845 +1643780112 +784165743 +1025391118 +740126801 +642433832 +280252928 +1965370944 +1321788972 +1570754976 +1784229458 +25786674 +1966290425 +213417896 +1758325972 +609530690 +166510070 +1616582584 +714469599 +1443939738 +161503699 +112861067 +866530433 +127099885 +1392195450 +741643745 +1590646691 +835594848 +1171354611 +581758261 +44311605 +835040808 +78054725 +828477348 +1860431926 +818181526 +1470911181 +2140684854 +636068822 +645216505 +1563956182 +272814632 +671003179 +1382762960 +486232528 +281845504 +1992293650 +652742599 +1898428088 +559279601 +2096682337 +2059931787 +672140668 +815729123 +39548024 +2064336119 +1557372868 +1630194715 +752447319 +581243831 +64469328 +796758924 +1416284639 +142524054 +1625236273 +1129232918 +960705580 +948663806 +1122434124 +1596774403 +1593880311 +538906659 +1869589035 +117399842 +1921669619 +208337916 +399245346 +1766479621 +861080515 +150189786 +178275574 +810279204 +62637925 +850416242 +1626008327 +102185950 +767268713 +1035897548 +1732380665 +1519716033 +1617141379 +1796849994 +168991309 +885942371 +1939374048 +1794227582 +2015175289 +752595980 +595407740 +990125765 +201886735 +41804403 +1529032424 +2071475771 +159204246 +1303218395 +132330039 +558449592 +922214368 +993410554 +708639379 +1100489942 +1803689758 +771277304 +1950906185 +1282214438 +873463254 +570691250 +170628338 +458360272 +2090407283 +1787769717 +107726618 +111914945 +526228440 +2047100666 +1906142527 +393920081 +652212998 +354066620 +1384045847 +854099734 +395871023 +765594623 +778091857 +555075269 +2068813019 +910421896 +1113524862 +843543739 +1903832450 +1822164241 +1944033682 +1560038560 +445957897 +1747456219 +694769350 +1319421152 +170663821 +865397688 +1777781424 +113587457 +505683758 +1885508042 +225502402 +1031912198 +1785125060 +2131644929 +1425832280 +289854410 +338227901 +662394479 +1143954144 +734098925 +1427989102 +1922046001 +1289174194 +1349318473 +684984249 +255215408 +45378565 +441333051 +2077379649 +1989412247 +2001371612 +375853899 +1589384818 +548657314 +1695275051 +1760048639 +1414055003 +1325572827 +1873636096 +1919738761 +1063597221 +2099138498 +804167311 +701238633 +2083299780 +82515943 +991093043 +274044033 +744910422 +2135047188 +1008142958 +25415877 +1909609541 +149833505 +1374734350 +447110143 +405048913 +1420112915 +888443194 +334944915 +1262041514 +742331158 +710798814 +703942684 +1290988473 +258590217 +316507676 +557559828 +1584163044 +42660124 +329814941 +500276617 +2141798623 +1133982252 +1201515250 +2077614755 +1216498196 +45124645 +204175140 +1961408618 +32688185 +1212318099 +1986824495 +1942297727 +1362151604 +1214075198 +241924222 +1767200517 +486704465 +1130367416 +2102145432 +1748745980 +1872698575 +665460598 +305205016 +1016203400 +924050815 +621712692 +1573763228 +360730211 +664372817 +1903578169 +861006828 +658687792 +890076773 +2062522078 +588818899 +2106574969 +2107646724 +792994039 +1920499940 +2140334909 +2005312138 +1759840787 +1935148988 +1219980094 +826432337 +29589562 +839696964 +1313136803 +1159956979 +794358748 +914399135 +885171906 +1459819347 +1219604151 +1901375306 +236386514 +1841316844 +1327654886 +597116726 +358206013 +1083749407 +1458123554 +1016893805 +1973826180 +1373161985 +1605712704 +1932917502 +1333325061 +251223095 +1705933794 +1326176322 +109051586 +1318290933 +1113841663 +1329031680 +2144723271 +1143431225 +21244996 +1310376426 +155904556 +815603745 +77291913 +1041076462 +127939444 +1296896064 +794968120 +364325958 +990729260 +2122623006 +961442684 +1348935273 +1058888765 +272082591 +218345430 +885231298 +1645244576 +1824058134 +670665152 +831085989 +2075281230 +229115298 +9778663 +36849168 +1547406231 +1123620326 +1365880848 +1544645854 +119567904 +1387125845 +707538632 +275472460 +55245942 +784830545 +1316548923 +183185386 +2081726610 +2111517043 +547511344 +924972222 +2086656402 +1508954029 +126423848 +998061519 +1781036620 +344769278 +1883292817 +1278797548 +21343765 +406474321 +2109883537 +2096624995 +635589619 +2119662200 +2133474163 +35512203 +1095798879 +1351871363 +1580158057 +1215366783 +591513560 +140213042 +1490839243 +646759502 +925043587 +659904518 +829944888 +859286549 +623937914 +1377456233 +1784258772 +563110668 +738926614 +1910682620 +1561172187 +372479586 +107968250 +1296981357 +1651277134 +129312015 +1703455678 +1613677023 +78453362 +191561650 +1585855575 +64443877 +227073853 +534170806 +1416315241 +1807231910 +1749537589 +2007828801 +1947444952 +1092893185 +507104656 +725004892 +1752797703 +1337049544 +1584291441 +229251969 +567022129 +1221066565 +792362637 +1305948743 +984265537 +206051177 +1678428329 +1092233788 +1503032534 +1182221815 +1221545803 +1059004564 +648415190 +1299999166 +1250566214 +86787118 +1364443043 +1477640067 +620957924 +633274636 +1137388330 +223011866 +493619790 +937349634 +1315905051 +1000724446 +1662354526 +921219106 +190290342 +1099162320 +1150471076 +757312472 +172745237 +1942833713 +2063261215 +1157010775 +1401242 +1594205897 +101760915 +1504433776 +628944064 +1323306718 +415954693 +1277359255 +475822236 +1666520907 +1364146373 +1840265280 +996677327 +1985104297 +326056268 +2134065657 +60632515 +819676058 +923931643 +1376537566 +1820400504 +438802522 +150273025 +2010690847 +1537964842 +1300744101 +620519671 +1710710079 +1096094166 +536297238 +720237206 +1097495409 +2130503135 +821998121 +454445537 +611963552 +2145304840 +870400230 +1889322807 +473643428 +389437490 +1105985532 +166425060 +1386114817 +943606181 +492481329 +1372696826 +1004238697 +1312157387 +149144821 +233292615 +985074244 +587947343 +383565640 +848281443 +2125912185 +1684309741 +1468801114 +1689138617 +632920260 +2005098352 +261892175 +1730415669 +1988117840 +1083890297 +37377558 +452597744 +1081711489 +907777789 +194436903 +1555354917 +1297215279 +1300422435 +1721779978 +535846448 +96544968 +66777659 +1908543274 +1100783665 +1378935046 +2057688095 +1334076281 +216525642 +498151791 +1717641921 +1064807085 +476580328 +1254468015 +386124551 +18235297 +1887388275 +243739256 +280127473 +1470320296 +84373448 +1364017770 +1507697854 +536971192 +298245611 +267991995 +731408095 +1853600528 +1565207274 +2031830530 +1427896858 +2101053722 +2128375498 +1494674517 +1862113348 +1081675516 +726125916 +1772317796 +268268149 +942651558 +122985939 +1985910070 +2007458644 +599566267 +1092894437 +246099547 +617801565 +832799064 +489838803 +897929038 +155635712 +574212251 +114463160 +1663333567 +1111183443 +412708771 +1931325562 +1842591538 +118825651 +1349049189 +1726938420 +1546722510 +1302619263 +1707830271 +893913379 +1017248964 +642022139 +1620039295 +642083112 +910290288 +415207206 +765069051 +748716710 +275182202 +1364635318 +1841611148 +521281749 +1982436883 +526926564 +1011120553 +732882273 +682562277 +1585332804 +847345433 +198412196 +549032600 +1260054204 +2129737758 +244140490 +1378879856 +1331303299 +1971078911 +778118718 +486438915 +1531425534 +1672032097 +1503687879 +25964025 +1144587745 +2145770991 +936254313 +1559794951 +763356394 +1684971023 +1834977153 +2127991712 +1379098523 +208775254 +1962944948 +1906025088 +1219895807 +548343573 +441103717 +657744964 +1395689007 +639515913 +1206777564 +508259563 +621770023 +1450918054 +1887139419 +1953073323 +1274513317 +517774489 +292028590 +658455203 +42322939 +1795716469 +684419228 +1186910684 +1794003812 +1620673541 +599221987 +409876558 +1158160917 +286715492 +390384622 +389775792 +495490746 +205845922 +148317232 +1715386554 +754189496 +589420949 +225647870 +2394855 +1228936862 +1432425434 +510654418 +1850706886 +735859840 +250310190 +1656296561 +2010373158 +768084679 +1948325151 +521344713 +810407618 +1596557972 +1205763942 +1997318302 +1243078136 +678953835 +449056641 +1652954694 +1837114752 +735772133 +2043339316 +79406897 +1231262880 +101701591 +227724129 +799165786 +855891087 +817145079 +1024813656 +858285942 +2046081941 +309755442 +1368940360 +1749305179 +1045615282 +1619250550 +1258118092 +908504792 +239851582 +1058959595 +1429849506 +1050259200 +508033919 +488129800 +900093855 +1751112055 +1167083635 +1349150496 +1256583101 +856714740 +2084922630 +1152438770 +936121637 +1168701862 +1254140361 +1163845766 +1967867648 +2110031448 +1980990845 +845197656 +820833742 +1879589139 +1154953098 +42290454 +1481410670 +53084732 +1661541005 +592045115 +961589525 +1901392587 +1651004710 +243955383 +804168139 +11554982 +732085183 +1704261994 +1762667037 +1899168818 +905928843 +871766491 +608399910 +843367825 +2024205261 +1544521547 +2012069687 +1130861974 +560883666 +1832453687 +1093409774 +394390863 +530167695 +1914243516 +126496354 +1685120793 +1956533970 +1607907025 +1738205525 +1470591327 +52468492 +552311402 +1224500266 +1703473202 +796266785 +2028668406 +1715028184 +1528351968 +1585446752 +1330211574 +1280037139 +343891947 +54494417 +1888437049 +1187259772 +2078699678 +1285474949 +1051845811 +1062078004 +1846358615 +736815850 +8004130 +93265830 +1266983545 +1922247646 +219762185 +804620690 +1731297968 +1827669210 +395342568 +1054405648 +1880137702 +947653970 +131422266 +1436127256 +1743920756 +12607024 +1003671793 +1124789076 +1598053777 +186399719 +257342567 +1941945724 +240894136 +2145779617 +981721849 +172110166 +1283770918 +2033567660 +1234188170 +982645885 +622899863 +1242192300 +1075911715 +1889883408 +1016956298 +1295673900 +547020451 +600770618 +975859462 +942363019 +1655176266 +708513516 +1890016989 +1786598533 +2144640773 +1486454097 +1799205557 +1000828918 +463759526 +1249775686 +1187228637 +721102093 +1044237763 +1428122773 +719398062 +2025959612 +1600232939 +2003168980 +1912043624 +686937461 +838331217 +387459839 +1929129761 +1914242933 +129859600 +798602411 +1062433185 +676880051 +1399373029 +2038292648 +1619243070 +907065648 +599322516 +1361776411 +546180533 +596479641 +700746861 +197902442 +1597308559 +1164506387 +1447678129 +637053548 +1885608480 +344432244 +2065176321 +457522895 +222908208 +1517925612 +313208227 +2134951832 +57379425 +1151539445 +374928024 +1986509186 +918298730 +504787624 +637627949 +1980731915 +1181667675 +2037000979 +1871540915 +653427097 +796582979 +323379784 +2015203508 +1342763512 +919859425 +568466721 +1540665954 +369684337 +1732973108 +840860435 +1006737885 +1471097941 +1185292679 +924430559 +1928620836 +1408200887 +294872523 +94345415 +1395669072 +352251949 +1245884860 +1770597096 +191277487 +16699942 +127901072 +828905437 +1997431858 +1309568747 +718422768 +1721489125 +1962995844 +1515005747 +2044868909 +1830715704 +710285611 +817244687 +251698778 +103467917 +1186929024 +1984671886 +944328353 +46183261 +1308286179 +2129621032 +970613820 +1089423367 +1390338272 +1265486344 +1183768783 +638523696 +1617738293 +282169995 +261637144 +1809015780 +298869938 +389538216 +490437569 +148818148 +1699106963 +1208860337 +1870307273 +1514619159 +576382436 +1767692535 +1197851215 +1286668047 +437453574 +1449549993 +1390135965 +1624382598 +1286738232 +186980670 +1670565859 +447540763 +169118054 +493696032 +1536964131 +1559456326 +1759182376 +573249266 +50496374 +1229437021 +855419261 +312133518 +890969153 +1154289199 +701671734 +1381406723 +1303107347 +253295049 +442783412 +1025930973 +1767914208 +1019165849 +646139860 +818281776 +158350248 +1083593434 +120348121 +1548486213 +560492384 +1407086353 +1735466883 +83574595 +1854627117 +1904584938 +577270627 +1244107600 +1316557616 +188969355 +1817356866 +1367053991 +1418406376 +525292479 +1679187509 +161891882 +1679581679 +233375596 +1543298605 +835205378 +486670645 +1986082017 +1861136351 +107101206 +857764218 +359792563 +925382982 +1016114467 +1443385997 +1045731103 +417117032 +2003878381 +305333809 +5100268 +2087452977 +12477278 +1909685206 +517239956 +1256584878 +1078759174 +706209312 +926458096 +298329517 +2124615688 +1451750575 +1977517027 +139023922 +983848606 +63408975 +1682322527 +1819053985 +550079620 +1520920897 +1532706688 +657180826 +231201467 +1892499252 +1582563808 +1247315934 +1188401601 +480811264 +1664432967 +1044796335 +786145073 +1669533235 +984765664 +798622351 +1431734793 +1502005620 +2055207229 +363010319 +60731284 +834181677 +661339837 +37863325 +138448604 +491373216 +176887247 +1122297211 +554782191 +1859209775 +793867548 +1104861811 +1232647024 +179090588 +1762042638 +1463848491 +2071589840 +1197122798 +563680778 +1112507794 +1677934062 +80630097 +9820481 +316595487 +1750163332 +994586145 +1115217838 +1034414477 +349108117 +1022941419 +1397424796 +409839402 +1857123096 +2058764633 +447702727 +1995571701 +402654201 +624589974 +970385264 +957436392 +336316101 +1764252812 +2062298204 +1568963125 +1943343400 +1676857194 +885327969 +1867449593 +726496344 +1449008747 +832473739 +256946759 +1529638844 +842294220 +573542246 +1132318528 +1836880365 +1688760085 +19249357 +38504834 +564217856 +1416674153 +448344236 +273857305 +1327955139 +896046963 +121945358 +1730609340 +1520636938 +1092330622 +540562085 +1856953039 +709099786 +455376641 +1278432517 +504959538 +2132233835 +16276838 +224925483 +711246531 +1465285585 +1057399222 +968193290 +847440781 +1899693442 +1541735537 +1979759309 +1589090159 +1083011974 +1999008666 +1627594994 +1647229830 +1268199171 +2075939230 +1921087135 +448670662 +824502546 +2043032493 +31796355 +197655836 +987879467 +572358440 +2054608875 +1696979253 +1027735081 +1185557744 +54455144 +1012485268 +1201834582 +279380627 +1723731799 +519636519 +1336779850 +544441442 +1367077300 +1088989644 +2086176979 +1199352961 +530596156 +1021705305 +1050877979 +10707502 +521451487 +171593503 +2086646732 +295054975 +620264165 +763665630 +190603820 +652060520 +961321466 +1178483288 +1224418960 +868446694 +727978893 +104670393 +2054004438 +782434037 +1117155661 +1108355373 +1061814665 +693403813 +1627991892 +251110867 +1237845255 +847585545 +1340100511 +1176538586 +2046938506 +1870696667 +50760243 +950332838 +1881404169 +572211730 +1121926341 +1820567254 +867266705 +1742190506 +436749236 +1057870526 +246767379 +1398070703 +88870166 +1471186339 +119033749 +816849059 +1575856733 +25554539 +1599283097 +545528746 +1133909912 +513614114 +1238932559 +614418157 +764724981 +329294166 +1462003702 +2104825492 +1505832752 +1361458560 +1828038512 +1556592995 +164307750 +1561959033 +2128804726 +1286234091 +1235042639 +848587783 +880940950 +1671791876 +1906458309 +1127708329 +922378931 +1995328475 +451411020 +1041412680 +664693887 +2027267753 +1066967219 +116493336 +425312852 +53393484 +630107450 +1664245411 +667811641 +1394832431 +1993539578 +2129815343 +1352174275 +1351888682 +1343790255 +1032729139 +760998030 +1508098006 +447204525 +742319108 +646848449 +1682247164 +1590906891 +1527789399 +1206555392 +1349881553 +508014080 +2128934323 +1197726380 +959425101 +1022863355 +1862420267 +839209206 +2089830575 +1978913603 +1264522058 +2143224059 +461537405 +781283822 +663552052 +1856369836 +627339752 +645883747 +1061060464 +1979228434 +1989674002 +2093789603 +592742816 +1350288360 +393510480 +1335061924 +1997136810 +2075757645 +778485168 +1377442561 +1134829389 +2128366721 +1885456642 +1116280065 +1178609453 +697398095 +2139143420 +893546073 +1536607301 +2081490347 +724976028 +653645712 +2077230758 +1186513434 +1434929534 +593299162 +895399622 +2062269286 +1239182909 +1956460086 +1894014072 +1081373264 +1902766042 +339273241 +284177976 +148792874 +1674335165 +133831138 +77066871 +305336685 +1511273700 +1211896261 +286219758 +1249246694 +180692678 +1464829212 +1946644789 +172352450 +210891637 +1335768442 +106359150 +935867665 +1989414154 +36106260 +2122381099 +1276860040 +629405423 +870297074 +1191645678 +1868588332 +679273512 +938176103 +802477948 +434555906 +1277449344 +1086655925 +583348781 +804300861 +1220487063 +660415652 +1109637547 +584277115 +1872311913 +1395857305 +1833523809 +2053004591 +713202869 +1632684950 +77873394 +924094506 +820969745 +184232544 +1859962172 +662900251 +220338804 +1834859623 +1939760292 +849744227 +557673049 +983922322 +570848912 +1236946562 +1922098425 +1373326860 +1671502468 +1052064121 +312499137 +107367601 +1856364983 +1532986201 +767783254 +818518882 +2117263316 +492611519 +66892539 +1803303478 +398132463 +780095409 +1288504780 +476005857 +1704189915 +2109474525 +660238401 +1416668439 +624891129 +880577205 +1104044415 +417167773 +1730321433 +1661717464 +1401090095 +153686697 +751180378 +1175704873 +1527013557 +275199199 +80285346 +1839512695 +382566800 +1936650329 +1225015248 +1150350054 +607685563 +1194794916 +1642961574 +674578103 +850614746 +2041094037 +1454673512 +2139119527 +369616246 +1011379779 +2101110404 +1029854647 +280564571 +578517885 +1910431852 +1384608986 +995685658 +1493269637 +898842802 +249292106 +1646956334 +1650023181 +1424996979 +1026486244 +1925222380 +1505282325 +718515291 +160305532 +1294449007 +1943530539 +1310655587 +1902134570 +990841807 +806133513 +429229025 +1841456554 +699743902 +1883902537 +1833092433 +1069360148 +747798669 +1786719189 +2099214795 +1028363240 +217753427 +1862162999 +265488578 +1213439085 +1207948989 +1164331380 +1462731191 +707421675 +666870913 +740244522 +1733907919 +444609645 +98043200 +304939562 +604915178 +1392492207 +100986453 +1915570765 +1147143129 +1091828261 +574220630 +1576372155 +785801167 +1273964532 +1312791044 +471409952 +195841032 +2060589713 +110645493 +147572179 +941469305 +328398920 +2009735178 +1206957883 +1541838006 +1070200519 +223805616 +857085549 +1777622195 +890676529 +1597330072 +1364046466 +1335286175 +1695373272 +1668986029 +1940201353 +940381831 +1769972482 +1708288470 +2087524960 +714317095 +135025452 +1516413467 +1500118262 +1408989984 +681720864 +1971528214 +1604831016 +594826929 +2082173708 +1752403195 +1536296235 +263088980 +1614654725 +595770470 +1804926986 +537371597 +819576086 +514528888 +167510144 +1710252616 +2111858960 +1531556610 +898055143 +1659748584 +1053058991 +690772848 +452646767 +675547826 +251577670 +392688079 +1389864921 +386603122 +1909101547 +742499536 +1795593106 +443338763 +566544102 +1252940474 +1038165692 +501234162 +857860021 +426978279 +764323143 +325031098 +1022748750 +421766481 +862402695 +1842324836 +936295369 +1029912839 +1405093804 +900670681 +413985802 +155665299 +412935617 +1467044793 +846438147 +865582384 +2142592619 +1098015817 +1258270464 +1384973893 +1484618939 +1019888363 +2127473429 +1132728397 +1463227126 +546533883 +238185223 +353909170 +1047768046 +1096045244 +780887450 +1812091189 +1421076343 +1803636200 +86374022 +135995390 +1498477388 +1022669392 +1165908230 +756087545 +1923340073 +1579894032 +911752844 +188792043 +899455177 +1758190992 +1054374427 +894564149 +708723161 +165161243 +132054394 +45858453 +1185049606 +112044175 +1178586850 +500793084 +658578058 +1416772074 +854702255 +1706346104 +365333670 +1635589705 +1370953645 +1786410013 +1291742257 +1457327668 +1922405404 +642735997 +332513412 +940829986 +1398823542 +108369837 +373240370 +163092739 +297161880 +1272695547 +1921283731 +1351536308 +19776048 +482523244 +1516697551 +151830442 +528381697 +554263510 +263874617 +1706968548 +1055056594 +922452676 +976256974 +1909758849 +481315132 +1341590644 +1397864906 +1852268778 +980517010 +542123515 +1162112798 +755438766 +1184859513 +1494626210 +1696268752 +436199407 +1602996047 +2069509122 +599292146 +1900157928 +1194721021 +373092229 +1104210588 +1214497070 +855615474 +473424491 +1366327512 +1383997171 +1027688001 +1630202130 +943482071 +2082744596 +405171158 +1919739045 +1845019797 +886486290 +1113846042 +1095401056 +591271420 +2094363052 +1637524571 +1753384218 +702318170 +674900436 +1100526780 +251103274 +1111099844 +556039180 +173128748 +1710391990 +308713460 +1367849769 +2083484220 +1412924048 +434863191 +791616046 +1886348539 +1801190704 +28129569 +766552893 +1283909186 +971611641 +701813841 +1689080344 +743867038 +399349990 +428082986 +1857713080 +1494751046 +1019354407 +1804592484 +984791970 +625254977 +359427006 +1659692406 +1725781758 +610530280 +623308602 +134337290 +783659028 +186216945 +443050750 +4025150 +122217517 +1855974798 +438888341 +913833563 +1594839689 +92595397 +941963132 +213908934 +1376504583 +1913574773 +915722775 +918101279 +509958164 +1315072766 +1346184266 +220187596 +662340164 +218055025 +2024780081 +1647132134 +843310002 +236723439 +1159340893 +421608112 +847253720 +1782649495 +555945402 +1630912748 +1968866440 +998996152 +1634937898 +2091083957 +707487302 +2073826240 +857433872 +154843344 +18937989 +1799397005 +368752278 +1395442573 +1565488130 +1284475054 +166060204 +2075446294 +452064172 +1512244470 +148150243 +1114404336 +1730299495 +25446676 +614052823 +426125850 +262170115 +1773393716 +847733962 +1109423835 +1408559563 +1403679365 +592852936 +1229942356 +255191869 +80307186 +1173542665 +962679172 +6649778 +2030976538 +1117522516 +25587768 +1682889895 +1486274794 +1421030341 +1100894377 +623266200 +1587090545 +1028857024 +1075330372 +951851368 +1177007267 +42251061 +534667215 +1202453943 +656303884 +960793065 +1464624058 +282213952 +1808527028 +426564246 +1690773515 +1064722745 +1019417182 +773232223 +1319914614 +1099724368 +1946774889 +135110138 +1106374147 +1830267779 +1252632654 +1131961915 +1365674026 +591423801 +405508608 +319084755 +1214690001 +1992599153 +1347941779 +142536726 +796966873 +377465398 +184787787 +1331634089 +1579919341 +841091671 +144943506 +897059752 +1123305623 +1953470534 +1323623998 +666595490 +870709631 +195557532 +1439827714 +43140598 +1295281900 +1239118955 +178250736 +254172399 +921903086 +1430883391 +1386134314 +140093464 +2022307192 +1791642922 +459178219 +1089513545 +1636758428 +1807119999 +1232050271 +286241653 +37101749 +1416838058 +1617875742 +1617021091 +110446081 +1762819249 +366597195 +1233751704 +1568806135 +1690221193 +1900347195 +292032119 +1885778725 +1192691261 +335172717 +1033576977 +284326568 +513423453 +1287749377 +1206229654 +1944306844 +526400043 +1346323118 +1819130388 +170559318 +1805501337 +761160286 +1807317746 +1465137688 +1993210557 +2093559399 +1502239438 +1262564968 +1563951494 +971776881 +1373011049 +1179287095 +1338374076 +459279106 +600609582 +881111621 +212142653 +892641701 +619406698 +1404833914 +1227814418 +1652983675 +1689160482 +1741237872 +793249404 +747906488 +1538061068 +1319649448 +2094229606 +1209707809 +1490208766 +1752247295 +1970868095 +1150042864 +1069901336 +1816595004 +1096118615 +424657126 +931676324 +512586461 +1396434007 +157203726 +1691873556 +587324435 +616482832 +144999491 +1468436056 +828625485 +1037641192 +2087842754 +85975751 +117971963 +1593342781 +1775136233 +1859209835 +239108538 +375559073 +1249787255 +1558757986 +322305031 +312011416 +901483104 +2074552326 +135395863 +2051525968 +996970014 +1951990868 +1000160935 +1421627140 +736183544 +1512747397 +670577499 +893387270 +1057137305 +1257901934 +1509870102 +1202136796 +578854342 +191011939 +92294341 +519213448 +276987690 +210266304 +2112556230 +2052123923 +2069476139 +204181120 +280199348 +1171779746 +1762939106 +602504379 +1483791163 +516938562 +529573058 +1619187026 +420980882 +1526543072 +1423694246 +1421141817 +800686565 +12394143 +786405566 +1471264064 +905781413 +1843542872 +581682351 +268167868 +898196020 +1160536693 +459179807 +990490361 +1679750142 +736167498 +1200756665 +1644822724 +640807773 +1122749156 +1849003844 +921007122 +147045255 +1464459302 +1523511501 +1630836418 +1981397864 +2053084559 +1102539796 +254895098 +1432143984 +378750395 +1676036915 +85346901 +391144538 +314958834 +1556610965 +1296925951 +11018058 +2138293316 +1565093819 +909214078 +1151346362 +2024273627 +1899704440 +683612856 +612957477 +952977457 +180951932 +1253765250 +2075726614 +2029955776 +27288724 +75288221 +1346931430 +1550800226 +1706124639 +1180845646 +1456401137 +661180787 +1435740744 +741061473 +1039931182 +964294011 +826408374 +1431075720 +1279252845 +235535692 +580518024 +1290270903 +226345360 +2145611843 +52001334 +1377691722 +2022401822 +1951705774 +2061304578 +487875651 +757199583 +94772862 +1741640902 +685442549 +2124728638 +1768929626 +760730770 +1324176420 +1172246204 +319371761 +357538418 +481163694 +980552549 +1793279162 +1222225167 +2020483731 +610089526 +2048633542 +1304075804 +1889342371 +136685586 +1884593828 +1032129627 +363030946 +1882722023 +1084130961 +1740722669 +1757640198 +888353087 +1654543599 +98032201 +1645552670 +1749316462 +1839673103 +183511572 +1726561452 +1461119082 +944242342 +903254225 +485881638 +1263614104 +1260792643 +967045332 +96683005 +906588158 +41786852 +2117166736 +1516677684 +2090420394 +1273758892 +1258536407 +79622332 +1010869072 +143182386 +442653278 +746107448 +1227313347 +35892299 +356263998 +2115666434 +1690435899 +454296199 +1613735457 +1292268713 +146485655 +1797247029 +871346517 +1607604737 +594005723 +1774600742 +2093486375 +1857619827 +887909738 +913048060 +1954302832 +1794497896 +954834912 +1923985921 +1163691932 +897771658 +1050261165 +274744691 +977393990 +2061130238 +417927078 +1420047268 +659754038 +1645240425 +1455939568 +1016018036 +1613423212 +998891819 +1470314235 +1079675021 +143676884 +1616799890 +729438402 +1015023401 +1076920979 +1323444125 +642140496 +1022923707 +1033580305 +1530050234 +1935971767 +840399489 +1177064482 +743323031 +616901762 +193272766 +1641094689 +1667162928 +468017457 +471005031 +1580809518 +885944535 +1891052299 +93079908 +383701313 +1199508219 +1109097944 +1997124525 +50916390 +431928531 +929315898 +194593274 +2048728422 +1658754300 +1209616676 +978165753 +834714777 +1851757172 +2001089460 +1868295082 +1234323758 +1789577579 +561210924 +263904592 +385416962 +1178112686 +457177358 +2026511651 +697791966 +925194815 +350033034 +131117836 +1811139351 +93601686 +224197744 +47357016 +1293109905 +1333295688 +2044481541 +1344026296 +1765224220 +826313791 +1538619570 +1666468994 +337584443 +600752598 +497151099 +1172299220 +305026122 +350756912 +893110655 +1539349880 +2140334491 +1454321579 +1803254472 +378267806 +484950617 +112948182 +257295809 +1182742584 +1038142998 +607328844 +1313860420 +701798701 +700930530 +1538058165 +749155717 +1994040435 +723870205 +646153610 +1190583083 +341610777 +1472467401 +581719006 +2008079771 +1810051844 +1182471604 +357747223 +834867416 +1487497727 +708504135 +1727978071 +879363959 +701354978 +1034816002 +535134784 +1079622784 +1519766620 +648082966 +1336918594 +555025556 +1686225964 +1944247438 +1868885976 +240541017 +497694320 +1259460493 +989696734 +344251107 +1983330699 +1635850344 +1534834191 +177457828 +960834097 +2116553197 +38053952 +623402293 +1151541153 +395801175 +1458269710 +491555232 +1104305310 +1038764133 +1370919192 +1805660288 +2073580136 +1906053976 +737799425 +1445863108 +406653294 +2074718019 +2000888664 +2092879259 +1871481809 +1722290992 +185936628 +221692481 +834267838 +1175633363 +565943588 +670114889 +664000059 +2100777779 +847572717 +1624834157 +2069847328 +885626669 +100752802 +1073904834 +1281427844 +1559022512 +1565460066 +238249506 +450302998 +788895610 +2043909795 +376399486 +547465938 +634225572 +1822262594 +954119233 +561459943 +1675667610 +899514844 +285458104 +1250474954 +1085451472 +507150585 +2084742792 +113601187 +1073094173 +607374033 +777601247 +1026388305 +1454946751 +254951756 +948751985 +193089772 +355704558 +2022656819 +1474517617 +1914727071 +1440633238 +1712767123 +217546421 +82045200 +1609193270 +593945907 +629511139 +95935194 +268724853 +1583630372 +657395137 +1944392463 +335661568 +942853241 +1047383769 +1421113040 +1450003826 +984642914 +1534714228 +375614352 +1592016947 +164831827 +1402002657 +899480050 +419783583 +203270994 +1092569823 +775488141 +78444166 +419603792 +542731564 +1519077404 +2132370915 +760277985 +1601122604 +1594080538 +1354223892 +83150095 +1690015732 +1622948745 +1666780467 +199927222 +1419857560 +2002442035 +1142780463 +319757682 +1276071428 +445300642 +1304400596 +663302008 +820914994 +748933895 +828133835 +75434003 +1648413946 +1247917418 +278704997 +593500121 +2023405559 +357149163 +1013103913 +418653476 +1876226567 +997991180 +1178931461 +1329865524 +444588070 +385671706 +1413015619 +2134603803 +2008620451 +932312439 +187047377 +1280994364 +787270826 +1329827840 +1600752046 +2063342254 +1775128482 +757668994 +579160614 +448559828 +1506602889 +1407294449 +523993831 +1007533187 +507728219 +802698829 +1601033308 +383650131 +1159847992 +466653573 +802303607 +888590912 +1464644754 +1981235068 +70972788 +1909232824 +219423126 +1483988407 +1896352979 +80559930 +268817198 +2083400356 +1361554294 +1056088025 +1265744549 +814822692 +971946631 +893389383 +1572491686 +1551107246 +1341949212 +931610927 +810918047 +1865943043 +1939144115 +1318646267 +521158224 +1392693775 +1702296398 +1681006217 +1859347349 +357116357 +422113481 +1176508455 +190867777 +493086269 +938257631 +410290904 +1977074676 +687126963 +490850834 +98408227 +623043671 +1852405128 +1154496252 +1888788220 +519744172 +2126442883 +634693956 +2092235858 +1530066481 +1976643168 +876363137 +193500881 +1695102563 +668023604 +1512147148 +68777140 +2060717380 +1066959898 +1749783357 +1772581081 +1424076255 +24413190 +801605888 +1614944032 +517499459 +1739863519 +2025234936 +347090487 +279506834 +368602122 +445498714 +902550506 +73523602 +1599994966 +643855078 +593267774 +1578954202 +1278549034 +538019984 +961537035 +1107708554 +1414383122 +1155037916 +655327470 +2082406726 +519701416 +724104610 +1995640458 +1586661314 +326404319 +1620737891 +863253921 +350817509 +274860131 +330714306 +868316968 +2014723651 +208465594 +1215407455 +146746837 +577067717 +1660906170 +1049297343 +650591319 +1113417488 +1693152422 +1243859094 +544888042 +824217808 +1781879078 +1506425078 +1931926363 +1048778552 +513979346 +439770185 +983701631 +1033680763 +1163874795 +831858441 +472858429 +1490279114 +305112685 +1336112351 +1841096623 +579972816 +1666826657 +561929943 +447212819 +1875292251 +1777337398 +593959657 +304876320 +1290759920 +1643257000 +955467640 +256693761 +1188925774 +51843086 +801581803 +2013143583 +1833722164 +160523233 +1797586298 +735017069 +674502580 +89872835 +1718718700 +1708183343 +1253747630 +403093493 +33558124 +596543096 +708206178 +1369670475 +290156071 +1288178995 +889013484 +852086014 +1735391814 +616822088 +481939764 +181867823 +921698408 +1772699685 +1825124824 +1877166048 +2029393446 +866566950 +1929009134 +683491601 +732226885 +1615247651 +844014835 +382329535 +202781072 +1518517415 +472202370 +1921499772 +1079217110 +1725950000 +177109617 +1112775234 +175009448 +885315796 +334962062 +465165519 +26011143 +1223975546 +1317251533 +1761402957 +1840797634 +1799191298 +1943270781 +615012395 +1424407335 +1620911957 +344694795 +1306317133 +339995259 +126220282 +1989808734 +1072222145 +1741467933 +686339921 +1454551680 +1944249005 +57373688 +1926754051 +1718265129 +1136590798 +1505220403 +1895374746 +101882385 +1680229852 +633206894 +436844447 +2145395371 +659218037 +1660819993 +1315163257 +273137347 +1354133980 +966870907 +68924480 +1969146375 +243794594 +1689836437 +166357522 +1550111727 +2029831696 +292577804 +1392436813 +954570193 +2034045737 +2078776735 +261638226 +1830811094 +2136150423 +40908629 +1401592575 +1125257574 +1546129032 +1149483674 +1227139959 +1078875236 +1782690568 +1663984406 +1076786960 +294424958 +1177320751 +244466569 +567562305 +383971083 +1211337476 +636486785 +205633810 +1455132070 +178839574 +371991333 +857760149 +61187622 +664569137 +102713314 +1015757816 +551131227 +34006401 +1277396042 +234458673 +22673177 +1318304671 +1636051249 +1147930751 +716950055 +638051275 +227587062 +1795825292 +273258195 +1891571468 +725128604 +567683153 +921408571 +969595173 +1135245458 +1305379655 +33449001 +1771732243 +1511013465 +1488581071 +1950571817 +1883004798 +198857572 +2011759440 +400090288 +301570886 +880033608 +951221515 +335577288 +9946002 +1185680188 +358250465 +1328250673 +674247789 +1506181216 +2045200728 +1312299064 +1733768278 +1693542372 +1585557260 +1477856098 +271187328 +5756765 +251781021 +1240782501 +1141002224 +1557160676 +1274231502 +765250819 +920690494 +615328925 +568338989 +656211644 +814186497 +432614781 +1056301932 +1115757384 +1312648389 +2007523447 +1451334672 +1322594391 +1045719988 +1809585137 +503361416 +1719967777 +1168282705 +401078496 +884783194 +754567335 +2094620869 +322856806 +84939785 +218324549 +328613571 +336720806 +1459107051 +1469615795 +1893881483 +585854905 +87382967 +667088329 +1201183831 +655721956 +1323299973 +2015370328 +1088336737 +232118258 +983644064 +253501478 +92158057 +287495088 +1576095869 +1137878045 +2097080225 +2079457285 +710362175 +1117879282 +333052133 +1595145369 +1872446617 +280189354 +1918002175 +1957386402 +498513904 +99132098 +146623561 +1957620955 +1568747894 +2040505044 +395992212 +1656130861 +560109725 +1597176043 +164369169 +1883409698 +1465062724 +1252705906 +2115527956 +301223140 +1506207384 +60202366 +588718229 +934819605 +1198080411 +538314806 +866793242 +1908442586 +1656194089 +1199845375 +1356104307 +1381157058 +1480034730 +1126622834 +1191059813 +1978548634 +1225754933 +1337683374 +1788685941 +647019179 +1230704770 +37194505 +155666392 +1790814495 +1634370549 +320035561 +1526740545 +951949625 +1572741467 +1494784854 +1253172765 +931465203 +1554987220 +1841890994 +1866284808 +605583983 +232722153 +585594402 +366542922 +1888916242 +1785439777 +1722647229 +1122589652 +1117990859 +701786416 +166165817 +949055845 +1927541349 +1503849191 +590258138 +427076880 +587070313 +627452644 +582743272 +230401160 +114339545 +902778833 +1757141706 +1066289170 +328036652 +1104442912 +171978287 +1259501855 +511946484 +2013869282 +978303015 +1117530467 +99107787 +1563897417 +1484073389 +1988024029 +1201853546 +1059236971 +963130033 +172360758 +1761023387 +1129295851 +1121416603 +1541081088 +485661394 +1711674742 +1968157968 +1072731708 +191643738 +403417592 +1303132868 +305983283 +1306196425 +912790926 +1372272453 +1634233077 +2017233838 +1544250740 +746251284 +381696674 +1410636374 +1724554299 +1499227142 +1509744161 +1140968068 +835816883 +1350284542 +195337966 +1895053854 +165930928 +367698724 +1508593593 +1295226779 +1489115328 +902191033 +1780888173 +1053306422 +722865353 +706136233 +1244950160 +1126282945 +2009269102 +1550933443 +284995722 +774576380 +775722248 +1919228799 +644326571 +172489340 +517996435 +1026023245 +1583125715 +95067086 +377766739 +945386228 +1236035154 +1213583623 +148187123 +1431373121 +961153829 +314118051 +1799071845 +322263775 +1609344830 +1140703525 +1224454808 +1242749355 +46526299 +1947320162 +1948885589 +1291476459 +926119459 +1810671043 +694926254 +1211115182 +437763775 +1470648502 +982860333 +1082090346 +1643137843 +1500856769 +2108113592 +1078779910 +1595923855 +338396683 +2024166138 +684475362 +1551980306 +24869613 +2115848483 +365650488 +338987664 +1767436680 +687914263 +1948332494 +760656558 +1912369071 +1043598202 +807182857 +1712205585 +845000143 +2098659317 +490841397 +508187538 +646101923 +1701956579 +945951313 +2116750426 +537333264 +2028041660 +1612404621 +2038190033 +1988671604 +543700883 +1486630241 +179584639 +420383373 +23621955 +1731564946 +445252987 +2139470438 +2097215434 +784240651 +1759423470 +637646049 +585089498 +372596380 +402531472 +1628687700 +1179779238 +2114737058 +326204195 +1130954907 +458094807 +834391733 +1777056830 +12567738 +1780343046 +1746323608 +549901002 +1660901058 +1211244581 +440607388 +1502089014 +1754945464 +1927237629 +1681673654 +27845190 +1950859584 +1265754952 +473098177 +1942846374 +1215486738 +1257338828 +1554786196 +1853132787 +1842428326 +1927382577 +108180611 +1323632378 +959678167 +75434021 +1649836573 +2090633074 +533528828 +336744658 +1720206256 +546096566 +2117087705 +1319046217 +1095997569 +1630505115 +382807150 +1536604957 +985110482 +2137752615 +1316358938 +519300488 +18114157 +1119734874 +1785055440 +491212334 +915097600 +853058530 +1748551162 +322400148 +558707669 +1443495841 +102299077 +666888280 +619644571 +1061977244 +742322302 +121997497 +1005126670 +1275851130 +458742155 +577849279 +1821947697 +428346212 +1896895496 +770461618 +2058851328 +132218998 +159582927 +896478162 +122487965 +1475941865 +1415778650 +140602122 +448193091 +1053350442 +631814456 +1363290691 +1906408972 +232881971 +1685690839 +317632993 +1676377812 +1787989917 +984521273 +148538735 +702483513 +1726843575 +270536232 +1707610184 +855211058 +729278388 +137975815 +529675107 +1157624600 +2034871311 +1300136725 +1068992280 +19606661 +1459719652 +1965470442 +142094627 +788177869 +1233765444 +282696749 +1236370960 +139632238 +914511206 +452178003 +2046041210 +1147393177 +2137868842 +216190555 +676287341 +1778375111 +1200711829 +824826076 +333374977 +780071756 +1095362309 +2040985161 +1635282814 +1824640697 +31477328 +17474273 +834781649 +2066348639 +1317610998 +1903773930 +2085955300 +629847002 +1721760724 +80566279 +1418024871 +808042521 +363263029 +506912183 +947674759 +1277774235 +959090186 +846232322 +277683764 +949475381 +1062422877 +953971105 +580366844 +115651058 +1778797181 +913741821 +895722815 +726675842 +807243334 +383521981 +403832891 +838720662 +400996255 +1238614541 +757585653 +1718607253 +994904823 +696057306 +200970608 +569181899 +776623585 +1618995479 +1377224420 +1139886614 +2125907663 +177415532 +270177201 +937514201 +1023647854 +547860965 +1886989582 +2086070731 +1501832070 +319872779 +54238142 +1133145604 +1233614600 +949960957 +1859821446 +2040857935 +1333482938 +116170690 +732094949 +1734479193 +1354785231 +1489680603 +1305602799 +202206406 +38254261 +1506573407 +771388305 +814877846 +978085238 +1129078 +1954764461 +956509253 +178544610 +77458014 +1894023455 +1202192464 +625318980 +1633529389 +1140779547 +2127151050 +1953402168 +1195017689 +1112813006 +1039533121 +2144978646 +825150805 +932907408 +1330977937 +941321495 +1665002357 +917973482 +148623078 +1007199312 +76092633 +350829484 +1045453573 +1582666040 +1122217789 +1860331420 +413267631 +1123346867 +1667612233 +1369776884 +1301891477 +1745070247 +1116316691 +356600293 +222905579 +602362433 +1497379841 +202572982 +408280953 +544913882 +1315385988 +1447814074 +542408881 +2140536793 +233237834 +1873386818 +934374640 +1898240192 +643876652 +1082997718 +757955856 +719969286 +1433827202 +1803409430 +155151678 +408561344 +1516257202 +568419309 +1531908211 +1036385787 +1938196194 +686316041 +633972386 +907029237 +1042916334 +856877966 +1509391670 +392812527 +1059450948 +1917672624 +937726410 +227353288 +1218003050 +1480135291 +220406434 +1451240885 +1206038461 +1154781074 +1201997429 +1849915113 +90295145 +1959953285 +422400751 +1524122347 +1615879067 +577552430 +1932683691 +984652621 +1145971739 +1317108255 +2021038408 +936684285 +2003424296 +507527147 +1843713523 +898856982 +1364405113 +1205621545 +1291669510 +276372413 +975810521 +81912272 +503725701 +46329924 +1562047563 +724132135 +1497570809 +620602376 +1878913210 +552084590 +323033841 +1969208355 +364554227 +745434593 +1345847054 +1980433295 +1322987023 +1131047098 +817602268 +321475114 +300671705 +691157029 +1258159400 +156612353 +1198684176 +954389275 +1055469335 +415605641 +12527172 +199655197 +691978054 +988337694 +281567469 +1195703755 +1034667618 +1843615032 +1919835891 +384754779 +316733760 +1651265453 +936839369 +639767602 +1472990160 +1301393596 +1385202195 +671353566 +1134343243 +560705570 +1802400664 +1951945512 +882180684 +2103072369 +495618893 +2140340084 +112201074 +1694303069 +947245711 +1167670410 +2109908710 +959772884 +1367325607 +654403116 +1948110578 +1648893077 +1850106871 +835294548 +1345024461 +1622459114 +1220049327 +1661758222 +1126240919 +9405048 +154042176 +451747431 +1310798644 +1539244371 +1123100998 +297658240 +2099949941 +778018014 +102120104 +834646977 +733606736 +597738997 +827503414 +845807810 +144558418 +1774749125 +2013478220 +106983480 +587038361 +1233320180 +761386596 +387665291 +734729609 +464009819 +1222959839 +2079754070 +2086468934 +295525518 +1594028644 +1065226205 +304930566 +1748070820 +1516973637 +1615729211 +1139831543 +492590987 +1913387451 +1092297836 +1270609001 +2015507555 +1926944814 +2004215737 +465762904 +606964580 +702539900 +610321322 +234230057 +568534472 +717304802 +821268419 +1801854652 +1478691398 +1208933710 +389100613 +1942701217 +284409902 +321371036 +1881686503 +579935420 +1915399680 +799429061 +884865987 +1515986853 +168919050 +353111550 +508334748 +661510037 +119015353 +1600632585 +1932119038 +2134522908 +1380093751 +1788851128 +452802164 +1987058331 +343907380 +1063123486 +73804740 +912441852 +1780428288 +895073159 +566812857 +1111636038 +2104006870 +955913470 +906853607 +240933124 +1277284506 +641056463 +820868544 +1045200539 +1440485524 +1705734531 +413703744 +1609404574 +2058846081 +922038492 +123430963 +30377786 +375187429 +2055550001 +17417046 +1755281180 +1696917481 +470219210 +1594855863 +2040824861 +1533342696 +1668660604 +805783066 +1166287336 +416250115 +1372595923 +130439726 +372773337 +181025745 +1037293334 +613706461 +1458310252 +1678349797 +1434575006 +356027143 +971351673 +992825889 +769730887 +433272599 +904188323 +1691769379 +556703562 +934566109 +2066956809 +464769915 +951983156 +1674754341 +14203749 +1422202366 +1122126557 +2055028610 +808061415 +643303513 +713328028 +1974348751 +1059553628 +2085923951 +2104788478 +1432326966 +119466049 +994598164 +2046033427 +1577776301 +525464313 +1333124785 +1933803444 +1496815986 +178467027 +556050683 +1930088585 +1082655350 +100336414 +339308499 +2017221459 +19809575 +804078414 +821720967 +1694563917 +818282163 +96439686 +669206826 +725827126 +904501101 +1312510339 +1439155154 +731366204 +224580319 +1377595458 +688671034 +1656907285 +1497061507 +1683269198 +1555457065 +927354160 +61249863 +741098202 +713673956 +1558065849 +919565229 +1269724639 +1340670786 +2002220579 +1370061053 +1679979285 +1871958391 +1389870629 +336574052 +546195710 +936950898 +1154856215 +642635396 +1606157724 +1880683341 +1547136497 +771184415 +1172354848 +131019054 +995764734 +402466658 +819690088 +505188372 +1899528165 +355475639 +2060645437 +679398677 +416725502 +654259991 +1393072633 +1974791352 +1573825221 +515313624 +1167978490 +1428562152 +1885374677 +700474128 +1153036895 +1127761658 +1037048180 +1699232606 +2064712556 +44420747 +194384354 +1523386632 +1925104089 +1741520852 +147087399 +949975289 +1872539906 +1142852134 +1352441947 +544746346 +1648040506 +1104486464 +900221985 +1561202295 +1783885141 +1316947488 +67978638 +1029474126 +1144255192 +1641803859 +1544787750 +164750034 +922882364 +1282678779 +865224162 +2075919259 +262956790 +1902272342 +1627668217 +180185698 +1946693090 +1822052572 +1703572331 +1724313531 +1416089776 +1850659730 +526805172 +1141146034 +846028216 +1879247119 +1685892380 +346585074 +836249935 +438630718 +1907787369 +472651428 +1755578206 +1975766008 +1502125554 +752349750 +1470086219 +899429656 +917099784 +245484935 +34624787 +1782323947 +173920547 +297581577 +1537112641 +1801588764 +477767276 +1336322083 +1476157688 +33855959 +913151966 +744763816 +1884515689 +1439957138 +1885909850 +583060258 +1171720609 +1424318583 +929645332 +2007970544 +1862949301 +689949054 +333138324 +1471043859 +518231414 +1835263878 +75909961 +1988317633 +587209886 +993009745 +86318921 +621834674 +627850044 +260239468 +919416251 +17479038 +2061828232 +1397183527 +1353801121 +1390502273 +1431039486 +119469440 +2135266089 +1168071528 +1559426578 +1873692292 +1751131786 +583663540 +1150527227 +533293470 +444150436 +865992880 +1223242524 +777288761 +189553091 +1741473938 +465068991 +265463052 +1582307924 +1052278878 +1258472797 +1668626845 +1674113552 +1886322842 +1928866313 +446046155 +1903801880 +1843210897 +1843229683 +1110119353 +1086229522 +1126785521 +1229588793 +1074011964 +147373401 +641531724 +800220608 +1898505187 +1225195264 +1950747835 +284315010 +1669345700 +669257067 +1507557534 +299150813 +858810158 +1101547825 +764219805 +1124273210 +536372101 +1816498683 +235262359 +57515298 +1343128587 +2121585201 +1986381611 +1789174742 +1877903433 +1682108860 +1484920777 +840539139 +620854735 +464222651 +2070127932 +1694866699 +611596052 +564176008 +347603659 +362617592 +1789371272 +150867846 +646932602 +1311233325 +820124913 +7006488 +1610384138 +1678935071 +1108554313 +227120295 +655724633 +1644926414 +2043618978 +890986992 +1702441712 +1239263917 +865088546 +1541339675 +880955012 +595508331 +1075964888 +218392141 +1436047470 +1696819623 +682614792 +1358691755 +1244202674 +1294210845 +1922867763 +1591806333 +1656828437 +1564755388 +1742674179 +156277391 +728505065 +415315444 +163283879 +191405555 +2094250515 +1271838193 +418525851 +602491500 +769280959 +314661181 +1493478492 +324239024 +1553925099 +211083390 +1865578699 +287396463 +806591722 +794059939 +505788604 +95155544 +343395914 +1188403397 +1453847299 +1587598588 +335130594 +1229231415 +1031921273 +1991959031 +646503155 +627111804 +752774 +1375008220 +1042427248 +164036653 +1566413775 +989194115 +1435874846 +1984939626 +1591685615 +57672158 +152117160 +937680460 +381911182 +1706042259 +1148763850 +100006233 +1993438722 +1955355572 +894066173 +351743678 +2050511117 +1237462087 +1540147075 +1356874768 +677577028 +1875277669 +438622535 +1709498301 +1719753052 +1085125690 +189126458 +1720505826 +312650262 +1231553706 +1884542480 +1879064038 +73264174 +1172933678 +1716520016 +1664949789 +1230605836 +1868637176 +455146601 +1612517018 +1427195787 +1603910452 +1712523252 +1273150861 +1411782376 +459105777 +1624894540 +1314809845 +1696567864 +1017557967 +524200966 +226661244 +745351989 +962823501 +1936159546 +317621393 +2047949192 +2125286004 +2038127220 +213115806 +1209356062 +1775186052 +2092179844 +1282620236 +800636082 +1661216213 +800086378 +2031241919 +1382369741 +1255232979 +1496275289 +662081881 +711659783 +1061314893 +1935232742 +2123442160 +1520420670 +1412643634 +1290768357 +1069504887 +282717954 +1814969323 +1296166131 +1028069943 +630309177 +1084842029 +1345691336 +530774721 +1062644385 +1236334908 +743890527 +124516800 +864037312 +688586724 +1407137036 +1664673395 +202319289 +59739766 +1548431666 +1584689030 +1314972746 +897223307 +99287263 +2026632529 +1958538201 +2034520006 +2002591041 +1331475223 +1299679992 +1145875751 +253496462 +1582397946 +813361426 +1549662594 +462984241 +1443670603 +487020975 +1808675578 +1974445324 +1549665361 +897526838 +570852204 +1674182161 +1761564151 +1259438928 +933835549 +1278753898 +1461758217 +993575316 +679701916 +898963599 +161064414 +1576925223 +998250863 +40213295 +1387979776 +885287221 +2042804337 +571971352 +37483565 +1041196440 +825467814 +1619881512 +1854557866 +227646760 +2082865753 +1150744822 +714667736 +1744057683 +977706498 +116849449 +494100874 +1548558702 +1791031610 +108181377 +660513982 +577383511 +1386935275 +2122272199 +1570958827 +2066637191 +873752151 +1732023241 +1496078766 +1872003014 +1772236537 +736574895 +609806587 +1667557226 +1308546247 +647290152 +561270018 +2134014061 +119688016 +268344236 +214177174 +55070122 +1419089058 +928844910 +1799127805 +249311909 +1045694359 +145745031 +1797870611 +689242321 +253926408 +310900946 +1266625832 +1640861683 +285689497 +690101012 +1560015226 +1159441648 +274640605 +908610345 +883961014 +2046877142 +1645185240 +1493767601 +1566950720 +806247839 +2141057754 +2128220738 +792778252 +113262122 +249081327 +1006955426 +168332244 +1668170385 +1935800336 +1967460050 +1917482294 +834011047 +2113205081 +1567869258 +1523253368 +219647842 +1878770204 +642395553 +1860509525 +16976053 +1332496565 +1273041104 +1176417702 +1607137170 +34167801 +2060378716 +1506530665 +1679353041 +1406662670 +925997737 +338117232 +1400236776 +906734828 +1130895484 +1513498898 +1155816155 +2137850911 +1681831143 +676502892 +1926167599 +1501807545 +446501539 +612694999 +1467528978 +2014370797 +2135948367 +1687176820 +1745657353 +630860272 +1400202698 +1762633406 +1963356837 +525760154 +791567460 +1423010360 +559927955 +704462529 +782057377 +91797348 +2111125199 +1708055114 +429914580 +1363878327 +467306294 +1560810064 +729893577 +1623122449 +1551177327 +264241072 +152141694 +1329861279 +1766048617 +598643233 +1942556278 +1086093948 +465530382 +1931020997 +625787120 +63704087 +414397622 +2025989818 +1826337493 +230270811 +404266324 +470421306 +1653281171 +964194279 +1174883835 +287854900 +1055991627 +1138525386 +1995910015 +1485906207 +354920065 +315732661 +899232624 +1084813642 +1938855111 +302926303 +1349054715 +2090996805 +1632787582 +967619684 +542156390 +1427860212 +2053713632 +1007686772 +1211397562 +532017105 +1071390859 +1625795184 +410523275 +750244704 +1856065995 +814789600 +1220666010 +1361863519 +1778983879 +248066197 +1649718419 +687491859 +1386591583 +1498144786 +25914418 +1741511648 +1813877448 +925147042 +678841643 +1605248911 +1228073346 +2027896358 +1548762068 +713377280 +848032394 +2090918458 +2141237493 +754262379 +951121582 +1205151407 +1286279484 +2022512441 +683462943 +1696802759 +625273497 +392045290 +364108711 +1845939508 +1753908809 +2143092591 +2094005705 +1256143581 +683100802 +1333113641 +606804719 +709015220 +927141641 +273198519 +1634162263 +1605983284 +1878447430 +714751961 +1486395994 +1279725850 +1428129241 +186944741 +1223160660 +1421883086 +941207120 +26798594 +479550845 +80002956 +2049311035 +1163013788 +1776805715 +527100885 +1555059079 +2140914427 +225556745 +1161484240 +2136523370 +172078802 +270144173 +672140524 +1505192443 +876948893 +1381155744 +284850437 +1150147412 +867834359 +1890833721 +881111195 +1582586320 +1229746068 +13353397 +863231914 +1416690809 +1236514058 +137631352 +210414281 +1263312652 +617182198 +290417237 +1165140040 +1780195986 +2067222952 +1692240925 +1187771417 +2060653731 +1917797670 +201772010 +2049693453 +2089876472 +471916183 +574350329 +1447585268 +1348865076 +1955506074 +1732435705 +351528841 +675856785 +1475785778 +1232640036 +110959458 +558048198 +1245993433 +974191372 +1974739007 +335023843 +1111822724 +37669640 +1598336496 +1729004922 +328086877 +615992888 +1361717261 +247826182 +160750165 +402005030 +160996265 +2078547835 +603777040 +63206071 +2020940659 +1075693224 +637556400 +1321042279 +277074652 +445578826 +905994336 +628603493 +1121435612 +234296467 +1861243529 +1232395070 +792344665 +959753315 +59102794 +619600025 +1294777158 +1170925518 +657269665 +745630006 +752446793 +985356543 +1361622894 +2114164054 +1233182725 +1522373059 +368685436 +1394178990 +1453437246 +972462477 +1457385061 +1326894258 +2048155701 +2094941462 +500452889 +177746705 +393036640 +1406447226 +806350199 +1514472252 +1640743693 +520110080 +599383674 +285604710 +1479863395 +658486468 +905204735 +627156906 +1829411987 +1562474401 +1372786912 +434375132 +400347296 +586926159 +401055538 +1633530021 +2109299218 +769740974 +880225363 +1415252817 +1742203451 +190126777 +594663427 +1642875504 +137584591 +1095116316 +1820622210 +530621231 +354079894 +479488761 +2045093484 +1994823587 +999598841 +496993510 +132944650 +331978589 +1155479979 +1038149385 +959135495 +837408318 +453140138 +184438759 +1271783450 +853487434 +771364918 +1672838988 +339533807 +733180489 +295096314 +1219759171 +949658 +2037299766 +1409885948 +595613085 +1532691622 +1547470539 +1690729401 +1205830184 +2078091770 +2044809296 +1685318945 +1975701606 +1892149235 +537434139 +325211469 +2025093885 +869412728 +1480691448 +915759623 +1828548223 +170616118 +1368899761 +2012986982 +1442399568 +74903548 +636868253 +967754908 +414437355 +1370048742 +1262851222 +1634196526 +1370998400 +1152667340 +896598826 +1966611485 +537875315 +296585717 +1509857238 +1743705499 +227193840 +1407182886 +1281540797 +55411798 +1151848474 +1818974936 +380623267 +1029458711 +540904016 +1861314715 +1945218334 +221968591 +2031930833 +1166634448 +87471925 +1326846753 +1241537996 +724340178 +147118013 +1655975351 +2094388920 +1409969236 +1142688230 +1317903672 +415152928 +2039287056 +1137031509 +953028243 +188389126 +499405100 +549250095 +415582966 +1906587986 +1830790892 +470994764 +910952812 +1502282180 +851618032 +1940411524 +2043186196 +565449099 +1738146210 +117671139 +449896285 +757297010 +205143064 +1776743038 +1998835006 +929483243 +1923861052 +1507326710 +876388515 +1186346640 +502531292 +46808540 +1601499568 +394334700 +1183840049 +407044164 +582723826 +1683245149 +956294259 +998306792 +1442349488 +639601503 +1469301557 +205818652 +2141883683 +173435941 +2146230176 +2037586231 +738885040 +1736892739 +7773722 +1188781325 +346706101 +212916786 +818040716 +198057460 +1142400029 +594418120 +1705384170 +2018788545 +1780764760 +60431814 +2065597085 +1234780680 +454766514 +1101953486 +1641824844 +1037490341 +637714988 +450635455 +2035797133 +2080064476 +1090236958 +1357615042 +138399480 +1084636993 +1531050983 +137146009 +974739576 +122452376 +1874038748 +982513298 +1311233701 +73261201 +1195430085 +2129274417 +271318661 +190346466 +576208889 +1976702831 +61651363 +209490001 +2037134645 +2127248448 +1444270682 +344417512 +1081718287 +938611878 +1381907853 +1719433275 +1389247334 +1270221338 +1652014103 +332000644 +480352733 +1790413583 +1416637638 +2011403716 +1927559592 +243893566 +2133856092 +1654114692 +1226406865 +1297606146 +1727375894 +274353302 +1279396915 +1998694555 +464699768 +1855605805 +1827913739 +526351132 +2065095806 +1717564736 +506115932 +1361882840 +2061982248 +1587834219 +153011071 +1296406453 +1159783846 +1542258405 +419144144 +664314301 +1874259049 +899496877 +307244237 +1143413039 +763416945 +87320181 +1387306606 +749789390 +1741434874 +466229823 +2047395536 +1321327120 +740583125 +1179308803 +1172538027 +1205282893 +887430960 +852968118 +1731634025 +805043119 +423049207 +90266310 +19442311 +337547807 +1678100529 +172453382 +1633954261 +690400728 +1714711787 +2053098405 +1354715029 +1441487189 +805111634 +1661959266 +437416580 +1568528579 +1749279448 +1824723186 +170834321 +1343230674 +143469361 +70746209 +517074146 +884052486 +1250055013 +1689612173 +2089335380 +2137485973 +395096644 +1673485757 +795045444 +818145851 +1763752067 +814487756 +1155693658 +1294368949 +986941138 +642164271 +1984769677 +554169278 +547779028 +1192001058 +1995656467 +1352890662 +706476677 +285589399 +773935594 +308272477 +2110312586 +944769915 +1651503151 +106298299 +1015516125 +21093649 +990350786 +118087490 +1710705822 +932202518 +108089815 +2105802466 +458204627 +903135260 +776464669 +74473047 +1717623016 +1932158328 +1368841996 +557080506 +426838951 +1206128025 +1111249784 +974617980 +250645435 +959422603 +180024994 +957122112 +1245012003 +953960588 +1265394589 +1207840941 +1898730504 +769414092 +1314139240 +766762981 +790507741 +157006378 +884850471 +353729916 +1089208896 +992940286 +312048734 +1547413524 +1896075546 +1088513404 +1621886571 +1466214914 +873188084 +843244919 +2023295421 +1300027035 +2049372944 +987061557 +127161367 +152534731 +1946484161 +307186362 +1109656844 +1044012516 +1261146950 +227567785 +104369809 +1012393806 +996981878 +1418509049 +1779156787 +1787489619 +1575515428 +516523610 +2141219535 +517240676 +1509463897 +305784622 +2064654200 +1258055795 +1394298026 +1539057123 +576787062 +120002462 +234818394 +452598835 +1420029497 +136707690 +1439660392 +1547190865 +289242422 +1238660905 +1854377227 +1398899266 +135189773 +968040529 +1626467051 +239559582 +1980434336 +475965281 +1658068632 +1612107475 +115971253 +1086100412 +2128631086 +109707140 +1603341088 +1490611335 +415491762 +1520511641 +601183482 +1809789788 +912085116 +1177970544 +1929792250 +1146903511 +1630569379 +1202338100 +1283611201 +922746124 +602045317 +1572853623 +13923381 +308938896 +824269241 +149113155 +1276979425 +303252645 +388672737 +1109930113 +779217926 +2046741369 +574553941 +895189179 +985358133 +555701379 +1004896320 +441215574 +2046312714 +1420388082 +1961727215 +500012548 +1082694223 +726328683 +1677983093 +865002825 +1873232194 +1161068824 +2067340925 +1009359748 +2083814948 +521902594 +434729723 +2097738330 +830841490 +1258998965 +99367837 +2107820916 +1562251610 +488040574 +1070267381 +193985888 +387298296 +1644821322 +1089175068 +1372656429 +53039053 +2094071388 +1813872003 +2099351767 +1366975822 +1628115570 +451880668 +302186397 +206960606 +2129863761 +1167189223 +2080192800 +1143448937 +1087046500 +942068900 +1079780238 +1608949095 +1376798624 +1030034920 +292306937 +488313941 +1129402757 +252644205 +2050565551 +1617443331 +1322911587 +97067791 +2004741627 +820249261 +1186242859 +1229914409 +873288315 +1132830599 +896302764 +825156434 +352322774 +376934687 +1277037102 +654509171 +583895293 +1259417215 +1821698394 +516604445 +255382505 +761261247 +1458673346 +1335162743 +222726694 +687988322 +217714015 +515033631 +1176302263 +1347116772 +767677837 +1079384166 +817076455 +2090589424 +1176451957 +674334435 +763355037 +215211169 +1904248844 +1636643352 +1348041768 +653067960 +314316139 +1700364542 +1030002647 +1591353241 +207390066 +1613897940 +703286809 +2029088460 +2130502386 +958669314 +642866059 +1441692084 +146348409 +865592753 +2129680406 +364062424 +1380626385 +1158499021 +1711179196 +820574 +90399539 +380772003 +2091409998 +1266851496 +1055106438 +707281387 +1482062665 +811871634 +196441092 +682620786 +1464939595 +510757231 +235501680 +347458594 +2102110472 +442891746 +1961356535 +657913633 +324496559 +1944375273 +1616582947 +967362618 +1238583709 +1762931356 +1832955372 +1220780467 +2126993780 +1066098109 +231795840 +1690689328 +1066918683 +322195379 +2071461332 +1010845033 +1589046875 +979084122 +1718126420 +923625893 +1790955757 +1914567512 +1606246679 +1108411704 +277841095 +1841748359 +1455870298 +232467920 +137156458 +1269743185 +890381553 +461653017 +1066634810 +359480853 +1429015635 +157734871 +2122412209 +1114487359 +1378515338 +2101922342 +33101820 +1610311178 +1645128022 +1100020503 +1932506557 +1569105706 +2110865536 +1374069785 +400706181 +1681508309 +150212030 +44178290 +1448592173 +1756458709 +1152589994 +1726433269 +1450723420 +460976644 +1958901189 +1587879878 +1730719830 +701799094 +2049532895 +649870992 +1061279947 +1331064883 +807605864 +1036208509 +298068594 +38637554 +990647203 +331170415 +1648948733 +488291577 +1431190918 +1433971642 +2057397284 +1394572807 +660557779 +310619817 +928597468 +810769809 +354798107 +229705993 +419744870 +1507388101 +1956139262 +1870468291 +1968364745 +1767556803 +1310864521 +1551600927 +321872250 +1212913769 +53988272 +1383152197 +396495004 +861594136 +271877058 +694563598 +900231690 +1262524261 +1025734013 +401696775 +1750815839 +309441284 +1835668418 +1660729475 +1704014091 +348742549 +1971349292 +485127911 +1159512359 +178663751 +714833904 +1579257229 +1686051852 +523489519 +1302241872 +1506932949 +143562674 +465622746 +911050229 +465434924 +1678536515 +965038501 +1848587122 +2075031519 +1826632637 +2120464180 +622111469 +579380679 +1235504794 +1647845483 +981077455 +838836985 +1957286767 +669262225 +352082812 +1513817210 +1018004774 +175948456 +1998945121 +30033485 +354612207 +566295377 +1609290715 +2040664059 +1089784896 +764048939 +1400113360 +1233347571 +1229671685 +163679941 +1698782495 +760724552 +1128718442 +1399885969 +688272423 +807867431 +1372866502 +1310383893 +1387248111 +460887648 +810745728 +220841918 +1299724633 +620548847 +890104143 +1651807445 +2134366057 +1908108917 +1827755901 +1985827530 +1938142403 +34884460 +404639259 +1399949470 +2075548519 +1494424156 +16514761 +1328178231 +580288079 +1246186447 +1491858173 +131586926 +2006910999 +473092967 +1531472896 +547699775 +1280960399 +756855750 +1858083668 +520724862 +1217743398 +521345748 +741566780 +369984383 +1141894595 +1631670923 +2021791828 +1128777004 +1392296192 +1702064081 +967120886 +1182954947 +1736948541 +1371760145 +435420769 +1665013412 +718700653 +451935531 +845707995 +1298988732 +1698121978 +190082520 +1430575659 +1557549329 +663175488 +814564907 +2105249104 +1944135887 +1571420657 +1815849124 +317377101 +641680407 +189711224 +1058943881 +1011664790 +1331605819 +543131156 +885972970 +312899175 +1935427348 +440553403 +1280020061 +970898648 +30018296 +504296559 +1406319417 +1695031708 +1222997212 +1858254948 +393256055 +374502297 +1408893278 +583338576 +1805077956 +818958960 +1246514064 +472159215 +776724416 +1043166303 +2043579872 +445089893 +1360543404 +537776631 +634801117 +272003637 +1549441421 +1966406937 +815134793 +287930743 +131822464 +603078493 +728484146 +1411842526 +1573977141 +758502442 +1916139085 +832812911 +306050502 +991652649 +543584211 +699306557 +1366154946 +1952477490 +1282645133 +1023749254 +623952802 +381675549 +1495908469 +1400677218 +1424841852 +1392004693 +1845767111 +637901608 +1929781324 +333084581 +909905245 +1331739097 +152007870 +1725040038 +1619669840 +283830334 +180634884 +200670338 +1695672860 +1754612025 +959172780 +1464328297 +439941288 +1265223282 +308497299 +983525500 +1964529840 +1674652245 +788519342 +1099691325 +550917852 +1412472144 +1481366875 +2046826321 +665665714 +758725079 +1291347367 +363949178 +1396626688 +1073645043 +697033759 +159048285 +257900493 +849041629 +1884088324 +1877570333 +1132871963 +2064723208 +2078240672 +681061176 +1671851585 +889929804 +2145389473 +2111792874 +7669439 +306403124 +947834726 +1972199279 +1981055370 +1736354068 +924406956 +384489574 +1001342564 +258290183 +283832247 +1667008278 +1017015263 +1575179614 +2030957456 +266158303 +501341010 +580507567 +425206588 +759241503 +1429549196 +161811264 +489328188 +414937512 +79050824 +420085212 +1095998688 +1750902410 +1310015017 +1093904513 +1715211636 +1317684456 +1400307638 +515562714 +1142400087 +1233879360 +104433134 +2066807043 +1618368934 +1105775698 +177613579 +1902201181 +625300328 +1194628842 +1329897148 +508774137 +1460787145 +1831238158 +1089281704 +1885993733 +442996013 +371347253 +2047804998 +932324201 +786284765 +2126855822 +1352409414 +1882283453 +1730274584 +514940783 +828704318 +1298002572 +1832625239 +81528308 +1813565286 +827541678 +1315407668 +1917998420 +746865073 +786292954 +876290470 +924478652 +541010488 +1501590799 +2119107494 +1870907636 +2010364936 +1432410991 +1554662146 +952162992 +1170921077 +1997658159 +1323510245 +1071242427 +782498712 +2109795010 +1050614601 +2134908126 +1844594815 +633405538 +502365261 +525815486 +1931408110 +187506852 +607343794 +1597489749 +1015048530 +1922751463 +1368004521 +1761913604 +561560769 +96811344 +538908608 +1102571257 +1598402143 +510532455 +825995245 +1461283431 +1942943446 +233173743 +265962775 +966380875 +83348254 +1589473021 +2037623302 +865846967 +1551784383 +940754256 +853271445 +1248895551 +1574159794 +1355636707 +1774711037 +1358084256 +1543143559 +234571183 +808090357 +410708442 +9838998 +28611231 +25138398 +571399768 +125422575 +564047006 +1673971025 +1723824718 +1074579461 +352482623 +1037624501 +870039260 +585656366 +1303587276 +1836420135 +669004621 +745576649 +1726559790 +1534851588 +149877385 +519830398 +240639385 +1398772936 +2093990192 +1596276092 +1026000325 +1304590800 +991936004 +1260571508 +2112681158 +1402644446 +1270410507 +2141292389 +1427782844 +1841810275 +119231316 +1991829850 +1368297652 +1843056034 +918925664 +1720780275 +733196887 +1788964924 +158952994 +2036784163 +1477901411 +827957615 +634877165 +1056977553 +215325555 +784754550 +1576807951 +455964940 +36043838 +1523314495 +2052241033 +1062044163 +680421648 +896693389 +175132023 +645619158 +151854187 +1445542530 +639427899 +1579637031 +1139869157 +758659215 +1423983233 +360683162 +454231601 +195425249 +2081463437 +1187428488 +1984390173 +92932783 +1076729003 +1314807937 +920890398 +1711606168 +224301842 +1136215953 +348877070 +1801109794 +1592180894 +384920908 +1176940641 +1496938279 +1446965071 +1857362289 +246148020 +1622097095 +355497799 +398002207 +920155977 +994925698 +1977639238 +2060025135 +1753584913 +1254138823 +273224649 +60332866 +1449564073 +207204438 +1247761354 +1286470598 +300137222 +177006710 +453794887 +1221027620 +1888612878 +678096730 +209759926 +90006301 +331722876 +1801940820 +474927209 +1508663517 +1151395451 +1921892281 +1218542159 +1397543471 +1396505728 +1574039958 +1795545678 +169178057 +421482009 +1625701268 +81719544 +27583274 +732356443 +354944193 +87916141 +34436868 +562148632 +1335677495 +1320907467 +862285854 +1512684205 +1774702354 +2083313474 +1253813436 +305315436 +145589752 +1343819737 +637038312 +1947530572 +1818746946 +2145701830 +951442375 +1593155579 +1216760341 +201502198 +842177659 +643316651 +1997047876 +1011355717 +1064798660 +1475265496 +1093075261 +1092381935 +60138292 +1448019455 +1180298076 +94575160 +2010168087 +368491923 +1415482627 +724970293 +1881176129 +1042701334 +660800119 +987505917 +1348016770 +806389872 +183842006 +1985055083 +606436796 +2002588952 +1983273265 +1557879172 +1448260884 +1052549958 +1759381370 +142954895 +1695866609 +1608945599 +1154310612 +613181622 +936727447 +99902226 +1705563557 +996865739 +1547921681 +738377985 +1091440900 +1410606120 +1106869908 +359439879 +2135576413 +840562389 +1402141213 +648892884 +1828068306 +602674336 +1455282756 +2011910312 +440245771 +2061719553 +1867015617 +276035388 +1472115077 +1167792853 +1328585346 +1084012799 +1310747748 +876968307 +545474750 +317574713 +1490149929 +1482202198 +417476939 +1048229838 +331584289 +1965398620 +1786607823 +1423025189 +1228521092 +745994084 +1782465069 +1216613857 +1586556473 +1037122634 +1865506741 +1267141132 +1639796970 +1173305850 +1131567796 +2080042741 +1087541755 +851099765 +208594481 +412173184 +2018892618 +1537179827 +1496185983 +1182156719 +266664487 +2041660734 +1499731432 +1756814416 +1376379284 +1917208371 +657560607 +1707963573 +1735123343 +296684782 +983505115 +816160787 +1042678866 +618486536 +2032774644 +481751692 +1655609170 +1750797737 +1748892824 +1147922493 +776619939 +732976972 +1080481586 +1864161694 +1584076738 +1289076068 +128851230 +1455485708 +678772247 +1625037214 +490158779 +945436734 +1519214300 +1989890211 +554767503 +748109936 +1759614934 +1212328110 +308589861 +1347254629 +1509012892 +1292094976 +15931768 +404208111 +1910581512 +2048706412 +885959803 +1418707035 +1652020502 +487368979 +419145880 +281156793 +1220345951 +1499627466 +2145318488 +656939041 +641219886 +126686070 +2112424750 +1319992134 +1751723284 +455099881 +117945220 +1123453936 +297506445 +672712723 +1871563872 +2057121379 +1885040833 +32670086 +1256892361 +1246570078 +1324765062 +1272824129 +1650778189 +1087862927 +1174046894 +389254344 +359086314 +678583748 +876623323 +778232194 +959740541 +2096969274 +130376012 +957575381 +606424668 +771595899 +1084261452 +571365770 +2091588033 +688501088 +1026465651 +62049605 +1811955025 +1323972096 +734762329 +1536035249 +1233609828 +472319514 +1568705335 +343018541 +1718889592 +745986750 +1615842670 +1222184133 +1833849677 +642405916 +1611438477 +45452343 +1320989664 +340578152 +823684537 +133246558 +290063779 +954060549 +1090821939 +896488447 +1725656448 +27599743 +1467854217 +1669760833 +716100832 +346836220 +1731810439 +380572209 +1670808317 +319089120 +1916607458 +756934497 +791408634 +1337829146 +1099953038 +362814579 +2083815896 +568312060 +1584998712 +1770181925 +1210717977 +1048953542 +1815634268 +384223993 +1389531694 +491835157 +517470551 +1679595473 +1445895706 +1608292491 +428600272 +1024068507 +1635892234 +1896454489 +546345692 +204509418 +95807062 +130672483 +585081627 +1766615379 +449761603 +354205438 +376066228 +1241170238 +1692034584 +1476019266 +1603984817 +1628366832 +2044331326 +1041499881 +1251065109 +1107565655 +2090453423 +919215729 +1491789649 +1332501470 +1411050886 +2009260200 +864613295 +709462944 +1470069043 +1293213568 +1733531451 +958477630 +1042184409 +132393496 +1162987048 +1137991471 +263065979 +1748068676 +757123202 +712827583 +2102274114 +1133189430 +1953997821 +1646825050 +461725048 +1410498990 +1127708234 +358572727 +304515223 +231289695 +1466138382 +247484999 +1150505424 +810444383 +1579986469 +414072662 +672220936 +297116116 +1123535606 +2142289979 +1590329684 +709583410 +953283961 +485030446 +841976906 +2116271010 +1623021917 +1105042885 +1716856038 +232661472 +1817870468 +1671646504 +1365850902 +1624384641 +1170987906 +1827575951 +887399983 +151212492 +38665030 +1191915207 +382502187 +1504803412 +1439400206 +1533007611 +167764148 +871903027 +1947080273 +839985084 +1169019143 +923132231 +834791415 +611865180 +1632715641 +1788075377 +1096895626 +327208899 +1756862739 +572433895 +1432251785 +1326235129 +805095367 +1102638605 +850397985 +23462622 +579539599 +2021385891 +1851038573 +1466939582 +25114735 +1889703603 +511371141 +407616922 +1247023367 +1950771347 +1940624533 +1414787515 +675190726 +1740221158 +107288951 +1844209870 +515869741 +942080367 +308591402 +1101735 +582672096 +1405487028 +328310634 +192051187 +1977920923 +1760562419 +1518286316 +635532643 +715717377 +221200653 +658995265 +1295256976 +95102896 +362550190 +614712910 +120217631 +104770145 +1126084052 +527834553 +1351793512 +929371751 +320975438 +619097380 +1604562478 +2061196596 +726386331 +1301288700 +429582689 +1668466698 +1609880102 +430684424 +103655146 +867883482 +758995059 +295706333 +698320757 +372073830 +1813992649 +1333853400 +1087791207 +2035193302 +1992848665 +235564535 +2130296198 +207915207 +850277446 +103030181 +312685352 +1976361498 +630864734 +1664478865 +758249601 +951840172 +136092597 +215328431 +865553120 +862478928 +1516617131 +1295135810 +383461979 +979013585 +1725820234 +487117125 +1846897067 +337331645 +782823459 +397734177 +709405476 +449332460 +1731587577 +1797196683 +337042115 +1576952595 +2032761219 +319854665 +1784867802 +735555017 +422884847 +2097553155 +564432867 +1053749581 +1614548372 +1322682468 +2005589754 +1750640969 +1538010900 +723659226 +465636249 +907144383 +2018795036 +849098228 +1886157969 +1597131623 +1336215354 +1585571388 +1934463268 +2119038813 +1983305565 +496385096 +420887625 +1567409495 +146098132 +757929740 +996878442 +31375703 +1077784406 +634262596 +766930720 +1500669253 +584332103 +1331363587 +406935186 +51396827 +506562407 +265041292 +1802037796 +2044573307 +988700519 +120190398 +804234043 +860011907 +969288626 +542908364 +309659882 +158020332 +2128479752 +96639503 +129575497 +1964301670 +593024599 +550463123 +1384227517 +739122731 +1308392863 +233622311 +770498434 +238693621 +867884907 +1537429154 +1739362874 +1452217011 +721309093 +2146298061 +1503613838 +1227871501 +263855705 +1158167987 +1124961160 +1252556224 +1278358385 +1929195203 +2112568132 +100163363 +324619919 +274744366 +258183696 +305616024 +371383869 +387759193 +122434046 +964408469 +938222316 +1506661563 +1703531200 +99131532 +1740283874 +326545987 +337825153 +460685133 +1863975141 +2077188028 +1912902144 +437800587 +2076002441 +1269032335 +1665672088 +192374498 +279716674 +643149600 +1444930723 +1558075059 +424861156 +1410015207 +1658238422 +749481075 +1684759573 +1916422118 +1055097099 +2056143443 +156697664 +1177531145 +873068264 +1094919980 +536709060 +429115816 +1194051512 +129509286 +755661803 +1531876666 +590194420 +472153297 +1461581046 +355612916 +909953884 +1390099839 +1624645251 +428142324 +1582474337 +1904361925 +1071291924 +879921412 +1314953336 +1496153080 +142452971 +825708111 +98150508 +1827212545 +594646581 +1153247607 +1735872340 +751344245 +183295105 +461456956 +1846264226 +720004165 +890572772 +892832090 +849513452 +1646234576 +277225108 +1439707872 +2118387873 +1738806154 +1795320788 +880858109 +981422345 +1272482392 +1309000433 +416413035 +1029360669 +232808709 +1296334447 +196830358 +1728961790 +1438787419 +1022538469 +1827112298 +1118516316 +1617185050 +832876257 +706905008 +221045648 +1016171362 +1168361964 +2067309874 +1736175528 +2058934736 +812658316 +438205332 +1557685664 +1089883425 +1877913204 +1528589889 +681205931 +1525750344 +261964350 +1662628277 +650749088 +1570964783 +2079041312 +1680109758 +1803773493 +1227892111 +1876940116 +1385251635 +519195882 +751994937 +1064880285 +1637712198 +221696339 +1897756542 +197133558 +442741987 +766444257 +1365495522 +362568213 +355136137 +1276946611 +1175226530 +793341469 +687148627 +117626307 +523771025 +68254869 +798832238 +2049521369 +330219219 +313976867 +552786810 +1901184003 +245534531 +85412920 +1557473848 +1473426643 +1962353036 +795241835 +1992622525 +566864325 +1860122120 +1482851076 +788560664 +1610395014 +1679984634 +1231302652 +229355623 +897996509 +1593870865 +584491760 +27459472 +621613747 +1377833229 +714608099 +739240054 +1901604254 +782862968 +1538072293 +1803641976 +1113082188 +1852049160 +208945138 +866782543 +2097583692 +294358058 +276772743 +1423526687 +109227446 +1072014578 +1268665564 +676091771 +784653050 +604032992 +1464652435 +247564416 +136533979 +548471439 +476920040 +1034530488 +2142342305 +1061411800 +1061989960 +616472404 +291761382 +1776598059 +1355712459 +45881988 +411977380 +746301104 +1849523964 +1525059568 +450866616 +2058469102 +244358463 +400966660 +205343512 +521131206 +1824493347 +314570958 +1593145784 +945675264 +990662729 +230315186 +1549708256 +307831517 +477879602 +1686242235 +856302956 +954799642 +573289075 +851161613 +2016211443 +1635279035 +1467634018 +160489177 +1264393447 +675862829 +206371165 +1676370827 +1422163933 +2055895130 +1053946747 +1873030549 +1966880584 +1298305210 +126513562 +24740449 +1819436416 +1951006909 +339311407 +1265098552 +749198525 +1329974137 +1495413738 +151423134 +1637805654 +1973293340 +1837665369 +346624962 +780609335 +263470797 +1197786576 +649337130 +1898749832 +517936946 +809826307 +1015659631 +1193799775 +1016197472 +544546810 +468480060 +924608954 +1598493557 +194026961 +744005891 +749315119 +320540523 +768746340 +421267887 +124063785 +1108057747 +1686366439 +873262310 +290548236 +1034296529 +1024685444 +1928353890 +860106222 +714867166 +127495205 +1640715557 +978337963 +1325281781 +142569039 +729604147 +1843218727 +952395346 +1745263779 +889534854 +1968592818 +142326941 +1358014914 +745718125 +1740820499 +1552041875 +1489724016 +342651970 +1872582399 +110986708 +763919858 +1996646184 +1219044455 +302802649 +722424846 +1509592692 +1337099179 +1747110291 +1290462934 +49721753 +314493809 +1417958139 +1690437310 +1292831772 +595756272 +1833006349 +2022435919 +291491351 +637918047 +1620216050 +1181026205 +459027217 +1762542992 +391557471 +1204745342 +1355879843 +1943599347 +546985710 +1698531813 +1668698098 +657972418 +314968023 +1517860634 +1877016874 +617770673 +92801832 +1239125918 +1954869852 +1839912123 +382105204 +2004591605 +6922284 +1800063344 +1547545267 +1299754056 +248335968 +1233067968 +1174706328 +539827320 +1870986015 +647438730 +1720853525 +182529584 +262498074 +2112410997 +1387274927 +1618377917 +1908526696 +1934260637 +1169426083 +1429741146 +444749408 +1484394106 +800118132 +174282634 +2102164779 +892919964 +1413408552 +1909550983 +585348440 +1795513756 +1766658940 +592270724 +1448093452 +1166720559 +1892024781 +1696429421 +252304879 +919247461 +88773093 +2123290894 +1566686191 +1809626618 +158336831 +1829184266 +1774553967 +1545611758 +1300078535 +1535597015 +1332388747 +322020970 +817854513 +1777138155 +1806415077 +1617972645 +1951420789 +1761096208 +363408962 +1217345693 +1523163544 +948757402 +865375802 +1142338836 +1541028126 +165985606 +161575748 +1285569259 +1862415027 +413880627 +57333072 +1951188120 +389687874 +1624019264 +1613331091 +548024705 +1305719882 +1240401410 +2093636463 +458314769 +628514778 +1278541562 +780335740 +1446369291 +908196070 +439267169 +916858289 +712133211 +52879729 +1280267251 +1929478905 +1576043273 +81541005 +647371059 +570898462 +1622569131 +813356665 +732474210 +760654743 +528288045 +1146354837 +817987815 +331992517 +1536042711 +294523431 +1945323608 +2084067416 +1600243313 +1038241371 +2030220231 +2058558083 +1666756149 +1161278146 +691410175 +965641792 +2069474216 +1130677344 +1882500081 +634123779 +1183557073 +1015283684 +416119036 +612116699 +1096824689 +1063490095 +1183015161 +571910173 +1876846761 +1915489371 +1332564916 +257651158 +914360560 +3069083 +589643675 +302919624 +297592515 +387483636 +239503392 +1897835828 +1425725007 +122239976 +1808910263 +944997508 +1283518122 +352836790 +1910639300 +1205508690 +1483514134 +1645655734 +1839632469 +519587560 +513455770 +108267858 +1131704259 +1610280460 +1171757953 +167235772 +34706985 +901121066 +2082725143 +1367271901 +1158772224 +849602055 +1370340984 +1748415900 +1152521679 +1667933499 +2135899536 +1392025072 +1418285680 +1414140895 +1514265048 +1079712295 +211654755 +650299522 +1432549086 +2122294055 +1855808212 +768579572 +1620466141 +1547957033 +1288167132 +2133921912 +1656224891 +272387743 +1596718724 +680499197 +439623515 +1631425709 +1581620263 +374865010 +851213962 +592908840 +1224467066 +74071298 +193841092 +229505097 +1742004798 +182256980 +1621530169 +1012806830 +1596397875 +988311569 +2092519125 +1808052630 +1638611091 +1377584563 +1782863037 +1346935655 +2146164136 +1255845531 +747409041 +1286847620 +1242283795 +256150284 +1559235364 +691518871 +936649481 +1998858879 +175460932 +370786097 +226240242 +1026674894 +963694937 +1450707308 +1100746192 +1157536029 +1680212405 +695267342 +1339793009 +1154258927 +1708074172 +788707236 +2142570496 +1653109650 +449276218 +1633697940 +883210565 +84655607 +833149947 +881891053 +1340501138 +1580558988 +21255026 +435301285 +1836709273 +1580490390 +1126820156 +625875106 +1431865621 +1302281088 +996661203 +1658105863 +181472334 +1960356140 +961329523 +1282218527 +970408521 +494058281 +1977485869 +162717882 +1648317208 +1538076394 +951425118 +1643404056 +1043702396 +1400701336 +1129618348 +1926912961 +1485356944 +1962768296 +661320367 +678374434 +1395843636 +682575393 +1113675720 +1085069261 +115582135 +93012228 +1710944368 +1547447756 +1395293317 +560121923 +1058069972 +1576765651 +372994416 +2019399495 +711500530 +1343402937 +365974128 +541502752 +1506120820 +2014291336 +2079579146 +310062290 +1510211745 +975797894 +1710763627 +492346445 +755227207 +1048636923 +307631093 +1416547574 +1727011357 +1703474730 +2099122967 +693203429 +641060343 +67221454 +786215658 +204521063 +1614669211 +34025327 +764642987 +525255535 +1610790978 +1137637403 +397171382 +174807861 +333556692 +763145511 +716310613 +1839677512 +629953199 +648406111 +2256155 +2140164944 +1624204005 +1713019782 +485027742 +231947564 +614173057 +792658835 +1648495139 +193700766 +348649917 +1600134458 +886904196 +989710261 +1667355913 +1673119854 +1194231324 +1134541476 +1707145181 +1958874311 +1659797011 +1170452511 +949028066 +2056968393 +1345260372 +1282584759 +672630256 +2061570985 +974778623 +1302583456 +562493448 +977034778 +1295264752 +39213805 +542570912 +1780292494 +271161370 +1156743969 +425467682 +1919656509 +1350444736 +774117599 +1372307319 +89865284 +1763827860 +892179584 +1762985138 +810575537 +2026721060 +1322646671 +621966200 +1539034423 +345615534 +1570994267 +1448519169 +1690875907 +706095378 +2121149425 +1604963244 +1680874001 +1276249233 +19973045 +510425132 +424030338 +59186850 +1052996044 +56839184 +330348220 +62256366 +482306866 +102521081 +1412701102 +1256424466 +1474828401 +1502566386 +872768678 +219524337 +1118067876 +1683344215 +98761750 +293230899 +157826768 +1637796173 +638846433 +1728821035 +938831694 +182238692 +287432765 +912497472 +1787201937 +1968306766 +41263057 +1807174982 +331248250 +465293395 +1866361832 +1384244295 +522132580 +49226405 +1446500661 +1004439446 +151747486 +711718115 +113380264 +1626575887 +66800853 +986148943 +1846100225 +1184868729 +522009510 +1944861975 +1478099628 +679836278 +1435174500 +2116946061 +261173665 +226522547 +151701106 +548606430 +1139020019 +1938903043 +369429549 +1180283076 +1598594377 +700677799 +1645576472 +1317472561 +2084922094 +20225404 +1366698966 +1383939107 +1024664850 +1518446453 +2095657222 +1138045115 +997538692 +14974427 +2124194058 +696155269 +1199843156 +498719920 +493533596 +530459136 +1178556199 +1928708097 +499921550 +1439729864 +7746996 +651622656 +1988336295 +1146767015 +443042051 +210282196 +179566443 +2041636428 +910959995 +1825142915 +1211625341 +848398442 +1845368319 +430840660 +84853901 +722549522 +1949287113 +33027476 +1860594637 +799342157 +48001903 +1837305047 +1495497427 +1247845060 +188541319 +1989031023 +1778304196 +1367097518 +1770255472 +130742098 +659343735 +1778002468 +782364754 +500196382 +777285835 +1225406805 +710478578 +956852279 +1119559585 +1621438573 +634511546 +183701279 +322353367 +332396218 +614541939 +407207269 +1054945740 +416345404 +440234745 +768056729 +1215687561 +488236648 +457878128 +563701340 +1736081708 +646419447 +405248716 +1366902257 +2013516966 +28020540 +1497644355 +525377053 +1806023009 +132525462 +1025573435 +435825196 +1357932267 +1736052013 +1392677475 +330008205 +1210006938 +2027189022 +513709484 +1532360306 +212101592 +1128251423 +1939567575 +1267047332 +1544596827 +232318672 +2035104061 +612800740 +720555320 +345498541 +1176502081 +309153381 +991917988 +1581750797 +1676055638 +857951306 +1609771337 +1026216345 +1383328359 +1268310698 +1158741807 +261418146 +1704135895 +369190427 +1997470159 +949329722 +699198632 +1059993450 +829035096 +1212908116 +444870108 +1041136688 +193675891 +236954035 +160700372 +1738272718 +469272707 +48320785 +203589810 +1189828027 +393819326 +1380091891 +1498981408 +1385737315 +814359040 +1027553398 +96204973 +276646730 +2053769744 +1479533333 +1544957428 +1065027903 +1740951479 +1101609675 +1434218330 +1590937991 +2050939398 +2133416962 +503447793 +732490846 +1198841430 +948317901 +1773627535 +1392517321 +1185271936 +1934327907 +983306391 +1654544643 +1982648693 +1186896202 +696889022 +228984371 +419504445 +48386783 +1614721686 +1233863486 +1075940181 +1710926660 +1510510216 +982226277 +1042976345 +907983996 +2047254181 +636444176 +2009593672 +1333988863 +79898519 +1913049422 +1319922178 +583346312 +498056620 +371279960 +1531664213 +124200507 +1763797282 +569452501 +2058528415 +599620025 +76513496 +1893693460 +1786516227 +773402519 +2122677831 +58537025 +821789302 +1589915870 +1292400511 +1897729483 +1153358882 +655427079 +732472113 +48851579 +1563411075 +632242646 +685295755 +1425521099 +1966231509 +765194275 +1191086873 +1138670039 +1348540587 +1689143494 +1509950000 +732721153 +1813344001 +1126263634 +1302173654 +1724388768 +1725883659 +1378687151 +1470598580 +1364916239 +4606022 +1445792764 +1423453264 +826395324 +888224986 +568370127 +576641159 +2041583868 +1223797206 +1309113272 +2090435447 +639724633 +1941355918 +628247554 +2065245733 +1760103780 +1393441829 +1108848958 +751290171 +594498769 +650508804 +113756523 +1327219922 +316369158 +1240020157 +481909928 +2040757926 +818420169 +1860597079 +1363872859 +35852760 +1865203101 +662181975 +1459306024 +544114777 +1550406961 +2027676151 +1120755937 +1444507181 +1103989709 +282385561 +1387458980 +1743714342 +76257832 +2015706534 +1661476427 +1836361612 +1261664716 +622841738 +440168135 +1856163485 +1273350542 +553924659 +1035899759 +1589719700 +1793944816 +1517809687 +1482993979 +464881337 +1230923119 +699383190 +500734097 +948642572 +1361565165 +1960040121 +1492757350 +764488478 +1840232624 +466029639 +61512011 +796738685 +748415200 +1448970991 +392969380 +824673032 +1317193877 +2054445807 +513550996 +431374945 +529803897 +953719132 +140054782 +1803154440 +1507643791 +1175954541 +1245390492 +1154104959 +546280581 +580900823 +1618986297 +1777203700 +1280284013 +2119720394 +578362624 +494365530 +1932276868 +2071119974 +1258854008 +1625025844 +389665965 +1320366019 +274280882 +1138081166 +621853362 +667250262 +1962754198 +1939047240 +574212421 +328821547 +222938537 +1104016319 +1282540679 +362993320 +759687111 +642700822 +1538947861 +2005077603 +1796805781 +2085228442 +438494779 +1268308430 +1714948494 +1718778792 +1240545177 +145827471 +65660675 +1025338397 +69463797 +1324514683 +502880593 +459129763 +497397055 +777161475 +1597210929 +1119250417 +1444411737 +1412481479 +910814009 +2018624159 +1741303026 +1133752547 +975156830 +876360057 +1496745867 +1734843941 +1519060879 +888210080 +1592437896 +1168383013 +825954875 +2030932675 +289207795 +393419721 +1602227820 +1529752972 +539247192 +1667888495 +407607721 +608710990 +844919530 +910488315 +1067840753 +1342316585 +1687649790 +517568034 +314083355 +984577880 +1930049513 +1224897364 +855718391 +1523868892 +211166263 +1830875221 +252745301 +1707912130 +1418235514 +1771806181 +448638563 +863189762 +792705546 +1274593438 +746638790 +1081913341 +1668013159 +201382962 +464182666 +59776704 +1869271457 +871790387 +668487694 +566707339 +1782278702 +1736328447 +1909023925 +1322444845 +106412833 +75623632 +159539077 +2036462346 +1300520996 +1015257468 +1412847590 +1511687260 +698649041 +1665592892 +1072115742 +2116884555 +1289915425 +1520754305 +832590669 +2082620971 +647864095 +1579229459 +1017050664 +168393607 +1780612421 +1481233330 +228170311 +1502400230 +205540070 +896658005 +2069107570 +1987818772 +485502804 +1830647847 +1162779969 +591915637 +1906271479 +1322319046 +480894335 +1059308827 +190092866 +1893741926 +423512439 +888741907 +1411851170 +1495628182 +858142814 +554282947 +868898839 +1690733484 +489420270 +1516762935 +1122479295 +1506470934 +1685156542 +755608069 +840220617 +1913326853 +110524651 +1045760687 +662501210 +32148573 +886095811 +1148004014 +1862796420 +2048875781 +1739919651 +1621584251 +1223711179 +73330338 +533409431 +1413804046 +1967072264 +956921870 +155062305 +1231439786 +305066404 +1013205120 +1785722733 +1173965244 +556454956 +127659355 +543244531 +1678934251 +1634130290 +80917425 +287058672 +326867259 +1994244278 +397583324 +1372627946 +509261840 +429731897 +111240109 +1657265854 +145044670 +12632242 +1249701857 +1766628921 +1236343422 +1323032195 +152554704 +502663820 +1142620812 +1109476575 +657726125 +226576950 +1414542979 +1670931245 +2012299684 +441024575 +79902553 +2139959039 +984269106 +1758836805 +1626605681 +1065186531 +2045895477 +1953472940 +911947161 +295995153 +1178617238 +1421209001 +725727051 +1289857348 +930991207 +870771721 +1302489590 +33209416 +489916994 +391349364 +1356241612 +642471699 +894013184 +351378776 +1751948274 +1551739310 +577955726 +1019007605 +1075186907 +442771762 +1460032181 +1155089461 +435247154 +296817639 +766442618 +2061852835 +1362004171 +664854447 +1867842128 +126467684 +960849601 +898975718 +1547676686 +1686576652 +41349418 +331184245 +409864725 +1343839009 +364393662 +899781719 +1735188373 +1720635274 +1542253418 +481717910 +2072014050 +1146718044 +2033457220 +502486128 +18242002 +961160479 +945257891 +1478274183 +2116249940 +1380505045 +1775091822 +735208910 +1294874232 +989612345 +1400063358 +1015232712 +1116080030 +213429311 +1914208431 +516273068 +1900005963 +1955557849 +847457313 +162387040 +1151913210 +1211850975 +1062168759 +739617936 +785002601 +456938530 +1221335846 +709533003 +1603656574 +1107309418 +1212019132 +1621898576 +2068469897 +9793375 +952689111 +2037236190 +1390298420 +580297286 +624961452 +537689004 +1569909631 +2025024810 +1552921717 +538506013 +90970473 +1319646500 +1054779081 +1990976436 +1127720701 +1902236395 +5879828 +132150264 +966603722 +1068048588 +871768200 +1751606324 +1524987118 +2093104046 +313655679 +981160044 +1052929816 +1525674811 +455574973 +973916065 +1535468186 +1408264084 +863668607 +778282958 +1988561370 +1488630060 +1315971963 +1410987354 +1366171222 +721410032 +1949493367 +1457141696 +2041056532 +856788801 +1300634484 +1021293585 +611541548 +1306514313 +1153443849 +1578145270 +227079253 +2025212049 +1182267946 +1752066371 +1970832447 +1495923626 +585742767 +876278615 +874114789 +1041317740 +1850194681 +262099328 +302098177 +566379640 +1040382286 +143175899 +2055009700 +208870601 +1554163253 +1273697275 +930280633 +1356172973 +583355323 +823853517 +65478126 +1883989807 +1845147103 +677019674 +1043020472 +851107304 +107681296 +1270099725 +728835706 +1289949243 +874682448 +552184505 +638389221 +1460425216 +1428463121 +1512504010 +354259308 +1131174154 +1774603338 +656357485 +1697553794 +667501977 +799533385 +1605079847 +876372578 +206212990 +731293474 +1806653212 +1562385963 +1314648797 +483023081 +1627864089 +1051154956 +180686536 +157400115 +2094175429 +1031793841 +265081412 +1216791506 +1760629547 +1555030655 +2091473955 +165330404 +45936228 +1404415523 +1593793525 +1558440238 +1758674831 +577484031 +1185559929 +267548669 +127554178 +1853061906 +1067082054 +1732634025 +581950836 +1273295044 +316443851 +241120400 +688197360 +1631092648 +724143482 +168577801 +534763956 +904830018 +325977917 +481455737 +1936623859 +591059329 +1698247244 +1549769758 +2146089984 +1642237551 +1715100163 +44542564 +899169426 +1161410040 +1602982802 +510360609 +1738894072 +641059083 +777909278 +1866448250 +346637341 +1844991332 +1451598627 +928588178 +970802729 +1768042478 +1169708578 +1659000089 +1251651478 +1893852060 +1827577890 +1786415434 +651198431 +6072159 +120387524 +440338642 +597131488 +1818634768 +1990108401 +595737824 +1313388671 +1557724916 +640280388 +65074449 +571651308 +95779543 +575435058 +163061732 +736838626 +1353344337 +2029509982 +1083475968 +1050852021 +1333624961 +2012064146 +2021654750 +954183791 +1034289076 +1533171191 +58351621 +780657489 +1213265434 +1844767056 +1431855920 +1219337593 +1965154580 +1872194562 +1816469082 +1636305700 +1714819315 +264723258 +802210723 +1125060583 +905003647 +867285172 +1696711892 +1000783190 +1442720230 +1859773624 +1737621816 +648580919 +1741799959 +673614136 +1699432941 +927941272 +538194634 +1573604043 +1882125064 +1572483711 +959291587 +1940476685 +205657552 +25073373 +1637760093 +1637513472 +1244410966 +1455431025 +1362224386 +913396400 +944253077 +929560054 +1178119659 +1746463800 +2054620637 +2083123306 +466265324 +1603848881 +936422848 +1908985555 +1316138858 +526561016 +410082826 +910455169 +1200175153 +2109515767 +1838396441 +1738369787 +1535636163 +1573037857 +1163369850 +347444102 +1366030895 +1369027402 +372517475 +856307340 +859057226 +1616928441 +164254718 +73797965 +382841194 +1108507795 +1003358019 +1560960853 +707487948 +910495008 +1496600511 +1173753272 +366860242 +285539711 +935255179 +1682999100 +812100727 +1345338006 +445970621 +2012275880 +1307370125 +136883414 +1603162020 +695522640 +1709921272 +619048222 +1042966742 +928468519 +1988075625 +1415484217 +1784775859 +699649203 +884929011 +1949030577 +773447168 +1267770205 +910054725 +1776805187 +681247410 +1617542673 +539816548 +30364273 +643812297 +906676790 +315903984 +1579067477 +442192242 +1128004711 +776921835 +888162863 +992796944 +2084291960 +1025046277 +448475316 +632330953 +587483901 +1067523538 +1675297695 +1515952420 +908115515 +943298265 +1153244632 +1607764719 +1828227276 +954791561 +233728239 +948513833 +1864846286 +2010533427 +1629761243 +1334905311 +402866327 +1660125516 +1978717609 +1309543117 +1976029500 +1410301438 +1751735359 +956550563 +39739625 +492414574 +1949347507 +2124031585 +1517460851 +250339175 +608878890 +2104944753 +1317862714 +136692938 +1473413525 +78494581 +1079991203 +479174509 +1686259300 +760734831 +1433966071 +1919987540 +1709248664 +1151328709 +1783037319 +1191526259 +338750373 +38419998 +704168127 +169984334 +1347963115 +532713979 +1580285772 +952214826 +1489264542 +1620025397 +1444629400 +1291128402 +1596573334 +814606603 +1541467577 +57968577 +772067708 +711846643 +194661515 +97997586 +790341225 +1274652718 +577172095 +329116877 +2035387549 +2011138166 +101620769 +1597152565 +1014983228 +1884658088 +641195176 +1353733601 +1923078086 +1345363303 +1523717935 +1123557553 +1878077282 +956520059 +2075772379 +1219858176 +429061808 +1372918131 +363502930 +2025635142 +40041087 +1904970508 +2083603719 +812108795 +469333503 +130781586 +910106381 +1259674728 +1405434304 +1487278477 +1588791606 +1293338205 +1350932995 +1690412375 +743007122 +218432575 +1427586816 +1384202298 +1572166176 +1203181254 +582081953 +948400463 +179255160 +312675587 +1904920522 +107543891 +1532533764 +186498682 +1480462023 +1896036694 +64650177 +1520503110 +1653523554 +770248 +185128257 +2122857058 +131551835 +1095234639 +1235048138 +1536986139 +435029468 +676356096 +682840697 +1785962463 +219284824 +1425847819 +2004395039 +1646871640 +662566470 +1429077567 +702569246 +1244648423 +229994383 +881824406 +1557324011 +2134914905 +989368298 +942374127 +173929940 +322346673 +690927173 +238580117 +1842849783 +196967080 +239350365 +2027978040 +172340490 +370902200 +975729031 +1407388628 +1907888340 +1410758499 +2083744725 +443245389 +1049237315 +155545901 +1869093208 +906148706 +1802417541 +384176030 +187742625 +357503139 +1628824454 +417737008 +1239327546 +1038664817 +405168266 +81212196 +1981038944 +579098206 +403558869 +524482469 +817678323 +98925004 +721449549 +1057028688 +2126903044 +893790039 +1427930889 +955148428 +153695020 +1188335581 +218423279 +89956097 +1631580970 +1267660594 +245501998 +1353190530 +26325652 +2047919539 +1737366561 +214068278 +257939030 +1218707367 +631805286 +1497266576 +109888536 +1036973552 +1578478772 +2090927480 +1616071758 +1982037641 +467926301 +286266433 +2080962645 +1189375851 +1343295122 +2060382042 +2083165890 +623742363 +868046822 +89377262 +1812077944 +1086470101 +179333359 +1296175266 +206647048 +424835357 +501882148 +232972700 +325271248 +91765061 +447040978 +583210279 +1310472428 +1078846265 +2080476855 +1420360964 +2115819817 +1511471980 +1363804796 +1584407928 +1346025973 +1831731098 +1870674361 +1279504971 +873623301 +1066485835 +1192403365 +809305543 +1690228198 +2060450187 +898682806 +1354822494 +999436640 +1078016165 +503514112 +1206083688 +1502851523 +1005396261 +1439056389 +1828122771 +1097161322 +1886097367 +263849402 +260150103 +817459984 +196842610 +1680511067 +785796154 +1708314590 +896832216 +222720434 +906856915 +581079666 +2093394795 +38878238 +1454702967 +1012396983 +1231281603 +116524862 +555141533 +1144248142 +1015207668 +1909964028 +2143684783 +2093223834 +265994492 +1202284823 +1448591709 +1271390753 +493857564 +1129230832 +221068428 +232471284 +1393080235 +481218531 +1049931268 +1589922845 +14245950 +1835727422 +1150753787 +911078166 +2058447856 +2057610702 +1492157832 +2004359004 +2096488941 +799377151 +869272339 +1180286896 +915902014 +1424413872 +177051391 +1931109682 +1186894252 +173252526 +1876849868 +1452888745 +1375537349 +1177957929 +576795850 +1869394914 +159705114 +797864278 +2101866198 +1552785349 +1279082809 +1004313818 +995224546 +1293328760 +692557593 +2145978333 +56923278 +603521801 +2056105387 +1549081111 +460397157 +2005110680 +200974614 +1329669496 +1037913929 +1116876628 +606599721 +1214965320 +900502663 +1793493973 +1388217846 +629868883 +1098899070 +616271547 +1807826813 +1675694921 +338182813 +1967531927 +326075551 +292565363 +1372833628 +1605158361 +1296879182 +220574526 +751003473 +1989436775 +219069211 +807926751 +445474928 +127690950 +209524214 +905872086 +2132801631 +410498829 +88057934 +1023231912 +1527375457 +694657655 +90713584 +280394472 +340667981 +1478931430 +910263356 +1439567051 +2095202977 +570606521 +967778324 +285902143 +390654800 +1293853876 +578467506 +1763488428 +751528589 +1875346688 +1984062954 +1502532062 +1717299815 +55648517 +162975165 +15291096 +183339467 +372499380 +921163182 +168657450 +782998209 +1009221116 +1191889362 +162890018 +1703878772 +1282602946 +443284491 +2044546753 +614050728 +1353547847 +1336630156 +561770058 +1924154368 +156924833 +847672201 +167325520 +1450778709 +1426139707 +1930813948 +54823650 +1154002748 +1767393254 +1557355712 +723818915 +1823041771 +1720330877 +739110011 +2006381238 +2092830257 +1660273193 +27555041 +728344818 +522010662 +1219444403 +891234837 +78405786 +354563702 +1334519328 +2122952539 +968614430 +540583527 +1312099047 +1530384488 +317254247 +1469023880 +230573041 +484579767 +772318941 +1656712749 +267910067 +827142591 +663231849 +2035303321 +237014655 +1387050764 +1710861444 +1957345533 +2126160776 +1569759034 +1902692142 +1638950321 +1597314075 +483553313 +13477335 +669274831 +1374788150 +91883121 +1023838533 +561823830 +67352012 +1992452963 +1102407357 +1379451060 +1375353804 +1419661604 +700991292 +1605926845 +1904241371 +1473310234 +1115155946 +24667790 +152969177 +1778387795 +2059971111 +389983833 +1017954912 +1623348907 +199845718 +996632040 +1045624293 +2102537860 +488098713 +495454721 +438607525 +501576049 +1164729552 +1813395675 +593459170 +41084437 +227735857 +660811183 +2033537400 +1330143214 +2040262243 +1261407556 +602321170 +593769887 +719850754 +359078893 +2067080121 +1835006700 +383746683 +72565651 +1465910848 +296234146 +462549484 +336382112 +1919583053 +662395202 +1333014152 +817723699 +617449414 +1821112865 +1313178420 +1056056940 +175205266 +330424324 +721968967 +768664437 +371508761 +949704825 +1429475620 +257562513 +132364391 +1322254215 +1518970070 +734685562 +1916024102 +91337176 +1093764455 +1835620576 +1926343876 +1477511139 +1908186227 +1244771076 +1773745285 +223252063 +1581153188 +1545844691 +885647265 +766683692 +216084742 +1503096679 +440312910 +1529263162 +411669971 +615518176 +1859687486 +1133638939 +1384182613 +83712599 +2083343764 +666174585 +341275112 +68224507 +1988428800 +1860245182 +802910069 +1756969255 +1951582358 +1896674525 +1445106183 +1730442587 +1226702016 +1205808762 +827730015 +852963653 +1429060825 +261399556 +251324696 +167224442 +1028083248 +467409438 +1670321121 +1468396158 +1996672600 +2081991093 +2083914335 +1708876438 +1068146384 +1320613300 +1792589037 +1004006500 +1986787886 +2133864150 +1072231007 +1827733038 +1846625684 +1875141077 +1437218645 +1650724395 +1624331954 +734841180 +1233683334 +703550322 +1940649942 +2061413349 +1556513975 +1222227119 +175329257 +1807838672 +1389451561 +1203412506 +127764462 +912289035 +524325016 +2124437063 +846796480 +460755703 +1685829853 +1914942864 +1781369004 +1330935243 +771465716 +1620673242 +1317315745 +1843696723 +1300922632 +1016457781 +1571354152 +590657630 +519698528 +1048202458 +1325498810 +1753381862 +1751752780 +1118665105 +1667311564 +1160783108 +193408576 +1842640821 +821138132 +1582860138 +898569679 +948902594 +347665525 +1422894696 +925856009 +1194462005 +1883650399 +464202215 +961921221 +1517535755 +1795137458 +1733386937 +990725349 +964969555 +1429600012 +144164334 +1981427336 +853470517 +734821964 +353642217 +1901672975 +2060320774 +2107024079 +1505942108 +1031502231 +1626851995 +519241568 +1224910808 +1322009169 +1340379700 +660287298 +73095200 +141798646 +1007952823 +1495989896 +1067654656 +54931180 +1232156648 +1531856871 +1016852401 +602208755 +1179510681 +602755690 +1592934105 +2144480236 +2032355702 +1737098439 +1978423924 +738342571 +324436755 +184582493 +492531899 +237273881 +144122925 +1998474007 +1268776113 +1770974920 +370231927 +346203273 +945500441 +1710611627 +1006490571 +1018595642 +1852410273 +2014443394 +367101890 +772581281 +2069374574 +1599258538 +156954504 +938743327 +53983646 +1336465185 +1541499017 +1646917751 +1333461773 +1426371071 +1236532542 +1164402050 +17229995 +1560969297 +1348984543 +509761894 +1798243178 +1493107468 +360752253 +919535643 +1116598741 +730984180 +1265738916 +2062099182 +294112159 +124745839 +933211176 +2146522432 +2139189233 +1300313067 +771620066 +2061080159 +752087957 +928574570 +852339838 +806071603 +117556108 +246355207 +305505706 +1451017881 +1672726279 +1542038248 +467936283 +1689956274 +955523897 +1816920827 +52234520 +606283428 +1162544647 +412986773 +1525819071 +131659740 +1143970953 +644074340 +46275275 +1438083112 +768820179 +979486451 +1437121896 +760525765 +132315870 +61258314 +674122276 +884403828 +989832885 +1526462115 +1690475431 +1107388993 +1772817322 +1995981138 +410923226 +1298059953 +1390535738 +878859510 +840532579 +198575988 +548296689 +892767099 +804859416 +1710841336 +1305753872 +183194839 +1842501077 +302241177 +827269179 +1888776352 +1740324289 +1596089359 +720779155 +1029962538 +209131476 +853095026 +1091220852 +883253752 +1737498854 +2081053737 +262232219 +1280490637 +1040959082 +2035049542 +1128988127 +1451882309 +1185625847 +372040218 +183258171 +2026158427 +570616206 +731554860 +771441878 +1375475622 +294912548 +2077195751 +1558670461 +2137413625 +231953280 +238455993 +1878706329 +1972277570 +1834545352 +452001837 +854756460 +2043676828 +1305096863 +1945977312 +779446932 +895112069 +1879547402 +1041679152 +28119058 +773022836 +929245046 +1157107186 +77421497 +2114870893 +1529147404 +260679668 +1993545672 +2099763610 +992234528 +617503903 +1327755584 +1287147077 +547216006 +738942397 +1277077054 +779169286 +977398390 +1008299736 +603963208 +664460094 +1460301573 +1458719668 +560653274 +617914788 +1257213333 +1340100207 +1513026857 +989277087 +234295711 +1541145915 +1762299923 +1163540757 +550769453 +1839721421 +1130928002 +2079916857 +2100401089 +976990027 +2032196819 +945151970 +1594493930 +1212468755 +84815399 +2141709936 +1951411153 +1361892453 +773395574 +781325895 +222708541 +1377358783 +1445785990 +1683010114 +688594803 +2006439264 +153441254 +1945808136 +1199055823 +1666468111 +787601575 +1433351534 +1060130379 +402417851 +449408643 +1610899832 +94655624 +1580336646 +1543333042 +47573065 +409843025 +1428046213 +992725035 +2004336955 +493031321 +1077540434 +1998563243 +296958826 +291949240 +624475169 +1078284721 +514657781 +2001833952 +376587063 +50184248 +542945108 +235542680 +203625502 +341269596 +1434598503 +1870093614 +1128871172 +720466390 +782740345 +1531289023 +1169875033 +246156529 +1625944647 +602728031 +1789489571 +1673517712 +1012571056 +1070052137 +518759100 +869424363 +1563083458 +1596299534 +720503958 +1860042284 +1888248774 +1344979128 +790843357 +255422908 +1199329432 +1167430421 +305607156 +1742274540 +1402973101 +509232658 +2083544137 +690087956 +231842624 +1064931661 +1410554346 +1014582969 +448737036 +432945732 +1260739499 +2074681683 +1035673763 +902745422 +1600715747 +2048244820 +1972797559 +2119474847 +770185535 +1388397369 +1568290734 +1490689494 +1100956005 +1309055860 +688184974 +1891799363 +1564478768 +1887514406 +911746136 +1870085924 +1482305299 +167235589 +231834935 +1418365788 +857323545 +463677559 +335813801 +120394244 +1478260529 +784550837 +553339976 +591516380 +711748872 +1589013739 +1494261802 +164980971 +1489774911 +1319575714 +136972171 +112476799 +560489435 +1705262905 +1603166293 +1661445441 +866835117 +143867619 +1405761156 +283830238 +2031382025 +170023644 +6432514 +1366203676 +337259233 +238267449 +637085816 +1194582778 +701945009 +972899617 +1314977022 +32721890 +1757450454 +1868316998 +624238270 +321715678 +1309847090 +2118500072 +486696650 +652138353 +1290592138 +623668821 +764615152 +1851081574 +181448078 +220297797 +1365043367 +1048283195 +364165416 +623320875 +1332113433 +248063794 +793344519 +1338545948 +1614267470 +1130603752 +1576813397 +103869639 +177702882 +131274758 +1076769256 +1492679905 +163996648 +686736063 +1213513255 +788234918 +1008451741 +375876697 +759251343 +1495148391 +1028015051 +2049843481 +2118817212 +1792630203 +1753441407 +152781642 +2012928001 +971001126 +1201064838 +229609769 +1594322001 +385694623 +477673563 +240182872 +1724240571 +2091941034 +1370786624 +1153570321 +48327025 +1548489507 +1284845079 +1125096281 +893685764 +1448841728 +1811832344 +2107199019 +89592998 +672800438 +335592069 +848844341 +20465181 +1363607120 +751204175 +2139282394 +1008753675 +357161934 +144580388 +874198028 +1328163061 +1345645226 +1103807798 +775001414 +1731339850 +1581481361 +1015184287 +1308096773 +1525938747 +238487263 +314183446 +1574265772 +1786976770 +1599028526 +551878406 +533178886 +900386606 +216227102 +492894258 +989979604 +889027540 +828486327 +1838823946 +909492722 +44609799 +442544473 +901291468 +1053363474 +799706407 +1045871856 +1927561503 +2127869468 +244033435 +883885653 +755387235 +1975373285 +317883366 +1770571522 +1135986410 +1843822114 +2009058785 +1450169857 +1270604238 +1648551908 +901714735 +1822482644 +34247146 +1802101341 +2038709747 +527141404 +644597297 +780253639 +1355627731 +335937595 +1689746361 +1400237530 +778482068 +443554181 +306117357 +1578188476 +1489426038 +86195212 +1558574296 +1733459473 +970080865 +166477883 +1561349110 +1287964231 +1937049405 +549851872 +984302697 +1798624543 +2000021729 +107423288 +1299692803 +754252816 +1929905932 +1333939949 +408870509 +1821132031 +1861081354 +1053467807 +453902023 +1069225437 +1389405402 +2143648384 +321979320 +20403823 +439718918 +628096677 +1598592299 +1929144956 +714291889 +1009682947 +1515120781 +1684372754 +1176160831 +928986243 +824853337 +965726588 +1478838115 +1809156035 +616867483 +1331376197 +1916579323 +1916560286 +2085629013 +1699001607 +1103016588 +347015875 +1372649991 +816614294 +1400483682 +1826552014 +1885839731 +642405436 +1822716750 +60335403 +662809259 +114952020 +688432080 +113917910 +2044096976 +1402723969 +1123600858 +1411734109 +939613075 +152278041 +193236704 +1764466413 +1118004629 +1672074820 +1426138800 +1734872113 +855967369 +1195234475 +1503948751 +794112734 +746752434 +459481691 +1141128609 +2119402425 +1276095985 +394128643 +1798470791 +1014452069 +1036534080 +1473703894 +1074787472 +1699343339 +1588655914 +1763219553 +1813261250 +1485269243 +1018459874 +789378460 +749519704 +1958072950 +941656501 +942756409 +1575055715 +2059661130 +467347581 +853710867 +1647049595 +1323314950 +2048945342 +1003514699 +2117427684 +648214128 +1462996390 +1111072646 +620132906 +591608728 +1505201289 +271120049 +1606060797 +394251721 +1744823943 +533364621 +2093595061 +1185996210 +149100526 +1759372663 +523781805 +1167560401 +401267475 +1273301509 +978149703 +1342923976 +68574270 +405721770 +1255101458 +535921851 +1259432637 +754667406 +1859236801 +1160894331 +1758182105 +1829180838 +1809108459 +1073694847 +792769836 +281757717 +1665303575 +150487477 +552877767 +1123880724 +544739199 +150218062 +1657245346 +490850612 +1336214272 +1806345872 +102739627 +1859996077 +826422625 +504007102 +985813939 +1804572328 +1846931078 +1054388209 +62810450 +954548888 +1590310061 +1322243087 +1709216294 +1302063214 +335653770 +1319914751 +983760404 +2144762230 +246125951 +1776530240 +279036299 +1911429526 +1927017718 +831914066 +887826603 +324273269 +982132129 +397588301 +815123881 +170862753 +56450525 +917863508 +2030858831 +882873151 +1421870610 +869189122 +539961831 +1121318040 +1923577331 +602772282 +2075866928 +1366403744 +1925015369 +1637599575 +520983311 +113185492 +810030678 +1504743715 +110464074 +1056156629 +1133790308 +389500373 +820102508 +913324378 +1221414440 +1707929111 +1237597647 +56062921 +2105517412 +2052721528 +226925674 +14484289 +823101388 +110300857 +897357440 +97488350 +979489979 +1437319272 +1218806390 +755583663 +2040091554 +1147189670 +2121987407 +1817623275 +637305597 +495487070 +1930808767 +1447336276 +2000230786 +2041272841 +356009257 +986537446 +283289567 +1176111765 +1899861824 +1504704007 +736557228 +989975823 +1560766928 +694590992 +895213703 +1787692602 +709075282 +1718315091 +1897993460 +1606432722 +1815803441 +729999791 +896268346 +887126183 +1485583454 +788876252 +2034315853 +1460087214 +459015880 +524137803 +1955574284 +242340999 +1971474079 +1808321422 +136130193 +179999688 +647375220 +419419760 +1356111454 +399753396 +1924123767 +2092668682 +1389729219 +1337407047 +639776027 +137459274 +977616001 +1348851309 +1855774365 +728125813 +807800383 +1524094158 +1458125605 +1704068730 +263736693 +796225411 +345461334 +150568899 +108828977 +804477214 +674706702 +2064403262 +1046818214 +498697133 +1725241036 +1182948407 +678696821 +225132609 +1602368167 +2034808275 +624886005 +1379008286 +1979993310 +2014615225 +568931685 +472285689 +4590851 +1546547686 +1821136998 +1860365217 +127189852 +481453733 +1236975727 +1585315457 +38038815 +1500712421 +234057220 +383500150 +1651281320 +342886198 +1187977364 +178504374 +259805812 +87311930 +677201507 +1985046848 +1270260337 +1355898328 +62695809 +725144856 +1243222956 +687581815 +2104153142 +1075732618 +554713392 +525601179 +1548018307 +559304243 +2072148866 +1221671657 +272185812 +51855070 +1703125390 +1509161540 +1637170527 +1741164206 +862390313 +1871227747 +2124664356 +366187985 +66630297 +1165158072 +544692359 +326436109 +1252470003 +1221893866 +163999310 +375246692 +430308546 +226695119 +1100391549 +1673531502 +914276934 +1057061043 +601780472 +1468990326 +1582662223 +2315131 +2028294570 +1507327441 +1223986788 +152996734 +1559182511 +779628531 +1662158274 +1048869390 +373309089 +377064939 +772613489 +350489797 +743252924 +839243787 +1515647869 +1287945283 +1165679896 +620634224 +362355501 +1329679206 +995880917 +792664048 +1556374326 +2096272466 +318711902 +323167612 +1005849861 +920492375 +1792157939 +441028436 +922807506 +1672968861 +1948355877 +2146794295 +1825965595 +1360054740 +778939178 +1340640222 +261440482 +1152248267 +1717705161 +1034053972 +1502738064 +313474438 +1873297759 +870902285 +1601419721 +891494007 +1491536510 +1963775223 +73689566 +339933779 +608955623 +1630063892 +288722597 +927667525 +1953231504 +1294572458 +1848159900 +1597905795 +1735600895 +623483759 +1123391008 +1536473124 +622794406 +801872956 +749044217 +1401733584 +2142513178 +1010484699 +406498203 +1712734691 +2044538671 +1909236267 +2026209129 +1770352782 +632654904 +1480145203 +514363142 +2124191414 +1296436778 +588052708 +316641545 +1905392401 +70632952 +605364142 +685576278 +2023864456 +1899936601 +386252531 +1474286604 +1488053848 +1009736290 +450193964 +877043324 +1632530696 +1252066920 +1626087541 +886780632 +1247096450 +489088593 +1293278835 +812347494 +386143616 +1055031454 +691072975 +9012751 +1687686358 +23734530 +523375893 +1664394125 +1320171308 +1111428601 +1981035670 +1078080061 +1182061553 +438916165 +1763656340 +1058442361 +191369118 +2425223 +385245317 +1679422966 +1012161513 +835439282 +408982642 +497208561 +2087506202 +2035070184 +1383989193 +1187119005 +376675129 +529784380 +1999466499 +762818745 +1584815834 +543055826 +771831496 +1125018544 +566790357 +1295207389 +641929021 +1886961665 +259152342 +475481044 +817558079 +1441213895 +914397209 +433730771 +352172609 +1105766327 +436155994 +737417926 +637705645 +1448317507 +1572857208 +1046688287 +1945526068 +1512879763 +934274823 +1182031613 +552515120 +1310949952 +1711815993 +404497971 +2073768698 +1149148179 +947553797 +698116546 +126683075 +1514344154 +1993323936 +768612097 +1253822172 +104992630 +1244093141 +2071380251 +1546206526 +11006702 +357627374 +1898379135 +1116773029 +793783368 +488313413 +1754478674 +94617227 +2061170622 +653683313 +2040143295 +1426566737 +1587958137 +1074691260 +1979081857 +751424441 +639023605 +236096180 +677709491 +1788171784 +1183649977 +1375826038 +1914854859 +550510484 +1221666326 +535983308 +1804332656 +1326658956 +1780076449 +1728229259 +725381834 +1791083151 +2085856633 +476277321 +760372532 +732156353 +964590735 +367367558 +826773580 +878277709 +1021050872 +719433227 +157360798 +461525361 +1794124487 +2136442655 +1212949802 +285664444 +225055187 +1890659294 +2073836228 +1408705164 +1119001684 +1841207439 +1959215648 +193184362 +229707100 +1616064656 +1519843318 +2009783549 +1196810267 +97741505 +1653383053 +1135183252 +574018826 +266271937 +1867339605 +1538609561 +633639496 +546629537 +269403622 +1654690368 +1266062764 +426764420 +2116215729 +912703603 +415723427 +1181681883 +1198368047 +640778614 +924857529 +1124720627 +2049483779 +2043859213 +818444419 +1861215779 +89559927 +1048151519 +1329796788 +1609403246 +910451420 +379123407 +1707144751 +416350825 +1514306660 +133679929 +682622763 +1234162617 +1672289491 +1316262259 +1780792155 +1941693113 +823468979 +899371271 +220973886 +792201060 +1812074875 +636697313 +1973882943 +862959274 +1277475928 +751256825 +1987679902 +1179476059 +647632390 +658640673 +893208190 +737192318 +1706792192 +75521330 +199111916 +469759964 +454644738 +1906256667 +886110790 +1968951398 +2039936596 +1568733553 +1055630367 +1564742439 +737512164 +688938874 +1358951905 +1560981143 +1588310146 +1579925791 +205698555 +1252901373 +69139456 +32097850 +2115860647 +1346615384 +783354675 +1956056901 +378607795 +1430987066 +467213926 +1271815986 +20695736 +26522470 +1347337316 +219807652 +496282435 +1801982054 +2126064319 +1382393225 +1623449804 +2018517267 +803643130 +531596524 +1435776059 +1541155294 +1220535398 +647244316 +954652789 +661361896 +79686459 +1160351344 +1914263269 +148825915 +1192449194 +1882640269 +1495441300 +1975803870 +1691213522 +1874049095 +1259307288 +10943801 +998381433 +1280003024 +37466271 +198235102 +1499810676 +533748706 +2000217156 +1478391347 +1916141931 +1476183313 +1349424966 +572301413 +2007779837 +637717377 +2113456707 +1080831587 +1284961693 +920625848 +1742193484 +1364648152 +2080977192 +1508973105 +1513474068 +1125942739 +1244129726 +861431720 +954262961 +787859601 +587997167 +66086601 +798803402 +1586378601 +1346089625 +836269673 +1784613703 +698416653 +1370018380 +1637347211 +29324352 +1138676663 +966046876 +1378749318 +1710978077 +826343065 +2016466696 +1676951136 +1907174653 +1153944741 +450093337 +1501884489 +371109246 +383586881 +863373946 +1884583314 +1509529620 +2107503673 +598531386 +316308933 +747879626 +1186528553 +382395534 +1546683028 +625423506 +1728485159 +235469053 +262553561 +279418164 +1605487433 +1899900773 +308742516 +596680449 +718464001 +1687491835 +160174878 +1544807067 +1556474883 +1837126014 +1304498072 +562935976 +139735703 +658898913 +934045222 +523322585 +1522272859 +671144888 +2032852205 +1482292884 +1269676274 +201677491 +82688862 +308721180 +584073025 +1629371890 +934144686 +165074537 +1864840944 +1196698248 +444492701 +1322844729 +949115373 +753235218 +1919525178 +1667579374 +293243405 +2079700056 +1064902793 +1849718288 +1769342423 +221917217 +265170616 +1909078126 +880816130 +1199215839 +284917063 +255605342 +1870360727 +170285621 +1737898226 +992553354 +371963112 +1820587089 +1301274534 +956036137 +1302475331 +87935572 +1121110674 +1019832627 +1284633820 +1565603376 +195193709 +86265545 +171354946 +2114718887 +1753844920 +464598351 +2046935296 +671264065 +166832991 +1668794071 +893181283 +432003607 +1430388549 +1773997413 +1631219446 +1715305613 +2029602755 +1354096526 +1885591234 +1620017334 +199166232 +110070698 +1293120775 +1500440766 +1066106835 +448112458 +1588376338 +39733862 +1467945086 +725526511 +1605337238 +1663138795 +811792056 +1776692184 +1630374034 +418153328 +93806887 +1529825682 +1089417394 +260639878 +1051136105 +1982598677 +692643485 +334041007 +1609112442 +176379284 +2049346620 +1491231550 +1530475810 +1787454206 +963765236 +1729642042 +1897524904 +109402363 +1082599160 +816148091 +557514821 +523491850 +855881953 +2025459907 +1249018361 +313735543 +1541115054 +2060810418 +2090427727 +1024005441 +331480098 +36750966 +406347475 +1420897492 +297390844 +1457483581 +1256012521 +990034330 +1791524588 +717641316 +1166413614 +1693387560 +61389218 +549405776 +1333358118 +1025154454 +131564170 +1083399374 +1134556817 +1214163330 +1899547465 +1692071638 +1737655180 +607945771 +1570047898 +839189894 +921681314 +963679304 +752516664 +864625394 +1987684745 +1083996762 +901376360 +246548573 +357410607 +1198767205 +1704032154 +1613423128 +41317887 +1348073094 +183580796 +1207731501 +893977006 +244970014 +1757137277 +79851476 +1270124468 +1888701447 +1163250850 +257197637 +955381129 +915314667 +1949269276 +545552661 +1523260438 +1371833526 +1384742555 +297458105 +188029182 +2137259219 +1162083499 +28230280 +1073772334 +2063459859 +274778853 +1431182941 +1114743416 +1978811007 +897122421 +1156061303 +1179400453 +1080703218 +216309156 +2073377459 +1325673232 +1973446433 +5745287 +448314053 +1714664232 +1168996137 +705511690 +522561713 +2084310804 +507297318 +1068114375 +1460087595 +1879130844 +305373282 +1757545700 +2067160027 +295148854 +772145551 +2095390307 +1368921188 +688121762 +222685512 +652620481 +1802865179 +54012871 +1549742902 +811442834 +1233413324 +482962472 +1027751991 +1159307135 +1808635705 +853714776 +1165052422 +109466110 +420895361 +186564911 +814977800 +943457074 +123392067 +1322275119 +2011571449 +1583479662 +1053922315 +169461084 +1193541714 +973598694 +464609938 +1965687265 +921505353 +1833531126 +506325380 +1144190865 +338667959 +161706911 +1198203736 +1888410861 +973149745 +284133412 +223889686 +2000901736 +1443440547 +2032525391 +707132865 +461009321 +2141991501 +1128028226 +647574232 +809485653 +2071485300 +770966300 +2131760772 +1935573102 +206962314 +1038199440 +2105034186 +1400504029 +2011798134 +422160476 +1218707646 +785819840 +108207954 +1725033026 +1930010705 +446875913 +1886739937 +980730794 +187803126 +712406035 +1264864206 +411692812 +565824123 +560821106 +296734555 +1272956988 +1021830427 +291242408 +253501566 +1669404660 +1100728062 +177503219 +292887312 +1085005186 +2113076321 +499849626 +2123204626 +2070626859 +1900353655 +1987519113 +345303687 +971577654 +625855305 +453511641 +549127032 +408382362 +900387554 +288383322 +1389113156 +1088190680 +1000789357 +506493715 +1499883493 +1566613480 +1067314821 +1796618048 +692086821 +2089145248 +2087860457 +945588387 +1611066260 +1041104871 +1123091606 +1903953572 +2126110057 +1088684279 +256319551 +2101831036 +1011827490 +9189558 +1941866501 +1357131177 +980767212 +420238158 +1810642818 +1529894245 +828620520 +563546724 +1818277567 +70250029 +1651737405 +671583276 +576743744 +1004137250 +90713108 +1644058565 +653271650 +782799929 +1585720165 +593648459 +1728388317 +1049302778 +1634753330 +703996275 +805772702 +1613379740 +1792680555 +1062092253 +1567727128 +657024397 +1071281812 +1362109981 +2014155575 +2052049024 +1782348139 +1677314745 +1434459621 +463485011 +93377822 +1105253540 +533735040 +1745115227 +1776836816 +1110478784 +601768829 +1867549925 +607053701 +1255040479 +502866206 +45290219 +1848688939 +83770875 +1094592997 +1335958621 +787767151 +1900365699 +801854713 +432964058 +814974305 +222098193 +1089988455 +1886256117 +1584208174 +956660382 +1790821493 +1219072665 +486491480 +1077797467 +1682557677 +579869302 +35567359 +68809069 +177500881 +1812404176 +1179287854 +779269710 +1532470453 +1786341555 +2034310189 +2035336659 +1831631774 +1735515480 +2119107535 +778741123 +923990454 +759391038 +531623175 +1725845167 +1192355096 +1346597480 +1947943361 +134859903 +1085369949 +1384667887 +1091520286 +728707794 +456256905 +1578011766 +1806505261 +2138814582 +10397420 +1842072621 +60140003 +187898301 +1506993149 +1239427857 +967168011 +891979954 +878285765 +853994552 +779832965 +562433891 +442026385 +751456852 +1341175015 +1366016839 +1510847890 +1872798190 +944378358 +555719338 +1071912022 +744838071 +690579242 +9798323 +2129505959 +1782099528 +738506117 +438279216 +1212627646 +397527731 +429610150 +1223025066 +92116704 +489750153 +1410923367 +1599109853 +1729178011 +230607730 +343606159 +459980128 +1084602282 +1123439124 +1022414019 +1526628667 +1874895977 +216105386 +745161858 +1238260219 +2088903576 +1689540217 +1793979558 +1013331950 +286894640 +337075152 +1023130273 +268916951 +2119174680 +1761636391 +707196167 +1184318678 +11680474 +1136806317 +259860096 +103797178 +1626556471 +1670783463 +1702907031 +1208250834 +1901391193 +2046513190 +1668230962 +838509827 +1022468666 +543161333 +217654847 +749880995 +759266720 +962816705 +1988141215 +700686648 +504873274 +1634637125 +1714018599 +791767915 +1971712277 +589665224 +1060684866 +1943403309 +203817967 +1767881034 +980238339 +215498441 +757203703 +1240098435 +319295619 +236276526 +763398250 +2022202650 +1444527360 +517305795 +1921232192 +965274674 +1355815622 +796217211 +1508436008 +1573470469 +1546098206 +120219080 +388803527 +1386755773 +820905728 +893676801 +873909250 +387440679 +1685444716 +698137879 +977105904 +598645935 +494057540 +1180923871 +219043321 +1474295879 +1396422313 +976247024 +566910666 +1715717932 +1212523551 +1330308916 +1590436935 +509567263 +1847614711 +1364185479 +1474841938 +1055946686 +12919042 +835794298 +481933507 +1559017249 +956013378 +870737034 +798289374 +1776919106 +1764413836 +1672198625 +16876138 +1302374904 +222852856 +993982042 +1901020839 +716910397 +27422265 +2120064160 +43722628 +1423844578 +948827537 +610633295 +992078863 +13867440 +1940942211 +435032150 +523434703 +1641073275 +1799217629 +1998276641 +549536313 +1812136672 +686587291 +1031469820 +1223670273 +1642600669 +1902206855 +2021959647 +1272036128 +1519137043 +1546674624 +1288912266 +674028299 +1769527481 +135410660 +427565491 +338954230 +162832925 +400146003 +382676858 +1586677504 +1348973540 +993310153 +431272719 +1362840980 +786768717 +866304869 +1886275684 +280358344 +518038850 +1737068677 +829894657 +182691874 +276172321 +1861364477 +1406362147 +1918772990 +1616087684 +1280838147 +1043325470 +987741079 +680029123 +184754088 +1661769379 +302072956 +320164748 +2089334870 +641027186 +482997674 +341997225 +1023704045 +2069675178 +1690970766 +2017014198 +353464249 +906328098 +656299267 +1219769118 +645120134 +936657611 +1737807968 +234705164 +1766552268 +1920499843 +510877485 +1480433098 +1179378342 +282166827 +949037134 +312732841 +1325492298 +1936778214 +992761965 +1510246386 +1451063945 +1294834921 +1830411135 +1392915167 +1935862108 +165925161 +1734912392 +812082505 +88116691 +1278399510 +681613055 +441580940 +37243961 +1337912323 +1661350058 +682364095 +127086286 +1251674378 +917069259 +1893638555 +1024690573 +1427946744 +1226588005 +56585268 +1710113572 +28141491 +369318109 +888122222 +1964919705 +1362080074 +250884960 +1268500002 +509431348 +2081296095 +513931521 +297809808 +99737608 +101360266 +1109892313 +187854299 +1379759776 +1791505368 +629435239 +1417003737 +981934043 +143301649 +2099367833 +1109020330 +1394976028 +868953444 +855175237 +272182953 +149416541 +2081763242 +328768221 +1859530113 +2109904733 +698086331 +600168687 +1927340791 +2060166405 +851053647 +1048357145 +422114105 +784866095 +1562288667 +719923913 +884603703 +1663648933 +1829816226 +1072458003 +895925061 +1473837947 +1701893242 +165445151 +308288342 +1845194892 +117329336 +1417308672 +1092687272 +986282780 +125000261 +1364870225 +1135699321 +59279855 +1693638447 +847745786 +21700941 +244241130 +1447914473 +1949041732 +156923887 +151484473 +849915229 +579037993 +936350568 +264720248 +1298961906 +1820954271 +1928369181 +981294485 +745928626 +676810595 +307648784 +300338221 +842255746 +615937126 +2145533113 +959585082 +2033245799 +1090736737 +1945867862 +10762412 +308123314 +934083536 +70042268 +2001761761 +1781829322 +91743209 +98519243 +1082260148 +2040784941 +255443131 +1233744621 +743216522 +834481124 +22611541 +1007936771 +2133443030 +1843565812 +788822304 +967253867 +442010791 +1465632899 +1274902651 +742349012 +160404997 +1890839778 +740398477 +1119990079 +1776601929 +1831135214 +918374294 +1787364341 +2139258528 +1852457830 +1857406609 +1993536642 +1486803504 +1949149818 +2092055885 +421580004 +1842451111 +200015368 +1655324625 +438183986 +1034496492 +1677936166 +1446120757 +1020455875 +1374018331 +87459413 +1987709742 +1816029122 +1553092313 +1115128746 +410894486 +1713497310 +858484876 +1151292963 +686003742 +487603157 +834944529 +1604378036 +127483850 +826719409 +1309352218 +1984890460 +672772403 +648672074 +1786556630 +617344641 +1070252079 +1481524094 +817360009 +578093056 +1919708080 +1851856502 +108545575 +1218345189 +724828729 +1482563906 +1305804602 +565054823 +1151109380 +711413267 +1680183569 +1562003866 +277426930 +391184797 +565813181 +963430672 +878787954 +1400757710 +420325060 +1006271805 +79993471 +1729677278 +843678617 +752765875 +230865704 +482751599 +1370110516 +1301117783 +1964275693 +39986877 +1879210840 +1736500125 +1891843379 +1987756415 +807361666 +469188460 +1322836673 +2113166269 +1034243284 +326462405 +677095888 +566943205 +1888466271 +954522818 +958128003 +306795804 +1917953490 +1836915957 +1707553514 +190794902 +695704114 +1787546985 +1920472180 +1539382731 +392829212 +3854237 +2022134331 +1762939728 +1304972020 +1838926376 +1802926606 +1036699212 +1427942854 +1547286337 +876971979 +87820872 +2016474798 +52325004 +53503493 +903234434 +378787409 +730599382 +1470177639 +119770032 +1685122200 +280821994 +426565836 +1455592043 +2117737952 +2134119350 +1646386945 +665958418 +1774182688 +1419375478 +57857502 +19528252 +1423229715 +2079991833 +1782467981 +580718087 +1771434561 +1437910939 +1617417300 +1051893767 +837713628 +346905631 +1139714640 +706704778 +399230636 +1193218133 +1609939212 +778018045 +1923817515 +932633204 +897788078 +1461456068 +1213455198 +1324353914 +769564463 +1183709502 +1310989617 +268467760 +1849667921 +937688657 +1687843238 +1907525423 +957216909 +963589305 +1840033608 +592201242 +1544307393 +1463984521 +2030112181 +1014241045 +368394641 +720342162 +1361146676 +1508109281 +1427046940 +1760377312 +553843766 +889502505 +390911710 +330177634 +1822135709 +1288699788 +1791633702 +888107259 +465570054 +413714517 +2071816762 +1776559671 +682182277 +1774001035 +566764680 +222541868 +1534042810 +1523981590 +1186131173 +1226592770 +2116182832 +582954918 +543093643 +1998811366 +1597195963 +911488284 +571669880 +810858992 +272113917 +1998716820 +423752656 +825957684 +740735677 +814664366 +1156135318 +415387738 +2103364154 +800285372 +1303494998 +421450561 +1213999889 +1227828112 +50526584 +1896182166 +854345499 +617291265 +2118724034 +240904661 +2141272855 +1157371560 +1467497431 +2109972039 +1740326478 +2010591074 +1961299757 +1190038794 +774595711 +385485989 +2000897786 +1046709628 +236719162 +277166794 +1872667312 +977454839 +1091831161 +881318982 +1392842578 +1047711667 +1681604354 +548853928 +1469162228 +748120595 +1776682040 +1519688813 +496819114 +483543891 +2136980078 +468059500 +724448552 +2130769285 +1625431060 +44462335 +2093257676 +1218273891 +2055053409 +1907073786 +260829037 +682165472 +145076127 +114243175 +1728875101 +381795289 +391409969 +1454058765 +1359250129 +1483241130 +187894100 +604609059 +383469150 +1869498454 +1153462987 +1852631378 +470135402 +782661379 +1224836543 +966954516 +1266205270 +1214332973 +1435014016 +1990653822 +1197618610 +912961429 +2035116157 +1143392639 +2131235320 +1942685918 +902982777 +244580709 +477367743 +1048058904 +358823884 +58759196 +1429854194 +750233853 +1512817961 +641620675 +85991336 +1700712061 +1246229734 +469460486 +1422726868 +252209073 +174608216 +1892862270 +1034870452 +1399444760 +712333138 +153592074 +466294085 +2147347154 +2144245896 +1663912696 +912824935 +2031878405 +659821687 +896576607 +1827080675 +1562804464 +1141157316 +156964770 +463379720 +1499981200 +215723966 +1893233914 +102731406 +1728541928 +387370941 +188722742 +1281770341 +1633600675 +658183228 +557013561 +1885809748 +832791444 +302392183 +773196552 +84752556 +1014725321 +926788626 +551046642 +1014588828 +923550874 +67475690 +1927413763 +807945631 +727297377 +676506723 +487542659 +142618193 +1817664039 +644507429 +605997913 +1170161592 +860231396 +351748180 +1272892998 +441289676 +739119121 +1461615740 +1723060017 +225236149 +2119798968 +132589931 +2111045897 +805106764 +434982114 +736758802 +889859321 +1449707436 +1663547428 +1440905963 +316812616 +439614655 +1508381653 +96742731 +1247560286 +88195382 +773249454 +1735102945 +230813575 +443429846 +232126727 +836811488 +1613591438 +1092358123 +1188559668 +739000788 +1533647799 +1927678790 +53132880 +1109224168 +5431291 +25448200 +1241814099 +2116477188 +830554964 +1676796214 +705752342 +1720414285 +979020002 +221816123 +1013836600 +1295832618 +661430778 +374734605 +1392575349 +1908991064 +462929987 +18341156 +1496610362 +693743562 +461771002 +1728737089 +1530555051 +2075362440 +673611564 +571631071 +666879580 +59775715 +351826213 +720012460 +1168999883 +357257504 +745460660 +263330335 +326251045 +1576015624 +1940126549 +1032003387 +1148946262 +771662903 +1253819510 +15299214 +2067495521 +1915250288 +390033820 +1312587222 +1676757705 +852963807 +1330928378 +1025884419 +1546707370 +1792699380 +607137860 +929778773 +1720578172 +1280749424 +1501409844 +239974104 +1340525139 +1853236058 +959986564 +362041374 +63009914 +1705447224 +625371709 +389260959 +1133979201 +418014610 +1421264347 +135441815 +1189677513 +527600209 +150741029 +1109689386 +295366850 +540774849 +274792961 +1972124555 +1393738657 +1605721339 +850525326 +792962379 +1250937072 +1457663186 +1722741152 +824031596 +590928962 +1076667348 +1064005701 +1931454101 +782419758 +2023992265 +146011827 +845429673 +1581955842 +771383537 +1234690632 +568451395 +1189398147 +508471331 +703893210 +231592013 +1036071541 +854634239 +1341281399 +1331438391 +1395409089 +1616074360 +1156079298 +641664098 +1074312052 +2006604624 +1434626477 +177765476 +1316784162 +1009883981 +1001797072 +1907713124 +2086551329 +2065802773 +1691683577 +721487440 +1942311391 +1837695404 +1566917113 +1376783585 +461595293 +654124097 +1945234980 +1650993441 +1162595429 +501644542 +1882585454 +51183322 +1356278781 +1076383205 +1382621713 +604204222 +544973918 +391217363 +1245868320 +1619285970 +250338339 +533011149 +1797051446 +1567122501 +1542895130 +651364870 +1327351977 +1481962812 +569683996 +871551906 +55966604 +364511739 +561763662 +1622883717 +1741295324 +1023358956 +129524166 +1539046656 +526868749 +1292119595 +2040691198 +261970555 +1343302917 +1249486331 +1338353760 +578440982 +1853690554 +1883327678 +969658345 +952075226 +1355130000 +1219996684 +1485086376 +1004697798 +639635537 +880497858 +1656062669 +1966987514 +214977022 +78263017 +691055772 +270943626 +442774756 +1252819435 +1893827343 +36586432 +128694743 +2023351510 +1575633088 +655563492 +1167987457 +1468840638 +917534047 +363806727 +570843321 +108404159 +942247709 +277050227 +1991731838 +1911906055 +1229125454 +1199378190 +984419091 +566728182 +56592341 +1624054629 +1447226040 +1712655010 +1443558495 +1662203063 +1790918027 +2134614268 +1933146689 +86209135 +1239950055 +1679490385 +122795567 +1368644798 +1555358247 +1698428655 +2024208290 +575862056 +1019785645 +794258689 +939668783 +1590628966 +902662848 +1881916493 +1867679194 +746911038 +1646338900 +949321000 +1946289229 +483274343 +1516049182 +2002881570 +2107328972 +815791574 +1568052932 +1403403820 +330510989 +1211487311 +1390534440 +116174031 +1297696446 +483000847 +1795664416 +1420492013 +1851645645 +1203539015 +971437020 +1728370287 +1779401071 +1991222665 +375145328 +571586207 +1434367983 +1277808176 +306019052 +1154563529 +2024719215 +1952357952 +2103884529 +1823524796 +288148647 +1472450063 +1678922718 +247993972 +140757990 +1099492002 +1651397792 +471268979 +163495665 +894448584 +587443010 +1461192111 +1377449431 +235623778 +734200476 +1081611428 +1439162793 +1705637496 +662498067 +1071080217 +1549376513 +1037643395 +1642666424 +836260848 +167967923 +1948685476 +1990824378 +45203490 +1753559780 +1947225259 +1868728286 +2041708427 +1272191675 +1400167356 +142218751 +1412949665 +352175710 +1793616543 +1884218644 +515671375 +540581479 +324178007 +1976863486 +1918030910 +559801785 +563580314 +852158690 +1998964579 +121734162 +1514656757 +922561148 +1671110675 +404816504 +417743924 +359887876 +572784428 +218945752 +203228606 +617987918 +1972505532 +2970217 +339232557 +1866730311 +1275161892 +1739399913 +2008949063 +540627909 +2091575624 +1655081958 +277362906 +459763351 +48179790 +601540913 +289143190 +1966210700 +1161342698 +852723504 +670885743 +1012823629 +974457667 +38058852 +1935384777 +498084694 +442875357 +205645053 +857972570 +1015659785 +424590805 +1061201176 +1633647703 +249612689 +1064171394 +1972880260 +2116343001 +191849638 +1564796526 +1977808416 +732477548 +1508888502 +1485406726 +1009840454 +1968651853 +1533586516 +1611381367 +110311395 +1352313569 +625240417 +963034900 +2023199312 +1638064047 +1937492567 +2061258164 +1425965176 +288093613 +356649873 +1631610230 +1146066184 +1372309658 +2056201035 +59783712 +858473714 +158330077 +1123955106 +683870326 +127189430 +1315804745 +101183204 +2104997846 +2048282293 +1610071706 +1442920924 +910639099 +1431239912 +829023793 +374536818 +1541551307 +33853714 +999777235 +357102559 +2057053026 +490357634 +147111478 +1970827542 +1916322811 +435205092 +179993768 +1400449393 +1581271276 +1552303426 +1309166780 +1641054988 +263293492 +1467496857 +617526447 +947163819 +1594686287 +1933331192 +1048347023 +1552200485 +1834129837 +510935082 +847637762 +597285288 +1942174994 +1676661555 +971822106 +1336242653 +1710515269 +1971599341 +1693345213 +1620084647 +314473328 +1840456691 +1443428541 +83312491 +128178135 +1623422309 +1483761884 +1709449411 +1028242088 +645445016 +1203020752 +1291535580 +2112941874 +1820547199 +91215751 +1560144513 +1606394743 +1139562775 +964861351 +1293040932 +1650497857 +1812499113 +1890326220 +1445189203 +1341677020 +714664678 +633948208 +904708641 +538780371 +179809773 +377309640 +853253699 +2020266465 +1820738181 +936566190 +960952 +1296676843 +272844426 +1710410364 +177435283 +918289443 +765947468 +1468970863 +883747669 +439011019 +1560186615 +296408534 +2045405762 +552265742 +1261269885 +1190963046 +55279951 +926285350 +933805618 +1500469154 +120478722 +1648470296 +2134417362 +1025187363 +39767019 +166743488 +1402497003 +893020719 +39526305 +1075751537 +1829586909 +40487257 +224944732 +2102431336 +1750897621 +402380015 +873237131 +369361441 +1871350878 +1756984800 +808372460 +1284053845 +2053393334 +706294574 +1836319587 +1167179572 +1897257620 +1891599538 +2093464922 +683579590 +1244585044 +66459997 +184566238 +1231518759 +1091647360 +224333258 +1398262247 +346660716 +1117353977 +1437788552 +1422412253 +799457238 +1478275809 +1647356985 +754404926 +1081689783 +2049737000 +1627642057 +1451051224 +1773604230 +1237143209 +111940037 +910174428 +1143052896 +818234611 +599010367 +162748820 +568008584 +343126258 +108730094 +1251588174 +1587711302 +175190091 +1436154413 +671746413 +1266837452 +1660487671 +2070008660 +1613498168 +630358000 +1360313564 +888426773 +1429815238 +691105726 +388300110 +36736517 +1772795509 +290553462 +1664378574 +1076363085 +2064157692 +754038136 +1188303122 +826848472 +1897091032 +2006537734 +1425858840 +2059839852 +427062670 +1768985098 +21086298 +1678650844 +1209212752 +196276390 +967321609 +1880959166 +1463113842 +480325632 +1803484178 +929128362 +1110683632 +1016314095 +1817555135 +393015223 +1707419821 +58371597 +429751740 +1332731682 +348925059 +2094130314 +261611119 +265599103 +700684802 +1449914242 +1092447576 +450292186 +1308968328 +370822768 +362648390 +1736030998 +2139807866 +383734689 +1267198194 +1201536970 +580011079 +87036156 +935012488 +2043124921 +567361788 +591013019 +824769635 +1678045421 +1607327114 +494841122 +2071060644 +1167263287 +553212719 +353328736 +352511321 +902137778 +299975402 +614122440 +1167736881 +1000660205 +2064036682 +112700809 +1450952391 +1225521362 +483523577 +1813600782 +814068712 +475847795 +49851823 +2081266907 +1677384766 +629862902 +20819415 +464913606 +525504175 +588181203 +1055926625 +1350273810 +118742976 +515770091 +1845114932 +42319972 +1683033378 +250844003 +395648708 +2035544699 +1152981781 +695624111 +502183492 +173235014 +1696284316 +418736526 +285935824 +999753059 +1644257889 +769459401 +665870193 +310842953 +1245307197 +715722016 +244626212 +775208315 +1345584918 +265445627 +1240121921 +1871089093 +853626831 +148564899 +1073879255 +972369807 +664334990 +771510539 +1014689780 +199884721 +1022354542 +1410338488 +87945772 +27852675 +2105962599 +590129264 +201087690 +1654763267 +1008865791 +487023514 +507032679 +505640032 +1256482915 +1172902872 +816482985 +354306464 +1888624889 +1061109198 +1129514779 +1086726159 +1326554825 +222153053 +810331605 +32698008 +370717952 +1884210860 +1005067816 +1035052942 +508237752 +2019757596 +1234937663 +1530592294 +1282612436 +1322883436 +1558444970 +1241091388 +1913012700 +1759532660 +748371007 +774394843 +99072526 +1255403686 +1280034875 +1355555441 +280822911 +2096517861 +1709861906 +21964152 +1010143411 +691893037 +1108690311 +189214588 +914046090 +1919021916 +221912597 +1284764042 +1655749129 +1226980413 +172333337 +16503233 +1099254361 +1407271000 +1547095527 +234383149 +582670788 +958056849 +1475474537 +348199841 +570105861 +76361897 +1122594684 +669178387 +1331765583 +255145912 +2024733829 +1612588494 +204180125 +1587112087 +1634552646 +1214323536 +131521476 +595759310 +1403538124 +1045567567 +367297578 +1625450721 +182847961 +2023046707 +704947486 +355181298 +2039549940 +1804201847 +1762452299 +1439161820 +2038584997 +197639439 +249735021 +1366575886 +545839280 +819840883 +1442937783 +1668433965 +1489019270 +627219719 +1923579877 +1366269451 +92324565 +2127760002 +805897890 +1726877212 +1194599890 +937419367 +175152874 +450654366 +1982986934 +542450452 +2076105088 +18351247 +418013512 +633568926 +373532546 +310079804 +290287126 +2135984845 +1749241624 +181388475 +186140636 +1998976646 +1547964361 +731979917 +671333881 +843418497 +252930234 +12869503 +1470638216 +29026463 +1379138955 +1562962781 +9302817 +37553197 +1142356345 +1203902707 +974972564 +1317509219 +1654557073 +810475850 +1859959672 +1583178513 +828827098 +130489536 +69263792 +1202359644 +440569340 +359550918 +1190860841 +42327317 +540939393 +1377001477 +2041303963 +2088903754 +2108981394 +565154196 +784838603 +214427980 +578023699 +107993171 +243454443 +1957162654 +1670955953 +252757260 +1994715852 +665828650 +1456659967 +822204768 +1983337870 +963733393 +1632680619 +1695813894 +399428258 +314024069 +1826303430 +468692050 +1516383713 +119389122 +828242968 +559760906 +161716439 +1369182361 +1936762383 +55536754 +1310602468 +1898260130 +620690950 +2095441071 +2112688110 +1198714650 +55950595 +208658906 +1008393656 +1726906548 +461416166 +855625860 +245251550 +1918076134 +1677830629 +81105772 +734325879 +1163027600 +1776919666 +1133754137 +1477051669 +1455739448 +1602446188 +845951734 +1575128571 +283205508 +1405712640 +1736845010 +1652387870 +1194991375 +1792381765 +815506690 +945767857 +265589067 +763464113 +910972320 +1464303717 +819414708 +1119631226 +325213726 +398837608 +1581047392 +1180839586 +644089159 +1351639878 +711186567 +725194931 +2085965757 +1874214167 +354630950 +1072236247 +1203782188 +1810370398 +527198787 +2049733922 +1238015321 +810404295 +1307962914 +827376684 +315308517 +355470642 +472274801 +1130815207 +1301238499 +737863868 +1894279321 +64727171 +54683938 +566210381 +1184358397 +379897664 +965047990 +617922142 +1560737250 +1609137149 +1969562020 +124440170 +186848432 +1908044130 +1998654337 +541479382 +832796729 +1054952878 +204366133 +1359995516 +957203152 +1442381454 +22916163 +117682419 +122274490 +338224681 +473153061 +594549291 +1469039888 +1774391560 +1332413160 +1215835561 +1839118732 +1387097098 +1782045943 +875993481 +1766994762 +599610285 +1493915623 +1180248364 +61263786 +1315993996 +1304688534 +248112218 +1076554478 +1155859224 +789591601 +1909351207 +63328454 +993957734 +1121863075 +1020531606 +288855540 +1144779238 +1138214025 +411130031 +1483003919 +1611367086 +1005679322 +804560160 +1238274999 +190608834 +2020395721 +929910083 +1577705932 +1654958016 +1805903564 +1197217046 +107084653 +1152335540 +229981763 +168348439 +320845888 +1534670297 +416460658 +1397400366 +543045873 +1206052259 +1159267925 +606374327 +52526345 +133647352 +1626905934 +341381885 +1278426590 +617636311 +752511916 +613946862 +81519750 +1758191239 +1418507022 +1319794749 +1948800073 +1291419095 +102221184 +1379022358 +798893464 +1908124748 +428755756 +905978117 +912976640 +658737519 +1074326557 +1233822528 +45924169 +1490787215 +483739246 +588970042 +549355826 +1643007171 +1195344370 +601882171 +1776654523 +674766656 +943264056 +907597466 +1292402967 +1695775973 +1521544328 +1373922717 +1306483564 +792567702 +546233818 +1107799989 +2083986797 +648455002 +339338699 +735396613 +409096103 +768094456 +1641374731 +1322072743 +1426831975 +568217640 +408411624 +1472756144 +2059004855 +892150870 +2061726187 +460877033 +387674394 +1109586909 +1062759204 +16845269 +1784353565 +2006023260 +924442735 +929272884 +1554315585 +298503415 +155711954 +713315501 +1091071117 +701945772 +1821115491 +1027574267 +1350400775 +12970542 +1762970880 +1759496878 +781064998 +1256861963 +934085973 +60413326 +1825079603 +1342497597 +1533169470 +1736600810 +87164820 +1447412009 +49994195 +474839214 +409515270 +1112753399 +491684483 +46385187 +971293012 +1416127219 +975658072 +378124949 +1714630634 +1131370026 +1091440451 +658218104 +1833315798 +765072294 +1685792371 +1036232925 +778042836 +1301279603 +648246155 +1559107835 +410657919 +1582332129 +1619521161 +88253874 +777346078 +1005206983 +1824854685 +864510898 +305135345 +1874848880 +1339350112 +714650615 +840118632 +1831034596 +761035803 +1811411644 +1099678167 +1736693875 +42052945 +666825153 +720580253 +1133493396 +1325043257 +406412403 +1898565690 +863351980 +1442645329 +529124879 +17147936 +2090891484 +2088232714 +427805855 +1525739965 +1560270227 +516059729 +155602396 +417993562 +193430766 +1020113294 +723128907 +2068279647 +211979759 +1437779523 +760914631 +2043014355 +51331678 +424842627 +995208874 +1788025553 +466895572 +1662034027 +361122158 +1600388969 +839593637 +767534561 +1351471011 +1702945617 +62696242 +1880595890 +1720093553 +6104079 +1821344956 +415760 +1531844044 +1234131535 +516475490 +1687446440 +1652125098 +709906256 +560076087 +227770357 +630702255 +772055846 +1665549880 +1391616886 +667586553 +1716881558 +1816459513 +1662795427 +1357423463 +135871438 +1177345806 +1718545621 +1736260407 +2016939443 +338596535 +940247770 +1572401413 +401292777 +673360013 +1145011318 +407396856 +347221321 +1145427079 +1939240901 +1581352857 +1661902569 +1479203693 +1085994307 +224325177 +2039279780 +1313764664 +855027433 +663851978 +831830897 +99160671 +1331438531 +401228807 +1915620185 +846750310 +1758652271 +2051491623 +2024096117 +1329714244 +1640268382 +1893551912 +1668310779 +433032504 +1318469677 +2069603557 +1106392517 +315997348 +329516765 +1453613839 +1461424427 +121274018 +887483048 +975843348 +1600477712 +1973477355 +1200168525 +1492273844 +1139758371 +2055195958 +8642175 +1971589268 +6872982 +1340080706 +225334428 +1922493167 +39347369 +1983986699 +1826501142 +2063443486 +1166217295 +1319285876 +1809511750 +687044427 +1752318380 +980497780 +609164336 +711227250 +1296495128 +938681101 +17357441 +610435907 +1059955120 +904840489 +1586279255 +512949184 +730834196 +638964132 +2005223028 +1870592567 +546676443 +2013865203 +1694698188 +553549425 +1206462262 +1920032616 +328558944 +1245809631 +1756535667 +7576438 +1161769469 +775269314 +1326862314 +823797571 +1462313741 +931697046 +1804295351 +2071478077 +1642924296 +953306831 +862675531 +1660281737 +1563742738 +1922630651 +417638578 +1002538345 +288096187 +1148472774 +1641502478 +145835567 +871581694 +40695273 +12217123 +418796234 +594244698 +1218679385 +191345202 +922803642 +317005368 +1947880869 +930380080 +1478774837 +575666535 +109758746 +155088760 +2037980277 +1041455792 +1959384112 +1961974706 +536896441 +765207295 +677166589 +49694530 +181466386 +452313592 +467333109 +1184004731 +740409779 +1615805883 +678023561 +886245347 +339903929 +718718834 +898462470 +758700163 +1312963532 +2117141855 +950045365 +88283526 +286663575 +750442586 +1018663606 +1765438412 +1326109122 +1128422352 +1920527172 +1216605751 +22394497 +1732427636 +1031096809 +559290938 +350151284 +1708263399 +608985468 +531617670 +13093343 +1076318577 +1715622401 +753503123 +544640813 +246162315 +1639748470 +884544742 +964881149 +390727292 +1643244906 +130361034 +360385499 +445806623 +218644560 +647049074 +1196249210 +1237308167 +265003838 +374874684 +218246871 +38047362 +1591480435 +240641368 +1770474999 +475093596 +799932306 +2120626283 +35873347 +1408917775 +504760305 +48966691 +337752704 +72899058 +802469814 +882393517 +319061373 +294734636 +1766938260 +1283942523 +685461928 +1262699518 +1414303557 +1045847427 +1708506141 +1632948117 +1692896501 +757271703 +722772636 +1957900339 +1132146387 +941019508 +1995947701 +576143174 +1181660876 +1618939052 +1051236771 +1981593183 +1592081687 +1087110118 +1243027310 +2096841992 +1136076809 +1580780014 +22257403 +1938546623 +315689884 +341318776 +85797611 +2082628144 +1625261299 +771259539 +1197844014 +892081208 +1817106966 +758866507 +377545678 +1362519819 +1516138211 +1100318314 +1172936510 +500800950 +2041337822 +1021400564 +1076944125 +1075515051 +492855968 +2128180896 +909624586 +2084937656 +1067807366 +5168248 +2034296000 +56400528 +1585948262 +2056553403 +1994947151 +1901638146 +250388532 +2080744763 +1836782642 +1875649831 +704520654 +887143008 +620247392 +374143973 +1646009516 +997793070 +1736663792 +1014664079 +2098111384 +762116655 +1515465029 +1991965559 +1783517219 +444925506 +919996962 +128889539 +425622754 +1829621548 +66343547 +1493430121 +1834789796 +2100639548 +1549830649 +1273254410 +2009709303 +1397294152 +1027408909 +112614187 +1330555267 +716707903 +1988264019 +2035075922 +1603850912 +461027763 +261736247 +1102376780 +1458820833 +1998400039 +2117040859 +1409448569 +613033046 +1485022240 +1253930480 +249066617 +1929947747 +26443794 +377956157 +208086853 +1856065342 +444299704 +1701516974 +1543371490 +397455604 +1103863975 +669142253 +259681260 +353674480 +1696551162 +372295447 +1684229747 +265775417 +213075818 +1571822021 +1869626329 +674103581 +1833558268 +824519461 +2132924414 +1684474660 +794076672 +1394889336 +150024058 +131615265 +501336168 +399090676 +2061563012 +527779963 +777046833 +122166217 +236361657 +1221346537 +1823683192 +1779733148 +1618802142 +780063519 +301391753 +1878483402 +1133737999 +1997942915 +103295201 +670484099 +116234684 +316371020 +94822472 +1985861014 +990474601 +1928380741 +662896827 +975915368 +1465371753 +1456973500 +223321056 +1615395811 +1588588765 +724657224 +2014486487 +1502668129 +1252437187 +644049672 +1624834346 +1488798845 +1865396210 +1301033890 +1121048345 +1336714704 +2081097410 +1422440098 +1067714458 +1067351761 +1272899365 +1171009659 +1737835860 +1389134049 +1487380679 +1832658333 +1227511415 +330371633 +1613555426 +1890408243 +1306287001 +931443531 +1199898095 +1529608057 +399355694 +641003212 +106781633 +266358534 +2143671341 +1359218821 +910408206 +1621022039 +700534018 +628320768 +774572282 +1821582363 +1965035472 +708186044 +1096538813 +885266282 +1775537805 +221954530 +2056275942 +1365890018 +1611088579 +1396172973 +1051064703 +691116347 +1726544606 +517136481 +434040942 +885347959 +1448580012 +1633939037 +267472368 +1847935706 +127458601 +374254002 +2114294240 +123646294 +1733472823 +877218799 +1744668333 +286523193 +1505539567 +371756967 +2108105556 +1323091392 +1079943011 +1057160721 +60874026 +707997169 +1279115251 +2117149968 +2073887187 +742720182 +1365839294 +977468242 +1433836529 +944900252 +1494604723 +1867877471 +1830248212 +795701087 +1354332860 +2097720580 +496153145 +1481791461 +324490934 +462963738 +1605437755 +2057963757 +1340182537 +1202622441 +197003302 +698238456 +1574379408 +157625210 +2021329848 +506838772 +1214785931 +2082203875 +1214835941 +346417534 +2051870195 +1141239480 +1089137717 +1270225841 +2118707722 +375490598 +67642446 +1465828797 +95884422 +1897890658 +114046236 +1450217282 +1848127590 +610199381 +784525096 +25134877 +1073163119 +242479203 +2083098634 +265862008 +1445101644 +132618289 +964100465 +871997405 +290243499 +837946665 +1378836177 +1505029431 +772666892 +446188470 +1851446965 +677053440 +1587427950 +793101034 +1947279281 +1558652024 +1168591633 +2014921727 +876997173 +1264476055 +1765328737 +991043409 +567209689 +1465972680 +1601242790 +1351734785 +1491107557 +526922262 +1594213989 +1426722543 +792784270 +891831985 +1559340832 +1756884735 +1763829390 +1849584332 +447347753 +995181919 +1207130115 +1220014645 +1441370389 +911093432 +1897068085 +881314691 +1704194467 +1696863719 +292483067 +725302452 +1564301798 +1169480240 +1989778507 +1182146888 +13040001 +409504548 +500635920 +1614282792 +1761239334 +1991743477 +2141205054 +1207969675 +1270982372 +786505676 +2099801660 +682839557 +395906764 +1716147403 +384940241 +843254517 +563845674 +1592070356 +2063269162 +2005216064 +355680140 +1812853600 +739047107 +2059874607 +1362233671 +1031530175 +637693411 +779051821 +53526767 +479988270 +1961198709 +66566769 +889492819 +314350981 +1680849561 +503248505 +158610810 +1674570967 +1711218180 +1429593183 +313592995 +1663536192 +2112432740 +709499759 +1232199947 +349889333 +1552754276 +1796045622 +1941959689 +1468539791 +1653778038 +150156181 +1133909743 +245341497 +62547141 +348659766 +1276871672 +700240552 +1127711587 +1330398440 +1180228823 +941426649 +1396965209 +2069721642 +1255777630 +930331122 +425486499 +1414388441 +457418441 +2136704679 +696497976 +771011436 +1652757223 +661447068 +1480511196 +737473523 +1011336401 +885781824 +386035497 +805812442 +206837967 +2039813535 +955968623 +1340747710 +137671384 +1018515764 +1689407476 +1414543057 +1718756317 +669635416 +597457849 +751501492 +1611062065 +1994423058 +673739486 +719356047 +777270532 +1099225985 +2133744488 +1234688973 +1088447016 +682758816 +2005700409 +593720591 +1344205884 +1338727957 +1331194114 +208058637 +77026134 +1717229611 +1013871079 +283864101 +1609559498 +1969839703 +1624611812 +1747230883 +840871819 +1166535640 +1014290292 +412144488 +1836171056 +1611748141 +1163645980 +1299749473 +1458687551 +1837385466 +2019105521 +88474435 +789127803 +2005366361 +1323163408 +1877574819 +540641530 +1181380169 +323811763 +1884847414 +372624479 +1655005877 +2092906052 +449650613 +1224751841 +959293483 +733514714 +686827691 +781649538 +210642878 +286574926 +1622521358 +1377178519 +1300865218 +2034665846 +1065865927 +765129711 +1050828179 +218131753 +76333614 +740729997 +89753626 +164808049 +1529857801 +2095119987 +1487971457 +1259948972 +488277869 +521867979 +1583760735 +225641636 +894492458 +1091282965 +171064040 +1344143071 +168551158 +1130357523 +2077657785 +855378849 +1912007062 +140817016 +1141953776 +1387044772 +1517995535 +295335346 +1274226970 +436377814 +1060465058 +177571501 +654509567 +1136798672 +918301499 +744263193 +1301606722 +300675652 +691899533 +642094531 +1560624624 +1180177402 +1163962510 +996901712 +1405819038 +2058454968 +2088184677 +1576883078 +1255114391 +109252187 +559756954 +1185288529 +964631036 +324280368 +1326105545 +2106584812 +1711325140 +696617432 +254436511 +838068462 +1132995246 +1314901569 +1015639964 +1787504814 +304216593 +1933941463 +384284359 +1605823315 +87133467 +1076183892 +100434199 +1647758091 +108877647 +1264396709 +497176155 +1514696685 +1175368030 +437877184 +944096116 +282998773 +547129371 +1503853070 +1468287302 +1511760408 +1828133438 +646909199 +1470861572 +1391974930 +1343526631 +1725298083 +82559744 +329038230 +892716004 +1098199708 +2116543044 +1196932598 +884657523 +353343755 +655272265 +971790990 +1429527648 +755706464 +472065434 +1538405295 +2020103174 +969241589 +905618332 +1047987556 +1407118774 +1849714448 +1330986329 +1954248145 +1206083870 +651789984 +1318524905 +886733660 +1298699183 +641902830 +131224942 +494742167 +219717265 +213784687 +823780397 +1112433270 +1311984395 +792839793 +161882220 +49158271 +1146183548 +817154485 +1020949261 +428227548 +1572860950 +1493014695 +1966632843 +1445480476 +314772637 +724767528 +345984384 +1721891411 +426998328 +1676970713 +1528655908 +1633082199 +181277049 +699697166 +372332211 +1479976233 +1341599996 +503557154 +1974718400 +1561317261 +717341841 +651015149 +526266883 +2029326236 +1443854942 +688149103 +2078484507 +442554842 +1505303589 +951950121 +870782391 +930680891 +297481168 +689931586 +228677719 +612253805 +1414699114 +574662103 +186661568 +1841697443 +104149168 +1715317477 +1327295994 +285426218 +267530995 +1699628205 +1765402451 +1609130991 +55701711 +1592637203 +1022964604 +773043552 +96168704 +1549231488 +654886141 +1540023646 +89896943 +585887000 +1982578488 +1595200532 +1537837121 +705877231 +378397775 +1835318290 +1395808818 +607075494 +300088447 +663024284 +1181737597 +486750016 +357238079 +1285886766 +54583845 +1684534073 +1571312984 +322114840 +1236678631 +1189231787 +1931245831 +1292380342 +634385342 +806726787 +2065423895 +730554046 +208474627 +572826388 +123094044 +298371571 +1158713388 +2105672532 +1893572103 +549066862 +664066116 +124486231 +236901504 +2059874934 +731561725 +536989951 +575415570 +1913299323 +1023739967 +932653650 +1051702441 +1078323812 +469704075 +475531777 +1400438652 +1706382706 +1664763564 +1184200835 +851279401 +151665258 +1990927623 +769219648 +882219304 +51918602 +1342046036 +1005313348 +350290173 +353275776 +963502232 +96378629 +902342638 +1627568348 +220864860 +1139244142 +1539959634 +952426585 +1676234094 +2115375205 +718242260 +552490413 +900545207 +1769944701 +1630814226 +1370249282 +97992830 +883769230 +929148341 +1762756394 +2067970066 +1780427742 +1914421652 +1911414041 +402163742 +649157308 +1963332643 +1744209778 +1654470656 +166139169 +2097485554 +470489241 +262517798 +852344545 +2098057589 +483382658 +1991588687 +1490533576 +1435809243 +1520339133 +1458425133 +6567856 +2072829547 +211486692 +1776512557 +1556160125 +1581735974 +1874505388 +292445707 +363400667 +1489778134 +212932125 +2143828409 +1256716139 +2124346166 +398508503 +1905873447 +1940195162 +2142718281 +1412860456 +2106334331 +2092720188 +1883349697 +221368481 +797581085 +1833923638 +704751139 +641686124 +1176973566 +2140560382 +14541610 +487915051 +2147128238 +2087371157 +699401743 +1776157148 +1496047634 +133654070 +1503178888 +1788493341 +497054737 +845473374 +2001425467 +493399499 +2102189513 +1978287985 +891908002 +1860579313 +1770999499 +887142636 +1125956121 +1729850182 +832379176 +861822170 +1951218663 +1629960261 +548262160 +508486154 +124162737 +1725235727 +501562889 +138704347 +65667130 +501207479 +78591856 +765068874 +129880979 +1574639490 +898722944 +1633059867 +1215649184 +1395777681 +331049594 +1069591003 +1889177180 +285755459 +900395340 +633601535 +2146334772 +523911192 +1520744171 +1124807245 +106277726 +205639699 +1986629415 +2057496390 +1835599960 +387407928 +418498896 +1959762697 +2112643655 +920061785 +2098467045 +30827137 +1421269265 +29575253 +795896011 +1551150244 +1604214744 +1694618955 +1036726464 +672380280 +942912989 +1367776058 +1741971283 +684606521 +1653531517 +494882975 +1318208056 +1652382642 +1018794167 +691468579 +629706239 +1125071894 +897108278 +468852007 +1035084636 +585224590 +856259935 +1453583532 +397503640 +821419942 +226161670 +348487037 +852247079 +1647430935 +378062290 +1648143091 +1051097531 +1982277034 +1195278398 +2087823995 +507173666 +2138191387 +1308116405 +101661301 +675314261 +814164275 +596544277 +1993522317 +319063269 +1615338444 +537507249 +948769508 +592926690 +1434615527 +1417621515 +1628011326 +2019840118 +126397802 +934111211 +269860110 +947817744 +1160272881 +618347147 +1800064824 +660220168 +996409437 +1300724267 +1711317699 +831202824 +348519017 +1651658047 +1338376490 +339226757 +812290804 +1440037792 +1014541018 +1626455079 +2036582069 +860579687 +1945518348 +1504436865 +1398086936 +746804209 +2097363556 +685218816 +16942076 +1577891234 +557575286 +143339879 +364518797 +827435396 +1091157623 +1524791678 +1445782543 +743738799 +37528198 +294708332 +2044463066 +1748845898 +1125911156 +245498436 +1253020297 +316803999 +584725193 +2065311101 +1756841791 +1599266211 +1544282533 +1645940212 +312362250 +1342317233 +1002893429 +1710449187 +2089121442 +952773337 +248184355 +2106063519 +383180924 +805759641 +101919750 +747699721 +1633195037 +1193077373 +125007752 +931493932 +1936816173 +162535950 +1226202264 +1833795591 +1911381848 +204629773 +2079294027 +1016918497 +521433772 +516535572 +934745951 +130791915 +2115801783 +331544836 +1776732127 +280680386 +1673862069 +632141908 +1991129573 +1615499864 +1584915246 +91830280 +1574079735 +1968096170 +897589921 +1675999485 +568312243 +383301310 +721593210 +693319995 +1314795242 +510925735 +855855946 +393513858 +197237679 +619754146 +598143631 +129048058 +1636672644 +1119577403 +645583631 +423934947 +1250369318 +613901766 +755479783 +879617797 +894582152 +281858204 +1511759706 +738228077 +1897358068 +949191304 +830058357 +1323954155 +769803826 +1727648278 +852469992 +1338116069 +2110949588 +1574063203 +2031436065 +1278261182 +2084988938 +739808363 +1671775041 +134742969 +1359562509 +122435024 +263791028 +848751505 +1242012428 +909374659 +1272686452 +344898098 +1523276425 +2028166235 +1224515896 +270374930 +162540792 +588791954 +1008603007 +2059898860 +1537983258 +1838661365 +1236369368 +160303436 +1418825995 +2088839360 +1498419505 +1382291936 +1515418915 +1382371922 +513069470 +1452924206 +2122180285 +37360863 +1587667175 +1334259147 +159795888 +1851458203 +35527004 +1401808316 +613349214 +1308213457 +1746706414 +2136625640 +1188896044 +823738662 +259516922 +1351436836 +1412530616 +1268119929 +1263852049 +803030226 +959297646 +352737769 +963333662 +230639994 +294093481 +314269520 +1612931930 +1809512397 +1696641442 +2126001400 +1114952955 +1671338080 +15878616 +555136482 +858113579 +175674504 +259111038 +893640583 +1577482820 +872460252 +54370392 +1176705586 +861602244 +1243266437 +2000444249 +1121119166 +447219625 +1265491217 +241755448 +1711071674 +2068521444 +1201053094 +2063809443 +884371458 +1431693088 +210419277 +1198640978 +897141370 +2019931674 +747798773 +875659123 +987400981 +271653205 +891537739 +1542537463 +1129766784 +1067212243 +1801648501 +2023407367 +497211415 +526625106 +2077777760 +1673917001 +1388227350 +1173560549 +1526877602 +361862869 +1620780174 +644885172 +603618317 +1184368201 +565922968 +1804671411 +1100693996 +1450294426 +1088880852 +1311113273 +501451757 +1986022222 +1183561299 +1249250530 +714197697 +23478632 +1520903735 +1605735436 +1566016096 +503186871 +525464031 +1220180949 +379110590 +1022675446 +1746806055 +309404702 +549108800 +987549758 +1482965251 +2075986402 +1349412627 +956261778 +573387926 +1953030944 +2140629979 +1139310894 +1610218707 +1093840327 +442121673 +551615911 +257469953 +943573430 +390154486 +1441031252 +45340312 +1104352183 +1464509885 +1566244047 +562603972 +883042333 +2069430918 +1088068003 +2103223282 +301057860 +2110743450 +1702545690 +610462563 +512368602 +542611800 +2093427814 +440871356 +1892024427 +902205944 +1014259283 +1697571723 +895352275 +6086529 +1160306782 +1989192603 +448208202 +1711922694 +99178908 +1391781632 +2102077180 +1540210160 +1437121944 +1058945715 +857236397 +855882343 +1621549687 +1740278730 +777829613 +562134043 +1696018365 +1078887474 +525393845 +1251080407 +1689350037 +1037762447 +1793692207 +1635294203 +1478633803 +1538232986 +390016500 +345409438 +1088321061 +1285368775 +351495968 +101144195 +1127077730 +799704170 +1813066889 +1226256638 +44002155 +1767660421 +618983151 +1481124099 +679122489 +1476219548 +189522795 +153188528 +1069014631 +967352408 +715322571 +617549348 +2046239882 +1240716416 +1868629755 +1588106271 +130995215 +1514838314 +1075916827 +1609629019 +905587652 +1465933327 +1955038457 +1993908713 +603818454 +159050777 +2095052908 +1730896185 +958754948 +1760636150 +809669175 +1002757103 +1380812923 +1428652326 +336397554 +2059935412 +757388227 +525920349 +65640293 +1826402858 +1493272758 +780962864 +296468558 +1392028992 +2021679281 +17614665 +832651616 +5190848 +1532452979 +1908568443 +1614819867 +290556983 +1227018122 +1422374677 +136982048 +1830836576 +1581425454 +84551308 +1414249113 +392696754 +1845187458 +76434641 +1395453857 +1078516734 +1505086967 +1731851412 +990968498 +114991546 +110288113 +1056608791 +1941394404 +1603560871 +1837571656 +90379314 +848106216 +1711767289 +107993979 +1680757832 +1716958137 +1640446958 +1441842627 +1184294357 +1931003941 +521377101 +459185386 +2067985989 +204730029 +2040610840 +5053650 +1618979143 +285823947 +1850241108 +1695413784 +1681277804 +781274194 +1053017103 +1265645568 +1772242693 +1168008650 +1375933682 +681367836 +961919406 +832010905 +371455844 +1052298721 +1680117121 +2083223133 +1160292700 +1213391305 +1652697623 +653256011 +507750284 +689508332 +436776304 +1029127385 +1148693718 +357278646 +1233857415 +1041820910 +362332296 +705352910 +1327644857 +65089756 +253283046 +861439014 +846363951 +1306300149 +2127084582 +471122996 +326825151 +1355534616 +1152490832 +1288744558 +40061874 +1523946677 +193559631 +1720178995 +1459686162 +1353852331 +786086653 +964900137 +2007108342 +1293836937 +1654408469 +296400999 +175480675 +655618539 +653679645 +1409338090 +1697439450 +1016011941 +2114691000 +877600659 +1081101697 +220490398 +1739039673 +1927465648 +1526790547 +1718640608 +251104996 +1853615699 +926691576 +1403595829 +994876609 +966753450 +780058858 +1188436240 +539448798 +92261372 +394804923 +1325535451 +1057161510 +254429618 +471888740 +564086331 +550830617 +647369415 +1219704871 +1204510262 +2056707505 +769660673 +73038555 +2023914857 +1647261332 +1154140252 +96921607 +1238817358 +934122253 +1623712155 +809974318 +1185227249 +1329844206 +1736665894 +441339430 +177237167 +555935697 +1221398288 +1365673407 +1095384495 +1313659661 +1760478330 +273436298 +223337523 +2014907948 +745325038 +787423854 +418254917 +1392694454 +2007128725 +1622765179 +1301918311 +629305750 +1695803734 +1178349521 +129083435 +702460339 +1275271128 +1367900793 +1636582592 +751499635 +30391463 +674326193 +2081343841 +1767057357 +1115665624 +111097360 +175509406 +189580264 +1476770767 +1270893901 +1503239925 +1089765450 +1544330199 +1726577448 +957189750 +142171590 +366517655 +1375444668 +1534866044 +226162732 +850726199 +689300707 +855468483 +399046286 +1867650228 +984551918 +1101506625 +995437709 +204969063 +590605569 +1746937344 +235360526 +1264931762 +1680797538 +2002417883 +233113738 +1791894898 +30443642 +422694003 +1121182018 +1301337543 +1925933928 +63463820 +698184095 +1505027729 +1020653570 +840355685 +1871545384 +248614590 +227738081 +2097708116 +1099340790 +917038788 +805692951 +1498387076 +637205369 +1790244869 +452410053 +1632643078 +1995213932 +1043015622 +1232096774 +83090810 +160463736 +765410664 +2085508694 +393577475 +409821915 +2115952336 +816271478 +1531003933 +1269806231 +594721758 +1594467753 +1967990326 +2099749487 +467637675 +660862363 +1823811223 +716252266 +888600444 +1774035692 +1815593056 +1805639233 +432244995 +1166496484 +295360954 +75006217 +1618906537 +1928004032 +2070220149 +514438511 +1012617158 +5827312 +674902247 +1778027823 +2091336006 +1068479722 +40366090 +2059804694 +1884751200 +1571370023 +1182127277 +331989311 +1018354128 +1002633956 +284255150 +1485991803 +1663496319 +2108066374 +54760421 +404613116 +1734618418 +1870353477 +62768701 +19379765 +889366313 +358129655 +94385982 +360789202 +138650039 +17122484 +875227713 +1151267197 +22949796 +1550129961 +781811372 +2114285802 +471126035 +822177462 +2026606848 +208393588 +246063837 +1061250477 +540382899 +1264417965 +2063884433 +824638049 +602926121 +1579897105 +785220775 +657686542 +1984510221 +372355545 +380556372 +2047278922 +391735311 +1269922685 +257924929 +486121293 +1630711888 +396574968 +503243777 +358455953 +1547842165 +526193573 +1908585914 +182169890 +492995727 +232228302 +1004347352 +372118927 +440621890 +1250411190 +1433369405 +981004789 +367345507 +1349770190 +1805642838 +970271628 +782183647 +443379966 +1627958171 +619210220 +815735511 +2008514543 +519005494 +1207470822 +1130953580 +776930423 +1693592116 +614181820 +1173505391 +49352245 +972637774 +573863909 +575545819 +733740040 +756033799 +1068541546 +965968342 +1760381151 +1440660474 +1406590232 +863308693 +726546231 +240111373 +1230654201 +2076316421 +2045754212 +53442181 +711016421 +341650530 +1681400352 +1330226641 +1157386041 +1542431247 +1849232136 +217373216 +525901180 +478678911 +1910965332 +1140083000 +1652184303 +1960317577 +2112720774 +78564564 +388379748 +698977167 +834598363 +1456921295 +1664945509 +447495866 +750098121 +924052094 +1310804560 +1476644352 +1164163467 +393975113 +1405477125 +1062434031 +447417294 +2116493546 +1404084561 +2128817647 +1299236540 +413986955 +1523765246 +1000985028 +631360171 +2049666426 +1479663939 +394841855 +1042265779 +984364594 +207675784 +1007502905 +1062929158 +596055533 +1706480072 +1897527521 +2052976828 +1223941934 +197539740 +655591301 +510380 +1508344300 +2132235653 +1164673847 +1902319413 +1390229130 +79624231 +202253059 +1359239029 +1483708792 +183587058 +510991921 +1897695747 +1707352305 +1511976949 +381572270 +1609535083 +844157240 +776414125 +504317214 +1828521835 +984089910 +1511820120 +743967345 +1580145443 +1070816544 +494011219 +1485638623 +147274830 +691550959 +2141229924 +147785210 +52411611 +2125981929 +1312459058 +1954731024 +1368727411 +1392083289 +9500435 +580482792 +728308433 +193087494 +1091474713 +478520533 +1900439799 +455968014 +860092803 +1362491234 +1300125255 +1636506929 +1866808449 +981163442 +473113191 +1231144921 +1725130787 +2053258634 +154477817 +71658358 +1391413609 +301752648 +763209317 +1385159885 +449537858 +815620928 +1363658166 +1761996916 +622868304 +584901929 +1006596557 +632368740 +1165384722 +1734904991 +825456234 +109375787 +65941876 +578412385 +565343802 +926034679 +1940903619 +1865469057 +415057960 +1660228420 +699148851 +888171151 +743889693 +276795990 +793946137 +898367511 +348454349 +37876098 +1200120159 +1111663666 +1423035983 +1649658017 +1927284595 +639210501 +1264171286 +402669251 +1224112431 +123284195 +1035037991 +242013505 +1858189186 +1860494225 +351389292 +1924131062 +291422962 +916733094 +702682094 +84842934 +634718503 +1117740054 +1745071354 +1333867354 +2005911206 +341477400 +1610663345 +652373695 +1239844911 +1959117694 +690249794 +292481422 +923297712 +2113285777 +1942139439 +703098659 +605012631 +1058827077 +1105767911 +1829125062 +1182111273 +2140805902 +2071138567 +892816811 +1853816480 +275044211 +669464226 +2145239442 +1191777306 +1372146320 +82598728 +1826495809 +342402726 +1827670083 +1012879516 +200830284 +21663835 +476059213 +853203980 +1261508746 +287693259 +1543453774 +1553990168 +1210990971 +1509255903 +1348645959 +1914089631 +2114268534 +259989389 +872373894 +1795909948 +1442100662 +865696148 +1719564867 +187433825 +572028980 +1994609079 +856898051 +569784775 +1038902737 +81560723 +652383503 +717914898 +423963450 +332569938 +1730794414 +624793734 +354233773 +59369979 +1477997714 +1615742519 +347063238 +873967840 +1022249039 +1558054210 +235740096 +223411351 +1324660193 +202524982 +483400740 +49550439 +1998434931 +1925501402 +915246587 +1570516150 +2112935227 +1487275568 +1417641581 +822349631 +2057060343 +309060670 +903910354 +561960198 +1026975569 +1327873804 +894530137 +610286335 +1952667539 +1248763910 +669656315 +1283181605 +717022782 +1016719553 +9665798 +1739271821 +427290115 +245405894 +1962683172 +1751950308 +447930876 +298600264 +1801500747 +298882159 +76618018 +569263687 +1869398310 +42069598 +2056539255 +1139556243 +864419229 +1966115950 +1448616914 +1768329583 +380592500 +328108835 +948719740 +1275122637 +938395170 +753903631 +376402900 +1608051485 +2037085236 +1093425682 +477287391 +2046751034 +685213855 +904577506 +144673280 +500413380 +509044167 +592604157 +799013644 +163061266 +891486316 +875631663 +732324953 +613400978 +917701261 +641380560 +1752957222 +1782120490 +460012862 +1054090488 +1402966425 +840605363 +1382199323 +204202517 +2115728000 +173110845 +958106148 +344647252 +1781162331 +847707737 +1438072934 +110966074 +746975123 +2123286790 +1015543580 +891648404 +476216522 +1524587747 +1484252561 +1275230166 +1687649014 +228255229 +3378181 +272490319 +841656208 +921079442 +913870880 +447129782 +555716284 +1373883742 +1501220270 +1958682710 +67005457 +735935945 +15401579 +35249810 +909046790 +973507728 +379897062 +542725473 +1821215465 +1817969997 +653691547 +420706940 +1793773139 +1669235128 +1312355344 +122506013 +1046339227 +649124257 +1397736179 +586504593 +877379487 +1401114361 +858994913 +1719035695 +174710155 +1772865793 +18681829 +730426440 +999265887 +1519902099 +541625502 +1066271345 +108354396 +557027081 +1101521155 +1017401186 +1530534809 +1481418217 +1560126660 +1204266626 +1151904566 +66334559 +1624973567 +798194057 +1735569687 +789845263 +920700070 +634425267 +1438969521 +170952602 +1220929860 +168865360 +1572066963 +2079924773 +1887901055 +1746777118 +1705306918 +1906582884 +329719910 +557089158 +1279001335 +871345412 +1623360503 +1387355731 +1428372494 +577398010 +257273269 +811423655 +2058816227 +1817399929 +2015690282 +1063237146 +1883734489 +1493180201 +1861431203 +1471820528 +135541816 +634647626 +2106245795 +1574511337 +805600228 +1179692008 +1743376697 +230183543 +1112133133 +1483794104 +1976960661 +669956404 +1242893340 +159196924 +1227045562 +374411027 +1030542336 +702922417 +1761766758 +311431182 +1280320427 +2019040028 +1122854838 +1191653006 +1688956309 +991061472 +107406504 +1425207150 +336758025 +1968837708 +749544031 +472299841 +456001686 +708306178 +2046811179 +1261601914 +1887998186 +1642704228 +1491785457 +852647672 +979014685 +1321262470 +1522604076 +74424377 +1480459394 +602165990 +448835405 +363518083 +1305088407 +63118515 +674949265 +437925186 +2082158543 +1797804103 +1629578192 +1623631205 +641381927 +1736984697 +901354707 +978139952 +1558338757 +1650898738 +1450439794 +2014340443 +211721269 +1349767325 +1128458709 +2099719455 +844987905 +472760518 +804883479 +1824002590 +1794022988 +180003907 +1898426968 +1126998735 +782169897 +199778725 +1490516818 +2087258304 +262897240 +17982435 +377699842 +197572136 +1815786539 +2007278035 +1821203341 +309684818 +1596779084 +575074400 +1287824771 +1007634193 +78489491 +590780917 +874490988 +290210760 +1940548242 +2002949697 +242446567 +638052499 +328226567 +1047330047 +314571442 +2122249555 +1227333954 +65514762 +1101764642 +2009503852 +265293487 +444797812 +1949278508 +528190727 +462780248 +179494703 +725762863 +131083139 +39289090 +399482556 +440767957 +1636068174 +974556957 +1728592728 +496218719 +1053046448 +171889997 +1370709707 +1343257208 +2112438239 +1226175756 +1585703775 +603007091 +1554402323 +485550174 +917578533 +1529168230 +1712884129 +983093295 +483449225 +1574904333 +1248386782 +928247037 +1376699193 +1776577509 +1391027285 +1556193896 +354856725 +1522110424 +1595482986 +754339281 +1962878382 +1084067512 +1728896238 +1543987462 +1580286231 +634459038 +1715877460 +803512290 +1977716246 +1680832051 +2029688046 +1415936374 +136355494 +1436606721 +1901486548 +1053934027 +818291304 +1466887029 +2037027322 +1301740529 +894307714 +1137930456 +82503918 +123523260 +767024318 +1473531204 +1679717156 +1121881043 +848157980 +1127716495 +1876220324 +663552714 +64300359 +1457632915 +60056529 +1644586591 +2092091953 +1775933989 +300615233 +1922324552 +1309282392 +182819632 +1190777278 +1445637887 +1619426353 +944780178 +352088266 +290234009 +264183560 +241631941 +1591974538 +1158491274 +1379562397 +1674478457 +1282014534 +2146586715 +1000526013 +814248043 +1120984110 +1848683993 +1941964538 +849720787 +364753060 +2006264897 +159870054 +424809589 +1503367840 +104478359 +53259930 +1803983074 +2026802911 +1362542322 +1986802706 +1070096541 +660696561 +1458745411 +2014876720 +1012784828 +1748979421 +131576632 +1254416769 +1193470311 +1290067906 +486495518 +720465120 +424598793 +485598586 +1720991133 +1238846836 +1606582696 +1422191479 +1033327726 +308819835 +1786944539 +892108975 +468689889 +64270480 +247993168 +573168249 +117530410 +2051976242 +452487512 +1480072732 +1891295300 +1522584054 +2140769294 +1202557063 +1389977126 +1006070474 +804052836 +1521553758 +113003595 +1997523148 +664138016 +599499113 +570504620 +1088736809 +1085097699 +144012106 +180099997 +544196748 +1566203585 +1213427723 +853016583 +1205664476 +2105536699 +1321706473 +1269934956 +206046219 +1894874722 +1387465366 +110538813 +199878586 +720054450 +2001834113 +1722462640 +713340096 +1056907528 +964956118 +1719410570 +1860960365 +339026228 +1832414165 +1710999865 +1003164245 +284429631 +134020837 +2091901054 +1369527330 +278032943 +124517404 +1913724078 +1844236528 +1337945127 +619257014 +902417356 +1295998178 +1940963487 +24868664 +1502044397 +1688354561 +1412334030 +1612583210 +1888233147 +2132388481 +1466933675 +1463212140 +698244929 +376357556 +280684610 +270171852 +89834273 +619710839 +2102586017 +1800834138 +1622875084 +239532000 +1934854975 +1567292490 +1609059331 +65404271 +1691809894 +1375299761 +1909640799 +882271374 +1994556775 +664574508 +30785904 +1788036614 +689443172 +1532830302 +1328907527 +2101777203 +997929864 +1069657027 +2086682036 +317379892 +385385519 +637443317 +693737448 +666070129 +907615169 +783571721 +1285780968 +862717539 +436922211 +761172404 +1102249539 +224293538 +180981247 +563825222 +289697809 +1872791141 +1939124984 +51854961 +607578867 +1786198111 +716429469 +638364772 +1426751078 +1405872641 +23711426 +608174957 +1360166196 +1021641290 +1677831984 +1299364584 +1339021182 +2063217503 +1936807902 +2032758630 +581803985 +696939423 +668846703 +1867584953 +1559656962 +1105768914 +481273710 +514422854 +1330062453 +662254957 +1078248076 +1619760262 +387562450 +869889412 +1671615223 +995141318 +508603876 +240561044 +1633506090 +1935354954 +1646433686 +1657217516 +396046263 +859116234 +531375158 +2073878248 +10997171 +1870396341 +1989612103 +1947805073 +1755671323 +423932440 +497260848 +277034379 +144033746 +2056917811 +1382803293 +625307456 +423857017 +565382098 +1287562413 +1502105093 +37658713 +1675124863 +224510858 +1709273936 +522782533 +733114734 +1949834981 +8804975 +520986040 +1448785019 +1666022491 +917032303 +160417605 +49914002 +843426903 +171414776 +1920310343 +685555359 +2119219849 +1528498018 +1109487799 +468997050 +1805532397 +1253521545 +378431213 +1040852043 +1878829001 +802288230 +1606234141 +1018907766 +156909675 +1643892854 +546548982 +381420533 +1205683143 +1069331515 +1114535267 +1008034476 +1078136491 +1635521307 +309335847 +596675334 +405069963 +469753452 +646589336 +1248496866 +641168229 +419416031 +1934052225 +612904430 +1947914050 +896056377 +1081901480 +1605962799 +2094274 +1460332693 +499331194 +1880923276 +115137275 +2105565336 +752347394 +272046951 +1601974542 +1298896376 +653467484 +660174037 +220744244 +1768002752 +1668208513 +1298880735 +1256040411 +1977544360 +1895556069 +1661110374 +299814165 +394661758 +762123593 +940982394 +814077789 +548692170 +1553886824 +614508191 +1444748547 +488304657 +72987343 +1446842822 +1948637350 +572318537 +1180282450 +2063774626 +530400225 +1932629844 +188337929 +2132374768 +1084042573 +841805413 +645065157 +1304786817 +462324517 +165790023 +456183904 +1718364929 +2143334383 +204256325 +1231991655 +295664900 +598918083 +1994115248 +1236647294 +1412995873 +395323771 +643050471 +2027504064 +1840072318 +1131355128 +2100491407 +1139431492 +932508830 +525326297 +172230294 +848799808 +1055726522 +2104860139 +1037137737 +1040617642 +1041419064 +1878943151 +1685682800 +198722233 +193784020 +1851472823 +654906137 +1912148949 +1847323558 +859162462 +996656957 +2142988459 +1458080546 +843288557 +1232152105 +723592771 +1238612328 +1875202576 +603613187 +931200999 +859074056 +556620947 +2070632491 +1791582887 +1081947244 +95379138 +492899047 +2137673766 +52755629 +1530036785 +1030807761 +1094174693 +1261496288 +569006913 +1292896926 +1455280308 +272996088 +1947803063 +1219945610 +2120319646 +659481877 +69118919 +2115824457 +2117562423 +912407476 +1200492915 +693671546 +3536157 +928211843 +1297284734 +934737156 +1787285900 +1853905681 +857885999 +1431385139 +788369277 +953265137 +1924284186 +778559395 +1006020766 +1306837323 +1809367156 +2100195459 +420849963 +230890421 +1245608737 +1876130272 +503886509 +1045928152 +948592234 +476722508 +1705410030 +1017711153 +445063317 +1675488805 +1930118629 +1645556232 +221676704 +1933654786 +426284428 +1518961438 +720908294 +66086680 +1225383471 +1578794294 +1497471819 +2013752748 +384575783 +1274272357 +644828495 +1390596550 +433626033 +306712004 +1343308361 +854475996 +537602425 +441433451 +583122620 +1041488935 +1487361603 +1531714854 +1518211443 +1045287985 +401942359 +1963274760 +573293143 +184577341 +1461347345 +794969847 +2118232127 +1887631773 +166447637 +691656774 +1953718453 +1391831108 +122967420 +1303706624 +1258100208 +507543203 +430495333 +1902928703 +1898139753 +864121366 +62157059 +1093964467 +1718597363 +599759485 +1535397918 +154236335 +1641248420 +875275873 +1685951190 +1011976215 +1920563859 +2087893549 +827767327 +346373354 +124987242 +141631024 +1141343201 +95735722 +2029262797 +1307790838 +787392496 +1835497602 +552138298 +910359916 +991720578 +1810238506 +1417903119 +1422215912 +1565683561 +1168559225 +138853630 +1627840621 +115040044 +1857450993 +80116458 +1650437962 +2011687329 +1721364878 +378230187 +1550154871 +585857445 +151310398 +1490564772 +1413624772 +497683752 +1615552015 +1555255797 +1639026953 +1711287737 +1437034946 +799334143 +351196585 +1125048901 +1351472441 +1261556501 +2116769479 +1014227299 +531975972 +1391501743 +432427213 +1700535197 +1530355374 +2060267834 +1815575241 +1240322719 +2140384292 +1318529555 +1104526400 +1714265522 +1696759743 +507197623 +152639319 +1848070141 +1997762396 +1566264091 +198270246 +1465830763 +974036240 +1837297199 +1029634852 +263587539 +489147695 +1380831437 +1388636440 +1840620136 +494904290 +1357922271 +707363788 +1026880262 +601940367 +1139791001 +579931812 +2132295741 +1052575187 +248023405 +1225134812 +1045475831 +1566552961 +182177565 +612257705 +1115829056 +689375188 +764897024 +816415549 +539653936 +183677467 +1014685795 +2005484699 +1157713708 +704499347 +887635903 +1421301247 +1193647042 +120983692 +662454039 +886783530 +615887982 +2020376310 +1594147318 +1642768245 +474833029 +586454671 +75216409 +459645122 +1639029858 +323239814 +1684779935 +537022041 +1889792775 +1866957500 +1149279746 +858138183 +408849040 +1914176770 +1674553733 +948502977 +2097854238 +541755880 +806504028 +1108084298 +1246255227 +1694139932 +381901897 +292418621 +1815123624 +1044355936 +1179202152 +283527959 +917248598 +625865822 +1926296204 +1392081628 +1212320494 +2001512613 +1851726750 +703866704 +177268779 +1389023037 +1240888746 +2067061555 +1108496889 +242684844 +777716090 +1517345930 +9377967 +304786175 +318365259 +2107232205 +846542056 +1124869287 +1067832855 +2092797283 +671525571 +1449734752 +237732257 +339165548 +346607040 +1416934409 +622693507 +1263855638 +2042800231 +401506063 +508453618 +1107637077 +255535028 +212696721 +1811503782 +432803807 +1601719758 +904908880 +352381714 +562733000 +1147593724 +1130097805 +2080078930 +1156971691 +1434883980 +250960541 +1116720248 +133942388 +1375829828 +37069455 +79256024 +2047355400 +1486804207 +316988281 +239037300 +1833411247 +1733922690 +861730807 +949783238 +1629239273 +1263236870 +1458236856 +589392703 +1518771898 +1670933577 +253412837 +1951575705 +1125169688 +1158321717 +156473772 +1687902688 +158431793 +1286571577 +1620497970 +1315403485 +573971909 +1871458511 +284640085 +707914298 +1099804691 +321709541 +787170322 +999676443 +1808513748 +1104158603 +1238713743 +1494441348 +690597645 +2100444550 +296740938 +172353270 +1216197772 +1754977794 +761745973 +587486022 +1278427724 +1015158810 +391578080 +256113764 +25996879 +548051852 +1944016452 +184428673 +1834623429 +1417030774 +1499832158 +261111690 +1141005637 +1784472243 +969025988 +93326680 +2106181784 +1756196310 +1093003124 +1767211885 +712871265 +184233219 +1114169585 +1403468910 +137194122 +1410910523 +1575822181 +1353391894 +1018404669 +190084506 +1940877917 +149348745 +1205243317 +184972349 +405462509 +1231240196 +733024201 +201995313 +1415668869 +420163982 +1619026087 +768017379 +681275672 +612548076 +405005975 +1650301661 +705874757 +363704111 +1259014323 +1798877881 +2130915996 +1971885589 +1983111100 +1097601933 +1227870851 +2120305222 +361028808 +656209384 +1326213469 +1379433478 +846293891 +1119607738 +1528782223 +2051537208 +1304580087 +1934244733 +1135293756 +2037604288 +2136240046 +403478978 +310284622 +1607782486 +1171496357 +991560294 +72846914 +1576502332 +494378307 +778721671 +1940206444 +1753392631 +430115904 +1923638792 +1577794572 +265743357 +873757078 +658181775 +238564931 +1234785886 +1314391160 +1564778400 +466735716 +13201403 +536902490 +1995517940 +2064738611 +1841482577 +1782279025 +1052548719 +1731603217 +1771035423 +1456027697 +2041887839 +1231334261 +480040407 +885964486 +1304181176 +2056542739 +1380342793 +2082902847 +1849265535 +986251776 +365535104 +1625420680 +416562700 +631278461 +351694110 +1074744476 +869843392 +1586479996 +241651988 +287138145 +2053215713 +254853391 +824040635 +1901250005 +172108354 +518039565 +1536045382 +1224657073 +102159134 +1159597157 +533201123 +2144046974 +243447771 +1013241530 +882527812 +1547628947 +922300621 +115386957 +1483048146 +624082509 +1101638734 +1848583250 +102019541 +1518201434 +332378063 +453713651 +445462262 +1202221456 +2040193647 +687114250 +1489359601 +1945925712 +941967641 +165916588 +1699692069 +1114075995 +683956153 +1088253803 +191249421 +786115288 +100367313 +724450544 +782678614 +343815084 +1737692074 +1665206426 +1891444031 +512509047 +1780593383 +1227008529 +1136591556 +734748469 +928108132 +1238611097 +105466256 +1260486195 +1692324748 +550928518 +315224003 +1585034748 +1238042769 +1804583604 +1383476812 +32526762 +1970500193 +935685234 +1146602758 +506972698 +2023939037 +1337852179 +1293087986 +2124306350 +2062302723 +2075766600 +320637786 +1652511149 +1593489378 +64598169 +17536548 +1226599114 +1291606699 +1154128105 +1961347583 +72231183 +245255554 +2066813839 +1332717378 +1937580303 +470258710 +1647941382 +1375131403 +1708301479 +1305041338 +611124567 +1740828241 +1128057883 +1546809801 +739947351 +1635030582 +1423265191 +2077799530 +780634920 +1400087893 +1992618605 +708917873 +1720725680 +1497646106 +154923603 +1785323849 +1515182655 +1381522717 +929446900 +521827112 +1195386653 +1001678083 +767082666 +1114716844 +186911814 +557179321 +1584975554 +1834853196 +1932310724 +1145793385 +992410886 +395951644 +739137979 +2120468770 +1942761445 +1479085330 +1608015704 +1218542988 +1409401213 +241166976 +471147234 +1254536170 +950084849 +44389266 +604698629 +1105008453 +1829713115 +2119881284 +339047522 +611676368 +494224748 +1534434175 +1613354451 +1261307414 +501667372 +1800266265 +1818486736 +2086642926 +1487635813 +1603313812 +1084952664 +332563052 +1999265456 +1824090643 +305548174 +1794543254 +1155692325 +1913563878 +865602594 +417609890 +7247206 +1336749828 +1672146061 +957332056 +1381139094 +129361042 +2062340509 +1063368562 +101758678 +253904383 +1675044930 +595983426 +1788338559 +1140915733 +1857290840 +142522283 +793698351 +1528293928 +81681561 +133850516 +984124093 +1166634225 +466413568 +835905901 +843241220 +771961742 +482965507 +1998933546 +538041972 +1348568102 +269059788 +545289179 +537834282 +1941205849 +1502621235 +1918973377 +2070566891 +1417478096 +834858291 +24841921 +1671382479 +362419573 +620825347 +1312237390 +1503335306 +330632540 +1454759673 +149550009 +1858926468 +1536441235 +283400526 +695566913 +555591812 +749814094 +1531472815 +1398833033 +1521775837 +2014438322 +1250282931 +2059817809 +1215522776 +1519342719 +457623340 +1753357059 +1313064921 +1960244575 +1524846788 +1236148164 +1230239023 +212221431 +1260990086 +754137855 +574641004 +1881815433 +2066375245 +2077976310 +64964325 +1373651271 +80042672 +1923890794 +762608858 +363443198 +471974059 +1318200670 +1113257292 +2003446874 +569550055 +487549481 +1870401549 +1819832986 +399883643 +938440677 +1191692058 +857506983 +544314088 +357273331 +670267911 +2069160876 +1593421495 +1900506934 +133898659 +706927933 +507161141 +708539663 +441259719 +426052739 +639032326 +506224044 +1799704010 +719074998 +282631190 +414829220 +1082518196 +754605250 +1733029890 +48291840 +610568476 +155096298 +535841322 +333486377 +1974929284 +935724965 +1271927055 +1019137694 +1793231948 +1816241143 +1376411025 +316016211 +1737918372 +822348873 +69039498 +1871817031 +1529276806 +576200639 +432873047 +1970536525 +1002253378 +1071905373 +329276922 +654473740 +1790980371 +611908112 +1069302960 +726014919 +1366513362 +654849203 +774306759 +1977081839 +809945501 +1310148081 +163084568 +637391137 +98389398 +1435011623 +1656528832 +1891621347 +1103769119 +885456209 +60153910 +694203843 +1707805082 +129193408 +418537226 +1089598241 +705394048 +851410273 +912651118 +1707647426 +1923315646 +1241928040 +214637519 +1566812369 +1853836153 +1283940479 +145343640 +1072865867 +1938789682 +919650400 +902464058 +601251535 +82314833 +1065548627 +1238642673 +180704232 +353076602 +747687857 +2072325579 +1456845721 +1633144066 +2132479489 +3565916 +1193465501 +114189250 +422103143 +135580094 +819583298 +1273513416 +1048231212 +379747076 +1049345415 +142675605 +594384595 +468674136 +1996511758 +1878325075 +614017777 +921893977 +1669631109 +1533668177 +1824358036 +123398997 +1615983010 +742423015 +1362041670 +1796687242 +1095499617 +2109729527 +1721529173 +404861691 +1595389945 +1706525015 +408427607 +641371798 +1820714265 +830530750 +776951892 +492813915 +2104044167 +1825183105 +872560991 +1005905934 +1967858710 +1466945587 +1474580070 +1816886820 +1197787014 +2088597847 +591297149 +719934475 +1474782376 +268171537 +843333472 +943281739 +1010594552 +57891494 +592485333 +2106094170 +20137373 +166530859 +363472213 +1615527319 +1873055874 +771899820 +109415469 +1546286491 +1602430571 +886367362 +2039100406 +1558991090 +564066819 +764177749 +417413376 +384441881 +83639688 +1891993446 +53845053 +1281426702 +1833107646 +645142202 +2001361178 +1160406374 +913313740 +697211002 +2103688113 +1923908292 +755102497 +548689799 +1882518814 +775239870 +715220658 +98507379 +243283541 +440792884 +870407200 +352699011 +1987079375 +325354123 +1239066373 +1878696133 +1884345213 +1803133192 +495390234 +154274941 +40091425 +579029923 +2046268387 +93936478 +1860456625 +1731892385 +739078680 +1714334155 +744815112 +1652392420 +264061510 +701019577 +1428817065 +1019164007 +1249709376 +1163852231 +1794403877 +1964930034 +1262359611 +2037687419 +258239270 +2132766811 +242902782 +97834997 +310637286 +1481969155 +1976531130 +47498851 +1137618699 +324437717 +201773792 +1177710124 +903467640 +100558531 +1271646602 +616440617 +1832450917 +2010725282 +183291125 +429782381 +1515634055 +447352635 +1130801958 +796967472 +1466516642 +233027687 +1960819703 +1113436871 +50474073 +1075695666 +1003640642 +308713344 +1060978829 +1246543424 +406548341 +1371616115 +581028931 +235595824 +1419114966 +1718647630 +560033541 +1620888758 +748874106 +1463501181 +1721447290 +2020520708 +2079941798 +1406414559 +1883762343 +115749275 +1836196940 +1251912750 +563101910 +819515250 +2048880222 +2029618552 +1052542937 +1862216277 +995571776 +1103017011 +790428296 +1999212418 +1411730355 +1851407125 +1098272195 +1818278696 +1075539593 +1679301126 +2053874520 +347170911 +1250465109 +466424413 +1968059670 +1999339215 +1929925594 +1542023312 +1872376276 +1862383745 +800954223 +1608654971 +1978133020 +489667515 +713084073 +393751283 +1309182765 +614480647 +275886187 +214242055 +329213276 +1271457963 +1317259066 +1119641572 +1123186734 +581505773 +823565050 +73975281 +252300821 +1899104643 +1753276407 +158691694 +98791906 +856257868 +625116107 +2066851576 +708113436 +407558054 +1461391240 +433006064 +122458151 +114861815 +2041661035 +2100591171 +604529330 +607261460 +346858806 +1913712096 +1221742107 +622744994 +2127954151 +1550955383 +1894202957 +1297729569 +523113308 +869906043 +1879235342 +1346678358 +943881324 +2131536163 +1098299353 +549674084 +142744209 +1197091259 +1405931952 +767860317 +1116459188 +2114045388 +1175418371 +430366780 +399567804 +1297876522 +545228596 +293745191 +1250984045 +1149757926 +901006651 +1597842852 +915986374 +2122748758 +73104198 +896456877 +1526220494 +1967307155 +46702798 +2049333802 +689729551 +1925938140 +1248528512 +1633610875 +1909990656 +199344217 +35801311 +2052734865 +1396435476 +1441733264 +673111534 +365411016 +1408295004 +1848529905 +795777797 +1807862809 +998922779 +1341006393 +2101608000 +102423177 +343280671 +855131004 +1700266029 +1259267046 +830396114 +1773370227 +8240275 +209132960 +1593193734 +54943074 +110983114 +135439637 +1980881214 +1359511626 +1769050513 +1743388222 +1558855843 +1804851824 +1648639440 +807807672 +1099101440 +174267326 +1173218688 +359912797 +2022797232 +1968996485 +20291958 +874236363 +1162519230 +2121899958 +976659540 +1505799902 +829547314 +529441921 +617583300 +1659943429 +155328500 +625823575 +1869076389 +1748522235 +680766649 +1980059504 +1883961872 +514164216 +1192087482 +1505528737 +110068790 +603459678 +1162896914 +1758708230 +1411267350 +114514706 +1932975557 +437002390 +474427503 +1808289141 +258515228 +494719461 +535041856 +1421034458 +469135772 +1511701397 +779350712 +1298683086 +2041143318 +1396934012 +811142867 +48988171 +2022757588 +532735609 +1797510406 +556040589 +365311465 +1533988630 +1070204805 +1557398947 +892033720 +1180273596 +13374977 +2054930634 +791498178 +1424642327 +21961692 +576990087 +1861644718 +496389196 +237795580 +2120159946 +991108657 +772837437 +1393710756 +1460244429 +137055186 +25577821 +611443868 +30714856 +1422511833 +1422586735 +79703027 +1297785773 +1955322344 +1877213433 +1853826363 +173150161 +1263718416 +776547520 +1730549109 +8268488 +1956821116 +1743924086 +2063199122 +600835647 +1021082766 +2085160814 +1177825734 +735243836 +434066362 +1415621315 +707920134 +1425175020 +40975104 +2101630890 +737935801 +178030290 +2127208711 +1349379669 +208745146 +1402236897 +624482757 +288448174 +552539022 +432321453 +18177959 +258881737 +605471615 +1281896375 +1035429258 +188537076 +1290164863 +844766726 +1932461162 +1205880337 +1445602373 +806060280 +1143557504 +475944460 +1541304116 +1577623866 +1891565775 +101740602 +855315238 +1932540879 +55887845 +1593251040 +2110571169 +35612908 +795147061 +171832667 +1437849805 +1419629818 +460280841 +1990388828 +1851951272 +478458801 +101786917 +309939239 +1760355176 +1137216175 +498476315 +903036392 +1981982902 +283453829 +2108916729 +1280101627 +1089514110 +1104990585 +1756046087 +483334578 +535130804 +1500128214 +585075181 +1390446042 +1285185445 +640963026 +836213434 +1248272966 +676575934 +1631360496 +1420105634 +2114425740 +903506666 +1880386475 +1957330920 +607974290 +211361628 +2059117837 +917913529 +1971716805 +1048850365 +1416389844 +727269549 +883349619 +1699843674 +688702630 +15967598 +641874136 +1793693216 +1772013686 +1125208714 +181340372 +1124658252 +1710283895 +1571786414 +262360050 +203763273 +260516201 +1510633016 +880339208 +1891876697 +783255002 +847281300 +647899715 +516157830 +657128572 +1255874006 +727519458 +568762761 +26303887 +551752615 +1617613126 +1442693732 +1279022164 +353479097 +995053758 +1967724795 +369446696 +1636927894 +1613934363 +2141460382 +614652960 +1795274735 +1118634986 +177453208 +1219577501 +1380995036 +381216481 +1480093702 +744144405 +1261555689 +1224486751 +1527399407 +2108836989 +1872386467 +2043557237 +618481913 +980776825 +623593048 +1187244675 +1007080712 +1175345663 +657374153 +302290796 +306884180 +1010853251 +1297344554 +127125327 +1380299947 +786788800 +1741059690 +1374276681 +1401441761 +1388850777 +345428019 +1578894969 +460944630 +1726423056 +1960111450 +1941038333 +323083813 +1074183492 +1018041436 +1850483220 +1035536833 +742944255 +1746556810 +1654018747 +1723721080 +222666210 +693779774 +583318145 +1398011873 +1351153927 +885608941 +1704896053 +214523530 +35469848 +1832021380 +1594823477 +822258648 +1425597422 +821616510 +76216761 +666964551 +1167044530 +1655111730 +1127909182 +745983938 +1467739533 +921463867 +1069067751 +394439377 +1939505303 +772067323 +1429976210 +534965911 +371140485 +936511309 +111203343 +593806695 +1630291083 +694521488 +1991818569 +833961363 +1580130430 +1549230974 +1048484893 +1615600278 +1233768707 +495824723 +290375278 +511882481 +1317441233 +366592040 +1178847033 +337002115 +2021703770 +159272567 +1082986053 +1341959655 +1080736434 +4570156 +1736399032 +872758089 +776637480 +1018891595 +1407724000 +1147777965 +1955402904 +1518927344 +1741584661 +1438210340 +65965184 +1585919582 +124688055 +1646095614 +987666908 +1173172948 +1114212244 +73951967 +1668997671 +1404587523 +585834449 +838955257 +1771179563 +1764681482 +1175957372 +1645399685 +1923954049 +111459778 +839875693 +857206835 +116029934 +428791077 +1729964924 +892667414 +1447682672 +990205277 +2040445380 +1255601929 +361648973 +1634546393 +546328621 +427614157 +1072982327 +671016676 +2073709772 +2060649235 +1844189624 +1040438368 +2134601203 +1365703648 +297542243 +572952004 +57175257 +2068721806 +190149838 +1233132629 +1566637844 +2114103887 +1344592407 +259029889 +823827074 +1460622342 +687820966 +406308350 +205806108 +2135503639 +1396513627 +98767840 +1243621920 +1758162600 +1733314233 +1789950541 +38293110 +658812912 +313483569 +2112002882 +571978500 +10189545 +1004957602 +559096055 +1375893193 +1302499846 +1132048059 +1433068450 +1223738004 +1322197897 +518717432 +642892200 +1288818136 +1863309839 +901922089 +2112645210 +1176448533 +1589743056 +371469912 +1382254642 +1577763047 +1767983540 +1481022482 +673901319 +1378662492 +1066853068 +316368212 +1416955602 +1725665980 +629851781 +1381474836 +150160832 +640041326 +238948791 +709256887 +2015934520 +1541448637 +1841304946 +1301519322 +617702993 +1016019195 +1820236754 +1260595194 +157353683 +1536062946 +15033635 +122515245 +565027831 +1604776691 +493985158 +1947282473 +1035056090 +114485050 +1280821308 +1708957409 +1493147542 +200190728 +2025325621 +762619497 +1925856708 +507693754 +2144094333 +2076017541 +1147735081 +235559476 +637790780 +1016185953 +1777008113 +331612079 +170221627 +247227459 +1347631274 +1990458382 +1507822653 +1504984958 +1379037680 +1522856288 +1627500203 +1944065511 +980149332 +2121485361 +1743864337 +2015205422 +88486763 +877201997 +1576679184 +1581634306 +1077392725 +1454521157 +196770155 +855765785 +1962214912 +193380840 +784299678 +962466345 +428940317 +1422090459 +1978652298 +58464782 +1753702538 +1390277 +305692241 +953850164 +1991848659 +1813514894 +311351474 +1223402691 +1188887535 +1938851678 +1019984555 +21553219 +1912853391 +616365244 +2036758641 +2001340155 +1493567241 +1465954177 +1435490813 +423476318 +772991687 +1632260968 +1279242103 +587722951 +1825641808 +2063541782 +1550189296 +107098477 +1338148593 +1381357946 +165563260 +944367483 +1382748223 +471255501 +1898217647 +1227113235 +137286748 +62085474 +303032278 +1326174283 +2000937152 +1323016833 +1347727502 +1766306895 +1939382077 +1237002495 +1620163402 +1285465670 +555473025 +908170567 +1708941988 +1328464712 +392947887 +840700444 +1916187663 +71106048 +756758578 +1318893311 +178204525 +2094907171 +552767609 +343767785 +891791006 +1935515832 +815023287 +642525005 +1015145419 +952310035 +704610479 +1318177698 +131000670 +558063983 +493710883 +1478728172 +176887231 +285609313 +568247019 +1797050633 +1571074983 +1123720044 +557737553 +1132533324 +304701108 +950685440 +1973233768 +73405123 +1021791488 +582508698 +1392298434 +1199996014 +529932221 +1945066043 +1543763799 +1421723227 +1733098228 +211303438 +2064248232 +600759999 +1163613473 +621375064 +1918937697 +1294614143 +1179439047 +265164933 +625858667 +1356326278 +550774246 +1194105687 +1005893264 +2121849229 +170342083 +1563630817 +1106898905 +475043192 +366832609 +932649025 +548448315 +1388624098 +1515157723 +1940746750 +441136464 +2045089944 +1738329145 +1984900263 +1319329523 +1323943725 +48720054 +1236094108 +1924703725 +1212333527 +1857469172 +1696157774 +359464023 +889424571 +1961322707 +985322690 +98267202 +364613305 +31944729 +1104160466 +338978887 +202286813 +520307635 +1445877792 +677330005 +887140244 +231043170 +1225778320 +128280694 +1746200893 +1019041422 +569417158 +1643807190 +609886920 +406833774 +815653065 +1933830645 +455553828 +2051747173 +1711050722 +1667887355 +1761732697 +1259724849 +2027351378 +503673621 +1073563908 +865190421 +601940823 +1438177214 +897135150 +1706101289 +1777156101 +1099421963 +78925276 +1075550245 +1776751968 +966065520 +1306593415 +855046641 +1094346215 +905310661 +1874088063 +1663763373 +401634203 +336491335 +2070597147 +1217287268 +122838333 +378667327 +1121550794 +1833889055 +2046554683 +735799843 +946130256 +1926422413 +1239473464 +2019694165 +644129186 +1841414287 +1310387731 +1541264337 +1400031928 +940060184 +493202652 +1478957204 +2015610429 +122470973 +297539077 +1174720197 +977517614 +1391885292 +2080030858 +704122029 +908165017 +334181413 +1040613365 +831278517 +1551468681 +1163451698 +1209945844 +525535827 +849857105 +1109016879 +1261335671 +1795987362 +887955645 +353325487 +1668197879 +1532084831 +47256127 +831101962 +925865520 +1447288055 +1771162146 +1419068173 +778761612 +1639288927 +1541539146 +1076300689 +666525476 +371573112 +320702333 +599072686 +1075695141 +1228867350 +933254099 +2116308506 +2060145867 +337239133 +1132276556 +1122608064 +862774960 +1982133662 +84141295 +2124110631 +1630637376 +972096940 +329952471 +1151351607 +356698124 +377208598 +1982453569 +1282563644 +1824496653 +1606132067 +554148169 +455774617 +1097937346 +2095687315 +1532075306 +1764462823 +319776779 +1852777639 +216051861 +1395471921 +934161342 +1149305961 +1364296779 +846823561 +1486545094 +349089688 +1969431625 +201836406 +183739702 +2053572921 +178463390 +1814377078 +878186213 +508415861 +818245037 +1234884337 +885624459 +653214958 +369964334 +562637464 +111863377 +924112503 +1018412082 +1209800723 +872316171 +403003740 +826779898 +1192092950 +108297732 +1042831760 +440081223 +1042459074 +44654073 +1804378003 +1889282635 +1531199167 +5984043 +1711230613 +1733035573 +189723745 +1617319886 +1911498963 +2004100823 +348022451 +272431176 +674862212 +1582906789 +1158055635 +1328077170 +1952871123 +1720693100 +1439940547 +729499978 +591621534 +502257622 +1601816149 +994625274 +1329037521 +646425452 +1102923006 +224385633 +1086506675 +2145382080 +269039706 +743401030 +1887181068 +1800238873 +749385073 +1450928033 +1385790798 +939108818 +920764271 +1149806114 +795725993 +1268786722 +1422237290 +1470588205 +704209863 +432809278 +651181727 +509597338 +6018730 +2091122274 +1239097317 +597640264 +445896249 +693429818 +1592265538 +1774933770 +1339855270 +547704897 +1999319403 +278878298 +545603329 +120875461 +1022279328 +285300749 +1921114334 +1771664402 +1736228782 +1159421484 +563289572 +509509405 +161743950 +1359015566 +1778296128 +1583981241 +682120123 +335022343 +2016790519 +1333301851 +844619682 +2022809249 +1276940477 +2083716999 +472965865 +1722836726 +629663169 +2065231403 +1350286848 +1969518440 +465452652 +1202122603 +100913090 +1011055982 +1322998064 +1123192418 +1296356731 +1096628750 +747373172 +885101866 +108566587 +1310662745 +1394611271 +270310537 +522194663 +1025423751 +1854291778 +1204314786 +1360446095 +1723598649 +390132989 +57582129 +1598924250 +1667073467 +2141299128 +2071890115 +1242426545 +623478649 +1989637871 +445229746 +445513441 +307606875 +1647352349 +546426531 +1318662857 +822866766 +1669618950 +467535941 +1919495516 +269508474 +1352637807 +2028062103 +1580171219 +599765430 +150888993 +2102365882 +1625189182 +2005180771 +1159197021 +838151629 +1581295773 +1549330010 +895733758 +1032736375 +1068919829 +889549238 +957142843 +163862727 +1513027887 +799297066 +609092473 +1958541329 +1106903941 +108961174 +357484212 +278083151 +931827940 +2027103162 +745619092 +703839809 +149127989 +2098256899 +584418264 +1729299208 +550538681 +735307257 +1684181443 +28244215 +593004381 +695894816 +866395844 +26816506 +97741178 +1762129602 +1059552881 +1166661008 +504195192 +2016695724 +1330523735 +2017223080 +668509142 +1939616208 +1828280761 +1775413084 +2048577382 +38281325 +2053496235 +832921675 +2065384488 +651631679 +1536761484 +67028829 +602404930 +2121179748 +1796328037 +1152943611 +709003358 +1333025832 +1181187827 +1302007739 +2028920648 +2047583671 +1328824245 +2126661827 +1662229626 +240893478 +1145839187 +18941170 +110105555 +328879274 +2036164250 +778614697 +121011834 +1716961363 +406544133 +22105568 +1755242689 +312556720 +855027243 +1673143529 +964188399 +244305079 +1740172358 +1566593329 +218001180 +1389016747 +572053293 +927004538 +574558932 +1753241120 +81528629 +455995932 +1653341143 +1410352874 +435174111 +1168087121 +1651246352 +1581013298 +1187028292 +1761351907 +1909892572 +1075708894 +392482957 +2030904406 +645186610 +799027090 +2053009975 +252945651 +1111583811 +760553570 +1926089180 +2075772210 +1004858650 +1518777890 +1494881892 +1222859830 +760310989 +2066935185 +2380720 +1334869921 +1672692657 +83909349 +1790865854 +1178550152 +1494262223 +78556317 +199153626 +998024927 +1659569616 +1386181918 +611893187 +1421978540 +314407164 +1004376144 +1305399299 +959593774 +1803403234 +1210925626 +1212539425 +767503397 +1971479196 +991144957 +695791960 +828854198 +362439199 +43190204 +2051714028 +1122750189 +2110125389 +2054094748 +310136462 +1635334398 +2138004097 +2101002316 +666400902 +1484782672 +32074986 +865554528 +335323952 +1691644602 +104252798 +947217139 +966139494 +418659963 +1951593283 +124055145 +1378253737 +1607512869 +1334980771 +443309515 +227532619 +1158976320 +1434454472 +923324579 +1987830518 +1796893672 +966514783 +1892060899 +772160213 +929156524 +1798671999 +1082296675 +417007274 +1789192449 +1035815344 +1083408176 +1126491473 +1067890330 +1948962705 +1461815425 +612051284 +2053215503 +261548916 +1578190778 +324391818 +65658551 +1702245924 +1702645556 +1673171421 +889743047 +2145955071 +1900704040 +2048719367 +1432925895 +676544971 +1889066238 +1082335919 +1643059754 +1633643489 +1854496132 +424732630 +1284831840 +789309160 +841739904 +926540641 +1825124504 +1925148080 +2053032115 +745531186 +1726627137 +1367363892 +1357582470 +1632358993 +1628912809 +788289600 +1956750811 +1694571360 +343051876 +1511912719 +1220259133 +1232794924 +1510384142 +973479525 +1134030643 +795826390 +1650024496 +875613233 +1878162309 +1145600602 +361773074 +1585174794 +1570333232 +1646604915 +227000306 +264589488 +425661908 +2052124810 +42253921 +331210375 +650172348 +1768881058 +1698574268 +2007754818 +1253756403 +1180003429 +648560770 +1063023567 +727091141 +991612647 +427452638 +1947350275 +76923923 +1937836781 +773346152 +1210954566 +586179523 +275887001 +2086567800 +316858184 +1421487603 +300857226 +1902032978 +844337188 +1947462141 +2129033284 +1108926676 +225640402 +2033674446 +1151180597 +556850777 +536363146 +772578008 +107941397 +396634316 +2026334411 +1287944826 +1045195087 +941874330 +2015035968 +2036807734 +1369326969 +1814902595 +2113731657 +1159680102 +440765099 +1177202575 +1745859625 +716652100 +1116286727 +2062717809 +2138139704 +1417143954 +1817267140 +834993244 +1217122447 +1798816776 +1943919920 +1442762849 +1685007575 +947616870 +1999613627 +73887073 +1720194878 +2107555024 +470521390 +1599045641 +1248016203 +1515716477 +393436324 +1115568523 +1405040563 +1762763293 +782987470 +1371288572 +774959747 +1223752569 +401007499 +373335724 +1940404670 +1517294227 +288569885 +1931060726 +786954533 +2105837025 +618570322 +2004076980 +1757170154 +415006594 +1299356182 +1294694081 +1362623464 +1151486161 +1368581154 +935334694 +1111557537 +1839102544 +386896688 +212090092 +1207335373 +780333012 +1327658615 +464892288 +395612657 +2110646085 +1836180860 +1170572404 +1186915007 +89704712 +1543908128 +979836029 +1606998939 +1832478013 +763413107 +246469824 +1790831391 +1381983429 +103063156 +1400517897 +1796990023 +1402419338 +547728330 +1012129840 +406421851 +1916309484 +1947464534 +1517979389 +1607928381 +186877574 +1730069481 +667780106 +967210586 +910244449 +1132672395 +1362823243 +873406886 +821369607 +385911999 +2060321893 +911074319 +1929820127 +892674274 +370589610 +1614814493 +1656087381 +617059434 +1258162236 +890587162 +720122591 +511196485 +540093538 +2122541929 +1058924815 +1552223378 +381480133 +827750651 +1352204264 +1899459522 +288195384 +1539081839 +1482045355 +955975491 +358808777 +244806156 +2088647886 +1721632021 +1118213043 +762533845 +2107544020 +1031051288 +1673608165 +1889880500 +1923725563 +2044197775 +1357211345 +1432329296 +513773562 +467889933 +175432811 +1233896153 +979086418 +715526349 +1208954434 +2038011233 +120266079 +1590434567 +718278236 +1472470343 +1342410441 +1006473621 +864068534 +676972149 +1962449112 +1222877312 +921778305 +1903613350 +797025685 +2039991348 +518663547 +757086057 +923558989 +44788064 +499482909 +699800904 +2088985840 +1856694254 +2132130200 +455275754 +177100539 +160079363 +1689171907 +1156186957 +875605712 +750642693 +1046714542 +995871791 +193593613 +1764992779 +320858487 +1536004054 +623982752 +1184927021 +65492555 +438948216 +260320685 +987270861 +195077918 +1057346370 +879778561 +713741465 +1814432428 +1803337550 +758529530 +166431689 +355654806 +700031722 +2023125944 +340301359 +1155307476 +52742835 +500380722 +696995735 +1208929793 +1375986435 +1447638428 +108160687 +224374578 +1641232041 +1873153466 +545233065 +1029752448 +349652570 +1730160087 +1095245003 +788600786 +1990480772 +2082515864 +983678704 +900343495 +814810778 +1697420170 +567292275 +470664680 +308466052 +733723964 +826319487 +1008497774 +609366260 +1166620846 +16321602 +662109096 +1667001568 +713317337 +1871038889 +895504355 +13472117 +1979199576 +1119878934 +1654704159 +1704869395 +1665111999 +536972959 +2054521965 +1247788438 +1632217962 +695639104 +1090785563 +1567250179 +1679317808 +1991129058 +234577309 +1229254330 +410937685 +705241989 +1537720382 +1144661649 +1531561476 +398734508 +1754027910 +550698674 +415056110 +268653358 +70216595 +1128373447 +2139692247 +965720950 +1141845565 +1971408175 +2085599884 +649066076 +1528793922 +1603228236 +1186039035 +1435832240 +703533026 +670773349 +2131471344 +1794318589 +90539880 +1663305504 +1637963999 +325117189 +745076187 +2048901684 +1030359179 +135312921 +1046079686 +414437007 +534047430 +652623948 +965135682 +949103540 +921277306 +1035352277 +2077476988 +913485905 +2001073227 +1071838905 +737410432 +1939189464 +1720904981 +118720707 +1394934052 +759460368 +1554552947 +2098467078 +1430233717 +1538540643 +1745302020 +1520773598 +1054362499 +1235782371 +1845890787 +1799438686 +1137200408 +728766318 +1934751608 +35796446 +1143203326 +321315390 +688420394 +2108339008 +1270418930 +1609697700 +996207637 +1200412270 +375699957 +849797216 +124767527 +1113110389 +641503032 +1845672508 +1231831096 +2036437084 +457649228 +638900395 +1987420515 +1887882946 +29957390 +1585238887 +1261172896 +1084319890 +673537610 +959580035 +736274928 +1810738018 +1688346354 +523542888 +1846534464 +684066032 +844858278 +387471210 +644921392 +2115277209 +1997168910 +1641129029 +1168205831 +225385219 +343442597 +1292973359 +1338495609 +984945630 +991162219 +422843057 +873899066 +1448811448 +1061743453 +713835933 +1189210746 +1091700843 +151591172 +302899994 +28537085 +825128783 +1262480029 +764812014 +488383153 +803342735 +1288354902 +187433970 +1487408767 +2133213181 +574905180 +2132330159 +2101006742 +424590443 +1625975540 +1121728925 +649975662 +1969418138 +267218636 +1988471271 +806880120 +1258380856 +263830681 +1680779186 +559708656 +1325574134 +247131472 +1748919402 +269791329 +398722644 +2051819396 +298328415 +1223851427 +1166815777 +1063140429 +1712234581 +1970158513 +204011683 +1899668551 +1310083632 +189741216 +327090083 +1294930144 +143264310 +751680526 +773422036 +1264993236 +1401656189 +595356526 +1532211872 +1242643812 +1402236646 +643109080 +1506474493 +935532185 +1202817736 +684564979 +1182663657 +804253490 +954356309 +1581386301 +708589238 +1252684724 +657754081 +1875405016 +168341505 +222505014 +1698079881 +372353188 +2122173565 +860679865 +562094405 +301780000 +8126361 +705358715 +1053460527 +781548398 +1970351951 +307633068 +1376904924 +1355080176 +1550276880 +631657923 +1998189256 +909267726 +1567190108 +1053523345 +1593832705 +602370117 +1857776835 +400705366 +36272770 +418882426 +1653390090 +694026851 +146803794 +1821731595 +916531865 +1844883675 +46601136 +891221782 +558079892 +608695541 +1193001783 +566206254 +1314054256 +98978662 +1347754652 +1136922560 +406611730 +577175928 +344519088 +1956888610 +1208833851 +195224696 +718672688 +628540311 +1248748041 +165021746 +1230910428 +959041229 +565727112 +1267183199 +1377923655 +71633555 +1961210050 +1524727449 +1893365150 +730258268 +1222127476 +1939966286 +1621480050 +1780207368 +401178179 +666998185 +198929974 +1715232436 +765976847 +1546684626 +704671348 +1172588577 +2123860555 +1049190436 +981993540 +1185210758 +1244415132 +1700666228 +1813751070 +345679526 +1865687974 +897177850 +1304720755 +283931439 +16877401 +535160762 +355564994 +1978087452 +2059888211 +101446496 +560862072 +1134532039 +2041412783 +34858474 +767255759 +295107314 +701856660 +966185734 +2010339750 +1467833507 +365386712 +567527450 +492938437 +341763619 +1616717886 +1474931977 +1526974378 +713649371 +1028114557 +1193241800 +1059328897 +746318884 +2090419650 +216566004 +1030250323 +2107297052 +751726766 +1385815317 +1937900856 +664131329 +1487261813 +351279280 +1798663368 +1381190948 +386137754 +418435479 +1676298263 +1087994414 +1384621213 +1539154365 +408344274 +1750007926 +2106681816 +901282711 +2091771545 +1575916054 +228731040 +1471262275 +142081777 +1256845597 +517020427 +1201410674 +2003164481 +459956430 +1417976678 +885931156 +419769834 +22219796 +124262825 +210187042 +686351125 +1611524639 +561466322 +337530845 +845231939 +947604076 +755966325 +374046554 +2035598491 +2140587538 +1913200920 +296459117 +1743111816 +1872399088 +1197741828 +1687399714 +1300831494 +1426472868 +1011178341 +1442913272 +535834817 +1528198769 +496840298 +391515651 +1988155199 +1914816977 +1277446807 +260441385 +1937036773 +1401709633 +470628427 +475904251 +865750624 +1032094749 +813435096 +1710982563 +1979698825 +1569401421 +2085029118 +1867813668 +1562505312 +1850746390 +16789137 +1158133480 +1575661830 +1214530965 +698049546 +729009676 +493520185 +1709227888 +24439300 +1029355003 +1089943009 +521279599 +1420870654 +930614560 +288612928 +550833813 +1191055945 +78166053 +1952543446 +1661684372 +554070304 +670810422 +546295473 +1367505401 +234309338 +378510650 +789423174 +171854808 +98840671 +204444838 +2022601198 +115629808 +1362578319 +1450779380 +1330160774 +2060627865 +32305408 +1823680959 +1622372105 +56744709 +705552314 +564831466 +578024308 +2126422968 +1495446026 +866637236 +529773134 +539018323 +944803289 +334832932 +53219047 +1498873594 +1005643355 +599514520 +718895347 +1239952693 +978025171 +1508318521 +1411807501 +1076865842 +1712763360 +1286925051 +1192495650 +927858031 +590220783 +375172776 +841002248 +622526191 +51370088 +315890706 +679270900 +756922402 +880722172 +1257295208 +735861723 +228684551 +2123932444 +1265634857 +767702874 +921252086 +1600467789 +820921922 +272642032 +458627496 +1420436442 +991537379 +1698580189 +250977965 +352372252 +962904042 +1327843807 +2065135612 +102345445 +372855810 +845509995 +692566228 +748028586 +1686512244 +1315092420 +799398674 +2002402950 +1994363320 +1556321077 +735641474 +1104174881 +144699152 +964326025 +1080623677 +1410334009 +1732028900 +2001875763 +863318150 +405467174 +127034147 +1321945647 +1825903616 +1118571526 +873042188 +2076881582 +1470943779 +1835946231 +1257241741 +1388595743 +1938291676 +1630097551 +86622091 +483374257 +230642490 +1773134335 +1798466677 +1030041164 +1628053637 +1645346349 +438878593 +216211463 +602037582 +583577745 +1180537489 +1682661260 +1993911754 +765082741 +1537053375 +709746257 +1170549915 +1664087523 +2031691904 +848969883 +635175401 +757250444 +778367817 +2106119180 +445713027 +2035609559 +1347231276 +236521056 +1518223462 +1433853367 +719895313 +1748865952 +1059504054 +370878342 +631423469 +540074043 +2016224691 +1070302062 +756285506 +470778626 +1653879808 +1936822995 +5956238 +1500307914 +554422088 +1543009613 +62570523 +1724972003 +1059613488 +2094262427 +426458239 +1694788890 +704029224 +1204826056 +1653424422 +1149742251 +1092951967 +853172050 +1386263307 +463691782 +139541769 +2106158620 +65074086 +1199045823 +329553314 +696497555 +1739119866 +198294358 +1766799618 +347921725 +669072984 +1273195778 +137261072 +675029222 +626020044 +691683161 +70555187 +688590568 +269171516 +1130168676 +635369347 +695629755 +677473918 +1339398571 +1900455812 +183414692 +341657175 +845924131 +1036586743 +1727920482 +1309615913 +1176128512 +1686595455 +1374690000 +227690688 +2016148769 +2071187555 +1966810554 +66959479 +1690503525 +167248631 +736032463 +816215655 +304509704 +1411061685 +1442235700 +996192865 +1481616873 +2130826268 +1265364381 +464301901 +618711967 +1960994137 +1141775819 +1958110539 +1713966301 +1325190511 +152284066 +412406784 +214293606 +1880204548 +1722022698 +1390422119 +1419316355 +949229050 +1618112807 +1287981477 +872932957 +1437439713 +1354940956 +415952835 +1604688345 +2090973420 +1232168490 +1909198049 +1354551457 +526920542 +757907266 +688684682 +510263162 +2023271647 +1152986583 +1128975130 +1836782136 +147278754 +939602021 +1403264789 +1472469266 +1091886087 +1815671574 +1686762872 +824606987 +1390210624 +929701343 +96439695 +191956026 +400330502 +1384421172 +1064888983 +1837770216 +591878480 +1480841818 +1294974913 +535368252 +565526661 +1056689314 +1889919710 +1092447203 +1814596580 +431120744 +1602710366 +1690384579 +1584107328 +584201848 +1379683068 +1731386082 +1523803869 +635464209 +1056371700 +468206308 +303652135 +595650925 +1292813295 +1693862759 +1525352268 +1389252990 +1885818785 +1925682771 +626190514 +803224121 +1615969339 +1218068995 +136582291 +763460604 +1753437247 +702108952 +1820149918 +1495873309 +1794556156 +1487262850 +1926994054 +1249782874 +1030163781 +1363617734 +1833984722 +262363201 +947520168 +1210304943 +897827411 +2003891869 +1678511251 +1201479546 +452059146 +823840898 +747858658 +1977411414 +65610241 +486193795 +1755610537 +691800755 +1289417916 +1224096228 +1909869750 +1426000208 +1987556832 +1515823350 +2128109160 +1660223102 +864213011 +1775181668 +1000002304 +643723417 +877480894 +2030166086 +2007341151 +563981968 +145045639 +807377672 +1774286911 +1042873050 +663785893 +1305314514 +96868949 +1115845039 +2129155413 +844727607 +945772805 +47282006 +1330921402 +553899695 +739082761 +472855671 +1777995923 +501468864 +1898855879 +1618069108 +2017292214 +1879481391 +1130808562 +734021577 +1507179412 +2130810867 +1377744995 +237176658 +2013493305 +1237602498 +801158627 +11055296 +2044980170 +427961890 +1053928347 +561282415 +1733276405 +1150797296 +1677127454 +1714948170 +1995524903 +475416612 +1762230176 +1178962657 +1029316307 +353829289 +1651818328 +659828582 +855298153 +1403190559 +130414042 +725106719 +1135188303 +1261222605 +1459128297 +494884067 +1244549824 +689389644 +732060725 +1110559481 +1926992142 +1533219352 +1121614777 +1824488665 +1961181243 +28059476 +238287432 +1546974000 +1178856772 +1915414887 +1114438522 +1026898027 +243347851 +729185050 +58377037 +1272664158 +1083014339 +1710195365 +1932492740 +1938312493 +965902277 +2062906783 +515935564 +2101090580 +1176645740 +1975063861 +448490999 +273711916 +516969857 +1180551724 +1384271397 +296478352 +566287429 +358402526 +2120967017 +379985024 +386462003 +211770801 +1926959024 +1565318775 +2127185688 +893913898 +444733155 +223049891 +1623098948 +503110192 +1495714049 +558629639 +65821909 +1280723142 +349458484 +1031724186 +1196146277 +865394049 +985331118 +225308369 +692974262 +1433822117 +499020285 +1209944120 +466890194 +1883291682 +1506422472 +1033177623 +94210560 +1479905841 +1413162647 +480672563 +1691676642 +1192638023 +2045991339 +1671378683 +2086551921 +343240846 +1894428574 +1562167221 +846351038 +1242658976 +2120796860 +912172947 +375898470 +322771697 +1943897134 +1572044747 +1188165746 +781744604 +1797353116 +1881140008 +68083074 +148889753 +943600480 +534973268 +2032181435 +302539304 +1568150891 +2126391995 +1782445145 +833829890 +459580911 +1326638140 +2026467913 +358088602 +850533175 +1965536186 +701329448 +597478101 +1380219759 +1547680486 +1840137077 +1353532971 +312369785 +68551899 +1676304668 +108783271 +1640596646 +716986766 +890527876 +1290466114 +450643127 +958610950 +1439355867 +1394243607 +1493584218 +1324053654 +1696782912 +914251461 +1302962002 +1331744409 +1748081351 +1762542913 +510898901 +1627065616 +2120631515 +1361432076 +1445118154 +674477315 +1958910178 +677854265 +74674153 +1651563607 +2031387236 +387043938 +1720115507 +1560208257 +495827210 +1213228505 +129711375 +1386355086 +356210972 +580354502 +197482388 +1795566839 +1974598110 +1691066606 +972136846 +1523897374 +457834419 +127615200 +708158135 +58432122 +1890158113 +1219057037 +1685497738 +1863305980 +433005465 +983132244 +390299647 +244431995 +1660986509 +464973800 +1895995603 +1544890097 +852017738 +1468627462 +957614706 +1347844948 +534372319 +1087326082 +586716386 +890583291 +1667680584 +784198774 +538666483 +1494795046 +327781732 +1510803329 +871208772 +785616151 +1638418529 +1579366908 +844048273 +1381092994 +650940297 +382062363 +1096915326 +1083945762 +1365194607 +1487214973 +1328377758 +878697468 +1952188773 +1076889713 +276103918 +656722863 +398033527 +1233718624 +2004567812 +932405846 +173561058 +443800550 +1822989138 +1841241643 +1227999325 +214171973 +1188553041 +1555781057 +1724975302 +2059761814 +193913561 +1215910183 +1491645074 +1037961834 +449519529 +2142585371 +1420024198 +1546434855 +1079047485 +637735157 +886166180 +259941595 +1516432626 +690871305 +1336831308 +1792536544 +1347594168 +1734864835 +878771520 +1204678332 +519787034 +1052332579 +1648478883 +195292524 +746090574 +728994560 +409464497 +1934643615 +137291969 +2134439799 +1846921781 +331205530 +1202866334 +1191083207 +1369167365 +1652385863 +1186184930 +641707915 +1051337070 +117748768 +1279443072 +1937503250 +377690363 +648392050 +480890907 +1714521672 +293444946 +1828485075 +1301902859 +1172216467 +885679760 +1821689893 +77065398 +386674995 +2016982417 +823155972 +1115669555 +278963266 +610315939 +1252961524 +265919417 +309754073 +1584167055 +1468785751 +1500837280 +805850772 +973687966 +539538563 +1447558687 +2025025036 +657287331 +579518111 +1815044638 +1034977694 +1227910162 +148451897 +602015718 +1521355108 +1976936973 +1903918578 +546087927 +715133085 +1578124823 +623153325 +1101808080 +1447623593 +1446309297 +69993987 +1726586859 +2056625237 +1322955511 +1992506277 +218895662 +759638918 +1313808380 +1719732942 +1565489690 +140012699 +111787857 +865564729 +17554087 +769075188 +1445082841 +1832598726 +1804052883 +525509355 +1981050623 +258584953 +2046864463 +1810503948 +15019883 +445468743 +378153385 +1593144707 +1068622068 +1479961465 +893284652 +367447718 +1549955452 +472387863 +276589307 +725427316 +317410492 +495484969 +1485066234 +1631218873 +67734263 +903072277 +1771231572 +179522121 +1768637006 +1788785659 +948597309 +1066236199 +1473900737 +605166544 +1591745554 +1307467713 +863751498 +1491126370 +970488013 +878771381 +1936595113 +1348641399 +324432440 +857733533 +681119216 +1217717092 +1225181251 +83591021 +1690104956 +1501770558 +809018337 +2007515448 +1997255527 +146600923 +1491250673 +2064989791 +1049673200 +1114998597 +97028264 +670826559 +756300609 +1045625573 +1737062758 +82717698 +1650792118 +1181324665 +1390185411 +367059968 +524967387 +213189777 +1245831349 +314078852 +1561831176 +1570263790 +1171812385 +95466744 +640497234 +249509989 +179057765 +183118542 +1751280547 +988076102 +43150343 +1601052427 +1134677026 +1534401016 +1518558570 +36866578 +501915966 +1615586834 +707693137 +1258216575 +513728759 +297272248 +1340934273 +17037229 +1478596913 +583636037 +384097197 +2003564300 +796825814 +1629928547 +170159504 +211173342 +1052708689 +1341971889 +306640086 +1693205923 +1591481878 +485697852 +1876324466 +1195278778 +1473773954 +1919474809 +648847557 +460967332 +1306392177 +19922479 +497833911 +1808308143 +1635509313 +1205527048 +919041070 +1754424 +1502799296 +112491696 +18791654 +833912561 +696127733 +402888851 +689993213 +1492953547 +2032817398 +860152717 +1704126889 +938042439 +54640959 +2010766975 +483764715 +1646122837 +348981179 +212605533 +693917967 +1822755134 +2132080342 +1342765524 +136238818 +1290988871 +1362688003 +634072729 +951813367 +850713668 +1839599778 +1870854437 +852468093 +1194915426 +1983346133 +871259747 +2028827988 +531990218 +1274148598 +571337553 +2024943765 +1159482349 +1431490271 +1581587006 +2097524788 +1486131230 +1444870334 +433805855 +984770419 +1793851513 +646411388 +1678688387 +1469122999 +631008082 +873970263 +1605361818 +1921996954 +89174619 +91950899 +726326673 +939888287 +1931550677 +449697462 +1792356380 +978982456 +285559948 +516132479 +860326796 +817550166 +1790281078 +1431664349 +695010284 +802279779 +715670972 +129113642 +752320919 +54318554 +1573983976 +1186126775 +1039088974 +1220351842 +1832538163 +570293713 +541991193 +316062598 +1444263976 +2147353011 +90575904 +1533438595 +91820263 +816902577 +325843235 +2023370940 +1266600039 +2118199615 +854869748 +1552159987 +486848447 +1715196544 +222226506 +129645877 +999377246 +917236790 +931925656 +1715048218 +1046350432 +1684246575 +1769366773 +472850761 +722889702 +660972099 +1693202603 +407944218 +1231265812 +87710148 +724006816 +528046140 +87579512 +814582720 +2061484736 +179399775 +1631485297 +239844323 +55287067 +750601688 +210560290 +910156816 +155278028 +697408737 +477869712 +377504534 +827054614 +1477246958 +1294741324 +1758980270 +1044811529 +193608108 +1295743198 +666694654 +666458869 +2018632900 +1327666753 +212177824 +279093470 +411448917 +299887973 +1003100286 +939495057 +387467485 +1817683006 +853496145 +566867260 +1301684655 +1093340468 +622154327 +2052286344 +1303900759 +1532311143 +60080724 +2001309496 +2010180856 +437585258 +680880463 +1339944166 +1732326582 +292377085 +237272047 +1925934690 +1588120283 +903966701 +444909912 +1459269536 +84149806 +657087736 +1738363006 +495598723 +956975709 +593979645 +1435093781 +1344443194 +264179003 +141106278 +1911310454 +1565863659 +1234446747 +385981134 +1470666355 +390863858 +1918292277 +1530747079 +244689706 +1780989485 +1968332337 +925570169 +973450004 +1553175271 +1217947255 +1210722051 +1331626313 +658583890 +2114688753 +1776536225 +2117853426 +51354911 +286140314 +1708732785 +546953635 +1243116023 +155228782 +1982047416 +440075570 +419407785 +2123153694 +203902376 +1985271444 +1210116793 +589883510 +1308454151 +1600980651 +360692140 +691717582 +1845670358 +2141681625 +512566271 +623756879 +967647981 +2065741542 +1841704134 +30886385 +1249884208 +352804377 +2145575138 +878936785 +323174155 +49446401 +1165077099 +2031906940 +596400036 +260709475 +39652074 +430963804 +700785045 +459059860 +406633851 +904687421 +296847656 +1616750644 +1494570932 +1605301808 +1070247648 +1855263072 +149535742 +768434358 +1849461049 +662102014 +1392191237 +669625383 +580359908 +1086411724 +700511768 +1830244116 +1439216101 +698603258 +561697254 +1762390256 +748049659 +1726774353 +1646813549 +1344449696 +1987483828 +1686465623 +1775413500 +540785225 +2145525483 +34563703 +1445472647 +294889492 +1651314348 +792559931 +1900191300 +574078348 +500339355 +2049727042 +1342512706 +202316756 +564345408 +587220295 +871942139 +1144705317 +1673632019 +1572453907 +827465785 +965364472 +123573517 +1389163039 +580271081 +871623177 +968453745 +79600982 +68589225 +808453925 +1766066605 +1844002725 +1349239151 +1764108441 +1878566429 +647228150 +2058997933 +1382397129 +1439788081 +1811705585 +1956475477 +1940127436 +1713948979 +1151504535 +2142444192 +130810740 +1738724830 +866902684 +1275516057 +1264873202 +291872943 +2102981842 +82754026 +415446461 +1344661234 +663025107 +1287069638 +165631331 +742626089 +1355658863 +974085256 +361209047 +1052177940 +175840759 +2125317488 +783260721 +823068909 +2036831773 +18174202 +115373342 +1701053710 +1974649679 +2055500778 +1267519041 +978670566 +2050461323 +1398329781 +569911749 +769880359 +526362190 +1834784951 +1061753302 +481860385 +1917538977 +1477199763 +1826521619 +433080437 +616785753 +1992152950 +1175706526 +1972444616 +818754558 +1536915573 +877138909 +994595318 +1514749413 +1660399630 +1817664227 +1404097538 +1678573833 +1933037570 +957667600 +1505739864 +1841054700 +77702994 +336926783 +1744032375 +1476032775 +906838532 +366429086 +2002394966 +594139835 +1428182389 +336771703 +364195164 +757898504 +15809674 +797275601 +1374684258 +2007962624 +1972982128 +1199645226 +679233534 +1362414053 +2076784135 +1673828852 +729679819 +1589700118 +1344009432 +2133777357 +1120790303 +1129563354 +943961310 +479046519 +823134406 +1021664304 +815973302 +419683134 +350213431 +1722811834 +786112220 +205124749 +169468021 +66810961 +541896452 +533663186 +824709466 +557706126 +1330938787 +51910076 +418185102 +1156437267 +1251555302 +1097418637 +371367673 +1180855790 +623763841 +1101047492 +623072260 +1967773273 +1087341201 +1743862563 +949852979 +2031302511 +75425434 +1772987386 +905483167 +891398737 +45186872 +1255696599 +466726923 +831299092 +1460821348 +636194945 +898110054 +2002717801 +1169858131 +1722819520 +412940279 +353313270 +1774729596 +831125382 +1509750538 +878801250 +1928544019 +1881118211 +2059657040 +404824212 +834682055 +535245652 +225113838 +1922023256 +131624567 +1174966817 +1805842120 +207050002 +800470555 +563841639 +1098448739 +845657427 +1819538238 +1565175662 +1676956520 +1132875939 +53886959 +427582926 +988110092 +1223745090 +2918798 +1401050371 +1577058361 +1777648394 +84692105 +939325251 +508965996 +2013236124 +672959814 +421139389 +270576689 +1507641869 +956385041 +495690527 +1282181477 +1088009609 +1670657344 +940539949 +1295059611 +323644252 +1504381589 +246024702 +1169301679 +1176436179 +1811200364 +698774551 +161828470 +1865087324 +1126357477 +1149938562 +941348766 +1129276275 +403505286 +370923479 +759441021 +488197391 +1310248730 +1268407018 +353949868 +1983208544 +1689546407 +624526557 +1343366765 +498447800 +1120217084 +478064595 +1586457409 +643390780 +1418604544 +734033372 +967035032 +775502485 +980058074 +2136336712 +1951938665 +643774791 +687627615 +2113767135 +361378467 +1813985093 +1116222050 +1302727233 +795777720 +1519727336 +1673650713 +1555218742 +2007924727 +836415795 +676142112 +214390947 +672140692 +218204871 +838917504 +2015507457 +716652671 +1959134588 +346088404 +155626433 +455041721 +1764692949 +889659805 +1422076753 +392711786 +1869717880 +1410929817 +197166803 +366009023 +2098557433 +163450291 +727387490 +1765058878 +1279672341 +2030114723 +413352950 +651916029 +1556281788 +1968571692 +512357108 +245213936 +497230156 +726748056 +917354628 +715435027 +1565665560 +785378437 +1432087699 +1377316501 +1131466842 +1587714132 +1832358222 +748676143 +329890289 +1106951327 +1141387929 +52124521 +370397497 +1338554733 +418133544 +321471282 +1502005024 +1145521034 +2086530160 +634193717 +1028152110 +352399462 +1286109746 +436950250 +173487507 +1798466854 +682164186 +670717663 +377731262 +1599518814 +1386152691 +1943396823 +237413604 +670756742 +1173229676 +1368880446 +110987226 +858104250 +2117556589 +440877515 +1965055577 +1111460870 +493002037 +187969426 +302531955 +911135581 +509440708 +1804536979 +2056656616 +448487220 +291247048 +937325078 +800886683 +1577356794 +1374275328 +974374190 +1228340001 +2056439515 +1645091853 +1606071263 +1508474681 +883760896 +1401984438 +1745888285 +1554517638 +427730466 +967285083 +1665504864 +1285834716 +937358024 +2106382380 +1103406646 +2048818895 +451900769 +1291376072 +203867202 +1363036350 +1800816781 +2008404182 +1272209318 +101820353 +152167582 +62050748 +902707036 +1729524377 +1436326077 +1877081226 +810380730 +1345281944 +1374689432 +268968345 +706272977 +110966680 +1670952784 +304677615 +1665484319 +2098683250 +1271962698 +1183505535 +1237034319 +61837075 +1142404267 +192957317 +2110655970 +1594305036 +1484333389 +167039524 +809857739 +1137666522 +27960058 +2082067057 +1239486876 +180127641 +2144117806 +2142193912 +1909652018 +1432960235 +1871791491 +572549100 +630758531 +1098997275 +841517445 +1337031508 +1209963955 +364986581 +1641709123 +727964626 +316186184 +766188174 +1911470162 +1553220503 +828025249 +906390781 +1746177820 +791197571 +353212170 +1083027561 +958237095 +1163069909 +73210436 +986197154 +1097653318 +1312697312 +1166324795 +1094287476 +1307407576 +928493165 +379764063 +1031715419 +1501042265 +1010522594 +2130712694 +195076062 +200070455 +1193193002 +560062644 +1841779578 +1921157628 +876248828 +460484104 +1685144142 +281985683 +1288509353 +444051276 +2028163503 +2079706924 +797263446 +963707416 +890460372 +1960333355 +1036917852 +1876657526 +910503025 +202131516 +895498673 +2004790502 +1509539093 +1823991838 +237070917 +393770864 +1177550455 +1247593512 +376999911 +1372626517 +1447663967 +1570192913 +1932689161 +1141959897 +1343866893 +661454341 +1602444002 +881527388 +943440024 +743469707 +1325578664 +824119879 +675692984 +2122842110 +1787827296 +1566153356 +1935691817 +677261500 +1295327234 +698711194 +879393017 +43342259 +556018048 +241448462 +1867334097 +793088966 +635219326 +897400904 +2040682478 +1012219237 +122543773 +1340862797 +434928502 +2055232935 +335339046 +1778795396 +569203628 +1937783048 +512839136 +1512643653 +533769108 +1838417800 +189279884 +1209462092 +1813776262 +1977107180 +628131800 +1601984431 +506885033 +1923459034 +153211977 +1386278050 +1966801293 +709230026 +1627726512 +1686651742 +1502318992 +115462190 +436568998 +1395517822 +1127681428 +559112771 +588896971 +1562609930 +466862058 +924236017 +1193921678 +1036065687 +714535418 +1706760814 +401225692 +1248304526 +1397694966 +590505576 +310282970 +1063987580 +420129109 +938414770 +518488363 +927014142 +714390156 +671700341 +165808544 +533707801 +1380930367 +1793535056 +72875895 +735765711 +1908997246 +509444893 +2131283533 +889195026 +1068557664 +572696856 +304321309 +1535419723 +1496932873 +1498242987 +424001762 +63984643 +1057520154 +825227454 +1312289169 +307731472 +1415733030 +1622572139 +1371719053 +1835862139 +413503261 +1890207416 +615392633 +1127893417 +414424109 +781201177 +1661601218 +1795354476 +427252585 +1734477113 +383636539 +188766184 +96438358 +367436424 +1077961210 +1164996023 +940133280 +1382282519 +552932098 +289582506 +733041859 +976933860 +353567149 +1790562013 +1802161314 +1665856319 +2098293485 +1070410696 +1140944810 +1322528890 +758789188 +1554448072 +1065252659 +1374181821 +534857841 +1479676768 +7899351 +48975412 +1127547597 +435151936 +1783452525 +1511184136 +623918120 +1879890884 +1878620561 +1701879331 +897403259 +671270193 +936678202 +1450335357 +960852699 +1669720061 +279785569 +1314419849 +1312798426 +2081946883 +832792520 +1263608264 +1004873931 +1973737330 +438653506 +1763663119 +1380701754 +1503906165 +990361293 +1915559596 +836099286 +998260644 +1964535008 +1963646883 +1433412580 +1600503885 +1327347371 +2057330701 +1332911121 +1058484284 +1611726384 +82830732 +1729754478 +400920938 +1533166089 +543123529 +2070641000 +1812951658 +1857543378 +1235955778 +1747414893 +542852250 +352080394 +604805177 +369105933 +790733901 +220984648 +1749807687 +147156418 +1211345941 +1517883635 +983255704 +62122937 +1334934995 +799418939 +1495535518 +787955233 +2126766311 +1405382571 +2120866354 +1037766947 +869625307 +56213439 +620037777 +1270546245 +1589379528 +1163161307 +1193703597 +1254847539 +873221037 +282175728 +854778784 +1416073288 +634256122 +1459583961 +1785179221 +1424990023 +1680568610 +1387503260 +1572146442 +744430903 +757903248 +407918498 +806553841 +2092838243 +1207337438 +154605711 +733309828 +1186620101 +1559988282 +706692535 +76903400 +282129941 +762905974 +696941178 +1552676186 +204801854 +1860102485 +598896136 +1459649393 +585839874 +881071864 +166944530 +2001913162 +1515327986 +1626528491 +1639608735 +792834362 +1159613453 +879628348 +217497156 +1904044357 +1637531596 +625415654 +563114550 +1582886191 +1832753092 +717720261 +168712372 +871889545 +130224895 +875404907 +948792946 +412354836 +1638310881 +1645734124 +1965031022 +1843112735 +1358352961 +416443510 +1155278481 +1944192835 +1297515374 +1322223011 +1798622350 +665359713 +801267854 +1290747437 +1458194075 +1960881308 +22892137 +1675691231 +1717442017 +1660423733 +153623237 +133072919 +1095826277 +1986376330 +850793180 +1264538649 +710782227 +981018075 +2139943556 +1659575173 +1393372911 +1630770789 +1157825649 +1210920285 +1326399876 +368694962 +1627363796 +334194709 +165404150 +777395522 +1656417720 +1964026500 +1442755235 +310201927 +1107290289 +753465662 +123599587 +1130182427 +281673245 +1841041604 +643122512 +435296483 +1974114523 +1738948789 +274189165 +677424055 +856003790 +984971392 +1658442130 +848463698 +497062918 +904331393 +331750839 +1654888567 +2115251678 +1658150716 +2023583530 +1595131826 +1992345425 +41504032 +225043701 +1501279498 +2005530532 +1667798936 +1811481425 +965337173 +273780951 +1935081012 +2095519600 +555454196 +1628638968 +591158465 +990750679 +1455269843 +182623606 +1264939844 +2132693898 +1038627397 +102427589 +1643652380 +1887091095 +599490507 +400500125 +71358287 +106895426 +368268155 +1729509003 +2130478956 +1963399982 +1574370780 +24499340 +40960035 +928166630 +2030029872 +1708758971 +592164407 +847883398 +1982539922 +379761771 +795919350 +390510471 +2008400739 +1387077815 +1381261150 +1316186934 +1569701422 +498717347 +1301397184 +460845171 +601144936 +797565916 +200452618 +1200635443 +1198066041 +271810905 +1307530869 +1566334197 +2001319908 +1290526178 +1382250531 +1428207041 +1315025518 +1423210566 +208890023 +1197571743 +984485889 +801054431 +2045455141 +819542164 +1180816202 +693890843 +1210052635 +1041733294 +2080968659 +443830137 +210436580 +1503186433 +942547484 +1511833765 +1964031604 +1543692420 +161916033 +17000574 +596844215 +1359982075 +288811480 +1904375085 +778832624 +142647740 +1047417615 +13599507 +1570854781 +214959485 +1436810073 +1779744805 +1412531228 +273812314 +433315588 +1310502721 +1093354478 +1614131790 +2004393565 +155923465 +508381436 +1937878576 +599753603 +718818017 +1293581361 +1542301087 +83168134 +1110129317 +938509860 +245084167 +1127129891 +1535354075 +1605066242 +1415941371 +1292245512 +236415218 +1558589112 +192179479 +250014725 +981960245 +407138965 +1686824798 +614221402 +1819670193 +1960637113 +1047536990 +982689267 +906507943 +514185133 +839599184 +1062431409 +1022566569 +629994112 +1662185012 +1741384586 +1923575473 +1057002451 +1824552720 +886221142 +1995512311 +2069636888 +2013351033 +1383382739 +1527219482 +1281808757 +528144603 +1763634701 +692914221 +720324083 +2013649426 +1674874466 +1127463048 +1552990577 +141612221 +799649593 +1366144042 +1189149211 +1782338860 +125168337 +1703334344 +474454396 +1187599746 +578417266 +1104448508 +702301110 +172318204 +880540333 +1759303562 +1996870925 +1766761475 +1607332225 +1919024165 +1632628861 +843231316 +1298759999 +766953970 +1371375920 +914911052 +1459868191 +2091700003 +781076831 +987259009 +1071679403 +186583760 +1128871230 +1871328996 +1552727802 +170536794 +1506184209 +1677896139 +1873871138 +1980638605 +718012238 +304804756 +937603466 +1420313348 +477122961 +1818143799 +1032133262 +326510238 +1437421627 +491981840 +98050755 +922566840 +1335213156 +1396810754 +1689520810 +559105428 +164238159 +1001905353 +503321783 +945314990 +1989164362 +1575001186 +1131898750 +970551945 +1298846535 +537142904 +1141088739 +657547096 +67555395 +867476229 +490702053 +785567633 +1172280986 +1428305519 +58397334 +1649403947 +1098965671 +1090530596 +1975914185 +388903650 +1582512436 +2073964940 +1311470490 +770241945 +1323292046 +853507652 +1329347373 +1487530205 +1855413005 +1832669157 +285361547 +1697093719 +1260186695 +1417260297 +520162016 +411549582 +1954403201 +1661250755 +1069096678 +2021958597 +381243337 +1559798732 +660042582 +1553524323 +840620603 +718439916 +1055444622 +1939586274 +1808970513 +883875159 +181006276 +1243999301 +810356451 +1492476766 +2014241246 +2133648497 +198500770 +1196104972 +1473695055 +2053913775 +881290481 +1759056602 +1603523847 +2141477176 +1028833252 +2123685863 +405543111 +835752805 +1637452971 +1474639789 +710227754 +2018696308 +886954873 +1370270337 +1424736983 +1727575477 +2088710253 +332697957 +1519678103 +1750197118 +1216573116 +1700684380 +846712772 +2026929567 +1045677498 +713470370 +2013094416 +1244178269 +1909575342 +1339305823 +1150608396 +643382175 +950878778 +606648595 +637375704 +1979712030 +582850811 +1042918815 +667981187 +72820134 +370074956 +1378208942 +2091516442 +1257029830 +600995631 +1368769777 +837121659 +542222236 +1701467734 +209316114 +144935707 +770557202 +1910000494 +991648479 +650003121 +808194345 +1705118849 +515613889 +2052372614 +1467210544 +1854919713 +1055497362 +2110592719 +658314843 +1662145958 +600484775 +490543225 +97513121 +1643403590 +1158524412 +170333255 +2013478547 +389249706 +114366049 +1123024729 +990245337 +1483135826 +1960146388 +1532467574 +1037119912 +21978854 +1677403281 +1807677114 +1931979349 +521568112 +310196587 +592690046 +79203313 +825810476 +497579012 +1546413857 +533246541 +1553076374 +1509522929 +1191561384 +1067738684 +2110007704 +1682104609 +1165251805 +1605927647 +693145374 +1335585060 +1471922546 +1082395080 +1449951109 +447463627 +2072640418 +785603287 +260126367 +1457624344 +1822723199 +282105221 +987543977 +1482916665 +66600922 +1509112089 +1793113252 +659290968 +1588315402 +471440081 +1156869980 +987245612 +1004686622 +562462707 +349284893 +48764359 +1630201391 +311808949 +1730868968 +647969549 +1917736596 +276530694 +1983554609 +1242175494 +1358925775 +1286022071 +1689639121 +1284082545 +2071625358 +1949765488 +594223241 +1746864910 +84387062 +1581767218 +1082297927 +150987984 +943395659 +727927532 +810278953 +384227413 +1199367613 +1967148933 +1371473025 +56570587 +382127992 +1720757918 +105334946 +2012329384 +2032566868 +1836203915 +512815285 +1802819816 +2112734609 +348886246 +897511663 +1324176736 +1634908317 +439667136 +460775633 +1559050028 +241948977 +1054998874 +1158431290 +326336039 +489282444 +93245569 +477324023 +1432678103 +821173101 +1287602976 +1816905517 +2020540714 +1107268262 +1040894894 +2077111302 +1489396254 +614169165 +34962600 +1354241990 +499252385 +1871166515 +1867057275 +154588553 +1836417477 +68459874 +1052100216 +1013110565 +1703368191 +1491767353 +1473886199 +1114934571 +1733716330 +381401425 +125882213 +2060052369 +870683870 +219127783 +389892744 +155878325 +1040300884 +1677495721 +1972783842 +913357951 +637280335 +866195089 +842985605 +2126676589 +1480364254 +877948205 +1333434932 +1979616639 +601631073 +1053008559 +2134205192 +290564902 +1121468433 +1038821761 +1303675467 +677352977 +383105466 +630078018 +1792287548 +2116821796 +1011479444 +1918169762 +2029390517 +1882163314 +2137297545 +271799613 +2038041639 +1030114781 +1949295334 +1863341834 +1943472732 +439092021 +582053275 +638974689 +418284963 +2062417529 +1516922895 +1751719895 +1894550520 +2118553968 +657244806 +1881272064 +261635222 +1778713240 +772610177 +1565310689 +308582569 +1155715643 +47905060 +2100870117 +1125053791 +1059384504 +1871556231 +1006960660 +794064170 +1861370128 +1278760274 +684622161 +744001262 +1080571960 +400480347 +539990346 +1519663982 +982533622 +1178965036 +1937948945 +897467503 +548404283 +1542185192 +644534375 +519474603 +51946350 +378322792 +781109825 +1830659590 +1150932969 +198936866 +2139242159 +159164965 +246841926 +2092628629 +1284218756 +1306226430 +1816701212 +143695769 +2100290600 +1530587693 +1422456043 +637429114 +127105307 +355544355 +1037909461 +667095653 +1875208337 +2020443084 +1846060689 +1665673634 +770426939 +246981324 +1060375178 +1414961315 +766455927 +1112321529 +1793284107 +1547565752 +795497471 +796733428 +1746502619 +787255983 +955898393 +1993344545 +732400964 +92633502 +1152087328 +401618528 +236329271 +1104894280 +1932206221 +1658785314 +1742323394 +2059311528 +2014329669 +632749208 +578923534 +1742054359 +505708644 +277500575 +1260244345 +1276135583 +524481900 +173135876 +543613250 +1290937827 +1285457405 +189413709 +691019932 +2080954876 +986147138 +290038903 +720727211 +1942045531 +135899800 +1453128175 +2034679033 +1287987128 +1854746704 +123524656 +245397761 +1639469277 +1782309970 +1987721155 +1551297158 +1649155992 +472986715 +2130220692 +1243726703 +978695359 +260237619 +356487400 +107347295 +784719519 +529623276 +650960545 +2075657347 +1815080681 +840374255 +619193631 +1748551910 +1826521393 +909232534 +321795473 +1621083276 +1045132334 +1774923649 +1508278662 +185635815 +1482186705 +1631803318 +431033576 +974172334 +1266629641 +271271083 +377985844 +768301985 +744257799 +360722888 +2012028688 +1722953158 +620960508 +221032440 +1830300453 +1405680027 +750655717 +333777351 +1333853726 +418252750 +1174151606 +1953047357 +19321012 +853189351 +714796243 +341116486 +326788979 +1759928578 +2116040135 +1835067641 +1945564393 +1450743192 +1319387312 +229114321 +277431878 +438533305 +500385404 +655417723 +1206835290 +1244643203 +1016140611 +1071380330 +820112714 +1637101119 +1292412770 +502929519 +895297499 +2043068487 +836706870 +81667577 +313837590 +2010858476 +2034714935 +333158602 +716564179 +602027530 +674275088 +1043353159 +214472460 +642831575 +730937152 +12553205 +2093574767 +2050324464 +241667526 +223522998 +341374121 +742052931 +878940721 +1548209411 +1986696134 +1895081332 +472106093 +659325200 +1384698804 +1764518864 +1162254720 +132512655 +1660103703 +1998961590 +214180232 +1973941293 +1862336419 +101411519 +159616248 +431416950 +703439050 +833891336 +1474770109 +917911510 +1476722912 +58223614 +930464716 +1422814031 +2108548078 +1172132242 +1646337029 +302438552 +1914185173 +377794102 +1850647963 +1753397660 +125391787 +175270409 +265239212 +1510090591 +1939789273 +1427493932 +1642603246 +1452409328 +1278971875 +1856783478 +1278866974 +993824646 +1958194998 +1438483222 +1425241596 +514150400 +124890910 +752528058 +1432061910 +1601613822 +810751672 +215042978 +876944206 +771816102 +1387175221 +375797587 +1074254654 +1153876746 +753591690 +777418970 +759790758 +878983477 +952689379 +1025029971 +241590420 +744995004 +305040255 +1884193666 +49920684 +1584012130 +1593493496 +1328787658 +430353128 +1404204846 +619787232 +1855594725 +1918355246 +744678143 +460639135 +1202933509 +198808317 +1271390807 +1417976487 +1075752523 +2043206909 +657668060 +1451550111 +969977916 +1811544807 +57658153 +1747396886 +423851917 +936641630 +552602617 +1448881888 +1178232050 +1297597621 +1753922144 +914942068 +1347518305 +1190450626 +360951916 +528822316 +1620803755 +1765156763 +1148609548 +1328914832 +1536028361 +1893287691 +1789553967 +591478222 +2092096009 +913461126 +2009454710 +1020364884 +809184387 +519639122 +324431347 +1779162303 +183700281 +382089500 +1379075541 +607552199 +1318731130 +1931678158 +2056434087 +349479532 +1081792131 +1662872583 +1264421600 +281826789 +705839562 +1625373517 +810649105 +179159669 +1243046632 +1959258653 +1508074501 +631591345 +1705062697 +1150144820 +1223069568 +1649675058 +2063605946 +1085040630 +522556294 +725306685 +1604679752 +846987642 +356985341 +1788380034 +1229077142 +1736060882 +248448585 +400324625 +1520255393 +157399024 +749804157 +454563876 +1820271608 +2014225758 +736390665 +378627522 +1492115627 +1547039770 +557787191 +587678611 +1358814776 +2065861692 +1219269956 +916393825 +1068522864 +294855876 +418585235 +984645162 +1379896506 +941141529 +1709951847 +837092611 +1788129171 +2066937188 +477988997 +869722666 +1655514423 +726437582 +1270047291 +1028286168 +883836606 +2019851448 +1482850044 +556624566 +1886593558 +71757062 +935252088 +1231225537 +1618796832 +1493039279 +1818904148 +830127960 +1411417323 +890690457 +1746521785 +332456539 +1185546333 +17623372 +1317101701 +417959192 +958764902 +879569901 +1255051803 +599410425 +799023441 +1733040800 +1469133091 +307054216 +311994734 +591696734 +1335340384 +1195831340 +464064535 +670706781 +1752455907 +203174445 +742463843 +540224347 +1434399983 +213777027 +2033263627 +1105820483 +1043904988 +1297197302 +1996510940 +642943125 +1629653842 +1034573626 +660566498 +799271895 +1452532818 +1619331400 +1678841796 +560100973 +71258177 +330381590 +145658125 +1540391269 +637435806 +457652859 +2132088003 +1972776191 +1653484199 +448668890 +495999324 +1258456458 +651843336 +1238463167 +1798680806 +2086243319 +1452240194 +1684460785 +1044580154 +348661534 +834174439 +893607447 +991604660 +316344633 +1928181073 +1652171158 +1115616529 +1233230243 +1124018910 +646974677 +1793331216 +1195277087 +977356267 +1938989341 +588184708 +1614792074 +249158552 +572789064 +1440084617 +1902642751 +1021457954 +1936083941 +1013615562 +1673301290 +1027063460 +664812720 +1612060961 +331820006 +201789857 +509157468 +680481541 +1035964296 +1402764915 +1672086201 +1352308930 +1183462340 +1176773711 +320441811 +269208935 +153308973 +967416488 +2062540151 +1348586060 +1944772756 +1854045844 +1936770769 +1412081182 +2103204396 +362076185 +704682151 +1858363499 +1383534139 +493282444 +724495413 +909351782 +1520345904 +1389308133 +373929095 +1852165910 +1591097990 +883086563 +385163803 +479578639 +138367830 +2057250004 +1831887569 +1321830170 +1086540067 +4845732 +1591039105 +1239849040 +972262220 +1506095608 +440951453 +769551328 +1212657804 +230238574 +34148862 +1168378552 +592314759 +738831013 +879258404 +1975848898 +1232113457 +1603753817 +737717032 +604975713 +845578303 +1111646128 +309657976 +289192645 +1994732691 +694821779 +768771284 +2133100522 +604588136 +453175205 +1307447044 +1691128203 +458020937 +751002502 +783493596 +1430283158 +109614462 +1224445049 +52350838 +1322272267 +1454683623 +86499701 +343167171 +2046998382 +825330714 +1222425575 +1875363632 +2057444172 +678695745 +465597017 +514936237 +1524274048 +1577243145 +824594213 +1813466693 +1424492188 +1519415993 +434754330 +1410109062 +2124004129 +887929535 +570072459 +1667648684 +1345950473 +1321074961 +303658632 +628749983 +1430689423 +1528103681 +681100821 +605478042 +835303656 +767600522 +948645214 +734818390 +1592931237 +23587141 +462698375 +1502891761 +702282886 +928295392 +2017827998 +79073286 +358054889 +694938564 +1892539980 +1782547077 +66870909 +179810662 +1045172492 +43391390 +1067740197 +1615244951 +1711040074 +266207022 +788836264 +2014698707 +894957005 +72042039 +1395318740 +1576057827 +677520082 +83138749 +196174701 +1626165296 +817957139 +1789105938 +1649752437 +1280655514 +1144514051 +204551676 +61467258 +1014858402 +283624962 +419522147 +1709796966 +28681294 +54585577 +1776667875 +208491956 +1099758069 +1820059265 +1276232154 +567519372 +1383615691 +1542439176 +1356355636 +1250830750 +289912534 +1428397675 +498665843 +1865970361 +2105917757 +581804592 +2062145062 +1584599405 +1399761731 +1703767353 +1086868195 +532933598 +700797756 +1291419871 +594400856 +1715656158 +1575044833 +1013923004 +1277969476 +1603726128 +1068508581 +907153703 +1812218084 +20783002 +579729320 +940966590 +588302374 +1963345012 +335922119 +1944658010 +1066692114 +625834653 +1225572037 +1565357957 +344321366 +1184006147 +2147162549 +258982780 +621121904 +1399440633 +1962750133 +1707990099 +1932374231 +516064242 +851926322 +379291439 +84236752 +279487508 +1393214443 +1362206229 +1883213636 +314239376 +121876284 +1547948072 +335022378 +701605605 +341431015 +923324752 +517466969 +677353134 +720499114 +1584159083 +1303187787 +1946071152 +1002033393 +1647509153 +982593651 +1001712294 +1906491933 +1603715555 +253669279 +1721758419 +1164222007 +38559862 +90339013 +2016148329 +417851302 +174575765 +148152189 +1811065745 +1536781994 +2031365825 +2125305122 +1658658279 +1431830250 +312843852 +212780236 +1773261265 +1236168605 +730247205 +303130751 +1956667719 +166922640 +1606318538 +1755255223 +1168956033 +1106344043 +590365226 +23184680 +865352328 +46597134 +276853959 +439627099 +1210819141 +315413822 +529966112 +1079483822 +733265124 +704541878 +1227636012 +396847221 +93840224 +1111518189 +374668695 +1752498503 +395864791 +687512548 +1965278739 +21642408 +1923681153 +548042296 +324773159 +1732865224 +714964937 +1931091697 +1340636800 +1883920970 +889952092 +1931002026 +1907105650 +1755304421 +1977599160 +36475962 +47447872 +1040934653 +351889784 +577413985 +2120418476 +1085154908 +1281955863 +1200570840 +1482002129 +1375796087 +164605381 +1856670825 +980810943 +560470173 +396699725 +798606034 +582112581 +172897230 +1346648331 +906885741 +1905762454 +2061613268 +690493790 +1098915606 +1798050590 +1580445883 +882433985 +1557672593 +1188266656 +712549497 +1594148555 +1235714528 +1753484151 +1946038339 +1813128513 +1726418979 +883709599 +947600728 +779506171 +218228080 +175913168 +944111552 +2074898905 +1156724111 +1504581725 +324114982 +1955330145 +2086694307 +497012212 +1154494828 +846096400 +255291019 +1068624448 +1536590190 +1354206625 +719191391 +969552425 +89156962 +129380336 +10335433 +801706460 +1723528891 +1246049962 +407706963 +1522083582 +911694827 +2134125942 +258309533 +1859295556 +766148465 +476537613 +2035208724 +1710260017 +403952871 +1044449187 +1067358095 +728067853 +852295684 +1006568754 +1225080066 +2006790513 +1852665154 +1480371085 +927931313 +1241771696 +687094062 +1647122704 +63840474 +776251025 +1776503040 +74175907 +1577957485 +1352548283 +1320225869 +1985664448 +727148217 +84437049 +1972306742 +985457750 +1943732605 +590971559 +1461995364 +1831457681 +153747928 +1865948235 +728423220 +1221106023 +446532440 +1580718904 +80191129 +1671612506 +1440025769 +1932856283 +1004499943 +220473435 +1027144332 +1691594006 +1867596139 +1090984806 +320361383 +1496615532 +1165160713 +1898318868 +701680167 +337902935 +1736499668 +1428828385 +422339984 +1561322762 +266802487 +218588941 +4810673 +1728797851 +2050046622 +158558601 +1447262438 +630986194 +1379664625 +1893794879 +64221450 +1459855754 +1417923737 +1504247220 +1245228390 +274940033 +1724720655 +124889074 +1966534039 +1444833146 +1215873880 +139411774 +793965030 +233550945 +2037730642 +1495645198 +571453880 +1626746662 +776989935 +993793864 +1040585776 +1043792422 +1212382805 +1045396449 +625106626 +1114945779 +1203955050 +2072369064 +1745931973 +436136027 +1818680295 +1810153424 +1895991782 +1089120385 +1166916996 +993736524 +1364060418 +744154003 +1118625598 +1183110809 +41503501 +187015830 +1322522583 +835468532 +420566775 +1212769577 +183630082 +992020656 +692032591 +960620017 +1985814520 +1732618367 +2004412439 +1050713678 +630531168 +482035417 +18175809 +1834486218 +406920834 +1764107783 +123138598 +78117481 +1426777559 +2019130380 +1167237866 +446210907 +865383256 +383814636 +1190364910 +1984008854 +1566925445 +1231868411 +23541036 +741964380 +2067336943 +444107811 +1954733957 +103483377 +1436128467 +499282900 +1064103394 +1274459340 +84417619 +921032186 +177689370 +714948787 +1403067603 +195865179 +401951358 +1809988437 +1959972962 +525089956 +1888105919 +1239266873 +396736688 +907860137 +1685477780 +1262119944 +1291674774 +728359042 +1098645150 +711116571 +1960227454 +1122186186 +1453080952 +1880080749 +1566293997 +1260331261 +1983564127 +854938817 +1759614162 +900183873 +2129398157 +1844031781 +1821216059 +159603879 +411496921 +1076800015 +355469058 +813448279 +739304804 +167958373 +1338538235 +479927075 +1407225246 +1735274923 +1387787213 +945219379 +849911219 +531978339 +1673578421 +1948556369 +1243094910 +1486322227 +923258907 +548692214 +1218919329 +342069256 +1809023476 +1054999808 +1197008073 +1421153990 +1955183681 +1178922582 +1117702123 +1628916093 +1338526461 +1529199044 +558232460 +1693995520 +195163675 +1297537264 +1861953893 +1533701910 +1777464340 +1121695491 +1121493185 +1017767905 +2066914870 +1971404404 +1549746244 +1593009644 +1772477125 +645357506 +931848223 +548252384 +1194049721 +3283904 +890321641 +855589549 +1058283712 +2087329714 +129259891 +865983746 +1118768649 +1246962014 +347416191 +309811462 +628677411 +905648651 +2003806982 +823841086 +55702267 +1718277227 +210059349 +1833166607 +692489071 +1331552534 +703450864 +611920293 +1155473291 +105713460 +57446289 +780466768 +751070967 +989294513 +1328719153 +1945120688 +992578417 +71557146 +653226589 +2050862130 +11403212 +782486480 +769362228 +1130171861 +2029448494 +1116778419 +1439983324 +510642257 +2022427070 +1296306658 +1334483344 +2078129337 +867100238 +1544542693 +1763812297 +1559589309 +728611579 +319779513 +24025954 +1884084870 +425492974 +81472244 +517067991 +1176563941 +1070766757 +1845787144 +974200981 +2063345174 +1917344290 +1627427570 +1966723656 +1928747502 +262430402 +588602236 +911435716 +144395248 +1705380655 +203935392 +655037506 +1580324077 +1500242050 +1989520850 +1510969767 +219858640 +1386579895 +1127298416 +1779447949 +2115191474 +1447077929 +1803473904 +1851792697 +1872570903 +1884946148 +221377040 +901651196 +808229257 +2067164184 +1875852177 +724090783 +1837024826 +1355796099 +543330792 +1618288680 +1618226501 +1131933028 +382240748 +1762621750 +689830036 +586176140 +270175608 +122670465 +2086418191 +112212810 +1633640232 +158793183 +1498792705 +613455000 +1938241133 +1466500531 +2060532930 +1594231389 +1170809580 +1785620185 +1331693889 +1392186620 +539787734 +2139923146 +1311867156 +268156263 +716530281 +1001408334 +1623952363 +1259861073 +472213367 +1094695216 +244310454 +854454115 +709833318 +934140490 +1440630256 +980008926 +1056810955 +1379564799 +1092221736 +542967540 +1538357982 +443530793 +1156422540 +1329115467 +1910031325 +1069471822 +775863208 +933357257 +707608360 +2107557097 +178060230 +1247396094 +2099996595 +1489927386 +1515552357 +669043229 +343852073 +992021072 +1928904302 +816065440 +2086716289 +25731108 +1670519555 +649065959 +959871598 +963666163 +1629074886 +2016682554 +195747314 +573812974 +412166446 +1734105297 +1017343768 +1568588986 +915737116 +779891445 +490577161 +1691600325 +1713248702 +1198185521 +1651673774 +1891308932 +298097967 +1604186722 +1233752671 +1813650324 +125746303 +1577604744 +658187749 +2054650605 +246186536 +597420390 +2080381714 +1916706091 +1246486349 +892769664 +732888607 +728077587 +761968570 +928635921 +1301890562 +1174135016 +515257570 +171750682 +595240355 +1430994687 +951642127 +1085817516 +975111364 +517407181 +136519389 +479301490 +261232466 +434617356 +2083488212 +1494985137 +100784032 +61750867 +925106233 +758971781 +2116401473 +1171292769 +1356392171 +2049299539 +940515212 +455394873 +794585555 +1673403819 +1183472460 +1556554126 +454556093 +337879374 +583205494 +969813663 +509630056 +1178445849 +253324702 +1461272183 +116779717 +1228436066 +1978679365 +253299106 +1707737557 +92428183 +687916462 +1643742121 +1587413320 +788700495 +1705492989 +365035905 +1547672276 +1674410814 +1536328674 +756580800 +1576226705 +329360238 +1211975673 +223328612 +2002764058 +247964485 +1779882738 +309836503 +585843860 +215604585 +1279650166 +1095473916 +1394050434 +1532974869 +409262452 +1510830152 +613927287 +240458169 +1764129258 +174181196 +332886352 +304562073 +1817923318 +1920299672 +1093262568 +1375932659 +137851929 +493451196 +902859825 +1674180603 +1250031996 +331602882 +2003540841 +314524021 +554931494 +1858821251 +562488507 +187330585 +21174106 +1148332367 +402935170 +1300824273 +96322635 +1796985604 +686315494 +505585087 +1160332108 +1300242781 +746043256 +776977719 +1474423978 +1078929608 +1081539792 +1144863648 +851745632 +27318712 +373312659 +989597561 +520769908 +1276172484 +516294516 +1770801905 +1607775366 +372351710 +2085325926 +15223212 +83689313 +500330785 +202553797 +104863420 +1648663152 +605488967 +1405687693 +1744985788 +254990924 +2092003187 +103087227 +1415323032 +1244762320 +849130484 +44817103 +571702650 +1928060092 +1126356895 +1716566298 +632322077 +1153675607 +2089878957 +1621919638 +1674445516 +1218567793 +2138214155 +1297763773 +678859511 +363082217 +1235606051 +694082724 +446771530 +1735936837 +896636521 +551634950 +1237116341 +1502125489 +1957322643 +834618481 +1757116413 +1901842182 +937705709 +1024955797 +999120855 +1786836193 +1069772901 +1570823505 +1567412637 +48646148 +1139906156 +52251066 +1202321756 +1082301465 +1674170705 +729283624 +153385611 +1664901212 +2027047397 +832245122 +2027983429 +1115169800 +1526327846 +327271311 +703622989 +275480720 +878906262 +1940739331 +1777606209 +688745257 +627874164 +1387238974 +443103792 +1565579873 +264711123 +1442224647 +1204932418 +1334484024 +865564504 +624861408 +1383130173 +2005470660 +677112474 +437968281 +940288478 +203799531 +1167251905 +1093674089 +1868700743 +1046815654 +1925919211 +1749200524 +14501806 +1304763410 +2076471836 +718124796 +1580244130 +807894450 +511380479 +1210366691 +1496639707 +1139254643 +450122017 +1939743499 +557350869 +714833140 +1234484498 +1762283287 +2049317165 +2100049003 +239661047 +1284963690 +1958036015 +916773522 +1722931971 +750840845 +1120573053 +742700228 +1844514934 +841790149 +1789515882 +1622950498 +443507025 +1804017688 +780230260 +372495213 +374658836 +212990742 +1180389663 +886039315 +1423357433 +529545723 +2025293959 +1873479450 +321805574 +435161180 +440828942 +1556290073 +49960819 +342662459 +1508855428 +289621867 +1627626149 +1319407795 +1206395389 +1203074472 +2070248641 +179484794 +1945774700 +1767279927 +1021274943 +1587806934 +1242746777 +1464781969 +1244340975 +2022977037 +1837277182 +1618999811 +88484131 +870183198 +357555479 +1511841564 +1399728921 +235365790 +1237837366 +1721534495 +670526970 +1678666309 +1130340920 +720487789 +2021328768 +491712700 +1010109656 +1501471270 +1811120496 +69021397 +557062094 +1733885489 +248506192 +355353147 +1353681768 +1269781135 +1943160081 +448944898 +587079456 +1040017408 +324438287 +276872991 +511533572 +412922419 +1147056189 +869089051 +1924763983 +399301462 +1104454841 +1015117702 +2120835957 +1774981811 +546300363 +1103693230 +347985952 +420145483 +1595405930 +1358095609 +1921616753 +1259042778 +1427117006 +331195200 +845444619 +1675623198 +686548347 +51642740 +797920686 +482224780 +500587638 +1385000142 +1522242189 +825025925 +1661873133 +2033775761 +1237948344 +661445674 +755381164 +1015228680 +1060747136 +1859836005 +2030346382 +1034099446 +1487334168 +429163097 +2137792676 +1835320120 +849308580 +1585714958 +1045932081 +623441686 +697274089 +325565440 +954636886 +1542718708 +2001188638 +1641185233 +1594361448 +651625676 +2123410013 +2094949086 +2036625819 +1498168554 +772491364 +1551015304 +1384460667 +2010439708 +64977331 +2139841831 +878184740 +1125724467 +1852194188 +761047474 +12340265 +1192044708 +1190210571 +2649293 +879881181 +2039519152 +1588364252 +1925813262 +515477190 +138154693 +103895054 +1470114076 +1680873401 +2105083693 +963815661 +1127751202 +609225721 +939742026 +1075216640 +498367892 +290426933 +1847708004 +2049383197 +1674887600 +1710664065 +2114360528 +1667245784 +441365157 +1092601347 +1371956324 +1202412632 +1104941613 +416517385 +245139555 +1107590906 +1296398566 +137175059 +548471510 +1074728180 +652652249 +686626203 +1178623235 +2122766325 +220015957 +1136223280 +939098338 +1347767159 +1745449001 +1878840365 +275500151 +96333246 +21783650 +2123208156 +2145716443 +1696671250 +1686388573 +2112593323 +1216433386 +2127753730 +1057711022 +440906063 +1182682714 +15168987 +857423448 +1427822270 +1122759894 +6338366 +1564997329 +1671231404 +1081066546 +70165931 +210373960 +112206133 +45448608 +430389917 +1248429413 +984546947 +1778157076 +846394767 +715903664 +2053657227 +942728013 +737687314 +2029381735 +940960808 +286874916 +1568286660 +906070483 +1503308303 +1548556743 +1963781505 +1944214366 +583755809 +1978950493 +654154166 +2011578079 +954226739 +660492532 +1429091761 +477974495 +1741559078 +1499257692 +688348455 +1853765212 +1544706300 +1118738372 +954710977 +381769599 +749411800 +1801105744 +1097673263 +655585380 +596350109 +1835360577 +537483467 +1537310917 +2122235494 +2105770128 +295897752 +1478060149 +1506843223 +112195610 +1274790867 +2090599032 +2091146103 +1928945033 +1954693464 +897889194 +441953917 +1236301577 +1375863689 +36029347 +588075621 +2064212145 +1889794559 +2132781921 +1035466869 +697021889 +367067873 +1784878670 +350643985 +1464741136 +292980402 +946994095 +1152618066 +830463869 +336821364 +1127369912 +788750349 +632719117 +457946413 +148109924 +744914727 +1732737280 +91225309 +688577182 +1514198665 +2045918773 +1586466376 +1956152582 +1134736702 +814846417 +1992181929 +1722812323 +731574914 +1734492841 +1708110596 +1767041784 +284031082 +2075178469 +1404436806 +634675067 +1392435958 +1697417208 +1581669162 +397570376 +380397429 +1918490527 +1524940288 +1169147779 +403725996 +1982886701 +1317257703 +1148640723 +1568140333 +1408483012 +1837217905 +934855350 +1306918137 +1276200633 +743524284 +294171191 +2091047050 +588222565 +2016983514 +675138317 +175231758 +1577610463 +294696453 +459262840 +1505305284 +1699133259 +1093937908 +750257594 +1249066819 +528123422 +1147827970 +1629464248 +299130301 +525284610 +651128379 +702856297 +360687663 +1968386083 +1851497020 +1928827996 +1229385447 +1541231277 +716199698 +388819937 +669948262 +1459723982 +682991128 +613511665 +2047946548 +552490995 +1288649982 +75694658 +2130101458 +1583346435 +534957499 +1487923094 +1134996046 +1628895407 +90697041 +236579217 +9535181 +1238525011 +1866043465 +308665483 +1763809622 +369688197 +1011521780 +2124497285 +190590632 +715535153 +1905841634 +1419976079 +109282782 +474557684 +1808796016 +779231045 +1934281667 +344303497 +1392742710 +1834744567 +896794492 +533909044 +1910439225 +879412302 +2117255479 +297913076 +219851748 +1104767877 +1926808483 +310548789 +1341347094 +1936343665 +1549073801 +1059906911 +97525500 +1165399775 +1429595108 +1109047280 +1142413412 +1620185740 +1824582433 +900771398 +892678172 +1933865216 +1375329083 +553990540 +565612613 +1162127102 +898294037 +1958355323 +849388021 +1795088529 +344780719 +612343598 +527017183 +314552550 +910256675 +746868932 +1419320427 +689581510 +1057417721 +613183873 +478441527 +459007874 +1673090784 +575967027 +1624407649 +955202245 +1685014308 +619337414 +427904337 +1362113093 +1520108812 +1320582509 +1148494661 +747954247 +1874573050 +1714107274 +1910081349 +625383439 +1524978949 +611985722 +272988321 +1869759668 +1224329321 +800005504 +36828570 +2134585996 +1546874436 +1456148997 +676683858 +456808510 +2069332870 +1155125386 +915816384 +1594940007 +1731092413 +392740386 +402658604 +1268623073 +1012077800 +830562941 +483252519 +384702964 +3661803 +1631747180 +1132657212 +1878234853 +1198370807 +895254913 +356134644 +575866108 +1507240636 +629122965 +298142129 +584086309 +1429128470 +334970699 +571188657 +828519258 +1791119697 +1247872515 +1285327768 +1712968919 +255514253 +53660505 +1160425278 +1986606667 +446400891 +1563083882 +1107746092 +1458478691 +246163176 +1590998611 +1843181655 +249824979 +1075262144 +828355219 +2128059832 +126149303 +1723610133 +336710828 +702015411 +1083367121 +965833794 +1000157540 +1667453430 +247478616 +1335128240 +91158439 +1075997874 +978764289 +1339030954 +213841995 +544249560 +1594545208 +267502500 +1704674839 +1433668227 +713903391 +1120275073 +393930671 +24898434 +1366438249 +1984929283 +1868080089 +1616263228 +912707779 +548951661 +1596839412 +1038857082 +125078146 +1933550241 +1740872493 +1208445267 +751900387 +593546386 +728415049 +999379003 +1928674626 +819573488 +2075376877 +759955267 +11120794 +141735224 +1304204827 +1605666002 +409237724 +861396018 +891850581 +1123141115 +1981671092 +1285781253 +1148039549 +1200625693 +1123226888 +868635991 +669405274 +2035934667 +1417587652 +118761038 +927308101 +1542665798 +2052311279 +520696946 +603627417 +656728018 +1114243332 +1332042466 +1656107021 +895434310 +4132306 +1584000251 +1655389577 +15253100 +1725735475 +812110757 +1620919103 +2134973200 +1673506775 +365286036 +1110630667 +1507694219 +1651067289 +111186569 +560836265 +626810529 +979822560 +1230241539 +515261548 +249926564 +1349002577 +1442569649 +1792592362 +1253830209 +1963266596 +248736131 +1910558227 +930026280 +1580778597 +1419181601 +1825460591 +1584910903 +855698204 +1333366520 +1600164003 +433950031 +2145477277 +1073599458 +421439583 +1671500405 +1438885495 +1532070251 +1031710976 +942469136 +1643256820 +1592547241 +1569279666 +475595732 +675305132 +2084541214 +725522296 +2024307710 +1379627216 +370631010 +1130654271 +1195410164 +619367141 +893728850 +2125436444 +52662090 +165426803 +1803413387 +1637572993 +1021125007 +989296260 +1090253348 +1455075039 +987289889 +16369159 +1876514622 +511306646 +1455254654 +1261101225 +1543017623 +250240142 +756874397 +988081216 +1819519808 +1232470129 +1663386349 +1756577375 +1957992425 +1540210411 +988720943 +181139787 +523381034 +36647459 +800506928 +1417109884 +14600255 +853169018 +1582536688 +1818013643 +343258363 +456178047 +659826255 +1433511712 +1911253086 +1647116144 +1449880871 +1640284061 +10939143 +757651877 +753901638 +1553956766 +1007892019 +1510776036 +394554334 +679928180 +595762517 +2057940683 +289021907 +406271295 +1450667446 +1277742850 +587411082 +1974048480 +1314390309 +1387918011 +1243674717 +1328990564 +93603381 +678727757 +999520559 +436861745 +1134905804 +1659346814 +1870373457 +898675243 +1158979311 +1172770680 +391475656 +1169918454 +1930422557 +1145377294 +576391572 +790830928 +508669682 +970945906 +1470759108 +1104432200 +881402942 +1759781015 +1510703495 +184586740 +890040217 +2098114577 +11151573 +56946878 +1338548940 +1254826290 +1385937443 +1432152322 +1933554047 +237974354 +1869014067 +920976203 +1897321169 +1591903876 +1819651446 +908816832 +617190908 +63643454 +2078735286 +400129817 +1209020749 +507643210 +1190960745 +1717690431 +1478589116 +514236206 +674638983 +212508410 +126533573 +37858830 +397095151 +1016573791 +2135973408 +408246724 +1073520669 +1327038700 +1663073014 +311974464 +611707374 +1449143413 +549948819 +333237793 +222635968 +299786340 +1925141669 +2042287415 +1208603172 +394848929 +2105930869 +1139854810 +794978746 +1167467970 +1647498020 +1985939492 +737674754 +978603488 +352692050 +1412313737 +1191111899 +479225623 +1450172568 +1588207050 +1495799414 +1438662328 +1996453774 +421836436 +618217380 +1512043140 +733810900 +1229924755 +813702905 +1283759719 +1563162548 +1036338873 +1583546059 +1340820570 +931142640 +644665583 +1735669499 +889589862 +1784520393 +383164598 +2057057832 +1284534765 +221620442 +647248938 +115654606 +574312492 +2059562676 +1306766505 +1053538115 +1362251596 +747489907 +401853882 +653430276 +596460033 +823690318 +1271647656 +2108503173 +1557501218 +354088763 +774722430 +693777290 +1917251312 +1811061303 +129839701 +1110588234 +594720296 +774505285 +698774085 +1484310158 +411542030 +1081938683 +1393884342 +1696076796 +1303559125 +2041133281 +1811731402 +1877871617 +1953212309 +971014259 +783926085 +1167980257 +1718504166 +1185779967 +1821410533 +167480551 +2009470285 +945574541 +128500076 +1419487855 +1299663305 +903222506 +2113265145 +1069430969 +566800161 +95621199 +32535555 +1161520457 +870126484 +731309640 +498346967 +1281668514 +1813248324 +1892231310 +830261662 +969323801 +1785880943 +494509416 +699711771 +1591609604 +1465523675 +1483637856 +612106213 +1036544193 +521934175 +286033098 +1204024744 +383920812 +1231607639 +1332524820 +1803408667 +383787296 +88263678 +1769190165 +1453218265 +655063840 +1864811364 +1485753820 +1816584297 +587454200 +69579813 +167447617 +1869122714 +1882828137 +2059678927 +551900729 +704668290 +1698076222 +1046410145 +1404380061 +1142202178 +364450173 +740534269 +1754308391 +1400994366 +1262468444 +2040341489 +457535463 +1646389256 +1124465480 +1790060283 +1302314276 +1508252777 +1878323962 +924020793 +813987394 +385904154 +641348509 +152257567 +55004803 +1228802709 +221837380 +222452420 +950441775 +2104665517 +134647699 +1502342504 +661850159 +1832723921 +401269002 +2066230221 +827442451 +765719175 +659280842 +434267194 +19229893 +1921749287 +327125035 +476765356 +1420654895 +1451590516 +119341992 +575485523 +812359645 +1997665954 +1499506316 +1626347039 +236086460 +2140854825 +1778604606 +291091263 +1222173886 +2000441986 +513543684 +25132014 +1957623855 +648191383 +1527474518 +471990367 +333431657 +1928743520 +390736940 +1160874108 +546979047 +1050017782 +1595141303 +566208941 +824283421 +1922266338 +1042974297 +97454669 +1226373206 +1162316289 +672940192 +2038732851 +1012498595 +24962861 +1517596243 +1248585055 +18334038 +1148717201 +1539676319 +1240507925 +1001675540 +2053220003 +1265639939 +811815747 +553927738 +645630809 +1283806114 +887359395 +426890682 +1674543054 +2048233504 +973869729 +577077189 +1495891159 +1540078670 +1401360610 +1270673849 +435569320 +1498815279 +349563408 +1597885609 +24271824 +240812611 +462900557 +49234685 +1758408854 +1711485612 +67568723 +759642408 +1103678283 +1308076648 +1761317948 +1009414638 +426232939 +425650047 +1563342377 +1071863749 +1709456162 +303218124 +1498754431 +1236515568 +203967980 +325140512 +1813592757 +1699859139 +1865219183 +1067469720 +823049341 +153304855 +418801351 +1172612749 +1751190464 +443073175 +1413425360 +66607373 +492307860 +1024350567 +1778092986 +559876584 +1783992975 +734287621 +1867953232 +1397827275 +1743702260 +146702524 +1823477322 +1159560989 +1218566273 +1385449836 +1462779113 +569837056 +474481757 +1666747094 +894977568 +140590866 +1219122585 +612713103 +1208060586 +2042171926 +766017958 +1626861938 +1067301027 +369724775 +2069935113 +333242740 +436332148 +414759326 +1357593307 +66941486 +974635910 +994102634 +801229108 +695105494 +244446261 +397447720 +841808018 +2067923583 +1557008709 +2060374291 +1305889772 +872304174 +482727699 +1780371529 +391567620 +1377705268 +1920962395 +1610690206 +1990418371 +981539334 +1505378484 +608952682 +460917624 +425195864 +978677457 +383369089 +758438604 +1415009605 +798128415 +2116031911 +1481951092 +1772764325 +962650897 +135696552 +320386172 +1207097158 +533144272 +1162194190 +1127537093 +2090152981 +1075084834 +285943217 +814973507 +1557812533 +2066314746 +1206541128 +788034153 +1839793494 +669747686 +630968877 +673849180 +27642522 +1239921559 +1134766804 +452838386 +71115368 +1518135893 +1211276990 +1486124973 +168780661 +1179825253 +820592417 +1941544986 +2142476150 +956288969 +114447510 +1202089660 +1489433241 +1276641701 +182143106 +1432102574 +204242887 +468086323 +99592434 +1762055420 +386917422 +1306133562 +402605926 +79227268 +1975881248 +1033574803 +753076448 +2003523770 +126012714 +1887843252 +308878509 +197128082 +1258495497 +1520155499 +1683253055 +1427276158 +552497105 +356361825 +1221337497 +547489607 +1312650794 +1335785007 +1749579268 +654600388 +464943060 +1931722374 +2086702962 +669185947 +252325049 +38811748 +283757720 +639242471 +1344945310 +686363646 +718469739 +1173342910 +1719938449 +1471546187 +1029383033 +1845951163 +1211905791 +1338261542 +2043079245 +322917641 +710933393 +1578848652 +1750193799 +1263430498 +1935210477 +824047648 +1810920106 +1100377624 +12349008 +1413015726 +1754978012 +477292068 +1197254452 +1694197326 +1146478016 +1449579501 +1733009075 +1430235736 +2088821973 +930470737 +2116599382 +659808064 +2103813648 +1689054183 +2131354252 +985713033 +1387521698 +1195776395 +176490927 +1283117295 +1518694036 +887424320 +714482299 +1121404188 +3371171 +502209129 +1945451836 +1814291277 +1602586753 +1957800844 +1079823355 +1210081117 +287609265 +129594159 +756794795 +1434087281 +1579173660 +342320222 +716839369 +1520511985 +1272790960 +685955103 +32836402 +1229120960 +227525638 +16707006 +67350345 +1615047336 +1212483401 +243841272 +750680983 +583693790 +1131265592 +1465163282 +1705097978 +1134636763 +1967372411 +1503066166 +801444392 +1422475516 +1313383363 +1881267747 +485072985 +1600992628 +2010861906 +1241867781 +887596261 +1442551919 +1584188003 +1604435630 +815580256 +709495315 +142907085 +848416658 +1938616275 +370432723 +865123664 +2005966620 +1985480059 +2077607066 +102324244 +588677394 +513817208 +1233589837 +2053840676 +71431538 +220742952 +1873729440 +1574497704 +1022187345 +1148721308 +740397419 +755971444 +1633794294 +193906399 +619349703 +728178427 +1081502660 +2061901622 +164882782 +538454642 +729998230 +874378098 +681361727 +1578414889 +665510725 +1051794450 +296054905 +523993698 +889790861 +226178323 +626317942 +1478468255 +739995531 +1859907779 +1384825284 +811427069 +2080650732 +1111071076 +238441126 +955354429 +112308736 +978838545 +1711325873 +1746103030 +1172744945 +183191928 +326797809 +106763957 +97609902 +491680592 +645218600 +827608133 +1366058690 +1326580327 +258539374 +2031569415 +230891130 +554594279 +408079465 +1120681991 +780772603 +1034397408 +451666599 +1520768134 +746821539 +1836491883 +184711556 +679988623 +800079311 +423152682 +1635343052 +912388047 +1401991227 +1199185278 +511007430 +427252524 +1382377206 +837805239 +534016482 +1479987109 +1329485831 +1179235082 +160111594 +548060873 +358331761 +418650968 +432146641 +589222891 +973245247 +840226106 +1709904883 +1754017850 +1874623514 +14087834 +1127302337 +473961406 +1850579717 +1312013893 +1153950029 +503175380 +1735166575 +641809434 +1415563427 +989674154 +1840994712 +1926570857 +1416926679 +1075888270 +616892449 +1950943161 +408391731 +1946378280 +982694595 +568503325 +346955506 +1341026356 +987154293 +779102147 +1930249248 +1960399541 +1619328253 +1492670483 +1566933743 +1346468120 +1506758317 +546752432 +1820429526 +1209854386 +1858766325 +826895907 +1713029766 +1446449252 +1468705341 +981109545 +288639759 +1162216405 +760196755 +1705566438 +90621028 +1377089204 +1509025951 +499012759 +1175983836 +344236898 +1067516085 +1522939342 +1685263254 +2054670378 +154557841 +1468028854 +1867586271 +1773886095 +813215689 +1287036367 +972870567 +172490358 +1833788799 +645816445 +1382344744 +1545071477 +1472712352 +947890862 +844037081 +793934046 +1929000408 +1132676840 +1956150451 +541713515 +690759630 +2046771479 +1918802719 +52301933 +398300591 +947302907 +396538831 +1465816676 +322758602 +2081802086 +1373003406 +477316443 +1402347292 +1093106030 +103718890 +68079334 +232658749 +1076589457 +240569692 +2066447548 +1722405902 +1622914437 +1464035377 +1047634607 +423321651 +160588811 +1841568653 +204838411 +1293265651 +1650235456 +746551926 +1984025282 +1549523288 +517870997 +2036327215 +1947823879 +1465173905 +285382399 +1266156907 +1787932507 +219700837 +491676665 +117765302 +1622048129 +1584782695 +221484193 +1690127463 +1817441444 +1298073650 +1930697156 +1736405345 +872995905 +1406127945 +1052957074 +1920630512 +1829449596 +1213545885 +1614715517 +2034288008 +359327889 +1117467325 +633356286 +195869523 +519506965 +1151227284 +84713090 +319847196 +468917541 +370095489 +1586004103 +109366400 +589796326 +2077680769 +227131702 +64360808 +1514979816 +448615895 +1754488271 +1184937613 +1746689546 +1537701779 +773859310 +472201803 +796346076 +1826816384 +245348667 +478312025 +892878622 +1860064184 +365116385 +1252206511 +830047861 +998472671 +1448076034 +1349554827 +2216307 +1532789124 +1669402023 +471133848 +1902884614 +1107922479 +580500248 +345197292 +1038119600 +807631951 +409558100 +405615768 +1256247846 +16562724 +1590553381 +855453744 +1554264503 +216929043 +1327655547 +203126932 +2043745428 +1573004214 +681438957 +789140402 +1285584750 +1046555342 +2041346913 +2115632612 +2045028013 +1341939299 +1317703791 +2047244321 +727244775 +839622166 +370894521 +482645741 +1947544645 +951394770 +827843034 +838180597 +1759026721 +1237401134 +1243796366 +867790919 +1253963858 +686866099 +1723244664 +660744714 +903795143 +903416563 +863871646 +800056923 +328937130 +1545310603 +1589197325 +1614521880 +444382297 +1483060590 +1582670844 +341926662 +677516241 +752890987 +241687335 +1404761016 +1592513154 +612581857 +1887406758 +1392574151 +1563976627 +567766144 +83271101 +1175519700 +1805167278 +1327067467 +2043310619 +911647489 +2013933566 +1619071635 +1572392203 +770245061 +375004551 +288780201 +1570301984 +703941681 +1834090804 +1012015661 +170979913 +130989453 +347592603 +1753650758 +472916115 +1025108844 +359058097 +714603451 +282386213 +1951571251 +1327185308 +22309323 +1196661755 +743678287 +590075467 +1279932856 +1919197987 +247759097 +459516675 +1815024958 +1159406586 +325966593 +1286612946 +584315141 +1096211655 +1661617497 +873095342 +519029991 +218075530 +559702498 +1531045653 +389055443 +690691951 +1878638256 +2142706201 +1163608067 +756263453 +354280651 +1878211518 +1038649666 +158368254 +1057913178 +1060958989 +1355030009 +1801591465 +1651034456 +487479217 +1573305804 +1898793553 +946995892 +1240847114 +910716492 +1272962486 +379976412 +1495031633 +221690493 +2041593909 +220643328 +740720484 +112185791 +780345826 +124282489 +501241235 +1471037778 +2002920746 +496463788 +487162197 +611700551 +850744439 +217890067 +1650350217 +1009112694 +1275803245 +563825558 +216659055 +929911062 +67376366 +704138273 +355733218 +1966169919 +1651134165 +1596580332 +729402763 +776613003 +1976556745 +76950749 +998303496 +1870667006 +297594077 +1739023981 +1982852798 +1077939903 +1863306470 +336610385 +401494033 +1718743568 +833074173 +888656230 +182960471 +1683818613 +1106546297 +1833310688 +545447659 +234865894 +249652598 +762106714 +1164776956 +317028964 +1466244987 +1520510174 +135715236 +969895505 +969606859 +865117999 +1746508508 +798679956 +942068748 +597328357 +521863314 +1239662825 +188868690 +357232464 +170119081 +2052175160 +693842849 +571613114 +1623435081 +1526917023 +1460269345 +1806395552 +1063251988 +419331994 +1492222593 +1608699647 +654197889 +1741875191 +223322713 +1818974845 +2058904156 +1689567701 +1192001372 +47135744 +511979558 +14124583 +912253743 +111004418 +812804539 +1854322492 +708332775 +1334667853 +946501669 +897201465 +1691900318 +1116620750 +801892978 +238259519 +1688233865 +277844411 +1765176542 +1001019562 +2084239963 +680944882 +1420351556 +1428978908 +142160881 +2074549445 +1023370452 +365483595 +1746040643 +934790960 +2055051296 +790558367 +981926704 +419547206 +804682950 +1894180447 +530551624 +1617487489 +1601019291 +1238884400 +804671694 +400037313 +2136085865 +349088364 +1516658063 +790495195 +587347884 +1057408280 +1068339606 +205040778 +2058427842 +1005095922 +885985661 +1331295751 +286591182 +1028146542 +1258361548 +1309961634 +1393630137 +856918543 +97268946 +1301197785 +1647476910 +1079195650 +1720744991 +304676212 +825892450 +103812968 +1922163701 +279428093 +1342697368 +579351748 +679465406 +1331299585 +928440112 +48639822 +2121794781 +1515787996 +1106048102 +1042650739 +1720828775 +1016992297 +2047746661 +459330788 +200804400 +186854196 +1487477330 +1459165948 +1496815830 +733623820 +168600844 +1594084777 +2034821605 +1816077754 +525796779 +1608082949 +2120753967 +1351689229 +1711895917 +1895434020 +1631117323 +907109637 +327302120 +163099081 +90925574 +1255742233 +211738903 +65236707 +624046581 +1317787006 +1107887447 +197391708 +187295655 +1008150460 +656722496 +388100055 +1195004656 +2144199827 +1847266003 +544336839 +730339999 +2015866847 +2138421616 +617677956 +1684460954 +516734747 +78277257 +1657731273 +1868423977 +1790173174 +1405681645 +1352057652 +549799163 +1732983766 +1515156733 +640724738 +841242351 +1726895637 +705961445 +1465288932 +897198995 +1813848892 +1662680641 +1084494650 +674515705 +171919489 +1472594705 +1869520361 +168635668 +1172377060 +266373552 +898975667 +1040760260 +257311520 +1516653624 +577737566 +774046268 +1594930881 +87985191 +494986597 +1237620408 +1493666836 +1847044249 +1787419571 +1079166954 +1214717334 +280660661 +1920409305 +794129323 +986622107 +1238214590 +1691328318 +652987351 +753411583 +628339320 +1327503056 +925331072 +2100934025 +1049539770 +1093966741 +1125827438 +1315913322 +1992942408 +19104050 +1573224843 +1362112384 +596841616 +199787463 +809559618 +684826807 +694774060 +2047180026 +31009995 +394334661 +1687115949 +1110176950 +1609051995 +1967776611 +883102607 +255697671 +806915070 +2121317197 +1947025989 +1459902421 +727245132 +427881662 +639921830 +1652576205 +381332039 +1689461600 +599059298 +1507159477 +857891274 +444518058 +1526263527 +283632469 +1806630443 +2123105143 +483419932 +468706413 +660448302 +1178193992 +368402791 +691458298 +1572528653 +2055518740 +1801635248 +1034097001 +1875811703 +537254207 +1289794672 +535243125 +511087757 +1089337013 +1995145547 +1238332889 +1517218675 +487583729 +743425446 +1898550715 +29561681 +1342484744 +1258226544 +887452955 +1787002803 +637006424 +1171085425 +1446149598 +612627919 +1654505357 +1914856011 +1273076222 +685215702 +135775154 +1964534520 +110260707 +43810246 +1618686120 +1144357708 +1919621950 +8456679 +286668732 +307381427 +519544436 +1376005746 +155043326 +1757877326 +745740773 +642627055 +353819124 +496807840 +672188736 +1696303869 +1755034385 +1559641692 +1335823024 +244557161 +583243469 +634488974 +857185080 +90265178 +401861337 +2130261302 +775480880 +537636491 +1947312174 +885741588 +581446737 +1418514646 +2030099296 +353585039 +1426971326 +169284381 +660966467 +1946515762 +1545290127 +816009793 +1556909440 +143547252 +1458636849 +1910728565 +640355093 +2130825585 +1459548786 +247905830 +1542983629 +647888162 +492462991 +2126227098 +1282377136 +1349648071 +69008629 +1684238473 +1332425726 +844489509 +74391316 +1132254252 +1730231097 +655838053 +403285251 +1612846746 +1009423093 +1830256577 +1782131127 +1670389560 +1629288691 +1179937606 +338915705 +1038714484 +1323484858 +1797552554 +801959401 +1963839951 +1780894492 +114024539 +64262133 +1176394473 +761912701 +556725124 +1155137924 +2044289837 +1906373196 +1224146553 +1581044662 +1091315274 +2068636062 +1655435978 +76085878 +1651383512 +163790383 +479371129 +1116746610 +1173213476 +162144058 +751394089 +696119388 +1791432750 +1931331695 +1035035094 +682663586 +1107332905 +685104000 +1484622987 +923689209 +318514844 +1598647526 +987951342 +1494909318 +213076579 +1544676467 +502563594 +109882768 +1303566015 +1726710147 +1690927430 +247397641 +1647862561 +1198879760 +323483519 +1151762425 +1362670143 +802854649 +121025387 +388399972 +964998707 +872419476 +1084519360 +608947809 +656267523 +2119554454 +1291611395 +1763600429 +657174807 +628750734 +539805990 +975689651 +79914612 +1527757332 +323115321 +292991191 +924950151 +825678915 +402873959 +81032518 +404905414 +2093801389 +328430159 +2052767976 +1145197501 +651913679 +1057046753 +360383997 +1454768328 +1178072141 +748783969 +272283387 +2050491617 +1833303329 +881231197 +559275493 +1805374136 +25358944 +175392274 +315065295 +654109679 +715198264 +1290754946 +734024291 +95471948 +1613870268 +1027015483 +1020422100 +292065535 +1429889442 +1101454618 +696970950 +1376207184 +1429884778 +602255278 +373921037 +2081798457 +1659302031 +734305034 +1389083137 +689890524 +1483089003 +1661366524 +592898494 +1168908685 +395114073 +1152173987 +826799173 +420473018 +1327566261 +1141864468 +1074582697 +2042764525 +285135766 +1808606988 +2138236473 +1899006034 +688138823 +1011174925 +43587922 +2118028266 +2112629544 +740558872 +1346751802 +1395030674 +1342814150 +1720672839 +1329345483 +854632533 +307494226 +570944972 +1544523058 +1790583229 +84827848 +2137421552 +812008266 +479941922 +1142111891 +1638807439 +900414940 +322194504 +633188259 +1974997637 +217475381 +918324026 +1636120977 +208228206 +669846412 +176776153 +1219403132 +713434334 +147320771 +1184549028 +1453993206 +1494072573 +432096054 +649323708 +1067261764 +1761441537 +1503956242 +1374755990 +184902861 +900995652 +1017855572 +269730709 +890933556 +1829863838 +749672631 +2033045447 +1321187630 +1650087571 +207756303 +1954375889 +1477601560 +425231684 +725216267 +966238890 +633459890 +1395062680 +1143015043 +1852863022 +2108497014 +1290335814 +889928402 +1415006573 +636924739 +1322024456 +2064330281 +1704186503 +935982345 +1420802875 +931458846 +1120885206 +174314879 +1949314418 +1390615916 +1065248435 +1631694608 +2140288547 +950810234 +805398590 +1642892471 +1158566537 +612290832 +973010383 +1583798221 +1337507099 +1939249273 +69774464 +585086131 +934780668 +1922637486 +546099498 +77632834 +665082241 +1961106071 +714557573 +1987106697 +1877952704 +271260429 +775605395 +1151271932 +1202719275 +1896490601 +1325586811 +1004550045 +1139622869 +243351599 +488761005 +1132427769 +1194161833 +1294159596 +627836592 +205244723 +1906450428 +1600846975 +1789042944 +1096473879 +1392612601 +1858817408 +1681560011 +179909621 +1633971247 +80175861 +257542456 +151569840 +2041281932 +972100029 +2138676537 +1771750988 +1243360458 +766798284 +775539272 +298596085 +515805238 +2101126084 +1303146130 +1655428107 +196994035 +1791907136 +640372228 +1391155868 +938583084 +1268208820 +1596400591 +697549864 +721572148 +1237959888 +1794023743 +2114184749 +949293648 +1328100106 +146610722 +435781247 +1408275967 +404153178 +587351087 +1302074251 +1376253208 +578543977 +926341592 +472130018 +1345342261 +1701880864 +770726104 +1861147499 +1655523300 +2073872234 +1369091959 +1852517335 +1718295722 +2009464187 +1096189556 +509395158 +1130189360 +545106499 +1206945022 +1851761508 +1783066387 +853485118 +1818462609 +584876388 +34101576 +1965073331 +1020657635 +1442377544 +221742862 +1608008723 +596968147 +1597996070 +39069052 +1523309739 +2070126088 +1384411313 +1077706956 +693368544 +1098075165 +585746608 +619757131 +319683476 +290780296 +190569205 +181664015 +1386969852 +699964364 +1311853375 +1932076351 +1906909386 +1016131235 +1567659091 +612910856 +687110196 +5051831 +647012433 +504699880 +1025709466 +2089389977 +726442742 +486234541 +538874476 +176955164 +525303593 +2062184216 +99597604 +1909714907 +992407524 +792966149 +860306424 +1578154132 +1412723280 +1179989900 +1868934428 +1603292485 +1361653915 +1108420632 +155773201 +526023643 +893013336 +2062682588 +1542154878 +313188779 +528109796 +81781427 +318240610 +1175122229 +586481307 +1343950076 +1117028558 +1312924049 +1830184618 +1655903035 +1489879213 +208004563 +1570603603 +1589476817 +2117719470 +415527479 +234959318 +830542246 +1993681611 +1647682598 +2010532146 +1715132392 +1103491436 +1224702414 +676069376 +1259264637 +1750726057 +1569082712 +1174463577 +1145397287 +1882271491 +1702573374 +1227178714 +53028453 +730211955 +1813660021 +1396978530 +1847240514 +979100422 +1079679500 +1355659901 +321495987 +1287684063 +778779856 +1910972805 +1257919886 +1194307335 +2145932123 +2088462132 +1040505298 +1646131074 +1951510631 +608154042 +602138862 +1028729397 +1284223419 +1861403499 +631971806 +705822483 +888383429 +1777369093 +440610327 +443473155 +857064160 +493638780 +1173685110 +523240533 +1890617310 +873441976 +1502340956 +822813162 +81618229 +1823836943 +2110497226 +860398085 +1587326100 +1220933464 +2054705420 +1585774576 +1161911948 +947727071 +1084422002 +965938931 +1555881113 +1686560864 +1994668328 +692620884 +1400480715 +479156486 +1398443368 +141380496 +109041932 +1839053695 +584853651 +966106092 +185208827 +1758538762 +1489346625 +2075826138 +484497090 +844203933 +751155652 +566115320 +520557229 +714169230 +1426513405 +2107883329 +1935102694 +1333735178 +1546174257 +949530995 +133978601 +483112611 +1915469926 +1689859714 +22189827 +1762654607 +234996951 +1422670543 +94327445 +1633440319 +1564051039 +203369377 +1325010366 +1421043 +1169475469 +1510219193 +1759959805 +511338447 +1438561683 +96973247 +1355542380 +42233688 +663088567 +1876099609 +756402918 +2089601973 +1836499291 +544021965 +1275853503 +1235189900 +1493552960 +1409832104 +1718302512 +1261539238 +952208170 +1740492339 +876710197 +1187205121 +1015679234 +971037643 +673161792 +432246626 +1174407020 +1998172158 +433667669 +196398842 +1360907704 +46143826 +707737289 +651985739 +143117073 +2063279669 +694219427 +806205641 +1791895631 +1450622346 +748323966 +1480911274 +1994644311 +2024177469 +568617526 +1340713623 +1286525925 +139436390 +454769213 +91250447 +1879928730 +1331479411 +1278455569 +748124316 +155033406 +1951617361 +1180370942 +1329440426 +1802305872 +1614038611 +1525839268 +1015729928 +1660182437 +86092909 +1667715667 +1803299511 +1888931 +214451447 +462021504 +1793784562 +1665073793 +1210345470 +1127212188 +1512234456 +1087039291 +1695829714 +705464431 +226081568 +1835266105 +1160233644 +317332015 +1567711187 +344229407 +1595787584 +168351855 +499262813 +1399921298 +1348722798 +1828703240 +1054743522 +815277761 +1207058860 +2070473450 +327976551 +1293151770 +1590705469 +2131276062 +1295040701 +1805156916 +445813918 +941341615 +1322747061 +1656159388 +2068553803 +687497869 +595715031 +1616899869 +1392962300 +821796599 +1304682326 +405712297 +1139128614 +724909865 +749941704 +587432551 +893261721 +1249204518 +1987353849 +94500871 +930424110 +894613723 +909778632 +2137482970 +817603525 +1237755183 +1283151092 +260825346 +1221547597 +430708145 +2065982263 +1667361515 +1372049760 +1241245676 +1176037255 +1293119915 +1928743546 +1771752286 +762536137 +1174222198 +446065237 +2067218463 +1579934495 +1585193852 +644644681 +182392552 +25142755 +1537906402 +1431597070 +2012496604 +1632407273 +214537532 +759626679 +394702257 +204536854 +1577230204 +1632457441 +1487687947 +1838055550 +706521390 +1918396092 +1756554165 +226399258 +1142962205 +850316194 +1402436513 +288598472 +631576092 +1026705152 +1051134609 +1805798290 +1472770389 +970869425 +1238249138 +910480593 +1615514106 +1420641690 +935623348 +1005936860 +704755112 +800636304 +490860485 +919292644 +1560262983 +885562742 +1123829498 +990009539 +370536535 +464033797 +680581442 +1077057926 +234946242 +289651959 +1303457184 +1377908447 +1139968153 +558410049 +1666506919 +1771544245 +1585115201 +570157881 +1429858888 +910401943 +1541027306 +520624378 +1820882536 +1009057764 +1941266068 +609022237 +2014994624 +498537532 +1409658541 +358371461 +1417830176 +822437877 +1243934203 +394176026 +1812447416 +1614470739 +858209824 +345545210 +544045017 +1093156066 +635197170 +1847502201 +323580865 +1775165323 +258428602 +1990087784 +1399225921 +1843543804 +412762017 +681601161 +606462099 +1953789323 +1202225539 +279860987 +815363439 +996007959 +888883224 +682874415 +1494545491 +151058118 +1041245876 +764892019 +973495995 +137696432 +1159068045 +638459763 +1752167171 +2017277869 +984004974 +148728540 +962950287 +1619202144 +1996230741 +1286531152 +1246883819 +107175695 +1129135289 +498626092 +1950719499 +1541897306 +1180227253 +409697950 +1348202982 +234969144 +689558938 +16082773 +1230977103 +1578442162 +698957189 +578038946 +1729500280 +1740203065 +1342930965 +555512627 +1877899497 +354515363 +1193972391 +1482583020 +224309584 +30493717 +1631311560 +1187259872 +1649695861 +1480058653 +326307376 +749096032 +1587234349 +1455442665 +1247722125 +1390470200 +849856324 +280465730 +1800168151 +50575658 +515434875 +342243441 +66658431 +1746411978 +1920685603 +765615620 +176967277 +1502702236 +358335038 +1519898242 +2058214863 +88750887 +1874413605 +1104703606 +1571333908 +2098723190 +1135197323 +1055161820 +1138499414 +637409536 +387736826 +1464806790 +1386505569 +1974971175 +772765808 +486744046 +1217957727 +1622622132 +767209776 +870642230 +1673197790 +1282644651 +1212885671 +1739856221 +881572982 +986087627 +357988194 +1058540259 +341306215 +716323232 +430954853 +252037430 +805074119 +157884811 +1356741037 +228924379 +109124353 +344454712 +1284086200 +1247623767 +981864249 +1671823026 +564946909 +220886170 +1499310553 +1337712717 +707630216 +569784632 +812851201 +1474839992 +1440426863 +338565343 +610000996 +505828886 +2078421565 +1491573978 +1491916513 +288926111 +402630589 +1833222728 +1005249343 +833585442 +2085260159 +1810323462 +991470253 +1294517548 +2039247842 +1100594606 +1638972260 +1175850394 +200734725 +473352861 +700189772 +765681635 +694239031 +52016677 +2103394352 +1401869247 +621801309 +768761906 +729225592 +2062228172 +1107327249 +1339226588 +420573411 +1038265166 +683316918 +1912489924 +1327191277 +1085947507 +1598229005 +184956972 +1919532949 +1536005516 +1995280435 +763519555 +683039416 +1887044629 +1864114161 +174528028 +915411375 +2064848887 +647880890 +1615601147 +683046874 +1342119921 +1667617824 +638957578 +596505521 +141935485 +1407719484 +1325731113 +56680010 +367563086 +517474053 +477253421 +1405828252 +1200790971 +242259697 +585535882 +139254830 +1840488702 +770492854 +2058787779 +1229010570 +618289641 +674823686 +1912049986 +357850622 +391454200 +2086578015 +1273261997 +308819439 +586975257 +741379496 +991866313 +1929095178 +261513672 +1630823891 +378117051 +403449158 +891059728 +1703848164 +460129168 +1258622814 +73838569 +937382589 +516967418 +1274629540 +1179642286 +1102503300 +1413884370 +872647341 +1872996155 +1325188502 +2101657911 +343802148 +2000012188 +1866224250 +701652771 +243982740 +1805318617 +1974914768 +552802179 +244810226 +568810617 +1544668492 +26421756 +830324289 +1028008736 +404538808 +1233773447 +1919068464 +2108386972 +1693902615 +1030207630 +34741894 +483801556 +1547175048 +1309371434 +1663443843 +502194701 +575772157 +388607536 +227707208 +1900960659 +342781799 +571509356 +1753489199 +61522401 +1273162127 +1997471940 +1866841018 +1100593248 +402790471 +2111651244 +1669403865 +1947458964 +2138073001 +352244506 +827984052 +395128161 +1586017954 +599568868 +356031485 +1132436921 +1629776498 +390773379 +1616238478 +1029467898 +1700144814 +1132198673 +1531662599 +128433323 +1520806209 +1759369807 +2029393982 +1863588008 +183395516 +1635399533 +1925110410 +1456557643 +1485387825 +1644467780 +409667243 +1888178297 +1608635377 +2079071108 +1688153613 +1599224730 +283831967 +368654017 +1994352891 +1869849921 +968222885 +202900728 +854803194 +450515735 +593674108 +323558024 +1479983633 +146335274 +1455756697 +864162585 +274768597 +829079258 +476048744 +156678931 +545183619 +659444260 +1792078464 +322810381 +2116001904 +1129982642 +1967278161 +378185499 +870677291 +1428429890 +309772960 +411347256 +880170972 +593604927 +780001273 +727040215 +315971200 +1748224158 +929940944 +1170774394 +51256245 +1523615052 +1494332419 +1531239878 +1669950326 +802605468 +247918815 +1944718923 +1631684727 +723967560 +2101397854 +29384698 +1383411820 +1745992670 +352195079 +1351930076 +728491664 +171989592 +1730115576 +1599168955 +1600419483 +2039888536 +2010516211 +333106807 +486009815 +643033836 +1060147023 +801981015 +243774346 +1990087967 +1972755409 +295030591 +1366219371 +1319604180 +1826270470 +888686049 +2122209649 +2074189285 +685921324 +1606410728 +650673197 +639835530 +1635795426 +2034085018 +238344552 +1987990505 +1238531446 +966836217 +12496449 +821163374 +418521524 +1612915932 +713568262 +281554088 +1946022740 +1199578077 +924587924 +858686115 +2001559092 +1168362271 +701290434 +1826830854 +1463392862 +2067509805 +998951386 +1142179684 +808712206 +973677387 +1068885322 +1494633530 +432604467 +1719558519 +2134469060 +2068399893 +1606159889 +225329964 +1908906750 +697207688 +1192166181 +1921403200 +1518371062 +1610687706 +1386835484 +84455677 +1892241794 +1185374576 +1284033754 +669346070 +2044060691 +1138109199 +1837708341 +597867477 +817456405 +1153617556 +517893634 +1816407791 +148313592 +1326605840 +642601531 +1217198914 +673755722 +1075205998 +789273786 +660741134 +996122244 +247950027 +886071099 +757545346 +945157715 +2078237280 +531464898 +316045130 +1541441338 +1918300383 +400500807 +1286199484 +956191311 +1684534561 +1955545555 +852768355 +675160112 +1645770248 +1450635832 +1492616517 +651904156 +1968529467 +1161540661 +800217749 +1147651659 +1804142192 +2017416663 +1821407382 +731864542 +659206801 +334664868 +1727986786 +907156829 +1220735967 +338048485 +1852314544 +1151489600 +869513383 +20876026 +545447290 +640330118 +421376833 +1831646775 +1596521430 +2105911395 +1639708682 +301806137 +633587859 +1137995282 +1752441969 +2126204377 +1789899439 +1573487788 +1140261390 +442633540 +573655800 +796919934 +312566555 +247579534 +1528784476 +971773357 +582244402 +1109287615 +1878930186 +1802980370 +1447336100 +1583761082 +806986322 +169365835 +1604637109 +1352433612 +809695954 +2026013942 +1036596739 +258733736 +1984441689 +528821773 +560539873 +470545901 +1666817056 +165498194 +449266630 +1309232847 +1738985983 +1589528020 +1751866387 +165158135 +238964306 +2064432942 +412737669 +1767748782 +888722651 +994982071 +729552749 +620169189 +650478793 +29405201 +56446624 +1457465115 +198771037 +1661083733 +662415080 +1008466991 +1539614027 +1699011819 +1267200727 +1376572069 +80349945 +1827740600 +1847117970 +1747167001 +1993238794 +148900952 +908916200 +1584741129 +1738428972 +513298939 +1749899264 +1977393278 +430248233 +15153285 +1597658412 +1318970885 +1010135357 +179727514 +1939140074 +1660614150 +209132715 +1995586698 +970595618 +407903752 +1509186783 +1633010698 +1416370743 +901317163 +1184538869 +536087822 +130405584 +1264888814 +216344774 +1977523554 +864572167 +62099921 +2126424506 +1773488367 +1646841050 +1717369830 +139303658 +1249256667 +1547279460 +569551892 +1264409952 +997454224 +1888522777 +127061661 +1177181738 +1680179203 +1787675812 +1386314454 +1528282254 +610787782 +1794218206 +889985389 +96314832 +1063105302 +1791302552 +1280853701 +1599193124 +1921708136 +398258868 +1815537899 +1751748042 +1262831035 +1877637820 +1730688900 +888835755 +1376995222 +1300575082 +1028139413 +478768241 +700370894 +1597691305 +1743178194 +1697825119 +1338730434 +1870239855 +727523209 +871425990 +1510432019 +2113837663 +252224596 +2121219801 +1760572222 +1142209985 +70050985 +676193876 +786028890 +1350904687 +127903352 +560253378 +1749163555 +1943441251 +164517773 +864510942 +1673595423 +1895206673 +1753346697 +903106998 +1048298108 +634002463 +1381875239 +1748669002 +84210120 +977569785 +1299010473 +1422940555 +700325993 +2026533683 +146882897 +63274364 +1992887698 +399107493 +37010518 +1605976272 +1541317478 +107061503 +134686500 +179862720 +1457966190 +262589853 +740116099 +1059646097 +58547456 +904633872 +1924157040 +1732142880 +652356897 +1530020089 +487766230 +1700655005 +16538904 +1869641469 +1301840360 +100749025 +699727607 +453367185 +1523689580 +1400053600 +332417220 +1670572477 +1463327964 +177821271 +2069679970 +1500338482 +1783797543 +1463513800 +1607399986 +1918484044 +1643376521 +917882528 +33590249 +236008972 +1977528626 +92137705 +1140642844 +1754202018 +1824280585 +1792999741 +1136738459 +164563167 +1346171099 +1153277364 +2034204637 +500527811 +1254026389 +586448596 +953894996 +630232321 +1986502196 +1286312217 +153321150 +1302346512 +1464133488 +75517472 +655201347 +1100447383 +1539031272 +115117685 +871447779 +1034924145 +1033000213 +905038028 +1270933117 +863045191 +997175734 +264092313 +469763561 +673972671 +2057092055 +1606502021 +838535839 +1255779506 +612295737 +725256828 +1756307317 +1866322126 +1311705424 +562718665 +349070799 +1150723972 +1849030882 +502391949 +305586836 +1165680722 +577909421 +960788183 +118644458 +2116940693 +1075905868 +990092237 +1004381191 +2108906082 +1895130266 +127830660 +824467625 +744822352 +391922974 +1294231187 +1418795023 +301531381 +753249560 +109847214 +1557310887 +1365545297 +835104042 +1166134556 +1084383775 +2146809466 +1728853221 +1433454574 +1150049790 +1430400456 +1935846523 +1455636627 +448597530 +366272296 +268941162 +567241988 +335729341 +1344847031 +1557334226 +1340110532 +1306269465 +1304980844 +1467941193 +2130737090 +2049803196 +1859864167 +1277484629 +1321114571 +13911900 +2030734189 +1430961786 +1571222787 +1248795838 +118582180 +589873695 +185695965 +117907999 +171243268 +1619150539 +1267957789 +1601643724 +1407513414 +576110768 +2050241255 +1773785710 +845051931 +469999595 +2109515052 +42415314 +2027333821 +1302141936 +1348684779 +1184831017 +622599481 +1331938221 +1087150565 +334980000 +461939203 +260781489 +348891900 +345189744 +1691743275 +1920114687 +1593985583 +1810325455 +362504734 +1779681548 +1928233454 +533748003 +1251348440 +1048707596 +2135391727 +511378206 +1624818364 +2038149334 +137680269 +322386647 +360665282 +99711673 +364801961 +240515455 +1401853609 +1713486740 +1425346473 +2024453091 +897941314 +365013390 +211949443 +1359880517 +625794879 +560841344 +1705070261 +170054506 +333472383 +1151572196 +1980379962 +695977118 +783770097 +1761129768 +1229725121 +2035118537 +662353716 +1217633200 +399013095 +139688433 +1108298887 +536693364 +462075080 +1468964169 +636405037 +826877042 +1709479624 +2038258647 +392880134 +987342449 +1915228090 +1290821448 +1352355840 +2127177533 +503218317 +1978150719 +540535229 +60804931 +721578 +874007613 +1212377127 +1981101540 +1569984731 +1996147224 +1594747660 +652226204 +1883782113 +109617729 +1869859404 +135311561 +249306162 +830674643 +672004925 +711381242 +152155164 +1308409963 +1538258284 +1861634789 +1199184962 +1931138419 +701493590 +966929404 +1074476219 +2053849430 +946623289 +1577694537 +1884516502 +1487158519 +1638499468 +1885238080 +213682484 +703392947 +1718855972 +1783667215 +552056524 +1166119984 +288409771 +288354989 +1275737713 +10785527 +423666550 +1525043875 +841460171 +1095671476 +88941470 +993615335 +256597791 +1627199754 +707766476 +1455782753 +1410854525 +1409260067 +275228509 +337847097 +1315625849 +1221851798 +1915541634 +1052658703 +561526669 +1406557454 +790413135 +775209153 +2109950401 +361785459 +411392720 +514523277 +1527905444 +699802491 +802878267 +656159509 +710588019 +1226544817 +33719737 +1552048190 +174732645 +122661207 +398179877 +431330436 +1749860961 +1105946354 +1887113189 +1013231839 +367722773 +14858050 +1351078936 +1683348622 +1236709849 +1119136922 +588523678 +1798236518 +378210728 +1378936813 +425962024 +340677481 +1740722273 +837354744 +855200759 +1121144069 +1537157236 +1658079026 +1777303578 +100261607 +737140195 +1811023315 +1652309797 +911872841 +1933684522 +2050489674 +1343203277 +1536061836 +1008952380 +1082832819 +401810027 +1376675153 +1097690869 +1752888963 +912540128 +186917070 +724542237 +1501063806 +1985153589 +1102752965 +732516971 +263631965 +1443430446 +325755596 +1100986709 +151147557 +1446899665 +490660297 +1809226583 +1076719596 +590921904 +398883131 +740259263 +95748053 +1310755972 +526460138 +2146237728 +506475601 +2062521974 +1007706460 +1589308420 +316848353 +236897966 +539515642 +2069737316 +1149438094 +726432712 +646795905 +503018252 +564102653 +1749548870 +1235535223 +827734618 +1045495668 +1561290820 +1928721328 +1196643226 +860706837 +271897977 +858386161 +1937426433 +862819882 +1257269292 +530202049 +958567935 +420541616 +1056662187 +957322015 +927017218 +971700513 +1965028476 +368841990 +1288548866 +54442794 +908357632 +1210802534 +1203880888 +1634790345 +1857598439 +1706899140 +51409350 +1459663661 +794950715 +879143969 +357675681 +208757887 +660381649 +1554318907 +1069464725 +932279626 +265221421 +859407510 +1795099508 +1522490713 +1389609559 +606183796 +1943032330 +298788098 +1563505811 +722565900 +1270488611 +1381050639 +1091407890 +411553829 +1435493433 +1999765523 +1622356363 +491890673 +1487072220 +1332471154 +51306165 +1538481570 +644651167 +846256881 +270141891 +1002326849 +1055014768 +930523540 +409162108 +2124479493 +1862803167 +674383529 +836403356 +1510419027 +49390595 +78529267 +2116602823 +1992422925 +377317366 +1532624987 +567505177 +1647805977 +766191978 +1658913067 +2059359807 +54201764 +1511194942 +1534232522 +546092437 +850783514 +719220029 +597398603 +241781437 +1363871196 +1443655484 +511923328 +218714397 +351186604 +1442446869 +627876506 +328182450 +1157766388 +1302260035 +1164585806 +520701767 +1351650630 +1243115073 +489820943 +1196589907 +1620432439 +2022445930 +1764095084 +1120754769 +641154260 +1275524504 +1032630928 +695356024 +639235798 +419379802 +1241448462 +1490019313 +1138599831 +1838847065 +1731800750 +354987380 +1135018901 +96240430 +573701777 +1486205505 +1538687299 +1201578283 +1814387955 +548970039 +356354671 +831490113 +1069671807 +1708005301 +2074605187 +1559492750 +757111561 +1547553978 +1434455032 +373722997 +520825099 +2075609292 +1649247501 +1553456027 +623481669 +140999652 +1972835830 +1864930131 +1631018965 +963952013 +1556293548 +1215336067 +1318939393 +543828801 +1311576497 +1892641171 +2030034306 +702780149 +946735806 +1696938614 +1251750188 +1303090477 +380945079 +173938347 +863612131 +308066618 +1733431097 +1620723692 +1855620597 +1020402481 +1994446689 +228962048 +948528126 +1496210543 +1782418076 +1572009795 +1637210195 +1607770258 +1289456278 +1120745512 +424238623 +698266178 +188597931 +1743178017 +1242094979 +1500174428 +1488335540 +1124645637 +55470929 +287587698 +674100603 +1307221118 +1590678176 +1055045683 +1481159465 +306806659 +1363112301 +1067106915 +1927530351 +1071249250 +2087509396 +1774493392 +1300211299 +888553874 +1123220287 +935145727 +313080021 +612946834 +395432337 +1602536299 +1733692346 +819670960 +153318829 +1922290277 +415365329 +1395413808 +1274981058 +1903700869 +372575798 +1330451987 +43804920 +1046676401 +490189457 +1634483096 +2101722084 +1971348923 +1941289755 +1317350738 +890972190 +1721336458 +241116340 +830997938 +1348346202 +1541327639 +1719551813 +324082842 +328989718 +2032631834 +937029676 +724422055 +1487684486 +523238375 +1544093016 +1641003315 +298045004 +1959458345 +888933476 +1573026062 +1715675567 +1261509274 +755994402 +1759480487 +160702027 +1246183859 +1246479935 +114940464 +1070049134 +1040286042 +1432291202 +1961021324 +614138852 +1673407542 +644535615 +1962485054 +1067251534 +216603780 +139084248 +1396241252 +101751966 +1076113925 +2120663308 +1589436452 +1599352300 +1517272676 +1082956120 +1897397304 +1329247373 +1971889596 +1322939719 +897439292 +1085915222 +2078934121 +509436131 +1246617249 +1177634332 +1755916066 +1361557713 +100199819 +648718460 +646365267 +2061221143 +1262857312 +172289162 +558273110 +1077858719 +1239540696 +774876890 +1216942967 +488298300 +876628857 +145573244 +461477960 +318581661 +1744925544 +1978750636 +1401537781 +1494839201 +1160514362 +1225943729 +670295272 +2057953654 +164375303 +601745745 +419906138 +1410992553 +1779380077 +28338556 +625066618 +1879579896 +677057017 +1271431886 +1793317392 +1939914329 +1443721048 +204106854 +870289400 +535778096 +978983745 +2087232368 +1024076396 +1855612602 +85321964 +1485554357 +26710615 +1830247509 +1316821345 +1428248397 +1177603062 +329852059 +506708478 +1847898334 +240322066 +671083782 +302160431 +660228204 +2082076335 +2081540508 +688566760 +559659305 +1813636757 +1365623777 +1831091191 +1459470501 +1158054459 +1127328591 +1663577355 +2028343859 +1663106687 +495077452 +1968092579 +539699436 +203206406 +2053414544 +2025253793 +229917022 +1736178405 +1194591490 +1658165419 +766297819 +1524443550 +17390249 +466712505 +1764765616 +688474031 +768872936 +277510172 +623066718 +702929796 +966076932 +1182726024 +369082905 +184217062 +866333567 +1828553406 +1342271521 +1993662159 +1344647114 +1223131732 +1509285198 +1839724566 +1043740664 +2048984634 +2042930973 +949671560 +1926754779 +125364347 +538366317 +973862622 +1783529766 +1304664136 +350822524 +1800920015 +1771376641 +2115588140 +341910399 +392765929 +245614664 +964977117 +1095695725 +1211691596 +219493 +1464778631 +1395908658 +866553061 +1145848389 +590696531 +712731572 +343011855 +1813828264 +74533122 +35252774 +710085280 +2123517757 +2078183747 +1659756840 +1902788888 +56064446 +50639509 +729167862 +1839594212 +1355303645 +1079990386 +1493030579 +979196638 +1048094878 +1834940978 +1371962567 +1293709542 +652434448 +320174644 +357917491 +652653941 +1784953275 +1753826149 +1519207002 +783318017 +197039033 +84454926 +1126329872 +2010867297 +158988049 +1161582646 +573468929 +135022158 +1092282745 +85742121 +2037811046 +1148347191 +136381630 +619495261 +840457755 +1491685275 +1699485647 +186004687 +323398265 +600096878 +2020945665 +1695360832 +1893806420 +525896465 +2015535476 +104240263 +1178550407 +1653005104 +1858066413 +550273761 +288839473 +2055105446 +634728688 +1415169345 +1918489095 +793716737 +429268344 +344474376 +928738895 +1521551089 +430216497 +819066293 +522414633 +566598127 +1438561554 +1362872388 +2058283402 +990563554 +1548877075 +234198019 +1590660432 +1422339093 +1929558851 +1336983204 +1948235558 +1797610679 +1441223468 +979302317 +1303132135 +1151806233 +1529576079 +1591971608 +1059428031 +16821119 +859657306 +830433478 +810537856 +1288925650 +1174907854 +1739276751 +662993091 +1605124351 +410859396 +1185407724 +24238830 +1849420951 +400796465 +2082522232 +692500857 +1949673540 +169236603 +135677641 +1224528985 +2098795454 +1472660845 +1025280896 +1748922485 +766400665 +2004583213 +904570973 +1918206898 +1386675644 +349058933 +830151281 +1403496763 +1208716239 +1660584759 +66550971 +350158241 +688008965 +1805827722 +1013151333 +145649668 +69203471 +51075409 +169888498 +1918624422 +451871874 +104927082 +463641631 +254061767 +274163685 +599319272 +1478590752 +225475491 +2071980117 +356388000 +1974397977 +690897135 +213487566 +731485302 +461620385 +1600163210 +1080544235 +1291771667 +856176326 +141776827 +804872778 +922727297 +491935068 +1492881744 +581071372 +1505086401 +1638531412 +650274843 +1556161811 +1808419911 +421415617 +2008033685 +1913346993 +885057248 +114611804 +40027031 +1484376520 +1593202557 +265502522 +1408872989 +1949590557 +92416851 +2099770124 +15594475 +823902153 +413906862 +1615757686 +1904446389 +1705678529 +324450364 +2046223216 +363067659 +1247177661 +390674636 +1855949403 +1828249033 +1895761038 +1346997168 +331040228 +1304439201 +1007933431 +752455845 +1164989238 +773796776 +1637513093 +1279601043 +813823807 +974405965 +725319952 +1079326330 +235795307 +527426861 +1171743181 +188081783 +543021337 +1995645335 +601988645 +11295375 +1752608076 +160183526 +335745739 +1651347644 +523251186 +1582923400 +2042022280 +231716941 +1263688786 +1790299670 +1578714109 +1594729014 +947255223 +439163892 +199701212 +2112244462 +1212960669 +1837214305 +1244361857 +2026784476 +664136623 +1969681809 +958627158 +899931930 +349625022 +2130370340 +1088013713 +892646359 +1978532027 +1690002359 +903941734 +1583656455 +1850185885 +1239687473 +1087520451 +225953423 +675127226 +982059083 +457670365 +1938816012 +624875106 +2036384474 +1386061378 +1572130329 +328064719 +1585762590 +1536891143 +1541025388 +1275493248 +633769352 +1420326216 +1939629871 +455967513 +231469727 +692078153 +805592536 +214356419 +1780091866 +1698238895 +45404798 +1322610577 +454696982 +1629061253 +1025312815 +1694384455 +569098056 +1251266238 +222028033 +1551157139 +1708936603 +13360397 +28548597 +1597837430 +1399421776 +1600678927 +1925902149 +837700718 +990086422 +1319443889 +2113193966 +1623855775 +592286457 +1905340189 +2079823288 +823756184 +449934694 +737932176 +1038112603 +82542913 +288687424 +1083517401 +1405153490 +743384406 +565095006 +282982657 +290285213 +1134193062 +1534248896 +512313247 +537866554 +1095701851 +525673644 +566415151 +546055633 +1925095420 +19610430 +324474134 +615312491 +1009696853 +1643918023 +581022809 +486068980 +88720833 +338879351 +418408620 +912477017 +788814045 +1156340797 +1950589621 +871356958 +1445028221 +886623374 +129026801 +40928979 +1451718381 +412009458 +331214192 +438427795 +1946258354 +843527439 +976294349 +894476558 +1369201084 +1542709501 +1440532191 +1146812856 +1562319931 +1765006326 +1762125347 +424533136 +1261440701 +195664509 +910602116 +1350161534 +534543860 +1329010737 +115154904 +1323357905 +337867886 +2065744525 +47231216 +1782896107 +804884251 +176258017 +1823825086 +109118984 +588267475 +7555630 +547546780 +387042182 +851083070 +1523841129 +1281518740 +72800506 +919066982 +574567283 +1219613362 +333903266 +192089961 +834255062 +758436402 +1453530663 +1029919571 +1669038519 +656208549 +1564463431 +850565608 +771363453 +740337688 +1188433494 +689624330 +787568904 +823845953 +1494508582 +963826921 +500187391 +1603627566 +1552094397 +507743021 +3690698 +1939136579 +1358826091 +1527531828 +1073171671 +1431626597 +299115162 +1647738954 +503756312 +633018428 +1839828916 +1338011374 +1391454831 +1145875931 +220447297 +913009702 +1802084480 +1784910728 +1763575310 +425964286 +377764768 +804525156 +1115588616 +1165333673 +1628371109 +462613550 +2129160594 +2128558500 +2066241117 +1533771343 +488817873 +2069931815 +1325424274 +1847643965 +1449979995 +251112297 +1131786914 +1749095158 +1898851252 +1635543226 +234629938 +1591196520 +826070952 +1626084769 +589588803 +1046518249 +391610823 +244189635 +683945329 +7702485 +670153921 +1061710098 +812227641 +1785742538 +79560123 +293115102 +100872440 +61237069 +274189954 +19629909 +1595008413 +763007828 +2089561725 +772949039 +463168145 +1392058072 +1024061337 +1594955059 +993669582 +775428941 +1083014638 +1228299521 +219141813 +1909085590 +706900642 +808730616 +808120192 +1098511466 +1052920251 +1492065521 +1106213951 +1723074173 +406291971 +1918441593 +1361333063 +485852094 +64073047 +1462205503 +547089164 +338263002 +1481835413 +2142097577 +1101270830 +1423913490 +767562968 +1564438975 +668487914 +1791624305 +1011910386 +1662157497 +419569598 +2094925024 +742973370 +638711411 +1856526967 +1449874012 +1447442027 +517163511 +400901830 +352878631 +2009229032 +1507115782 +2075952804 +268037356 +1278073727 +1289802219 +753889450 +1342146774 +604524074 +1300978614 +1680409776 +2086359487 +1295592543 +634196958 +1362789329 +2063155512 +51152285 +2031277244 +1707296169 +1063062672 +1545951093 +2126865768 +1010504048 +141440815 +618093531 +719547367 +1591314827 +2065535559 +1236710878 +1992216658 +270930542 +1098456263 +1351848792 +199399698 +1366493619 +482438871 +1489201917 +2120383069 +1824585645 +2093725991 +1273878036 +1357511774 +2032601831 +421986931 +1991708732 +1247907512 +337658795 +2042861018 +1131701108 +2044954965 +958440042 +530168553 +2024337085 +1968944090 +671609368 +494946968 +541007810 +115440548 +412998879 +1777718688 +2107657206 +683929421 +728691303 +1312022350 +883329119 +2095184922 +1794461221 +225047388 +2068084344 +1471563218 +171289732 +1194478732 +681591344 +56407915 +1616465663 +525816429 +1304315427 +1954124459 +421193799 +288532888 +1851595776 +1379633841 +818701441 +1728449213 +1201094283 +1490310810 +75912533 +1742102093 +1605751358 +488911413 +1372337134 +1565924916 +1172840834 +2101028437 +730463618 +2056169954 +2048729712 +377441191 +133733694 +1969330408 +1849004409 +305023426 +1016325492 +383112106 +361431341 +485307507 +908928535 +1665746769 +291948318 +1330122334 +1954279657 +2143544094 +562272527 +625497450 +1724509659 +1763366810 +2115808260 +1800422193 +1357985256 +1574075970 +141849958 +582838742 +992517238 +1314690792 +536383531 +1722980856 +1223377098 +437629595 +2100422047 +1357110793 +259476355 +1801942809 +1662134219 +1275801847 +37571267 +2023565561 +1761109355 +946499802 +1541828682 +2053057673 +129138488 +1348624691 +2049118120 +691411015 +1974122141 +1626144131 +307294177 +1942446754 +1279082676 +1665279433 +1369039076 +1420932634 +100634527 +214072667 +588139779 +637018059 +1937053523 +1811516877 +1074647654 +1889991923 +1021144022 +1334124010 +1544451084 +535794594 +462442209 +1582022351 +411876507 +76067916 +381038505 +1953705189 +2129125590 +510176993 +1154846232 +2030760062 +1201588008 +981484725 +1509420545 +1508882185 +776447831 +641019574 +1026677971 +2145486908 +2061952208 +1127312498 +212075927 +502608339 +1764330557 +1645802 +166641569 +691494564 +1891637725 +1187785591 +2025618574 +1288605161 +1723580185 +340577135 +723143864 +2135456692 +416645052 +1104182369 +1941678233 +398286994 +1614359362 +949040817 +281563408 +668463722 +1930525543 +1790983953 +29862260 +559489726 +284519879 +1056540231 +557492986 +198988440 +36369081 +769568913 +701596779 +1800699639 +771214716 +868238348 +344710555 +515368793 +2056023940 +222845481 +1803973955 +1632120477 +563422616 +379634171 +1620093522 +980067668 +1483816541 +1414288107 +1378354662 +950692255 +215845277 +1659918070 +1619155978 +2146370820 +1303418376 +1649018238 +558376898 +1587938255 +558074821 +1115869885 +1786926695 +594443902 +1885438798 +341039827 +247659893 +509169866 +1209278175 +592370448 +1024538660 +1117818467 +815215929 +681028967 +602455297 +1378638546 +1060663138 +75065171 +211222566 +396996031 +1489353278 +1589577229 +1347688287 +1705198555 +1102011651 +819360617 +1704085727 +257946379 +320895207 +114978978 +1845884635 +878970028 +1230848863 +1485327682 +1473413930 +968804013 +1826367509 +1721073824 +1477973880 +888162037 +165960624 +355028892 +2005980504 +981176554 +1036057859 +460952153 +212331452 +2096720997 +536017324 +423554018 +346233381 +2025370603 +2013131247 +1693921668 +1583085510 +967659251 +365798637 +1139687590 +1225605630 +686693844 +1254666568 +924006617 +1565663872 +338031783 +261850652 +891594154 +1306835796 +2088218161 +465184330 +637326028 +828896550 +631144955 +992354920 +687393407 +1612321509 +2028412779 +1148345560 +1824652961 +1977650129 +1684362885 +100723331 +176399862 +1562249840 +2113854579 +1870321530 +997851702 +934030182 +88636519 +2137539292 +12152164 +775330363 +1244722212 +936158782 +193510587 +1582753995 +1198009434 +1085104741 +742106144 +1138743947 +1550289072 +1379432172 +1967640498 +33950379 +224303445 +507550257 +1646271888 +105232576 +1655895817 +1323441201 +2082882705 +1192775054 +1424164532 +111798919 +607541246 +1390535463 +1982120449 +1605392949 +177081997 +2070756968 +1595448593 +189234162 +698603683 +692687158 +1125392944 +892114270 +127957505 +175918730 +1977219012 +870063649 +1314662677 +1380024436 +102012174 +1134819527 +1413974815 +326315619 +1642369784 +912763055 +431548195 +1150781954 +88720608 +366947253 +196073360 +1512885140 +478746172 +803614607 +755936956 +313382974 +261523908 +933018953 +236656294 +1856972501 +1122253115 +935259978 +402176011 +100162411 +1827374248 +530133517 +276081141 +1657109612 +1400197166 +1590743819 +889650400 +1502209340 +578079698 +156141567 +1828524959 +72965835 +1068904622 +112589507 +1223747789 +1157625230 +479536760 +1419821149 +523026723 +958282932 +75952108 +1278963679 +1271665906 +337476016 +64498984 +1508322201 +46964870 +1186752100 +296098531 +449140881 +1286914511 +2123472779 +979274398 +1562995653 +1633098744 +231987917 +1006255824 +375265496 +1734197257 +1584335522 +531407064 +1415238569 +1657301357 +1600311686 +1527828076 +733565498 +610453269 +2007364836 +5903000 +1133479992 +818164120 +81855108 +264960023 +2089830027 +419331125 +329459007 +1450668580 +466295995 +1516211107 +1746767111 +915436876 +655641971 +1722756242 +1894711275 +71153976 +1208371338 +2126699192 +1077409800 +1583636835 +1713412801 +514261674 +2115043899 +981167722 +24079384 +1567871937 +361512150 +757644882 +30841558 +221393338 +763547882 +1164321550 +1039557459 +845402991 +1429281573 +981903838 +1264734116 +1758740581 +285088770 +1731030111 +1127468040 +2031855881 +498983339 +1783110011 +1607128475 +246210966 +1854263987 +668016166 +225426510 +784190139 +104169353 +1938839312 +1298451814 +71729604 +772523386 +1322531198 +1639601541 +1134035537 +2080176080 +1670443100 +1355428875 +696240315 +687281002 +247502686 +1541643306 +2116562576 +1229406524 +658893774 +1727819509 +1514495294 +242440237 +707803901 +1398867527 +741423576 +343430265 +858512355 +987634543 +50210604 +1526528521 +1213061053 +834400744 +1630697874 +1004416717 +2132852558 +1702427478 +1776940104 +1307900108 +1194545371 +763491993 +1240592540 +717504823 +2118920868 +1936832855 +1404785826 +218939907 +1330992513 +1373864754 +1448346431 +1989886287 +954200615 +815358078 +84842876 +1662004516 +66741957 +826266453 +2005434781 +925254312 +1813900996 +2055645386 +304299185 +879478401 +742562482 +1934997059 +1883895119 +727931392 +1489940889 +1513351575 +2035831500 +537002613 +129359920 +1128940392 +1254507436 +100797140 +918289600 +511809614 +319737047 +101798465 +1885674368 +1768083479 +2091684753 +692391335 +435957909 +29043981 +206912204 +502699866 +855310434 +64863337 +1427954179 +521727782 +2120508723 +1732253364 +1401206184 +715587557 +1519766776 +1137617655 +1443518949 +862224017 +503485582 +1331866801 +1399226630 +632845502 +313323546 +506250419 +733642642 +1231613146 +1018060033 +1053379690 +1333411611 +756250754 +673979521 +1277612716 +1448642089 +1109937430 +1306656698 +1655554293 +1612637296 +14483484 +1720417631 +893107827 +536211267 +1693442706 +477877544 +1937417451 +261546616 +1997644320 +927551458 +1705065565 +712384689 +1431037040 +889448719 +2111611320 +2063882542 +1202772265 +470378091 +650041536 +286901763 +1488438124 +1703421226 +1620313374 +97205230 +229917099 +750442443 +1545847320 +1339854529 +2057099141 +1053917965 +805008178 +2071582625 +626851948 +1698116005 +460310244 +172811007 +28509901 +250244047 +434357623 +2026154221 +1177795505 +2139423188 +591055263 +461348897 +881388259 +555182935 +377747791 +2084160524 +1025561026 +1027789328 +223578639 +366515502 +583726906 +1843892014 +463720733 +813644006 +446850809 +2009568053 +6014887 +356466302 +916002370 +811023065 +280565279 +1542854319 +361655423 +740875524 +1715665326 +390165324 +991119571 +2539301 +268835898 +21431429 +2141962489 +859891161 +482780326 +875867101 +1415074096 +860528118 +812543977 +293151474 +1888317446 +1036122617 +659666976 +324560704 +732530983 +1123387709 +1138204710 +1179381792 +985472114 +1144219598 +1535848094 +1901474485 +1955242663 +1816413373 +1296845156 +169414438 +409805249 +865026834 +559579763 +1400924821 +867566135 +828415661 +1422356250 +862044976 +1688306822 +1905136576 +1737912077 +955897270 +618181046 +402972407 +1249048744 +359014844 +1439095024 +1908715720 +683575549 +24142359 +884619782 +1821780259 +1203524151 +1870091896 +818516209 +591888597 +1624082733 +626275225 +260818322 +773444241 +795689663 +670623572 +1638471075 +1355269426 +2071548393 +358553562 +36201439 +1346420995 +1220598539 +1724508261 +1104073923 +811026968 +532921883 +1722254970 +1213999375 +1781970627 +2081269814 +505610751 +1543202700 +617361715 +529753110 +280338834 +291658327 +1733277261 +2947082 +1110174536 +177682210 +1627029816 +1736449761 +438500533 +252990409 +384655777 +1109124105 +1891461485 +1739925203 +1033188850 +102531399 +1776126643 +232126197 +1323129938 +1353151256 +1336200120 +2134156907 +1886073140 +910971442 +1200672634 +1520560119 +844757609 +1706283386 +916279171 +1462119324 +88552848 +1196618005 +1753777651 +1821830110 +1199565088 +716468540 +1999512320 +679111256 +305434653 +290529205 +932101665 +690090430 +1399653310 +676079502 +282531986 +285358512 +778610902 +2058658629 +517484709 +2101740840 +1264326237 +1853684830 +2088414099 +1002915729 +617172624 +1141603086 +375992201 +1461930233 +700402824 +1292271372 +776565910 +788955672 +341405730 +382859913 +463302134 +1540970818 +1099328453 +315330807 +72598426 +1404763107 +605860012 +1004700091 +2094853537 +2005513323 +1680779594 +229901875 +143388187 +311906848 +141076856 +660872897 +266164040 +1405403094 +367074079 +207094492 +260835175 +984246703 +1348697578 +636827376 +298693289 +2049100402 +1929098749 +1075259199 +690572426 +123020831 +1458119112 +1153874561 +1663991649 +409963918 +1469205368 +1736590075 +1814727025 +2075065380 +593806518 +1762096914 +1933095055 +127102464 +1991998790 +2076483243 +439009312 +2133075646 +589872492 +705173353 +1390995092 +956946571 +912267845 +1651830268 +1941193274 +113481775 +141173996 +92402915 +15098529 +2070272745 +1167662114 +705670955 +45809928 +478297579 +1859545516 +1709801577 +888261497 +1181267236 +1298908004 +555504874 +1108848969 +1892714523 +170118140 +894460376 +2019816987 +14633282 +823459971 +311342652 +225281 +1413332463 +1016516005 +1391220373 +222795386 +1928783850 +895566993 +16505013 +2042265625 +1036740990 +108907928 +2057364154 +959530087 +1276570043 +615551461 +1005340016 +1754867622 +327613330 +567657945 +495645471 +1508880566 +1866565950 +1051150345 +470245887 +1611796825 +1221268485 +1364706264 +1484130164 +1235901768 +40682587 +1795472816 +1236127049 +1454015051 +664505173 +479863774 +1676810437 +445805375 +1375430768 +1693315450 +340587352 +264688110 +1802223379 +250467858 +1224218197 +931309774 +866019320 +82074565 +538693748 +1193632650 +649732511 +1034339219 +555029568 +368814813 +2085489564 +1025275456 +1980611638 +1159274401 +242498072 +1317258154 +247692521 +283180659 +965247323 +1483819570 +1737195710 +1629752496 +1963683345 +1266522500 +2075557872 +1191630465 +812354302 +268661576 +1456318575 +467094033 +519129435 +533053124 +1398403807 +1385148755 +615127690 +1937097555 +431297757 +1264860201 +823953126 +986327325 +1633675014 +761959042 +2011602781 +1466803004 +1921233444 +106617205 +636577510 +21442317 +389797865 +1601824833 +1505261888 +2126993575 +1084093682 +1321461585 +1246032427 +1012167906 +365608402 +2058386730 +1280829482 +1821926977 +377997115 +1799958917 +207496453 +1776400923 +1037624024 +822624143 +1566014830 +1468921781 +2087484344 +242484309 +307765459 +1573675710 +1004443351 +171884592 +892995066 +778193147 +278501798 +1529572577 +799635465 +668299663 +983913762 +157413705 +647809590 +2068007444 +1478875290 +1893842018 +932691702 +1844483692 +1804745100 +66037537 +1518927021 +35258567 +1865996454 +1726423474 +1811659490 +756136831 +401563970 +1230190673 +77574964 +341564666 +1472674982 +385340423 +1915240377 +329634685 +557225016 +660751795 +1107827833 +835726814 +42840724 +1907463298 +1504026477 +1026754487 +2064877003 +4352419 +947278283 +1396268645 +1898194437 +1879969986 +1093268689 +1555455889 +1946007523 +464712062 +1590714457 +1664520329 +43651888 +1254890299 +273173512 +445215858 +337597324 +350748477 +786780525 +1810272306 +736088900 +554537254 +2139906992 +1293313916 +1215289049 +1100251177 +2129040730 +1258129774 +860230827 +1485583559 +137400613 +777624182 +1489935979 +1084678896 +26409179 +1240646768 +817165234 +1119677868 +648619010 +615689109 +1584389930 +91849819 +132725791 +1628041818 +1346740118 +405899303 +2073257677 +1684337443 +756647780 +712554554 +1347126101 +1492736681 +1267091808 +1339549445 +638566949 +334897209 +292316974 +620124032 +1593026983 +1152547801 +2105707591 +1730427596 +1930171983 +1448159922 +667622845 +1956581162 +541323043 +1484788079 +928775382 +1189942053 +2100477189 +365681664 +1281791872 +85719332 +1993723483 +481048342 +491618635 +1919497512 +17902137 +1248266416 +484568418 +1365028239 +593519449 +1751660226 +557094036 +1232086398 +2086557435 +849411011 +1852210430 +1532100771 +2001958812 +1810434374 +1115044719 +1784647148 +1111110648 +1782667564 +1593744662 +1652433691 +1119971996 +375036397 +694892096 +1072965537 +740718061 +1976683968 +1158684869 +586957896 +310248663 +1650303504 +358971760 +328150800 +751086272 +843540178 +1693179039 +1344605721 +447716756 +102789428 +429208472 +386790544 +952200439 +133935254 +1918891315 +806675603 +1944369628 +886452386 +443839103 +907996629 +521636303 +2037583766 +412946672 +1641608299 +265136515 +1107838769 +567090188 +1005854576 +937039089 +1725775057 +1592812473 +1247287752 +1228594913 +1951784233 +1575438553 +1979681186 +647840764 +1121133944 +1176803259 +1095557520 +1223923372 +1606011731 +1482348064 +28640163 +1739946986 +1253755731 +835315767 +1536832966 +2140208118 +1279154870 +297345947 +514360773 +1169254988 +710292620 +8485424 +1434391503 +1818131389 +575575612 +292762432 +607686830 +153867021 +1885574905 +1854974583 +1382461934 +1689875490 +1282929488 +1214659472 +190232606 +256579784 +243979084 +1285790127 +1480503157 +1849990815 +620654543 +1509143320 +1442454153 +1874410275 +196975439 +831803472 +1867134745 +1476130310 +1129149419 +234011870 +497901650 +1839442039 +242497294 +1932293154 +1510089780 +818072906 +77571938 +2117776611 +971939927 +1963146843 +1825267546 +206918213 +1505538685 +960713386 +1421577686 +1695771292 +1217293170 +1665556770 +834077771 +550312679 +1368063937 +1454732314 +2059456000 +663034443 +1181658941 +108947791 +1494837915 +901310038 +1585078101 +476503686 +1135321908 +2082979752 +168462078 +1377819202 +1867789258 +1678551858 +48408460 +1945361196 +1648844821 +1020348387 +1761024391 +1326628719 +1227266601 +1119079428 +139858457 +501360639 +667367072 +1357151628 +19433761 +1501444843 +1907464307 +1387497698 +808693510 +1819436659 +2050532141 +1990352451 +1928384451 +1397886408 +744178842 +1365978904 +1874390095 +1879500750 +1301475008 +2042852173 +1109836305 +1021780618 +1573920383 +1158244765 +819658166 +1075281557 +31109505 +433198909 +254426628 +1258376106 +1552278338 +394285086 +1759736745 +72161762 +1751436714 +1779170506 +1573606606 +1511417373 +1019184556 +234816468 +1183370385 +922233050 +77685271 +964271188 +172635810 +821864113 +182766444 +2047025905 +553881216 +1484241453 +1942394430 +1663717521 +358538423 +1368831166 +674478638 +1178196590 +296629075 +705588143 +1611395499 +551055703 +1963964249 +1016190189 +945340789 +1576217346 +1088351952 +549293855 +1207904204 +514474910 +2060711229 +79605113 +749291378 +1096597966 +1001838163 +826976649 +2060869154 +1174473973 +1648840763 +96151950 +1074016231 +55238331 +1580393403 +868927013 +1718955852 +1938931827 +90274531 +245950842 +969644769 +386903606 +951538986 +433556620 +937959310 +768019587 +1449746810 +1883300099 +196753286 +390615114 +285110307 +1404657490 +905090024 +198337888 +1484262603 +1654381402 +1294935854 +338617118 +333874403 +1208321360 +1513091092 +1982715166 +1304473310 +439623675 +2037953497 +737383066 +1308550688 +1609425701 +528831245 +1398825220 +1855376544 +1498476014 +1785728826 +659431882 +1932032634 +576204488 +1427451469 +1234295796 +312020940 +1624204755 +1624910910 +597131247 +881378598 +382517286 +795469135 +218157553 +2036898688 +2090404989 +556774672 +223289444 +1151242701 +2069865764 +58520962 +308232363 +362005791 +2096474460 +1045615429 +1670556479 +1558416513 +1574446674 +921898051 +1266309409 +925439040 +560143230 +1925741291 +709988027 +1136347718 +1205709113 +1944283823 +1448368658 +682430220 +1421711086 +2045499905 +1563808818 +1804228372 +693485392 +1781966372 +1693643413 +636406733 +191257396 +1916932857 +1787649434 +113639512 +1975453819 +2095881798 +475645303 +1924444631 +994013579 +2146201782 +1335377497 +420976606 +920616186 +454203258 +1346415646 +1480759416 +232460902 +2056403673 +469623486 +1438170015 +1853203849 +1917992145 +2120600235 +1127431287 +1816008402 +1536925406 +784176011 +362010147 +1171408130 +330335776 +998416880 +1362665526 +99784985 +638582667 +1476305038 +2075238805 +586980817 +1951950341 +1852199788 +1580994396 +1950668475 +1040093637 +2001971002 +723801013 +1494296896 +1200903001 +57076781 +1726757798 +1109823026 +526700268 +1017444165 +815543227 +297208765 +990560752 +1942974514 +2113217167 +380002510 +579666878 +327743666 +1551410640 +910002654 +1326160547 +766592518 +1009787640 +1964743214 +95413908 +937542797 +404240383 +2047364249 +642258937 +1985234779 +1850549077 +1682352575 +1839722134 +426866442 +1029165823 +893141487 +483943224 +608439973 +2002964513 +1010643492 +1625884138 +671024093 +1307852257 +468961242 +466514959 +1273585776 +848963753 +1046181837 +1601329443 +252890745 +1956184492 +780006342 +1019483264 +818488484 +597265908 +1114897172 +1756031281 +1001506291 +1014777774 +250806570 +839257422 +717843203 +1933159145 +531495908 +1144709645 +814841320 +1424637395 +1628652869 +1423281293 +1280118261 +491812713 +901681783 +1951142354 +1799664970 +1370643026 +270173665 +925767099 +72123131 +1316355503 +379612894 +325013876 +1125056347 +1159619236 +1344497140 +1943544831 +1756885144 +311910665 +1552092464 +610907787 +1326688439 +1802899034 +1450165209 +2044531642 +1588574532 +1981661118 +1041757639 +255932204 +1258814865 +522926861 +1679213498 +391449478 +1014739574 +433411633 +195108184 +666920897 +1804054659 +465281850 +1592687996 +1876177790 +1781637353 +1972300890 +53708019 +759210052 +984436478 +1398205159 +555271235 +593837974 +1710115824 +2107363699 +1204745761 +889320615 +1762779085 +507427322 +786368609 +1203869969 +341604792 +1828126249 +1459802174 +1600419658 +203569462 +991532024 +1991869136 +1218309036 +1424943657 +39493673 +1885229933 +1081514669 +504775523 +1330434281 +810208811 +138929228 +1155251523 +863916830 +898139280 +2139688001 +114638342 +1453410515 +586042327 +1824754166 +1413290566 +1790788088 +566591134 +1028586003 +150731763 +1352959743 +84972325 +492336555 +1033602344 +1544774499 +2092756213 +1237171806 +388822875 +1937141702 +307997195 +1813766532 +1976635375 +45743480 +747797553 +333927250 +1376177762 +1558006365 +472856478 +383945637 +274439547 +1370995758 +376149991 +389077889 +676922625 +962192318 +66348408 +2090213191 +605496759 +632939542 +971315546 +756228522 +1985899285 +1056287871 +1248565077 +872017982 +453578722 +1193837643 +2109189788 +842401597 +983495697 +269703335 +508684482 +812647424 +315446816 +1256482035 +1146574674 +1691624578 +667004752 +1619431152 +2075570215 +941444300 +842943262 +304236558 +1330522189 +1519865887 +1266428877 +1396870597 +1462595430 +1871925636 +2029810139 +286427328 +480670510 +1868225777 +1342715200 +1729235587 +592760111 +1796293922 +775589582 +554466251 +491211872 +1759085279 +824169587 +999896354 +424249055 +1139616403 +108894741 +1570823729 +683757333 +775899494 +1042771233 +611843900 +1717343794 +1885714495 +916080459 +900382335 +1258096734 +35025688 +149769285 +573208516 +1906951324 +32095776 +859635845 +240138186 +1900321553 +54867397 +1969373773 +345598016 +1851161319 +597479708 +900064268 +194889543 +209081339 +1724233855 +1194785897 +633330395 +716366610 +1303680639 +56670476 +1400123943 +2079580133 +1099441710 +2011967843 +1649440279 +837672557 +780564654 +402338966 +2095769292 +815590342 +552108251 +521494160 +575058018 +584204028 +1381130005 +815196204 +337041933 +1435997402 +637086330 +682639950 +1139675074 +1234566038 +1582704218 +1334564617 +1443647377 +1159454425 +381866867 +2076977772 +1875821035 +1685547506 +2133648249 +1128461330 +1617643991 +1085606311 +992945525 +1119600622 +1923278868 +1773510180 +1521939588 +1871564512 +441616874 +2074047840 +245575025 +1016674893 +510768220 +1626705030 +1831871097 +847810153 +915218785 +321473779 +1530450103 +2054893859 +1556039817 +965670673 +1241974828 +852203547 +2125125098 +1623841695 +781697671 +1853462485 +1161905553 +767862272 +834440167 +632065896 +1853468583 +1827385693 +1751666518 +1629263804 +1453412225 +1126122459 +1353344668 +1895029099 +1052686651 +1598919693 +764220344 +1563454871 +1078141076 +448607794 +263781376 +1993359861 +770081573 +1794231480 +1900770072 +178637743 +612418505 +995261252 +1030841290 +590059956 +471619300 +1812538961 +296038793 +1633524853 +432917586 +1130478961 +118107102 +138902521 +810381006 +1869773620 +1768166325 +116309583 +848412431 +974027346 +2011338682 +1901099082 +425463391 +628075379 +1317070305 +1503604467 +1076683173 +1580851682 +1349480680 +1846764746 +1227599514 +1102767104 +2025402489 +1840018019 +2098028357 +908760131 +282594327 +422164009 +573815445 +578633121 +2055688862 +1006733031 +1709112082 +26312316 +1145635552 +372009440 +1896085937 +766318230 +488319023 +597014720 +1740345576 +352174057 +350630155 +18325319 +980249436 +1667700460 +1521929787 +2056932609 +1101068494 +723926819 +1756213708 +181184360 +1826693924 +1634132549 +2021202380 +1777238633 +395409033 +156313059 +51918994 +969224478 +734946180 +2107607856 +1975957509 +296574614 +2133920173 +974109413 +668584054 +1882522462 +1740427643 +1156903077 +332053534 +1333289571 +1509077135 +682683689 +1351614891 +341842923 +202900502 +726061030 +251291885 +1303968996 +1449987849 +2007505593 +1485153357 +1129198125 +1494154494 +1358872089 +758953110 +1889563527 +1515185148 +810872104 +711304357 +102647681 +770996313 +539778218 +399222295 +757432838 +1513887632 +1067806350 +492471652 +1106831627 +77225779 +824525186 +292637551 +1586302914 +1507208876 +1644252442 +1928145838 +1710109378 +222829824 +31954075 +866594726 +1672817673 +2039459668 +204264435 +654532151 +1386130514 +1563136524 +1413485261 +1128210394 +930838025 +76873718 +1839514751 +1033485706 +847870031 +231809322 +1432708001 +1605302869 +1745696954 +353030703 +2097774521 +705044933 +430256483 +774816059 +997682484 +2016559397 +134541287 +494451278 +1797221587 +1844650665 +717281102 +1829175662 +563761744 +242615128 +1721151682 +768026179 +897147279 +959798549 +183679056 +163148892 +2088008943 +1114517081 +240022610 +1780040046 +519139 +1087892641 +2011849368 +1433227140 +545711862 +1610062674 +1786257844 +496002735 +167623960 +69030679 +1270818795 +1165306444 +2085590076 +1405360082 +1659757723 +1735328016 +1102527100 +229555177 +1417020030 +1666288844 +472170305 +990688065 +286831375 +1369317584 +1950486614 +470510431 +1532466477 +1891011909 +1585027512 +1772489087 +1523568307 +1585546651 +712898081 +1387934028 +871290144 +1258609943 +850513054 +510064340 +1754612679 +1018137014 +579095019 +877947826 +35959811 +517201447 +135824260 +1695717534 +105045815 +1238351360 +1925272711 +1522065846 +757156556 +249959369 +365270263 +1043987932 +1619276953 +168273229 +1514498363 +1004259782 +2059285138 +952042228 +629265222 +1435369797 +390105231 +1342163303 +675820177 +1261395375 +453289598 +1526333232 +1771459715 +60418629 +396986598 +203071086 +938366455 +432946409 +720272534 +1074190716 +2128663943 +825318349 +165058428 +1906453007 +199900547 +922214985 +8928728 +565170810 +1966202917 +1628205681 +733444039 +1333217632 +484981816 +645245529 +137776212 +1114247038 +2080615327 +527881444 +308926693 +608951856 +1789276819 +762216291 +2135285088 +1413252887 +822634921 +384788039 +1616323973 +1761001376 +817734448 +189112859 +687708444 +798914744 +1014431209 +852766873 +557884103 +1214331756 +1774981858 +566812831 +1779502567 +1593701127 +47534864 +365462958 +779435111 +532516680 +1010708488 +917211324 +1646763718 +943840167 +1445092768 +1955690411 +1552792023 +1086885939 +570423055 +1540593464 +352655178 +1393057976 +1925381503 +1968979152 +1006575704 +595632303 +10608363 +1694284149 +1394547047 +1025039572 +399567374 +1952431150 +91887681 +27065584 +371760333 +1871390248 +1620766711 +419295198 +89369558 +252718174 +951811878 +1100078046 +1169929498 +451091949 +2043918213 +467538618 +259298712 +1449226589 +1554424558 +829721767 +842336405 +1907079736 +75296095 +620234260 +1728575240 +1081871800 +1215866563 +1739183604 +628672301 +462929963 +616739528 +1028239675 +267877465 +708627209 +1055305259 +639637799 +432533809 +528588322 +1058932997 +521903368 +781306496 +2010744875 +1621981414 +1951235995 +314353176 +1518415980 +271290965 +573651889 +820158921 +1825715523 +1403373656 +1662495326 +1585311612 +1478669752 +135245938 +1166403204 +413057904 +1351112501 +758103160 +1041730205 +1814042464 +1374842689 +2069969880 +2081919930 +2083469898 +977791491 +574074081 +368520060 +1506379813 +1633007078 +890423428 +140202661 +1496268305 +364921194 +2091438656 +1810621482 +1883337174 +215245974 +236789723 +556012447 +2040961497 +1640163379 +71024125 +1478789461 +971349483 +206270063 +497709018 +1384407387 +1557382565 +1255812178 +278653944 +1223941381 +483171219 +201140176 +1158377663 +419157470 +1178931667 +1732451744 +787677530 +537827832 +1217975174 +1678100958 +678030494 +566759832 +2043022152 +621985502 +229897666 +1778875679 +837231476 +466687389 +187404478 +730709326 +2106850768 +258428604 +62015139 +930716604 +464698667 +559724157 +167640343 +2022081232 +1815536336 +446294288 +1098538966 +151223907 +647434464 +109432981 +570381377 +1826366132 +1841884726 +1358058907 +216710316 +912376252 +888676217 +894740810 +1479136084 +784214722 +1516726313 +1709033750 +415606753 +206474141 +28237491 +603011231 +937183467 +2135088260 +861439835 +999198607 +918321216 +1326138503 +1558922764 +1085961559 +1200736087 +1226975452 +1532255847 +151791405 +1378199360 +32206664 +261224387 +1948580737 +1858572796 +2103109113 +1159155997 +2075283112 +868001717 +2047832214 +822540275 +199654154 +684563288 +191782940 +1908687904 +1100170041 +398257081 +1936925396 +1703181273 +1335440549 +1924530008 +417137460 +187155508 +695367576 +1743275963 +1746078272 +1781329135 +796528403 +825570077 +1166101335 +948319808 +56285789 +1198307999 +1209544195 +2004866526 +909397147 +1165169660 +1016538875 +837196611 +2033171378 +916887442 +1659736886 +85341884 +1601450730 +1851519826 +1994029788 +554137124 +102293260 +1783471536 +109834749 +1437733809 +1560517896 +526972209 +1624889317 +108401824 +122764525 +1223483941 +1889730960 +919292928 +2049054018 +908348647 +1867612736 +2105339807 +2106656646 +929673284 +1962722686 +868570145 +2094842944 +831777913 +1705766756 +1980530674 +1748665355 +1218019995 +2065872558 +1202632438 +922056173 +1912418699 +1756769562 +1024349433 +1548406587 +1866604311 +314599594 +961440836 +246092872 +1939488911 +1069842660 +368857397 +1015489205 +812089972 +1288150325 +917059575 +1720438619 +1008279414 +874915735 +1679611617 +1937952698 +690154773 +400698114 +1885311994 +1521932686 +2106464871 +1718359021 +1123114394 +1177001218 +1636747931 +178263184 +2099057391 +1401682982 +1935032746 +975923177 +802605922 +1654153409 +1290522771 +1764046758 +1900246281 +1082528035 +686405770 +121620031 +2098017240 +1498495743 +1409770356 +867593167 +1071450714 +270566122 +1742508902 +603578684 +61035172 +285180027 +1004276798 +1946347167 +1807112714 +963258021 +1517222540 +782743460 +2140259239 +1006486823 +961006644 +2091832983 +260686158 +748555742 +920272512 +1063292080 +255225503 +63311635 +679855190 +7988136 +1145839670 +1366260960 +129608167 +1096373262 +717273055 +1539378524 +1963966430 +1788723770 +1809944646 +1558991684 +244818806 +1870979819 +1844171712 +1249095604 +1669843338 +1503800778 +64869978 +1039582230 +139060590 +57645569 +2046069053 +1100067234 +1994904 +159271563 +1848622976 +922267416 +1222563643 +2103848479 +985579052 +1902418833 +2111836615 +2131418722 +1121196146 +93961135 +1080308337 +1838469201 +1633339659 +896791119 +1479709323 +1295800657 +308299155 +1724528129 +1019296828 +4987219 +826140086 +541656518 +1508787997 +891010064 +1581238748 +1647848587 +948655633 +1479824154 +600432173 +950650538 +1639095717 +301571501 +1872917954 +714175713 +257936332 +711013358 +469110898 +222289300 +694948433 +1590307044 +316250435 +1775256770 +1281292598 +1949590094 +524564241 +613518273 +1097907103 +832863396 +190562755 +2117203932 +837850616 +1016702841 +511376802 +199154965 +1907712905 +2092615551 +1847003553 +708884890 +1424956057 +299952078 +1659535428 +916568126 +601523580 +1384969735 +1630743839 +859459912 +2095983093 +2099854738 +1081749212 +643447878 +1542678134 +1397999647 +271221000 +676487084 +1200106093 +795785241 +1290005358 +150529549 +1628648638 +1480568113 +120249833 +319015606 +349787306 +631626635 +518170571 +110016563 +576758538 +217690476 +818901453 +2001714595 +517642555 +330953234 +770799074 +1119166135 +1715922969 +254059265 +1978626047 +1664422414 +206430355 +912891612 +160386645 +1749108490 +163407611 +431607645 +278111926 +1363513705 +1227392887 +1568117284 +1514043254 +708557877 +901201749 +1634293087 +1027573483 +1250989055 +118436074 +1545744054 +1361005618 +695194613 +1763434531 +32423424 +549425560 +133593438 +363376658 +1320224634 +1252759573 +2079299627 +1574283900 +1083901972 +1596238393 +1780714255 +1996793584 +1756625038 +1382339097 +12717548 +40749036 +1660451024 +1376231253 +1268141923 +1081084660 +742790859 +1976699800 +1982286410 +229600298 +856789635 +1085791817 +348036372 +255050041 +299313788 +1043230985 +2018484572 +331737212 +1592656546 +4594362 +695113870 +765397532 +1257353935 +626929849 +192197784 +193772260 +75684594 +1972912040 +43082196 +1832309633 +1207767489 +55799744 +1873058669 +720734865 +1432030997 +993716944 +1801819526 +27338208 +822933096 +1636622288 +256938506 +1679722731 +574930457 +604974879 +1934772772 +874244245 +1648205864 +1805773697 +1205981457 +1093378762 +1810368059 +1901095327 +1858776295 +920238347 +380541528 +2050974079 +1114010607 +456226123 +1876402471 +1157092803 +141052108 +936686313 +1212892548 +2014110777 +1657421178 +497439897 +860344073 +1311757056 +524778106 +1683277169 +800895696 +781716612 +1215516252 +1375826154 +1386691491 +1002805376 +102586751 +887413708 +661095425 +1308568209 +1980792470 +323979837 +1062179888 +1692085117 +1244218184 +1442721417 +1595575549 +210745143 +1898947540 +1324494372 +1367837946 +2039999648 +113697037 +433246846 +1906626777 +1771118216 +930686744 +619487202 +935391624 +1455464850 +155280723 +1736287321 +89697814 +1370796975 +964629827 +1476389306 +226118703 +1067216578 +216319366 +887214129 +228301139 +49628188 +1211193966 +1290481028 +1741713306 +307928502 +585718797 +1189805207 +518673645 +337182689 +366815931 +1886511591 +229698689 +480512969 +172274790 +2136325466 +104147537 +1102961534 +608329020 +1039539161 +410942736 +763609743 +628342834 +500640550 +2134406718 +1592972661 +1977029856 +213041773 +512705592 +45865574 +1100255902 +741006731 +95493763 +163966220 +2031487759 +1837207069 +471894722 +469722908 +879528628 +990568367 +806905597 +1246344559 +729596311 +1036604286 +1726857528 +901871101 +1025446104 +1831005065 +2004832635 +1633775124 +723060579 +268291723 +249901219 +1351403413 +768932273 +236824289 +796892427 +598478482 +449866063 +1309598019 +644344056 +1550121965 +2050604750 +739837819 +1714088186 +1934608862 +429561240 +38499260 +256848122 +1309089868 +1029067628 +1063753720 +407950780 +1758663939 +2100358006 +2134808308 +513051392 +978320463 +1818329726 +370400379 +464611939 +393906657 +638692102 +714513159 +1745310070 +1407624375 +951337448 +394718849 +2006102857 +1401203511 +1704316868 +502963266 +803841829 +1607437971 +1242801085 +370446367 +1394563185 +1672362326 +408945627 +1651411307 +833968546 +1438013255 +567681379 +1241919326 +1049193546 +520555738 +1229243987 +1562244938 +1498876201 +900090065 +1932645317 +1963488140 +1293996722 +423853771 +530517651 +891823144 +1831478147 +1481855100 +1286541994 +1690097356 +735574963 +843375214 +45576974 +1539416792 +303329537 +1288378060 +1909863159 +1697892722 +813256738 +171325139 +1201820382 +1647225284 +1609338394 +1769501761 +741660963 +511048293 +142573851 +1970904950 +2073293231 +1641450052 +723511367 +1858454901 +1457454545 +2017508089 +134825024 +1987972196 +761847585 +1966303171 +1322343648 +2048389579 +1508916880 +2057918612 +744281146 +1554493854 +1449851756 +1047610683 +695388266 +1212231268 +598019758 +1508645004 +1383556407 +1799840140 +1008386641 +845411153 +1421858253 +1750047604 +1356459446 +1564432105 +1573468906 +1282269030 +1058398509 +149496625 +993240283 +368369406 +19521066 +1128065307 +208857955 +781368651 +946884831 +1531201603 +682274583 +308318063 +1441636567 +1426555729 +1862811917 +744004676 +326682764 +410716536 +1956235944 +924702522 +1919361540 +1192308703 +577059014 +780264533 +2037719856 +1998917268 +382828489 +1246695655 +1415865725 +1956297395 +381481037 +326780586 +2105794020 +1374721320 +695149993 +2125315086 +355302979 +904007948 +759200090 +1302187810 +287725903 +1441474673 +1610505873 +1729362471 +720546754 +1325834143 +325883499 +1047229518 +1736550679 +134635795 +1971932041 +1508428571 +1326944498 +401507407 +141209457 +1217180706 +252941027 +524037946 +316392713 +1668806752 +332851694 +697873750 +1995587339 +291162066 +2072595070 +543253684 +268993505 +280414402 +1447261632 +1028193595 +1582602212 +1734987535 +322184620 +1045624438 +1316866358 +1042731374 +223974933 +1642749857 +2089960892 +1960525612 +1777385652 +1914409285 +1321470535 +956846502 +168433045 +1462679992 +26543561 +421374072 +1986717939 +342936274 +2090180825 +172085985 +1040810025 +1938284516 +463248051 +965921447 +334054552 +732241556 +1246335849 +1781316184 +1760435151 +681454414 +1368820071 +2082619771 +1727078852 +538202782 +977867497 +1951053785 +33468991 +920344742 +1764095749 +1810854644 +687270379 +938082636 +620217498 +855703424 +253278981 +646761059 +1277077497 +92513272 +989697334 +1219774674 +264599257 +2030507359 +1010575542 +727847308 +848945158 +1344630094 +1460088865 +2095281008 +978462630 +1073040368 +629251774 +199799053 +1008176492 +208846978 +738001835 +1986043989 +12417115 +771470827 +758905083 +1776512864 +434841823 +1446175463 +567111852 +1055059321 +154395239 +820390833 +1701820381 +1431472736 +912904105 +544034067 +503763762 +1177503362 +427057778 +1514339304 +1905350671 +1276002936 +711485750 +1217955888 +1223800296 +1689948380 +143512608 +1853052070 +1889747434 +1151689100 +2061899048 +480265621 +990249442 +2074316163 +1251736448 +1749154525 +1703345379 +1686578271 +1047846340 +122973584 +594153945 +1202241580 +943364417 +148490678 +486230668 +1856268523 +692524745 +989994431 +886288237 +1119582523 +356850087 +644155260 +248101811 +1068335838 +1862111148 +1471902108 +610800570 +2005623757 +1177470530 +353064356 +1009829209 +1091885931 +833329978 +2000078651 +1018718446 +2085066426 +1601749529 +574580178 +1624161050 +502112221 +697553762 +70831347 +1704353801 +1640918179 +219322025 +43100822 +1349703054 +911846770 +1033095253 +88507644 +2031429293 +1389945340 +732662904 +132047456 +310797530 +447290405 +1603949564 +921598101 +305430514 +633936447 +1274662457 +1315259723 +1725822378 +2107992435 +1167854727 +597057176 +2045575214 +622120608 +1171637354 +1522252616 +1124232829 +1869191116 +1593083963 +681102983 +1362625648 +1812405988 +724203805 +564845054 +576769110 +1757299058 +653352698 +460714755 +999760750 +1386015603 +592762211 +1310558281 +1833306008 +49228128 +84672734 +2138736522 +683164575 +1359335191 +1306512597 +261503305 +1319843979 +326883676 +858560481 +1217935545 +949004284 +2030197836 +592704513 +2073237114 +1751905304 +38304828 +606856449 +967047304 +1850710816 +1331060254 +1531892359 +279996278 +940875664 +37761409 +740711033 +1940636414 +1423777012 +1333473244 +1103711047 +1109599372 +1382701372 +1188383781 +1100852246 +2065865947 +400235325 +259881196 +179885604 +1720079304 +586764872 +1038446086 +790531201 +1535769157 +921160274 +1383235714 +1461522623 +525581930 +1421540542 +2068379072 +1492629235 +1124767710 +1251955678 +877037946 +1404763988 +45347694 +914799355 +2145475021 +1985984108 +191092720 +1331464617 +942211508 +1300692092 +566682342 +2130595289 +254060691 +485064641 +383346966 +513941887 +664950246 +2103426270 +1100706759 +1703396332 +746473823 +488992268 +477072958 +2129709537 +1950514891 +1002654888 +1403766431 +1871410315 +347800475 +381050493 +975882345 +1224838421 +1785814481 +1021230039 +2139637777 +1783805854 +859730500 +183246849 +967786824 +1801942008 +1483938941 +1534469166 +1785053649 +1737999632 +2019533807 +20916968 +104457871 +537000405 +2124343238 +1205164631 +92913089 +723333414 +1694156899 +569986047 +705559303 +1497188143 +1572640936 +2109325735 +1221114810 +1920441411 +342892580 +49513508 +997796185 +2128707062 +1070743547 +989950314 +1765029268 +1930474047 +1173197163 +585332444 +1584932407 +509652456 +2119801610 +1222502409 +100168441 +1991851770 +1243419377 +204626312 +381368527 +1220278967 +1409790943 +474281617 +1943612381 +956464195 +1044267664 +501688037 +306168690 +469424952 +463530124 +1527283500 +242382716 +806422704 +1576797008 +1240178901 +787646118 +500056908 +82645567 +405191739 +283047307 +1255842730 +990524183 +1867979715 +1765495186 +962842146 +942998476 +1865663627 +807210268 +38934205 +2070289940 +1188578795 +1259213172 +1332597235 +1662860412 +1055341906 +141577782 +559644429 +1557029943 +447746472 +1029069381 +2020560067 +1975029973 +1271452097 +679499123 +1404343333 +364147350 +1467145242 +1904400241 +446792917 +1872336981 +39963901 +1702635647 +715377516 +1907943616 +1320647186 +1678219662 +703458444 +1038827165 +337946282 +742392649 +961633457 +1526525078 +2001605821 +146747045 +1041901842 +909464079 +288324827 +1601546271 +319010374 +736071300 +483132005 +192086793 +563617625 +1754584102 +871585917 +1967960958 +2118731453 +191247511 +1724877552 +418040722 +2063584492 +1764841453 +2120676370 +631478360 +1525301421 +1293839908 +162214375 +81276217 +185183425 +500160657 +823668866 +1146816883 +2026685735 +677791039 +1293563928 +921103930 +1587255119 +1581888755 +375166553 +1906265493 +170476407 +858298558 +2098352287 +734094032 +465399013 +822454556 +554571343 +436646818 +1013702067 +131965247 +854687540 +929802911 +1896806700 +827880262 +1561281271 +1274624473 +2121720170 +1723495646 +1355900690 +159419948 +76172656 +32085908 +1306236831 +2102858391 +709876947 +452317111 +876478673 +149648418 +2034205866 +1251645227 +2055913912 +57198626 +2109943785 +2006782551 +791292658 +427859150 +681753459 +1345864001 +864505968 +1695455526 +1477829248 +1719193509 +477774789 +1227152300 +399590123 +2039056060 +354293125 +373826646 +1615068059 +1710193815 +533246594 +1691240715 +1742279723 +1839483425 +1646615458 +304673023 +144316888 +375610484 +454321441 +31039106 +1627255711 +362751705 +88237732 +1589715848 +222050608 +879530391 +2017574999 +903804067 +77910744 +734597319 +451775945 +1555739993 +306307180 +929550734 +635408645 +705897304 +821123147 +989701771 +1079723950 +288707558 +552411938 +1612970544 +1979948273 +147208014 +1304970321 +1479080083 +451881037 +1449287209 +1854690567 +906202478 +1480326315 +1334462630 +1268954184 +1568564048 +776694831 +1491004792 +300610791 +646786182 +247325212 +378521535 +1381383501 +699101157 +1934261528 +1687690682 +1628651892 +422186526 +246104338 +302291391 +1411888297 +1325828288 +590998949 +1964300235 +791315184 +423463574 +2111508249 +2096285505 +1902543657 +415905638 +1398089066 +1609750577 +1322108117 +730931733 +796729559 +443578653 +152012133 +1573424390 +1934583445 +452622924 +72726924 +34425009 +831144460 +1454110426 +733526167 +617922340 +994317460 +214694411 +1040108866 +1240421798 +516985802 +304513515 +418766438 +1107984751 +121330103 +1210081622 +1531448325 +85354704 +1158883479 +1286508334 +501260343 +409488897 +748775263 +1823368460 +1140420630 +1545504823 +119463465 +1292432764 +971445565 +2054046910 +1745055688 +1044172490 +2088471920 +428716500 +350799268 +674514439 +1046638841 +1345116728 +889208850 +2086747707 +438054878 +1406194652 +243777575 +856821316 +366695755 +365107678 +2066902938 +1898144080 +450462382 +1078302769 +1037168766 +951722725 +1487791666 +1785944030 +627607537 +480728648 +1183965205 +747071002 +1773161412 +7927122 +653634265 +1370733453 +1052099612 +594622537 +1799449953 +1402898880 +1269136976 +698605146 +600531960 +10862178 +637869206 +1038586838 +1417056830 +881646781 +1895408154 +1783752585 +1246754459 +1814827444 +1534413017 +1697216841 +745646565 +424098135 +501455919 +85954583 +62558517 +1129063456 +566683232 +1246523722 +1876134459 +192360996 +1254450845 +382285076 +1563094449 +159066809 +976907613 +1215060755 +1561965690 +98560941 +1913665901 +15014002 +109423119 +404051459 +1053600841 +1526479949 +1285698240 +801525347 +1162748886 +384969051 +468869144 +549678255 +2082185893 +1214515709 +973776390 +436158164 +1300470293 +1036334908 +1565221620 +1867153525 +135374982 +1293872431 +2059514521 +1389825827 +1676157507 +1475125323 +1548892637 +505581472 +542702430 +963374679 +604142413 +308884683 +978388681 +713565532 +712936143 +2031989522 +92561833 +1998634383 +686031222 +1255310719 +236119787 +1154900366 +1804988974 +170822032 +221932427 +631281717 +606980196 +1522402720 +1667616625 +24718168 +1242072597 +1802991607 +1318590600 +1154103471 +1045333787 +847264459 +481745146 +446742776 +1352845932 +1024447576 +1410117455 +1956988345 +1333332259 +241022488 +523070230 +2046268402 +125528363 +615632063 +1897419138 +811559585 +1870942783 +2133538925 +1966459951 +1528448109 +156877309 +40908730 +12246178 +763857505 +1563311451 +1679862803 +788575673 +657900400 +1335370763 +2107166273 +1812003871 +233220902 +806947085 +146265369 +679963678 +12309369 +1170712945 +2090081133 +1969297714 +356561557 +183619973 +344884296 +255346311 +309148336 +960516360 +5281801 +1120707921 +683975495 +2138820726 +939684224 +64939956 +148214387 +980592955 +77186135 +912071892 +396420758 +1757048938 +1700647566 +1054321158 +944936053 +1660330191 +718841382 +1178156955 +319793628 +865106751 +1858120633 +332102997 +2035819697 +1800718118 +153917064 +244897606 +1984338092 +498801360 +500243917 +146002780 +1459317720 +505525719 +1266710702 +2143293215 +496862797 +58911278 +60749524 +645077185 +1039504233 +137935659 +1557149077 +1435924991 +1894984597 +1110312995 +342762502 +692437003 +623159539 +1061603884 +1870593958 +942953167 +1926710635 +1581230944 +1275056165 +1815046684 +1234465414 +1428973229 +2059944290 +1071319858 +1927774589 +412704560 +1217322639 +1239608662 +918230279 +336549693 +1235418229 +1415093076 +395460971 +1296167753 +2060170261 +1434965205 +1434103412 +1469835691 +723406548 +1181604362 +432665038 +1066169050 +1874041365 +1055824577 +2127772934 +1597151675 +1998777745 +1906999922 +1030898971 +1126350262 +1574562958 +117880738 +407839843 +1487023601 +1189200596 +188130784 +1899728161 +259039587 +1427739446 +670474792 +595589280 +515674028 +2085567868 +991050252 +1811841781 +1998254482 +278531809 +1098461546 +1320606525 +1001938357 +132582260 +1753271563 +2068107408 +2006623625 +661612493 +2048396694 +1456291652 +512906590 +1807912968 +339706976 +1639256852 +1234992279 +457587714 +2047096695 +574532232 +1646788310 +87743831 +326776745 +1905827898 +1515483278 +997251537 +353933530 +2031157306 +935335757 +1344983782 +1695515439 +786106591 +1623515591 +646493337 +2106713116 +477970301 +779075597 +1712501032 +398594061 +638215574 +226629877 +299507107 +2094507227 +739536467 +2107420076 +286730555 +231309671 +1194928707 +744318269 +130922718 +1769460939 +243622931 +218666549 +2096237684 +1967181 +1734149827 +946005573 +355900712 +1617823485 +1881341330 +1700884494 +1165855277 +519964274 +1176916438 +1812348614 +479193742 +1654886739 +443940564 +44211126 +2053480800 +1082156138 +270841003 +205504259 +1029179717 +1010377470 +165440687 +1315910272 +1241687141 +1360369394 +2060228541 +1372609859 +982346685 +156367825 +1591276409 +931100721 +158335006 +1177942588 +1877106294 +514235718 +648282426 +1610963977 +67636565 +1814137703 +2130928251 +1244553003 +1479002669 +462638345 +751956094 +1922943233 +506849472 +657953246 +857615724 +777690475 +863457505 +1886795441 +1788067946 +1028898193 +1055222066 +882271439 +241783939 +967966959 +107397651 +1224130625 +1124334784 +1698674060 +7747698 +1282669791 +729133000 +1884853993 +1796905509 +1377415426 +1348334322 +1864542074 +1044069481 +1331778925 +961611429 +375588503 +1794417270 +1713567523 +151048088 +153783094 +224037121 +1008663812 +931473570 +1087494627 +747975606 +572057868 +2116392820 +1803197672 +1454329307 +210693111 +623680983 +1561726958 +1434823736 +1748015768 +1112917370 +1442571435 +883201911 +1842050371 +1179941780 +532623772 +1071982149 +380792454 +249682199 +2116051631 +1712571379 +1211293628 +344156486 +1359505001 +777377504 +495204574 +1513288096 +1001414625 +1503868387 +297278018 +2088909252 +104360345 +869335886 +2057818424 +1907558017 +176181545 +121027888 +383755352 +1737908504 +1555851624 +2131771120 +703342226 +850939411 +867489383 +397908949 +2030881191 +1400113156 +1469891099 +264189997 +1649795355 +1438459082 +1976761376 +713605335 +1782615568 +1188782730 +1490982839 +130336494 +554587178 +344913817 +1634204881 +851865196 +286339421 +1738565226 +1721201082 +196674198 +1498639595 +1897382627 +317702086 +1882394948 +1487807483 +1873553710 +1866682420 +43666062 +577009474 +586688156 +441575011 +460407017 +1986801312 +1911466110 +724597015 +1489113019 +1202441544 +553874743 +55234706 +837573464 +1742657473 +1546217546 +967909959 +149761003 +1891131363 +454631192 +1001626199 +29987136 +45712771 +575343633 +226661334 +1544352366 +325242613 +544363420 +1279263666 +1813050096 +270433483 +998462439 +1856716158 +847442957 +1585150595 +150807522 +1307849974 +1424468259 +2062273632 +2032446989 +766097630 +1117231529 +438838085 +821332336 +1954804993 +34011910 +220066234 +775231304 +183772914 +2111197597 +1229862497 +1185399113 +2141184734 +1275575268 +1760742747 +220362420 +672443986 +2085985360 +764725841 +1951707653 +1751551808 +1035159324 +802686444 +1460784319 +1882602281 +240353391 +1611591841 +1042968607 +1664821650 +1526381825 +927931949 +283435632 +496129706 +1366770034 +1104767968 +303451052 +1400781944 +1324834203 +1078682356 +1584554858 +1288548152 +161061205 +622470324 +1282249238 +1436636473 +235729423 +1502611659 +2109080460 +174231135 +119853852 +1913304465 +1925782943 +1155013176 +568507261 +1239083614 +890131809 +808860652 +703191807 +1933100416 +326198654 +82089985 +713548717 +609634286 +578219691 +2080318751 +1714402254 +881670743 +1333617048 +891752809 +1960353100 +770688258 +32817314 +2121414305 +1393158582 +1315066552 +1410567131 +1628888005 +670194563 +1372163943 +1803119140 +790048415 +1137984760 +1581418436 +1945061591 +1706492021 +673018402 +687709752 +367869025 +1376210210 +473326521 +694067679 +1458300195 +1186875238 +1303701965 +2036519886 +1119710342 +870620571 +770706982 +305843742 +1762373381 +583576434 +1076532000 +1795190695 +557507091 +322206935 +962773599 +1968074222 +1951094940 +1632968163 +1192754517 +1606730433 +275532930 +183255629 +1040665221 +73110874 +1889747650 +1713683623 +760820626 +110133027 +942410185 +1234147147 +804200706 +253226732 +273538738 +2107902671 +142262971 +1393249080 +831039595 +912969953 +1699092822 +445929328 +1496546387 +628141174 +93636375 +2054053478 +950348109 +1056409974 +1874644053 +753959402 +541894489 +919914922 +213206187 +817427420 +1103170552 +1253871408 +890538294 +845434554 +820071383 +1651358920 +955567582 +1762481569 +738022420 +1759768288 +2015708301 +1011561158 +1720187312 +10487624 +257326590 +403743259 +923457577 +1956419412 +849672587 +272520316 +437076938 +943308962 +179090147 +1387425048 +1999718936 +2053734200 +2141384450 +394129778 +826165474 +207106989 +1211557198 +1929336026 +1460978397 +2102095492 +627286933 +133566132 +1605970764 +1582854515 +1896047701 +196509536 +1195139155 +1764272355 +1208070694 +767842819 +1774759979 +1465397284 +1171586078 +550733909 +1274333048 +2021258665 +823254225 +1711409987 +817083979 +1002344372 +951351387 +669319268 +908594924 +945252189 +1063449046 +1734760399 +1152359178 +127522596 +1516612777 +465853927 +82134440 +2143899710 +599420059 +1688105204 +1579270577 +347984113 +1884614741 +626926085 +2112256468 +945201787 +1394768904 +1739532799 +263115424 +418871335 +142783060 +1537448472 +292646352 +966037286 +1101374811 +1109730332 +1968381658 +2052726198 +1779049600 +729492935 +850494739 +695014998 +316769686 +2002853917 +822537594 +1833382463 +321224196 +904672034 +1829798526 +920644256 +445293590 +1261585455 +1268628369 +182424683 +1888511540 +1233401189 +1127626471 +1135796797 +825450340 +1390741895 +1554668132 +968233401 +780706719 +1847314484 +1934270687 +1882081531 +809561168 +1755168697 +1787324081 +441127120 +337177984 +490335173 +1136142118 +653947670 +345705442 +1958679712 +339846486 +666929639 +715868098 +22161364 +1587573895 +1161161689 +1283746819 +708718616 +1343586372 +1024774712 +1942119805 +323729195 +13087861 +620086497 +1714471090 +1567755993 +1588319898 +347694162 +1267586829 +1375106937 +82292045 +2077147998 +982791987 +1869616126 +370791470 +1319969971 +212467651 +1506933589 +1973917642 +558173094 +1318129653 +166280480 +1225102733 +2033997752 +188441844 +665192980 +1047675793 +1472188663 +1373911596 +243778517 +349479727 +1168547753 +567507713 +362567588 +1788634250 +134495155 +1930323581 +1229470501 +482189317 +1050426763 +457093790 +564481362 +980091113 +1439885777 +286613841 +1350882583 +612372101 +499081492 +710332524 +438806095 +1057254586 +2028462178 +605086575 +134873671 +1914976282 +793528419 +800066651 +815168427 +118233434 +26494599 +1058946944 +467713162 +1195042352 +1626454657 +830280750 +836192955 +1760949813 +613120684 +2065663456 +95655482 +1663547447 +375273598 +660136845 +496154912 +1815159376 +946750686 +1847037495 +280047829 +1445832178 +409886372 +718853924 +355603117 +290864902 +1323940499 +490476788 +58357536 +2117468918 +1290543440 +873525963 +88218704 +1317038039 +1932472907 +555931866 +364596744 +1411443917 +1386212617 +1200789699 +1024910082 +1999333301 +1118969507 +1120565564 +1515397100 +1494243105 +1780702409 +2011552012 +1161918833 +579969447 +1711105859 +1441966662 +2025801626 +2120992231 +13336938 +233921095 +264373485 +1337277437 +724397883 +322731021 +1307262707 +2014941323 +1196256984 +1395481412 +1184495715 +981246244 +1951413278 +1549092459 +245206513 +1190142247 +602398510 +1270116595 +1041991900 +1721368017 +243198511 +409905352 +1068127474 +2023900921 +273973716 +82562660 +456386720 +1985079576 +1524529322 +334704698 +1958588159 +1537866261 +568625793 +75477997 +727660050 +1293023677 +398209018 +2034922758 +1160481352 +1594466003 +1282920522 +197493419 +428228599 +1086850152 +1746585878 +673435112 +129508752 +201500740 +1943551707 +1171500652 +1922868757 +39266570 +1581406005 +843512584 +2063167491 +1855379721 +926075244 +372070564 +1692975649 +303120918 +706775262 +1504080161 +1840987179 +1275401056 +1579558158 +421163582 +420941085 +1977767176 +308602692 +1581422437 +1424749531 +1591523214 +1778915857 +1852978130 +530889718 +1378018087 +378929594 +660398470 +1579518828 +174997653 +1831899123 +1354903937 +214264224 +1265821480 +50932873 +129948067 +973717553 +977008117 +502018631 +519209555 +1280129036 +1208793894 +2023289716 +973632567 +336711302 +1455364226 +1394796149 +757652387 +1285647754 +1703398841 +191591176 +562913638 +1147438407 +1970507033 +268408120 +1678328126 +1201041473 +647337715 +191242948 +633076653 +822335368 +2023142071 +1987980590 +1036599592 +1141479903 +2038913464 +1166547660 +2115197457 +868437933 +1668566291 +486923364 +1083321 +729876537 +362729432 +974715889 +1066587839 +1818093658 +222028390 +1824240226 +956257764 +1925427232 +2015831403 +1519171402 +925381991 +1838854788 +1787579523 +456226469 +892412613 +287433590 +647469418 +1525489266 +1109768958 +523127841 +1365986209 +2146368551 +1664607745 +1257416025 +1165432563 +1632321554 +2125853958 +686515206 +2119244918 +2126937280 +1416391744 +334490702 +954169521 +335495935 +5100712 +1176197911 +12252514 +961358476 +954141495 +2028083917 +333046231 +1879523487 +1719455057 +2120625754 +188266308 +464384023 +260575696 +835735726 +1989873289 +1370344654 +1358863568 +1208375850 +1369229557 +875987665 +318308227 +387178472 +360825571 +296678538 +1073693679 +332586841 +276132170 +342601775 +667077543 +1230301691 +678097710 +672178255 +259015954 +690350224 +1633536731 +1213157450 +570950493 +1966582962 +945197289 +142921903 +1939725068 +1133463597 +607305926 +52817116 +1969199324 +449695567 +1423161771 +1180579244 +1658071418 +644907680 +2056566909 +1976379645 +1032086153 +269908832 +125574535 +2105779832 +602495673 +401706705 +300897959 +1269573216 +1632008396 +978995669 +1941751471 +1891024351 +1669345894 +1427804554 +956698153 +92812739 +1246903869 +1901895442 +235734642 +1039145289 +887875391 +843040568 +1091962406 +709591067 +1292736136 +367640529 +1890170311 +803323906 +1012548209 +1799253572 +632219903 +2044634362 +2069162404 +757794439 +2002930546 +524174429 +1159501144 +156344857 +1793747645 +644025893 +1135340527 +1588015468 +387566596 +657202773 +868336375 +1344264749 +750015512 +2115240244 +1098676543 +985750155 +1006901885 +1986551934 +1828790723 +2098864291 +548659354 +974043211 +319021172 +291346017 +1777367117 +1331569382 +2090599590 +262103373 +1228720096 +2012278346 +1019897812 +1084166995 +388969128 +31915308 +1240511852 +35233125 +675941201 +228368731 +1623248594 +1063507797 +885571504 +344101321 +260288898 +1635587017 +311857917 +1358965441 +473853524 +1318759802 +1198033728 +155160599 +1270140446 +1746693082 +1129203811 +1589161618 +2038039099 +759087280 +773247352 +1981155041 +1021190653 +2001967449 +1845949740 +2041088465 +938650796 +87435220 +2073003774 +31679000 +122668345 +601461327 +260047732 +1745916939 +1664969125 +1145619236 +2090018260 +1925258023 +633722605 +254392529 +1136739817 +1107576129 +1573152332 +187289897 +1262736729 +695809130 +1933982979 +244456892 +137487100 +1824538430 +1003544172 +910734453 +1658209824 +2024734826 +765218254 +1356675916 +1918339643 +1703869050 +1444111136 +1843859769 +1735548050 +1566779481 +297837449 +1995595782 +1165212773 +1962806574 +993731371 +1107747385 +1740580949 +1627453976 +1362139915 +729837118 +587546458 +787808599 +917127015 +1850283187 +1483617729 +703626346 +2094740079 +1621104829 +380681129 +950800603 +384355634 +2038890953 +828051781 +1149573888 +1248083221 +598907777 +705959290 +544710709 +295283898 +294023693 +2111490190 +593121347 +142135827 +1129219315 +408444273 +1135867198 +89483053 +1541575 +615837527 +1451622968 +731378693 +1203383985 +91947919 +1648505709 +906183524 +1575565648 +204648407 +853439955 +1049186829 +585329536 +1804240558 +1433542464 +476736841 +484808692 +435632704 +1724820062 +1083716469 +1141591995 +122047123 +1379000367 +1435615688 +86053666 +1972121715 +1577751515 +1215272981 +233082340 +566135066 +1304756034 +234623915 +1181972593 +608895354 +966002609 +237872930 +700843273 +467024670 +1144056454 +128925273 +671673077 +1997496409 +1178112103 +1257002614 +1654253319 +464170919 +1733739455 +2139062011 +899803623 +1311075870 +1075294832 +2041395618 +1433122993 +306811552 +1329527658 +1519176659 +131449619 +759795526 +586965993 +364531959 +1325930592 +1891722027 +599155875 +360419537 +353133734 +1565158484 +598292467 +1053977007 +2032183154 +1742348921 +1182902281 +556372583 +1592361682 +213530736 +1813375197 +1099131353 +677701655 +1399631005 +1090709717 +1577505278 +563223227 +18520901 +1471417249 +1996346220 +325332453 +653461259 +1368039232 +456782072 +1413256785 +1955005225 +821314032 +591703729 +1699243604 +1420469907 +952123266 +2052377338 +838144743 +1550415733 +958870698 +722844249 +1145281006 +2141772979 +1279216832 +590159040 +207820067 +945108382 +1689290394 +885521722 +197255739 +632516463 +315543352 +760478966 +651037364 +1786960601 +609341538 +976369818 +292938213 +1977380770 +1433151890 +1706194998 +1784902347 +106982274 +150415080 +1336662304 +1527452181 +1102538346 +1241555994 +218113276 +505470432 +52943044 +940957525 +1650751438 +47232375 +72690710 +93426831 +255052442 +1017799092 +1782717225 +1140574164 +1215054831 +267750040 +1456117517 +1975533797 +918787404 +1095594470 +437391687 +1895157222 +1388532683 +267288810 +1180825465 +947244034 +2052191157 +1287807739 +1097659114 +1241369813 +667776273 +52713812 +335442160 +885889549 +558184244 +388385204 +1826847075 +61452035 +435617580 +1899537785 +154878866 +690670022 +769853229 +1937596091 +1831244187 +1984908060 +57862483 +1139878056 +1812958209 +976649887 +87988878 +102866248 +724323462 +1476521562 +370155058 +1905148927 +276281948 +274862568 +1045473018 +1373941062 +1516232381 +1713249291 +1426654874 +1851674541 +451655193 +1984839119 +92576098 +131018620 +2046291154 +528193678 +2030556405 +53686372 +1218863700 +652925986 +1991282463 +902624239 +490350398 +2049144946 +2042502295 +155824959 +878311185 +2130491174 +258691207 +1602634647 +1459529088 +628846266 +1360299926 +1735811036 +903708834 +258289297 +962268450 +272457567 +1971538588 +241439676 +2124132109 +275710133 +78795147 +69224559 +406728753 +2125086301 +597418237 +289801510 +31289025 +1816281937 +942727496 +2022571488 +571422529 +1433077894 +1924232786 +466441176 +1588902853 +655060324 +449448702 +1847594061 +110211323 +1908977790 +328956679 +1470511250 +1497305178 +1232665513 +1728800547 +312089980 +1505123080 +1552855487 +553529657 +1481771541 +1828565621 +632324804 +1550996100 +87810726 +609927458 +930689 +377612237 +641216483 +1817212627 +1320339733 +516304324 +241151508 +605933980 +293053462 +707592684 +47353185 +948113786 +1157041387 +1894947246 +1058325110 +918535529 +76420277 +381352712 +268357060 +1309085790 +2110153259 +580447040 +666725223 +1515525098 +1133976697 +1013116 +1196607071 +1766301502 +1552009217 +1284417798 +228745312 +1552939906 +1662030035 +869961795 +1222668885 +834886120 +1386266119 +1463820393 +1440820100 +1679319582 +23929430 +1488173286 +479949720 +1180970817 +1235636884 +1538274830 +2099506346 +1312057162 +1919627542 +220379758 +473659304 +1882297153 +800826799 +1140384527 +1250338604 +1934803496 +1141397644 +299462027 +1553621350 +545923213 +1583879825 +1782366662 +2098863119 +1098426212 +504844810 +1174048357 +1933312333 +1891110929 +490385102 +1226648785 +1422946863 +514314532 +567338423 +1902896584 +1695285349 +1802975308 +1293687766 +1647308048 +967548822 +1065831661 +1867687806 +1441208126 +800645166 +521030957 +434109006 +2050983770 +308350806 +1575506650 +202962150 +1861972156 +2121429863 +1786841975 +1496855171 +2072809334 +737784540 +2001699981 +1099374043 +523613225 +1745327262 +1589759146 +1750262010 +1020790478 +2104073678 +170116786 +776203414 +1651875380 +1973092094 +2069891180 +1151699780 +793157268 +988239193 +871903938 +86881746 +1788884360 +1392934896 +520990752 +1692384482 +1701285702 +2096497402 +1895346632 +1415774210 +2070443617 +1534704960 +765145733 +1995769304 +125005852 +619362066 +947659699 +648619077 +217205681 +389935197 +251397439 +1237996159 +346525228 +421514225 +2014199573 +1998400608 +247122671 +1936607105 +1002616740 +1040279939 +777362651 +1874520678 +1127161686 +418763363 +1119971926 +1648152438 +2111147845 +673773980 +1597166193 +1859010830 +2089548191 +1520126162 +1246232142 +707210276 +1368411818 +1371237994 +1326572343 +168587870 +2019857071 +1543778024 +558523067 +123770862 +634290535 +905048295 +545285088 +501006460 +755965255 +792407759 +290129917 +1758581995 +1832687699 +1067492568 +1485619026 +812365737 +1486255931 +458107304 +313034527 +1449920129 +1131881285 +1910200720 +1161447311 +1073945828 +1282843235 +260195805 +1781156104 +503771405 +1631433799 +960244799 +672359275 +1503807222 +356539175 +1230882343 +1627578084 +990829710 +2135930638 +25379524 +1491836170 +744412246 +817787284 +1781966088 +355510593 +502991335 +701975008 +1841129619 +1315357072 +40747292 +151753276 +1628391599 +1490667421 +1283634561 +1391108672 +504631084 +210096741 +526468259 +764826889 +1991252845 +1030239664 +248777040 +804013997 +1702598940 +1752584262 +1160553172 +785997635 +1232678698 +3899235 +774444625 +1258058223 +1495735405 +1518856871 +2075845507 +1130217845 +1874367465 +431353194 +1832192854 +1568013436 +1746710266 +1872940146 +1719766712 +1227618217 +1216123919 +855917625 +471243241 +1720755003 +1066014366 +997711500 +338098244 +909783564 +2027951165 +586875284 +1713797561 +1583066457 +191975898 +726867085 +221580444 +1424654596 +730766320 +996025069 +535229171 +79018078 +367398293 +463591030 +1209235923 +94282110 +894944224 +893945129 +1662295546 +494170842 +619401627 +1234578611 +1721789060 +1835525546 +2090496236 +45548653 +1408796901 +1009026955 +1043260154 +1746895145 +1918810519 +923727671 +186286781 +1485124432 +359310480 +378262679 +64507869 +580890924 +1802917276 +795274190 +1576915993 +190662799 +874292268 +1944314286 +654253830 +2083528191 +2038596396 +1549198054 +829989673 +1553408295 +2043368897 +1449391300 +640503258 +1617674309 +1137433199 +583515846 +1663222962 +398746452 +1592542801 +558999468 +2145641598 +1363869672 +1482727139 +184444731 +701510456 +1842037619 +562707411 +766018326 +275444895 +218141039 +1561292516 +1852360889 +408803838 +288101136 +1649191527 +1063057668 +224145679 +1540304276 +464772075 +1054135352 +946228923 +360657324 +356043005 +1586732181 +1978331633 +1493476204 +22764379 +1494070947 +1892222656 +1615307181 +2053070416 +1890380606 +831693205 +1388313907 +2074825338 +1533203662 +1082867879 +490049101 +151738340 +1358312774 +708190140 +1713030856 +1063190015 +1116993978 +2001131992 +564897895 +32567999 +77794023 +2105202171 +497340074 +1131929376 +903947446 +857997398 +1487972381 +343195979 +688845383 +833964937 +365960358 +35432682 +578703945 +1981267539 +2088503098 +321600904 +665477097 +1329333358 +248942594 +51197111 +264717589 +738991695 +202935451 +1623030363 +1447181835 +1915966307 +538736731 +416692165 +1769614651 +1103634626 +449260164 +1847408674 +1061353149 +946600238 +831854402 +1965300595 +1804597636 +172343135 +161012926 +345959371 +1006308072 +526973284 +381392054 +1585012018 +360757176 +322411504 +1906612922 +1026234273 +1651744862 +8071868 +1077431384 +1916462451 +747063563 +1280366835 +1392009167 +46761750 +1048849494 +1930745898 +463453915 +670980497 +886896876 +912714080 +370905523 +1948250025 +1859314318 +1202759926 +1766066972 +1516428307 +1375103061 +1927079898 +1862387678 +233927486 +306569534 +96296084 +1818939504 +667326710 +418707589 +1578068778 +1693560983 +2070452451 +1586140646 +623508719 +1839431255 +185720561 +1903875554 +1083956774 +232482311 +805241400 +867219024 +695936226 +1476221897 +1754115900 +1608650306 +1847127421 +1554882277 +1320480977 +902403699 +1173465601 +689425636 +130023112 +953061851 +404329666 +363950598 +1259631385 +500625751 +35406454 +1926958096 +919333340 +1613475232 +1473035431 +842302143 +1052132230 +2096544151 +534249750 +1237852791 +1852936057 +1618206524 +1470335102 +510693810 +337941900 +18787681 +1986915707 +2092057800 +1627437987 +1686559480 +1499456429 +800435316 +441479531 +525438382 +1489860952 +571502644 +1478500233 +1894190619 +935453242 +590647971 +247332722 +970859697 +370122419 +1166666062 +436851281 +1843157850 +2008968205 +1488983512 +1792218353 +395734308 +579352655 +1497670763 +2013940832 +2049687758 +2008364573 +204399085 +2068475439 +1847796632 +148973237 +1548429778 +1386872465 +1648429667 +201381447 +1828351996 +26384401 +1691242399 +252370992 +1504884635 +1437949370 +1187824235 +2095532606 +1685282092 +11200284 +318171377 +704464506 +448051565 +13845579 +565949064 +1937035077 +1806063933 +961683372 +368904085 +1156251048 +828140556 +271108195 +1017131973 +1032539641 +192099986 +717444957 +1181512879 +1740529764 +2104317422 +682458898 +1941911211 +1785185771 +708843299 +1485669963 +2037556763 +66244286 +776135685 +1077897350 +14293244 +313934130 +1089097634 +332464621 +1018398636 +1537149200 +346310201 +1584347700 +1326700629 +4890486 +398547424 +1695604714 +1161141534 +1226687981 +1966712909 +30789859 +111743974 +11329247 +748234816 +1293256853 +1751859012 +705068591 +1975715751 +1546286575 +342770714 +537075403 +884472890 +232843829 +603319689 +1660608576 +1310741180 +617612934 +1974542706 +252355166 +950077555 +845457694 +1789504366 +1296387756 +282321747 +968721348 +1301278242 +680869171 +516842414 +314936128 +1907557152 +336071676 +345725987 +2019301127 +347400923 +1093960804 +1165074332 +2099259935 +1799029395 +993306436 +1498062863 +2141800109 +1530381839 +235052105 +227160290 +2133701528 +1895660681 +1537901470 +603830814 +1722719739 +1790256637 +1553908370 +420693786 +1432277355 +702812478 +703015533 +253515055 +2004090721 +1383884704 +770357470 +171543201 +1143958209 +1106429146 +517269189 +1015775688 +1453830069 +1611229993 +33366372 +1405606357 +1262775740 +1026672808 +756185572 +1257092201 +409570999 +991237677 +1484252491 +395788880 +739414711 +874670314 +999619694 +314650802 +517443303 +406044416 +735344588 +1949720658 +1108856895 +1438360121 +55752066 +965463968 +674761178 +826109536 +1137007169 +1818719387 +1932538682 +1654276358 +687011427 +1238885103 +1118022703 +720377799 +497007812 +233314795 +1747050608 +1253193384 +1490406996 +9137959 +96947414 +827175840 +404926839 +836362125 +1701846154 +1404546534 +1151012927 +71805809 +1810590950 +1886357516 +2021526467 +771964197 +1177233989 +2077278533 +1737428165 +1851995167 +755904421 +726951687 +1523230906 +540959455 +233744397 +62758685 +1779844559 +1351767101 +783136485 +129368723 +1585081896 +382703445 +1382562108 +928005245 +391841404 +1479509522 +1755181085 +796768244 +168387999 +1309543591 +53831130 +1319400926 +1381349400 +1864422080 +1058274794 +1255392219 +488902630 +88025136 +1185187105 +78847147 +1940020303 +1941091526 +805798834 +1315767562 +334567334 +1039543232 +1378526247 +2114411893 +243826685 +14179084 +96296968 +1828908581 +396882529 +1478859076 +609430178 +788723934 +810884950 +217127615 +1585492178 +979272949 +1526671206 +1639323308 +151190228 +760536958 +1356261740 +1209465022 +2015929178 +1845164370 +1297490158 +1053632635 +1924011518 +1090026814 +847240513 +582326704 +258310728 +1181807847 +1621869936 +1636836975 +1148736092 +1865696621 +1651016060 +1245033061 +1547121555 +2047898589 +576408489 +9068085 +689138875 +1387293440 +226195701 +127147405 +219082741 +1752866907 +1766470713 +370272969 +365920218 +975248806 +1579737992 +234365748 +672929528 +729744502 +1287998383 +449457398 +1819771316 +2135238896 +1031784103 +2078082044 +1169563096 +506170391 +1567435372 +170815540 +224383365 +1070967784 +1415848601 +1771504920 +971382725 +1992257091 +1780573005 +1660521601 +1232066883 +2006768706 +1787669006 +1451149624 +1612151966 +1406656072 +1821422594 +1978072184 +234421230 +1253676938 +64954284 +907350758 +1983421440 +1352952667 +1356808157 +1655709109 +1340707915 +241108612 +1586307505 +362787363 +747279003 +1006259229 +533602904 +971662368 +2077227013 +1949451505 +595683640 +901126091 +1794224948 +228772998 +414164044 +878808183 +88058056 +54349402 +182474160 +1700210022 +1461005474 +2003896754 +1530798558 +1695426704 +1110090044 +1595752842 +455293815 +946027836 +801221861 +1812101972 +454253297 +2141929777 +2053210584 +2040560803 +357233492 +653005939 +899336384 +890836396 +1624668308 +829079750 +692804254 +72868300 +1730205841 +339545554 +301641298 +2144369885 +1218353738 +389699355 +51235639 +1400827898 +2089909377 +1512241114 +1257241004 +1473224288 +1060184170 +219847400 +921493482 +1515477985 +1165875236 +1722715344 +1180096309 +1620128534 +1717161473 +1085823245 +1513205689 +2074394965 +1738829185 +265058425 +817747714 +1216013845 +1094138175 +1510551968 +1288882145 +676860368 +1850097522 +1590523444 +673746605 +920967612 +1980222799 +724982245 +174311862 +1922648528 +89739711 +1431552866 +1248389168 +1149923881 +1651400266 +22399003 +517918219 +669791855 +1745114347 +1698014528 +142436741 +1314792172 +636354126 +1655642430 +1241703489 +227699663 +1920700855 +2059451203 +1443713508 +867355383 +1422519523 +585112005 +1544215751 +1125133398 +28151801 +70478709 +2046101010 +2008374600 +795460954 +72929225 +1783539481 +885200665 +1504482091 +884445001 +2035124546 +1008398710 +906844004 +405559117 +1678190565 +504474703 +2103573646 +1820627306 +1819266875 +592444124 +1328786088 +913486717 +820143787 +1102003295 +825454272 +116373647 +1969358678 +100490148 +701485652 +1366090782 +1225623546 +729637454 +1436569491 +1124240908 +590528406 +84546797 +1197170133 +226584239 +969747462 +554168577 +1111029241 +857388360 +1562567287 +2017873245 +1262947478 +1093274204 +374864301 +1219037476 +766417862 +46647528 +1811481600 +2095203950 +960134245 +484141739 +1049723597 +1785588518 +600515386 +871598628 +1886078666 +1302001038 +90205762 +964218564 +2031638492 +1526775253 +2088459472 +474683251 +1611322050 +1138145958 +701267490 +433585864 +1692314535 +1812296731 +1290974224 +1107398174 +1682686329 +406438054 +53188730 +2057550630 +1625475530 +819606592 +2104198158 +1289473482 +767326894 +916848756 +1773615221 +1817050491 +554953626 +226646959 +541165471 +293548644 +1528647998 +631371233 +1257767208 +1412802842 +10662838 +1198743032 +1887486093 +1621984888 +189405342 +441269936 +2055570752 +1881719877 +106083019 +1199061329 +841634403 +1788769348 +1605499383 +894823133 +1698836330 +1083491266 +1714429725 +1655550841 +225481100 +334272971 +424915949 +1999096322 +3839815 +979869575 +78259633 +545005286 +1273418219 +1606907631 +1176376520 +383701779 +872226826 +1187039358 +1582444811 +612229271 +661540599 +1771850154 +1053499207 +569627703 +1506086383 +1159582227 +1768689032 +200237139 +800867927 +1226704768 +1095060272 +352220610 +162712386 +662006350 +2007771451 +388193486 +996279321 +285203752 +239806160 +1000119136 +1265073327 +318065794 +1545124423 +391007898 +1924973425 +574017295 +774709677 +649716603 +1761056653 +209670840 +1261945875 +275113604 +1981520994 +167961434 +844741308 +1340123730 +1327543661 +465946692 +1540360869 +2128411589 +1692651460 +487937493 +333148551 +1855363846 +1149943843 +193436354 +96073685 +2146223165 +478640106 +335879845 +998858653 +1743713433 +653945639 +396499428 +2134721331 +431435417 +970516723 +761947360 +1081152020 +584089729 +971618200 +195614247 +859203333 +805655547 +363575682 +1703944641 +2145779277 +1691119343 +22407686 +1538656498 +1672047284 +1715059146 +2026593991 +2005195835 +1422939345 +1029054187 +51148541 +1519013030 +1027793704 +529788647 +1854892875 +2026652357 +126018432 +361354867 +275668138 +113256115 +792790284 +1246184861 +875203475 +1873942304 +1830274590 +1846821676 +2069556552 +541994276 +504993575 +285648586 +98455269 +503289204 +1976767929 +120862955 +2041945702 +1501331566 +1835922102 +1921056045 +1359043753 +1111377799 +802626584 +1410192295 +482907181 +1830420288 +1939980942 +190316408 +1709588998 +2065999375 +551671275 +1985257136 +31771842 +1344461559 +1083958349 +906975318 +1070920216 +766749292 +606313346 +992993120 +1308743568 +1111306921 +1278641706 +1407198837 +1614596125 +1107925987 +1528061793 +1509058179 +461773905 +1216500247 +1282630576 +1820817659 +180394398 +2085257161 +1083526306 +663301579 +1768193801 +876023600 +853617987 +1330299151 +794539327 +1405289263 +1168072639 +826311170 +602267174 +104547341 +1733286488 +1673187390 +871296633 +192116186 +518696862 +32556553 +1303423107 +1797338568 +1439755390 +770535584 +757780908 +820333535 +132110115 +1219554813 +2036833782 +1414740691 +892888824 +69744532 +1352514204 +1976415130 +733046111 +973224358 +704955083 +1586664099 +156039861 +1499494410 +844469714 +1324112501 +178321932 +1446736888 +1428659842 +1911608420 +972440631 +152472827 +2103724606 +1491137493 +185029380 +1259664065 +1140992414 +1624784770 +2030199649 +1898773322 +297634658 +14826116 +970844487 +186984792 +1429566808 +1863733312 +256729325 +634597364 +1692664794 +989775436 +1607821722 +250136229 +428955887 +1763861584 +1749630640 +1273425601 +940490437 +1927952572 +572678842 +221666631 +1692077345 +1545119473 +374139458 +1648318303 +888773318 +559168838 +760498721 +2029765732 +36469960 +643214722 +1781055406 +334104618 +658040839 +604416246 +521089411 +2087607647 +320665910 +777818736 +574721363 +2013330704 +1767594172 +35059438 +115983286 +49066412 +1798921022 +1865613926 +1322492013 +591927811 +1646082850 +1895170855 +813594442 +1190676547 +1292806680 +1187733900 +691511203 +34096351 +1746902738 +1452009924 +2063862083 +1783372698 +2095224646 +1697433842 +2117477317 +605781837 +154366440 +491083080 +545905836 +475032350 +1268901816 +1120627200 +340879406 +889012340 +1155686638 +456862692 +938078752 +807124012 +174992970 +113087118 +1399051823 +1821075821 +2008257973 +65162617 +864268720 +1153581006 +1252896517 +1555779923 +1187677357 +852315607 +860306199 +1104055792 +488204657 +808047198 +654005986 +458198326 +1413829035 +808372426 +949281406 +1959734872 +1283404776 +70699574 +932878424 +1624284183 +959711915 +2088565062 +2081146875 +1897790667 +748205426 +108656198 +2010877785 +2147257249 +1929732019 +1871652111 +64936218 +646517091 +877749469 +1317832735 +54813367 +2065426826 +22664694 +915119566 +1021998970 +510869351 +1723166764 +1676004957 +969067678 +989512152 +336893735 +1918349084 +801763376 +1620298512 +1989048659 +1734641800 +1097099047 +801276926 +1675723214 +1030762274 +551583945 +276444992 +1139418472 +414978083 +276218593 +921666843 +139146546 +341154811 +1568183935 +1016896015 +1658987546 +1622997302 +934839193 +1681652240 +390633220 +1956838163 +45037943 +2113799985 +1485359472 +1014105621 +955828489 +1822253208 +784971058 +1757591865 +1295068072 +626536069 +1344750017 +244683471 +1427812995 +872989583 +1275445745 +1979396940 +1149434575 +267380570 +246891375 +1425653168 +1189047413 +386037921 +1766807979 +609747700 +1402933936 +1278311877 +85261354 +190289481 +812480469 +475894575 +2147127645 +857518412 +442210912 +1485003469 +1871624034 +1398039401 +1159773029 +509111444 +1008147618 +307357453 +1135647513 +205413987 +552040924 +415976860 +1078403570 +1827486670 +247890152 +80354497 +2094867240 +494781528 +1506007665 +1136431005 +880819449 +1125331996 +1746178706 +136269738 +256160225 +1831440060 +326559219 +1068640694 +159850987 +326203216 +1926159106 +602061899 +1811206686 +1650299492 +2000101300 +823496067 +11927288 +860765270 +1130853521 +1147574801 +1066179257 +1682894445 +1563551661 +2144582827 +1362897467 +1811441814 +77453676 +1310281059 +158739694 +1583461341 +299228417 +1039559143 +561309689 +2045407123 +1175828881 +817469914 +1729363535 +1502388101 +1886110608 +1889214523 +1828591317 +1664786067 +343792774 +1492314355 +1167601911 +196410427 +168326775 +1179529200 +1057175697 +1299180296 +179620353 +2123354955 +834591093 +1743172015 +2120454134 +50004913 +1407130181 +50424163 +1360285972 +1565869875 +1633885504 +1659514389 +457945370 +47711546 +1557437864 +1633774252 +865181460 +1139317752 +988678705 +603808421 +881048627 +669786374 +121110840 +1224841401 +14617082 +1288712751 +1421251828 +182943857 +320758303 +330943878 +1482124153 +500378657 +306815185 +169231598 +96067024 +279785671 +219236511 +1503197205 +330209834 +1579522484 +921583432 +1964095339 +1091553225 +1379528802 +2011806885 +501507442 +865819406 +729504697 +1640825194 +1854498111 +1333313118 +374390173 +376800838 +1454423958 +1599231574 +391417920 +595653062 +872999755 +574361777 +916411365 +1203943633 +2056485930 +1416790022 +1510758818 +78233880 +1512857046 +1790544489 +297470392 +868570603 +2120754324 +1876992876 +1790154035 +1937366015 +821062453 +1022199190 +1801689252 +1322569895 +1888018596 +383710301 +815911441 +1595033060 +1717023420 +1190301614 +1971833898 +1023963730 +642049541 +215768170 +1619616792 +1515049296 +790129947 +388544510 +571509281 +699132229 +1805334532 +2082268099 +777366109 +1170707931 +1725328940 +1074836501 +2039278534 +1698599616 +804345729 +1681948922 +1488481983 +1625408183 +556664464 +1142687587 +800494430 +297199412 +1526397889 +1616405872 +1892232472 +1095937661 +659223838 +1716582722 +2119901391 +1301273379 +1932350892 +1592034536 +668839027 +574997191 +1980579046 +1240348308 +1274129420 +1638429930 +1175132759 +2051495530 +661654213 +752978052 +978848383 +553449100 +304094020 +1783194113 +87914374 +1792576004 +1261118648 +644578838 +787779943 +2061613078 +941778250 +166694184 +1530535302 +686527075 +1262631845 +42275493 +255626149 +1235049589 +1343548872 +40493394 +679600477 +2012387900 +615490585 +512695875 +1105252560 +1889620006 +3642157 +132901672 +1793631888 +665296371 +885879724 +624996623 +1218745471 +1189973744 +260707088 +1306659845 +835066100 +1521825736 +1951238683 +1622846044 +1435955167 +745533285 +1789540228 +819006821 +1432060360 +904688426 +861282314 +1687686510 +2139738015 +57347539 +1728179904 +671854844 +2069735439 +196186841 +1184550719 +1027504351 +2085806847 +1188192876 +1160406023 +1731955087 +1853489247 +2046285747 +209468063 +924751070 +1088775844 +470175151 +83927267 +1923841944 +1992000888 +2035165950 +1399204340 +1280472407 +633215588 +1041260921 +2099479228 +2065275948 +1945949347 +813277895 +1605478810 +1938203714 +870625434 +1186175066 +462574910 +792877225 +1382361908 +1647125629 +1820381576 +1320685107 +687834857 +833303952 +905156547 +393840457 +732106051 +1114624610 +1318591527 +1820881895 +1584799761 +1402518795 +1597240192 +1429317001 +1290201097 +848960884 +562305760 +1923416685 +1890221805 +514301341 +1841208986 +1688687504 +1327579236 +1299204148 +1479407570 +50721022 +337895567 +1941982480 +843598247 +1720257475 +1441624461 +516496175 +893458934 +2129459319 +1349800127 +1798615481 +375816128 +2081906179 +765756443 +1694407655 +1755304426 +203072557 +949442802 +1205060970 +1632389558 +92160252 +2054021855 +47211671 +2015576937 +1796760012 +561513012 +1709302275 +1337963869 +1889092248 +861022776 +669887791 +1939813270 +1198918343 +464386624 +635927869 +771692170 +1906011085 +1152424044 +1665151104 +1887986756 +354740524 +1316282938 +116319236 +289163055 +2082039381 +1810726892 +2044467481 +137628290 +612686046 +1102044804 +1770017849 +704846298 +1008583011 +1817229520 +572939588 +657859375 +231258884 +134758215 +1995823244 +2120351132 +995780991 +518227388 +1912680754 +47215686 +982614012 +401124975 +818907856 +741141449 +1553549019 +336575313 +481644558 +1908289543 +1652858251 +597963794 +49968950 +1587413984 +261207038 +2094436432 +1725042275 +873893085 +1048997588 +1347576476 +1578739383 +2057580599 +1017322348 +4195323 +567956326 +1248581232 +138953539 +416295923 +1221448716 +1134734530 +934523311 +986645822 +1181950217 +1917137323 +1387770797 +2000858073 +510795124 +793836168 +189949738 +992439682 +554642064 +1842807989 +1590403477 +604611014 +1282738326 +1851610515 +551563798 +860296953 +578019952 +1600561386 +60389781 +9275688 +1510658337 +1077712129 +13471011 +2078614664 +178809713 +152424550 +347426939 +1400258429 +1287159081 +1281950250 +239420603 +321625650 +1051603925 +1627191400 +175000075 +1562399049 +273543920 +364949814 +407355084 +828185984 +60274155 +1997758561 +1432796999 +1343012481 +1701885428 +1984360797 +55825786 +132421733 +1437438536 +116215567 +141697421 +800613225 +1193927696 +155168432 +731744241 +1372737409 +307592983 +1079171180 +625512190 +1594752064 +213637782 +864932793 +1916377714 +1265241707 +344640545 +2091377789 +680157109 +618184466 +308843955 +1087512193 +1446370450 +369118111 +937787106 +731683801 +1712130592 +492188886 +568560951 +1767956379 +624610619 +2005999487 +1884171946 +766308040 +659129064 +930615995 +921476473 +1390873306 +155869756 +1229069456 +322560838 +781381947 +676337872 +536198621 +1646314740 +445231938 +1801440328 +1990955286 +389126079 +334113789 +461656104 +697970035 +1421625982 +1908026554 +1067088146 +211929440 +492226708 +631735090 +704118327 +1060787659 +252207821 +1328728946 +919303498 +2136379768 +2095036987 +1578432562 +919512115 +869029812 +821822220 +1075381871 +2098099268 +1144383059 +1856763818 +626953492 +1680581680 +1355594911 +1072185430 +1334538360 +1199066549 +1461311509 +1668652150 +1660722653 +11797896 +942794484 +1421265559 +1078886042 +1154723925 +1913492267 +1710621133 +1858842252 +826796278 +1962828954 +1040087550 +1746099776 +1951725074 +987640889 +1177048691 +723753541 +1856670701 +1998870911 +1799135413 +1807286321 +995770322 +1508415583 +286756165 +528868354 +716526846 +1358941595 +1863406715 +1915593395 +672769457 +1384575217 +1428832400 +684567353 +179886053 +702614312 +1763453396 +1334609978 +468622931 +1326590881 +1045968582 +1295419210 +1141936187 +2086056133 +894035338 +946177614 +926213374 +2071084029 +1669931155 +635400428 +1922471293 +1321582920 +295203101 +770757967 +682514856 +581959267 +1299626322 +1399041702 +1940900862 +1015549389 +1167151450 +466186671 +252640958 +448500202 +1150754025 +432527011 +1151114514 +766723773 +1767136990 +1619737446 +2093314654 +665621924 +767673008 +1087767193 +604194409 +1661708346 +2033944807 +1530407784 +1585308728 +1556392315 +18324564 +1360296373 +730491587 +313527665 +2131054340 +1413006443 +895486932 +1283197014 +664564498 +688904147 +151262755 +1831715948 +1155090818 +403903713 +132732502 +158361195 +836430725 +1283847017 +925084968 +456084067 +756100815 +870915974 +1121705991 +1523773823 +1958683168 +1725900401 +1037998521 +1845144327 +1108824537 +475823601 +1254052994 +1127149101 +1836119974 +1984544582 +1440676766 +1819690667 +1250067377 +188680051 +955404033 +1914631875 +877584198 +1106666789 +1598864175 +2032675016 +1510570502 +1731596678 +43552564 +199517579 +867960047 +968637532 +655601646 +1624060862 +1839553507 +1777307638 +1000351037 +1650753027 +1355724391 +2038349558 +1348413706 +317065280 +366689512 +454983053 +1444214381 +55325838 +292043987 +737407499 +1875016505 +1542111364 +926087550 +682936891 +1309259592 +1803671748 +1789603680 +760640119 +1688863117 +1152690534 +344753149 +1732415681 +1352208114 +1212713196 +553569565 +2007809760 +689290410 +245639424 +1637633750 +1689641447 +1896392451 +845874493 +1580507358 +1097322510 +1162939773 +1947196870 +1552305563 +459670506 +2002522708 +1844349550 +1197078006 +1730055566 +1238977266 +2123165556 +265508809 +400753210 +1779353657 +2055112489 +1161393330 +1320733126 +1060319375 +1506146479 +905665159 +265043841 +571376028 +1459234724 +125369954 +1260666438 +1704874149 +1763003704 +802824238 +1453782952 +461394550 +235847948 +403621814 +1624334323 +35561170 +1955927377 +2084004830 +2038083878 +1652793279 +1133599188 +1620655796 +744286898 +1109281096 +1886164605 +1145040108 +741151105 +1793793446 +158949790 +2061884231 +706629174 +1665096270 +820065742 +971673015 +88988650 +131816819 +1097042969 +1349655088 +1836690968 +712563026 +4995678 +1142990272 +1173957576 +240843626 +1546612087 +650808251 +276404796 +1355055816 +587329433 +167005027 +860365448 +1720928621 +1787660823 +1604652346 +682726070 +1526341781 +602208806 +1423877175 +1172651579 +761158597 +1338277759 +1879280753 +278771219 +10859853 +703470121 +367759869 +142676672 +1800513090 +1717414957 +1979367640 +365592468 +1722410636 +974874265 +1539550044 +1963254262 +374002704 +42874648 +92175411 +1729058520 +630204081 +259180438 +441940320 +203649055 +2046841261 +2046592666 +886375125 +1425699394 +501317825 +162768652 +450867326 +1262476422 +1501046411 +182664431 +1541247641 +1511906265 +886134552 +1909007510 +1654582937 +539163995 +1478938819 +1486466930 +904756463 +1053865807 +313857547 +296822860 +869636422 +687860251 +339697508 +961811833 +269435123 +969901589 +1220992271 +711375444 +1173550644 +1120349884 +610484462 +2059925769 +398565631 +1111802287 +75210774 +849432957 +226795061 +1576257185 +1032097388 +1768042702 +940679802 +1918231941 +1529566564 +447779092 +309912288 +861021736 +1934246022 +1214668751 +1914887543 +100619921 +1511491611 +637040317 +788480172 +1851189119 +1598852150 +1057915295 +673607061 +672360773 +1769290739 +1847157705 +1792710658 +232291554 +1759599827 +43792641 +1344093841 +1834810601 +893225598 +1570888903 +1263584138 +1925322986 +1191447957 +56780293 +1696071279 +573530874 +504559385 +2005983567 +1434552610 +291321759 +1073168671 +1201956505 +391941680 +437176634 +1838996823 +1180421852 +140882106 +1290365325 +90853499 +814489167 +1962726099 +1860144239 +514163224 +1607953109 +2092435793 +126279403 +1651745750 +1289045986 +1961090004 +397487700 +712451241 +1077190495 +175327038 +1903899199 +1133970788 +1871398318 +329946425 +1638530173 +1729898237 +1764499035 +1929851932 +655583260 +818971892 +174309964 +1092759895 +510485067 +1354731816 +1233642001 +1800850393 +1445585315 +2048131168 +1616092844 +1158245906 +414810744 +1076562305 +1103198051 +541090148 +580824407 +244760390 +354696504 +978312107 +957211631 +1431886999 +1153639145 +713627182 +418374139 +877553815 +1043573607 +2056904312 +459968405 +660588994 +1839272596 +1115551665 +1479560887 +2013582560 +60827912 +1990045954 +1220830728 +1294469913 +1643412699 +518932396 +1195117433 +1112021895 +1677178302 +1609928178 +41100552 +632892706 +3534678 +621924959 +877653096 +358231182 +1600237066 +1834864727 +1790118182 +606392564 +401008262 +61008673 +1483946379 +1444581869 +2117912986 +1943914784 +2105170864 +1809701934 +911982802 +1437248103 +1675800847 +972810714 +1279810409 +749147927 +119796980 +775739461 +1268080323 +1314914413 +1887761356 +797774978 +777358943 +1928861909 +1430667684 +780893621 +403303220 +160837132 +1139124804 +2003540287 +1995701859 +781759338 +462449203 +249226473 +842768011 +1946395582 +1693808343 +813197349 +1742826719 +1651495559 +475415636 +507325873 +941260014 +3732835 +1480136587 +73586775 +752880762 +1599933567 +849326236 +2020961086 +767364333 +589603945 +671252416 +1544723276 +370982206 +2101920100 +178133250 +774285426 +115273584 +1317258054 +630342065 +2110975443 +2099017392 +1092791268 +212718269 +794301755 +891703203 +1906526612 +1607499105 +487046274 +1410538523 +2082914741 +994372147 +204314889 +2086647576 +327025086 +277901664 +692044690 +1926958654 +1127227901 +565522128 +546839339 +1716831846 +1236774544 +2091562615 +2087814052 +1191210996 +122212217 +714615830 +1306484580 +1439470271 +1344957896 +1269976376 +1391004015 +290265516 +1482694645 +37822123 +1181968719 +1241737609 +1645321228 +1669014993 +504792484 +1580752321 +515903492 +709107373 +1519916249 +842928579 +987009037 +64477291 +622403585 +2114236938 +629999420 +1169242924 +1683585136 +1866773964 +1113321891 +1623915540 +910501313 +1235534109 +191047723 +69502245 +527520732 +1536005619 +1339478621 +1918524748 +1826271135 +674689618 +1956346871 +860756207 +1916427227 +1454184451 +382287552 +273736063 +887453124 +898191045 +982843436 +259885725 +1741119624 +1969852474 +324363016 +216039561 +1936605764 +954362436 +1385282485 +1472707253 +673652753 +351120728 +949139145 +1584154066 +1586654837 +1140186868 +1653656311 +2114175570 +528708839 +845651285 +1885216670 +207496327 +1520340903 +1694079893 +1068252534 +1289284483 +1000780696 +1450540086 +1563020546 +1888233820 +201247483 +398380335 +635897 +1942367107 +220749161 +324998913 +10923020 +9871277 +1279361350 +1396205505 +1482578530 +1953014103 +1747326234 +284234028 +1389684521 +1186497423 +1424420896 +895857184 +1153189345 +1953129736 +1741508469 +890922367 +13142415 +1114365725 +437518612 +1081394949 +256166560 +1438299308 +384451387 +1819187106 +1179049480 +585698871 +70083793 +1179685377 +380582330 +290832954 +1504684291 +391505351 +300704232 +636561993 +1787710856 +1783282762 +442092448 +1387553442 +2067516790 +1831776969 +426567218 +1344454039 +580150505 +1579756563 +1150100127 +174175327 +323195283 +1163242542 +1288541052 +760713895 +97153843 +1544707612 +51529556 +481605230 +1216411070 +1230579036 +1067304101 +1286494864 +262780766 +1447886432 +1577327818 +1767465057 +1839391783 +1878032050 +256543402 +1479618991 +1513831165 +698635850 +719688786 +1433864307 +382929171 +1146256004 +630834698 +963079676 +578528919 +1780934825 +1137255003 +901724202 +796693719 +278312407 +1662438098 +893847562 +1823020019 +1713967654 +1375452793 +891947442 +797063042 +295273246 +30958658 +1059843808 +1743159678 +1608286476 +679825217 +1435067813 +1338834879 +936368619 +767203157 +705182396 +1635004469 +1486891943 +2139046703 +2017933640 +485664299 +622397754 +833529669 +1064193218 +255848931 +1970784672 +1965917421 +1052542651 +101613432 +1480871871 +1946390213 +1924633451 +1047355877 +1174359358 +669097245 +1844418919 +1469632605 +700055903 +756779080 +1065308635 +160858732 +1436604297 +352892801 +1499693611 +225489269 +1120095958 +57392359 +1860493738 +459504253 +48955414 +1730943731 +945168552 +671353168 +416989752 +2009361770 +927202100 +240290776 +1827795543 +1979744751 +341904208 +1161183766 +1778651316 +119054012 +61055995 +805527027 +788151257 +1905474915 +127675984 +1488207161 +514770347 +1192984619 +1649065893 +1951374644 +1545877420 +1001275856 +29380265 +518489730 +1058668215 +1889874004 +977993983 +1107623629 +1473334087 +1923162535 +1778976798 +1890323839 +1785040658 +558695250 +2130614615 +1465352553 +390956353 +325035176 +479052672 +22124021 +444089188 +540108667 +827651048 +1232240445 +298099934 +955327032 +572963958 +812870281 +828004 +74546203 +616761278 +1546705424 +1075822059 +646141543 +2065195155 +2134490274 +388531899 +895705490 +1094630256 +1861865986 +671384378 +726123406 +1604706177 +308941388 +1284818656 +1587837145 +1774293941 +1675775009 +1912872321 +105862965 +1697899030 +209477861 +645971633 +378066431 +1441718306 +944071567 +1333393463 +2014682265 +1756941849 +1334221467 +2089228468 +226219479 +733443244 +1017566880 +872361022 +651154751 +1004573506 +1260892922 +1546860241 +2099203762 +975275260 +70760971 +677843520 +432497790 +379702359 +1962662176 +2020334935 +6512653 +1490953537 +1785723608 +112375618 +1041368920 +1995201469 +758347251 +1419435351 +1289436127 +1702418819 +605345166 +1156634744 +1311877020 +1939566634 +1098379565 +1538096499 +525526230 +2115946445 +262973873 +1176680981 +973036303 +1523866795 +576057574 +924756418 +351658408 +646818546 +1602599938 +784156198 +1026520905 +1417778467 +657007485 +1033033558 +761248356 +295247445 +1145409177 +1802617276 +142965266 +1903756428 +1074568979 +1432401393 +1458691599 +1679914146 +441552490 +623084971 +1471997132 +1539932055 +13697822 +1997523362 +1508394852 +276671696 +1026720695 +333947507 +1800538491 +1602778269 +1258703925 +4713251 +102113167 +713820216 +788869449 +1128634073 +2131598683 +1445876934 +14183983 +745363391 +1741124379 +1159593160 +400497020 +1884089645 +915865941 +1475065999 +1169007391 +227073892 +1007496497 +1610559881 +850158864 +332009981 +1003008288 +863856686 +182049695 +363919492 +1140528382 +1208770390 +697866999 +793583226 +664065012 +1956570925 +798296477 +766178179 +522907493 +1587165927 +1894812252 +507022528 +885559213 +1908996236 +1252385919 +479199945 +921105748 +1652882939 +215805942 +1836971689 +980465291 +1384813333 +2064045582 +1987961788 +847889566 +766720798 +172488122 +1850897854 +1630577484 +354537817 +67333698 +623622219 +1563308208 +765200698 +1417205445 +79889572 +574287975 +68018274 +846067751 +1097195468 +1655184201 +593396356 +1604217996 +393259767 +354908944 +709120267 +872459712 +1276014692 +214519559 +1088265654 +965502734 +1194984850 +325595340 +882064668 +1035462990 +1173484906 +1648785466 +1207951112 +876899113 +1131879302 +1562488930 +944232811 +1755501521 +978313490 +1709433509 +1025223318 +1058203062 +136237836 +1093241593 +1904270813 +1233433304 +600942146 +350183521 +690167652 +994201913 +705092465 +1399287920 +1866661625 +1981107158 +1613807479 +807443632 +799126244 +661308681 +1133038972 +1681190912 +1696771671 +159040230 +1182492730 +757239136 +1035939343 +166888384 +172244418 +1980172155 +1922389906 +1150557908 +1542122016 +800129576 +61277322 +1678359853 +1893371169 +1965548135 +764309509 +346829668 +168248009 +1454477162 +1341031581 +873340474 +706281434 +1060209559 +706963984 +172605265 +1867653191 +1506090228 +833913946 +853208515 +1039797492 +383201969 +1012248745 +74806574 +1140441105 +2048188089 +241694959 +1312685523 +1880876596 +16601217 +315759783 +1275514964 +816730793 +377037105 +806391169 +562618315 +195101593 +1570700679 +909447983 +363349602 +877694193 +102995916 +1236690076 +1583975627 +1163205475 +1943654061 +1756580892 +883375018 +1302260641 +443011190 +1736583533 +194574486 +826213159 +601348631 +269381060 +1966654265 +502053072 +511076019 +1131856140 +235446020 +527677236 +1447615924 +1510960984 +1344408030 +1824653029 +169868506 +1907026345 +2019754622 +1740569185 +668990680 +235620576 +470779730 +771986596 +1472310653 +2054755357 +1935192072 +1268481066 +1663852601 +671083442 +423258059 +2106863791 +260183328 +617832545 +785593302 +861531959 +887213606 +604763919 +1363585031 +1398289625 +1736620060 +1599031051 +1925966862 +1036752336 +962508387 +1122891244 +713921717 +1132376893 +882433941 +586192692 +725462430 +1551424621 +821813268 +1196242160 +175927569 +146640273 +1103513869 +2111119641 +1415121339 +619882822 +634719436 +1838379399 +579262965 +894902764 +308728296 +1364856268 +1756434723 +1195941902 +1969620187 +972536106 +446747880 +1558756599 +424083509 +225231094 +448025287 +1386591896 +1348122338 +1161947005 +371485142 +83072631 +1748139697 +1096947572 +1634497252 +422469317 +145706085 +1810424821 +569109591 +1249219954 +1774060815 +1984230930 +1869102777 +261296603 +1675126681 +300882094 +1156199367 +1983854978 +1665738362 +765150442 +1032313232 +1487874902 +1737686548 +1479061112 +899147853 +14286409 +1704292206 +1347173141 +1400878305 +904930896 +361636498 +1772363447 +988003527 +2109776195 +721827372 +475017131 +384761864 +867533457 +137958305 +953871455 +2116753411 +1912019120 +790618738 +1838372540 +25832075 +318261771 +2139254635 +1182031442 +154633101 +1657509349 +1947181884 +1186946334 +997900603 +1537384784 +518523798 +1897048457 +1551671193 +75332357 +1096737950 +805065850 +980263253 +1458374448 +429945650 +1968266781 +1420666995 +1151773022 +295800264 +1805428859 +2019306479 +433758569 +611816667 +1988576242 +198294041 +1402435405 +1679465135 +224126116 +1720697176 +1671236122 +1406157558 +1875330278 +1181261823 +1205855794 +914792964 +31678779 +595756930 +1433316762 +1928727236 +2147428123 +1508649119 +877981538 +805010326 +341428725 +188872338 +1234955976 +162211858 +1609539333 +239245350 +458012122 +1267484544 +111068181 +891770692 +1879301211 +2099644423 +1090064733 +1134252968 +1631625910 +1314190850 +707466497 +1155378384 +572864760 +435313127 +189156560 +1778720555 +1350106091 +220835339 +226993837 +635939205 +2078927 +226938313 +2144588325 +880060465 +1031948639 +338533402 +1068932803 +119420967 +500745260 +530988488 +358666317 +958757382 +1798473032 +469734498 +1850528074 +1530290596 +421895273 +793109160 +517059916 +2053521184 +2107300010 +1224526413 +1061415920 +532681122 +1659839540 +1250572480 +163918029 +862461983 +1471407819 +390911867 +1498401189 +1473486746 +617850180 +1495505866 +206063563 +1649798819 +1834039268 +1274996366 +1769219786 +187300880 +1805984854 +2127886103 +1146058262 +1456974239 +450136953 +849102689 +839781187 +872032226 +1642211849 +1356841103 +778069762 +1602028211 +433883869 +1839485683 +2134709333 +2093723409 +942574515 +151143715 +808701745 +266498687 +542055582 +159619286 +1739985433 +1159905762 +1655125152 +1946048997 +662220933 +1341680772 +1073561715 +283957071 +1528981652 +732062922 +264359526 +527556266 +41553513 +714496479 +1376658955 +881334700 +1586528705 +871387156 +90692155 +217114820 +325931719 +524576024 +2056600503 +313157405 +470815786 +851691370 +464301120 +1279517531 +1118190057 +1006356702 +1439136817 +710691843 +18778816 +946778321 +509257192 +680999749 +140975445 +1582818907 +964956820 +1669957097 +167398181 +1229316346 +50029715 +208951694 +1943812825 +1426688671 +1090286394 +1382857882 +150592179 +1180978550 +1599972702 +476523899 +1705554574 +1509089557 +789681304 +28886712 +213297280 +1253982424 +1308404243 +1331487337 +112855478 +600057412 +2042179180 +131634294 +1546835733 +403952724 +812634043 +1687811178 +1986771632 +1777590863 +1210284627 +6686165 +859423561 +1260314343 +215637860 +655752738 +539519366 +1305924254 +2038610620 +690111545 +339419156 +1491099675 +1166635444 +2044973731 +852705584 +1956316748 +2073860443 +1066002864 +1062815524 +1234781039 +250006554 +1175671002 +1834838451 +144702086 +1307305296 +1234190537 +548654811 +2119939339 +774518067 +387942795 +1750046554 +1984802695 +394628960 +461986467 +1097633390 +610266820 +1117739205 +1637152756 +1916191075 +1008866178 +179780653 +108126583 +352482205 +1346416098 +5616666 +1205187789 +1155249198 +2079477110 +123707006 +70581075 +1166774501 +373713560 +1246252077 +854129304 +518415646 +406073726 +2088319841 +1067070457 +378529417 +715354261 +1455013252 +2128575972 +552673308 +1849642213 +443078791 +1650306698 +312425385 +1560817997 +1139975806 +81132812 +422200527 +1319756459 +189259396 +774682732 +518688909 +194876062 +1979870521 +1673938108 +126869524 +2103577527 +1744519183 +1293644025 +329807439 +843287612 +289682 +848223086 +1249361338 +2088609523 +1915293543 +1627890756 +656480136 +1222823148 +1608983080 +1209153444 +924981713 +2052061871 +711976494 +1237407098 +1465396220 +1851952300 +1318539911 +1887596747 +1024225112 +1507799307 +514795831 +1542914021 +1702675369 +347182705 +1069368481 +1829544894 +303276584 +666404016 +975705271 +633084024 +1509691629 +975994953 +1481307110 +611569319 +917120829 +1249117005 +91976427 +1573600965 +324456505 +1700959507 +635270762 +1249438218 +1605537731 +1347247256 +339361669 +923450303 +1051715909 +1657901580 +663563403 +2075941021 +1018217239 +1178359234 +1471371394 +573408960 +1525541939 +393256228 +255470206 +1828818524 +1059660244 +1231175478 +314418900 +421868225 +59686783 +1795726010 +1033437545 +976807612 +897359367 +1125413972 +402924930 +1221815873 +678889832 +1038195692 +323770443 +136943915 +237959300 +663132112 +1060394218 +1289675209 +173550044 +1723957621 +1218132582 +1191767283 +754833208 +542020329 +1765176244 +132891499 +935276557 +2020646450 +1961710023 +1994936801 +1104338280 +128645275 +269321379 +1164025064 +1924371285 +1302758924 +2140832676 +674247005 +280689248 +396273958 +1896062878 +959579080 +1434469650 +72349673 +1096522995 +1672428951 +735481786 +9433566 +814620512 +909031830 +1733391187 +2032753095 +2100799114 +340740747 +427289776 +1718491710 +473632247 +1362566333 +1591654512 +287858622 +1210019486 +548509145 +416503898 +1479340865 +1712534209 +193391535 +634616141 +1705883237 +867638540 +915305390 +2102157196 +616217770 +1874884470 +1389143198 +688567444 +823923818 +914088501 +1424049230 +833357384 +1728709014 +185597412 +419264923 +1613978461 +138912878 +760005671 +2041268237 +1857404588 +1233637918 +1256350922 +1301575453 +1521496540 +318886760 +1850084598 +1938000438 +1798227626 +1415135159 +2131391974 +285360119 +973534748 +851546866 +1200665509 +928208296 +1467764637 +928066332 +169867847 +8848433 +1751990150 +1083956348 +1432897663 +437863886 +665181714 +1618495075 +857128809 +131676527 +1757407954 +1617134480 +25461116 +1467328894 +703288750 +1281812038 +621420699 +77301643 +1600698799 +324021649 +2015302081 +1251442777 +1739156808 +1999210407 +1536802896 +565207909 +703273626 +589984758 +1493416205 +23554615 +1518051090 +1663284052 +32403048 +1122557592 +599756753 +1465300711 +1560421478 +1264938467 +936312138 +270066639 +1396614995 +546236444 +1887201120 +1422076111 +2013565339 +443006222 +556404502 +487502390 +520307865 +9619653 +811524040 +388126299 +1261062430 +403197200 +239853058 +650381678 +968405109 +943126684 +1240366436 +314337667 +966681299 +610933878 +1977621719 +999084347 +1733491470 +429894824 +316901410 +1146429300 +1694833292 +1253213549 +1416495940 +943964639 +1799449993 +1156213412 +218557102 +1665531684 +1599219634 +774961604 +5550427 +2119527500 +784581257 +817074467 +360170151 +2045643687 +1220271667 +600023209 +548541718 +41193129 +1543149894 +1788908154 +355530796 +362347545 +252358385 +185668867 +1361431893 +1985849855 +615563692 +1678333303 +984795508 +162913336 +784063204 +253807800 +1106877975 +436029550 +1410021212 +1325435077 +2101561234 +861757198 +2100396682 +2107111661 +833801050 +737494291 +776702480 +1193971201 +635654331 +1996974148 +1793994411 +1184196049 +2038167277 +1189660657 +825620555 +246214425 +1552008202 +1077978940 +431883292 +765956447 +916345148 +1047446984 +296806103 +1901140656 +1210360320 +1080869307 +7464808 +169754647 +1516898857 +1417486020 +1495189725 +1470976444 +131759570 +1448102759 +1430604457 +965560621 +38113402 +59823290 +12048174 +673767733 +2056797438 +1806042585 +1857963782 +1947481067 +848219594 +536100690 +46211844 +252744149 +1614079630 +478095136 +1018700596 +382941130 +1525542121 +1315506699 +136598138 +588418793 +248892359 +144062946 +758173441 +1765791216 +1561548966 +105879518 +1089284012 +1693308537 +1553982277 +372404822 +511385510 +1592095679 +432228112 +523433684 +118379765 +341541902 +181992622 +1976343547 +141539321 +1030212216 +364960589 +187751165 +1282956365 +1979040220 +665846301 +154173314 +214497702 +43904774 +1469680013 +351095841 +632323568 +1718572372 +495158787 +1390497009 +1336879941 +2056707754 +1496376527 +278680305 +1602532643 +902875156 +651085127 +2113918153 +347487187 +1083313239 +489868189 +465866952 +1424855141 +671860811 +294726852 +1566394462 +1702073028 +659687441 +1754145627 +837545745 +491244013 +272508281 +991719059 +705741716 +316413055 +313915425 +1056837557 +948736623 +2032487797 +1551996344 +191749984 +1221884090 +1461220450 +1688126511 +1500564396 +916269445 +443518019 +4165875 +882703950 +791005207 +1087479115 +1372572140 +1256872159 +364850608 +2044432951 +1551599011 +1931245071 +1599022331 +63802805 +1537907050 +289084429 +555046818 +1810415331 +1280803488 +1260788534 +2126828387 +1594718913 +170142443 +928081362 +1479723063 +1722138788 +1119831347 +554123505 +1035875590 +660474210 +2054687901 +1952145036 +1103992230 +2058853777 +687365338 +1894997437 +998849244 +2059937478 +1004385948 +1363699852 +1956886782 +408501312 +1147461275 +1408425465 +472304117 +537884678 +1697509894 +1027350935 +200816361 +830829735 +140655822 +180161100 +278065000 +310798265 +1108242463 +1757788063 +2032937053 +80590162 +164427921 +921328996 +741064372 +71632174 +725990384 +1845056602 +2130485951 +1413355722 +1592570391 +981851547 +1325809553 +449472692 +198067752 +1135212687 +857974004 +1345529027 +396154504 +1330278121 +1883413705 +2093664399 +210145408 +2084230067 +777010486 +350801230 +116907519 +1055075486 +661599496 +1225149982 +665379902 +547052901 +1305740144 +829807823 +1468381897 +2046804517 +901439997 +46888633 +1744377471 +884442301 +1460244356 +1189464215 +1866293848 +638570261 +1638936907 +2064361600 +1773782948 +349427263 +1262406980 +22453804 +1679705384 +998337037 +2116118203 +1889850792 +935083456 +745645041 +93168375 +1051990976 +1800720528 +754767871 +129657310 +318616782 +1301820772 +1435397455 +1148424605 +622719022 +1334718324 +2049864602 +669607655 +931612147 +786823255 +2129852011 +2121076362 +505633456 +620938624 +1612529621 +422511408 +247237924 +1961956884 +1684918388 +269691729 +1494178620 +535771778 +238326284 +1236545765 +1470855234 +983971326 +1329714140 +375362562 +637208206 +2084482011 +505019873 +955824988 +1238819135 +1940417328 +2104249593 +1861538157 +1127652004 +2006630547 +383662165 +2059264151 +645970155 +366030528 +2032856866 +1151603611 +986969153 +1497902839 +1574115019 +1234207077 +1312376076 +1111549760 +1503898806 +659071048 +1647321538 +1742225091 +1895616813 +970693124 +578712769 +1077847305 +1346055687 +1215920975 +1014845668 +1851075560 +24262315 +106181156 +1644009240 +2128511908 +1967719313 +624177596 +1987658807 +203897830 +535958099 +486145314 +569928359 +421331317 +1637748925 +1556897512 +1919234157 +1064380297 +643620941 +1084126585 +28446409 +36100 +1743197633 +1675767947 +1742261191 +1491330799 +498977423 +173490312 +421694456 +1845033110 +1389411287 +1436540125 +1548625022 +1413673602 +1542721281 +1045150614 +1394701862 +1362956946 +1669328210 +1234877021 +1566854777 +57802662 +1721022336 +2136783136 +479133979 +1211287613 +1546197000 +250884488 +128184262 +42334293 +1335011073 +156630671 +42370393 +930725059 +1832398618 +1784631584 +274572210 +183892394 +1958121896 +696266666 +2028925504 +1200049535 +2132806791 +1430066879 +466239489 +1528044424 +327733845 +1860941351 +743517723 +1997062056 +948334725 +162888852 +2054864718 +521873413 +152188340 +386515049 +1733161026 +1698385340 +637399538 +1861345289 +1740719633 +1972410611 +2017975960 +1783090027 +755652022 +1702890931 +1420237963 +1030224232 +1886783325 +1230876212 +1726490899 +1768225181 +283442099 +1711814042 +1050808412 +749681589 +1092374819 +1378542258 +463139292 +1835892542 +1228120666 +1411474017 +1998781394 +1135501736 +1933347430 +3486086 +1522016785 +1519024809 +1701871426 +11932675 +1232886450 +1295107411 +1984343287 +1103378762 +930713790 +592511661 +658786045 +203468106 +1622735894 +398085722 +1434344318 +1201743145 +18827256 +1717786417 +766073539 +1069635668 +319984358 +1858448358 +300694278 +783123651 +1546857252 +1528814944 +47114020 +1398154998 +516833032 +1980461451 +1401641084 +2038849818 +1352002612 +956028862 +2050782493 +437405414 +103652626 +1887642132 +1540784176 +1034366416 +332670146 +52086574 +1237834522 +1955406040 +450172296 +524695192 +1009665537 +468999552 +94997962 +1775739076 +1538635221 +414982320 +1486703787 +1839329499 +1198105971 +886077391 +1220660796 +1245219992 +136748742 +1737493828 +1078197795 +1538389826 +1628859998 +282716759 +346935041 +1532158844 +720122173 +450587667 +1272317328 +113422701 +1484954083 +1604987474 +165509275 +575304958 +1412909866 +615681572 +1100000150 +275091755 +1084681124 +1194998112 +2050830832 +475832697 +1609980433 +1390050971 +167678549 +660602756 +128644714 +1388339345 +1905822748 +265393456 +978349525 +836536895 +1803783283 +459725876 +1119253654 +3234676 +1991884720 +1839375827 +453822343 +1116718400 +1952798529 +1938776426 +574222227 +2118307804 +366597736 +1987132093 +586505728 +1466597887 +114740201 +1671186853 +514112351 +18087385 +2147019550 +2124092784 +1408138356 +167214451 +637211893 +1536783070 +1555553796 +395550993 +1802176527 +386419674 +1232087889 +1458476162 +846145550 +203857895 +1461710838 +690546622 +2043233723 +1915533181 +1807265022 +1848548604 +1706825959 +234003601 +1819372760 +2073423696 +73652047 +258394841 +1392537935 +188392248 +1929581694 +1906650286 +206479633 +1929117596 +1883259423 +1614617989 +2096332048 +372987668 +1003917411 +1504402196 +768538661 +658610290 +1890821870 +2000626550 +2117086452 +589483772 +57000798 +1431313642 +1280030394 +2100234521 +1199363175 +939811769 +1801299477 +758705487 +1173815370 +1473188589 +684645535 +1247467417 +1731583430 +2077183470 +1435859665 +1513681476 +1836350108 +1642339298 +1295315425 +1572125883 +1109473639 +1244163825 +1945113551 +2113391051 +601082373 +566168565 +624517693 +344420596 +419311467 +594120498 +933904368 +476312265 +2025434140 +66451115 +429063138 +1077313668 +1006262884 +82878967 +1836019155 +32594606 +1556067557 +373181042 +1280062024 +1140167339 +302880864 +568438041 +506365168 +2139230972 +63293692 +1801680593 +1563873208 +1172767331 +898360770 +1361503111 +1138674734 +1499443143 +1927671676 +1763192428 +1843863739 +199499496 +209829278 +630284460 +675811761 +87779770 +696735575 +1104874900 +1165093438 +1702998459 +1187753867 +853628945 +1735593065 +596337776 +1226809987 +868171441 +1736505116 +1529690851 +1436609483 +95386636 +1521438176 +1499903175 +1897067229 +937827736 +525186858 +647944351 +151847199 +1663861593 +2147387494 +2079518876 +1279570373 +1843767586 +131534724 +1489399651 +326568398 +807346485 +1577179421 +1023303973 +1912221385 +594789212 +578818784 +952491605 +1448418157 +166928201 +1548829381 +527744497 +1035099643 +1137850849 +2057435348 +324225478 +1233237485 +1431389876 +1824128653 +982821066 +221733964 +201831863 +1630765417 +373581164 +1865693456 +1630669264 +305616392 +997780181 +1326953202 +437151116 +339696184 +1653521600 +1244497601 +1916875606 +529341925 +1009235339 +364181170 +1108160709 +1961726944 +1812599327 +1275088910 +1363072677 +192860176 +162704905 +353439879 +102811877 +486930383 +1586677364 +1534201753 +163575388 +422014783 +1755935718 +365407252 +2052780200 +2129516882 +83617060 +1535965816 +287649626 +1081397242 +715435370 +724800742 +1421093426 +221473322 +1969298343 +1190485384 +750815247 +831050034 +1554666554 +1858975956 +645293330 +1219782234 +986581219 +2008366008 +1412642410 +1149286124 +214322239 +1515454287 +1636216508 +1800999603 +902172393 +1799791896 +75530738 +510624463 +17715500 +2128310939 +492657697 +101332561 +1516793107 +780307323 +1182729803 +84744830 +1505108065 +456339581 +306218152 +1326922760 +1646824966 +1057033400 +10489147 +1054007872 +768525708 +655782477 +126306458 +1755106927 +516664837 +1538948869 +756909404 +730987076 +906919508 +245642264 +384503032 +1809091901 +2045434160 +460033770 +172232716 +2063149661 +440861061 +664890413 +16998574 +1957654169 +1445197736 +1199728377 +2042398999 +802822153 +1656067958 +201133503 +2129744914 +1155409276 +1258166903 +2140234061 +61933501 +2026692612 +648532890 +188239959 +1634315891 +1165197728 +1727188828 +243741647 +1896184804 +486624689 +489383911 +133204188 +148232942 +387334424 +593237959 +320465659 +303000437 +1034099020 +985356072 +319999011 +844269541 +283070161 +1519727388 +739184892 +1085892314 +1028311698 +940318396 +1068153580 +36237327 +51001651 +1060903993 +98170828 +2077694263 +1709436884 +286410787 +1564526507 +727150964 +2013599616 +1808268154 +475852120 +352740657 +150168418 +609056309 +500973599 +537502842 +1202294268 +821439258 +840503279 +88909640 +1806795331 +1160502290 +933179182 +2089865492 +532746030 +1672364074 +1028274158 +1561057728 +465198822 +2096427739 +1597295055 +516200474 +1009848084 +1695465883 +446411089 +571801320 +1981876671 +2010937596 +1298952284 +1847992639 +1671722103 +1774804405 +53249648 +1821890521 +236377066 +554223247 +211909715 +1438671334 +1375662506 +1052412994 +1527580974 +1034974189 +65431636 +313276508 +977356033 +598177666 +1985640583 +2005630191 +11751746 +303355757 +1954574282 +1609046802 +819556231 +816938719 +1157029037 +1265967321 +1388740039 +991422060 +1129421269 +540208676 +691931051 +653659724 +167529433 +745180699 +328066597 +403906499 +1299403947 +539976312 +1842577833 +527582805 +1592389306 +1222675159 +1562556994 +1657820942 +1535951668 +392429379 +108514960 +1374108603 +250575922 +120266707 +1677464360 +57666557 +1729313509 +349536944 +874605276 +738858898 +1615504265 +115861667 +1730280959 +597441886 +656070343 +274728362 +1251101611 +823599776 +1019909062 +1579168208 +1227506275 +171829361 +2119144521 +922600460 +699412166 +1564050179 +2145275620 +114485512 +1074387474 +1533743640 +506914891 +1182902434 +760368595 +757490813 +1303169141 +290349307 +815157370 +884999002 +639886251 +1689762646 +1623857901 +107906868 +1805624314 +1206655212 +705348755 +314211009 +1481383574 +1956450366 +1137810786 +353808988 +1388134926 +217833413 +525638349 +1359795799 +1140433874 +1225050515 +776362331 +1138225846 +1339536027 +1850749805 +524485838 +1846450918 +886168591 +1284854433 +456458084 +41854085 +1575203740 +1271615454 +926853087 +67606344 +813894453 +403227340 +175513212 +472035119 +1609882552 +880861967 +786246128 +943782479 +689828685 +1924056914 +1297591467 +2077963612 +2141890328 +1823229817 +1290275763 +1134840554 +900796684 +2066638094 +125582752 +92849064 +1769904251 +650068590 +1939299982 +508589195 +1934923023 +248274418 +550443280 +1362643115 +1519889873 +1477296367 +1430249459 +186300678 +1880523708 +1605762672 +658335797 +1342922612 +339140991 +1444581925 +139221443 +1028969677 +1221155192 +1436812911 +959449641 +1215561872 +1112559080 +102241756 +202918778 +2013355764 +21396203 +328501530 +2106204828 +1791300454 +978570120 +1898021163 +152406001 +766009495 +2146295581 +702849281 +2128652610 +1518701806 +32662001 +1411418422 +1705002484 +1913185709 +869697446 +215854633 +1108624673 +1208838437 +1660436559 +1247846117 +90324466 +734108103 +537175380 +1049774107 +1949669975 +1649734460 +1152015864 +5105105 +1515606576 +1173412067 +333606635 +1474327757 +817228873 +1312176755 +1224865272 +969634875 +2078186250 +1223677205 +1672484156 +2059355212 +594895364 +1705146157 +1323289986 +152414200 +1470848218 +45503784 +368268834 +431989244 +1254342222 +2028705393 +1679835361 +1344666688 +615329848 +69527093 +246957148 +417516175 +1719261553 +1398973012 +422621280 +1087384481 +424901431 +756227915 +414228590 +1242130304 +2068404670 +1639093862 +64281531 +1999107272 +715287420 +1736765688 +1910978836 +1310182784 +1294428197 +1086785175 +1462596984 +617792768 +1132288959 +1830865818 +1049782012 +239147533 +1712087563 +582133725 +1583814222 +179933763 +651660818 +1830771370 +597449938 +223438723 +1082260734 +1020071218 +1310823204 +1507162165 +1776299133 +1725051795 +601808821 +1697220155 +1216662009 +666090353 +1548843779 +1931949429 +255372393 +1312338968 +1094648565 +1549800590 +251640495 +409761902 +20109710 +1383929454 +93144072 +1069891722 +1623076988 +1805231636 +1652025447 +1059407562 +1985165399 +156202617 +742695284 +435131690 +379641340 +1824956018 +1455202908 +1690464545 +1184634535 +1084018394 +1268032692 +1786443356 +633754901 +337211053 +305050061 +35115033 +121676835 +560422454 +1347454001 +1216325400 +2110223045 +1599094496 +1626087302 +2130332755 +835540302 +1719231375 +1052740830 +311133642 +1376979363 +557282629 +1370541204 +1214661114 +713485247 +2113236488 +1649792804 +1093126587 +1790708858 +957512065 +636107484 +827859745 +2041530459 +1904140176 +466819454 +527801712 +93867582 +771869515 +562916745 +215544417 +1332291970 +1910370746 +1431869817 +1295031367 +1361981594 +910473472 +1277880474 +50038249 +482221199 +183137656 +361171891 +1859200562 +740420286 +1731713096 +926378028 +1453905533 +1697465936 +428687185 +399548472 +1340691147 +1386199250 +1035655957 +21067244 +1280246061 +792312485 +487886698 +1808047773 +886180067 +1259756214 +223480871 +1101724484 +444564536 +2133851617 +386110654 +1739595903 +1348349564 +1296584126 +869992729 +1398387813 +1778805325 +1053130386 +1759559704 +1490522239 +1793550672 +1343789152 +269416619 +1099972557 +893771441 +698103804 +1499521029 +86978940 +2084303054 +387693338 +108046184 +1217065467 +1180005824 +595932883 +877629593 +2066185891 +1855689097 +1101110464 +1020426728 +152769985 +1087478433 +1406537382 +1892365888 +288344349 +555637860 +614874969 +1686732162 +186959537 +1668005355 +1298808219 +1677481776 +1314072379 +495113723 +1946898395 +266561288 +1388885164 +497518552 +1766082318 +1475864104 +434337958 +6292008 +1583910289 +1651403426 +1186297832 +32359524 +381549371 +1105000076 +1888048621 +1482659835 +2125426804 +2040818606 +422654620 +1384480538 +1785700846 +710998970 +1940118398 +253092167 +250247484 +2127077935 +1921097523 +1549055703 +1657076063 +1087686254 +2044169427 +1456490810 +1354247543 +1285570943 +1954009362 +972846213 +613951400 +240863673 +979138221 +50378041 +1892267099 +17952406 +82737565 +126332822 +1122952482 +1970786186 +1608992657 +1100895638 +1864121144 +2031647277 +337892528 +1502338342 +595162599 +130527278 +1755430509 +845410084 +110121565 +1529044384 +246982139 +1767197628 +469246991 +143667918 +1076204790 +1823494534 +1429238862 +882730505 +648857099 +2043190262 +1123594178 +1627995320 +2093568303 +868377629 +1645947726 +28822220 +994710451 +621416560 +1999608406 +456219460 +1722312198 +1716245902 +340383089 +2060204726 +1071100596 +935545689 +43248356 +679047457 +1780955773 +153369921 +60608194 +2027937912 +1920567549 +529855185 +24122183 +849288692 +205866071 +1453361045 +1732019197 +854723170 +1349067659 +708129727 +335234842 +1295152314 +1576507356 +1981182569 +1323974534 +423734159 +455115481 +1176099292 +879953619 +29944032 +744861546 +1220336708 +2090148758 +1815962142 +8398749 +2133397115 +347525951 +1789354522 +139283388 +408134145 +1669808787 +2059850938 +937989330 +1693930970 +761655982 +1143855401 +999808367 +346191531 +1998578571 +201392378 +1054321258 +186329766 +1496544692 +483344966 +20028687 +673035578 +907079125 +475144168 +1849134870 +1787032744 +505088200 +446512768 +859885804 +447753311 +114991262 +868284554 +433666778 +462517213 +510155428 +572950166 +870651359 +32480567 +485317456 +1808640689 +1726411537 +1246973438 +805012443 +578736256 +1593164969 +656107366 +780128634 +500002579 +842437132 +129189678 +983347545 +862465819 +802225256 +1890426670 +1337609988 +503876478 +1529975766 +1842698188 +950389246 +242377923 +142967851 +1065380508 +1110662477 +576634629 +1527897722 +1620817905 +1149584796 +251065433 +1653298473 +1634902252 +2059706122 +1232226362 +734392043 +717234917 +1810962619 +180073364 +1373342284 +443607605 +680075944 +68295768 +572797284 +1663423489 +930761588 +1375022540 +1406366512 +120887928 +1878899019 +788858630 +1963586116 +681804617 +1031236553 +2106553968 +1747185126 +2141899030 +535704949 +1127599200 +1615233288 +1685289745 +1378664633 +1121048113 +1172708350 +1290887107 +205790827 +1907100393 +2008122025 +2016753446 +2087173757 +1233980661 +312877404 +619766053 +1302276429 +885674688 +135705895 +85554369 +113213580 +1542072407 +206442297 +1992112599 +183447389 +22544766 +526433569 +1214683943 +2129098734 +126135047 +1209099325 +517320035 +1253734247 +676848965 +55126133 +484915232 +1797897078 +1227834483 +1775802339 +2003687906 +987451228 +1636440716 +1872957704 +927141337 +722937729 +38351460 +1546907391 +2025214159 +924026148 +1682613286 +2110768528 +1037239729 +1077202045 +169727178 +881868680 +1260649434 +192271944 +1408302249 +327849729 +173887030 +1534437296 +1536949055 +691207065 +640687895 +66314372 +746333198 +1125603127 +1864211451 +1974167681 +753921819 +1720415709 +814135261 +242878887 +1445889765 +1741276599 +965816617 +1484241226 +1140700342 +843547128 +260783726 +675829980 +806832008 +1298023455 +1753032025 +976559186 +32408488 +866197811 +1168831130 +1440710737 +1194047541 +1342718160 +827664386 +583512948 +2033925226 +1468352281 +649827320 +632774776 +446471761 +366555123 +459458810 +1200393580 +2086970832 +1273594071 +1443272467 +1385376950 +867387022 +261605436 +722134528 +2008087364 +1105152564 +982918254 +536433696 +1911984573 +133458062 +141982073 +741060111 +165866550 +1008179885 +1909891242 +1606577287 +54743778 +1105125754 +286758025 +638256726 +991567332 +1755110307 +1288084046 +1624342109 +54098420 +1654639170 +2083800919 +1254492000 +1594126354 +1209911342 +550280819 +832019656 +2077298365 +811886256 +1554154184 +1937902081 +1917038820 +389588791 +326852130 +1681539745 +523046853 +468834203 +275116209 +688913403 +1477014088 +37523803 +148007042 +1531757866 +1142649557 +434765068 +22530944 +2134216890 +42391727 +1310614991 +1611075351 +96490147 +817770513 +1547392622 +1350982147 +264413219 +609820316 +1901262966 +1096432876 +539635033 +565665574 +503103412 +330053467 +335220747 +892692203 +656905597 +2016760492 +1415739056 +1125739800 +144393053 +2104652459 +455270241 +181916856 +105175854 +1987028107 +1324566414 +539940922 +2009559052 +1311299656 +582332649 +1172690395 +774891359 +678822796 +1990460908 +174800333 +2029804943 +107390479 +784620649 +1783584261 +1203823355 +1324255683 +201766188 +1706926768 +1654309150 +536986935 +452135323 +163731099 +406263779 +1867874380 +1289470899 +550656833 +1825043191 +1744741140 +732573689 +1930219045 +1584285600 +2057140103 +322676319 +1446361004 +1220956111 +905008968 +471567751 +1995847470 +1583831764 +314545011 +23164155 +1466153059 +421935490 +807784805 +1102253673 +1625758846 +2132040488 +1304019861 +1185201966 +1638865990 +1841006796 +1637337289 +1802597089 +99786927 +1357728021 +944584340 +650443760 +1035287565 +541841833 +1383017450 +818022962 +2126127433 +1292673905 +1140699282 +1425004789 +366146369 +2045708250 +1896572540 +214510191 +1482056367 +63633903 +237674347 +800725778 +485569393 +1045459152 +1902979451 +2111328239 +1030015992 +1059515664 +1149046557 +521398334 +753038812 +638900199 +176511775 +852825740 +1996628220 +1121096115 +1503269500 +884432137 +1662937948 +738803302 +1702455100 +1641581733 +2031477208 +695670734 +919102874 +250139929 +593895336 +668191766 +464650120 +2075951703 +731825669 +702324467 +729193834 +1217395063 +1747783619 +484689637 +1181239654 +630315963 +1544205302 +182802564 +1151714297 +149760466 +821702763 +1328226072 +1002586206 +670847335 +301838540 +358372059 +1555279473 +1964776488 +1097175361 +1110250925 +1458874574 +981168921 +1805921659 +230493800 +1231308850 +252333347 +898685567 +1695958971 +180801403 +1630511236 +250799790 +909995237 +700422651 +1998583410 +1394684874 +1881662306 +481415725 +791406528 +2064464870 +1633130023 +941166995 +738683985 +813872447 +1943753201 +1409531320 +1115710987 +154641612 +817327145 +933003828 +1251816974 +1927578070 +244394754 +85502247 +1586016081 +474888554 +1316811098 +1838349429 +1373574121 +865286421 +2019150832 +856601710 +1116086211 +781662421 +1557024361 +967185973 +28863647 +1291203019 +1448601699 +820270176 +1208184241 +934248074 +1761437171 +1946868226 +1748120521 +1557706724 +1208915899 +716347861 +1712348337 +2026243044 +1649351689 +816681663 +1806337467 +1893746443 +902183910 +1244869900 +221151349 +71511360 +935735681 +1594725471 +936797781 +807402865 +303843533 +2052883993 +1589065286 +1860867894 +872586318 +1617928934 +1004587266 +173704369 +290715462 +65287859 +1107952443 +2052152633 +2012156086 +708589317 +1462375709 +1073588337 +1424937178 +1027240398 +952347733 +926805219 +1843922061 +611201552 +673068014 +598622324 +1856071453 +894219363 +670133684 +644323486 +341461186 +1606931466 +1451726352 +645304719 +1512331811 +893307990 +358688966 +237434481 +363753276 +1363276232 +411138851 +654468738 +1428564091 +1519091294 +559137723 +1293236529 +80196963 +2021513433 +219341218 +1505134141 +901270183 +1171688952 +284455712 +597708597 +1782890504 +957523726 +1196330921 +1491478309 +1851743090 +1866464605 +2135801796 +45720628 +1325912423 +1440044500 +691025348 +690760586 +185868842 +1049714314 +928195068 +549622119 +265506898 +1339333919 +1204090857 +1694070989 +710941565 +1763228581 +839823871 +791138529 +1637258366 +1059165089 +148789022 +391044901 +83370393 +433244735 +988753498 +1866260898 +1390768461 +37600771 +1210255559 +1095027903 +1904065377 +1198573707 +1140748532 +1082494152 +491134559 +1831773880 +1773254739 +677003402 +734004546 +553966159 +1226625521 +999511444 +1893300078 +283232730 +546098785 +456757995 +2046461311 +1385922656 +1247896524 +1536236029 +297604098 +1396685547 +1927280931 +380974491 +1829930282 +768550781 +99751741 +1073215095 +806151553 +1310007301 +20759351 +562733282 +361097360 +1161507883 +1645227434 +852231920 +845798115 +1270998525 +1529235322 +1579802661 +1824964684 +608377195 +431830457 +1570781114 +891609925 +977929242 +2027539110 +790587589 +216368251 +1127951986 +179339970 +513972349 +377153885 +2106620901 +894946840 +59600519 +727688035 +994698582 +1132815615 +1533839588 +157222235 +1153574966 +2096572870 +518319595 +167599201 +1594316656 +1370551515 +1013397316 +717831534 +752303189 +445716329 +395312570 +1360680384 +877546786 +1966093685 +104806662 +1855476028 +1846149147 +895394251 +2071844279 +826617485 +1074734221 +438332980 +1203771371 +1033871475 +1333279821 +1263371890 +1761559510 +180494755 +248703857 +1147915450 +337716990 +1402278823 +1097004672 +856036585 +1569878024 +543837680 +79104453 +435791692 +1261669214 +831407642 +881508021 +1656981785 +44604379 +1759054807 +1475591822 +149411041 +1467047188 +1174257321 +1044805292 +1391407819 +2000874806 +2119539513 +1829740800 +1057162529 +1005927340 +1015536973 +173050772 +620003202 +1196031728 +421754629 +1767918652 +1533748718 +1824033453 +717439676 +242301655 +1246427829 +1261277357 +321406108 +1682219522 +375462923 +1152813751 +416243895 +2032444708 +1197418130 +27815055 +1360552882 +1346829171 +1494862243 +387326555 +244150815 +738786414 +240717714 +216206680 +421043566 +1297880243 +1222134021 +1436580539 +1470931015 +1842137223 +485128619 +1892685645 +1462572228 +2018877337 +1569235450 +32528256 +113695345 +668179631 +1293805613 +435101453 +202915505 +1669268537 +1587915204 +619159401 +1554229597 +637849686 +646974456 +767298832 +1984678857 +2141836699 +1154625387 +81346024 +733139465 +1395343101 +297552705 +1154183032 +545739697 +1519686726 +443279923 +2016670712 +1214340301 +928408543 +1761872709 +529428881 +799802232 +1183624511 +561957138 +913497577 +1851804143 +1855762751 +1348599031 +2054719648 +1377547640 +789030587 +526395401 +784293590 +1426880274 +1173369857 +1551592422 +1264075483 +1167722908 +558734161 +1345421508 +1900862374 +1954077263 +1642974213 +907561758 +352333312 +1015177291 +1350841681 +221520376 +82033944 +131766576 +1983393086 +611462826 +931568809 +1019533949 +1173419964 +1845066386 +723854444 +881699067 +1046181769 +631090445 +111763060 +1835212357 +1157485846 +896056650 +1114608983 +183372056 +300165424 +231200818 +1351094964 +858899585 +1576622326 +1104473690 +665493200 +1072112891 +2012035448 +1017826512 +2087290182 +1215393482 +1239346889 +21840479 +1347160058 +1075256327 +633303305 +131245219 +2094790276 +1806723269 +1976311606 +671161073 +540938688 +875009727 +1302251518 +652701748 +562738436 +312253716 +1548758398 +1677347419 +495625772 +1848923822 +1908548238 +1846720737 +560339760 +1337686916 +803710779 +1225832960 +262316160 +668262580 +96175825 +202122694 +1883656062 +1335522714 +223963173 +1083332472 +263295393 +857266478 +1214577692 +210602021 +516506099 +1043405650 +881763094 +1057444788 +1918415377 +36530964 +1710146536 +333670166 +348784681 +1111421287 +2011017585 +844410453 +812861461 +1772082175 +543647542 +1373201221 +962285444 +1347358322 +451550534 +1224601604 +2015620902 +547726359 +1426724298 +1751793316 +1883249073 +1650687472 +687642140 +2146544466 +360470302 +1902219832 +209662839 +876976402 +798141834 +1091425934 +1934421190 +569073564 +1127956898 +1497084078 +902743730 +1476741579 +461021717 +766277667 +173668385 +1273883179 +390876195 +717315927 +499600752 +1353161639 +2064674249 +951151286 +430279595 +1932811503 +1498877645 +1857003893 +1537121171 +1234643070 +1360207717 +77279664 +1233703888 +1720678020 +1979499496 +1443366728 +450170774 +630157683 +387309014 +237108316 +1199231247 +1515265912 +1734192394 +2101974977 +844523844 +47730464 +720768996 +1018192229 +1321613643 +1111645191 +1735508156 +1821214395 +317323182 +1652698758 +624882034 +747602777 +1438026613 +2123759679 +457123023 +827664137 +1210919102 +1817330740 +904943801 +297139342 +1390525112 +736959649 +1740506070 +1840695886 +1367117332 +2127815084 +2077804202 +418864931 +1495597349 +1664512949 +373356260 +192637545 +1712243413 +1094125257 +1210829774 +886373408 +58286800 +798854282 +560104155 +375609983 +304069392 +1184986189 +1123212760 +1742096006 +1161262221 +1580335783 +422276495 +224697675 +1250182876 +1327220296 +521837017 +493224340 +2064179945 +114859440 +186436579 +1283813630 +95190876 +116757133 +1702678561 +1590788225 +1781270082 +2076034822 +1783425770 +1346029847 +1022676431 +846771896 +84919607 +1080963231 +1645626179 +645023763 +1456573214 +1949695571 +1830009952 +432302327 +1544307929 +843788525 +2012638110 +1966584424 +1068486200 +1115337338 +1146321072 +1590323218 +1608561679 +1063017370 +1705182658 +1794998258 +199347352 +1800373534 +1911755391 +1902025913 +1243678112 +1545541826 +1830577087 +879620234 +744088025 +705769870 +1726392131 +829007633 +1786733102 +1224534662 +1474031396 +1095822668 +1026746585 +1156557700 +1528124995 +423570867 +2000346226 +1393279458 +242671643 +921348778 +361133148 +1388992716 +364188348 +1969694827 +304526438 +2069371006 +1617209437 +503873790 +1722260893 +1381481181 +258416055 +818455357 +779539359 +2088993143 +1698075591 +1523627384 +647279365 +1276984074 +205151369 +286528819 +354035088 +1679182765 +1382351488 +1380781674 +688256818 +762992835 +1804352541 +541119396 +8788645 +2047024184 +1462468174 +369921794 +1288533252 +1826656523 +192132973 +1593059690 +1748543881 +1809342411 +2096933480 +1323321126 +1043339944 +207865888 +2141776483 +1822879303 +149375383 +1692368427 +1199023039 +796654748 +821868853 +1404174409 +1083183568 +1175903942 +935873526 +318051408 +409201968 +1624130344 +1081044243 +66070861 +17766092 +1089832889 +2113095045 +1480234267 +1459754683 +1254144650 +1159407142 +1651887656 +699720692 +760467375 +1313746419 +649170525 +2083788502 +209602715 +857036413 +2078081337 +2032482018 +1006411796 +1622966116 +1084021410 +1803066544 +297351322 +340712171 +738766464 +1473255264 +1276585697 +1056817872 +1882457232 +753232394 +2137862116 +1948528093 +770998486 +1080211357 +1914139490 +103749105 +392482392 +1020800492 +1263156247 +2044370048 +1720521185 +2023623623 +1210632820 +222208062 +1959928477 +1420235535 +1079244475 +1890526166 +1305233906 +2085656271 +1366008635 +241771668 +1741239167 +1663359957 +582483839 +332521984 +989131573 +1859069536 +1389339856 +724105157 +464818282 +1379718324 +525149602 +1235816769 +312446033 +291805444 +1339565874 +704928425 +1312605937 +455238474 +601814826 +885643474 +331378449 +1812447646 +1107851536 +143823278 +1085199533 +39612363 +2034349444 +242949791 +2125268634 +1252874431 +484721459 +1719024153 +768750740 +1067205298 +2051546137 +1757882313 +778791187 +1293402346 +334503822 +1243609469 +525637022 +859653424 +331942590 +838083056 +1151458869 +1671508465 +1543011481 +316581158 +2126746939 +2144826307 +1202224632 +310641740 +1809790305 +162592520 +454465018 +747506191 +202204883 +341330814 +990455982 +179989869 +1594205246 +1475177442 +1899014022 +215472338 +394899092 +1803076512 +1973354652 +1173690279 +948995210 +160374826 +269816101 +1474632232 +1020028251 +601758691 +165231640 +24003472 +125783508 +1708243122 +340584630 +105046799 +1705585781 +1542809262 +415688539 +1367892439 +1705401782 +870153557 +2115398630 +1907606665 +1211484372 +958370964 +2087596534 +658205970 +286064758 +1839126908 +873678308 +680963851 +1494719772 +699549312 +1854654130 +296231334 +859924139 +2124470231 +1770863567 +1879952390 +578745275 +1936095207 +1903955862 +704528783 +1496854681 +97056844 +809575583 +1054956815 +1639866106 +1225264122 +275365606 +1197784240 +2095417680 +243280588 +957907257 +1159418404 +1201651552 +898020143 +1817624374 +1487716311 +589663403 +543819034 +21196514 +2084383176 +1243368347 +1875850644 +233130862 +2103292486 +1852837228 +2003994429 +1835761228 +284098855 +1792605989 +1592233442 +988627638 +1141977022 +1689290286 +1798203221 +49450189 +1181672744 +875983696 +324815795 +231973336 +823917728 +568096383 +1189880593 +1983336132 +1769747936 +2087900736 +1653476858 +1109980599 +530080491 +49812244 +1131177113 +466980019 +1293180591 +859544109 +700110882 +1248989429 +564897689 +556621663 +937267009 +848996544 +201744004 +382016803 +1837624183 +1343721027 +2071307089 +1488343756 +1393171216 +1105496185 +216843804 +1717987012 +1337469521 +1040761532 +138599747 +379866466 +876614016 +1908347683 +320283554 +382607226 +870844634 +850364046 +432419471 +2002021747 +1317344065 +1725600062 +714082209 +2017454947 +827105844 +1278979898 +426592963 +1764372853 +2127976443 +628336967 +2146389657 +1818116978 +1972057994 +2070213098 +1158977086 +1217745563 +1028225636 +1375820891 +788248927 +218211509 +269098775 +926848674 +598077976 +1145712792 +687712710 +918361530 +1528320018 +1558557344 +1768725576 +1960739489 +1413095444 +938585994 +1538855904 +2127177653 +808557293 +218478100 +1258673903 +1235150256 +1982850953 +1239166698 +1863487224 +1981756962 +909800028 +1688061570 +1904486413 +2068777115 +758323485 +785228401 +1297114358 +1546572412 +1003439910 +1566213133 +325937439 +1601517886 +564442277 +1013650149 +372395769 +2092762296 +424723845 +2141121345 +1906018137 +1837819289 +932223691 +1297390393 +1817513294 +1740780985 +1515868493 +928703550 +828447593 +1351235799 +20386600 +544451169 +1185509113 +930186629 +85029092 +942511878 +851480096 +843352577 +1727740279 +1110806 +242441342 +583696542 +1567323939 +568378781 +37730780 +2131766217 +1582028930 +410126549 +2077044865 +2006752775 +403764247 +1835579354 +1697088417 +1335987938 +985486100 +1367118063 +929285275 +353870945 +148337965 +1757732869 +1705106744 +168724566 +154700390 +743132210 +1098911195 +239729482 +1685644088 +1950391291 +1083082060 +1265900720 +1951502097 +1325523402 +1849597262 +1371342388 +1893902183 +1887328042 +1355624957 +1328447465 +149970944 +1285186174 +1187716592 +553735191 +973281881 +737321361 +1889723129 +1958767981 +2104439425 +671524757 +165155278 +105293742 +281773978 +1870262023 +274018308 +436474368 +465910585 +1372929503 +676203851 +4071025 +1175837146 +1759285911 +1269971745 +979855595 +937325665 +972085359 +203714336 +683744200 +711929754 +1559339293 +2012191665 +861900698 +697041820 +1052424609 +1415635889 +1670323701 +1789745971 +1157875370 +1481608034 +1746701748 +1829400127 +1646763312 +1851995490 +2111174105 +1369541687 +2126013799 +400164826 +1835452272 +1351459654 +1076368677 +1839523298 +379813153 +688170940 +962011395 +1359668748 +1625496605 +1934096755 +1563383084 +161757157 +498542861 +975238730 +26465174 +1360443559 +1672280550 +1078889783 +628595800 +1195120603 +721152106 +1786471170 +529244989 +320370206 +1468387650 +28524653 +24882049 +1432078107 +1398066341 +3412200 +1832242933 +1086034965 +1354871854 +761127962 +778074615 +1734685007 +1449298902 +1740086011 +946870108 +927311859 +1526699118 +362769544 +1089069016 +2025241979 +1338008274 +1115534190 +1238201890 +862805176 +46940326 +1866797690 +2057925779 +768092432 +1505785212 +439687120 +1088462639 +826689214 +468211774 +1113344688 +111283674 +1866278115 +1116756888 +1943526607 +804829432 +324145094 +557170922 +1582904048 +2058830102 +2006469824 +1175506411 +858216562 +786298036 +554721881 +1220986106 +1875367052 +432480212 +411510733 +843417595 +1670682102 +1274315909 +890357921 +1389996144 +1184758041 +1658450353 +748297708 +1624445161 +599429344 +1574986923 +2092656935 +1712774032 +1686270597 +1811451402 +682047272 +1482313556 +468797187 +1006192367 +2039484478 +2051701235 +917538821 +1898470655 +1079723998 +1775755383 +537285043 +1634445879 +849257841 +265168447 +2066926091 +1260768574 +1108586042 +1590124545 +387600836 +1998943963 +832637041 +1572358877 +1509910669 +1580934749 +1049320390 +2109340013 +1008438024 +994493678 +1674630398 +547224973 +658461432 +209194022 +2029538530 +1127258619 +1215386389 +1921539360 +1031476206 +2132925210 +1672526367 +2111200204 +1761196945 +62327762 +1598162435 +462971139 +327496210 +1517604878 +1723739713 +1436082252 +960245775 +2111340549 +1287542568 +1792882816 +1536215778 +649969589 +1226333918 +438052521 +611825954 +87288294 +1432546199 +138972704 +634513268 +2091007631 +348166727 +516568150 +1070782603 +1563553116 +290623862 +2102258809 +1548994679 +1963150230 +2065975366 +1162707976 +2025477992 +1516654153 +1625679115 +205490554 +886775384 +1201935181 +1641572807 +1847021159 +1165792082 +781631727 +1492420328 +554524213 +1431601316 +571270598 +992576734 +2043427270 +658558892 +277639285 +34916327 +1293072160 +221163268 +383083054 +1809640310 +1291945871 +1946636170 +2100264173 +1246721033 +1348147201 +1915930755 +1165212751 +363371530 +1793925099 +534383256 +1989050645 +1999415654 +1421158640 +1043502178 +1493504813 +1120696152 +61810613 +127652892 +465632832 +616334826 +1559254208 +1036903430 +1608911560 +1455197830 +1695462322 +1886550845 +1490114157 +841050835 +2107714113 +1873197211 +503207497 +1252176337 +1672349734 +455988022 +351413722 +873013287 +224435129 +1516626473 +1236384817 +2018360229 +2051009729 +1077951815 +1870292235 +1324684722 +2121453993 +1216313400 +297897226 +35780958 +1343966292 +763530058 +652115784 +755736852 +1800433488 +113543696 +63451034 +1348412162 +2000094541 +1553565192 +41979349 +1960325007 +1279278755 +545186847 +1065017696 +804144841 +1001174869 +1416431418 +1677158129 +1225609999 +785574243 +766059298 +1096486580 +689100324 +1844011113 +819295167 +2013785046 +1817981459 +2035608567 +164198624 +1853762417 +1232091211 +927728682 +358394554 +1987828063 +580678522 +471938250 +2051279097 +1929090685 +324549144 +1457360641 +1971070034 +137390503 +589155749 +368773233 +1202408199 +1393300590 +1369948103 +471355969 +922975071 +448074454 +1256930212 +1689034370 +1544561034 +1946030536 +1385561835 +216372553 +1812331935 +1056059646 +104497472 +1976530559 +762338416 +1336588683 +756775594 +1120732970 +1176933098 +1337454116 +1592671220 +1080728547 +1119061153 +1917220364 +390605541 +942647540 +2054610867 +979761290 +1311420773 +1109535418 +225578232 +533885228 +1580891387 +1148553304 +981959682 +690337951 +690104026 +379037068 +488884840 +2075665861 +595409621 +153733127 +984241860 +699907093 +2130263686 +1746580276 +2036495776 +739555632 +719829598 +1065945226 +2077009749 +165017170 +2146673774 +1048587254 +2082237535 +389795667 +1991234794 +1989364754 +1369556957 +1155171920 +951416525 +1595135189 +1689057148 +384824264 +596204845 +523533183 +1075162216 +1286308871 +902570251 +1564047056 +1214491085 +1497979873 +1717780183 +51249297 +50403318 +1700560221 +1797829573 +2086899095 +292632206 +370175523 +1005360673 +222158307 +535192693 +1004550799 +1270745561 +469946580 +1394346466 +1114496708 +311827687 +616419775 +122184980 +1263244212 +64071317 +1811242128 +1648068476 +660276162 +187291663 +575747044 +1946585034 +1089861915 +2139794100 +1013592471 +440358140 +1710090635 +1064841768 +490761458 +1263167209 +715187693 +430176905 +1555799415 +1085363216 +1435537579 +1777957722 +1620555909 +292604730 +901219635 +2090502490 +1686951197 +2015716343 +254846529 +155887324 +2137901323 +1518090741 +219958641 +1801659804 +1018675569 +880234804 +1988951467 +1594422614 +679336190 +931329734 +1586733066 +1692928661 +1371687874 +1149340054 +610286781 +1862449333 +265023615 +1325474474 +145142590 +1820823030 +263354042 +1580680169 +1451297104 +1883909951 +1873284900 +205033091 +1826928793 +1412752449 +73265787 +2081775322 +1568639773 +63683462 +1452382415 +1788598415 +1865343266 +323574337 +521349571 +1706811086 +1917996951 +1200685761 +490657172 +1357246369 +746130774 +1862345047 +359102775 +1356417555 +1577310732 +624126390 +534408381 +1722453322 +297465772 +797762423 +1155649844 +1748762876 +534188726 +881451096 +1953795968 +213633872 +146719897 +2027061755 +147925546 +1715359670 +2090745217 +1600307962 +1356474437 +1808604836 +1923882299 +1877824008 +1367932274 +1694395602 +931026121 +1858589446 +904158323 +1677156895 +1573450845 +1263261099 +886090802 +1003277929 +1887387489 +1420499183 +578247604 +37369614 +70777958 +1733897448 +1786132490 +604966685 +467864896 +1592444810 +818600557 +614584793 +1472022917 +966526103 +182460815 +1415284487 +419350417 +1538935253 +1076405675 +195749068 +1269275613 +296854301 +1890144670 +52818087 +7960099 +646819346 +1729974982 +1581410945 +1910080445 +468582137 +437205226 +1649984286 +1889081320 +1015452830 +1687353900 +1959859279 +601866630 +1326002743 +417342316 +1069731526 +770963905 +1235942873 +1684316319 +95503175 +54985328 +1866777135 +1510787662 +474335746 +1258228740 +439709689 +670084814 +380020705 +736563990 +412745837 +432838792 +744524089 +1059565183 +15330127 +178451386 +822161980 +483912264 +615656613 +324662618 +225509936 +1631109443 +2012016519 +37885567 +85492426 +1190535614 +455227883 +1155223952 +1961499519 +1691170756 +692056624 +2057002694 +1746156085 +411350111 +1420306708 +73008183 +1669578851 +1860016397 +743092997 +2049599556 +449096739 +1155838834 +334954701 +1193620829 +67920369 +350284828 +1372072215 +890082349 +834197092 +1987728828 +1214744968 +1059707028 +1471354624 +1079277839 +1097592596 +1556847050 +122329805 +1552820479 +564587354 +2083829324 +1096507588 +1256643978 +1993348371 +695180025 +1667994089 +1266171431 +768188208 +1190089292 +978704181 +1511281205 +1092205201 +1427800920 +519636392 +1427159902 +473938101 +587556761 +1777444730 +1846010317 +1477639111 +464158174 +1686255497 +544900431 +1523865202 +1010126473 +1624178270 +473974150 +419489875 +1746508075 +2026794630 +984077230 +1682853751 +975818570 +93237560 +1528718474 +1670998595 +1761231650 +647406258 +291703155 +803837294 +1626110439 +1802984360 +1896042495 +906427711 +175137104 +1175718749 +1380365813 +762693866 +805679831 +1078892482 +92849329 +1269838005 +617664331 +637749760 +646219560 +1627790805 +114444382 +1120193710 +2047280680 +1860952457 +999504692 +883874262 +1396322560 +1975323262 +977111823 +777557387 +1498838209 +590859825 +1424963645 +1790541364 +1394697119 +903590436 +1446042077 +1143255967 +1810018147 +1621179181 +171491068 +1042900312 +236389399 +977170900 +2121792794 +329238728 +99525257 +591973478 +966988488 +745744817 +72280635 +1081432870 +1865938528 +2119561315 +794901679 +717959572 +855951930 +43740592 +545799187 +1833063753 +821297979 +2044637396 +276439930 +98777976 +1687695113 +1671137049 +1002368412 +986253542 +666909368 +664902911 +459949075 +838400437 +1707803224 +696338475 +1815571337 +1682112370 +1025577203 +1915096594 +126602200 +1992565692 +513357764 +198882835 +926514914 +231812644 +170960503 +1721416594 +949772216 +1026912433 +1765157186 +1495571403 +712492538 +438971517 +1392725152 +988932468 +537749493 +932936617 +512585869 +1540117905 +1919190159 +1179495238 +57537168 +231655586 +2017895675 +1765340392 +927994061 +1685983364 +1299969115 +1953571265 +1453596310 +1426571315 +1798653309 +1966954074 +1625454151 +577684575 +51283070 +1796414654 +151617521 +1001055287 +675843439 +1916774707 +349143042 +1388335977 +208262576 +1741868194 +229784797 +746012069 +527321163 +742370666 +138646326 +299027674 +1921865904 +196183495 +530683261 +1792277931 +1961523887 +1458677322 +1330777647 +1114009354 +1264764939 +636890310 +393097022 +915934600 +456360736 +2018551173 +1493619176 +507643807 +1667482179 +1645236697 +1508699094 +195841970 +1414527757 +1857842136 +1584177947 +1622790333 +1452226683 +1813962744 +221318755 +1979547846 +408849762 +359965081 +131091873 +183232019 +556148576 +661775134 +1975509950 +370188816 +2120452456 +1158803950 +1484198170 +1237733748 +1795694260 +1877295192 +6184700 +104571348 +1748362717 +1499803876 +612215155 +1268361248 +997556926 +2120914249 +1464203218 +264601035 +1831272738 +900897517 +1887391368 +1136015773 +567376613 +2108710123 +968079971 +976226376 +321191557 +1099171844 +1159458395 +877340133 +1760946978 +987484697 +1247528949 +1733915787 +2146288647 +584243472 +824165887 +1794499259 +314055016 +830350587 +1899070608 +2062417734 +182670816 +363802115 +1183295334 +1180227742 +337232717 +500014905 +1444828777 +21021807 +1400912422 +1184736497 +1157037580 +1968289036 +1145962973 +2125117551 +797031764 +1467154530 +1076805748 +1956490159 +197011015 +690269078 +796491208 +1444539965 +276701217 +795296208 +2028783437 +1100867104 +442311819 +195354805 +1931217692 +193898779 +110288891 +2113888508 +557700895 +1293584226 +1146632602 +894933612 +1793599131 +443977731 +915955419 +1047027905 +1628714228 +2072992999 +867833293 +627193553 +2050626902 +1664865057 +2094348083 +979949002 +1473871568 +143875451 +1670218081 +122879129 +1588415416 +1946919298 +918175337 +1469715205 +900302755 +1360487156 +1665070010 +684036799 +1554385936 +1775358902 +650441659 +2112086831 +921459480 +1797074261 +859536795 +567574963 +93568344 +1775492214 +1614602868 +1722282572 +1701001565 +334952514 +201992478 +1604144819 +1999817571 +148856913 +436610174 +1326205492 +292732364 +2106828255 +1449084621 +1881147780 +1906263905 +219776310 +1203379337 +659083012 +1580263466 +720965700 +1343119811 +987165754 +348840954 +1993561470 +951768937 +1270300434 +1643152083 +1811305732 +1837875397 +1736720427 +1439314298 +1304994617 +1311519352 +992832215 +1639947131 +1513511830 +449493387 +1492281055 +1662368743 +886103561 +671002899 +1955101108 +845448168 +2120087520 +1688765240 +604228425 +192380182 +744660930 +1263311438 +1772643648 +1465626630 +458947601 +612325755 +1814467584 +305025424 +1564094692 +937284370 +1948177507 +1227916777 +627676119 +1537414287 +519747427 +1932670736 +701449991 +1512579643 +1425134220 +67478173 +1962073030 +769931627 +1729846916 +700692943 +1440934526 +1537464376 +1546141111 +1413538398 +1078745969 +2885888 +1605918580 +1823406899 +1266197326 +1231078580 +1141549881 +1725144928 +1843404335 +808533817 +2030170352 +1260015380 +1745818187 +1830864211 +340448509 +226010658 +1220794850 +860195936 +11197746 +1922244841 +225291931 +1436331966 +1989723014 +39881313 +58779945 +1572086283 +740574256 +1499714471 +962067011 +139231719 +765769221 +2040812980 +142117608 +224204153 +1716736231 +1408314934 +1455282734 +710802464 +985976214 +1151203421 +1519336281 +868662918 +263735153 +1117670820 +552043482 +604183662 +1343681478 +1772838332 +1464379599 +1354879225 +1547599526 +1689671530 +643727543 +1389838892 +1729552844 +702507489 +814441527 +322643452 +54738312 +1776508539 +461875172 +820507534 +1669837871 +603992780 +1044711687 +1239090455 +2012307714 +352510773 +1949892919 +850800281 +1503714195 +1321745553 +1719463199 +1767449348 +291932725 +124023033 +224149363 +1635614204 +1896861366 +1688528962 +843009781 +1296977244 +1230716844 +1486737324 +539332488 +812786040 +41761165 +1353774016 +1135429493 +96499478 +982798907 +1597304665 +917007012 +505153130 +53813797 +1961718699 +1744243585 +2066121511 +166745825 +1546652857 +769438144 +1670460020 +720914762 +341417696 +1290425720 +1012847487 +465440729 +1514575083 +500978043 +214818447 +1055620397 +1343987824 +1511795691 +138853594 +683241501 +2051128180 +951639634 +725002666 +1257418548 +2087069127 +821502144 +92733807 +1536890144 +1738509156 +597886937 +1590703941 +1552744208 +194646875 +1509341805 +1719490033 +1741299732 +131296301 +1242466405 +314730846 +472713997 +385408477 +1327578333 +938154727 +1899983561 +1828556377 +1152973174 +808120310 +1025060553 +517285218 +946973904 +1708302054 +420929750 +1898613539 +285821073 +1678348298 +1838199018 +1107323217 +1771082105 +1227605515 +698348726 +221485394 +670825808 +103609286 +416132269 +32683965 +1823099319 +9948353 +163980267 +918082076 +324679199 +636694264 +1303490553 +1652257533 +1574848991 +1055990466 +1333330262 +580338518 +1864110777 +210907167 +1097623736 +663601033 +1919209222 +1518553486 +414730924 +57546647 +1049418136 +105446295 +1164869864 +673016593 +1333051810 +1863218590 +894501987 +2003877618 +1966827876 +1310634257 +2036561584 +1642443547 +1320582610 +53058203 +413041975 +1645261810 +689752467 +1716532529 +1150035695 +117117811 +625039347 +335882309 +697456329 +341666476 +546789476 +1795080065 +1005267510 +318515050 +1166149903 +1419998434 +376061697 +68084391 +1525444729 +1540931562 +741100984 +711012891 +1256666504 +1635602971 +567406862 +1076010733 +798753580 +456484798 +570970632 +2119336191 +509543001 +984012608 +1617114353 +1199295468 +553061489 +619666400 +1316413279 +1178100836 +955548709 +2013869608 +1519767313 +1502338185 +1661466025 +377551175 +1820853236 +680132280 +1797549609 +49431285 +748216671 +1175510691 +1590362847 +1489317655 +1886523582 +699545704 +977436979 +306446796 +1775556437 +1776190559 +762931594 +199043421 +1748043102 +1272474595 +1183056029 +1217673807 +324286416 +1736117518 +1837340207 +1640699695 +766734707 +645405268 +1507085656 +139018372 +259806 +1021068033 +516569547 +1821113042 +1701200314 +166635508 +1870544327 +301933337 +1342146199 +1313423527 +1791250993 +1081186134 +2012969231 +621204324 +1387632930 +1641042020 +249911235 +3080877 +1840085441 +1997954338 +1275555472 +875657823 +1068144497 +1599841888 +464291693 +758001057 +1093057936 +1231026400 +1403406325 +452659944 +1370044772 +1403666131 +1473727977 +1886614319 +1077295525 +1027444643 +2053249828 +800356205 +1329377981 +1247912379 +2113779732 +973145326 +181614865 +1979265315 +1594349650 +1569247796 +1472823687 +1844260885 +1572328673 +1165425480 +1694731575 +700400497 +2041083303 +615392425 +152758738 +357891349 +1373393482 +1245816674 +1588917749 +629316159 +1698476618 +811478874 +2032982291 +1024720947 +550609545 +962794168 +2052165591 +456375725 +1763150373 +1234059924 +1704288105 +1729446457 +59721602 +1885902970 +1561228124 +1654071252 +1307667118 +886568163 +1350848489 +732512143 +2051993644 +898096417 +1432912641 +1945593299 +1513488842 +1585671379 +156001000 +739398676 +684004405 +1744918750 +1368714835 +234997375 +408913976 +1254213478 +1259718322 +959523521 +69523999 +1164400265 +1415899247 +1832674372 +250976541 +972703704 +1414637182 +310698143 +711123026 +828381658 +1964769395 +2018790145 +1714949822 +1168134237 +603818640 +1619459818 +2066230654 +2036731281 +1417569469 +1432235848 +1474919012 +1573570470 +24150876 +11439769 +1171005572 +1392865711 +246437144 +1579919548 +499595542 +1506155467 +391959421 +569119541 +523072084 +1807858668 +254310265 +774048626 +633078724 +1668947447 +1084746769 +1344201751 +349845458 +902032517 +1215508248 +2064795280 +2070166754 +1819326888 +1536771450 +1988913760 +1708574522 +806857271 +1273665960 +1036009886 +232944093 +1297816836 +1047449656 +1403949665 +543198899 +1293886800 +836385565 +1042794441 +652558619 +1228344987 +1611913982 +1175630704 +888720007 +1866224248 +1949679330 +1521798732 +1387688047 +886942451 +718516835 +1737533505 +1788974968 +1934025083 +1654845137 +1711658074 +1605868323 +1044132939 +1553088186 +1166959197 +1850990211 +679270498 +55485436 +2083934304 +1977087334 +1102935092 +1340400322 +372802586 +249338244 +29302239 +1415597027 +901896864 +1257647226 +880027362 +2077527568 +2146367234 +598767962 +1879723250 +1520682318 +1986456009 +619182053 +91715505 +1576505867 +260673374 +2025740588 +1083867356 +1972331448 +1484125263 +2128000296 +1377935987 +503600813 +1831506859 +2057206485 +559086249 +1767957515 +1886810172 +1662021341 +960874189 +112129110 +1911359585 +990176429 +1527726137 +665772801 +100340007 +260269851 +595816721 +99223593 +859037813 +328056323 +1619905911 +698010175 +947238377 +1711621416 +127032394 +1207911751 +1589878356 +1210899750 +1032759551 +926519972 +1191416398 +263211890 +1430120785 +875439609 +172934728 +1989207034 +495913477 +2059744900 +1503744727 +1456787666 +24390362 +1267620664 +299480447 +1552116499 +1933393466 +399820455 +1812386351 +381726539 +499044048 +523940516 +709782863 +2118949960 +1221950691 +1657021240 +1683087728 +1348983085 +717449343 +1125482437 +412399188 +1750208894 +2052002409 +1603815586 +2013420785 +1334639546 +331771548 +38871865 +1176362932 +827685025 +2098616765 +532624011 +136989043 +2123007127 +1800244675 +436469491 +1527639978 +1586154493 +836289946 +1192542681 +1967881033 +1335333994 +1716483198 +530180248 +1306800306 +790950241 +39717840 +842404387 +2139933327 +757167183 +1967886824 +404848867 +359892429 +1872405585 +2008664453 +225829566 +1059561483 +192952353 +264701431 +88440767 +1020637378 +215834548 +621064778 +1157626422 +191358027 +273825805 +1594095913 +1718998006 +1859980299 +282902211 +764057039 +1680377684 +1618236205 +333056589 +63074284 +777552864 +1124006831 +102792124 +1619957251 +1116456510 +859959307 +1440360427 +1521305377 +1219851736 +1165282364 +1382486182 +1445681303 +77360199 +1575438536 +1710382734 +165800966 +448592266 +1926217283 +786865744 +1606218688 +2117575310 +1060691549 +1052830953 +1689089668 +773188200 +1335733164 +305663060 +306082236 +806485722 +638719649 +369156520 +1584038586 +1762726480 +471948644 +1056512189 +731699342 +1331907951 +349388968 +105521071 +404276040 +1514671332 +1488007254 +1849957343 +1592031531 +915962142 +1412856429 +1757832497 +1364554408 +1191590064 +397214593 +823289449 +1161681727 +1457906142 +1876120402 +703287747 +83610695 +1064369919 +1008950807 +389692931 +1870855641 +1647670457 +758849452 +1307410579 +1262913289 +1230798096 +216439120 +1994612632 +415222400 +565828088 +2100133703 +819498440 +2080499420 +1440657309 +521972135 +1525047303 +209135803 +1934828564 +1135396152 +1573690212 +978934981 +1532610745 +249496013 +2140616708 +843033239 +2125616415 +696420807 +926643934 +1042502686 +1705371615 +1316336866 +765874679 +1205558424 +2075186318 +2073285258 +320988065 +1158500766 +142240730 +168117049 +1573723166 +708068818 +120767105 +245737958 +641084590 +1561424414 +767710093 +18648245 +1770560218 +555055010 +1154044397 +1196766782 +1533989991 +539171494 +1446262795 +1527123051 +1382204734 +1424395562 +76060210 +161365020 +319414601 +1781431825 +1477701886 +1085289280 +839506601 +1405404556 +1011090891 +1160494667 +416421675 +1153331621 +1328611716 +1990144841 +1861400440 +1449378821 +88399152 +355001382 +863319588 +856109245 +373649628 +486396158 +1411164255 +1527694025 +1683162940 +797670598 +2066865520 +981942087 +177310001 +1301586606 +258854001 +253370212 +1462951626 +578268602 +2034802037 +793169865 +1663557883 +726824991 +51090773 +527165126 +1887319658 +467512448 +1680496747 +1068447726 +310173642 +1394413539 +370342900 +398572794 +1749414922 +1233662488 +1254682039 +2123064550 +1720058646 +518362647 +1503274927 +1255737938 +1316033245 +1422656799 +90196377 +1493343247 +576759757 +349050378 +1746713459 +2039711384 +927318981 +1634031848 +685397601 +443393216 +213373191 +736488374 +970558342 +2100692849 +1204000823 +503571441 +1021656928 +1514174465 +1897984981 +1391999828 +1912747259 +1499916255 +478178668 +1019945650 +1475497157 +50753666 +1538308297 +831288436 +1306491604 +706857895 +106461588 +1396687981 +52717494 +683221345 +1745738359 +1799430953 +575449081 +525573692 +1285979153 +1260846682 +968966908 +1499352345 +1997335057 +1939525250 +1452561546 +1053852232 +295613044 +326734826 +420543049 +46114377 +1718734654 +185806660 +1546030632 +49429674 +1205752310 +874044141 +100183340 +596576960 +1705332577 +1406674944 +1303434855 +1811794165 +655879277 +1356152349 +347531863 +254133989 +1008099654 +922980944 +779707681 +146595159 +36343979 +1748674590 +1645947504 +2033679036 +1540716192 +951025403 +940047620 +1836329236 +1277760229 +1360590669 +1882443613 +849011236 +1546397329 +1280990597 +898440910 +604665991 +7551090 +998624251 +1201242951 +1712883668 +257815547 +357194158 +1377194185 +913694825 +1713346507 +1724726048 +1167828814 +573962513 +500223345 +1947536495 +720557673 +536567324 +1548727437 +219021529 +422762712 +941959982 +1170046932 +1362810332 +630805570 +300323514 +575917353 +365765536 +1149334750 +2122314682 +1646756133 +2047775660 +579497025 +1654307224 +898916263 +1780739977 +1219707244 +1156731811 +2137934135 +449417781 +2070426636 +1703796995 +26660182 +1090771802 +130275860 +526883527 +890824649 +850833533 +1063450851 +292068439 +1069855063 +1486213563 +1234028421 +92418347 +701540247 +1864833991 +392741861 +1277457600 +83115879 +1542076611 +1252288634 +1729872013 +1442368624 +1831785659 +1236695589 +193801239 +1465041988 +308919185 +1350533050 +1455492476 +758336966 +1273476038 +1011805823 +784997148 +216764192 +1142081683 +1311880675 +1107588842 +1992915217 +227847878 +1399657281 +915286632 +1714061441 +486202054 +1007704979 +268118040 +203552397 +1400446841 +1545575640 +286668277 +795039804 +650380626 +2016540290 +89924780 +334682638 +1105752231 +283726020 +1799724626 +1414671416 +1634259070 +1107733454 +25524734 +760251461 +2119539277 +810521883 +977015653 +1114137313 +2122402558 +2084604495 +959568882 +202766789 +1336778128 +1874855514 +1916828230 +1822980182 +735076845 +37462623 +2026532580 +2135523686 +1583038263 +165717209 +783079843 +85935242 +34773851 +873004623 +420617880 +1140526082 +1156730643 +72858858 +407713850 +643506066 +1180592313 +433238584 +1403757527 +1152647942 +1243760467 +233289532 +119301607 +1218679378 +170410380 +1078870489 +1421446167 +1507188508 +806242355 +1190790749 +1182685043 +1541319201 +1228253372 +1061733975 +1529359239 +663807988 +1227451184 +164955434 +749743230 +1262225035 +1037960058 +1170361110 +255267469 +47207053 +1243219968 +662981319 +690713119 +276328633 +1096219903 +2094470646 +1428976576 +192496723 +180276531 +1548278183 +1411176101 +350686911 +479665025 +685138620 +1857875419 +1285907380 +1875929369 +893076814 +679742933 +956699094 +1954810789 +61618525 +1620507082 +1034778325 +226573959 +222766664 +149519712 +1264534017 +1393127774 +404787181 +1311741071 +488864094 +1067768500 +2002454190 +765192728 +16504756 +1949441189 +46685656 +209001479 +2129717720 +1594963839 +1620177580 +332920983 +2074628864 +157832552 +43312754 +1213052597 +2033761921 +936389569 +1892795530 +842977367 +743716710 +1954414055 +316000801 +1778495036 +33504367 +538767465 +1928014748 +1298038384 +1931895239 +185318282 +462295807 +273275686 +1253086782 +317266350 +1038468414 +1269591538 +119223891 +1085154070 +1478593017 +101457963 +532634261 +951286949 +434378946 +459779478 +1109119501 +477691700 +1672832075 +995397775 +1414081269 +1418143957 +1838375142 +10314332 +1225074365 +6892296 +1788809368 +1258578732 +545659761 +1569340468 +409133468 +330071353 +1754658750 +871429276 +603347039 +860261885 +1188695626 +1641815453 +2129853423 +1307919517 +579485875 +1460962793 +1409377480 +1112120136 +264766094 +1843756426 +1571899614 +1373885596 +173964478 +1097248041 +221799723 +1588045748 +367908351 +2060174865 +1598360080 +1592982716 +2067067161 +1239685800 +704077800 +465243275 +661542620 +1113211268 +795314628 +268717723 +1984640544 +1398661667 +1128979608 +1025852522 +892993472 +1111349383 +186288391 +1472479347 +424828528 +1595665871 +437115835 +689594623 +1291938649 +2009015450 +2063480219 +1465903128 +958779843 +137796294 +906465228 +1326688194 +50487511 +357341660 +772187262 +2117554673 +1597027460 +1476265062 +435314300 +111086432 +441992683 +1230628928 +379804155 +279149579 +481806947 +1508783763 +1305002102 +1374800419 +472649499 +1491290493 +699796118 +897478027 +939472717 +1136911953 +1587072650 +83927718 +998443755 +1503069221 +1549830846 +1957223599 +1640865515 +308812426 +1136428145 +1691353027 +666154086 +1908615408 +1661424052 +115697898 +1237396822 +2096738352 +226784331 +1679389505 +1179883632 +606588486 +1958539085 +1661690579 +2115372250 +1116057539 +889007350 +440538101 +459864384 +1588803468 +1338016128 +1399337101 +578231773 +777605131 +1483264820 +1576675529 +133190704 +885612018 +1386415480 +1774056220 +1194424445 +375359977 +1317925599 +1860578531 +136491737 +831866003 +1976276430 +1373888560 +781120707 +55577113 +905794417 +1961004339 +662165599 +716849854 +1475211270 +630054201 +1832907393 +216734972 +1070592302 +145288130 +1805538440 +261124783 +1544625231 +236286565 +1038729914 +880406403 +1812962094 +1171920618 +1766018422 +1051893926 +798493190 +812959219 +1427253904 +2116418789 +526054102 +1563745641 +800801144 +354846884 +790150553 +1581921851 +410423997 +1695944971 +1395442542 +1072589597 +265311177 +723170164 +1702643798 +2098218571 +939905136 +625752453 +96023053 +597959928 +886877236 +1640648284 +834246494 +1925607150 +373571040 +499724940 +950044120 +2139589462 +1551618867 +1748537311 +805065033 +831389123 +1717472452 +1331119135 +247651116 +370789949 +1685966020 +1037801670 +1952711800 +2096390017 +586262993 +1200670695 +1021495966 +851574170 +1923840859 +576656117 +802309093 +716262348 +1202408570 +898332146 +1314222276 +2089285806 +391496783 +985122 +1867409308 +765067823 +500710063 +669969780 +757173637 +2052328930 +271023443 +1562238670 +736234405 +1988495896 +745874157 +983885521 +211802197 +284356529 +2021687191 +17030349 +233262899 +460466536 +1217701044 +1254758865 +1312040707 +994058256 +1831414982 +2114349800 +1710320604 +886339904 +865198299 +877059232 +828142062 +1256695082 +878044355 +548067722 +2021762905 +1378754418 +1218037503 +631452894 +1283599700 +1489060946 +46207916 +2019834105 +1330073194 +792082073 +856235978 +1541875391 +1076438603 +730439522 +1558905741 +1309701502 +1190906058 +629123137 +416976719 +355463117 +1623181393 +100908054 +322329270 +1186018349 +987247958 +1187527569 +2063077582 +1815390021 +296739003 +793638289 +215974095 +171018260 +24909059 +1434011598 +802471154 +1308508759 +775588897 +848679070 +1180859216 +2105662091 +1640761143 +2037095194 +1500053835 +569716098 +620051068 +911475928 +1879417600 +1810957127 +1540599065 +148910672 +18936596 +1016296811 +249818726 +341265866 +54831512 +1237066684 +1528793435 +2117909094 +904973057 +1825532438 +764063735 +1120947153 +1996550698 +788972794 +407475103 +651538204 +2097481553 +1183064000 +1500217274 +1130857121 +1141242444 +993494770 +1020468668 +493812631 +1563210868 +1640519736 +1405288559 +1295144821 +1303993215 +798403976 +1444055493 +1322929812 +1814700787 +1693874219 +1664195678 +1869532300 +783457255 +1045505466 +1839957746 +1688430313 +723554256 +456537834 +661893818 +572621307 +1245510628 +1069368921 +1224159511 +1195508534 +104949274 +576893138 +178882007 +1246191718 +1570387908 +1199350675 +1740004349 +986115128 +692386764 +997809260 +133776301 +1996379979 +1796213236 +1577831794 +1171826143 +1463430376 +1124222365 +688538174 +1185479028 +1907679621 +1734043640 +877953126 +1448626286 +310114248 +1334490960 +2110520104 +882735555 +432517941 +1032405377 +2106895067 +1628026475 +1137354651 +536304557 +1806908482 +236062721 +2106692465 +858775510 +1976067070 +945323945 +1551162274 +826392682 +1079100247 +1400058605 +475122271 +509448393 +424401101 +1938552647 +1633670759 +1112939275 +976548027 +1393866732 +699499267 +1854501153 +695009370 +1009613515 +1041508466 +658045826 +1892349071 +1474026407 +1690451203 +1851760490 +954569234 +680322207 +240581399 +613994068 +916384928 +199790216 +1472769578 +744968351 +1145114161 +876448204 +1571361033 +76730760 +129023162 +2046483304 +586179154 +553424263 +1837552303 +72366265 +1666363538 +666616682 +1466232997 +218379157 +373634188 +13758719 +1227992672 +1415142654 +671804545 +972858095 +741685413 +214772100 +677134937 +1696254647 +895094307 +917716336 +162765067 +1811479236 +1117506552 +1635534646 +408963939 +115137066 +364499202 +1980324972 +191867826 +493522364 +1879324629 +778046980 +1046946627 +1569393284 +850413245 +565826517 +88526319 +169162594 +784205674 +462160507 +182921313 +2012198347 +1877303161 +854725858 +837572794 +471504926 +1069497959 +1514707732 +20275925 +1964592266 +284940420 +183040992 +1628587854 +1402446973 +1818575638 +2037551793 +1517584039 +35591193 +1870393118 +1709451865 +529113557 +1602234099 +340015198 +1576060185 +1024143735 +1190428443 +2141886702 +1112670054 +1359591038 +778608729 +1574830561 +1542512351 +643323428 +1304650074 +249754562 +1480896222 +1776155000 +1319252521 +848120306 +1796430925 +1136361139 +1133060727 +1979471918 +617465346 +388024052 +1650563908 +507533491 +1905608091 +1686155101 +230442961 +1467576308 +67785011 +1832677060 +1807591506 +1643845196 +709337148 +850536302 +1638248250 +1822007202 +62643692 +269373331 +1249354116 +1605156043 +912696759 +406520542 +1854910605 +246109334 +35191895 +1026679478 +1094229640 +1831622820 +15556970 +79806719 +1663611090 +633022316 +467830771 +1166691351 +1140555807 +225955214 +705362804 +1370998769 +1693531523 +773147815 +1056192181 +1353639381 +269509363 +1765529329 +56692035 +1907757614 +1440052884 +119335727 +29647297 +541923352 +1724491771 +942344057 +948443894 +1431918728 +1188453391 +983635789 +311114559 +135199383 +667774962 +326671529 +215006103 +183902404 +959693845 +682836874 +1350593755 +2100249652 +908792089 +2055956560 +1323764773 +454839964 +681620727 +232473307 +1808479345 +951130091 +1998002636 +1865171381 +711404057 +1290571872 +1984507108 +741051354 +1832495224 +1561515231 +1683395411 +633455471 +845950312 +724365154 +1617091260 +1157064871 +859564538 +137382574 +1483736400 +1074570641 +321284979 +295946597 +1757407515 +1671878734 +248712601 +518715956 +1580351646 +1572477375 +973555920 +114488726 +1804950682 +634551618 +1065618817 +1655469670 +352239351 +1777022874 +798557895 +189262811 +370590580 +483569471 +1750778043 +2053985992 +1117024942 +449244707 +630867498 +586632555 +1606309578 +1490432036 +724015129 +942562330 +417519029 +1045300108 +1238508927 +27442897 +569695195 +1487221528 +546158853 +2563193 +912215255 +1519714774 +117051919 +569682289 +6782744 +1182670736 +77668312 +359022095 +812209962 +876226207 +548284906 +1182800543 +1359795678 +151579301 +1089302887 +329336973 +600824008 +1720170385 +915969528 +59649938 +1063118774 +1639984657 +1002212268 +1480637803 +537801118 +93237547 +1508080700 +1107496313 +1580459076 +2054239554 +1110059506 +345190683 +1426470680 +1227111426 +914872973 +1433253424 +262298514 +992541285 +1792275519 +1074508477 +1868767492 +193076777 +109825372 +1081079522 +344656079 +1199128259 +1410416495 +945480087 +771814996 +178902375 +1005130026 +1834933770 +1818887033 +2007342294 +1168087926 +209204503 +2100579842 +528684978 +1316700816 +1533555270 +435440884 +279276674 +1878745953 +1861911564 +1506388100 +646135278 +1147681340 +1768686615 +1638676563 +792473211 +695711444 +1359960407 +985549989 +805536816 +293556282 +1330206068 +2004665075 +1703972777 +128202507 +628996423 +1882875153 +1133332533 +316446546 +1554278538 +993191180 +1484534472 +1763483041 +946287374 +2013219450 +932700209 +332358996 +301176687 +1211976883 +63621301 +15604603 +570881336 +709756580 +1163285944 +192084303 +200949495 +1955759155 +887795747 +1560909903 +793825496 +1693332563 +1854466185 +2124031564 +1550513990 +1410955314 +104750424 +32026765 +1146346819 +1238082957 +348473311 +553141709 +83790489 +1833007783 +169141102 +1030077863 +1698743586 +1101841311 +1362436859 +1999920273 +166334547 +1426058161 +2015524876 +737215883 +2135814741 +1031327172 +929300186 +189280588 +839602680 +1817095933 +1750190491 +1633428176 +1362944848 +1457173028 +1609976093 +765975190 +720644695 +1714726517 +798001955 +1866991514 +805325826 +1146475267 +272649576 +889116316 +831999402 +441790678 +1919194179 +383259340 +1543631990 +1134147391 +235695965 +1709966537 +412721904 +103737194 +299698772 +401052997 +1135064366 +1228998958 +590333585 +1974667046 +898611243 +193040429 +1460611575 +114072443 +1650213457 +923104020 +880047633 +223374504 +490346889 +1678049588 +2090366019 +1295672715 +677041207 +215531947 +37305383 +1509040610 +657322625 +1956499563 +1892299950 +53470967 +943163306 +2127995916 +1763437504 +1355885210 +84249462 +2063136276 +1756938207 +1219313828 +1144651586 +199788144 +1046497227 +2043262829 +392828573 +359625154 +9851624 +2043042031 +1282729174 +889899257 +118932887 +1773076063 +420465198 +61815258 +921265130 +1097506405 +277347205 +958570514 +459063367 +934669831 +767586429 +203879670 +988140798 +1710749735 +184391938 +604094655 +919151297 +268641400 +519747283 +528605856 +1487955228 +1664398870 +728394000 +386968807 +1560178051 +1121222574 +746593961 +1570029676 +1016780957 +2029323135 +312445285 +1135713844 +1654915550 +732910483 +1197529103 +428697033 +1830416889 +1474876308 +1387267547 +141996608 +262062491 +7370328 +345876278 +1250203290 +1718120063 +530268216 +1854297945 +489787712 +798909616 +226561580 +1018393568 +139381197 +1890960450 +1746787568 +526350004 +1303654854 +720526494 +1272943966 +726200882 +1737307451 +1154783453 +1038646167 +725537648 +662215356 +1771556651 +1923066751 +1090912389 +1454489892 +1250459411 +330696288 +1596486500 +1512521903 +338066616 +1942362779 +615241545 +2056186679 +325147347 +322055842 +398490743 +1124056964 +548617422 +1416884311 +1263438161 +292094225 +1016188231 +1789788165 +1595749079 +1736714726 +915248483 +174466313 +1326538529 +2070031937 +1213112480 +2052076177 +584763645 +837185483 +1827659280 +1675676034 +144191727 +930635044 +2006372322 +1740678228 +295673299 +196955290 +1535557359 +910914844 +105658321 +1860704706 +1232970686 +504149064 +837278022 +1781588108 +1921033375 +2100716183 +2073682333 +789737958 +1743020701 +1521947764 +378969036 +510785536 +1696414077 +1705507566 +433333825 +762042910 +1610100095 +1018097470 +1599228393 +1290275728 +546289856 +1743420121 +73427124 +405178530 +1336614701 +369100423 +602133820 +724688412 +1280015267 +707792141 +437909470 +365502305 +1211941205 +1275187493 +2147090413 +985490932 +1228420028 +2073289099 +1775228891 +823957081 +1447753215 +6714279 +1334742618 +996683645 +1712221845 +1768076443 +1758726555 +1174838293 +638690266 +1210471300 +317630373 +1184980122 +806407773 +391057497 +1590158653 +2143022474 +760157920 +44808825 +720227238 +2040173187 +752600967 +1158136709 +258191844 +1964542172 +285840554 +257798609 +802549457 +1514260582 +183604060 +430294700 +190734016 +1631357276 +437008979 +1525476634 +480557273 +1747177 +1146069429 +91800180 +1176585470 +1784759695 +1302271480 +1494215843 +822256170 +2108679254 +1885273340 +264931175 +2104218080 +497947612 +309740000 +676961671 +390637151 +1062340967 +1835098380 +648828995 +879399492 +2120938934 +906627604 +1681948949 +1487715868 +1090231665 +2112243649 +1678449884 +574105293 +401768980 +1056442870 +1054662566 +403516157 +55028652 +1146462746 +1580101627 +1839788347 +301250578 +926833822 +514560869 +262446184 +664623514 +779492044 +219180617 +1162571126 +1089232045 +896142288 +1553208277 +4089364 +583757020 +54553624 +883488856 +557212306 +961181229 +417954157 +2044928174 +2051412894 +382714158 +1575894411 +478034539 +784483139 +484853633 +1532697105 +1187999296 +539882285 +531676203 +620617276 +232186985 +832926781 +1547451098 +746747854 +1095372966 +64590965 +1526239899 +1314553583 +1227162091 +467988296 +63212223 +632886721 +472077660 +646969243 +687440345 +1355566517 +1204181549 +1648621574 +1773520674 +1101626075 +1552550820 +8751185 +530036838 +2030585359 +793234324 +1014890472 +1415798816 +1981233620 +1554772757 +1947475019 +454367248 +1786959742 +632918153 +2001818347 +386223949 +1728291119 +2066409312 +1912463848 +895361054 +1146087755 +232968496 +958573277 +1778974476 +705046156 +1605542520 +318931174 +2060612673 +662240421 +1967552748 +1686649700 +1763866496 +1372619921 +1695400885 +146419687 +1255721632 +341151561 +1161310159 +524036801 +174901533 +568599268 +324028172 +629268782 +208075363 +956946325 +483603481 +594299312 +537753796 +402529145 +359279512 +1433114850 +1548616900 +592248008 +244204479 +1180107729 +1297294164 +1849746999 +1499038903 +1210423190 +364503772 +1319108003 +749589242 +2128370269 +544244276 +297506479 +127306308 +1799965909 +638658040 +1288616467 +176519062 +813559573 +1857215735 +500547234 +1442828355 +2065291098 +1457493560 +1926431836 +512106762 +1995247356 +181477333 +871386274 +1280878559 +1730094234 +1463634282 +1525083038 +762718315 +613444799 +1227346390 +114273570 +1823867989 +1591850162 +1433381573 +425973583 +1572736783 +1977625850 +723480062 +1700043091 +1630108111 +1362138102 +841175910 +1806627173 +28214027 +550907998 +159690759 +1471042383 +468715448 +1617184319 +1249990571 +980822211 +1464948028 +1431467905 +1852208485 +598342939 +1014078491 +1168359120 +2123425977 +1776796806 +1781803919 +1203288719 +1891070376 +1458188260 +647655234 +1176968301 +1884161843 +72908369 +1007110503 +460158257 +1772951461 +489734966 +1822296359 +466643723 +148878491 +1850510386 +1017551721 +308569251 +1174069121 +1486267170 +1925753570 +276576045 +319605733 +1243217950 +1708043950 +24330570 +1841560889 +574638793 +1192689690 +1817503219 +203951951 +827009961 +873308290 +2095022327 +137714573 +1520963524 +1124506980 +2021876416 +1593871894 +2131617484 +334551025 +1219339707 +473868802 +9363736 +1685983430 +622747294 +1859874123 +556051504 +931316545 +886459596 +2042318674 +709586467 +1163035641 +214440759 +1952804418 +723595943 +238771329 +1646881659 +1298234736 +1431461020 +1316901230 +1502186687 +110987333 +42725873 +1449725366 +248701907 +1563689397 +426748699 +123094675 +1010077643 +410882535 +457645701 +81933702 +884751337 +467009437 +1767917133 +1507498631 +179399912 +176484989 +291331528 +1065859509 +71320015 +1000917996 +81411502 +285760774 +806238766 +805007446 +524532103 +305636777 +2103242182 +1955993123 +1622538008 +1457945222 +2066980457 +1665263881 +760186940 +168198716 +1081469630 +1186935639 +291293391 +2091547274 +1597818174 +748939092 +25997328 +335085864 +1215948530 +1793914461 +1842584495 +1395348442 +1970399450 +2133916024 +313724303 +2041719465 +987350372 +395135806 +179996591 +1793589138 +1200143252 +704528695 +2099225915 +1155901786 +513038170 +1574280275 +466363360 +432534979 +1092060508 +1226550301 +600733695 +26046491 +266002292 +892027087 +2117593765 +1863820467 +1640966179 +2143591093 +51422683 +709431061 +1790021907 +1894007178 +2104779504 +1612937709 +1880439554 +271020159 +1507173527 +720306278 +666155965 +1687170118 +366411768 +1866299217 +244215165 +318154036 +874717356 +757253336 +1892434311 +1341080716 +1189788315 +837011172 +420147369 +1790522011 +863057663 +686149662 +535065450 +833167780 +402486481 +28547981 +829275225 +453909164 +737979043 +471813484 +200432694 +695274899 +2084751194 +2080872249 +966295058 +1444441073 +653694879 +1632451024 +984127543 +1020106648 +1351266593 +1228342709 +1338260684 +78500301 +1985596045 +1083211347 +1419581018 +1027900712 +1920222519 +1839728387 +670939075 +635796534 +378394401 +1206004525 +1468964314 +780880882 +1234552507 +150755892 +1234790046 +1972531550 +622569376 +1435222741 +520322801 +559836922 +1368611342 +1486617859 +2004277995 +2022306221 +971585235 +840921891 +894929221 +175368181 +2069264600 +85706257 +253868482 +1907376997 +1168917605 +1673449500 +787794061 +941656476 +1365694240 +1458733137 +1577453011 +1744088641 +517254014 +898933677 +377485876 +1751806521 +1049689569 +1612275922 +1576854423 +1672258946 +900015015 +2097177224 +84612220 +121142709 +1436311436 +2088890216 +2143448931 +260413023 +782328459 +890894504 +435781204 +704109411 +976600762 +689649687 +464002760 +2145518367 +215615539 +1251796821 +939691195 +1581309779 +563046310 +369660558 +1177914773 +1080300325 +1268594236 +1555400649 +684623198 +170800157 +1020192923 +113993974 +1843059103 +1920207939 +63687550 +1927671324 +2041350648 +1499998986 +1869077892 +2037315931 +1760412010 +503922703 +780726788 +48709566 +1208032114 +1757327550 +738359253 +1672034874 +1755362269 +953974793 +776348047 +547569816 +387800924 +1339394358 +917230375 +1565715697 +272211035 +38340963 +973632698 +956834233 +209141120 +1993825622 +1070828207 +2052200224 +1766549913 +1134515758 +1832387900 +1660416913 +487031096 +1553982144 +1550249197 +99959458 +2057904847 +183492337 +148669025 +1118453313 +1940819887 +887028278 +643004539 +1548698508 +1841003071 +1419352586 +2096268324 +81320348 +611263296 +866015051 +1647036045 +883474331 +904356014 +473185096 +1840308565 +1113497135 +319527070 +763653124 +1018213711 +2086076983 +1898168882 +703117963 +1599010248 +237716331 +109616459 +1001775797 +337675789 +20037658 +1185268134 +486344814 +1138490971 +978604373 +1373373093 +1781495510 +379819233 +1066892516 +1053364448 +328603910 +1148212864 +1664627745 +1194618961 +647765262 +400618428 +2098974976 +1120950358 +93443345 +1064988463 +1440477428 +857096470 +2083202174 +1379070763 +607781704 +638836489 +830597363 +845498035 +748452948 +1832373161 +1183173825 +768490606 +870157647 +1669518639 +1906981577 +1848762021 +895408084 +1540993439 +81097606 +1962300601 +446874239 +409701516 +963029817 +2111501984 +1604320478 +1610795079 +364636765 +1555811806 +584261789 +458080110 +473316621 +2024739217 +1315176580 +409035147 +1256326332 +1922958285 +1047871636 +2086923696 +620972672 +1796324584 +1771813209 +1804146497 +417331542 +494487208 +1326181489 +176829471 +195765581 +74105925 +1717822910 +276863188 +2036406526 +17213501 +686564704 +851952696 +2128715486 +143401534 +315264127 +345868603 +1699213340 +899525917 +803948713 +25046313 +776781486 +2119125294 +434081460 +2033107819 +1894599931 +1481953096 +1972547867 +368088955 +1130794032 +1596877428 +24751805 +1548125574 +2091364636 +1350933294 +1724955045 +139646570 +1425039219 +1295294307 +416509758 +1313962098 +1312507809 +1103074462 +18431146 +1293739647 +1246475997 +333695273 +1639608250 +798205689 +1233221190 +296073315 +823252003 +2010002677 +267714961 +1257333463 +1895626848 +14831244 +591802912 +1720691067 +382920200 +1722596944 +1170084847 +407672005 +1123238871 +1113965835 +1758605299 +700710268 +1253612405 +1036160870 +1996004576 +1670122163 +202639320 +1161028737 +625712978 +221070466 +307284736 +1872188975 +554765740 +1946892986 +522911016 +1787986930 +95482653 +1346163019 +1650505959 +363197615 +456012835 +1398649159 +378028859 +1047815747 +971856578 +760949059 +622929043 +2141941425 +1168621064 +1746167914 +1108423613 +779742715 +299394535 +214552370 +1815903586 +147915463 +1884674534 +2018542906 +1308944200 +362903864 +92129725 +1616228936 +87609191 +646895465 +1415638274 +610520207 +287398747 +1511120927 +1956683227 +1937904707 +1874318542 +265212414 +1189070218 +104863754 +1313028161 +13443149 +865812813 +1935957204 +7900926 +2034433878 +1534641471 +1116324539 +666692945 +1834036006 +1330876910 +335112883 +1981951469 +1068067796 +206172142 +1143412021 +1430971660 +298301867 +612157309 +1518580851 +945197332 +2027795583 +2129101058 +1232596079 +1391432862 +1938300637 +1023017138 +1118267757 +56029403 +64603709 +1223131511 +1369057564 +78046858 +2088944324 +1157531121 +85947784 +1975894554 +544688944 +1202272324 +495103852 +231241302 +385665586 +830216735 +65709123 +1453733382 +1036388877 +1209121144 +737221394 +1334690744 +1821278453 +108318597 +132404428 +1701590388 +89936007 +1365000508 +945539602 +2028236645 +240533998 +2063807359 +2084266048 +305137707 +1139455222 +1305839965 +383184565 +1080915899 +315887438 +469132350 +909326805 +860576382 +1671404674 +1404430657 +1091817684 +2057070260 +87163745 +1157526807 +1363319994 +1123552622 +219164303 +2100541388 +310759719 +2040442756 +61376337 +443164147 +1594549496 +151312344 +1808164655 +392605450 +32065341 +2048698654 +308929162 +2116331390 +206352713 +1448384384 +1274687707 +589537279 +381816635 +1590575145 +1058669629 +1291143441 +303667879 +582590655 +548090450 +1395485563 +492177267 +635254195 +405528722 +1855497261 +1758806818 +624693025 +1808555001 +2069566537 +517652133 +1869931338 +365247036 +2112201629 +2021243682 +25928044 +357323431 +2053309024 +2074626698 +666252593 +2022156766 +133495763 +2114636978 +1149360825 +723033042 +348969965 +592452322 +1781702671 +1640113406 +896120201 +216809678 +40720209 +144122116 +708986945 +675974404 +549650838 +417000558 +287297574 +1174343863 +78071911 +209380463 +1691995996 +1948003249 +574627500 +1656713977 +1821763284 +600555544 +2014037408 +1727588660 +527698594 +532806354 +1602261778 +661194357 +499959684 +604138955 +1384227400 +848929649 +1196591277 +1018446423 +341559408 +2092711478 +1235256102 +382279617 +89349946 +1944243047 +1058254021 +639000784 +213759958 +1345551596 +1813344647 +291831869 +1554932059 +1357856995 +92351471 +2129559559 +867087324 +1914114755 +582631455 +733641084 +1494219767 +1110330049 +1266447438 +948997897 +1771524407 +1766407122 +1553136852 +1008268159 +467853124 +602244481 +2026714582 +809412532 +547472311 +1114487036 +1191692149 +636822257 +911246436 +102462522 +1275823041 +1125006394 +1448014118 +941684040 +1416838263 +855462530 +152057387 +1509189734 +837538441 +1019144711 +1275820841 +1420169897 +1752785795 +622556960 +383016298 +871749586 +1571554857 +7057057 +490673060 +977208061 +1015325216 +958526184 +1579452542 +894556151 +1767938716 +2126924853 +2009043187 +812147217 +616263462 +772805975 +914609740 +1892086503 +1897812369 +215140210 +686286895 +1167166985 +1070602740 +838344282 +528873071 +1908141182 +1857488993 +1804693913 +1180827431 +1462791141 +279767225 +1563843729 +187057079 +1851322083 +1570900787 +677730139 +681046496 +438742355 +1636256324 +113015391 +1333298506 +1256711392 +92456596 +1194858046 +2068858610 +708720059 +1967664021 +835984702 +453322914 +1717992743 +1051124912 +1139609810 +737676080 +2121727653 +1977954092 +1266549151 +1882385187 +1687959438 +923759416 +915728970 +1003266931 +1203526642 +332089051 +1190324010 +907365077 +1902989838 +1868054149 +1588411573 +194248546 +1356826825 +1701426964 +1527547052 +466054570 +1793883561 +574921450 +387429532 +355119972 +395101824 +1223414234 +808442886 +2113094567 +127055498 +1948052696 +703286999 +101299503 +1778523141 +1969836150 +1983684690 +1318998931 +746111919 +751930012 +174782214 +1949638561 +1084019064 +1365106224 +709519990 +839525254 +1085676725 +150447915 +1033773800 +295019903 +1851874880 +413837205 +761074473 +1498274793 +988758655 +1148504005 +1853394765 +1383860479 +224434591 +514354003 +1349471398 +351490089 +314923052 +2052758397 +452789593 +2093446193 +1875110900 +288990635 +1264961476 +473739171 +1040920648 +1439743690 +275894084 +2124939712 +657366266 +985414074 +816981318 +1743042991 +1135861989 +1850755119 +2038062894 +840253221 +117108676 +651653719 +191044366 +1105867331 +1800157724 +2044439131 +342244163 +2024592315 +411309487 +1691715561 +228598757 +726232539 +1596990311 +681388350 +672195084 +1324617563 +970378985 +1937156560 +1798356734 +2011299633 +1229416602 +2074250818 +1988755697 +1886782868 +912181244 +658253368 +1482342211 +2048043233 +361524839 +1372921458 +740812807 +478633515 +2024575177 +931857173 +1584500846 +1677249254 +828812657 +1926745009 +1554357921 +1240122144 +1470976923 +1782956678 +1966354683 +920483586 +316861380 +491066119 +97617501 +1287240366 +280739031 +1895974235 +1151056351 +1510155633 +1822741405 +992328401 +1249454853 +587439001 +1650581769 +584313416 +487998586 +2012106608 +1957234874 +1228811393 +343256475 +1834326404 +13184919 +1927757321 +1364092010 +841997576 +1707018683 +770966283 +2082119720 +1030511958 +406439314 +1900990755 +1950995544 +723300694 +244573226 +2048613045 +2010541060 +525312257 +1797103632 +1014113764 +2035467890 +1472361389 +2006442165 +1137439095 +2059800390 +1509540286 +1721752511 +400315328 +1374163246 +1531503738 +1629126722 +1717419721 +1218346494 +1642311641 +1497693394 +434954856 +336825569 +1057228429 +1205921139 +271461641 +2087740387 +1612360453 +24968748 +1891252283 +188177500 +269541974 +1792381680 +51234912 +794854231 +1442001664 +1065348676 +682838473 +766879405 +924307193 +1820277568 +679196147 +286363831 +1394546431 +1079511476 +1660527077 +778566521 +561154550 +1230463150 +1996913015 +55982543 +580672897 +284384223 +392808112 +1637901326 +1490305363 +664269753 +1578158066 +955182168 +689238501 +1321926701 +1143359668 +958780475 +966824734 +1194594581 +1753634706 +261342750 +112459609 +288989531 +1028222156 +1036766803 +2109267099 +1707418303 +1323130634 +1356329882 +639446131 +836174064 +2134896404 +1200600681 +2066637214 +1984325771 +1256583224 +499826463 +121226347 +1649391336 +2137727790 +1611531710 +166177441 +1568402208 +419230230 +855415942 +742845261 +1562589899 +1814196417 +1709669995 +609700832 +1420347475 +1971012746 +722160441 +1709337006 +851751254 +1758927244 +1671120457 +411685909 +934574231 +879966692 +1051132041 +1770748295 +867379448 +104249074 +1689901861 +704221571 +1360832299 +42244677 +825447918 +862739987 +32488819 +289495980 +1028917429 +1600891027 +708726211 +1884333371 +196252640 +123832462 +1551046141 +1905922636 +733533294 +823909968 +1729451734 +1455693735 +385763327 +433719340 +1067137332 +2056883784 +845405249 +2001711563 +789366828 +1896537290 +1624976210 +1656746276 +2000786365 +1167394423 +213484200 +1214135016 +1209639100 +1038932118 +2076875003 +1242127919 +1328428099 +958308784 +695535298 +2037154310 +695158508 +891787939 +13503124 +98721001 +650226927 +747036418 +922630969 +232195013 +55246505 +1308394296 +665914353 +1122383837 +1217794433 +1511319602 +976611752 +2007161261 +1260373245 +454104314 +1516423890 +1113675962 +1621498738 +1729908090 +180327330 +683654190 +621356560 +109718685 +1925782110 +1949784659 +1068027470 +473833760 +1839455321 +1763185978 +1365621699 +1852958445 +1861906979 +2015848626 +452511215 +637054300 +100559991 +507757721 +1945448597 +766474344 +1630141558 +1015759382 +130310299 +459269663 +875436995 +1390683544 +913373977 +244377237 +356875858 +387389067 +1974285327 +537203188 +1071043258 +448158240 +646921873 +849341720 +250459251 +1714949343 +1323175480 +2089914573 +1330651673 +541313532 +1795389370 +1045075004 +409678510 +100416938 +1682129305 +510238502 +608174659 +1480094254 +1276712846 +90832569 +348369988 +1407023145 +550102232 +1223806983 +650223041 +1463476210 +1468184221 +1007098899 +1850865277 +1294985900 +1544302087 +774424887 +1743144140 +43740313 +1623766607 +1993603392 +1758689656 +799458440 +1936034317 +941857682 +1340771972 +1583940039 +1986932686 +1750450482 +1684356977 +1521578343 +113205336 +145047988 +854188949 +1389918183 +235880558 +1202558937 +649457680 +785982790 +278882273 +1299680722 +101975352 +1747066494 +159295973 +1952840630 +894568746 +1703598061 +579781869 +490229239 +1747338374 +56064829 +336348983 +1358544382 +855523269 +124899652 +152918416 +48811593 +1708839691 +2139851103 +1799262075 +1245713021 +1513945798 +1912467412 +1390761009 +220651100 +1154901947 +1626641567 +1423210037 +1804359627 +265140710 +1702092310 +956556701 +367116062 +1301675156 +1115852675 +172473044 +48760255 +671967088 +752254914 +538989494 +271821814 +808319743 +875338477 +1630366196 +1663843012 +1000238129 +1783284613 +1712654605 +561594172 +1775652068 +1364433032 +1807307193 +1142114218 +1129416796 +1050584555 +1362765318 +136835095 +529742474 +638491708 +1941194723 +794883184 +193100370 +750267776 +1161999247 +1494775527 +1866120451 +1334472291 +1543535782 +390603891 +2086727205 +2082525276 +662425705 +747563300 +810380105 +145308254 +263922664 +1810618234 +1928592867 +1976577269 +224728758 +1556761287 +1193526654 +2032035952 +551391857 +175459802 +935136859 +1914157176 +312294898 +1464879333 +405165236 +106005973 +112278870 +598265606 +856273749 +1274278117 +2093041133 +574910553 +461266760 +1489093267 +965514444 +400510318 +1424134895 +1627940150 +1148073618 +87031352 +1773248404 +1411996283 +1897649586 +1554357623 +1241089904 +2122378345 +963635262 +287132910 +2006930649 +1515027119 +462592713 +794583860 +1281700647 +774887611 +111979545 +1686865883 +880893584 +224258415 +137647842 +1737167333 +1498536532 +83205327 +164594238 +1959803293 +1572298595 +1130108683 +212829963 +848949842 +610565185 +1360903581 +935981195 +236329941 +625416216 +686147133 +1790687564 +1866506121 +661041830 +606839178 +6155383 +520488831 +2121866297 +468748096 +1315072691 +1256083297 +1243635707 +1427052237 +795465532 +2124529291 +1651310652 +933113374 +1714212977 +1002363537 +1016318702 +1878807215 +814683182 +441133649 +861432250 +1027513145 +1290083491 +1471997435 +240933078 +78581038 +1708327376 +866349295 +764728172 +1351531292 +585371768 +1425770002 +1958370470 +591527151 +1946258834 +1932753120 +1060275248 +1113847877 +1041352769 +156427307 +393416466 +1836818301 +133472951 +2044727119 +622448028 +1847685928 +899607008 +1638766730 +1579009495 +1714290190 +2079900379 +292958098 +594319687 +1222500222 +1764955533 +835252765 +1301081261 +1325799262 +1701602060 +2065809433 +529846906 +139490180 +1344095787 +340733729 +731017332 +1142870973 +126003201 +1791292580 +109235203 +1167355970 +1947719887 +502651669 +856690623 +2081192838 +399895140 +1479138651 +1781395118 +1299502148 +970421733 +1212920966 +866308690 +902838464 +1505879064 +1460628377 +2125338687 +1123350949 +148397495 +1278936300 +301666563 +1849999555 +1197262085 +831513470 +1989489736 +393874224 +1172247199 +573023420 +1536745198 +1298250400 +216832352 +1645980401 +318122722 +17068591 +1148422 +1174813345 +2098261430 +401043563 +506468349 +1732172900 +1700545711 +1476890082 +797610218 +419370754 +232244899 +156005634 +1879999131 +210099938 +1279356584 +2028396626 +1489036238 +1581023147 +1730912534 +538814675 +265052969 +1572918622 +932688899 +1437300168 +2145942042 +321950449 +588066920 +215290746 +1967930850 +906189642 +232359337 +1969079273 +2081002988 +183137119 +222639188 +439987689 +1915310020 +1923184899 +1916877771 +565436590 +195072005 +1639022 +721442225 +2075071137 +211738960 +2000798809 +1955984115 +1700775198 +1434338308 +1539413001 +92106225 +1699391278 +964847975 +1024795125 +989207798 +963306369 +1346745574 +1577274719 +1178597115 +1167192777 +335980713 +1410956453 +988788402 +269500053 +1594093572 +1211427590 +709487742 +1361919944 +987128841 +478881866 +1927356535 +1182200847 +480520888 +501315112 +1109788336 +692259849 +354630273 +918288803 +245551399 +1788968581 +310218157 +337657625 +1340876211 +1275066132 +1362452750 +182600362 +90888854 +561714676 +1759875081 +1269485969 +1728907453 +2095855794 +532958774 +570212207 +217872200 +2127052347 +1781639797 +927359942 +1341488643 +621284991 +1406241808 +1121361530 +1803485838 +1886762697 +1622676642 +765790526 +431538898 +1977306915 +1684079329 +677090297 +1618791849 +1994297486 +1014747922 +812184412 +1121879971 +229717024 +994784774 +1212768825 +791431701 +607176207 +334771146 +372855506 +555548354 +867729921 +943067714 +773420554 +847298620 +577223863 +1700780496 +41303615 +1198508854 +959538657 +1162665146 +854511044 +698817706 +637858140 +1620301570 +1130356604 +467681408 +1156897252 +1807446901 +2086473257 +1003711090 +674711176 +751174021 +2125591061 +904428200 +1745958796 +1190876238 +1695859901 +205651355 +1525647385 +2068715408 +761199709 +245893658 +864299474 +1534620263 +1093192278 +1441523337 +1087917112 +1134495893 +492548544 +2047455769 +149677391 +1347059588 +598789827 +787535532 +819877511 +1729146431 +1255216940 +1976774763 +1389109684 +1194206549 +833002205 +2063820860 +1945380570 +811109619 +820765413 +1543855718 +2001985857 +369141666 +1749507074 +1380149594 +290373426 +363223135 +1626043252 +1154672900 +1897843399 +571751882 +448712590 +838276863 +1706247776 +941261134 +738248984 +1855925167 +140837074 +1337038811 +495977051 +960714585 +918701594 +1751193991 +790005700 +160327630 +797916892 +1623007906 +76664843 +595813815 +286633877 +897430256 +2139669533 +141136086 +1266571922 +1741692959 +1521285681 +1556945349 +2104916095 +999845285 +564134601 +1855275846 +1571597168 +1012847191 +546069061 +1130361296 +1954108325 +1284318045 +838802815 +2094945400 +473873208 +1334779867 +908176337 +1392574802 +938490210 +1698182038 +1552902432 +1736407103 +1173706296 +1629567275 +184737270 +1460340173 +379513883 +176923155 +1601476259 +1646085806 +1918616115 +975278292 +1055547507 +1876048562 +1975123578 +1619682108 +1583840760 +1399237098 +485045652 +2129909821 +382114746 +291670329 +1266744218 +1220917561 +239132081 +1740617426 +408213780 +1147308419 +985708580 +1346703991 +698006809 +391127364 +935627446 +1871713105 +2020694640 +1120364716 +1184569630 +252724875 +1297287871 +638562241 +1898810681 +1068420338 +1613840534 +806874540 +796985252 +1441480464 +279073001 +233342364 +693233914 +764118653 +215768537 +1075348660 +1055788982 +1482512755 +148782573 +1294921064 +1075646533 +556996354 +294745835 +2061355113 +1903700345 +992752644 +304998830 +691844143 +716982101 +178209822 +1812208859 +1901551731 +430934697 +962013082 +392630324 +182261731 +2030433421 +2006470858 +989136271 +679935025 +1300467674 +1268209272 +913277390 +1993701588 +2032327925 +1129045927 +921566600 +940633260 +464075035 +1070349174 +88070676 +1539721568 +1627345528 +382816511 +1453593034 +1383562225 +1375569155 +1758591864 +2075406368 +2092551256 +1936801686 +1740131579 +1846619339 +220252735 +554661013 +91766015 +402514466 +437610786 +2098236874 +1391650738 +1117545812 +1251220900 +512376362 +2030823202 +1097438841 +397220640 +1012385481 +2019005441 +1337853900 +1476460516 +941870967 +1425924576 +868698437 +421732847 +1808741087 +174807823 +1805295072 +1036826594 +1933399687 +1733217792 +981894202 +1722717725 +1325865723 +681029893 +1942970460 +1880526737 +772795908 +198001279 +170653875 +723549134 +1589652017 +1288199687 +1974770035 +2102028379 +1171539241 +924725228 +351765371 +36441075 +796247021 +1689619271 +1512901591 +1738117989 +968060199 +234116380 +12367188 +629317638 +408924203 +1817662261 +1666144232 +194840242 +1403396405 +500554786 +1917557967 +581778481 +1181584679 +1713044780 +314821570 +1954380588 +1911046059 +485475445 +530446074 +1353214428 +1773675133 +357732461 +1307759159 +797730726 +1282457689 +1659524531 +834171801 +2078704711 +1201660154 +199589745 +1669339052 +22236706 +433706125 +1681706240 +651554344 +842630329 +1351884853 +170214929 +1037470571 +607797611 +670769715 +807544891 +1189576092 +1852354395 +373106023 +1504397662 +1659251335 +136668434 +1989873107 +42213761 +1489882862 +1616064592 +399946223 +650158373 +266311671 +1682403912 +162199256 +1100483472 +1613624975 +1363859411 +1300073217 +1135480379 +1386096117 +1733779343 +669702972 +2037650461 +428926024 +2021587825 +60381742 +1466396595 +481901788 +731151458 +126457838 +1671477880 +436022205 +499563861 +1028391894 +2095273540 +636232295 +870781354 +2137487301 +2126115157 +339362298 +389949876 +628789883 +605673969 +2072353789 +790989139 +1706157442 +1538495116 +7364902 +858747011 +526491848 +1393461019 +445042706 +1196194820 +1283627833 +873968730 +1070298997 +1344009575 +192881678 +1552200786 +2075161033 +319339516 +1076195018 +363699590 +818903378 +2104586913 +311489482 +1455135673 +827884619 +301493136 +1433767183 +1167246917 +691443012 +2062557066 +1772920887 +616313153 +706062557 +1331594681 +7324622 +713427460 +42858044 +533816470 +2106888479 +487900751 +1730011290 +1243032664 +1361869481 +652826639 +439558592 +1554751159 +57543777 +367235977 +1874090676 +1133738796 +730935568 +545510406 +1090842061 +1042425050 +2000646079 +1918726680 +1343918186 +1286929614 +938489949 +2035361199 +1202003032 +563927188 +504190704 +1908065590 +1895521869 +511515326 +474009402 +1938379914 +1045331796 +433414233 +278797017 +627859438 +1676446898 +1640666498 +1280686078 +2116005490 +1047934010 +1338229855 +335757819 +774541038 +324485003 +1066693387 +1320051444 +1415327064 +2109118438 +1173213875 +1186570096 +1305552976 +312659842 +2125060046 +1193430527 +1514662874 +541503586 +1697621232 +1275244816 +289541808 +61652910 +1749254218 +80438074 +1106984707 +35184804 +359235091 +1734844145 +1711631702 +1999901589 +868046575 +1680153544 +900351951 +58792783 +2015911363 +1674892989 +383277786 +935121103 +847460785 +1798604851 +896755893 +2020674661 +837691299 +54825221 +185850855 +815267697 +1248255749 +1700513729 +1356771284 +798393333 +828274898 +1646313092 +860046243 +430045468 +1726751166 +1967030950 +465230272 +2085986257 +1554391448 +29378326 +1938404198 +274954375 +1709531870 +691272502 +333747158 +1577959586 +218681843 +717024945 +365597041 +1066142629 +368146148 +1262352934 +939333642 +1205837447 +1317178155 +1125184497 +2021105145 +417950256 +678214578 +1230392781 +1216343589 +1506489476 +729222225 +2076389833 +1936534945 +308489743 +1895937135 +254281569 +246992352 +1302844935 +283659896 +37912902 +1577799311 +1993191766 +729185404 +1911546469 +1423667704 +947867248 +481087766 +1789264745 +2014009877 +849233914 +904134031 +805859871 +2055071362 +73828539 +1931044368 +1928692859 +491778795 +461775298 +1011601992 +1708122385 +1968264775 +1740824217 +1637028570 +1757316072 +2049313960 +1385482057 +2011597641 +148822664 +540843345 +147773889 +186735566 +2118642656 +2140965656 +915920971 +1882705477 +1417149712 +1863788219 +216309596 +1058930810 +1730314448 +1065543510 +1963064841 +388690671 +973131224 +2036893380 +172251391 +754340435 +381188528 +634026689 +1765942427 +2089310913 +454807816 +1359282996 +1578855835 +64640240 +1261113308 +816854244 +2076237882 +1409935972 +1357697589 +76528123 +1596671539 +1328856597 +70010131 +365108862 +1064078427 +1487159844 +81413433 +1280388023 +398607006 +1811727881 +198447885 +214188199 +52934904 +1171579110 +103597932 +225186295 +1925919545 +484786460 +859212984 +1544378325 +426613725 +1314020801 +756177673 +2005469560 +1378661041 +2017290982 +674840156 +1307415275 +1279743306 +2032537746 +1383943399 +728931197 +1213910695 +1453953530 +1094040059 +130505474 +793629726 +1175453492 +1410893497 +1192236732 +839697725 +1609341383 +1406424932 +892632629 +633436845 +1510022864 +1117818924 +411872742 +1994809324 +1977031909 +1956251067 +273939401 +1143569062 +564945093 +131925313 +374746455 +434752427 +806765469 +1682161731 +1714495733 +691819567 +918621482 +295943283 +1905730263 +225091364 +1389983342 +2036235737 +1018721091 +417953187 +1299645587 +63474175 +1257650912 +761503322 +1469899107 +2799894 +1394940167 +832438323 +1120618818 +1806812909 +679763999 +950167079 +1615580329 +953703400 +2093736141 +33041774 +1085628713 +320998949 +467794201 +1892394183 +2003160680 +34806286 +436730102 +774298514 +330749569 +194976717 +999389878 +1720732912 +83728807 +2018110969 +2138686099 +1383374394 +2081585145 +1248853363 +2144877716 +1404000604 +1251653257 +1392334235 +88955280 +224788428 +1051663496 +768719279 +1174955507 +519760177 +1722422680 +1121208001 +552801951 +660567745 +1442206950 +1020596152 +405478280 +1297883982 +1055402439 +842208383 +2072182496 +1386152008 +1037185100 +924088726 +959401272 +1120913907 +794716048 +950603723 +356804653 +728817545 +51973439 +354198721 +2132818149 +1303626696 +1746532956 +74289781 +1528415124 +650712805 +843009061 +555886984 +1170472982 +417948093 +1677094985 +1723274934 +1078515838 +971818287 +596387438 +1483994119 +122218621 +1651789877 +178718854 +46917469 +890458238 +1215903954 +971006195 +1849859510 +189334214 +1765722243 +652979586 +546138867 +347056140 +704953025 +900337589 +332390642 +2008579721 +499386897 +406680423 +1389511198 +1150099702 +1249689484 +1945398182 +173089037 +1667637577 +1475009519 +1896363971 +598669768 +299344158 +345267761 +2082663887 +421562779 +1997057639 +113899093 +468480248 +740032229 +1329803047 +1439486443 +442408091 +1519137261 +1057725039 +1095387677 +2065276129 +1404781179 +1800340702 +818130070 +1737171821 +1661436776 +1317516967 +2143852245 +903464326 +320133022 +1246058081 +701378860 +493222059 +766212011 +28904731 +242102382 +1364881779 +328248889 +587370143 +1300062018 +749811668 +436944134 +1413961111 +1218291916 +1176976363 +596280510 +510294711 +1619384455 +2115417772 +1568019750 +567288484 +2033210253 +825317282 +220145539 +703856675 +415005455 +1881582315 +2021373642 +411374052 +637562993 +194023016 +1657432134 +1338941853 +687245075 +276160497 +1367846584 +929347457 +1641042276 +1696095473 +1516717601 +793620646 +298423493 +1953661735 +60098109 +1516715409 +983154451 +656378619 +2027010120 +455055258 +624312743 +1447546223 +1022343742 +510039348 +125379857 +1242489281 +1213896023 +540385312 +976587948 +1087786018 +951759365 +1614150941 +1281809034 +461707851 +805609146 +1969054110 +737868348 +25972082 +750917919 +231426976 +1722067555 +120151872 +1025047622 +2020491048 +2073813608 +1085145731 +1389722809 +909484411 +1741524350 +1269249282 +1364539669 +218353446 +569311857 +239399763 +728392794 +694691714 +1481889045 +1942288818 +1235077026 +310993345 +882591188 +39352743 +1925144287 +16916574 +501060594 +583269785 +1985970684 +1238928942 +609241868 +589404956 +1470355918 +183825775 +709556828 +347919892 +56833176 +635886788 +1433065623 +1446555985 +1545371199 +1027106326 +568321619 +762427220 +1245459772 +1137633476 +1001826984 +1973852566 +1832325190 +336232381 +1768657736 +919918569 +647225726 +503765276 +959271312 +424886365 +520681851 +1460331907 +1008156151 +359168887 +551777201 +1617398019 +948573843 +2022133120 +1801223794 +1658130672 +222569364 +1858056970 +146533812 +1655634988 +1157129308 +1691905012 +535257666 +1725450927 +306848584 +1780717438 +715600756 +1308675568 +1607086356 +400442298 +1644907949 +1228260445 +1320360867 +144650028 +1732025721 +132148532 +569536393 +105223924 +1592480439 +1577692544 +464392812 +2144257640 +1047606915 +1412966655 +2018907112 +701347062 +923613679 +93992829 +411920384 +1070147492 +1749627817 +1569049692 +614568856 +137401835 +1147016972 +921417440 +1918119273 +1862617728 +82609361 +1377721981 +115576378 +1727517310 +458498778 +1435937246 +1872167338 +43040852 +1568085778 +294220084 +148264776 +1013082569 +1871912628 +612657588 +1009856561 +772035896 +2025624244 +881280026 +1473382958 +801754275 +975272855 +1885303342 +1871901767 +577417024 +1306869387 +338986975 +714818859 +306402711 +1260404416 +485454484 +21536791 +1343013777 +1863176465 +137113169 +923047439 +174191596 +1573050415 +647731130 +217232448 +993652545 +941951214 +365497224 +2006735114 +666380194 +978154813 +869108028 +1438416090 +856295409 +1750388054 +764315400 +1658049684 +578177261 +502135095 +1382467804 +1155594285 +1809004482 +1721454779 +1870413144 +2115407193 +834375547 +208383980 +2136943984 +29905676 +2071560445 +126573505 +952953116 +98268393 +1699623921 +1600684246 +315500841 +545792818 +395151812 +680998066 +405044285 +1061532006 +1659152879 +1274152313 +352464449 +367964640 +877056719 +1116779849 +2026014324 +1455233980 +1618914944 +1260998480 +463344617 +1280435778 +834969612 +186274113 +1248359323 +1669345159 +394658093 +1237819659 +1699250836 +318734890 +1364393165 +504720304 +417003284 +916533438 +2105404550 +732504125 +1462326256 +353072714 +1413502191 +1867370541 +1414604720 +925171422 +994039206 +1767069169 +1293136062 +1871095925 +736365371 +1171666739 +1178846257 +207796667 +285181571 +1642190874 +1488232446 +1120151183 +1828464987 +589108121 +642012695 +75639432 +1826927781 +193779883 +394374323 +1043837298 +698500187 +811377607 +1960370736 +656421089 +1543881732 +1275213344 +1009493803 +809900276 +995100238 +276614875 +1735071698 +1989139444 +2043684045 +880724113 +1712751722 +632565768 +2052390852 +744114331 +840362435 +190088775 +238821558 +181111233 +1310239959 +2067286545 +770219355 +1952252654 +2142925978 +449663488 +2146032537 +389816653 +1493500786 +697049076 +1201194260 +1306387874 +1353470165 +597592344 +434117570 +215480320 +1407492620 +1429217808 +492095195 +995080671 +1270873605 +388295592 +1875804784 +836141679 +1020861360 +1780711988 +1580256010 +1861223796 +1970800763 +1819077568 +2042335029 +1133557074 +1738880466 +665070736 +938326080 +1734322796 +1114734224 +936874969 +2124139449 +460751362 +1633924045 +1177850061 +1767139236 +839910562 +1775442405 +53773159 +1055390882 +1035451378 +1482990967 +1547486078 +2030532049 +606380924 +1935781670 +1758853185 +1442522603 +809159383 +1392081525 +875294966 +522899531 +1215398640 +546888886 +417750912 +201472067 +138285704 +1082821649 +1139798147 +1872608500 +50072225 +2076673117 +1849264301 +510823588 +1563113514 +879630714 +130479176 +255540429 +507589472 +184252335 +1310931311 +1543040850 +1667243303 +710933741 +1426089251 +126140579 +499231764 +1037458788 +1568663183 +1308391147 +282056665 +296474501 +1831290678 +1497455305 +843363387 +101557942 +1698927372 +981649092 +1184379591 +691241872 +706773944 +1234451817 +620431341 +408554598 +1745275405 +36061207 +1288185312 +1875754581 +291601636 +1795774784 +2060006917 +1602532948 +1191331986 +1579766572 +165983041 +469937589 +1705907151 +665214805 +1507396377 +1127086686 +1973605952 +1789453042 +1423561187 +1657412982 +1139424700 +119440927 +1758970925 +690868424 +1101090019 +795866868 +1382110296 +1807863963 +2030318685 +2002541637 +68934913 +1628110442 +2038602845 +1357120226 +1356381376 +182720833 +1005411362 +1268904645 +1785253781 +49259701 +701187569 +1951236823 +519197290 +259611072 +468967980 +2026593668 +1386697759 +295090285 +1668563062 +662775298 +1952503267 +660504114 +782216225 +1563990544 +1351372539 +1883306244 +212373765 +585999187 +1543686560 +95208802 +441057177 +1612621473 +1723319245 +332176374 +822258051 +932216973 +514897207 +1827669414 +53637970 +152667341 +1876929115 +754825539 +2103904164 +248642757 +1014436611 +425388496 +127752777 +253650722 +720478781 +1796315840 +916426021 +525498401 +309336306 +1698642246 +2089488945 +1660708845 +1434464843 +154379062 +99224385 +830667755 +249587865 +540281562 +295805580 +1972907110 +872457936 +1118063632 +757640435 +1387355143 +798249398 +811278405 +1540022484 +527694865 +1566103944 +1496443000 +776337622 +433056907 +1921831497 +904090400 +686707630 +494826630 +552922592 +1603133651 +1020325031 +862258898 +1154292249 +962330329 +375484096 +441273444 +1116709391 +474708481 +1271941199 +1366297256 +1014990043 +1567746780 +1191720718 +1887447979 +538326764 +1949361153 +1127319474 +1336576162 +613155910 +519858311 +1864271027 +31776206 +2016301311 +493125001 +464833114 +1790649160 +1397215401 +1151540744 +137992143 +1950137993 +607190747 +1158317174 +664913244 +1761482996 +2120647503 +1040397340 +55272793 +1089873247 +1515105821 +1327213992 +308686855 +382612216 +747477124 +1500407574 +122576547 +1285803888 +1302285079 +1249896021 +474896402 +1915440990 +1769754332 +191683781 +1947217196 +1638571996 +684808783 +264566662 +1281737508 +2082024184 +1416107406 +1419729651 +1884678530 +2023298153 +430563178 +402108126 +1637297502 +403727033 +1442505466 +1692570295 +1493600280 +810127639 +872300639 +1802287136 +1192739855 +1619777764 +1155211062 +1315316402 +758098004 +310012493 +417728775 +1232994407 +77969835 +39999460 +1424678188 +2025187032 +1678571456 +2109486971 +142270046 +812825316 +2044027508 +1558377453 +85071320 +1781222390 +1434191958 +515634498 +35846868 +924005812 +919361531 +1478352334 +469092459 +265478164 +140996325 +1341393099 +2067765300 +1333736180 +813687215 +1075492714 +501568934 +1571785219 +1385505207 +919297709 +657295978 +1463475043 +959297169 +2081974167 +1341178427 +490384977 +2043977490 +1483448473 +1303210294 +1940521350 +894342278 +1388281614 +1574260092 +181050589 +1903916112 +1610106960 +1105056401 +675793995 +940975646 +1574148861 +941272159 +1081971971 +768058312 +861553811 +268224503 +1581745527 +1937046525 +769793437 +1006047098 +1175068085 +1689091147 +1663343077 +491059480 +500904668 +1597833596 +1832237907 +991289646 +1494327438 +1168202732 +147016292 +1287365141 +2062545011 +1535297906 +714141585 +96111952 +1291730370 +176764898 +1201168353 +1967524365 +1117740544 +627833566 +761312877 +52228868 +1395891878 +1622866688 +320453371 +830153757 +1412429566 +1090246809 +1836200856 +440014003 +631854308 +1352060285 +931073483 +1132758976 +802410233 +615827742 +2124048622 +149254023 +1784030474 +123581266 +1436619164 +1699091837 +1658879172 +3277102 +1795203789 +803125894 +180042000 +848888495 +623166612 +1297782544 +1476722061 +1384479489 +1350011412 +725130292 +859862529 +1670464784 +1555284049 +124808447 +613227945 +1244001257 +564822450 +1245082253 +448577894 +1495895933 +230357581 +1250988127 +2111723675 +206922556 +1400242151 +1748270502 +330503822 +689377667 +1299878691 +1989382995 +692654769 +947598833 +645025241 +872696769 +1796487328 +1268191853 +22995666 +1125725741 +505187694 +1373007078 +1850856033 +1365050224 +895988214 +1258656435 +1489858671 +1509216159 +355174044 +2054681122 +606814764 +803751939 +1403093407 +837172346 +2054740066 +1367333435 +1044094902 +1307498569 +968120289 +1374598724 +1996876237 +120515332 +1216498071 +542047358 +1068114165 +1861523313 +1414744128 +717117845 +982231518 +1437739794 +1842843587 +1487419213 +663263224 +1546215972 +704985789 +1559251439 +657388759 +47360812 +920983950 +1012562804 +2102041934 +1527798715 +1816314743 +1357651694 +217487413 +1723571161 +577501481 +1261582315 +883586083 +1545621770 +488697391 +732978672 +1666137102 +1705195463 +1275026030 +586767620 +1419235128 +542286510 +1303885465 +253982998 +1980026304 +999245404 +1741402211 +495805881 +397977729 +298904352 +2055057320 +1055366488 +346265165 +828557622 +2067929292 +300823451 +208872689 +1736760387 +1658475145 +426360102 +1312847901 +88492978 +1687942417 +48950336 +1634114748 +29156161 +781929008 +1152768203 +1734351624 +2056955038 +1739535823 +1006103104 +451757901 +895937640 +1260086102 +284300557 +1895183045 +854004666 +780106438 +145677126 +1152909018 +687680110 +1201043614 +1499174183 +1516237733 +1121489259 +1799997635 +1725110422 +710765998 +1310989132 +3986877 +2023613899 +1399482111 +1691929294 +2072564235 +886113211 +1721085455 +707009595 +2038881414 +1307953431 +616480986 +1630933589 +166572887 +1068238887 +379387582 +1426658990 +1352539444 +127086979 +133180008 +2132645883 +272764105 +1286089026 +672842345 +1473807719 +637779562 +41596430 +447813330 +290293549 +1766706853 +1158579329 +1601282681 +1770693730 +1034709580 +853281144 +1315139376 +959790168 +1739394356 +888741184 +1666799763 +1630792122 +49210967 +135797101 +1114242064 +215783855 +1204035988 +1493629646 +1642442845 +409091785 +1620716625 +1775622853 +394254020 +1893480730 +914228231 +1067096365 +1219804801 +1552007793 +1108692796 +1667618132 +1842301342 +727916001 +678713813 +1296100376 +351126083 +1713423393 +1897872 +1666265459 +525729913 +1741292228 +407522995 +45046029 +1224600703 +456733963 +180843130 +191359119 +672517818 +1384879119 +1684988765 +167477015 +1793970904 +1158221742 +1943099868 +40741276 +904218824 +709844451 +1107837641 +2124023625 +114368597 +69046789 +1644158109 +1956669939 +796962790 +175388274 +1105286667 +1148088873 +1888811668 +1107184540 +666870685 +267057933 +700993120 +1074393680 +312103962 +1925593823 +1531127643 +492947093 +2116952942 +56161813 +1877826212 +1654458059 +223638828 +1524313468 +665196153 +19255048 +1565054744 +1569414977 +729099500 +525408737 +1545954955 +843468097 +594455527 +1042629416 +652654388 +1391418317 +1218017691 +1757941056 +392023543 +959345711 +717641948 +1058894228 +1226403644 +1418635068 +2133287908 +1538507607 +1196745244 +1516931904 +2031454700 +1166214538 +1573093717 +1761797264 +673188950 +1796732546 +1138627084 +1338385103 +1815987594 +556198180 +760316433 +397603446 +1081606917 +158787740 +1241071543 +1676062444 +1201417156 +1893725932 +919997114 +271951199 +1504183340 +1312020657 +1231296910 +74341640 +223431237 +310216907 +1492976708 +209235497 +1848724514 +542238304 +1726167401 +1732695566 +1708452843 +1151777471 +1347009182 +234158145 +801026369 +338152618 +1572543248 +469530315 +894350798 +185376033 +867133762 +1975957715 +344163773 +2108205305 +1504536512 +1545580930 +1854447589 +277049978 +1817532129 +1211147281 +1589070635 +901345392 +1285488921 +1812501872 +1211562299 +630981982 +2021737369 +912803165 +1173220286 +1600421123 +498015083 +734189481 +604714946 +1845024265 +968347626 +1405741315 +35693235 +393407227 +1875271630 +930044033 +578783260 +594921744 +758518100 +922947034 +555643402 +115570964 +321044316 +262607343 +392620942 +2138576445 +1473754625 +1981691577 +892438189 +611759898 +1646709801 +2104000488 +1242741880 +1520963523 +869320005 +268478519 +973900998 +1367335088 +1002668000 +1578615944 +1064875705 +1971015627 +836873611 +1100568940 +216939206 +564661593 +2030612973 +795722466 +1159583338 +641647426 +1718669500 +1715226740 +757218390 +2039713816 +1977834083 +1149839333 +2030806614 +1304105060 +984047262 +775761155 +1915864959 +483273416 +732277996 +1011123191 +2004236939 +1601598001 +1279601710 +830654289 +821449442 +134786063 +261786585 +1886325147 +2105801690 +1098660196 +839410440 +175257248 +1663321789 +722539765 +970979714 +675421479 +1364187191 +542165567 +243164571 +2121405582 +434395735 +73515007 +1123761267 +317718701 +1377620067 +2107808529 +1093479857 +1146001378 +443598297 +1825757853 +9640922 +300351588 +1279872206 +1289242632 +1131005877 +2101321648 +1424028695 +1392792462 +1840163148 +1382346737 +343969010 +532089940 +1557603985 +2007290800 +1254629705 +381100052 +535228631 +471333249 +923265619 +778393203 +445255183 +1357661354 +851908210 +1569016450 +1675380056 +82044629 +1529341331 +621376265 +1228046008 +1972939629 +299650470 +1237686930 +125807569 +1579522676 +379445914 +1256813447 +1533360677 +1803474610 +502122261 +1226040177 +1038337699 +846091272 +1758130117 +448458037 +705898424 +865276174 +829558089 +1241127055 +1336609423 +1752823708 +2019520258 +1781864606 +963001414 +723944820 +1203397408 +490897822 +805989450 +585255092 +1112274087 +2034035458 +410711073 +1411924557 +1124238740 +536518642 +843963586 +1503684654 +1793332089 +229840615 +1159675616 +147970703 +1455880792 +50529668 +994061975 +1066527261 +498987705 +1699960399 +1931803435 +1328545794 +793603806 +1120929211 +933885854 +665640417 +755310169 +1896887268 +1389585237 +1958707578 +240301443 +48091039 +396479022 +1352575530 +2082126497 +807190095 +617016440 +1058881589 +1343708737 +1460980026 +415082596 +989557179 +1690820641 +1574758212 +1137527882 +999217785 +1625287880 +2131589857 +2065745046 +2124275585 +1684066608 +1850064833 +1305337731 +330186766 +823510396 +91739937 +995827183 +1578820566 +1988627206 +237928773 +1390044496 +81445001 +286019812 +1786523518 +1434020531 +220662662 +446229965 +2051036971 +1279544251 +1789938702 +1364533349 +1694626847 +632012233 +907870342 +1121901412 +1769540115 +1907088127 +599705644 +1753646324 +1825349525 +576497582 +1290229284 +1527930711 +1881835313 +1620416051 +203957459 +1973575251 +468759586 +1782778025 +1814718809 +706688359 +1025338873 +1896163810 +992708172 +664378743 +1182700693 +1213370834 +1110608708 +1086254017 +345431437 +753063763 +303303718 +2040058285 +1385075996 +1211174061 +1014476049 +1007132464 +970778540 +1614181693 +613295140 +648644418 +43195627 +1903524425 +29091481 +1925030941 +1376456828 +233048940 +1751122544 +1845216414 +2015826966 +1418357705 +404421126 +893682191 +1167037867 +1397129298 +1558060935 +202254912 +463016484 +521185995 +1288508929 +808447921 +1274249758 +1591812648 +701022558 +511842107 +655503061 +1715498607 +1518974571 +1626281601 +1182196653 +2132269711 +127442371 +1225392280 +1888310488 +156533852 +1002939573 +1117283668 +389582793 +606578469 +815016435 +257926111 +2024936174 +1219437561 +1151608302 +1044490393 +469083211 +562185589 +1246745306 +932099695 +1083371585 +387770587 +1740547616 +210137695 +1979583235 +294086527 +721979802 +487602648 +2009585134 +93470725 +2113884250 +1044298139 +78256789 +93842973 +122206772 +1966567277 +250376826 +1125146345 +936367298 +639959619 +1731724815 +1751383733 +897885730 +1609177341 +823337646 +2049494032 +506184087 +1292420857 +464195974 +1752929393 +77036904 +1547567559 +2140699980 +1817584520 +1757705254 +1972799568 +2111671047 +332201409 +312918568 +1973772534 +425672134 +279319170 +870587025 +503928923 +373162144 +992793797 +323012553 +623538970 +2117940143 +1259379851 +1263498589 +1702181310 +863279936 +13900671 +1163875003 +1686617582 +2063394703 +1670059090 +831554791 +380107029 +1275504835 +908591695 +1927674588 +1268721168 +578692567 +1537896195 +1094037088 +542879967 +1870097604 +1406955656 +369168853 +148286090 +1686274827 +1239755878 +652215014 +2059436971 +85066028 +975227567 +535492293 +55522523 +87123770 +1798990882 +1757703833 +950403706 +1812891553 +774095188 +489537640 +1728802608 +296670631 +1321092431 +2108909638 +1572175466 +82200478 +1889100578 +693412986 +660893045 +1279513125 +1787450074 +1203773012 +1002127081 +1046922083 +1572941865 +1150413172 +585713262 +665214096 +1802628186 +497666585 +750280124 +630372105 +1033158878 +805802647 +717495875 +684666112 +416022832 +1667899581 +350074017 +1190118020 +9953573 +2078876625 +1486788651 +1331046004 +2040302615 +911480470 +1413246482 +1781919546 +1604893456 +2074139527 +913949023 +1244859883 +1130428892 +1916076105 +144298318 +555887109 +919005629 +730011580 +1221101205 +574150167 +1227678165 +1971381329 +1204522272 +113353395 +629700328 +1922018147 +798019507 +1045723160 +1442434080 +1148093524 +88357533 +1452387653 +1079486501 +1575146184 +635950009 +972305469 +339143006 +2049196491 +606741367 +1944036463 +1975852370 +1520690390 +1041412698 +958797614 +1289282847 +1185711016 +1514684724 +60804828 +1915722596 +588302281 +634954995 +995917113 +412199963 +1839477267 +1109270508 +1041900291 +1614011766 +1907290015 +2087623452 +908962198 +907899891 +28497337 +213866203 +1987386392 +1603643521 +849816212 +812208213 +1942786528 +751529055 +1418949580 +1739339343 +579897778 +792156323 +633268393 +1538695392 +2081439170 +1818979409 +905896468 +2142243999 +1587218357 +1494198750 +629715346 +435651822 +1906398713 +321708966 +1544922330 +800815356 +1935720732 +1304728697 +740955160 +697199283 +65144940 +769452497 +911065486 +2052531332 +225612371 +1760881699 +717255898 +20915251 +364927106 +2136205478 +1760254594 +944824884 +780878153 +246039339 +336036629 +714833676 +2065018748 +1241933097 +709594027 +1504753457 +588648199 +1339309373 +1940405279 +347563264 +1661018339 +1337843961 +1148378621 +1449255424 +495089010 +1889333781 +2146454707 +560233950 +511302631 +910036545 +465281634 +736915002 +523434596 +1182537532 +757830253 +888361703 +1171259363 +370601199 +1833186587 +1952137516 +616640538 +21739568 +519487544 +534175638 +1263672666 +1229081571 +2038929095 +1852320865 +420907297 +1831850726 +52400482 +2081925636 +1022211039 +1200779103 +1383697412 +1517300049 +942629236 +1382668471 +2077533999 +1453931867 +145221369 +395331985 +43363221 +668655965 +1577869518 +801193474 +1557017668 +601645233 +1171794673 +1242720608 +406299101 +1788435211 +1264460176 +925786646 +175127201 +380649194 +7384569 +66572648 +85486412 +428291866 +1898423374 +137886894 +362733855 +773150765 +1338665997 +1746431267 +142967166 +133811585 +981616091 +73017517 +1587743453 +1126837460 +468349503 +1631106674 +1795493425 +2046219021 +284816501 +1205027446 +500380606 +1456611174 +300264406 +906679707 +1097562738 +1564724582 +1832466353 +1272689939 +1945373777 +1839850923 +1339262588 +2030860189 +120659141 +1090202314 +21263435 +483392996 +1863353080 +1359929432 +82340616 +2006320246 +1493741017 +1063956707 +2079337764 +934000822 +43310519 +400203619 +417623849 +1838803944 +298938992 +702440350 +896347742 +799319598 +11567876 +1196612148 +1705999305 +1109130614 +613853083 +1390982011 +234336906 +411743212 +1083349286 +1573599494 +295119753 +1204008427 +516318160 +316383188 +1687401424 +232187592 +1676312620 +1769742040 +91024191 +1022569989 +686215099 +22878307 +1956570812 +729525618 +423081926 +226711013 +420845914 +722020918 +929151363 +1317193657 +1521340516 +940719239 +366322157 +1079856173 +2049849854 +980175240 +323354536 +136703112 +1391918452 +1406703822 +1710302606 +1687038205 +463228602 +79137118 +2003421393 +3146378 +311324711 +1532250365 +1772888418 +402348902 +407336707 +311619869 +425227209 +216423871 +1041145487 +848309135 +443134884 +1461991401 +1570330053 +1372286247 +631701410 +944186921 +165521838 +998023568 +2024043094 +67888044 +1978198808 +199913983 +204591156 +1222633613 +1606617805 +1914893762 +762188170 +2069846407 +1994030881 +618125916 +2072992785 +157871944 +2892633 +1698397555 +560220846 +410229340 +2010017424 +985448055 +626653211 +903679263 +1833757190 +1069788095 +218187017 +1256603595 +294590694 +849888427 +53306868 +460112533 +1847911995 +2077349962 +528000577 +1678627156 +129780297 +732591734 +753777121 +1736398103 +500001848 +1515965291 +1658760862 +346549081 +2134091207 +1584270000 +504421025 +2136983841 +1135183907 +1064641871 +399729533 +997717684 +2050089926 +1026382745 +1901396947 +1736363468 +2096170840 +2119583964 +845483415 +243277887 +821988744 +898790283 +703390420 +522417091 +828656598 +1231390997 +53560599 +958436895 +1963982731 +807337720 +547351350 +316500932 +175819364 +58628565 +663050013 +162426923 +1642898565 +1167471039 +151927116 +630598824 +84629262 +551656650 +1628316508 +2134719189 +1578039395 +1382229808 +1723599009 +1526726587 +1354330124 +421598777 +1770004474 +28835220 +1320389060 +325911246 +551252312 +1562010 +1557302244 +604812911 +959998906 +1373801327 +1412150632 +1507350256 +1690302259 +1587969996 +1565978821 +205868625 +1750396919 +1061393738 +1373339664 +1902324036 +1691992563 +1457968926 +306497038 +1172825423 +1445204467 +1884536433 +407571583 +1021319829 +1263779372 +1761901708 +1442918606 +886300199 +1790736928 +615824018 +1212211445 +194505592 +617386029 +622030041 +799318504 +1577384935 +1995831369 +63985488 +937251543 +1538649980 +1651955484 +355746717 +1744518605 +1254868755 +1417140455 +970374621 +1009709143 +961649370 +280859900 +1316206181 +2134474794 +1726064367 +1053258966 +394562729 +599900548 +169554691 +8980789 +2042819154 +1055854890 +1799717718 +511159525 +120582687 +1994223310 +1128545554 +742612729 +646058166 +558446841 +590960450 +710043654 +1495698384 +2129610430 +214515490 +1851445101 +1726645388 +1469384246 +1121101909 +549536361 +331609741 +2082751279 +830396261 +1647815923 +2069742425 +408976981 +553591241 +316821507 +1008877529 +723145932 +325802296 +904213036 +1779000822 +2125520014 +1415372561 +1899583510 +1972259677 +396434467 +494712591 +470834195 +954881308 +1085673041 +1180877850 +303096044 +1067799823 +1395393340 +7057498 +646961563 +717293938 +1128159407 +1196497925 +1048903680 +1063427038 +2026894186 +549235955 +985685816 +288387519 +1102827196 +1302507323 +1297265049 +1825973129 +1628309619 +53994437 +1457490303 +1606345986 +1469366998 +1209590165 +1431122015 +1865801465 +1704302756 +1901956210 +673199125 +642492149 +935350412 +976295169 +1710291973 +183260105 +983352667 +209769888 +900554043 +2111512074 +1406267813 +1949457723 +1027455465 +1285678352 +351210030 +2013141281 +1574065871 +1454037227 +1168164956 +723847272 +1132526708 +648990927 +777841709 +442533363 +107853265 +99725059 +1652123529 +1538975280 +1965526524 +1208942637 +1293447843 +491242001 +1851434787 +81314607 +1467537171 +1414243112 +264574712 +303406190 +1624013000 +1165128756 +267434617 +882797166 +967102831 +1294890082 +20991870 +1318312862 +1160547715 +1595057741 +624866441 +181229023 +171421366 +1757393149 +830219950 +949263075 +52442864 +938073216 +1048988135 +1704566393 +329564848 +867031011 +766025383 +1623012691 +1358273013 +469976522 +1704327299 +678326536 +1884219634 +1968902011 +981732726 +1360748986 +986547119 +1249167343 +96062504 +1953649951 +396573777 +117054374 +1124479165 +1557121492 +1712112116 +1749345606 +1738350515 +1883533482 +1359255107 +421086818 +685312909 +1411697971 +1359160034 +1734301044 +968780717 +1688724882 +453848408 +1734806100 +1164253926 +1812121421 +57298974 +721097577 +342964309 +1941518608 +542515940 +1324697035 +1154783946 +1529063060 +426380731 +1250846451 +1335229363 +822954508 +1367900825 +312224880 +232592353 +932529293 +2061570486 +1970942868 +668579127 +1273341945 +244546038 +1353892037 +537556268 +1603706072 +940709433 +1506336985 +1144947307 +1394557841 +1093659437 +161717585 +1059195614 +1150958411 +882815162 +1402159923 +944993371 +1425331102 +579373311 +2099777318 +806910514 +1005754042 +1203140121 +2142139877 +1828708550 +423557298 +306881109 +2061300903 +1356086592 +220967947 +1884760124 +2024665719 +1494309892 +2129306162 +1231074108 +2031866161 +1585528587 +24299894 +1390719498 +582992246 +1418857735 +336895288 +744709831 +330569702 +1487853699 +1627524993 +1732729625 +285363423 +905372447 +164619288 +237657093 +1712282962 +1170373330 +1440797214 +1706939191 +851598233 +1864354512 +2013820301 +765415488 +1072957456 +87304600 +502691964 +950139528 +1581614493 +484514479 +33729988 +1465997006 +2070043066 +58029882 +709232856 +505551664 +1476887618 +1046128144 +1250261495 +1807457320 +386498196 +730302840 +1392703297 +671861619 +1635675287 +1557322586 +909518712 +1200474601 +580212268 +202832278 +759930145 +1431810501 +2067186790 +626266798 +49742342 +992660599 +713571398 +552434306 +1942800127 +147702243 +1036948785 +1976530115 +1613699249 +959508203 +2034559998 +175448458 +1465059867 +1363963968 +1221576602 +567837714 +1023937640 +1608074798 +1298140554 +269157289 +132452769 +786332194 +1826479875 +1041971481 +1986806795 +259208496 +1244803759 +599253292 +1691018997 +1164506902 +1225520090 +1740761339 +9683853 +1939091489 +145711998 +1952483980 +2086793732 +1182660783 +1781530447 +1553009334 +2142168987 +1668606797 +1728457792 +1459745206 +885087117 +802550746 +2027582921 +1909024757 +263141897 +1178239827 +30698399 +395594666 +1964572021 +1857178274 +1437566148 +1803895169 +2116386770 +534886259 +255664813 +1659922120 +1699393161 +1481184904 +1253199811 +1709077014 +1272792745 +1398911809 +1514077346 +1212102829 +434088945 +1148124146 +617628515 +428774284 +669247295 +198602659 +1888519490 +1554334413 +1001153406 +1768618763 +1315875522 +1264295303 +799374943 +1346573921 +1659889969 +616463316 +1056268548 +949972469 +272874837 +1025171670 +1484858729 +528539651 +537610142 +1036768242 +2009724555 +1790809954 +598361609 +1135033652 +1042238115 +2112438955 +199652833 +1476327060 +1113079453 +817281349 +1905101344 +1782326749 +1015884008 +1646137187 +1189177514 +2017037414 +1267272302 +357569388 +1133849069 +2066647245 +1704143310 +646255391 +535626914 +612928210 +1596227860 +808501751 +1638099880 +933602941 +1337041402 +28226375 +1970371184 +1199282309 +1819036329 +421249145 +186832313 +713790796 +386204452 +386485147 +42634209 +1499283906 +1203766496 +1947735553 +1134127007 +72166856 +1446389092 +175820873 +2089204271 +566177747 +533390261 +1075569692 +485341344 +90049923 +1721825083 +1020968258 +702978133 +1170569296 +1829470010 +193594366 +2104172237 +1019027764 +221820741 +1927059773 +70826426 +2040857070 +200825270 +257658739 +607164218 +587029723 +644143886 +649798427 +2086313629 +1847910382 +450050333 +1072956988 +1920077239 +1896439425 +1248777861 +1861797862 +315133524 +1782168122 +789883906 +800474869 +1872218046 +364225342 +1821443127 +427712531 +1534794638 +1503429489 +621306897 +1491483227 +374973606 +843127638 +1271059353 +445800032 +736501060 +1471884623 +703458771 +1343665279 +2058914346 +1347602658 +1993463706 +1997744327 +1048029392 +296030391 +923217667 +820622983 +44986169 +24511880 +534937197 +360119693 +1806680003 +1324821104 +1160594562 +1531414401 +1689046446 +834554042 +1959126932 +1076357436 +190499883 +432950182 +420357015 +565473489 +1276077820 +1691416368 +1011273521 +2012578881 +1015817344 +1714732293 +1208760512 +927248042 +914851303 +1054740570 +777508722 +1962880695 +1350770962 +1700726389 +636020031 +1395757131 +1725238270 +1170957228 +1755876824 +1384434625 +348294684 +768987739 +768365378 +2037341130 +1603541781 +580008662 +966214918 +1794041664 +1012958844 +1386571934 +212031506 +141553017 +930504654 +1223305027 +6648250 +1946321998 +790553672 +1215408762 +726086393 +1705404975 +122665684 +1503595115 +1520802023 +1473436646 +1056837856 +9338406 +721710129 +634592478 +1180295634 +330103306 +2019027103 +1528590319 +1099091045 +639908833 +1418447801 +555149178 +1219917496 +237179072 +201707194 +85392692 +1623751006 +413738700 +226945709 +406772012 +1637043728 +233593959 +205610363 +280113752 +1449002721 +931696756 +1985518728 +1571668406 +287808223 +1358837103 +897621404 +1344646079 +1368175509 +1619331534 +1979238558 +400987495 +1949434840 +1850782013 +1929577814 +901042237 +343207199 +1200541968 +1456191415 +1563124695 +1437721040 +1657898609 +1648517387 +913988398 +2071637310 +1875463097 +1320760410 +1561197390 +2109057056 +1526370773 +1841311142 +1410576130 +310583881 +1679346222 +834760888 +598392104 +890699677 +1732382292 +1943038184 +111391538 +1204230178 +1774793094 +512379034 +1006181370 +1478091459 +294473200 +1907223607 +1821298658 +1495015168 +1215931374 +1236939705 +785252560 +726346336 +737973445 +1699240958 +650499998 +465952894 +872517721 +64213740 +427526302 +251404846 +1905524882 +1838102432 +561988728 +1437387457 +525379672 +1160380832 +180603486 +110278317 +955935368 +291995025 +1314508495 +583244814 +804374059 +173206218 +2061336274 +1098847259 +2080429825 +1735151284 +446378780 +1148877552 +824607342 +1231631340 +1875223888 +1562580787 +783388651 +378240238 +2028533681 +1655906372 +442453978 +308576335 +1907311218 +200495212 +2146678768 +321816298 +1637882669 +524574792 +1482197131 +1818486156 +634853109 +290648851 +2110481181 +1949361605 +873893666 +767371592 +2122567823 +787746292 +1866218851 +2055514000 +375413928 +165113983 +1056907904 +1200021270 +1396745324 +784648144 +615118409 +32650327 +1162888382 +496168442 +1688556699 +1605342360 +804744778 +1448384269 +1805837573 +803939898 +1770200568 +1296236594 +1328514690 +1104914051 +967239102 +1963367800 +1395562902 +930236635 +1765245757 +121972920 +1697608227 +1740329932 +909719212 +1416343431 +1648360284 +1285133141 +1581457414 +557784541 +337670763 +830719090 +1342432685 +952789173 +863369417 +357837420 +1448957615 +404442468 +1963179780 +106218745 +1852826738 +1621533705 +910158643 +1475543658 +770286652 +91189686 +432974061 +1737525754 +2054557486 +1828536963 +520278742 +1672319595 +1950509884 +70403321 +1265165879 +712745448 +1486746752 +766042515 +1997878589 +920720519 +1323827056 +188065705 +1751439609 +518776094 +1140854878 +467325379 +876613514 +442328845 +871767847 +692309646 +548547591 +577110937 +166359704 +1458706234 +2052654595 +936646356 +1549895920 +338145008 +526688462 +1456969758 +19198324 +1046967204 +981805705 +1969708208 +1117370526 +99487936 +534970008 +456633630 +865530452 +385364950 +1377354149 +41873860 +573430655 +981310111 +560649954 +1714285533 +1448635490 +1437263468 +9130730 +172919689 +2129573115 +557678321 +750030627 +148449171 +2016384556 +655201574 +1085095527 +1418796828 +993346583 +1611783989 +728282939 +1012544907 +511267546 +1710088644 +834769467 +1628638072 +1809576581 +1369739475 +2085271702 +527623385 +1755104425 +1315142204 +569497245 +181051432 +148968667 +1130147200 +1895336965 +1597604157 +419927020 +1904467696 +1770523846 +402016487 +314662369 +373070825 +550465658 +183563277 +1028272400 +1635561185 +1602360106 +2021618983 +1099861527 +183159397 +886680242 +1611129073 +1893248041 +1721449709 +1092283497 +1555340974 +943705536 +1030071551 +2082964359 +551326314 +197730107 +504977957 +732377746 +346698774 +1635125157 +480231064 +1944302931 +2055052177 +237215112 +1567343130 +309585017 +551877481 +1940413955 +860050675 +735440759 +821202707 +348128213 +190317217 +695338042 +1447989740 +373476614 +1582018284 +911635165 +119241007 +1155984345 +2003918662 +1674581982 +2099689882 +886506565 +1610062693 +503532548 +1084236673 +2115040650 +1235910294 +1430935447 +1602682159 +1716141358 +1227754731 +1510250689 +1953356470 +647614213 +1819835706 +357750304 +440544520 +532402733 +1093191063 +1261747228 +880530946 +1283508280 +1957085270 +181037038 +1656984894 +1391619907 +1092672203 +1776225901 +400120604 +949107217 +1303324235 +352326838 +1835613783 +765903281 +855859386 +772366808 +733460283 +2091769681 +55818607 +188658795 +1660427391 +1283573338 +1698909484 +1466300214 +1931187551 +1371261542 +1824050518 +224248424 +1903664275 +769757933 +1485995652 +636711574 +2053266213 +1295597274 +817748612 +1562767459 +539733533 +1910420816 +1191509712 +939854138 +712044385 +347350300 +1292180976 +400174520 +1113253581 +556715 +1172541328 +1846713864 +2092326396 +1228359936 +2035372659 +1605270139 +364449626 +1586798495 +924086705 +148153530 +810576389 +600653575 +372401954 +566757017 +1370411508 +1858397606 +1203468591 +1276194073 +1006511232 +2021217203 +691477884 +1546244766 +1784154371 +1882987597 +338615256 +348715109 +82854249 +1630796232 +748889629 +1196107830 +1631352947 +1921430958 +895338046 +1576195695 +1002307246 +783227058 +1033982187 +1366756872 +222541905 +1958068892 +1514910402 +1033118295 +411238820 +1887312356 +1599875312 +1781650328 +1598226314 +655860255 +910360754 +457253899 +529593810 +1601838638 +2003498665 +166264534 +1337342587 +194630273 +514979643 +1420196836 +1825426505 +1263869272 +468821018 +1309295805 +1037816582 +1364159065 +738007852 +2040123828 +2147386123 +1771990039 +1259397053 +222444380 +1582575284 +626823807 +1255562675 +1993814104 +366652516 +707954339 +1627980784 +1964878830 +1363814594 +390857890 +274649081 +1893408405 +1992696529 +130664098 +2059672939 +1182555468 +325294371 +427168934 +455268657 +3237229 +1691038206 +924089675 +1312533034 +581371141 +140765092 +2050540886 +474011321 +140667567 +1675047278 +1733408374 +363111948 +1110138914 +212748534 +1618674623 +956469370 +579401050 +179145315 +436966506 +396796232 +1542959909 +827824397 +671445314 +1288884666 +673037278 +802109412 +1201073957 +1855592746 +1127403784 +1628242891 +163377755 +1130641013 +1171797450 +1087467431 +295690399 +1753168591 +1228232523 +198747637 +79696264 +1368900091 +1873794915 +1813104639 +1732012039 +836450181 +2025853173 +1203203014 +1792919551 +457770575 +1382348329 +82402410 +854566807 +777824591 +910226807 +1526012121 +2066709257 +1583264085 +180637886 +1120299567 +1291373183 +1308041670 +601058810 +1454750939 +291199035 +1772856260 +394734722 +586889434 +1378541203 +1622967245 +785637071 +1458237468 +844383688 +511948339 +1123858459 +428912079 +1348398520 +1002227984 +1632115094 +993834424 +1459998559 +866979775 +1076236834 +167081718 +1644804366 +1986463641 +1693093840 +1564029976 +1422244078 +1873731726 +536845895 +566133613 +1034289748 +1137904705 +2020884552 +1325488783 +763277318 +268135626 +1912378217 +2141818521 +1891102872 +550531640 +1452572341 +588002912 +1062479979 +428947152 +1016914992 +263394852 +1431175136 +501546438 +1257229276 +743690047 +1368526213 +185982462 +910771766 +865846932 +24962455 +456381958 +282393260 +1447206533 +182630036 +819239155 +2013340146 +1216919784 +1957143860 +1886741051 +394924919 +572937530 +7393029 +159819488 +567272404 +1898495901 +710351128 +2019844745 +339015166 +1772831108 +301308250 +1355930158 +2036225960 +1732483386 +1857476596 +1145971588 +328689786 +1078519161 +1331954050 +1239461552 +1944366093 +1356916505 +1695843510 +79275705 +656639390 +1878473546 +898514860 +522495888 +947909682 +708175073 +261753291 +1342834601 +1281112603 +269146321 +1502654089 +1848385007 +20158574 +65521569 +1720746105 +359173740 +1838352677 +2022054355 +1715103898 +1727094989 +1607054093 +1425096846 +725582929 +1935743879 +356132360 +2057536979 +1027721783 +153014805 +1266969836 +576081645 +232290511 +1923609226 +307071543 +1130805371 +298621467 +1254981225 +1838980444 +560374758 +450332178 +972609400 +829521079 +1952986267 +673510759 +849679654 +2018507837 +246773216 +1208853394 +1709376866 +121343923 +776473645 +1288988208 +1728398017 +54086843 +2014571137 +1516658248 +410219203 +1924624469 +396896384 +563234009 +1044110657 +972978029 +795524520 +820236236 +1280049573 +1926329891 +1118857703 +387547150 +1617826688 +1679232461 +837879329 +442952440 +361269893 +643381948 +1116463199 +1210949547 +514406137 +1363236416 +272319293 +76299356 +1484580339 +1048792938 +1365287564 +1065494708 +1102879782 +1232375053 +434669309 +1513098985 +1009515874 +831565693 +2076332994 +2053626532 +1804543722 +724373866 +726379120 +937109647 +503220110 +1845236823 +1324656798 +2121046798 +1376985636 +15052479 +416515590 +1738255529 +658434427 +1532978789 +801721428 +1172840565 +748731557 +1074040722 +1249139921 +85828249 +2122833660 +466943837 +1151322957 +1078229794 +1699318890 +1585992266 +443845132 +561351117 +270074311 +372694478 +467494001 +2074618034 +1097068345 +1193873121 +864244033 +1600288455 +891626296 +41417183 +1573851605 +121128284 +56469662 +1990367195 +1859383814 +714904090 +1375862336 +513621594 +1887744655 +2124593894 +1587662316 +989400928 +62938495 +1563012329 +1456344765 +1214261452 +493758475 +1008180007 +652770071 +937603607 +1569531124 +922844382 +1310298086 +2037025125 +849978768 +259882783 +1083414598 +1714222802 +1860171238 +1975040894 +1755639985 +1286539195 +2096169179 +1812109648 +1129422742 +1808069345 +379530090 +357801430 +174207291 +119791097 +334911676 +1761869608 +1109192025 +397850171 +1177398289 +418053142 +1612111624 +1671156764 +1426233149 +117398047 +461276724 +848280626 +1040242429 +1771574810 +737822103 +1890221198 +2031457593 +1821236702 +1456960352 +1744145183 +1648793948 +1065116689 +883200730 +1597479479 +729742689 +2012623472 +1258065176 +1109272779 +222941254 +1432272468 +1229063876 +557852931 +1046658428 +190772253 +955703102 +76573069 +608825395 +420331078 +1747729833 +2035058545 +537729125 +61522909 +735855523 +1577971555 +1833097719 +1473677626 +1320709105 +1717071664 +1147430680 +630185809 +1313733199 +648740981 +1695302498 +49450281 +98736812 +277561540 +2062073753 +1356801989 +1386834319 +137531360 +641590809 +468414548 +695384291 +1688249237 +659186801 +1651087393 +1764822306 +1268012197 +2071418472 +1365068491 +1155587094 +461663949 +1426591401 +1891442617 +2039635504 +1112205472 +1217636595 +1212860961 +681793489 +217583628 +1843046770 +1995526688 +866324609 +1390865621 +2044976970 +965061421 +1668427161 +1959567075 +174379762 +907777832 +2097098435 +815970571 +1376192380 +644999078 +356736160 +2035379182 +148602824 +2121558466 +1155907731 +72537648 +1339143310 +164011177 +534201597 +618251063 +2055453794 +426353454 +1730456535 +1125606741 +1639214415 +264766376 +1343190369 +1334777538 +112809417 +62031330 +578159511 +10302739 +1027092752 +99103024 +1969869814 +1201472514 +1006880856 +1919484602 +2017443086 +235589589 +417000032 +226695598 +123485123 +565602856 +200770417 +1279392854 +638140504 +1539913727 +1443404031 +1172342102 +10681142 +1351374177 +1598695556 +1741137677 +329497270 +1090426323 +2005904054 +1672687640 +277720213 +2118713471 +1734718970 +855879724 +2129016210 +614328074 +954982748 +1951402376 +1815800589 +1961863605 +1723403330 +1685760027 +49969546 +2140403363 +1912455625 +173454669 +558522571 +2113226042 +1452847523 +1196663076 +1505656121 +748767906 +221521530 +1516337263 +2100142083 +1820217086 +1109991293 +282155705 +763159761 +968411699 +1954843345 +1040879975 +939641522 +1542078668 +1896759699 +921174084 +8923094 +704258800 +725092812 +1824723683 +518638757 +301012495 +1363000062 +568608303 +293932210 +1127972040 +742062972 +852454781 +1093714434 +47426847 +2049117857 +451886908 +796194753 +123155739 +1968224171 +748853188 +1943372825 +930731816 +1031008893 +559048939 +1899143515 +838368591 +1599928914 +691301389 +232963611 +1349204965 +1612475473 +241886705 +2053463765 +190084638 +2066610389 +424618874 +491097133 +1282126803 +993227177 +785029343 +262615195 +1735290149 +1637484124 +1356329630 +1782716996 +1539118334 +1808216538 +431428101 +1662274073 +1628957061 +1180281289 +1458163251 +412205230 +63806535 +2017212190 +163865097 +902175126 +1469657456 +855166487 +1135138737 +671378773 +320158312 +1377025442 +577358891 +510242950 +1296152183 +1001977765 +1001340083 +430795339 +1995204943 +1786369426 +693410534 +1583011444 +1276369903 +2049740164 +1218244793 +668004589 +1710473054 +1649672894 +182795014 +1191946468 +682470536 +1640958265 +1604151698 +746277071 +1510686807 +1768016795 +1648452197 +832860615 +475699634 +636107286 +1504239389 +795857947 +2013132728 +2081598280 +1306100897 +1161801264 +936092397 +159957333 +1592596603 +783813692 +1946326759 +138523489 +219341489 +1075213014 +40780006 +1437586282 +1743217603 +1751253060 +939775528 +1926012618 +795715880 +1622246064 +1419487235 +252383930 +221039487 +782690395 +2020400726 +1869491684 +1615551010 +348616712 +358115322 +972306751 +1144474659 +223764403 +906421383 +303091909 +1385565667 +1842513781 +463049242 +830678622 +478843825 +261892353 +969202111 +698185314 +1337105368 +1009982117 +2135771596 +932839323 +613751530 +928063477 +711368293 +1409467410 +402825893 +2130855529 +1661851341 +623865381 +766062276 +1534768419 +345873417 +234129638 +1883385131 +703988740 +1206436390 +880376143 +927753143 +2112857773 +1183468052 +165835162 +1807887906 +1646517294 +996513784 +139248084 +1908409647 +1965715895 +837433398 +1098031367 +828214365 +825721347 +2030870691 +1441965895 +1753784824 +594755336 +703949657 +9127069 +578127217 +218317350 +632992450 +1344189493 +1753085769 +978865868 +1578319132 +1488987253 +1682854608 +637271874 +221879748 +463124103 +602645999 +1405347800 +628959265 +263050258 +904381446 +1625473049 +402298342 +665307445 +1443705296 +1239731740 +1763338813 +124436013 +2065453087 +1646725856 +1566401908 +1671754263 +93997544 +122867918 +1680881333 +672124762 +341185268 +166390135 +2016314255 +2094271038 +1145256003 +1447149739 +1435774643 +680626963 +2084421613 +1657654391 +1143751066 +539583965 +915518543 +1772710331 +802634223 +1819899989 +1250699732 +1204932565 +337723786 +546921381 +297180657 +2101062599 +671357394 +215150097 +1600304807 +90275655 +1886904360 +1694302352 +213143573 +1420302045 +218943466 +554328841 +1586692181 +87774073 +501116231 +584464536 +1534923813 +1936890874 +1265091500 +1471861778 +1447061617 +261358918 +2011445743 +215096512 +2034069250 +666596318 +2034996501 +1137285334 +1871528883 +225236640 +1684206715 +21225893 +178815591 +208080462 +236375990 +1779120399 +298356117 +2123280350 +1325939103 +511499690 +1396098748 +1544882569 +1065828531 +835307281 +1632656642 +1566944763 +1419771817 +1020096807 +1356351989 +537379669 +344474938 +655929959 +798738588 +208437033 +871026471 +685324190 +875033352 +758539325 +1822609524 +599078587 +983775965 +1359332592 +620304480 +1162591556 +1567413054 +856680470 +794228307 +1865769171 +832477173 +2120167410 +229785213 +81092273 +1517566331 +1295613744 +916399554 +1002739326 +715074859 +188687723 +2022836133 +2071426849 +726067393 +219827423 +579873160 +1524805981 +428264457 +1450899631 +62646523 +1303297809 +61955308 +1885256047 +1902376396 +1045731273 +1097104991 +375197229 +60839182 +517034397 +1231877699 +855067489 +235319920 +2064354872 +827751252 +465105133 +2145447145 +197833935 +1760718878 +914363051 +1200573261 +328310089 +1103050775 +1075925747 +252253290 +1829118168 +1295753170 +832126450 +1206440501 +1724017627 +135542434 +1269087024 +879831788 +197497742 +1006859423 +634724537 +1243229016 +2103964415 +1009921766 +1304068198 +473515164 +94315817 +11652039 +708835085 +11187042 +839403291 +1173940218 +9150539 +1037237227 +787175448 +923513591 +90326840 +1115485538 +2026564366 +1166252587 +1367738828 +1708198886 +314522110 +52381631 +767155739 +2038539737 +187924065 +2036242763 +770887878 +385421807 +895618538 +1405612415 +1628650823 +852099305 +268050533 +785235373 +1325614470 +362366350 +796887413 +2034449555 +373553392 +1636290704 +1060906125 +382703932 +526044283 +1848081574 +1306217523 +616371124 +816083464 +1185298241 +1782623711 +36338644 +746013479 +2097145821 +88720275 +1513169218 +1988201911 +276644340 +1401928333 +611606141 +662066148 +150063223 +2017218556 +143233323 +1002162529 +137785441 +928468697 +180293351 +500151791 +1725356110 +67259258 +873705184 +1214163166 +1128165383 +1256409116 +1740207450 +828763309 +415142991 +209094926 +1644846773 +1600441232 +1991718637 +1681185418 +198971063 +1941380811 +1769905693 +1712140281 +1782099074 +2046550034 +966584966 +246221567 +561132534 +1116648189 +115956475 +704365857 +2118810718 +253741916 +1632834554 +151620421 +753893707 +1210707016 +218879679 +1627598891 +277386535 +1347045063 +736524359 +2017593985 +28324724 +1151667350 +79205263 +1673171498 +604624934 +2070923900 +1206873268 +803595997 +1864821063 +829295313 +368252630 +1499436489 +728361699 +1334837596 +1745658056 +1289494233 +304002138 +1861614531 +1993860091 +275329208 +2115356447 +1479210997 +426949630 +721766507 +542434366 +645829309 +201881750 +819820901 +1992874372 +938406110 +689931238 +2021199097 +2090073460 +769136501 +1546886947 +547214747 +692576753 +606276567 +1350810744 +409914169 +1435571880 +1719063375 +1909350658 +16449932 +906417323 +1507525067 +1305944165 +1210419461 +1221655950 +1152320608 +1485748670 +1189528750 +484047958 +1912698300 +1911295257 +1026482324 +411043961 +2113177007 +1846303225 +256434686 +904099469 +388750815 +130150135 +846689282 +1157887316 +1677037082 +1393904029 +1850464069 +135830001 +597231125 +112894590 +1571401881 +168810852 +2022245249 +1587851813 +1075228176 +1382286668 +746312331 +138163989 +456458970 +1898632939 +1623912659 +1645987720 +235197249 +1389127311 +1409799329 +1261679573 +1800171273 +1375492689 +960499150 +2056605959 +132108510 +1349249965 +39272446 +978797792 +359653633 +1716309528 +225218173 +62634055 +1852139529 +822449299 +175528645 +1276057762 +991260151 +50290246 +716425928 +2066488327 +1432576914 +1462738259 +57168669 +1889035885 +1213887550 +1681081328 +1387539957 +1449084800 +922724992 +649855639 +563280725 +575412617 +2025348328 +1523779876 +484534928 +9973190 +725546193 +523807374 +988770983 +1085199827 +92633254 +1213989156 +1147833882 +1944772783 +2036438455 +1323362527 +1073346897 +880214959 +1373652774 +1789772825 +799219638 +658746040 +1105027436 +856388307 +400298277 +171431339 +389985988 +1787838235 +1620516139 +1312710980 +290210226 +36313216 +1888123597 +168074906 +1560093092 +225174877 +178048096 +138155638 +748982251 +1166819079 +1223355465 +841615505 +233324588 +223705699 +638904640 +122279395 +1547068226 +1712251537 +1002494354 +773237352 +1354540715 +1801713993 +1431983393 +312084503 +510618652 +1832281670 +483515842 +900604640 +1472636257 +2104031981 +65831972 +1762846483 +2140345198 +1953955569 +1930921389 +1552954642 +31646798 +2108969486 +1691110280 +780629049 +1128304917 +766982097 +1622244554 +1361629505 +990687796 +113665546 +1483908901 +390272375 +1825917084 +338919607 +1163509727 +1032974151 +2140633600 +448009472 +1345058654 +503768605 +132807495 +1828574497 +1404373245 +1605443752 +1785122830 +1470205218 +1220806588 +1777984380 +1276677139 +1004244329 +1183455375 +1308323938 +965730167 +727082007 +2088952987 +2094035085 +1494064105 +1563713894 +1308180942 +337268253 +1677379440 +644606195 +727540628 +1355812876 +983525803 +1891050356 +241303379 +976675755 +191576180 +1586362034 +1480444360 +324383675 +1267452883 +737333958 +1929827428 +905092065 +60055528 +1003150368 +535592798 +1336732667 +2007394697 +1719048173 +497572957 +825641217 +298646532 +439042297 +772192654 +1792710637 +2002756191 +2080373596 +2129978891 +1532651983 +577496144 +710035871 +740981212 +1561021947 +453602579 +982284591 +390214054 +645178760 +421162977 +1870658415 +969562435 +1688615860 +460508725 +751906215 +446224278 +520564253 +1755056583 +981817076 +1857296920 +1614967633 +553381601 +207386230 +293125202 +852028133 +646428527 +1065317856 +497255123 +501701070 +998207804 +479750366 +2034353053 +1575703948 +1189786237 +627850617 +989242247 +1643388817 +1610135209 +1379456302 +141083929 +2031298186 +1102631069 +1110646364 +1572430399 +1563139794 +1862552580 +2018654677 +2083704047 +1470125515 +852988105 +1793517319 +937609500 +1406369706 +2000903549 +1230734702 +110914191 +499848428 +148568910 +608169314 +1001549498 +1146776715 +1087919680 +888418904 +574997015 +130222270 +1516269521 +1564239263 +1773611087 +978921082 +796211917 +1914695016 +862735621 +1898842986 +877857732 +287682372 +1314499132 +592926664 +158853401 +1250719531 +2063052180 +1011841506 +896753202 +853178032 +270727564 +750173104 +2083912735 +381641755 +1250021532 +84997997 +989811070 +104087383 +1231774712 +2077730750 +992506287 +1806771728 +60469372 +361292160 +1223527343 +1834080459 +1340213243 +2019739260 +1601291827 +55465216 +1771098598 +331665912 +343147588 +938114082 +924592576 +502000989 +41349965 +840161108 +1513842495 +938103167 +1693339141 +1784570059 +1688276271 +1629768228 +18728166 +790814156 +1714766225 +1008539236 +894901539 +799057290 +938786339 +1887407826 +458345370 +999255711 +101216338 +1681872713 +685852523 +1441429581 +1554128325 +139660702 +1496894797 +1177743275 +471326614 +1840042385 +2115857357 +1395919191 +194559726 +9723674 +88596651 +1708402221 +947826841 +1781935792 +1345488632 +488619465 +1264220372 +1364216799 +1279433621 +831502950 +225272387 +26851512 +1630560240 +1164058726 +1914259338 +2088905610 +15830790 +2015475676 +1623294675 +701683313 +1309421610 +1029939352 +841344015 +658832759 +60198979 +1312670630 +351391497 +28572688 +561106173 +545951223 +38296362 +649702824 +106869797 +986123203 +284154969 +1452358429 +1474742668 +1548375341 +669091580 +606692641 +232394643 +894363968 +633544153 +1862954883 +2058422694 +400319843 +1804376845 +2074253484 +268311872 +1280187872 +628453149 +1577733482 +162643576 +1469797165 +89082593 +222842555 +634984147 +440474090 +251415243 +1196090320 +986425314 +289711605 +1845793144 +1093295111 +1275834809 +2129948113 +398169892 +603093829 +1530839807 +1067261473 +1209786471 +1763234450 +1961625441 +1843330624 +1478705686 +1872564487 +96166820 +1135598883 +1799334324 +364478692 +268303108 +280303825 +1942212174 +430946684 +1750100990 +2031294767 +653789240 +237601489 +324285210 +905204483 +1433691809 +1310710524 +1194916089 +1132001306 +256521987 +323267250 +1114465771 +654691879 +926361079 +497821930 +1721953352 +2136147550 +113572733 +1536095145 +1831994527 +1592278419 +1261175985 +1928161347 +580393654 +913026661 +145156391 +848696762 +1193330486 +2087368565 +1279643447 +795947829 +1971179684 +1933432687 +1033549318 +147981246 +691153522 +319757480 +1458691770 +1886069611 +1451758786 +1715213757 +61853213 +418740909 +222421989 +988214293 +916562840 +1944375341 +976878195 +1030135573 +1332986839 +661389074 +474930344 +446679176 +442066773 +1055323998 +1359705837 +587223164 +1904020761 +405552675 +527108081 +1036180560 +1201500504 +350804118 +822129599 +87566175 +498785364 +1513283121 +407323655 +1957477135 +1251869085 +1859082441 +1525207244 +1313722298 +130339702 +1747629233 +154452943 +1046902542 +1544520927 +1131331139 +2077038115 +730024118 +1792720213 +404484811 +1176703294 +87303339 +1459808810 +388925483 +674526503 +1216345923 +794478158 +1201634585 +105042835 +1995978663 +1552438703 +927172434 +2083544838 +2051224067 +292971907 +343384845 +1861217554 +1544840992 +54983638 +1238941151 +711079643 +185323340 +839086736 +865532586 +1232225883 +236124015 +1996863725 +1161780350 +966148133 +1642100291 +1566265162 +2142851427 +1729403630 +878590324 +384293262 +256446485 +2094936247 +1178771421 +1458081070 +52495434 +1027266436 +863036125 +979667868 +963327626 +766776545 +1272639775 +1306712471 +480510451 +669997120 +1361696109 +1719451602 +1381076763 +1547019449 +411054691 +99125701 +631761684 +647178706 +2095989427 +1793542035 +1613326840 +1590606070 +1212323549 +1608694619 +1172526052 +2090913873 +1992987882 +1428972537 +2038366472 +1024275655 +739569960 +2090861906 +2051542091 +1602606085 +923046126 +867386069 +221898982 +48202253 +26614892 +702409434 +718199373 +1388311001 +274377388 +2099276136 +787846802 +685432079 +50918190 +1419608487 +1332610786 +2146907617 +1065666874 +798453978 +1590030039 +130506775 +259664949 +615072443 +73937000 +105169183 +2044044980 +2112303472 +1129444838 +636131292 +2055681730 +1033503281 +91253730 +831244208 +1900889350 +313152712 +879446461 +1927504242 +1015562146 +1597645835 +1168331595 +1289939535 +1549438323 +1956178398 +1975371614 +1600356513 +1228303237 +1160498752 +1599780482 +146486463 +1958952730 +1042326873 +276993238 +71134032 +1657399316 +350930238 +176303215 +1553960649 +315750062 +1305748054 +42608293 +223948144 +191767687 +133862023 +1055192352 +2092657038 +447014736 +1934638813 +1872677632 +1462576882 +1384801000 +893525580 +605032769 +786755676 +702220330 +432920736 +239628541 +1930523567 +1593419488 +1839409024 +2077010030 +1404888571 +734252249 +206519620 +1476022603 +244167918 +557449858 +1652325818 +1798128567 +873199920 +810590224 +1840736860 +1097148064 +1002357912 +1974598884 +4856768 +947531302 +274129972 +1939495581 +672725286 +1736706854 +1176812934 +1566250866 +194255976 +1963568610 +120987548 +627176712 +55713503 +2051511115 +73112552 +1895122527 +1981037497 +1478001123 +481891129 +40073469 +806540078 +726059047 +597523327 +311382249 +376703966 +1470723247 +1121972473 +69957178 +420387663 +2124330385 +2044556062 +425244431 +924378039 +171202386 +217256365 +1597103326 +1907909241 +1394069299 +1015870544 +2102165217 +1210154261 +1136858093 +581858281 +1265867764 +1040885560 +654970833 +1013506644 +874439410 +2132971957 +1495397773 +914512879 +792028387 +73973172 +1512036207 +1103410636 +450677138 +835275806 +77899462 +520634316 +1255663470 +54746199 +417706731 +1680907901 +979124239 +588909117 +1898164266 +428743917 +349334710 +1144749917 +1444614461 +304016279 +207420530 +433988906 +885874560 +1473288295 +1474874467 +1540845394 +339311291 +201830229 +1526333703 +1834709064 +1116343108 +170878442 +1908682236 +480895667 +1274289079 +211875726 +1316171474 +1352188541 +732510042 +424351296 +1406934740 +1150216773 +2105259197 +238575331 +1739125891 +1855939816 +667319248 +2088460601 +853206085 +2111933710 +244993233 +1060626616 +398438968 +1130867793 +386431263 +1873313435 +524229539 +725742554 +2075143664 +2050563242 +412967970 +1044003125 +73958037 +174166558 +1524898792 +1348247116 +386042284 +693586618 +552952009 +1118552326 +1117937914 +1959886749 +121285452 +1075713464 +50978433 +1860411343 +784169632 +718297681 +1801388296 +1637375717 +682747743 +2046381529 +550518685 +1081186712 +1029765675 +936949948 +807016499 +1553995214 +1662692502 +734676516 +1457074809 +2075660472 +1778679641 +1531032846 +102343382 +1156094785 +731796314 +488385666 +1849681404 +1284748323 +1606937993 +820135670 +1097151424 +1728223445 +1895849134 +1148129857 +1441151140 +532535118 +1866427539 +1095055788 +22427188 +401691634 +993953670 +572945873 +1482878346 +2023719345 +1509895822 +142411198 +1430230911 +1025104676 +877087714 +739822072 +953281501 +508283707 +123371270 +1055624883 +1664378492 +855167584 +1544010550 +1366576248 +2139915907 +1003464895 +39228271 +1089583684 +584204692 +1935077405 +90229893 +2025355832 +320128876 +1956657432 +972927972 +342556064 +210865419 +1966881642 +915501937 +1693743765 +1843117339 +277914111 +1836154963 +1125864603 +1303018788 +565759029 +1865686675 +108816641 +1074042736 +1989057946 +1164441524 +590937581 +696741882 +560968426 +1957513829 +689174142 +1564433321 +1996742100 +1778757826 +1154365 +1784335858 +1868987719 +2026510197 +2104464734 +1678161504 +851954522 +299537150 +1889026923 +671352516 +1215039087 +1435287040 +366986208 +1492953199 +1123958356 +1492850811 +648488339 +1689717385 +1211053838 +757304980 +616276474 +1052628136 +1921746504 +1207214055 +1749370019 +335231283 +1017244236 +291060513 +1899664604 +866502689 +2069818339 +1900818970 +503354899 +1791322410 +1779845519 +460335985 +1322000266 +484316393 +759873135 +1063543541 +1155668910 +1974912222 +351346934 +1522655118 +1320381773 +1475305290 +868022281 +1968870112 +1017539027 +2079076119 +578691444 +1633815501 +984220608 +352954301 +693545908 +586106979 +688185584 +1710790145 +877167492 +440366540 +429809186 +799502183 +193701862 +933164085 +443340945 +1973547382 +1393500070 +1765341212 +310380127 +5889557 +681401105 +1466049037 +1980801779 +1032748039 +841220507 +1153699905 +360569681 +1709242788 +975086369 +1378108709 +1640835260 +1553777814 +864440562 +477572220 +1906732115 +1557986471 +1063679199 +447434051 +1121292968 +1940846691 +887800591 +1551102154 +592865226 +1081502454 +336782591 +1036206171 +907566188 +1730282661 +654063735 +1217946315 +1736172218 +1335464841 +536511705 +1569490349 +220729232 +1377732212 +575706606 +581298914 +939491353 +1550792976 +1959407623 +432842965 +957087142 +676364537 +910415185 +716335609 +86867360 +1974094384 +1163769660 +1208160328 +1767457427 +2051570251 +611778834 +212839005 +985589057 +948561425 +1249045176 +1893155245 +531360438 +1903108912 +963617913 +120049008 +1091090105 +1500129618 +1689539358 +1311819337 +730378182 +117762316 +1893118251 +1669869535 +1668555292 +1705042226 +2102712500 +478158786 +233923116 +865644037 +1194494395 +320790476 +692254773 +210780407 +1528950805 +312228552 +114867011 +2140729639 +525067557 +1100456068 +941807417 +1774112734 +846127666 +1473167855 +1529737998 +1809745579 +1593216864 +473344455 +1162391549 +1135272574 +1785163792 +1892769731 +1253034890 +1530798396 +1415155619 +774106535 +1088356974 +1370384471 +1252265321 +1322280090 +88544861 +299276069 +1643070567 +780799634 +510056476 +1024537724 +1093028187 +624923487 +1017783715 +1618095744 +1725379556 +1959591132 +1244724830 +424023574 +1285275340 +626979180 +86285505 +731008556 +1100323635 +1248677054 +1866281130 +738003780 +993963137 +971832372 +121318528 +261635108 +1745938907 +1209675502 +1632019580 +850720581 +384471945 +1720564441 +1149996650 +2027542512 +353880427 +1660053126 +904596588 +1446908614 +137492966 +1922380303 +917520711 +1862872522 +1734487788 +14761893 +139412448 +872279480 +641741074 +225697953 +1603288036 +1742064709 +1474375007 +1322085518 +332584841 +320854496 +146434242 +453903369 +582489605 +1892373150 +1663578872 +67025537 +595610083 +2048050817 +1787589978 +1745606733 +1928109681 +2141470405 +1258176211 +685222621 +1440895372 +1395669177 +460119276 +210932435 +1111058051 +47123416 +225694328 +1250470499 +919402896 +867435402 +1476168452 +375207284 +462016464 +803059811 +1697292802 +794601305 +1123914308 +1843727045 +1248504675 +1706403913 +1588616547 +764599899 +1773429450 +36742982 +665167068 +1413535780 +1782349715 +445793101 +1407522537 +893042278 +1131015722 +700934261 +141227808 +1591134998 +911866696 +1252285859 +1638258415 +1137561025 +355272711 +410177663 +2004996427 +1831441163 +785384948 +319529243 +487017327 +335194102 +1114130549 +1610931635 +31437499 +215151576 +1169851900 +1620054046 +979751475 +795797702 +1656797028 +1644918543 +61849834 +1291663095 +2090711644 +1469372371 +37221726 +1074243718 +22822985 +178449534 +517895068 +934689681 +1430735393 +8669835 +2072250706 +1786008104 +418847499 +1929763486 +1469965620 +1204232447 +101809081 +1956982947 +1539426549 +1215939630 +1420430934 +1570864049 +1431091206 +442799186 +1043434447 +263359033 +1238596888 +552747828 +1908277576 +1300446722 +1844410923 +1851505572 +622335445 +1881632649 +778265642 +645158430 +2060082183 +1296160711 +1579848112 +1343333929 +1304830546 +1504615170 +981858385 +1723678045 +1286895008 +304340357 +780426844 +1388704090 +113839656 +172369746 +457160072 +1534270590 +1743233795 +1888251279 +1977069776 +639184594 +4126664 +1068183016 +1191932422 +1912404241 +221146090 +888859698 +1616426165 +843481536 +623008699 +247208160 +1488639966 +535607235 +1543368871 +921004430 +1878941164 +700715769 +278135953 +713315901 +276910167 +1565030961 +1017656259 +1057337011 +806251403 +1131495915 +1229706757 +1263411476 +518282858 +825456904 +1004179107 +347868986 +1464641499 +1008305771 +1416052003 +509090273 +773226364 +1637198093 +1397949971 +242168882 +333195981 +2020958671 +489377042 +1821835948 +409082258 +2032745913 +595356730 +140539774 +585978034 +873492683 +853855675 +862888201 +291039997 +1871511934 +1920225213 +1097291400 +855524202 +1002448322 +213219228 +1373807060 +1827905227 +1217398335 +1721676046 +1145063078 +78220459 +990244401 +1654153351 +851446823 +479958847 +904619675 +1093615705 +813154828 +778094698 +1582992747 +487507128 +1187176956 +1468255012 +1082863859 +1327716730 +2054233047 +1956356542 +34088757 +769637600 +99912891 +1905600692 +542379165 +1197204292 +613641246 +1544827488 +1410423520 +1987448306 +1225249067 +480338208 +1561640704 +222828497 +558558667 +404401458 +1876981848 +1410005490 +884360305 +634117875 +356137548 +1697515133 +1412212573 +1939130295 +37538614 +451905881 +1259901660 +1120402473 +1779622611 +1166651059 +929275367 +1813711369 +1936288659 +1029188259 +1571828413 +331184177 +78908903 +37986011 +1876011665 +1489332423 +2025434317 +953777084 +1969670631 +1439591373 +1176605581 +380745650 +1843992831 +906103781 +1790751141 +580869488 +1540221657 +2146888689 +130900974 +804950582 +1938535336 +168439588 +1256856464 +1050953348 +1288842061 +888995427 +70120759 +70633780 +555223148 +2006409419 +1099822039 +2127051561 +190109948 +1178730942 +17553924 +2066121613 +520579718 +2042988241 +872415049 +342766701 +1335095967 +2049020630 +723512352 +1031605150 +807640763 +366779845 +1612474639 +200378772 +366184886 +1743375613 +1005329355 +157236574 +1911815201 +114702171 +1208189923 +1053173614 +1003697598 +1278310682 +1123807394 +1558920747 +1137236453 +76145786 +1538488660 +1327346401 +1254876728 +1556042585 +1245984366 +1775456446 +1451547178 +2118399415 +2118223148 +639159497 +2019936397 +694251852 +1670764648 +680093513 +1061031697 +1135755639 +880472285 +1427216583 +731647604 +1885801640 +1584453157 +495979157 +2000503811 +645159432 +1549152771 +856717762 +1923470115 +525476517 +268154861 +913222920 +601622303 +1806643521 +93085674 +1856499032 +1215202458 +1339070040 +1484471830 +519265989 +1309985808 +1455211330 +1158425486 +1182438557 +1979534 +681706486 +1862532070 +1063011231 +1817462125 +595520708 +342744166 +401626081 +333838700 +1927197324 +897605238 +186858864 +424873108 +299274361 +1043576626 +200859575 +824750879 +1311731487 +1114082496 +1426373182 +970891360 +1207168170 +1135388566 +38610171 +398754562 +472376749 +557876160 +1708740370 +1927588079 +1716301646 +743695280 +1929567614 +250524485 +458743702 +845095197 +2067986610 +1054264410 +1187839364 +322129044 +1388103111 +967553040 +1219734282 +1574961975 +1392426148 +1519008644 +471054953 +1593285724 +196275875 +1782786440 +559884572 +1622649057 +606194152 +1767052742 +610553976 +644804323 +18323656 +1082930725 +1202680483 +1727064027 +863035156 +771498482 +323275659 +645119122 +1022022967 +782019361 +1490214320 +942525929 +1836283772 +530570036 +1264654973 +1076903235 +1498123076 +336905608 +504381562 +743065576 +1855914252 +975436515 +188867652 +2052190127 +610739307 +748752224 +1527355536 +1216933459 +368321318 +2137909512 +1861737783 +386644975 +1073356589 +916934618 +2113709002 +1936391746 +1688433100 +289501013 +434027220 +562972419 +1071520374 +1924241540 +1505498349 +760320498 +307327928 +622669674 +1837223733 +1805451004 +959575282 +194121647 +401032933 +668005886 +1169558162 +589900585 +572712365 +1780297469 +1338652810 +2100067902 +849747281 +1706974128 +2090493766 +564001416 +2093619103 +1016366708 +1480936034 +2059844457 +805274806 +1021885487 +201861822 +1239302026 +1584857906 +1273382197 +1016059919 +942872607 +2033702695 +1323387847 +1565542282 +1723442781 +981355204 +377633916 +1917564428 +1382388137 +1045639803 +939638943 +1972288722 +1618352168 +572452764 +1163457884 +1570936422 +1422200045 +722948365 +1513946541 +1986201461 +669083820 +382829601 +1319653848 +581444630 +1188104407 +194055687 +783306452 +279922785 +1778913593 +2056688649 +1295982704 +574302553 +1942907697 +471886904 +2139844835 +1518866830 +1453242108 +369995103 +1288947610 +688146597 +1415634906 +81102905 +512951671 +886503427 +653555670 +1676409556 +309956201 +2075755715 +251874273 +1823902742 +1914473529 +920958093 +59248695 +1086643729 +1502402723 +1247353102 +1280699416 +138225528 +1527275888 +912129361 +47430529 +675774944 +1486431914 +1990338226 +1147661848 +1478793101 +1361721408 +453420308 +1848788205 +503185371 +1141566905 +1116939463 +584288276 +1654518577 +2003442890 +1237843946 +1183444485 +165915444 +1166116014 +1435318758 +1989818186 +933105895 +208793203 +2049066882 +2019749624 +1711195927 +1148936336 +1152965392 +1849421455 +528728576 +2065094753 +1896851984 +1204503521 +1404043020 +1739706563 +204681721 +735352473 +953944323 +658102030 +436657030 +1457129694 +1799668935 +1553596494 +2041417971 +1306703864 +1409555736 +1131778269 +342664701 +1575471180 +150410635 +1777983459 +1417805719 +1083516530 +1986776663 +1319388953 +955782506 +1550488942 +320841641 +2108747898 +1252426749 +849570218 +2026359004 +1001795085 +2054073739 +1282918376 +594018000 +111271812 +2018270849 +1547962324 +769373842 +307444232 +857608370 +421559130 +1861040726 +751542693 +1728262994 +1123112814 +1883320963 +2070927696 +551100347 +2033731598 +1701427507 +1968906066 +969764481 +1540720522 +1140811371 +1925546987 +943725816 +1461653012 +1886811238 +48668917 +163739582 +1765686594 +1050464003 +70329673 +901121322 +1644482003 +181601486 +771908523 +1044960679 +950975328 +1079352755 +1902569050 +1372534458 +792909833 +506628095 +953313805 +1916022648 +242465410 +876757853 +319639347 +128713361 +430701712 +141061765 +1098477842 +1971422235 +1281873136 +876541181 +767664403 +596042500 +615868771 +816333321 +759782083 +234071717 +1866797324 +830111756 +1135193039 +1363795679 +1011713242 +1907101563 +261272711 +1962688571 +838970670 +16358113 +1187739381 +1631880504 +522986208 +2141053186 +1400419504 +765451619 +870327391 +1720058851 +894164980 +1301029104 +1861120616 +1992642822 +1124967691 +995510104 +721700355 +1892632094 +1591552604 +1337569127 +561481767 +203851039 +1571640844 +280795443 +1033962796 +559350236 +1644591123 +2045676038 +318968151 +1905863834 +1860880961 +1157938821 +1922221947 +901136695 +642335677 +297724507 +894706233 +2042755181 +1063176126 +1765033625 +1615330384 +1957341106 +918579081 +1328967352 +1802500280 +2043546772 +176993808 +376716988 +1788695218 +1768546413 +1714286115 +202693338 +1972397452 +1138443311 +483488781 +858876600 +1697793547 +2128079904 +757068991 +2016761698 +1886460090 +470466304 +1027216872 +1661198389 +1371602999 +1669552549 +1958922897 +118825585 +1564824083 +874615375 +1883859210 +1032670819 +684472834 +654954643 +214154524 +339489466 +551017767 +391148332 +716206454 +192229337 +12211097 +283008921 +394922675 +1984608550 +1421452233 +878411457 +696001502 +971762132 +859007713 +1453070493 +841040183 +597984156 +1923536798 +1868257055 +111698897 +1147656149 +1390325956 +2070621794 +1266481734 +807666391 +797753522 +1002857296 +1840337211 +1482226356 +1657811939 +2054491735 +1821715822 +61346058 +298156419 +390438629 +253575396 +310367517 +673447550 +648498071 +147492419 +2094899783 +1526909528 +843493921 +919178268 +238433594 +149080767 +1760218451 +836417750 +2072617565 +1480991858 +948116647 +1072790066 +723834166 +871254794 +191788153 +1531500558 +1669008316 +1194645449 +1224354121 +1003751024 +704973741 +1131362208 +677983198 +766319799 +1429518627 +1068421827 +1019895195 +1739886144 +1741869378 +1668393267 +1887378563 +1689285513 +1047819147 +583388837 +460980133 +1286252741 +732469604 +73714936 +2122670491 +657603521 +1554706794 +923303491 +1730393587 +131057313 +1794558285 +1922181740 +1662557871 +1316082953 +969343542 +739428344 +172350329 +1674317283 +1870790552 +850333527 +293153434 +1152825531 +1918755355 +1313048630 +745228028 +1513141085 +833958249 +485122943 +1054942950 +1881777396 +1068511780 +1515923084 +1020546490 +1800981384 +1589638020 +995733333 +311101257 +996861167 +1919036824 +2041494845 +1127918480 +1566111461 +1816192937 +642992703 +734710766 +638052831 +1382421047 +907061095 +164886466 +1105727951 +1757394623 +458039901 +111069834 +1528666330 +1771088531 +856297862 +894323767 +457563132 +1341420806 +1949266717 +191856880 +262448938 +1317706153 +1212403370 +2063430323 +759860526 +60653056 +227047932 +1756721693 +1979689880 +121059129 +737156525 +1398317694 +1937252067 +1380149228 +2133028460 +427821250 +615086627 +892605908 +592707717 +1720814578 +502516883 +1050747618 +1831884412 +2031183213 +674352501 +540698627 +778023332 +1131915633 +1882119433 +579806401 +1323772513 +2144568371 +1897512555 +388692236 +2060515046 +509889433 +449345292 +140079331 +119127478 +281551524 +261138460 +856284003 +1679869218 +50906879 +88949583 +1665414031 +478728130 +704036210 +410536291 +1071435847 +277367140 +913053174 +2122183465 +2109251552 +796752739 +649052318 +502466531 +1574776071 +1780967951 +237102316 +7098824 +957256816 +234187040 +1904611379 +1345949052 +147218438 +267017164 +1795294344 +287297769 +386144642 +2076845869 +548436230 +1242428645 +1609231439 +599343109 +1331378228 +1127161822 +1078071239 +2035414438 +1537698113 +2023438 +165297930 +303267639 +2124206903 +127065835 +1100020378 +625775573 +629532366 +527312801 +259259876 +866634683 +534411626 +1216516693 +1100821723 +291539357 +414982097 +1248040161 +558556522 +62792794 +1535337931 +944701164 +2139638663 +2083774161 +39646162 +1601386454 +535633622 +1371024390 +581064629 +1613704862 +1258955181 +2118762742 +1615728300 +1424253111 +274546734 +1592451556 +1551318946 +1374567112 +70743481 +33367665 +1901879914 +330003358 +900002348 +288807892 +1546520051 +2000824071 +580347249 +1961502148 +1101380584 +1138903771 +2024294942 +489234867 +2083604936 +2016449957 +425525380 +2123251098 +1470352764 +961159003 +1346791840 +2051417393 +427380217 +458263373 +2022696487 +2043108517 +1882516485 +149759573 +1488076425 +1286351783 +1524326686 +1558819907 +1319719448 +1278722952 +1888823265 +72238148 +1567530844 +1287859668 +2073062219 +394445 +1101878168 +1026959156 +1139298217 +978689463 +1516194023 +1075419505 +847655772 +1941719404 +1051186955 +170524888 +755394759 +250495147 +74458633 +1182774976 +708758521 +2097155121 +1078399845 +443791358 +99431046 +418992623 +1730143141 +1623757732 +1977812530 +902378942 +754997036 +1719152147 +974617090 +175044232 +859528167 +900195662 +175438678 +1961406335 +1927154818 +1314736895 +792612150 +1295865193 +242672752 +1640267923 +1090100949 +1293859707 +1810792811 +1845495708 +1544354854 +1885251445 +880787036 +105629727 +1834922918 +1959186882 +549421085 +1934353964 +230695857 +132080579 +1410628049 +61024739 +1034459521 +18141437 +1780176886 +2009076611 +193185670 +492221405 +761788625 +368624348 +306144092 +541459795 +1683361243 +1098756243 +1837324989 +1926033995 +591540518 +779942290 +1072410054 +254849681 +477954351 +469281260 +2140101126 +1358741387 +574910988 +1827540396 +1170444621 +1124332073 +1614410713 +1401140478 +1256412652 +877555114 +1462165217 +143388525 +895696551 +1094858455 +4981489 +1088882221 +1587079860 +766770114 +1457506569 +1893223953 +1308229910 +993384164 +844496548 +998071251 +771934511 +1436037066 +1778013541 +1844344565 +1690886747 +108484244 +166142178 +1683504226 +1467225632 +741053166 +1363560974 +490186605 +1865385239 +830488039 +1891327084 +974314244 +1708043153 +1206008653 +1117702769 +456256057 +153383461 +1122684258 +1545138278 +1740463321 +1889454373 +855161200 +1486203626 +1050200635 +1848545364 +183216526 +2048271886 +472996228 +1619253592 +1678801779 +169857145 +1162656692 +1787286024 +335999323 +698677270 +1107028008 +1077052489 +2062238244 +1597214613 +794954081 +745242636 +1341058049 +1769268325 +305802141 +399583055 +739487446 +762058198 +552966516 +1862171705 +159712829 +145946189 +1604142430 +1014874029 +1632149816 +506859417 +715935745 +1815366342 +407647655 +1188931973 +1287136287 +2086449434 +1358789119 +302309331 +1726251810 +1694788442 +1000986601 +685796170 +624357284 +915741197 +135527136 +1419311365 +1660983833 +1476585185 +1041096042 +1966785975 +1876168240 +1780583488 +581360525 +281651108 +1495271545 +741073354 +427597298 +951930327 +1755947383 +2059747114 +1458789744 +324399481 +1727629808 +1866437399 +1513331454 +867282447 +1805403186 +724636925 +1169591778 +1384171348 +271941720 +23094731 +2069967519 +896299004 +938835929 +58011007 +168126721 +452336114 +1534596192 +1209222763 +271638441 +1263280785 +842322603 +852998967 +1544931893 +190110501 +1594072321 +1972529191 +1142040828 +1202536057 +1884792657 +453346925 +1526935538 +1464938818 +172300676 +892783344 +184737617 +1977703862 +1617420270 +1354329396 +1214391563 +1889361990 +1377424127 +1136875434 +638177346 +168776408 +1194886441 +806304067 +621112523 +581998985 +2015526830 +892750964 +1845279770 +710365785 +1745749931 +1242728016 +900476286 +1192338605 +1067773559 +2042517115 +247391014 +805082569 +348380392 +1774326552 +122537739 +520681068 +519626248 +307275356 +350901283 +2137046518 +1661604752 +1565292846 +1878924860 +891545232 +554684632 +369618558 +1060321640 +1749571073 +1175922625 +1681434163 +184086410 +1043965807 +426701480 +2029366181 +1754331593 +24967763 +1124610549 +507324231 +1217306368 +44900460 +402357698 +1464697382 +849983029 +750738090 +1091540286 +972520768 +1271419159 +1611166535 +1279796125 +1622320442 +1600729405 +793917229 +1040129640 +1332170618 +1685462461 +1594814272 +1701789176 +598300454 +1196901697 +730228154 +132250969 +1380988107 +1774193961 +558952449 +1262870640 +1381041906 +583920213 +239997541 +1888366138 +1801226581 +284898002 +143240188 +1118440316 +1134881031 +893978279 +62496954 +2107401800 +17913790 +1673663489 +1239714277 +1640234232 +1126909247 +2033631506 +532880224 +311596217 +1571610320 +2127694496 +2013385393 +22427126 +1177112545 +596129899 +154678095 +410617004 +222840213 +713630545 +1673487645 +1603882119 +1297550758 +1913485186 +1344764609 +951293691 +50899540 +1488004798 +2069734007 +1185780572 +234499429 +2132230962 +1145698724 +252413219 +1658410803 +237929353 +1892647451 +637836402 +124077211 +278044027 +949432619 +1695687531 +258254875 +815334365 +1718114657 +1435367420 +1411464264 +1872792753 +1845984424 +1634304477 +438939650 +1371988421 +1090702949 +1736490408 +1137989960 +287983910 +540300451 +1188889500 +1775988708 +462550811 +227186424 +2010488137 +447298125 +1372885148 +115417708 +2105708928 +1610814501 +2008065159 +596061683 +1734891713 +138625538 +1545494302 +1283095596 +396880413 +213345019 +853726606 +1832247833 +1624809284 +579035711 +1530748610 +1111630113 +1017975361 +755253383 +54849414 +606982121 +1893243343 +342833325 +1147282572 +934649196 +2118822033 +1609833383 +1161835620 +1981826523 +2057131508 +387237121 +2097244231 +2015356789 +1998051622 +1957825743 +463934824 +1585459687 +2096451281 +2009429126 +721071636 +345848047 +75290498 +1574798242 +30612232 +1700099782 +6350305 +1561360842 +664246247 +1024325666 +169130578 +719095662 +1631307787 +2062373921 +1061928987 +631106711 +849539469 +1033267372 +93456447 +2011375090 +867610247 +3104307 +251128563 +817370831 +2018461096 +101696537 +627712926 +334912272 +1687156225 +576680559 +196857751 +260744213 +922528606 +272148249 +1835542455 +953140839 +1972248031 +1841892760 +367018033 +489010630 +718734778 +536148611 +1208106292 +202558917 +451038885 +122551631 +833665628 +1300578354 +1155819004 +927122075 +1164469796 +2023429251 +930226383 +1415598359 +693316434 +801203831 +1517294897 +1321029360 +1136116104 +1056967474 +1897709920 +1332973855 +1317711687 +672754878 +1605122104 +1005770494 +1625895717 +1429886487 +700179606 +1992913751 +1918897117 +1418914384 +381578714 +979519762 +1621473301 +832617599 +1102071393 +307655281 +2133195954 +110406749 +1234777357 +1150182102 +2133836001 +17520092 +418296814 +679668787 +818723923 +1935591711 +2000698148 +1954840027 +845075537 +1750924420 +1140330234 +15303576 +276195650 +597968690 +1021074070 +1902091368 +2027855177 +1721253676 +1747521471 +1799268647 +992684412 +2129100185 +631304761 +466674065 +814234137 +1733376154 +774329346 +799946443 +1843782904 +2009106703 +1950128545 +1830135257 +2026626795 +220941711 +362320396 +697867071 +9049774 +215534896 +505223450 +854125311 +1966459316 +1645553685 +869428887 +95171319 +96038727 +1890502957 +1997262687 +2123893905 +1464272985 +1597300510 +1775678904 +309473749 +1578917047 +259500017 +776147814 +245667536 +1992876171 +1550477161 +1045613979 +1689175427 +1412100216 +848258877 +1371827036 +1291243364 +1069200588 +1734147433 +1989110435 +1078250363 +1949682329 +346850237 +1932375674 +1768657998 +1992403922 +654320914 +1863829317 +2088442650 +397340223 +1713608356 +2064852907 +1861613209 +1163425218 +1693048163 +23603310 +594858617 +1952548180 +799751125 +840526154 +1797940703 +202744638 +1886140133 +1339632483 +1614844854 +586915362 +563975871 +758604570 +1656115951 +150639656 +600231357 +586882666 +2100321986 +947081595 +371774692 +1721496336 +792001869 +1026095606 +1437842005 +732960871 +1423435830 +1003966713 +650330130 +1137565391 +19908283 +195894645 +1161168701 +614766900 +959177 +1960919826 +1455293054 +1798899881 +16180816 +1193949540 +991048716 +1631025671 +1780864902 +1555024587 +242146593 +1289497205 +1705664244 +842377951 +1876379871 +1658502582 +1789459546 +100670916 +1232515270 +433977767 +1126766522 +522873627 +1166938639 +402718704 +1526840340 +1817268769 +1540284095 +1546748623 +2013163415 +553969149 +14031875 +2014122592 +367405327 +1469324930 +1665538825 +383586144 +515790822 +509103893 +2014611815 +149172076 +2064128481 +109274760 +1438669282 +1622309077 +951652711 +1167565505 +1133328011 +593628609 +1268236421 +218359633 +1027606377 +247519296 +741233260 +47061368 +650238000 +120589952 +1864330137 +43038448 +1667338575 +1730009904 +597007597 +1681370450 +1596648849 +964412924 +1003211732 +1114704026 +1347999068 +1519002554 +1623807920 +1215127235 +1668174631 +1540452753 +1324401996 +959360265 +1015278182 +128571059 +2126925770 +1122545 +722199669 +1247678544 +219482178 +1749806046 +1495197840 +960715438 +1796867414 +2145435840 +1081305390 +1513713903 +40990640 +601160317 +1096240160 +637998237 +135047119 +545405361 +1602411162 +1138258852 +1660109387 +802926582 +509777758 +1136433659 +2018053818 +30468741 +529402764 +1194972166 +989829006 +1544680946 +1323543225 +969271129 +1545803491 +2045742894 +69466025 +1765285669 +1648065292 +1564663865 +578517459 +1297449058 +1562616057 +1659822849 +663679314 +1603606698 +113499518 +1759919474 +94121287 +248546638 +157841187 +1696532449 +1386805490 +1817950574 +351975384 +1896583248 +806900586 +222545554 +1927051990 +1336303350 +1417517720 +769397348 +733500649 +593577297 +1738668477 +131820492 +491836544 +1808134502 +1897106162 +2139901836 +1225314719 +328139973 +1289867247 +640447129 +1987962823 +1953546561 +96570179 +2101462341 +1565982387 +190691466 +202525331 +1723823574 +1887223916 +1589330821 +1394290500 +91715652 +1338430422 +53707438 +314261206 +1117998764 +1390010789 +1731778926 +1887396112 +2123511438 +177872575 +1478580942 +107848282 +669709119 +1139231796 +2004954444 +662127308 +217062868 +185610770 +1951994555 +857509997 +26089945 +1758057468 +954080176 +2127552286 +1176556207 +1144771642 +182593970 +752896133 +884511910 +1771924791 +2147186633 +976227562 +962871565 +53410424 +1290488768 +2080870329 +1443421213 +874784046 +1820782794 +1419449003 +1052656622 +1151880088 +1527297285 +1722365741 +143628236 +1384768082 +237009401 +360691104 +1570378852 +41520308 +1218201101 +1596468797 +1799577776 +24797629 +1576537435 +828650335 +1169569272 +1759131405 +1581546468 +2054081182 +1383572549 +1581249454 +882825097 +198960466 +1634659878 +25830217 +132347148 +930597443 +900614264 +1953129942 +202562798 +1953270886 +957526382 +1729860083 +1528152979 +1101154618 +967144517 +1765162381 +1461845723 +390039721 +1806682689 +532563176 +1986508518 +1458776818 +557360806 +1415562306 +139943505 +1726930078 +1027210063 +1721489974 +1633527612 +263298964 +1155255780 +368869061 +462259431 +642432010 +394699279 +594606579 +1573029453 +1295313543 +400252873 +1775592251 +1101100781 +1357779255 +1357968686 +481770112 +311450225 +177629556 +99448845 +1773295948 +567669277 +1906131535 +158375477 +406694148 +1217424705 +715736283 +1822256454 +1357368210 +295182713 +701982869 +931374536 +1928710325 +965281834 +2086630316 +150095739 +1427541265 +581578678 +544795018 +2022147844 +7124483 +1840108561 +274917069 +1782716734 +793725694 +1632696324 +993201773 +1275495806 +1944146549 +1170831329 +1374944652 +1569958850 +1738500606 +1133592539 +1728334327 +2145194754 +203533596 +296586962 +1819967560 +1560901806 +591769675 +374466782 +344792695 +372996352 +1339748616 +283939363 +523092091 +619806233 +865518042 +1067887109 +494470429 +872642525 +760512022 +769387498 +507875612 +1554237716 +254600174 +1501077385 +682249875 +51263075 +524425066 +2057194527 +1621221925 +115442024 +1043303418 +1202072604 +113153131 +1246837014 +1498659566 +1933120691 +660255172 +2090429241 +160103825 +1005047867 +315941946 +1499852441 +1288987231 +839034037 +2119658674 +7021625 +1906921147 +466645455 +879664150 +519949521 +1236032953 +1387539762 +2074187238 +1490633127 +741133499 +608953465 +1541896203 +1265558565 +518664344 +1015634480 +1381000590 +1561967762 +70223437 +1494153721 +661321128 +1568883003 +1279790764 +1321576300 +1511828597 +1439894590 +179140520 +1827770543 +792263383 +1468127751 +519320932 +764438410 +1475149376 +278758431 +1231083865 +207329878 +798707953 +319633171 +1594869641 +725411543 +1810266298 +188519492 +1334365008 +1204678853 +1454078058 +1853029352 +72829686 +687595000 +1267513466 +143053123 +34265073 +1928834594 +1711936126 +1314055837 +1102927246 +1076281075 +606466779 +1282067766 +756567970 +1398730163 +602711869 +1275888903 +15684925 +2077861245 +1554647334 +1246768790 +137707476 +205871639 +1566401961 +1732577117 +931283182 +1229184612 +1921096609 +118164542 +286379817 +1227691019 +1971193894 +359209503 +1915286019 +1091223712 +502262626 +1949551092 +872574658 +66715105 +1116123282 +1975501905 +1142996180 +1722590061 +1110086023 +1899564151 +973836576 +1712797893 +1027969406 +989521501 +1643175490 +435133092 +88806644 +1780882966 +641004732 +1655208605 +1365976435 +1572287914 +736909569 +1139589397 +1690452457 +1023289387 +219796768 +1514162703 +1382498890 +2135082788 +457902768 +1884761517 +1937150232 +1330477426 +1951476622 +905789866 +1158495683 +946989154 +480896280 +121098059 +699069657 +1454732856 +1833895952 +1727039063 +296770710 +1329587794 +14688508 +385577354 +962987113 +655693240 +2040785959 +181479900 +80497506 +630211881 +1321069297 +1770949963 +1653501268 +1540866066 +1137629019 +888516510 +1528465206 +1595531787 +625794379 +1318131790 +778525565 +429787353 +76438009 +1937021249 +1376776508 +557334289 +2058119308 +2075846165 +2012067145 +1744531612 +1655401581 +161354207 +926635758 +1670090089 +546931561 +1889622871 +178299681 +440233873 +2071102772 +258797187 +1070445754 +1244688421 +2029747151 +576463374 +638070839 +1019892522 +1464979884 +19052397 +467940661 +2090774264 +1337184188 +1246466226 +373077969 +1413622197 +1036003827 +1749854477 +1970956486 +946639487 +1678216995 +1835539983 +543687451 +1186134928 +1996894191 +1470323210 +708741369 +396342104 +1212462433 +887041050 +836575977 +1136081557 +1145838237 +1907021731 +233286331 +1028101740 +336001457 +871357170 +2047994262 +1800981342 +890409568 +368451275 +1744271958 +80110108 +1614917502 +2117349927 +1493732305 +503437681 +1719720757 +1317205143 +1450077169 +1250454104 +1005261478 +1993764620 +289105384 +854672021 +1316604182 +997846753 +1251014126 +381582968 +1884887803 +2087590103 +1517664525 +883242392 +1847128187 +1750950856 +1911344133 +35645996 +474824379 +1811854747 +1836627338 +1365233947 +32822375 +1433415648 +1445344055 +1647739877 +1403281928 +791592712 +3693910 +975519037 +2108797855 +1453771079 +78489493 +966575685 +1300052052 +367594877 +1821247707 +469172586 +1365441630 +924778185 +850755554 +1102845785 +864884640 +220936432 +1986088177 +564529179 +1971887288 +1749948662 +600175176 +299228019 +1414319762 +289318866 +1664461966 +1447142137 +1722734515 +962322373 +947398366 +978532795 +1753915085 +951092276 +1954051832 +1715229292 +257379708 +2032541325 +534321330 +1557431760 +252652554 +208085389 +2026604346 +1618094184 +1132863574 +729876253 +573456321 +1997748214 +950812685 +412060850 +414793746 +775216325 +14525865 +1014968922 +1074444345 +1428845627 +1304287788 +591422663 +728504116 +879538655 +1553745037 +1675902482 +1858071450 +1160176474 +479511110 +1664639634 +727922119 +736890818 +1549697311 +1262243449 +146838930 +1802349865 +1470328838 +25959629 +1272960401 +455708764 +755835882 +1846416722 +305973330 +1706648567 +110993925 +720767076 +334381244 +125519790 +1735735998 +1408825589 +1554365417 +892540139 +2000248253 +135385885 +1772078794 +1406509642 +1811288367 +1482666597 +419202468 +143315829 +999822583 +1147124587 +880206648 +402036247 +261884388 +1027045578 +56902464 +1732213226 +1053005207 +1329862866 +40438342 +1808841089 +1028795940 +346411673 +1368006008 +1139789865 +1067178749 +1702387253 +1265309655 +655431100 +963729194 +672191424 +1547971239 +816493799 +807577309 +1172566385 +75519793 +471382028 +507749334 +494722262 +614697858 +1507571918 +1641846849 +1494904506 +1909608165 +1903731238 +374466436 +1966510629 +1488460816 +1427471644 +1148889847 +1528899159 +1088829085 +30202140 +1875310832 +309351446 +1169992005 +795005933 +2011738699 +287818013 +1450437033 +827984245 +960009437 +850924624 +1644478045 +1767586747 +2023491010 +1719997838 +91485127 +383756696 +67236452 +706182985 +1891328614 +1709083302 +53603843 +1653453131 +1465330892 +428070280 +1472480113 +806308060 +1855541924 +473886312 +187723571 +796887361 +504088452 +2063034403 +1106238807 +1674080458 +710556689 +970493858 +1961898471 +13510074 +1798478104 +774424260 +864434699 +1295472501 +394527359 +740442061 +867986691 +486012487 +1124198757 +935223144 +1192195472 +868043724 +496822798 +1245799316 +374013207 +1962153690 +1673869596 +1846493320 +620978102 +1381927872 +172895985 +808701674 +31331585 +676984437 +724252429 +1137570393 +203581247 +1434809118 +2108064251 +17996070 +1448319193 +1759058707 +792420331 +165270244 +907047560 +1186947690 +905712305 +1775034252 +1672960177 +2029911062 +562773748 +717672002 +750471138 +1059596546 +1963471318 +1124484346 +874266588 +1489857266 +823494018 +1495244690 +724301490 +996390003 +156462716 +755633075 +1673374441 +880715146 +1893203468 +1876955688 +168040616 +1853784072 +1894951759 +1616359809 +1465359131 +539888442 +1781630053 +224923044 +1726836132 +539858710 +1999957296 +1252312662 +422286125 +415247396 +1969984664 +1172757263 +1474843942 +1785972334 +149757961 +201626882 +1128345952 +973251980 +1696871572 +1852647442 +1969641983 +1853334289 +460796869 +1495532776 +586565787 +206516690 +1225004817 +754606403 +2060300762 +972472928 +223482565 +1378176245 +1512361370 +2005112618 +1603099289 +1091713854 +397487681 +1455572937 +196542868 +819773806 +1870820333 +19043884 +1992531069 +1198180627 +1805016218 +2142289031 +1399807509 +785878522 +968057363 +949195434 +491042316 +790215698 +655046075 +951839186 +138264827 +1241611862 +1158355876 +1363269644 +1996218265 +1071172990 +188258924 +72217182 +301865587 +1700620294 +2077329801 +1904964877 +644850500 +327333834 +1213054166 +841393369 +1147107640 +936390852 +860437253 +992155061 +2134571479 +517969824 +986960444 +1386895341 +1303848346 +1955017807 +188607127 +1794890663 +597749858 +843653202 +599246201 +736014685 +2085265064 +1757602077 +2099284329 +1933999681 +681291419 +140059605 +2006216864 +983157006 +1840679899 +1936063017 +740638235 +338046751 +115913203 +1953692402 +1179440120 +1263020843 +742599606 +2039877374 +107692256 +729687437 +410363550 +1094652701 +2116582778 +1714211896 +902186860 +157706257 +1361618911 +1499936718 +1001359459 +1960865112 +88467755 +939140875 +1570983541 +40268436 +725656909 +104791312 +180328041 +584390125 +1087948319 +2021007940 +372969494 +1828586554 +211571044 +488882697 +1634795308 +1391011164 +1751903540 +229911266 +1283404890 +1859595796 +959598704 +1693768440 +806764849 +928697834 +1260496689 +1708951710 +1086404092 +474631952 +1061404780 +2087763551 +288013417 +1149872536 +879420779 +1858996958 +1190140972 +1605077688 +1963788271 +1370469014 +41984165 +904252942 +1243993306 +414953659 +585355848 +1455564350 +903836356 +72667509 +699091867 +508256248 +302578775 +1982496757 +220368396 +1262177479 +1528781550 +1027133246 +43391666 +641794591 +588601308 +1129795758 +1116426543 +1650006088 +1070075661 +1404439960 +652394976 +1949496440 +1115953271 +1842535949 +1407090480 +932257894 +1065521315 +1449074645 +1836510836 +162030973 +1864028304 +274383036 +1617595324 +620381012 +347050545 +169203543 +1128637260 +649629321 +4216652 +1349005657 +1911806800 +1532998202 +228655255 +1955198466 +27309145 +817256563 +937510576 +1143735689 +319779003 +2007586238 +400692001 +972173980 +1809599030 +1516645272 +667226281 +1069205863 +301419518 +1732747596 +370796860 +2137930354 +1894778569 +87341517 +264829743 +1364890245 +707722529 +611880288 +1534093788 +1836359790 +1261509609 +1538310441 +1037881799 +1025832762 +923824995 +1266537054 +833547580 +951134141 +2083793617 +1771058157 +2094869830 +256088972 +1631160747 +348078183 +1228262952 +1293276129 +1864723456 +1895489233 +214998344 +18659326 +1480753181 +585795205 +9106033 +1228048103 +673136722 +273935776 +445454700 +1380859251 +885816064 +1979548489 +1069735393 +2147325674 +1370375282 +2107617192 +1025674788 +146716629 +1226670598 +1859222368 +1097850770 +1162980567 +1482796877 +1045236952 +1419069540 +966473976 +1393315136 +499848844 +112266458 +1110554944 +247854430 +327264802 +1129214270 +1728607611 +913060007 +1138320303 +809172066 +1586196729 +1412256079 +1254626767 +819572333 +150588496 +1086691608 +1889307726 +150430522 +309583242 +1849441271 +1176105310 +456299871 +928628221 +887844030 +1554150642 +2091608789 +223157260 +451903946 +1363194681 +1189631236 +1845219082 +1863043525 +1301897694 +808290378 +2110897955 +1629162497 +1937504649 +1692021919 +394738856 +928341304 +353710337 +1980935586 +193113736 +1608337104 +653024271 +343702232 +547545064 +394848349 +494132754 +857128306 +96805972 +1670238064 +1313428178 +1025434194 +410598446 +720095172 +969559335 +633755706 +1171999118 +185270368 +1823386943 +869734553 +2048313893 +977800989 +1678024931 +2011728201 +459479838 +1468045932 +1556266472 +854218695 +248903589 +1909976809 +687670633 +442017325 +1370830266 +1340694904 +785719557 +1918375330 +1735543253 +1279852311 +628019989 +1832349226 +802606727 +1941448167 +710299772 +1213205173 +514059691 +1679859107 +1846960880 +1686058809 +1865129475 +1522864175 +408309714 +1765959720 +353181516 +2086334646 +1630204273 +812661355 +1406896930 +1038987097 +1666880050 +1655800519 +801480259 +207067035 +2097817844 +24826877 +1547761939 +736053753 +1943202207 +1135821544 +2015906064 +423738548 +820687122 +671029143 +217703067 +1530986894 +1884234317 +731762758 +1063362353 +1583711549 +270337920 +781008180 +959092076 +678647634 +399484253 +1312273592 +617498632 +2029688526 +2124934947 +2024395563 +921191976 +1644331349 +1532712434 +1722672235 +1851398384 +1483046631 +1747499112 +1251676675 +71616736 +1543217671 +240014572 +2087522801 +1966956220 +1060701694 +611068296 +37175639 +444204941 +347818965 +768938398 +1507567294 +1931530514 +1039276318 +141091827 +743138942 +1717923952 +540576080 +2055412535 +187938937 +422780958 +2032863834 +64850852 +1343972934 +1529711536 +1597563286 +919161521 +1233626272 +933126269 +519176985 +337819300 +1004743006 +2062394657 +577833872 +944782159 +1881867229 +1638535566 +1555850455 +1919042868 +2082740507 +1903669421 +540497618 +1442824154 +1687716287 +1579773936 +1583915981 +283371582 +1150214241 +2124492061 +191300469 +1338153178 +399789371 +76680655 +1403004030 +1743762306 +1606392191 +853083668 +515440179 +692534816 +1786209938 +1034617165 +1030354116 +643469296 +949528174 +1608187988 +1588251455 +683911755 +1099239906 +996618262 +455470975 +1034496766 +752804035 +995968594 +329837272 +293036675 +428258882 +1913753253 +576408257 +1578473123 +1890761666 +767708726 +769142653 +143067389 +844389381 +24663035 +1886829695 +303297925 +877746704 +254786227 +995832741 +516472994 +1289403392 +2026186857 +1159942290 +91447918 +1486891197 +600710097 +775359673 +438647455 +1597328359 +1230830648 +1473144221 +202648747 +79315594 +1802981493 +495685422 +507574477 +1569251098 +1072093679 +2086047600 +1312529116 +1839802405 +707706606 +1455596506 +536708138 +732369641 +1194942553 +840006063 +1610116345 +1449728780 +1835838804 +2126589339 +591648524 +1714542013 +1139047981 +683096442 +1053949562 +1739758078 +1458456115 +1492597018 +1189602790 +541803116 +818257591 +1392251537 +621118710 +473755437 +1887936959 +1128693187 +2043006535 +812546990 +1067257140 +1208052004 +504865747 +1774963746 +516164862 +1041573885 +359849739 +1711107415 +1881579949 +1969966085 +1013352548 +1569935105 +1949071776 +1605001072 +1136993471 +940636110 +140613867 +43459385 +532910540 +1599069982 +1536056403 +1722513330 +2140873098 +206830347 +967281219 +614508161 +680585784 +707734530 +1743201348 +576108671 +1520281520 +662974840 +1784160675 +2025147267 +290454938 +152841889 +919237505 +650304678 +1863949305 +653333806 +472787115 +729818205 +75785263 +274375243 +187335629 +1212778734 +1215011353 +327949496 +1256238120 +1747921894 +1927019479 +644810875 +1322951576 +1920408929 +851641222 +142749148 +387433442 +1532227006 +850483678 +2130634791 +2108335678 +223281551 +646125983 +1745012705 +100945170 +936580922 +1897854595 +1020182675 +1586885600 +1614320252 +1673516481 +2059672715 +196654809 +1749301745 +186564310 +383990438 +814596831 +1401575664 +711939935 +2070834951 +1002013910 +491475766 +568162179 +177481838 +264401047 +1419803401 +320230986 +651834490 +804546760 +1170714665 +634985633 +765398790 +1393996216 +1281111616 +362927847 +1494941386 +70208890 +113298794 +367640414 +1657094490 +1727619046 +2041156895 +1569283557 +1924273855 +1642974992 +1755847868 +160780646 +310088176 +1009939884 +872720581 +233439479 +2011953794 +1364196347 +801601658 +41951984 +1628597394 +73921412 +362182971 +132948236 +878468172 +1532897636 +767933869 +1643866962 +779410204 +2049045486 +2006794809 +126867942 +2119254376 +2120093604 +494508356 +1628865219 +1700229002 +388181604 +1050665128 +1477019210 +2031156596 +659029348 +1637799856 +193761124 +1668969232 +363036789 +427200604 +1533439378 +1727233136 +1228802262 +1575391363 +1208346882 +1302723674 +1937574334 +1341295119 +33708198 +1322988322 +2109228988 +1677575160 +2102398526 +2010790826 +1536886322 +81782820 +1982561555 +1509496278 +576291177 +1463943126 +1062241632 +964472781 +367124606 +391777194 +848145729 +1026153955 +2029577050 +1041906854 +547639539 +245130191 +1469107458 +2081078918 +1972363327 +550426072 +1508986633 +1033226562 +1853149747 +1299077319 +227038033 +1886857945 +474581993 +188783373 +1416949458 +429496871 +52090552 +806352132 +511279691 +2034652107 +168364762 +1087570868 +1351111585 +1230606394 +2052043649 +1718236191 +1622383589 +752705731 +596906498 +1504476991 +1794612585 +1144546038 +1749607183 +1116236395 +1078141308 +1574486862 +1666662467 +439644293 +460229776 +1372328566 +1738721612 +687267809 +1111702864 +65819957 +876051183 +381168674 +495316828 +928141735 +1187520806 +1006596519 +815310194 +1355885568 +2094167388 +18938131 +439008314 +1998727389 +1737174322 +2061391903 +603949472 +186597173 +1418385247 +251078409 +1331143211 +1020508782 +1367314804 +261800871 +447511996 +886493624 +701445164 +907741773 +111338542 +292683128 +1595009582 +1223041406 +358503085 +323577117 +1604210080 +853819913 +1251718852 +644247238 +1860416432 +2067029046 +2000132806 +1807100172 +2085967177 +291657473 +1658343914 +1675657852 +205565728 +114809738 +1862255025 +1623950975 +365888148 +1045914588 +496976109 +1733202952 +1307715459 +944488106 +472212928 +2009160623 +1852229879 +583551471 +154360103 +1299755813 +1806592877 +512863188 +1623332931 +1263319310 +1366683101 +727568135 +1907566548 +1079615885 +647113534 +1760215707 +739232410 +585597063 +2051873180 +250092676 +113771267 +109955260 +364902414 +1976026292 +1733906236 +730790562 +874457232 +83398697 +316509867 +34689043 +1027886803 +788722795 +2043849666 +732633034 +1372274266 +50726121 +2032388848 +1031383496 +563589309 +1508238131 +147219158 +1930272410 +88322618 +2054785706 +862404648 +735436152 +1667517765 +1601637058 +1321033216 +1571907297 +1851729734 +1434804483 +1681862558 +69148500 +1263347128 +1268285146 +799939063 +2137804360 +1351683843 +1116448930 +25009756 +232086999 +1905171725 +2068859422 +964720033 +1129962344 +2119585544 +849625233 +13862192 +535691205 +210379716 +161081350 +318479968 +298702335 +68383408 +1180884616 +1034138487 +1735901174 +635038026 +207688055 +1160324823 +339284112 +1642492539 +694703733 +408432612 +758356019 +1962988879 +1208371675 +748676731 +1167189075 +177336957 +773686487 +1399276074 +2082508683 +695062262 +216512459 +1064987379 +667164158 +1066137693 +1078849571 +1202855363 +1276517409 +1239930921 +1521335331 +1575219744 +1308314329 +554736299 +461874584 +896731855 +1189774325 +669562639 +2057056679 +1529058437 +164571530 +604276764 +1937491050 +922927549 +419781996 +998379077 +1671604281 +1586971071 +1175716035 +297807120 +838763497 +1110741070 +992869382 +1055275956 +28244801 +1660033540 +2121413649 +1107094372 +715405256 +1250447411 +199541645 +89256939 +678183507 +1507855974 +643993239 +1140058091 +257104182 +1833767564 +1809620731 +166677213 +1215342354 +1974192261 +770953977 +1005349756 +749636163 +1190735973 +2003728833 +273756796 +630223396 +1031961220 +571563916 +1468986893 +2142702290 +1564433299 +376779202 +23463443 +1076983191 +350709203 +1130557815 +1792388447 +1601156614 +1330099460 +1881645387 +131856474 +690471787 +378154978 +1271914565 +947575969 +64438894 +934051648 +1114253182 +1279781248 +760760262 +1885207159 +137647356 +1510396425 +928459485 +2141376190 +1784153221 +1558682881 +1025853762 +208233489 +880186127 +1021072405 +1772666788 +1256965329 +1044535848 +702166332 +1607674532 +27610016 +347071131 +1061347499 +1357709476 +81232870 +1193203973 +2048181263 +459387848 +317634890 +848273584 +523826743 +1251686539 +1962526766 +1803607991 +2012446801 +1700250278 +1941255348 +1375359578 +481226115 +1935147890 +1012029151 +2039908996 +813518004 +1220262640 +772611475 +1834590409 +845445781 +2029576804 +731642610 +1547612113 +1489767689 +759252626 +1894683244 +403631540 +2116962102 +1975916115 +1596835513 +2017659718 +287820315 +1914470403 +718449654 +811647058 +1018673294 +533492773 +467771402 +883636447 +86259403 +261543102 +111512377 +567485518 +49207344 +1123541528 +459910866 +862725348 +196320521 +1232522342 +549832110 +1041766302 +1114615498 +1281474720 +441894767 +456899539 +2040727346 +189094363 +860531079 +2010205800 +17526830 +309882944 +1880381870 +305347146 +76869700 +451347877 +1116994204 +1095542994 +984840650 +1584765606 +1979179442 +1071100053 +1846308708 +2090691819 +1638585571 +1895516052 +1066749700 +2098496437 +610757753 +1263070221 +1183535131 +1160589863 +157352875 +150666982 +294580935 +599247642 +607566521 +187824633 +788342005 +1468097601 +50546785 +805868836 +1777980545 +1930928656 +1111215982 +1854850245 +234792885 +80726538 +802909592 +1219633535 +1665492145 +634605386 +143249940 +1364317205 +577813557 +1781835511 +1112349610 +1644563257 +1732848300 +1723107363 +760149830 +768899784 +736213578 +917502705 +919566766 +1030794513 +1516750347 +1527133287 +1218619146 +157608705 +847747240 +1269165931 +963477541 +478244138 +1052610939 +2074693523 +185610735 +1287403824 +7936413 +988520327 +359553711 +1673428558 +1623125713 +502803651 +890262116 +53455623 +137155514 +2002611726 +1698018880 +1870003815 +1578235441 +310685063 +491419951 +166965371 +1228187768 +1410986717 +1197759884 +597454468 +790636356 +268895382 +755063173 +1638383597 +1538061313 +1718540714 +2116627735 +443188605 +1645750589 +154754822 +1730592429 +1653687002 +1143275150 +2090146141 +1179631913 +618917215 +445466144 +2069894029 +672372838 +582621659 +1925022107 +222908071 +305141826 +1355773900 +533593134 +796561777 +1522739271 +1761780902 +60064846 +573015507 +211751722 +850701202 +841910889 +966814895 +341601151 +232488554 +537871961 +310745238 +675677159 +36138902 +465500061 +258785941 +1689825905 +1608775211 +201448434 +721974170 +80208778 +646914578 +644384551 +752581617 +1229536237 +421923010 +975489688 +1534678063 +1777696910 +1509082822 +183756192 +1152952533 +1123380076 +243821038 +1725968040 +1335131799 +1094522241 +420395281 +154463046 +1436123392 +652883835 +692335008 +1746868631 +1328560995 +728473910 +64885044 +1587346936 +270816167 +1673660255 +1788795370 +992790337 +1753869033 +288226300 +1637174888 +358967002 +1517762538 +2059097898 +1334456690 +904956953 +1689311160 +696055864 +1088713146 +694780045 +1819435941 +1332534184 +273264437 +1007084092 +279572777 +693659718 +1161547138 +1715696170 +1346543554 +1853882146 +1315081153 +527620901 +434872409 +1379966197 +2114967837 +705688576 +906142804 +1756279559 +1698478914 +512528189 +2044505859 +1188170154 +871495192 +1414784749 +1099784405 +58468234 +172258055 +641611917 +754524099 +1260971201 +1336391963 +426476392 +446021737 +1609656400 +1433560484 +725594515 +155832471 +447623974 +293807037 +1502376025 +154022473 +1608888190 +2029996926 +588894882 +841370739 +1997481115 +1294583458 +1747513543 +1606277026 +845578724 +112558084 +1503299237 +2033748879 +984053276 +770600339 +986049636 +1042521511 +942858394 +1627661553 +1797045610 +56345947 +816569868 +76038354 +502367684 +278742621 +1509598838 +1227962199 +434575092 +1957222812 +1521769236 +1936951117 +2111245285 +983173778 +1819464395 +552656519 +1824544517 +1669461862 +1847239978 +1424574412 +1128255240 +545335054 +1537132497 +484070829 +431600285 +373702125 +1254671168 +1417649921 +1416223636 +50045914 +897827827 +1065785598 +106391861 +1714397695 +1141823952 +608759546 +1993140316 +503939142 +1836721745 +280231760 +313678307 +1211007334 +69699229 +277439944 +46697464 +1889163624 +830096464 +1871241982 +1411141838 +529852794 +1148332746 +391913430 +1075187848 +537981595 +875984260 +1506788134 +911683721 +2130655428 +776954407 +180423709 +33217695 +1674782234 +1246209308 +139609556 +1241696282 +240549612 +748369102 +1087352950 +744488755 +437607200 +1367584711 +1058167062 +1648614534 +1437283940 +1335607006 +1695311998 +1178963917 +18219822 +1419070332 +442622107 +548072616 +419919431 +834535538 +1623260465 +957901026 +1710519798 +982564951 +1869584747 +1693691578 +1759519358 +2050008457 +1726909273 +1286817945 +1148734117 +1866518830 +381030579 +1389283729 +467404284 +1468383529 +2133772484 +905011484 +688484592 +1044455898 +406142370 +2125768533 +232579257 +2101454369 +1157248802 +250799079 +1373041053 +1599870909 +798871696 +1792960484 +286922799 +274648513 +603377863 +1997442597 +1257213464 +325478962 +1543650528 +869249174 +228003771 +1123076153 +8583471 +1376737888 +842111335 +389614050 +618537970 +1309515620 +1857997580 +604826806 +67043456 +398998524 +1649282705 +473185827 +377283409 +1881861962 +427156548 +1534532211 +2132661041 +1800197601 +986919473 +784049089 +1445674438 +1273842272 +1058697602 +2049052301 +1123801222 +168427418 +227047615 +519968102 +1037676593 +455051387 +1643044255 +1046260064 +1831789275 +337671943 +1435874115 +302843597 +1647187563 +1146388047 +907670404 +1714231019 +1545386571 +409469461 +39933198 +1922669981 +143847775 +467089746 +1309718544 +129025168 +119803700 +149154369 +913074258 +1565478138 +1422996642 +1971771860 +1467046791 +399314216 +2140199279 +1694094406 +919282318 +1030392224 +1662145 +414842925 +2076652288 +1833451421 +752514868 +1365042755 +2136295018 +252218783 +363947154 +896481774 +1966449803 +1909333726 +1305951235 +2006383001 +1684520059 +1449799010 +325989100 +846754955 +1578824179 +445792800 +995909325 +344414789 +2011270938 +271422319 +168703001 +1330834081 +670736535 +161418632 +877444839 +1590018853 +1191810856 +879106985 +2004861778 +1120979497 +565074758 +609892999 +338538604 +553886128 +862111782 +702485759 +1450367903 +681077937 +464335837 +608835490 +539977291 +1372248 +2058634501 +865966391 +848127203 +1489975032 +1311759191 +1844036528 +1834389821 +1175546481 +2115458847 +2003092822 +358896914 +638711734 +17027807 +1236341753 +81246939 +1208838663 +2115448738 +2086108718 +182334512 +533039848 +548518069 +520873117 +1086925977 +1410629851 +1223358876 +389810232 +2091707789 +1687694713 +998645722 +484201432 +1689066961 +909796575 +1350167823 +389710516 +252287959 +514443366 +86263397 +2086677780 +1689989847 +54238596 +1942286955 +2048886761 +692950331 +1959314762 +1137744866 +774197270 +1020669777 +1105709957 +712822340 +1203004290 +1638749805 +1261340409 +1723877407 +578192134 +524486613 +799752635 +968002366 +468710754 +339963700 +1966648089 +952912186 +2029030661 +728961016 +155596361 +271257529 +981248976 +670039727 +357520926 +920443108 +212545926 +411759523 +715246415 +113949039 +1104709854 +527077529 +1251693905 +1878907124 +1547747307 +209920214 +444245817 +603267949 +1848670020 +1705586226 +179661708 +279378506 +82589191 +979414343 +1247380873 +551299945 +1319378043 +1066545314 +1504212131 +1200925056 +1795506330 +1659808492 +1472182585 +629271658 +182364571 +1829703512 +1549714767 +394910497 +93979387 +117477534 +508859536 +1198689241 +644555064 +1760553442 +930112717 +44818723 +1970473656 +1374358534 +648086672 +1671660028 +932461113 +827748380 +1951038535 +1015050304 +1807162723 +1050935760 +1566350250 +979057118 +2117481074 +923078733 +32498526 +1765503756 +435403578 +1504681111 +247291767 +617768149 +1186900975 +1797006534 +1012678647 +1280880362 +1914484068 +1521538183 +332085955 +411555484 +1134607977 +1262198673 +456374207 +957597986 +489073559 +1104460879 +481774366 +1421534672 +1932209259 +285329253 +289101329 +1591888334 +1336265013 +1855451579 +423461804 +1306262439 +631046664 +455960330 +924282548 +1066450242 +1960641442 +1171574315 +1684218392 +1000058769 +821097201 +549413391 +133455484 +588097621 +2070951574 +465541439 +999653106 +1058075904 +1727740112 +1456027313 +2015673890 +69330024 +413004545 +349964608 +1490864696 +197730156 +635293862 +1779966025 +1789618491 +1971558875 +1487933956 +65596647 +1130337667 +2118980621 +521556978 +2054620215 +1037947215 +334714772 +1078710882 +574681959 +1334773541 +1899808083 +1124095350 +1468229025 +340422056 +1047563277 +1933770465 +1340075162 +2105639181 +1514026929 +648618828 +1973829423 +1583356953 +1061623373 +176310383 +926738002 +1259353529 +811604245 +559220379 +901488372 +635679473 +2047154336 +967085020 +1766017140 +2018651309 +1488641998 +1673153707 +909114876 +1823356770 +604380941 +1483796836 +1010646663 +356705376 +460408538 +331392041 +697127432 +1507971815 +117678858 +2037202595 +1466127348 +1631705787 +538337775 +1292473123 +1067579093 +1599961148 +1468783507 +1994317095 +711831029 +132904104 +406053826 +1613319402 +768583577 +305724514 +432920774 +387117069 +176892175 +1921562772 +2060270776 +1086007052 +1597435894 +517168069 +422320240 +460598909 +873873445 +882728778 +791990950 +1571000878 +243216946 +909669808 +1460719825 +1709344294 +393891948 +1999057600 +854333770 +1461471041 +1451535100 +175633629 +1308304488 +15882481 +308537733 +1714358314 +1629201883 +1077121311 +2020082829 +2062122657 +1464238380 +49491356 +1836201781 +1377025509 +1135498408 +1286154027 +1894193578 +1557818648 +1746752937 +620583376 +293063779 +391260239 +44100606 +536280725 +1300930048 +1504820431 +98141371 +1694821996 +1356394383 +952475141 +1008809389 +660445835 +1128108770 +169630229 +676328316 +1436646504 +1883988543 +158046552 +366284167 +1756587724 +72685561 +1830522547 +1806079081 +1908887343 +1060064408 +794093841 +1047557722 +806774339 +204428842 +646827011 +1427357715 +497492621 +1038087251 +1471458321 +1033773346 +191533651 +828795104 +1131914717 +1886355647 +37705839 +2084389859 +747681388 +698151674 +1065014981 +917311617 +1374479990 +354177837 +653816512 +1532526542 +720462004 +262920589 +1605212104 +403500904 +2068999670 +1366615799 +1463565312 +715609863 +266689873 +122856003 +920038705 +913516885 +1550213718 +1417531326 +1951604136 +874188391 +303821024 +2143137787 +1702983495 +1435735742 +1882009786 +1740689334 +1372641953 +482207526 +291357360 +290173286 +1399519143 +1665837351 +644351124 +2053335655 +1050880245 +1364813128 +168772596 +508608701 +1768314032 +90288618 +1875224500 +1084395697 +805898482 +2141914374 +1207251700 +1725937187 +907947611 +609981771 +995984866 +712068099 +1484170162 +1299805890 +707722238 +1039670010 +588057984 +442248376 +632875696 +1960699937 +924455902 +924233057 +103389576 +176491397 +442586760 +747740700 +82343404 +1493467005 +2112553828 +251116001 +2002075707 +1733384213 +341404619 +1729816559 +670296262 +1147303101 +1724247285 +1877547962 +725756641 +484711248 +340046085 +1721741507 +1196779347 +1824216248 +874063749 +1904501585 +716402610 +1462121734 +199266313 +1349278306 +1275338023 +1123722215 +126027715 +1378727599 +1300213612 +568614475 +2126468299 +1382557017 +2062081481 +2091538480 +1633673018 +1916673540 +1677439045 +1975077637 +1499006451 +200251659 +974897091 +1075770089 +2077799621 +1700653732 +1560481337 +270362059 +1274911591 +609777037 +2094578307 +1491692 +366794974 +663497269 +1463613426 +566061288 +2012775575 +591467802 +1689783503 +2138803291 +1970195401 +842513468 +559934118 +1949180053 +77586837 +474531951 +1893234885 +1711259855 +243721843 +1423190282 +1538853844 +1742728295 +1623441941 +366267287 +671014736 +1553757914 +2066921019 +84012425 +1824119973 +1194348962 +693789462 +1771214632 +1195840655 +1060584437 +287228253 +511970433 +1626645725 +152520181 +1103438235 +1168945580 +143839824 +926149989 +2011459048 +703773942 +727846394 +2089045885 +1178305894 +473597631 +1652822092 +1422027737 +1896787913 +1044192289 +1017272384 +1372746206 +1410459576 +1688287120 +779020472 +1329896948 +1772299546 +455656798 +376762262 +318605360 +79387782 +1572602917 +1379189797 +366616036 +2084573351 +858351874 +519136217 +1040527938 +2027297455 +662976041 +1966677927 +1891272855 +1366749983 +547040673 +1832835093 +397572229 +1020638304 +1338173537 +1819599967 +769942569 +234882178 +689388703 +2142688775 +1645341755 +230192176 +774225600 +827755055 +2002491722 +1229882398 +1204517317 +173613434 +1309270180 +629636587 +1552803232 +1675886216 +566726290 +263671458 +47538785 +1607254228 +143485265 +710514826 +1426448508 +2034758121 +2077264810 +1973489181 +1720109566 +327353391 +846643838 +910799455 +2146953358 +1616586407 +1145681634 +688858414 +1611791535 +643539741 +919050590 +238533487 +1471294796 +774058664 +1468415885 +528328465 +947672098 +630202417 +1157965052 +352991682 +158604986 +1724691342 +616663141 +206143771 +1184461923 +760148406 +916658598 +463426783 +647422879 +846439760 +289432316 +220048797 +1173793151 +1136076154 +1130848253 +1173262862 +605178914 +129046239 +1862121276 +69486801 +772585980 +633688218 +308020288 +96397128 +1407746882 +1776436173 +624725593 +207935332 +259154942 +1782690646 +560927015 +417759928 +1359898340 +1177590156 +623903700 +396876615 +1937738562 +1540562298 +860303398 +437677794 +239518410 +1149735715 +657726591 +1413311561 +138328221 +1788574844 +439090775 +743507135 +1917621083 +153728403 +812993936 +542723415 +787416621 +1121014224 +639120543 +47679855 +749966749 +1263846137 +255615188 +1009121692 +899053135 +816542203 +1426881620 +111467827 +1994132359 +2050785320 +508344443 +1784387273 +1443863970 +1368647841 +74581419 +1683382380 +370899908 +732308011 +949210294 +509228130 +373399207 +1388301069 +1252735265 +143536643 +1542029473 +2065729202 +686260058 +181962446 +1039259778 +1325380602 +229642302 +1789226528 +441743091 +485257490 +650864572 +1340796226 +1301799693 +2077746192 +1452264053 +1148448404 +1981047865 +1960608496 +785352029 +1277428187 +1181772690 +859933449 +813326920 +1552672598 +1592241460 +1762537214 +2061900728 +1965640667 +1003354635 +1167152346 +2109177310 +397900460 +1085397900 +647953721 +579862907 +2124657678 +1973334323 +809505209 +1766400558 +267593766 +1294762699 +269781482 +1608389992 +449078744 +200044027 +913170397 +1597527148 +33608244 +726295246 +235395529 +1311036431 +1908067936 +1095328978 +2124363351 +1313256886 +540086790 +1739416917 +1227673967 +358243810 +595287905 +247342665 +319937472 +993188365 +1332740565 +967891193 +1573051272 +1309914595 +793741868 +235072833 +928831506 +1061335634 +1529835532 +1198612988 +522241978 +1978914276 +1398657015 +1435412376 +1428957776 +1432265259 +14223974 +1664353306 +595818043 +1922291910 +612198636 +572697746 +1088065148 +1152285427 +164631016 +168255467 +1510529237 +759918921 +415598132 +1830466709 +1753107286 +1748338697 +650874255 +1178674911 +910769645 +1444616123 +1413747744 +1839601151 +358468110 +796099629 +890730491 +880710088 +627530257 +141903859 +168638816 +2056488034 +1574169118 +182862790 +1573357692 +22503513 +2105154700 +38072680 +595201260 +1045736201 +1190358107 +759832276 +1213991668 +553403696 +1519751197 +1629589801 +236386758 +1125374835 +1230444850 +887261013 +156566098 +2141214495 +184393488 +1570313843 +1833331998 +542861598 +218929824 +576578842 +1423571687 +846460081 +718482701 +1592210503 +755464467 +145168171 +1775073294 +181338511 +167671685 +1732744346 +219411192 +762872945 +630996899 +1409769299 +1522705221 +1844988568 +1963172996 +894972770 +1327094721 +52076106 +2020347605 +410055923 +939337119 +29430056 +403786771 +1123730607 +1599743899 +89635121 +1666592206 +1818673723 +666213963 +942680245 +517650156 +1384696664 +387407100 +1273114624 +1529864836 +14996746 +1454453135 +1697536521 +1747741093 +1673864327 +312925818 +231254344 +936149979 +1835631039 +2076242912 +751839327 +583120161 +1255853985 +803915433 +455984118 +1665909909 +1743252552 +485414174 +2069696680 +719499511 +2085158073 +11848153 +238608069 +1756348148 +678062117 +1181288314 +126514657 +2062758781 +1568695415 +1399629281 +1445139969 +1583692161 +706598768 +995192842 +1183949606 +232979448 +1308118660 +1415203951 +1169129427 +996266051 +1343963215 +1920968754 +1579386212 +452333553 +577400539 +2035370331 +2118243462 +173169443 +373300857 +2040456494 +892668954 +310975283 +2052304647 +1131277024 +2067323431 +582883116 +165081690 +46354440 +498158250 +1733777105 +1445983721 +1943298219 +1169985619 +5098842 +791007414 +206451577 +238078290 +2099126074 +1621655528 +1407207717 +947908478 +818135096 +1180692823 +379811042 +1270468649 +1758093362 +267697725 +1241228463 +1931262805 +640998583 +1134201309 +676448111 +951973866 +1039022308 +1807725135 +871813649 +1621905425 +1972806826 +918168090 +2120063675 +1559100283 +216668163 +1915878246 +581602254 +221767005 +559402012 +788053832 +459845295 +511044439 +262225712 +1867053012 +1458952917 +1080360808 +900262187 +1838763959 +203345809 +510871901 +2106461685 +1444574272 +294651058 +599976620 +431291933 +971099170 +1551950486 +1470314242 +631340657 +276280487 +944736019 +456663835 +1194448577 +917316046 +2015764119 +1411116741 +685710644 +449882725 +1632883746 +1245112657 +1237936557 +2092729042 +1756157096 +1500162270 +1812298406 +1067626365 +433039430 +565076946 +758906676 +636385240 +1075948847 +717884713 +2080959512 +1370599906 +1317861333 +364767798 +194215428 +722328171 +1835082040 +825556085 +998608659 +632334411 +1282219921 +45573588 +1549650457 +1150500392 +1456690329 +87877453 +1600383117 +942090428 +1332990110 +690836027 +887335822 +941663558 +43514649 +552150580 +2009289923 +476554079 +1117227526 +620712952 +1112939319 +45692726 +1338597665 +1046415184 +1416292632 +508975351 +1411182982 +1610508060 +1231303522 +1098781374 +288580497 +82428533 +1731115785 +1570800418 +128002122 +1133282594 +573817162 +1584692451 +1221160047 +26716632 +379299231 +406666510 +717552659 +1266635053 +1348330068 +761067308 +1818785634 +1210136344 +1237621387 +788529512 +1830849296 +203077059 +834222238 +1021963313 +1249492243 +103031222 +1530938664 +513191577 +1713539282 +614758539 +1611972951 +2002119780 +697187072 +1195605088 +1425436550 +825189194 +181404034 +1999253713 +262397998 +1402564081 +2025970345 +641697229 +1809230591 +596039356 +1908332283 +1010077012 +1357106664 +1579634269 +72729708 +447244403 +220680133 +1903579004 +650321462 +1054902372 +778058669 +1899813705 +1157933594 +161513686 +265521634 +723989229 +776272225 +1877494585 +578625361 +1473459297 +925616025 +2004061911 +151164844 +1107020059 +1855831976 +413562842 +362100493 +1734318673 +1055260071 +23847436 +182874381 +816108706 +1033924448 +1539981045 +248259327 +1106654156 +1987225449 +468939461 +862749512 +490063263 +1523841833 +1640808182 +242393321 +534291779 +1802321868 +507914955 +1258281008 +431110445 +237925893 +1836906369 +1904569742 +1163541918 +1693484633 +2055734586 +123078330 +1401832961 +321813780 +485178823 +988667987 +1377073852 +509026259 +1171542368 +45698910 +1542950708 +564039766 +293958238 +502121216 +403781567 +762897699 +1364870729 +893844830 +139255884 +858195263 +1136238151 +673547663 +513033483 +1644153107 +1931828672 +944143928 +1882079000 +1621251393 +701230022 +898137270 +1167252378 +609480961 +1021215600 +421601692 +931294741 +1506394423 +1410269679 +160884945 +2015420683 +434328399 +206583856 +1410887743 +998368165 +500542094 +1913008959 +1402149732 +1263439793 +1130396040 +148510915 +1402695677 +1988591303 +1284749066 +2076243340 +354141138 +781418525 +1860588364 +1298285066 +516013877 +1334356110 +1999515089 +1414151148 +354124840 +461512402 +287883100 +775726532 +1392807143 +1794277524 +38512563 +1553692089 +1662214559 +472840963 +1760275945 +925618654 +1471209128 +113334391 +691143965 +725875213 +1376774184 +1821540006 +874386128 +631986213 +1662647661 +11651546 +560745905 +2016788800 +793070072 +273850622 +1167590218 +1309083949 +1608206732 +1019621659 +575751449 +1962331572 +1481134061 +863634550 +590574457 +726457557 +510428426 +629087020 +132665998 +25159337 +1101927983 +1892941943 +950777991 +425653464 +2006276334 +1641921956 +1151528677 +1235566870 +1315978314 +2025914805 +1867553083 +831142328 +2037566351 +280815340 +700447480 +683152775 +554665962 +1868037698 +1992236725 +15389046 +740175710 +420504526 +1977720619 +73826123 +1284139076 +420811428 +800283680 +1794567502 +1049898448 +932949678 +1819726839 +4342784 +678407973 +623021182 +429996248 +537200659 +117459491 +1581524925 +1772767529 +1433437805 +1459956082 +1492836964 +117096485 +1350038785 +1773652305 +817543965 +2033191561 +180834619 +538098016 +1877944638 +196223666 +1278273726 +150965516 +26460637 +1352099849 +1435104593 +447272065 +4899882 +1082188447 +1497170513 +937849560 +754431639 +1501513297 +1616257534 +1377452821 +1931509545 +5974545 +1494912312 +1365550822 +1778742075 +780866470 +678023256 +1124095391 +897962955 +2028062042 +750264048 +1715506921 +1913769955 +931098668 +106121289 +1644230945 +1127322334 +1384395015 +1795196461 +1153782971 +589011216 +1082817406 +1601055036 +593911098 +17522206 +950741901 +1531760659 +771953845 +304771551 +1000534545 +1923018 +88797448 +1006509090 +1496835331 +1454348271 +637767517 +130218153 +2132371527 +1761862909 +1028181108 +2012949921 +364643309 +596204381 +1779236228 +1295741977 +702325670 +1275983525 +275580663 +2086720685 +923696339 +1429363634 +528248254 +2006513745 +882935022 +1122159352 +2024035951 +1833676924 +506436363 +648506148 +2138448475 +1506970908 +650429167 +79762275 +365996351 +2147264498 +1534110546 +1003763868 +129999003 +1518998426 +618143129 +1158180111 +1384464699 +982786439 +1754384493 +1016217280 +131044768 +309226515 +144717157 +406625432 +248463553 +1068413496 +1835989066 +776711807 +927443594 +571440441 +1898871159 +803995897 +257633717 +257823875 +1452502046 +248598544 +1764794783 +2102931213 +328360819 +2130791134 +2102712063 +1862471366 +987071355 +85227418 +1233986144 +1605214484 +1243407529 +470967195 +440517275 +850308374 +1487184475 +571562044 +1159534890 +1631901633 +978187476 +1407998443 +552831481 +666692894 +37226602 +1480275075 +1238133335 +1936097761 +136787325 +1495767052 +46437988 +1589289371 +1744365596 +1811232772 +1544736936 +2072726416 +1794540258 +1499965351 +1787714134 +634127965 +1585192769 +874216630 +91858802 +681116650 +1345183825 +532376077 +1531425025 +684884653 +1103938121 +543476267 +169302638 +2082125597 +1951474710 +722134119 +601334844 +1988701312 +54925547 +1839468179 +1777315425 +191712872 +1187751584 +1823753414 +1781002243 +784633532 +1487502538 +1178255531 +709876300 +1134559148 +530737234 +350106786 +1768687114 +2115930003 +1224323416 +1860545916 +649563005 +422023594 +245438345 +33504382 +1106908247 +1349376467 +576980649 +1276210885 +1284018416 +380971711 +1998345004 +1885353260 +222189375 +2053270551 +1577337792 +1999504801 +97499775 +617605728 +1675774567 +1878502018 +1402239260 +1015793457 +909273901 +2112115561 +2868957 +1440011135 +314738699 +1771556071 +1408457490 +1539062116 +1484618339 +2058020496 +1961085710 +1730056685 +2091524878 +920510309 +931949504 +521021880 +49237546 +68484272 +901993591 +2047582550 +1953837533 +1124182967 +1953369454 +1383691677 +976204120 +2050869229 +2001297405 +504495039 +1781887600 +1256053017 +1520288496 +543677853 +1220684930 +1523157453 +1983688989 +1535423630 +1147229877 +1244662831 +927002098 +484364568 +1155199679 +740604160 +66937605 +1099240910 +1661114469 +998887109 +1620262790 +1710352015 +1067371382 +374772733 +1610450917 +873725267 +1498955700 +1416336723 +109933296 +327676172 +1319722305 +2111230701 +832171211 +954126257 +1219800070 +204976059 +1497804110 +293001353 +1728133513 +1334009451 +1828424983 +727879742 +431188635 +607943433 +1212244310 +1586388314 +1348547593 +1279181916 +538145576 +862178414 +130585377 +10924718 +425046781 +1197956759 +385697452 +2035497698 +2071682026 +1884653152 +1304350774 +34131674 +64845677 +476589431 +2145362375 +897016888 +1430715688 +1217678798 +1101992948 +781036150 +1510680151 +682642813 +2115045602 +1191621486 +1410522555 +398750589 +1799564919 +475283217 +1985138903 +1000628864 +1754465133 +375800832 +1862807278 +1885050511 +386725550 +140370411 +935523622 +772423002 +28384461 +859722001 +509592507 +1332735235 +893853675 +574438184 +1809324666 +891732403 +1471455072 +1092556706 +2109411201 +425964372 +1873592857 +1472607704 +1108607185 +1841154811 +516745542 +371646092 +92421752 +168826813 +846929310 +2077560655 +1169455677 +453910795 +305877839 +884779307 +191477658 +692603390 +1025149718 +1127001281 +1465026392 +1053534179 +1986723282 +1974618899 +238785767 +733093309 +401573435 +2048110433 +1624825712 +1873028508 +993183492 +1586753265 +151509232 +719292701 +911877321 +1260116418 +412963864 +1428622863 +1631762510 +505385616 +1597449676 +331208172 +435462623 +619421705 +785118968 +741340463 +1504201012 +976596626 +1433943853 +381867082 +2103597907 +751486597 +1435401262 +1942837541 +578621849 +1674187029 +528447203 +980195284 +1574813814 +5789267 +705740144 +420513658 +1592542533 +857249377 +1139806359 +356936206 +2117365795 +1552770223 +1785559070 +1601644657 +2058155839 +1235525098 +1932852830 +346134815 +1854946804 +570488150 +1087475278 +1211664168 +1547084776 +373935483 +1593531251 +1503199036 +1125422080 +881448865 +1298552929 +1704043929 +408152246 +1827000132 +536755566 +1982966060 +1832789400 +1242495710 +255996071 +1277848285 +2099745087 +1395802430 +1634784491 +2069627234 +801089006 +1272859913 +1523788244 +711761197 +360901364 +1309157426 +1057896012 +68364520 +1879645576 +2145371290 +1280028688 +1279246704 +371823125 +726076291 +634962092 +1497245206 +1607525156 +1933515022 +1053805487 +2015677402 +1613031506 +1590561053 +1851159815 +1298337258 +685573116 +2107155886 +428701895 +637834555 +1355474668 +2063486387 +559978142 +9080026 +1188862652 +2083766386 +720841224 +1549764016 +1245440164 +1778737236 +1618128536 +977602092 +1776624879 +750673577 +109365148 +964356 +1476749868 +744327241 +1498209562 +936791377 +530358615 +404531402 +804985131 +2143390121 +1995092455 +508661298 +1294243732 +533181923 +468333536 +1722945627 +1171016479 +1823808205 +1638948366 +1730994621 +1832888231 +680327371 +1667277359 +406245807 +82607739 +765233875 +37499396 +1700736276 +1742835967 +1814124275 +303926205 +1852201115 +1815088631 +1780676073 +449044708 +1165814546 +569983802 +979403323 +1570345948 +1374968934 +975309797 +1417954755 +1883630232 +122069881 +1951136679 +204480121 +1845015508 +974669510 +2028288326 +1336480227 +558180483 +1713692909 +2016807598 +77974194 +2119938717 +2099415337 +843208069 +9954465 +1652667965 +438560388 +1824078740 +1956594170 +143277855 +1491683723 +1589786596 +592322564 +510014621 +12286750 +1571725887 +2080360569 +1387255684 +399552036 +1350831677 +1123402269 +521621917 +1154484708 +1327882390 +219153778 +2129154218 +1208687068 +1555634005 +539851053 +774896329 +1424957955 +617825247 +747351398 +1376889644 +1461033316 +757305863 +882073962 +1899593704 +433900955 +691184484 +2042871559 +1925584679 +133487432 +487710475 +288115652 +145774183 +2059436363 +220992574 +1533029867 +311504751 +1571824251 +508948488 +833126669 +578825311 +1836830878 +1052280447 +560495881 +898034298 +460430804 +1100346934 +1672930628 +1885388759 +1718172181 +272798378 +1114794755 +1031721849 +1030104242 +1996868717 +783831905 +1464005197 +540569554 +679219816 +1242106228 +674056986 +1166930292 +1530221881 +819831169 +1078883007 +1751214455 +205377389 +1390387758 +1175555058 +714325877 +76030779 +1754380369 +403673108 +1128311226 +167392602 +1301707406 +1588742030 +1267739536 +827154386 +1326647141 +838428069 +1099952765 +293958249 +1870149918 +2130057007 +143343318 +506498175 +1446578556 +683912872 +1185717991 +541201137 +1357969859 +205164635 +2071423018 +30317380 +1284047642 +1675153825 +235694769 +526951753 +703225235 +950020647 +602982532 +310121956 +1353693755 +1731293759 +477514558 +507917513 +1172552141 +1745254094 +1335071900 +351715635 +436198515 +287541017 +645673884 +158864785 +270114376 +789017202 +665362960 +1716692932 +1472930075 +1851080951 +110410421 +683416286 +2056245587 +34349791 +713733666 +1192809581 +1709503616 +949428436 +1719761334 +265245203 +1899449083 +175260219 +575367159 +1105659190 +1906553978 +1052881717 +1613576703 +931622471 +650652163 +801164955 +1283338106 +1086850678 +1088705972 +1929011990 +1245715463 +1358820348 +570545545 +1911078423 +928029633 +2043475620 +1614675727 +1038440054 +579408258 +1523437666 +1072789846 +1293141924 +568763599 +634809814 +95086712 +141041286 +900055018 +1994535795 +316301505 +1475422177 +952711337 +75371835 +380820247 +418804393 +1006994306 +1031472410 +1219969348 +142848765 +2118323089 +161191673 +2071860755 +1216554904 +1520012021 +494922652 +980149680 +300558006 +390914624 +447341759 +1338998061 +970322882 +1970779425 +264304259 +115981159 +392059376 +899114073 +211067871 +533100662 +1799169091 +58120019 +849402167 +1127107621 +1010831356 +924774002 +1507927868 +1429635749 +1931768309 +391916630 +502121450 +2074617074 +362756071 +663313123 +1998994181 +1579310976 +35841496 +346433186 +411977008 +336399503 +737347810 +859318767 +1675397564 +1707670693 +682614544 +1939701823 +1823651852 +1074673920 +691332248 +2034719723 +1607774583 +343017692 +2092839742 +309693102 +1470125313 +956187451 +1234467105 +830569533 +238339552 +1018751766 +1222486163 +740461002 +945885192 +1585242235 +1403774125 +797395725 +1017069563 +1439615622 +1143828911 +1429046571 +1776015125 +1881176722 +140881690 +1303929041 +1441363767 +823496234 +1096147216 +1117531971 +1898170154 +1787479464 +1004768046 +1358461089 +2130497156 +950124141 +1668154192 +1453138821 +1906311592 +755137649 +136224706 +2144651144 +1773889415 +1358710870 +737628499 +572290959 +796469457 +2141402624 +1369686684 +1813539020 +1433534598 +366031948 +1095101943 +1062066075 +99725022 +1235983633 +218511468 +1541088789 +2059479867 +1314658684 +511137112 +1810166373 +954654501 +1515905158 +1021143815 +937668009 +318545651 +541814359 +243323183 +77373595 +1296952008 +379547889 +74541092 +923357775 +1738258759 +812169591 +1495648734 +387244568 +806088567 +717851770 +53299940 +92139518 +1083883718 +1148401883 +1154205593 +1183608740 +236901868 +1372717062 +577213881 +148898087 +539892098 +1088350993 +1959064461 +1494546599 +456772504 +832724628 +284730961 +775318155 +1374538987 +528054144 +852691751 +524007347 +907602033 +927232843 +1447365122 +498377145 +1739402434 +795530208 +885621713 +398007353 +1513381978 +938921654 +490146871 +449782049 +2087323537 +1644352465 +1633390789 +176741758 +869585879 +63121023 +325639845 +1409477977 +1151472016 +137220658 +756540929 +1608244520 +969945286 +1041271890 +236079028 +197000625 +1569326034 +1088770779 +721007972 +329444419 +2016003622 +20889446 +827821564 +1607922408 +816419654 +1713443278 +2005929761 +182317985 +504881284 +348592985 +632100034 +444721173 +1992945450 +118007175 +621462931 +715047681 +181128198 +947102777 +2124525658 +1332600215 +1084323435 +733582939 +793361087 +2054268722 +1774854829 +1029440115 +103785699 +1196697215 +2118210894 +824793672 +1526141635 +1986730868 +845683118 +206479551 +1447169628 +1662102773 +1919922829 +1305615742 +1844420758 +277320465 +1654208727 +329037144 +722041639 +1499670529 +447044319 +1343504570 +67234562 +628172518 +143123699 +44276572 +1960772733 +1227447135 +777859512 +606650172 +1134232209 +405230693 +1636090288 +1238017908 +1601927909 +1606817534 +2062811580 +980585896 +1446064755 +761011051 +1187065447 +745750735 +275630176 +959504629 +2051366477 +2120050934 +1236825094 +1558091556 +301604430 +1958866733 +910278437 +748648749 +1154887656 +977512999 +1376821267 +1298011355 +1021789572 +1190110352 +377974842 +1799649084 +1796760525 +1512207051 +57396129 +1285367165 +602741312 +1659324038 +744701051 +518069244 +492426286 +43282158 +1279080295 +1679491734 +789032894 +1554710471 +491512715 +692915723 +1527277757 +1728337809 +103523632 +1828882187 +1539720895 +1013802069 +430047289 +547124903 +1991315069 +1806868556 +1845136258 +865620993 +849495261 +75627453 +517786429 +498772138 +1587834504 +575182558 +1784139303 +43092168 +87022949 +381356706 +561161413 +579449235 +424638865 +1840241708 +111457321 +1213671759 +1247468532 +602970036 +1906587482 +627262641 +183824198 +2010111114 +308661181 +1723545093 +876429536 +738708470 +123186348 +720260957 +398093378 +1968322606 +1585881950 +1247588639 +2043950059 +2103668379 +1746360777 +1484300916 +531367289 +1383016432 +1527393084 +618390238 +1764373139 +2088554497 +1197839474 +41528356 +1781312558 +1309296795 +1255200115 +881297442 +1912266832 +1014303949 +1508560083 +2096091030 +876931416 +1817221264 +1672152475 +1753360952 +408446086 +1795338823 +326138261 +806539465 +1616177781 +1912020211 +2054128104 +1512644193 +1868204942 +1653005234 +849461461 +252088583 +888538018 +229370897 +870478822 +505427509 +170441747 +2068318296 +546955865 +1951754305 +1230131443 +1802155980 +685568099 +994914627 +668976282 +46644534 +943522009 +1545907698 +1863865799 +468190836 +1151785002 +124828237 +116046011 +1477923263 +931367702 +1732223793 +1242459826 +838012159 +1097384338 +963181120 +343533745 +1946845799 +1215269703 +1232071763 +28733048 +2085748525 +1737499273 +199174795 +2006583173 +136971490 +3445452 +1089230969 +1939127471 +689013551 +2084145596 +460620105 +735658086 +880183958 +2006527803 +452040237 +1348374794 +1010829157 +576868474 +1464420806 +341268772 +1508236177 +1049160951 +1583728598 +198764688 +2146545289 +399426070 +542298433 +1945907440 +1614695773 +1774370196 +1974640488 +1552960651 +1364385821 +26331636 +1412060176 +1501357312 +29777088 +353807497 +1293001135 +718790640 +290469446 +1753621240 +1454448726 +1170653404 +1612665395 +1906488963 +371544550 +476010904 +335873789 +1835965356 +817279676 +1844109966 +737642659 +253524626 +2042874654 +736704300 +652950696 +437689439 +535128092 +120162821 +64575988 +362284933 +1673123472 +1428961809 +388616569 +937700001 +782835473 +418393657 +1291507498 +2075836608 +1137184297 +1581976944 +1681974200 +444149375 +605146700 +1147155947 +203154690 +976691251 +1623166851 +539028480 +665172959 +292962879 +235654798 +1402815619 +546487505 +131045805 +2139519919 +1199438201 +568735244 +527164364 +1319601023 +633311232 +889449297 +845240847 +2062273042 +1278065866 +1782940848 +697624867 +1696459523 +926964699 +625977828 +686160173 +361457995 +160468380 +1130309548 +966604696 +1307624328 +1333464239 +1943295947 +783307531 +1872492719 +460985258 +1076270411 +2108147517 +1863800877 +1622757916 +91709674 +1855837149 +674712470 +660444919 +235517865 +1994313493 +1293756151 +1124967162 +692070692 +1208545545 +255549380 +327527893 +1906170413 +1952008903 +1254492592 +384664593 +490685428 +1615950587 +545132973 +1620994977 +435071635 +1852757301 +806975568 +230883934 +488581185 +531984639 +691869193 +1564851596 +492648508 +408186422 +1040125864 +584358183 +116539923 +1714838334 +1244803102 +352057788 +1561668179 +391075605 +1477024950 +106255224 +1599621151 +1732574330 +433783117 +1358307916 +1537099586 +1688275709 +1742972509 +2027785014 +1156742648 +140621834 +1501296343 +1591814284 +1993379136 +160788263 +1822698218 +334476673 +692772902 +367083763 +1899328269 +1185421411 +775270186 +791970485 +1769779594 +891810109 +359325172 +867099048 +1243867898 +1920993351 +1258174653 +573409200 +2027248575 +710312156 +158499883 +313548044 +2068620072 +1695599469 +2001823753 +1664108933 +1575900835 +1011082754 +1804730768 +929713531 +455413390 +1650626256 +1090501794 +130627960 +1985102929 +1783274697 +497711724 +1736947550 +821212460 +1272981910 +381434387 +443508406 +17308371 +740759559 +1310607454 +1261176269 +514269263 +421298459 +1834585470 +394034190 +1131610616 +1993085353 +707582235 +1052747040 +1541201174 +561922340 +569372326 +969618361 +1573005094 +226619446 +1899331892 +2028418484 +1877245702 +842350039 +11562797 +1714864983 +478141088 +509274521 +1304328885 +1299353548 +1782256431 +1685763272 +1742861954 +1799564802 +279039184 +905985760 +913257424 +793308447 +1327284219 +600359246 +1187342637 +311411187 +445960951 +1894924872 +1364158228 +1987162125 +309363565 +1933530554 +809296838 +1882368659 +12666352 +561145083 +1763303496 +1889912054 +1403495122 +1774866293 +1457293389 +1881636210 +136657166 +614138626 +1033506110 +1918913597 +152418250 +628884416 +1570994751 +431457434 +1534870176 +336768527 +1224765881 +714670747 +937127773 +264624871 +1026081935 +1383088724 +12066095 +242756515 +1222767201 +321429660 +28803421 +2032064040 +56314672 +41469773 +445725475 +1819618168 +1931381827 +1849220597 +1447000813 +1241191568 +1583373159 +1583657979 +1855330194 +469395621 +1355087928 +2007748444 +1098280037 +778599031 +291722231 +485666565 +1115367559 +1516488112 +1200337312 +2052495332 +1781112983 +78935599 +1288100409 +1793179079 +321692114 +363383962 +2114608739 +350495535 +247964354 +23439763 +391965308 +693689829 +1843057931 +175863487 +395426778 +1142575096 +1417055055 +1978799937 +578749427 +1124901601 +300711910 +1933837355 +985166398 +1398991947 +564952739 +1276888629 +1884658512 +1680320298 +645893093 +937512177 +1585331982 +279522429 +1016447776 +725948743 +2072701508 +1338139891 +1089332706 +2039826599 +1688635426 +1337297060 +2063266363 +2080600735 +2030986890 +1758840646 +108980574 +278930020 +753932095 +1526035630 +110246310 +1332681522 +503453583 +410958220 +1119035230 +1488619981 +1809950168 +1683987969 +618024962 +1547125032 +1216824619 +1263918056 +337153561 +654672953 +1543440485 +1353601338 +1380621697 +1468658345 +544257581 +322470755 +1361001296 +85409359 +1659767815 +1276784011 +18526446 +1543271057 +888141010 +127507021 +1822201078 +1642073105 +1653542651 +1932447388 +827270979 +9512586 +195921960 +1946306209 +1498132568 +2005872128 +1482810530 +2116157530 +1405513513 +552151501 +1232591938 +1742667074 +1206824455 +628548775 +948784764 +439962504 +2097207120 +1493042345 +762433259 +1310724769 +1578451705 +274717426 +440025132 +1596978151 +1817988484 +1328166142 +1724485172 +1492705914 +822755599 +1230544175 +1277669654 +1650026579 +1240056762 +1473591614 +1448849140 +590705682 +1331980095 +784176023 +559379564 +590009960 +1336327524 +1791971503 +185193386 +395668331 +273036630 +1133978151 +835630835 +222760103 +479536848 +1598064094 +1533484872 +2057988553 +1872781521 +1973510004 +1507483057 +1543286357 +1154192499 +1084484581 +888508623 +1976948098 +167545109 +18694629 +1479491029 +1407601871 +1492286243 +780856522 +1998307553 +676782690 +1565032545 +410203469 +1266792650 +753876421 +54691324 +1451986037 +1149544753 +327727955 +438480540 +1985175588 +550488058 +918017388 +1435756035 +2083972930 +828522294 +1161053908 +1909999286 +188521703 +556856617 +916708137 +1273006284 +1445365240 +746172588 +1440551393 +1464059869 +78179969 +700669616 +808862464 +859036491 +551493521 +1485645155 +276585388 +961696991 +604954157 +1030461810 +1016388315 +2056940194 +32522915 +1344116270 +347937086 +2017698503 +1894604328 +1265954475 +1305970890 +1831093610 +2094476769 +319541150 +1593609249 +135514824 +876397767 +362833738 +1408521108 +174279359 +1109006326 +701588854 +1638339228 +1187186296 +1402258470 +299718045 +2046222787 +1953751992 +1785363200 +175324528 +767965335 +242833709 +1205786338 +1784353650 +152290256 +1238309253 +980986273 +500227342 +1108524108 +728106953 +1766181817 +267011351 +411716916 +1713174938 +586552501 +2005326165 +1848689762 +1462950269 +220676255 +1109727223 +1637229628 +1329682582 +1811316077 +1128085209 +369385230 +1066090899 +1427803254 +268124369 +872359243 +1065682806 +443448897 +1640324578 +1308516515 +1649235235 +1277194581 +1460806771 +740060840 +110697206 +1961034114 +1848584949 +838804159 +1579732283 +2115596300 +1250521075 +1145423574 +554665153 +1108363592 +846629688 +2017615422 +1329039848 +1956356911 +1507361403 +511238782 +1620189340 +487962964 +880624012 +538796592 +1915766218 +1148748381 +1411155835 +833965376 +1592197279 +903996766 +2142481891 +1093948866 +33707699 +1455805015 +1834009707 +144404905 +1269355481 +1535111008 +983209064 +701604116 +1503223660 +86246492 +1847027690 +2057888813 +1194610084 +546173731 +1928020588 +376166284 +355046994 +1287898343 +887405066 +1975236335 +1775861307 +1768029078 +366549279 +1544143877 +769293812 +1777705114 +230625605 +214007443 +534218232 +225623848 +1307956309 +567925931 +1681428863 +994482368 +712330836 +803300696 +382109728 +1695539901 +1504904813 +1885333388 +1781786393 +1204448855 +1795738554 +828912829 +1750622586 +1576275494 +1205079114 +2105669581 +716690189 +2092484180 +1933422268 +345067848 +1713029611 +152487899 +1889211725 +334839775 +1930193013 +2119837330 +548847218 +316927598 +197977530 +1856803527 +884853529 +1879406394 +703802248 +1597184366 +535223442 +1085911976 +1145240619 +2040128255 +823761717 +779543364 +1097093463 +472016623 +1608456193 +700232401 +2048292117 +666051659 +658418334 +617498658 +611052192 +444356954 +962566506 +176598155 +596844853 +704294583 +511437930 +379554219 +676648265 +1060285148 +696481817 +874625795 +769605027 +1581335346 +606548541 +1473407275 +1031036064 +1141771984 +411835604 +28793035 +1034416591 +1235597321 +808336399 +2131510054 +1707613944 +269308945 +684258808 +1608422413 +935360604 +1342677142 +78437423 +1546412796 +1787034097 +1041003929 +1723010951 +236395302 +1745298512 +86965233 +615949521 +274463129 +1147250381 +1312431338 +1149088924 +1916855409 +746283037 +1755637466 +1242779036 +1777319101 +749925802 +1654614640 +1806112137 +1784342393 +742728313 +466964888 +1768368800 +302858609 +736273833 +305143960 +1911281022 +1671634438 +1647821102 +1989718445 +1070563586 +1287371551 +883238726 +646090890 +1523766854 +481053590 +733056123 +2139716375 +755516719 +1880306505 +1304664066 +1904605644 +1649678266 +2050947103 +1512759462 +744973654 +1680782556 +115201616 +252104647 +1339411045 +1899544009 +994832960 +1806375934 +1520429161 +1297691570 +395166119 +1825573121 +1061488944 +2066800557 +1325910576 +903723742 +989880496 +465798479 +1786962468 +1635971386 +1989565333 +120532411 +221543861 +1981798061 +876049130 +2101850366 +1138978479 +633171126 +1604044984 +1042441934 +2145930588 +201534991 +575740842 +113648556 +453639638 +1915151888 +2013192566 +1448472598 +1574044174 +1386138079 +598680520 +1969210293 +1064227553 +1660169465 +1888527203 +242654481 +416409559 +730924051 +708452960 +55888379 +219411789 +550534646 +176420790 +440955650 +384849059 +1052469921 +395322369 +1523827538 +1685641047 +1999367353 +418785824 +1684087988 +53418696 +994526666 +1797736544 +507058334 +762194906 +1663445462 +1955530933 +188755432 +902099894 +406727805 +10482078 +1966327447 +2066897270 +1899009281 +61498280 +335823181 +482449684 +769951240 +391711561 +701861473 +1320485886 +568132351 +1142817123 +1705334945 +1620602272 +1538139492 +1081678835 +1158759672 +1390023198 +1500464659 +695364012 +1443441894 +347507678 +345616908 +1950500229 +1109702584 +2009062371 +1758547514 +1298458017 +763678617 +17791671 +1308940095 +582522416 +2084688942 +1060465728 +644020696 +273028475 +1542915412 +1413971936 +664740036 +97293237 +586974175 +1232872388 +1240110360 +144825472 +705991012 +630766205 +1226504308 +1864750684 +2020789403 +579485319 +412631048 +1316747649 +926992997 +758247957 +1119764230 +2036695582 +619826680 +730828096 +1187669951 +1383505297 +748619768 +349126398 +1966027713 +685825062 +1409592126 +462564761 +958853537 +805023890 +1876536697 +1623593574 +902317127 +316027224 +708982314 +2142427487 +460852697 +1414973326 +625710044 +1687357005 +1132240363 +499015799 +119358676 +1544871411 +1815763449 +1046351674 +155635720 +788044031 +935563608 +775462400 +1518872128 +2123233559 +11484049 +120008248 +324876309 +1977511762 +805833310 +1734468435 +292592875 +1764686847 +392008677 +21645925 +1240796773 +1294325804 +337673149 +1949779087 +1289269643 +798525846 +1217268766 +1914979688 +338399203 +202025481 +266511839 +457757880 +1746896892 +2082275288 +1504109554 +1902532613 +722835672 +292189514 +530511365 +94224152 +267939425 +541995415 +214232400 +592815734 +372023529 +1020065710 +179800521 +664616405 +637268909 +571809198 +686262330 +1878065683 +1866135002 +1023935479 +1680361122 +1007920997 +1822461326 +750146240 +775417037 +13376881 +952171721 +1041928877 +471134761 +551584966 +976720517 +1975244315 +306633931 +1699556189 +119950181 +837145296 +1793780341 +387889606 +1379140711 +2008012741 +980705340 +1751164241 +880594803 +1160505861 +268296998 +1517863713 +1732315059 +954559328 +1248445748 +1450966413 +1978494807 +781323222 +311403763 +1653472485 +1531469463 +1086820800 +1666849367 +336157536 +2128749677 +2137984128 +887742502 +957986547 +1965744796 +1194376433 +510059088 +2085694977 +2031521730 +156355782 +326100936 +1263178793 +16884875 +1306806276 +866859386 +897479679 +319828490 +1135156384 +267859744 +2052143549 +2089715712 +1516305492 +1355626315 +1920726872 +150145066 +1667030078 +1426715709 +1681614529 +606367230 +946081428 +2017772066 +587633260 +936581909 +758030920 +1545619807 +754843057 +1952407354 +2055678895 +693054386 +1836445436 +64551029 +1019155322 +952140581 +81435905 +178477951 +1818999968 +978915584 +498306441 +806672704 +1246775328 +402966342 +748904769 +615597172 +1758592657 +522147993 +765742238 +1278139087 +1948863702 +299873120 +1884506318 +747461483 +170161538 +324655930 +1684043392 +928192458 +1870275737 +291402801 +733116164 +1778470984 +984457187 +422077952 +1843022014 +2003612510 +1374218534 +1924457919 +34606813 +1045734854 +755889855 +532913254 +1852407558 +2002665183 +935879596 +453828679 +470778707 +546988606 +975976672 +1236520945 +1825127693 +777356727 +1536394065 +1562150363 +1524818210 +1706555603 +1886806293 +1061377954 +487264414 +1609598382 +1352780755 +1220380578 +1240585719 +189754294 +1642458531 +936124085 +45883156 +869193417 +713098356 +80489969 +1914928271 +1468988211 +613403223 +1619852181 +1324169746 +1549282820 +2073680861 +1794948453 +2096271426 +902173885 +883985750 +1773915471 +1679530612 +272896168 +1188582187 +1056865174 +1979451771 +927904832 +2118243128 +319232537 +390019567 +1323540235 +1539613116 +1630605286 +1513294530 +1034587999 +419245723 +1559177686 +1903781416 +1132344079 +1639667656 +1671226039 +453848642 +105587231 +1143594572 +1778018388 +1654870051 +1069791785 +1425483193 +1603657829 +1971965671 +161985295 +1230089653 +1504012635 +434881463 +271188192 +413394162 +266849587 +1199093024 +384153642 +586082124 +1589112591 +1707693878 +2125695240 +1072234229 +1073504760 +1012799591 +1491479952 +485198798 +769097359 +476340383 +2124866454 +292839750 +930189025 +82970038 +1436434323 +560723765 +1737840089 +358742460 +1986206958 +1194014271 +183224483 +708606 +276620276 +1687237119 +435590069 +547808468 +2100631281 +702439656 +1746901492 +337301275 +1288521781 +1188530436 +2044995153 +1266733373 +113281017 +971016265 +132049317 +1604760970 +1456215064 +901146676 +2081101353 +1433597870 +1193986427 +863806731 +1516567908 +482937102 +1424530496 +1106924350 +841679562 +1263253807 +153454973 +1024904046 +1263962413 +430075249 +564657517 +1699552482 +977883717 +517805150 +254508491 +577301561 +855106425 +1543030272 +1765831997 +752617931 +662279997 +1879113015 +1723634196 +794329314 +1336390337 +1032365612 +1695475991 +1270008042 +318479835 +741978770 +2133814773 +1835047743 +1224915872 +1410861622 +794488445 +2066595434 +526631781 +947943418 +944015832 +1790594194 +1378018667 +1508673349 +1342663028 +208418736 +2026478499 +1597171519 +785720298 +734101277 +992718143 +404068647 +1486719208 +1654998141 +135698014 +1062869756 +301843807 +1472088351 +2095235369 +1997319798 +594612746 +266231556 +591814920 +580943871 +2101279299 +1816730792 +1991805493 +748284097 +1735842579 +370953626 +1696227515 +532374763 +14064172 +926762535 +2041048113 +1356727201 +1135181271 +1920042964 +806415072 +1920901569 +506660593 +1799133216 +177486569 +1993379801 +1306647709 +313184583 +908765910 +1608491516 +1785272935 +856517631 +1458327667 +232402033 +1122749187 +2050142587 +813345904 +1076544838 +1719389732 +657667750 +1824828935 +1307748663 +1028621376 +1373572803 +1840123426 +1042685549 +152851690 +1733687891 +251929102 +1288032961 +1506247208 +1058344174 +1061450883 +2012907801 +709993742 +1238937452 +1858803955 +2016641451 +1552122035 +620086217 +1477649320 +1189911322 +1476603848 +788493339 +1422313355 +451869387 +691152278 +88175612 +1528414225 +263058362 +745843362 +1205759513 +1570807025 +1774464738 +431848668 +1263446804 +669666639 +584700358 +849651047 +921595741 +1872733319 +208414607 +1979939916 +786700554 +73838761 +542450010 +2025638006 +1932642716 +411607814 +1430276394 +405245285 +1889257134 +472704068 +1881849133 +530266825 +1895017424 +186234872 +1221419103 +1983193036 +1714649097 +1484477466 +581552750 +772924962 +907800843 +208533840 +1204773630 +23763999 +878200480 +1789473988 +873415047 +1799796221 +1514723660 +1081829654 +1632252489 +153940566 +1155668415 +27218852 +32094925 +940827483 +438826666 +1462371319 +1346072768 +180600152 +1935075387 +1080438253 +710866977 +1682609163 +1266673125 +1932286080 +1518318551 +833838575 +1269279898 +2099871301 +1606763537 +29597094 +160921494 +664053520 +53361093 +1039121974 +306043860 +926776140 +691434547 +1820767520 +2008605795 +176203389 +1974708087 +1016790562 +203422241 +2006803012 +1957618046 +642248907 +1321690683 +1156207166 +822849059 +1109282422 +89161772 +1533716036 +644407938 +1355834897 +1318518468 +15242841 +42189824 +440314719 +2115114143 +1648953362 +469911813 +128551989 +165523234 +523272906 +1167673963 +471567094 +1450049047 +1859108510 +144850967 +1311171194 +2035311899 +2119559054 +180478108 +91250492 +1978878418 +2138096154 +733499399 +1153085453 +1146819673 +1556348458 +114884227 +1235981445 +942580846 +759292165 +444332694 +113615667 +774535007 +486522519 +553930386 +742165502 +2135475881 +1023842199 +870717491 +153515467 +1547115105 +2038391454 +625082561 +849680504 +1750016316 +769933528 +13368050 +1637844568 +742008934 +193846159 +1729095060 +573403704 +184458665 +315110812 +1726489157 +1331278338 +1871459270 +1841373385 +419776135 +666556469 +453181902 +864108830 +780172136 +1227716909 +1350631349 +1334102522 +1969882411 +1338623582 +210461073 +693116254 +1492139049 +1757576178 +584024060 +2117221610 +459773035 +186556729 +739671491 +473141085 +1824401297 +1481680425 +666987244 +1406012709 +2055084130 +851445910 +1721123521 +1634089639 +35240600 +1445099144 +1327979376 +455016736 +2111655613 +1781161279 +1319125566 +744344101 +861394540 +522273267 +2078446623 +683793304 +1860896849 +141424048 +1376909558 +1205552250 +1899000226 +1960933619 +1175290212 +211289613 +6700 +1914961703 +684430699 +1824407997 +1249158481 +1351417943 +1082937058 +1156758963 +55380205 +656576932 +643364954 +90620806 +2101676076 +1971344331 +545637542 +2065848041 +1605021962 +1864763108 +662708494 +318932854 +239552727 +593671469 +1002726158 +2100449576 +735095517 +232152069 +1158518178 +486612095 +45602040 +186324742 +697901709 +45608740 +2101286446 +1382332408 +1870016737 +1202961279 +586266703 +805470147 +212236594 +641646909 +1462047079 +855601548 +732267715 +1416239507 +679462231 +1277905257 +1334603900 +137000545 +995184717 +1997312394 +455933400 +1234737444 +443500215 +1458659558 +1187703372 +1178595732 +1690811627 +198737902 +1665207828 +1736413667 +385062644 +215625889 +1782022407 +338865442 +1597958297 +1504555496 +1541826721 +36741352 +162541996 +1754063315 +678388261 +1624589075 +462181216 +1410655976 +893344935 +1141643447 +541077585 +80465187 +1278643993 +1536262302 +2077777582 +1734577393 +623516098 +373794149 +1045753303 +1811219470 +1552389882 +589081283 +2009957372 +1070114062 +178011302 +247536369 +1285739951 +1960033710 +586401811 +736214600 +1317105558 +2128228533 +772955952 +1479647554 +1734808200 +1451344214 +956752982 +49505768 +714516542 +1850097917 +1191149216 +1255594128 +1930563104 +322309561 +644372782 +1860857038 +2056886954 +1267888881 +87167540 +955156609 +931624703 +1639557422 +1544237892 +794098428 +562187836 +1722249195 +1041634797 +1847927787 +1534799257 +1628036608 +436658739 +704421167 +1608781493 +1209614691 +36585074 +1196106046 +513475257 +993338056 +1245611814 +1227991800 +695952325 +289277382 +336102280 +479031781 +611586943 +980475062 +192405172 +520990249 +100880295 +279572712 +1476146859 +1032504999 +1919130134 +872901103 +1826603427 +333834322 +447666650 +720754576 +34278461 +1982465907 +201307536 +470937200 +539403427 +1810089030 +1680551891 +575988501 +858711428 +46543501 +1569326557 +2104323242 +1274535301 +117795234 +246116977 +1610637581 +596827015 +857703920 +443628995 +789232187 +1378694170 +544509291 +1068804899 +707357381 +1577014290 +840451385 +1580258484 +1256134069 +1174285707 +2027925135 +1976888645 +1208564168 +1862907394 +30712533 +1679501368 +254827173 +1840801563 +1212569612 +830815674 +552029343 +1259113113 +252658583 +508868938 +386164766 +370453817 +754985915 +1996802347 +967280833 +1612689835 +292947694 +1756513020 +843900357 +837456985 +677834272 +1551257738 +266987627 +1518285657 +984032575 +1523121696 +545087717 +864474062 +1352526693 +1753651885 +579897808 +1383239227 +1285669606 +834724982 +1076557142 +350755570 +1665540656 +1628586486 +1609868683 +1918199240 +2137455424 +1996033449 +141169409 +744957691 +1845352148 +1108450242 +210163878 +2138299842 +717479615 +1054064236 +828273180 +1395313887 +457838326 +1095260807 +766115896 +1441870901 +470898856 +1311203613 +158861315 +1823425549 +917371851 +738759124 +1059181128 +55557809 +1573484106 +2135738271 +406313379 +1091541114 +1616841109 +2016182062 +862256706 +1606812885 +1864731863 +1003426116 +204286928 +1562600363 +2111876358 +414450806 +1553416557 +681872325 +1468515042 +234206089 +2077186212 +1926353369 +1329466897 +695818461 +1220740622 +1800365753 +2007022074 +1379601938 +1476307654 +776910277 +2118361062 +388005135 +832468086 +1544361520 +376259758 +1238781465 +488418986 +1993100867 +1107479879 +1350675693 +1452430104 +824728094 +206618161 +1656717032 +239844809 +171010871 +2071167838 +1793261367 +852883197 +1392199233 +2027467456 +782585761 +1171068954 +1209450705 +1478404222 +244325928 +862332810 +1337942649 +1623927866 +191156817 +2114852926 +1594805280 +579161952 +799837365 +991683152 +955421710 +2038618830 +1480102139 +801038929 +998615062 +683294184 +105985385 +1823343156 +889912345 +1762702417 +2063187966 +1060923216 +1686386607 +1708965685 +1913806413 +931102192 +1588949493 +548908527 +2102171146 +650916551 +2027312749 +199013427 +1513249361 +1217771750 +1822941293 +1704406178 +1185141029 +1270262926 +136084482 +1984978394 +114462430 +1091506192 +1876113576 +1594564569 +1892545121 +727244990 +130375105 +1998530506 +403104499 +1020287450 +1613749275 +318808817 +2081210667 +1152652235 +2027774502 +1847533432 +2083754427 +1469240347 +248958311 +2038441926 +2120156898 +128787413 +89971705 +1485922612 +1346559163 +1912912998 +1042845142 +384216544 +1035692276 +1178929625 +221711290 +1150154707 +122952169 +2097824867 +597235628 +2015497291 +677586209 +727610734 +1866544149 +1080690708 +1747898184 +1332809777 +1399499525 +1681625203 +337978364 +1279790379 +1381674988 +274249143 +601547079 +1630633299 +165207421 +574220329 +1759420712 +255179126 +2060142941 +958496228 +20608477 +955504436 +1342712772 +1056300753 +2134434061 +1564424063 +58971812 +109902582 +1514765282 +656207441 +2125399873 +44867843 +1383818175 +1844460375 +1125558552 +984232711 +1029786504 +377574429 +518374267 +1367764868 +1657364809 +1900049255 +1642014011 +111428240 +1383198906 +1807221433 +685648569 +995135971 +2062400559 +598307863 +1953632199 +2083009036 +1553812299 +1148861323 +991826142 +1540762712 +565801738 +1050797954 +1650665294 +2080567020 +1707005395 +1628581520 +2125434864 +943339922 +1325558247 +1103509768 +1927572634 +207861103 +1481084197 +298463253 +1575625971 +990965358 +51028860 +1070156334 +1102393598 +1434227766 +729894119 +1788042168 +281880089 +644811031 +238866383 +88028640 +580336419 +1792678682 +1236889964 +1572162561 +1185957746 +1802691702 +475476868 +689139392 +1735775075 +34998615 +170237264 +1713726291 +978338538 +1495795511 +669752411 +758427524 +1703656614 +3352960 +1056890777 +1131798937 +994318319 +1107919637 +54471624 +2096711917 +394663755 +784365743 +1737270437 +676543845 +1429176774 +1976136820 +764572485 +2009513194 +1621331854 +2001462449 +1434192107 +659805952 +1656670504 +1909668975 +1348945345 +1244961931 +1944667591 +1519182609 +811204574 +775522481 +867494473 +1480956985 +1533950005 +423667439 +1484309945 +443357134 +1555466377 +331144616 +1551276771 +1609938001 +280372886 +1945940526 +246820096 +2017643323 +475000723 +1675996871 +1846296496 +1239573209 +1538026417 +1320144702 +1093552010 +824734876 +1979950655 +602738866 +586920204 +1181412352 +1847700797 +384104147 +553111313 +511421723 +1159626628 +1420605786 +1992378708 +546092985 +1844273226 +1329205006 +989450119 +1252255955 +1660349622 +393243242 +714710308 +1940722508 +191700120 +961530404 +1810882184 +666700844 +490043627 +1509695032 +1906274053 +2028070044 +682356086 +852342415 +705321273 +514823093 +1455081282 +1292241477 +1696235445 +1155298431 +1676345624 +101863111 +1666720155 +688488604 +1522468897 +1511615215 +1234581589 +1219258475 +693336573 +76548060 +324030782 +206202548 +469791302 +1038741090 +2146925056 +661491422 +2000271495 +1810323592 +1328192266 +342831474 +1172534976 +1086982671 +223417871 +1854891063 +1939325087 +928739144 +222230508 +1246922721 +73496973 +1918465954 +254737504 +1749842597 +2020329065 +1921457659 +290847553 +1395314314 +1285589227 +1525429142 +467089142 +1978925800 +1601977202 +791119924 +37644700 +2071768504 +1829861015 +37086109 +585776278 +1682648862 +1847409701 +1913968545 +2025480336 +872461030 +853467568 +101414559 +579868445 +645309007 +1030153703 +802098953 +1892231728 +1103650676 +573081259 +2146969233 +706009625 +445926676 +1920943244 +996857178 +1841240991 +1059048823 +374802672 +160846485 +890490976 +1976779874 +951966409 +928135676 +1901064730 +634343776 +965221785 +339357361 +169508990 +665147839 +105842258 +47505679 +1537608869 +959309826 +148920238 +2117477314 +1604618834 +1179073942 +772092619 +1349366914 +135240970 +1345173879 +1348852499 +841250596 +1791100555 +1122312096 +1838107774 +1484857898 +33877271 +65426799 +1645704383 +924368247 +2042206673 +450187145 +1852503924 +1795787756 +1084530921 +670242061 +2135145117 +1254039912 +1335389900 +93503727 +1301545591 +725515121 +1052813553 +1450465829 +695508787 +509948739 +482056123 +1467601407 +1859315654 +617297094 +665291638 +1060684505 +1458547690 +308908545 +35512953 +1149171816 +1793766444 +69390225 +1214598615 +1291987179 +993758472 +1109321641 +1742174324 +698778748 +757625749 +679221598 +1369020810 +745287218 +1933261510 +556927062 +838790945 +1087323453 +1282442184 +1891604498 +390305634 +1977950971 +254069590 +872361758 +1298068730 +2113385244 +1489658852 +1963360368 +1026586101 +800722894 +124785266 +1062099055 +1949894710 +1918551710 +1131489280 +1017009678 +1063055241 +2125247752 +2126331319 +657745918 +676542853 +736473420 +1336967516 +2045563663 +1481760638 +1122745378 +455007077 +173067935 +62585183 +1737449261 +2064672433 +452890817 +1567916585 +171258375 +1325252575 +718501667 +137159971 +667427779 +534378388 +1163746073 +1468150673 +659163654 +78361480 +1270561736 +430231716 +1209850760 +140087766 +1493286957 +1187614864 +118935437 +3549227 +1864157717 +855408857 +1340516743 +1762237732 +189685847 +315778473 +69761162 +362753782 +378363656 +1807210423 +279942567 +831254474 +1227643360 +451200943 +9023401 +1946145028 +588360914 +676451181 +333039768 +1752106987 +2144601854 +992203422 +1830468467 +1267679942 +1422435138 +892835579 +1407767708 +768238447 +2080450444 +1526703145 +771787675 +1797124513 +234628354 +2112304418 +1411878598 +424314201 +280599244 +1481639760 +787067983 +658962900 +1141366535 +1067010551 +1490217374 +221526248 +1518211494 +1499240776 +20187628 +2106572408 +28208309 +353227396 +1711195748 +25326515 +1345430818 +1394180567 +1293006458 +620382308 +139532499 +553290518 +1388620755 +72499295 +2079993664 +12924782 +1869623808 +167138370 +2125229201 +1134018758 +591452572 +258344797 +468174870 +1378520555 +917307697 +1609541406 +298047458 +260041424 +1831067654 +1816258952 +1759282200 +1851255282 +1775347713 +1787490509 +56999030 +1339059813 +1812817024 +1402429848 +585756732 +958339834 +2022812156 +725289231 +1511630353 +1263949263 +797788526 +1444140369 +1276874046 +519928687 +1611278739 +1254619599 +1653947445 +55247663 +1512964396 +2122122316 +1433768219 +282788445 +1584180074 +1731815677 +542829869 +1267764080 +1400590982 +154628421 +971535714 +1028455047 +1942118930 +1028534744 +220031212 +1607452307 +283480944 +805787944 +418308493 +158809452 +1531077176 +1929938846 +1422758715 +181382054 +1226595567 +552149113 +701310741 +690390659 +1806768712 +207774539 +745638322 +1172249460 +182413207 +31922893 +1455037906 +1766593281 +1763738571 +1997867775 +886873713 +1016845905 +5012549 +1858409427 +2045300952 +1947131479 +739460523 +117848516 +1407100138 +1022941467 +923636460 +1825408632 +1181750919 +307229988 +1607863830 +457025986 +488612043 +686975750 +1009175100 +1189922784 +1377366409 +668460164 +1397697323 +2123004731 +1840709625 +1580110530 +7443977 +1148263883 +1199220163 +1771182548 +998648010 +2086093876 +640544805 +1003660559 +1797019655 +538362109 +803308391 +388996530 +656210625 +62924881 +1411937997 +1579847085 +1888333513 +446205268 +1887077074 +1348713696 +903231255 +228205469 +2035689446 +1912406355 +1418128253 +1265572207 +433382871 +668341929 +1241093290 +126608848 +100968811 +1248537267 +1274872731 +1300188975 +872236167 +126037094 +1238799203 +1512780972 +1129697653 +888335211 +2051143081 +1933006044 +1277331741 +559870058 +1995930926 +541786091 +2139717144 +1736780791 +987991359 +1879310570 +938010839 +1891222614 +2107516039 +826216637 +1656145321 +1378160644 +2091788844 +2089528193 +2046502573 +1185398487 +68653393 +2147471385 +286452106 +1343526125 +1300176712 +1158688274 +1469563219 +391492267 +523985598 +451777224 +1279827478 +427645032 +237299621 +409675572 +987515090 +85746899 +951461663 +979748586 +1822527690 +1939453022 +711575508 +613054882 +1683191989 +671607899 +1439271519 +1191853662 +2049768544 +1383576716 +1133898207 +1948787469 +421491555 +1202551601 +1948775206 +707943661 +398594078 +1101468270 +1866631935 +1868157297 +1492960538 +243133886 +172450873 +625304368 +670778918 +409750494 +1034979940 +1658294008 +495497393 +1986441603 +490558947 +170541436 +1778410978 +1202134455 +783596318 +1314119319 +1873742355 +75384189 +358489333 +1776027251 +1458960905 +1492387541 +1577331072 +1880452460 +547455494 +1378622631 +440912474 +946049572 +332607253 +160060761 +666723221 +1825567791 +403194647 +839174094 +303388512 +1073973565 +1248924589 +1338368452 +584783926 +1744421982 +1177326408 +1075342873 +1914963418 +808253738 +129993680 +551076088 +2122373057 +2003736035 +626460278 +333378742 +1632279638 +2085421183 +1825766283 +1062127063 +1818389996 +225738129 +293266046 +111818822 +1171787701 +625873299 +271879583 +1838510922 +303957443 +675074231 +530201369 +607345955 +1749047796 +1779125958 +1945714407 +186348074 +1376064292 +975557167 +1261690947 +1143544063 +1783810905 +1391684628 +1694620151 +1758700314 +1247937015 +173596781 +2092079057 +732733006 +111534317 +1770361692 +1794860069 +1929924313 +1996099822 +2088126115 +2041743135 +1020403875 +566515766 +166139070 +711431150 +870473209 +841213301 +1241632519 +1477819164 +442777450 +873274829 +1276049924 +629125524 +101855473 +104123443 +1890816472 +1245399536 +1887934349 +1135017452 +792536040 +1499151015 +235470819 +966132821 +1443746424 +968203825 +1077667138 +1066624469 +615580246 +860107803 +915240643 +556222713 +754367290 +1935644518 +1122738480 +920506361 +499592020 +1993211689 +1761719662 +1741224539 +1323547206 +57013464 +467015720 +452113482 +686138989 +568871194 +556236925 +429471813 +1814270730 +296687626 +1564489265 +459323122 +1795838642 +1799960084 +1425455944 +1092101418 +620680262 +355639434 +11242239 +1236260508 +1215747238 +926482882 +1792483222 +1970114528 +714643753 +767738054 +743137241 +1214235773 +613466095 +357373256 +807976665 +1937013301 +414386720 +1274992385 +241643135 +1100525709 +1843863579 +797880061 +1529997522 +1510650662 +1094567687 +947003139 +1969973784 +742922681 +599479576 +1247946080 +1835024100 +1220159838 +1603585515 +1846266339 +308936698 +671849105 +625265574 +2101419920 +494479985 +1339909327 +721674326 +1237617227 +406661452 +1335140422 +1594990483 +1214638117 +1124670075 +2009377203 +342146855 +1366313211 +962419265 +38526786 +16709624 +344933139 +1549177448 +1111277311 +1291936279 +1371667585 +1854199993 +1891415855 +472130017 +1541740445 +964092045 +2075715532 +1240523136 +1273028743 +600080989 +1865788710 +1226965016 +1094560975 +1058214389 +1948639342 +184694554 +1464875842 +1136296116 +1779685037 +532030311 +113482544 +1641578592 +874177166 +1479795755 +456514209 +912703953 +1496505379 +801447349 +314397753 +460299042 +2093383628 +1686065338 +167015387 +1837315835 +10711708 +1708755832 +653924232 +2086427240 +801795321 +1926952975 +539024582 +520100383 +1006434343 +1633585557 +1578314773 +807590038 +1818280111 +895706967 +1943886154 +1450481500 +1427737278 +2057368698 +944576444 +154430797 +1389680805 +1401090654 +1067134750 +738702536 +55054355 +1381532503 +1199001579 +954335 +920114194 +1366016966 +1838270170 +930825902 +927289151 +344710754 +869769494 +1729084472 +124180081 +1408794076 +101701207 +1130614425 +894895985 +1680015980 +1938204463 +565692448 +428239299 +1734606969 +2016173948 +1855976578 +1644492020 +813266745 +2010407375 +886689177 +66873751 +930058477 +1625391714 +121928106 +164107332 +676909645 +122882441 +1084221526 +2042926611 +1961152611 +2015047428 +822732114 +158379717 +737333275 +404332938 +282559798 +2146127351 +506034146 +1413174223 +893539689 +38566478 +1203895038 +1459232137 +466805778 +791018360 +1327922438 +175298708 +288026732 +2141189183 +38222435 +1174715909 +60579286 +968280912 +652623975 +182507392 +1132388244 +1329533620 +305389833 +69126123 +1224976584 +119058796 +2084173551 +2047708698 +277438513 +674023178 +304557989 +559998311 +672666882 +810592135 +1973172535 +1566206571 +849158613 +1029583925 +877955060 +1315964391 +1820602285 +58393850 +1491263099 +2108629017 +52099385 +1529485534 +1135861279 +112678671 +350282798 +1788485254 +295186063 +1482671043 +970535227 +600575896 +1551797166 +48028163 +719634692 +1488487069 +2095736861 +997073205 +15026600 +252811202 +1557071517 +687693482 +1063403337 +1382760404 +106416405 +1912561951 +264860681 +984371465 +1081042694 +2085462967 +1042765316 +424822146 +2046608336 +1094864701 +1954307680 +1034985967 +1207543373 +157106831 +675987574 +1502729436 +1639777874 +1646522801 +2103305333 +1044091392 +1694550964 +675456377 +385094813 +1642804177 +1672529583 +400121413 +1895615380 +1082117452 +1087814895 +811535069 +317394208 +1194231300 +576613372 +582254889 +31119118 +1657656067 +520234208 +1073884434 +2082478213 +419358897 +21265487 +1889302245 +1454344864 +1228808860 +2046409076 +2130332438 +584054649 +1538703302 +1629371591 +539876334 +435311046 +1176438907 +1215332711 +820405860 +671759437 +740378646 +1220527273 +419891169 +1822496098 +160858521 +1231426238 +2139890306 +1355089821 +1808039611 +574661548 +1386208939 +1318212030 +1094895756 +312609725 +1253206595 +1514254653 +333875213 +995025192 +821115870 +1562684073 +893950621 +803964660 +2146738722 +285170275 +285852604 +539131408 +720481322 +1462291511 +1754464120 +1540887182 +2134050948 +347359118 +613930807 +406458469 +22371569 +774789328 +1637884708 +14778227 +2129879150 +1298440671 +589439775 +1368604441 +469169053 +1684335532 +1681214167 +1722375648 +1051106537 +2015089380 +569917192 +1872222407 +1430289805 +1463867813 +528703420 +1429544880 +1749038089 +814556024 +1968676288 +322035763 +129363887 +1575656760 +1862922945 +115931188 +1923015879 +329370104 +522389657 +1945387448 +1104159433 +12790717 +1960165675 +1086554935 +1311231388 +402121803 +307675728 +1780400441 +2086457335 +1988889895 +1355292441 +990080224 +1856495627 +1925209634 +714818984 +1139301785 +1241593799 +1243522404 +421363017 +843148240 +2058078428 +242555657 +1165184003 +39958667 +1818212418 +880623300 +155889855 +1593744649 +1209993405 +678279513 +1391648449 +166669190 +691070230 +1204330476 +1253224125 +2002301619 +1606452279 +1560899853 +1635218412 +1545425966 +1402306101 +843027206 +388022543 +1111318080 +620753192 +1102841527 +103136217 +1862346991 +198880283 +524499234 +558011584 +109475063 +767054892 +1723195587 +149433730 +437783662 +456335240 +305323586 +2031528311 +1666328645 +983603099 +1275693112 +1832997835 +1674673329 +332539940 +938738312 +1529491300 +1938992220 +352154517 +1017226065 +1336934538 +1754460618 +1860253271 +1724957081 +718295051 +333522815 +680314960 +821431268 +48386158 +879195243 +1345930503 +606397742 +988670306 +2112985395 +182109682 +1138104037 +403285409 +638444922 +1443427623 +287330072 +157289919 +279547074 +1563023184 +1990287754 +1954220403 +1895563124 +781542418 +1336228056 +1687071696 +1133696935 +205970473 +876522587 +740673906 +2066223744 +453996020 +1458968957 +252262911 +1134310981 +132916577 +300649069 +2013506224 +1478847080 +907046812 +854692883 +1444348827 +1089156494 +1992796920 +1847634236 +1727601416 +1288740895 +2134964308 +1884891335 +1568287969 +1550503844 +1727695441 +1375024724 +1298583321 +361754211 +563769132 +838171369 +1495451146 +769739605 +1714693956 +88641404 +688479701 +21206329 +1547610361 +940742612 +1155517310 +1680526939 +1241391682 +1021539886 +1011890371 +954846 +1876232769 +308755551 +1090111340 +1721546041 +8906139 +670229108 +862803288 +2143870448 +407636795 +283607609 +1546890644 +2135332236 +1658632334 +697990317 +349602799 +74917818 +1536161687 +1845053945 +844657424 +1103371995 +1933695350 +1533137125 +1124578324 +1333822063 +326396090 +132611986 +866865354 +1567787772 +1154151873 +1878755726 +1568742618 +882900994 +40027629 +511370310 +456963388 +48933768 +1181599418 +1319766676 +45320568 +1589236213 +1603374286 +1592211213 +1577084801 +1114522972 +142717882 +1926687600 +1189440790 +1678879569 +1624257897 +2034098214 +634767917 +1410469599 +1419751692 +1759346241 +596808015 +1746147782 +1891958228 +1463673369 +1166451906 +898626453 +1194945447 +587710876 +1781527447 +1234973076 +1099081186 +91007187 +1283906845 +133196956 +1410773864 +1329227413 +1722433169 +866664502 +773954978 +1152034322 +1981187474 +916672861 +931238274 +1023144616 +448068782 +408012523 +909759183 +1082836699 +1818482123 +182027227 +694699293 +267806490 +1928175009 +439173873 +1731479859 +947143267 +1337800326 +778941659 +1534854143 +971844125 +2013914735 +486451681 +1062851313 +1150337932 +619648637 +326141529 +332081698 +194598158 +1192806031 +1106036676 +1346632480 +1026509857 +2022709537 +130387106 +2049654473 +323294672 +538399629 +811930008 +1406131371 +209398104 +993957235 +2100830664 +477204594 +774648596 +392520889 +61200806 +1721791863 +1730321215 +840142465 +1109162358 +554681693 +706573552 +1595614039 +1617533006 +1856911485 +67779028 +1943674535 +41509535 +262377186 +988996918 +1147546211 +1609009666 +2015506775 +1022772101 +1739396772 +1917677600 +1346066773 +130312754 +582123961 +604714496 +339710858 +1576081196 +558061513 +816915453 +203246145 +950582402 +878116259 +1925038008 +533419970 +1718258724 +886716719 +1088101663 +277348628 +334847110 +558151021 +2134260113 +402626139 +354341908 +28286000 +665003325 +1343338826 +1175832212 +126529344 +1211361953 +51120665 +1865926116 +981555905 +1397187438 +1996238870 +1563679866 +2001901934 +188466081 +992277415 +412479799 +1005381534 +1195523560 +1363062202 +1883497793 +973077920 +1896482172 +1454272869 +1859794639 +837100187 +1731621497 +47158102 +1395251208 +1718397963 +449784241 +1749593116 +1746683963 +1114787566 +945448294 +775032527 +1241316910 +9326599 +826153192 +959759379 +990882504 +75856982 +808514601 +407078723 +2077758917 +996980682 +1399356138 +342755068 +2002362216 +447396050 +1705817270 +1738376361 +1420473970 +1454815794 +1045165582 +1132784962 +144432333 +629303432 +1179943064 +1539683541 +200217747 +1629727305 +1141793009 +1946901710 +597031223 +2087241303 +574450590 +1838348134 +2096567902 +1400603782 +650623865 +939966759 +1476460765 +1459138466 +1347045482 +1406736034 +308635501 +598917972 +1749491102 +163514069 +1046314022 +1307824725 +1901890431 +319304344 +615156871 +799572365 +1452089306 +759589205 +1428875797 +484548722 +151789098 +1629093544 +2114276027 +1293582108 +1428511607 +563823603 +1233339763 +2002962197 +254688089 +1182424018 +1256082331 +905311954 +2122390777 +585059448 +216966772 +1321952611 +1991795482 +525602273 +1920870583 +1593802937 +689116343 +819700957 +754144014 +443523126 +1139005301 +1369300885 +1243095491 +443610960 +2128890090 +524487641 +928159682 +133195541 +6097537 +894952062 +1426777649 +1434609144 +1458775665 +512633764 +1290087693 +1713463754 +1695057782 +398686377 +471292060 +1669964911 +983745825 +688258832 +844433874 +828057660 +1213861106 +617820809 +274376949 +1902977449 +1437521766 +1028520963 +199016927 +429043420 +250338200 +1442112418 +872654380 +231744643 +1966600059 +1800814062 +364940184 +1972697597 +548282476 +1791717833 +1259823093 +2007058141 +156867949 +402427139 +1573038247 +1851925732 +801113516 +2044330307 +1374406995 +1784859341 +585105492 +71357222 +465433353 +1798966598 +689178031 +739810302 +1554460399 +2126699798 +1768331265 +1753477326 +408259570 +2018669466 +1048106096 +1280913950 +102930461 +867222508 +934244364 +467870645 +692436457 +1482526841 +112104830 +1952259550 +1342101334 +268972779 +207203041 +767655934 +2120898511 +1008316557 +664502593 +1347821859 +645692251 +1249608085 +1419179081 +1111125604 +901091035 +2108357112 +1850935907 +308067786 +2087573262 +1471783524 +2061545112 +348349184 +1342969342 +962167561 +1629263134 +1445899803 +1829390069 +416023851 +1913770448 +374342878 +1898550692 +2025875278 +179118780 +1093168378 +147364410 +386321822 +1860824312 +120779273 +1394638379 +377843258 +1468601132 +2040330630 +1627451343 +740296565 +1003972587 +381058731 +701170030 +707424846 +689126517 +641259644 +31724722 +603187982 +989608829 +1374694065 +1565355543 +471388315 +673110220 +1247261964 +887412166 +439397021 +1621604842 +638479210 +317788651 +1800723622 +1731647589 +465153061 +39561796 +1444988253 +585932335 +1434200176 +1822831511 +2054533467 +1327047158 +1302799207 +647346385 +183536097 +1683857938 +1348516415 +890960943 +225500807 +1989776059 +922685666 +828688789 +831901240 +149896083 +246560684 +1303289556 +823006303 +1493822648 +43218074 +1262403324 +967943842 +681697285 +1580191976 +621183817 +265861226 +2045345037 +660745613 +1710849479 +483793724 +2094945789 +1386197343 +390843544 +1274509300 +541512902 +1038189929 +1458045397 +77887192 +239222696 +201522693 +303387999 +81515107 +1124208359 +1132076789 +913416348 +1274104442 +1378637473 +69222256 +2097110745 +724976474 +112440330 +1212030422 +1692920316 +794137615 +644738750 +166620485 +1059998841 +542600139 +827366099 +623364673 +1026393864 +774828240 +2009562016 +1417237408 +2049337540 +403591270 +307943689 +1359899290 +481478462 +547166385 +1561421983 +784866461 +628681492 +538146694 +1916943250 +1542097840 +1812251136 +1148097076 +1611320096 +1761878233 +1873073550 +1723760427 +826425007 +1418510218 +370414394 +1471163757 +1585130704 +1430413236 +2013763897 +265013155 +2053777909 +892674113 +1039841395 +1915856277 +162427873 +941695288 +171963899 +470371562 +154110930 +653442361 +1017537947 +1715532913 +1438308822 +1646219439 +106195959 +1207768425 +1040833632 +1918447095 +208381853 +504670080 +1532841680 +2081455403 +80946859 +211783040 +1352481973 +451361254 +1682946797 +790129029 +1881774490 +1549227046 +1055142184 +1788068751 +294417511 +2094983580 +1556441380 +456845384 +889195220 +1728405279 +927216946 +1043306150 +234363992 +1944754893 +611355415 +1672672814 +1443490685 +717551374 +732957591 +336840669 +488514821 +941339444 +841510749 +2021356501 +875311199 +922457609 +85655893 +80309525 +1373818863 +1768602691 +870438554 +1108109705 +1170346089 +1925580739 +748694808 +1464763601 +1873080671 +157652540 +1921608985 +614792243 +1886057819 +701342284 +1658098393 +2120421811 +498613529 +121970160 +1645610977 +1942104214 +839521534 +231084921 +131461235 +1328036355 +1172424365 +972971985 +1201909208 +2047735565 +1895429594 +1287565102 +2128045090 +1121764809 +908684145 +850999996 +82390866 +2079030234 +629097087 +831085674 +1396310187 +354694110 +988738214 +1170435525 +969486353 +727312385 +1871777809 +480101098 +700250548 +222907690 +602071258 +198377877 +17528257 +1441592792 +429462798 +148989492 +622145499 +1601887164 +1121961477 +1824054708 +1502139081 +869907423 +964136162 +1482700523 +1991672232 +1872820307 +186216871 +2074063098 +1804366893 +815313959 +757665124 +1053193433 +1170008069 +1746403338 +76145310 +2139494423 +326232075 +1947923119 +472111873 +1026482623 +23347161 +1074183132 +1224860501 +40875418 +368292276 +1654323299 +189864911 +990437776 +1108726815 +1311826388 +667008836 +463382248 +34250164 +1631144998 +1946082771 +2025922396 +1356481657 +2132299643 +1952501847 +1013364902 +800129954 +562683323 +2066558335 +1970138023 +161603014 +2142703645 +1962148798 +487835089 +1943143116 +286777024 +1514317713 +1966490278 +1360960156 +591694566 +2007365696 +1729252432 +98534217 +49746959 +572206560 +1207261033 +1361573348 +1239215396 +1670643281 +1395823512 +722876746 +1469242405 +1274262260 +2079358403 +1454058400 +1079280459 +945239658 +106704706 +1641963783 +864314345 +2076842729 +1803566797 +859534343 +1891507880 +143918238 +655193811 +30801256 +1658235951 +474200441 +1391761412 +102446869 +334082490 +973530196 +200981087 +383829449 +1545736757 +1408242120 +1745402797 +637468505 +931401753 +993742661 +1360345252 +253160510 +120521274 +1292220007 +1707218910 +1199801733 +89976017 +1813923616 +694281868 +954290363 +1743282698 +350365017 +1813824706 +1487306930 +494283256 +321534869 +1518108186 +5035559 +795735311 +762385950 +107482429 +1129817801 +1735916146 +308463516 +1513647250 +1134169255 +1716705636 +1111566400 +1771637761 +500623741 +2105309061 +984499365 +753784252 +78346687 +129235724 +313519514 +1278148421 +219211742 +2127443131 +1972430289 +1173502105 +1723242181 +175311659 +839843163 +1063065463 +669594915 +1161378032 +433690001 +674630474 +1957113343 +1196075951 +782112903 +939447496 +784508449 +1090576419 +305611099 +1918677705 +659798407 +1417177499 +1542831818 +1160422149 +1375002912 +379847535 +1914206401 +1453349600 +509083259 +80242267 +584014373 +728295001 +60201750 +408961014 +1901797106 +1783443931 +584272673 +594156621 +699025746 +1253867588 +1755534654 +1132715747 +1928498063 +1565164349 +181308050 +563127318 +357128198 +965816500 +1653703738 +662739297 +737010557 +166018497 +2079916796 +132358727 +1326440646 +1307436060 +512206262 +1093163399 +613302012 +1021289521 +1173405667 +1197316385 +1749584523 +1233607417 +1606277400 +1503897981 +869567701 +43066425 +2098054603 +1568593447 +1296934014 +1706105609 +553825547 +1077948429 +1123786310 +735133597 +1641075747 +1480914508 +1700950097 +1147295837 +2143653805 +290477006 +1313314335 +2076086953 +422835733 +492271333 +1236039366 +935041995 +1585434733 +1849341378 +1956331517 +611356752 +899174116 +1558432392 +1844964169 +357967868 +914846725 +567048222 +401034293 +865417680 +2135641670 +1697968307 +424039641 +541983569 +628433088 +1547825952 +1277117166 +122025188 +881256812 +830583616 +1269321025 +877426970 +1121060622 +435151712 +806030275 +1543896356 +927423046 +2042069641 +331454703 +365374131 +1743927372 +140302572 +976730883 +495617840 +1698734964 +674211404 +853585708 +466098042 +1241259627 +1254620001 +1331515722 +1229417649 +805104661 +1755555364 +1771401218 +1433537749 +1155897668 +901034736 +1555562937 +2037154480 +1731618352 +677400315 +767097802 +705195327 +1112552027 +1573128078 +101608035 +2039975073 +1467714071 +433062738 +257865556 +1064157795 +573365311 +1234596439 +1559775635 +124616627 +1908807844 +265877695 +590714669 +1002583823 +1520497697 +1922230392 +84517824 +178118710 +1530302108 +1855919042 +1611656459 +538716128 +609470130 +1019735749 +428386960 +193604835 +1697136064 +1195484763 +898800162 +662204443 +621129193 +1000408197 +554695869 +2088843264 +1433470935 +812561425 +1005517412 +2006836246 +2047157865 +417809399 +2131452874 +1808482061 +683687095 +574683895 +663582236 +56701144 +349430639 +748100060 +234819854 +1879732747 +456535454 +1846476313 +270965227 +1066005584 +718728414 +699352188 +1259610419 +268380830 +1894836951 +10926933 +930585274 +368482496 +1011335130 +1485281143 +309842112 +297322418 +150358920 +1315359524 +156675016 +50033137 +1733168924 +140644242 +1858515198 +269372371 +715328138 +374613786 +326073515 +1064758777 +1122713846 +560893369 +797007877 +1579249300 +259886034 +1067973104 +497771237 +978614449 +1767325292 +1757381656 +1246995279 +1514678595 +1768308590 +30096905 +1883161091 +632160072 +1515378048 +45519556 +929482490 +1665736969 +1360879080 +1086157507 +1715770106 +946564356 +1226801749 +1426801657 +1215936727 +1942129887 +1801415443 +1542010242 +859405017 +776645642 +2102903611 +1656412894 +208411294 +215305998 +576902350 +706182531 +1193920447 +196743995 +316080540 +293432078 +1711422590 +2084389130 +323528984 +1447100034 +569065554 +1838907032 +1492619590 +1498548045 +1357160353 +706015022 +437221904 +925446812 +1652579379 +1664023653 +204764821 +721032458 +1458669893 +2006180264 +115559053 +170591262 +635342258 +70979016 +1827004156 +843753553 +286285014 +256422858 +1549936084 +1480205461 +453166853 +1866016624 +1773637540 +17105796 +1802922106 +2097166524 +1464205830 +224504013 +1788589908 +809341772 +1723052058 +998266614 +1515356794 +12790314 +1923713426 +1020452525 +1676813967 +2128478247 +1741484984 +988000212 +1987174863 +1857044037 +1158591474 +475033474 +1928023053 +838111982 +1318787027 +66824420 +1094534841 +721239463 +1547029881 +1547701694 +439772440 +1173183773 +1564807490 +95210898 +1122866649 +881529672 +319714911 +763972910 +1690871444 +2042766969 +1762239524 +1058744591 +2055557283 +1538469302 +2079197116 +1584887603 +1519463901 +1673198452 +425404167 +1359155116 +1382758841 +1583995642 +1834188590 +1163298247 +274623976 +1005491969 +1230122667 +1369158817 +1726731433 +629668900 +769376864 +19020225 +1802852674 +186700706 +114231123 +778235675 +1068230379 +433946035 +1542208585 +611618175 +329229356 +1156964461 +1670362766 +237302992 +547950115 +1602076235 +1822190595 +2067414016 +1127791039 +100111114 +1279085485 +363066233 +1684106756 +965790427 +1526364480 +1958730733 +1971282397 +609003499 +1180405902 +1550530182 +1238672399 +1949782766 +1569550407 +894041425 +2136483473 +1683781530 +1672277101 +1057230204 +2117727565 +1067002038 +1668848379 +299473274 +76482852 +1191727498 +536776266 +624432967 +646320085 +211483213 +544363336 +1774111124 +311594327 +1823448821 +2137177357 +1995701084 +641755600 +1516058189 +1806948169 +465554349 +2125061688 +839870423 +2016084531 +1216250440 +642169542 +1438151290 +2110291865 +631169367 +974449173 +1635085318 +1688399571 +944693090 +554603709 +1209764302 +1244166364 +631086561 +254008152 +1780942630 +1255519528 +900328237 +1992425843 +1799882864 +526955714 +156536523 +1475848037 +516649423 +4753959 +2117603638 +2032707613 +1811702128 +435674339 +2010285653 +504088903 +304275223 +1079052445 +1146258445 +1742426513 +1041860663 +1777427812 +569392038 +529462333 +1318343735 +1514085129 +1084066042 +380624390 +610767845 +1715152603 +634632542 +244226828 +823188484 +1534960780 +89169023 +475587700 +2061916494 +245705546 +1951435738 +431082269 +250459505 +1921555728 +316306234 +2062161633 +209746419 +179108240 +418766889 +514021642 +1258160685 +1565025334 +108964508 +152537700 +1194969499 +678356546 +682000034 +365829586 +44958027 +1766066076 +746453976 +655725873 +1333735032 +1381086519 +899952701 +9439868 +768563651 +989121724 +485027568 +682996497 +1234827271 +288979658 +1114078766 +1485286776 +63051738 +1430385001 +1399964762 +272798158 +1609493241 +1818731651 +786819800 +720170278 +1236273337 +895784308 +872707979 +283759188 +1574140855 +1554708013 +649588775 +1619098882 +1173290441 +1396042751 +127341107 +359541825 +629645622 +1027293808 +368981693 +1398209273 +2016415533 +854009262 +2081205770 +1103759156 +1142988920 +1047800889 +441562284 +1206040659 +330702242 +1841527046 +1478838817 +1940195483 +1512775049 +118174969 +512882113 +601564739 +1013959278 +1385590092 +885323927 +440616485 +792814457 +1534912702 +2059715367 +1966104899 +783471806 +39572827 +178163076 +1413117428 +1066866635 +547144770 +663843054 +935798520 +1401154032 +597565176 +2039557676 +396659304 +1645366065 +333636313 +1602699963 +1976068307 +27679711 +934055132 +1768780142 +1540454761 +1052230102 +134178608 +2142019500 +2066189380 +1519768700 +879859779 +359322217 +165099510 +267288834 +271553936 +2131204409 +1050760640 +311126763 +161883837 +316394420 +1377993399 +709028607 +980237474 +166308271 +2110182639 +1577802651 +58382300 +359358296 +1075685068 +392018613 +1962058259 +904269728 +419698324 +748629744 +525566222 +1960153085 +1800859846 +659744830 +1954688937 +1719565578 +32029883 +687065069 +2078887795 +197129393 +954353903 +202958083 +180850154 +2005114543 +514084847 +342733991 +174025315 +1892078246 +1051762599 +1154262790 +2058386517 +1014461590 +584581793 +2116768817 +1373819886 +1660266861 +361303782 +1188394498 +417052941 +781002107 +1937024242 +942619164 +593671544 +1590400440 +1602363994 +400876834 +1162482370 +1634393877 +1087941903 +1093886517 +1831523270 +2042295806 +1296844600 +2012373424 +1899926701 +1810929447 +207623768 +2073952016 +1555524045 +1259386367 +1080731158 +1466426915 +126364309 +1665312951 +1435712084 +1500184196 +1178096165 +1797015867 +541095046 +1595149106 +430534326 +330635640 +390284622 +1024205870 +1921036080 +1992648617 +1425082704 +936034802 +1479558846 +365540959 +2029921319 +1163598469 +260353117 +1179282271 +1028488245 +12796170 +842728071 +1236112013 +2086748187 +250768468 +348014732 +1019995697 +1717195383 +474379042 +537825001 +1005423820 +1974563238 +1715921166 +654956039 +368174636 +1163586624 +1085490365 +698810276 +1553871247 +2109696235 +472362708 +1399036216 +1387295292 +1408397510 +731111414 +1752836251 +1290835181 +1894709883 +2013189369 +322633804 +775714481 +2025985539 +1165361875 +2011826494 +1965250078 +1416130344 +212357579 +837762128 +985842079 +686736621 +1375587129 +1991265899 +513816211 +944024647 +498738290 +881990847 +2107611271 +1584228655 +1580801123 +1513998870 +1546441243 +2053163831 +765551438 +786252887 +1314077693 +1496662853 +391605490 +457429226 +1243889088 +257311211 +780063030 +2019603569 +135813103 +1945424906 +1883946416 +2101063181 +1214071602 +2096303995 +791341661 +52430033 +635556968 +19445142 +2043695933 +1149373179 +963469789 +394950575 +2031364026 +923597413 +1979179231 +1464681501 +290112635 +1378136826 +1370361684 +1055664074 +16906065 +536955729 +404843279 +408511555 +994384955 +1648732367 +665822767 +1774447985 +1520852289 +801635870 +1572389243 +1257315057 +755215403 +638977197 +1206135404 +1546557065 +691407231 +1841692372 +1566002207 +587619516 +843581903 +381988349 +982570091 +727462281 +1305585762 +814265674 +44660134 +1595698397 +44918852 +1415021818 +503878823 +61824917 +1951977547 +908722102 +470336473 +798878854 +409970822 +1136159240 +425843191 +1930823111 +1937795110 +1998232435 +1040654520 +545526865 +489725984 +99306276 +2092083930 +1181133215 +1940998648 +1510602490 +1768752731 +637096903 +1892590839 +603839175 +1364559184 +1050692953 +1418104849 +1409219318 +498907702 +1463023702 +676757488 +1002786526 +1524848619 +481251387 +1911508628 +1995185092 +1280130241 +173995802 +983860684 +1705973432 +2104818913 +774172146 +1556722219 +997989785 +1319699012 +2046448204 +1097296061 +1264299294 +1080097771 +890811061 +627418136 +701366855 +1527907964 +372525327 +1305206030 +744983500 +1423218280 +575827231 +6719170 +1922125983 +2038850933 +683476658 +777428861 +1416215905 +1164728045 +541453841 +1263917349 +297374638 +715449644 +100294386 +2003348071 +672784909 +874466532 +1412586642 +1670774695 +46681896 +1311551198 +620587108 +1310981191 +244165322 +1511398170 +1938399327 +945532177 +891822486 +163441007 +103254559 +1636805987 +1586659287 +679081790 +1643525157 +1361301622 +570449076 +179518168 +2138730483 +1986664981 +1344246213 +532700677 +1103098682 +1641620852 +1248150321 +1203393068 +1497485275 +1920935230 +2077859601 +762588269 +1444226277 +2124541497 +2074139468 +2064813386 +1288039040 +170821142 +1428727908 +1078954720 +1116353319 +173066746 +1242395727 +1219607878 +1809872733 +681571366 +1898689668 +1305914243 +2042872989 +321655096 +1485432411 +2034119824 +160836429 +682194976 +419336853 +1263935112 +176332180 +1667487174 +319844532 +1673817455 +1440938757 +250220485 +288922077 +737681386 +227278335 +215577897 +655011124 +1515317375 +386399039 +2083739032 +446788447 +1502752358 +109322131 +1689184174 +574876588 +1919194864 +223271893 +326082608 +1077625459 +118661234 +647737705 +415574222 +5297410 +808574134 +1097769199 +424634264 +2072509246 +1274101379 +2092121438 +244870131 +800435187 +1385576547 +495090616 +1089357264 +2123257934 +722368951 +1304935161 +630785410 +90202679 +1691334200 +567040795 +536991126 +1046602910 +676362926 +78691653 +1621479498 +448074142 +301963546 +1947562106 +1525699602 +420624780 +447816163 +1941273824 +425922190 +1256390298 +891559375 +850556454 +1181415896 +18177107 +795194245 +1426286027 +818612294 +33287144 +1921376644 +1907969558 +9061430 +496261947 +1065421071 +639846841 +586464626 +609271623 +1206887636 +1123455753 +1655874533 +1883250562 +1202147406 +1129870383 +183841056 +1504110952 +929948841 +1709540658 +1924735732 +1377765005 +1503330835 +203174274 +486671655 +247406562 +1053730729 +1668087551 +265583669 +1848924974 +946889931 +1084195963 +1882212118 +720782927 +844681873 +1891273549 +1217044874 +1910102944 +383636742 +1803509501 +371890919 +1590524378 +779481606 +2027765452 +1326291292 +1981629012 +1010152187 +1510132348 +1338256316 +1940101029 +1072189359 +1115508400 +1170382386 +428036546 +1318682674 +1657054041 +675443108 +224929755 +1177657944 +941026778 +2073854729 +2124547875 +2025222741 +1808583200 +697847154 +722420967 +1552373101 +1914892029 +485040263 +1936009843 +1570917882 +856931183 +1379050573 +202915840 +737212987 +557858217 +37061204 +1747365175 +2067990565 +1375317520 +1539982556 +992696276 +343342272 +562881294 +1420732822 +1662024946 +72451687 +2096175931 +1886954702 +1250109631 +889719061 +1813325783 +1227173859 +767458154 +1474425335 +1925021013 +1489879121 +879314788 +1692429394 +1974919385 +667840983 +1115863628 +684366920 +2046891556 +1318779468 +1421579907 +457266125 +1355840672 +1021461434 +377773043 +583674544 +413960342 +1370469319 +927016816 +976841636 +643718494 +441558115 +1049293323 +592410777 +181029169 +151919307 +1482129838 +1994354952 +1379093166 +102104344 +1321296640 +1156630531 +1591983466 +53127780 +701576278 +1419419203 +720968764 +1817439906 +2103786123 +620376672 +988735727 +1377882382 +1077642798 +197092751 +251860169 +1455415841 +780767296 +665820511 +678401512 +1707784112 +1642662148 +1322120006 +1858579 +544471823 +1914530783 +182887748 +696391130 +1249176973 +29759053 +2075484296 +1351281318 +1351055693 +1084631180 +795781136 +1404183473 +1786207458 +67716691 +2125152237 +1456163716 +24019166 +598045262 +297415795 +1401901548 +1675688060 +494508547 +1653761717 +983620253 +1275275843 +172098581 +1662021765 +835576307 +1814760729 +836658124 +837434887 +211748904 +603705259 +1020322635 +908140035 +1852882233 +1050081688 +836140683 +1056679903 +253653733 +1920771863 +1852461039 +1657837207 +1559495673 +1920177730 +1635505796 +868175742 +1944196896 +86067410 +1165591537 +1198614796 +1761755470 +1660100084 +704892866 +597892075 +787892279 +876991447 +112430193 +1623468587 +544268528 +949088317 +313419826 +756017432 +1552793576 +1333742461 +1664157467 +1258192161 +236340502 +352814503 +167388416 +489994235 +126102718 +2019849455 +347794 +1685598392 +1792543537 +1635853591 +406290486 +1589256785 +1721921001 +1571882023 +640387934 +1336192824 +1084498460 +1345280800 +1934084899 +1872390739 +74788599 +2046515092 +1348375678 +619057127 +848119761 +1661795504 +1375074559 +253429690 +848054318 +891748379 +1511621851 +1084394820 +1244562882 +1679010268 +1574389055 +1370665600 +1551376075 +1574736850 +908780344 +1196435965 +1063106793 +1315070830 +638209102 +637544146 +739469206 +1278597036 +1973736970 +1823967666 +476394188 +1760338222 +1548874757 +551182787 +1659369666 +749766788 +1170239914 +360005780 +264078644 +397830826 +613435470 +1112132962 +1289579205 +2125057321 +49044134 +386658439 +1656583941 +1623433190 +1757324039 +1060476369 +1050686392 +518620736 +109428686 +2113793185 +1833691566 +747637788 +603853683 +425677124 +2026234825 +430107006 +102161142 +355145365 +42961580 +1651035900 +906328153 +1702331246 +253319040 +2076568067 +2062337026 +517397684 +326915245 +528288848 +1629530647 +1616494450 +505862522 +1678574781 +2003152889 +14962815 +1154524323 +1612993281 +1075439184 +57727067 +2131614017 +1184867870 +24036604 +1817821935 +1932505659 +627890288 +96015412 +1811256836 +1057997294 +198176554 +18918553 +1100958874 +1849212454 +925246706 +655806472 +2102531494 +854331126 +570659851 +472445531 +1181246371 +1098948699 +2101976178 +650257174 +1604811221 +1633067311 +505926415 +1619774037 +640107987 +2118919696 +547729573 +697835054 +2103050065 +1732597444 +721871659 +1773388353 +1517619455 +1349761947 +1869403765 +1181392643 +260275593 +2067580319 +1200311196 +1361234467 +1769309126 +2125557903 +2017040939 +1724356972 +832405381 +440217142 +49318855 +2013651752 +1539165842 +3811385 +516425278 +996493415 +1636878697 +1022351694 +468783804 +129503036 +993787742 +1016513378 +827338090 +949354160 +601627174 +1549209749 +575258865 +2119246629 +751488048 +297178982 +1153155624 +1011763641 +217275653 +205983172 +225514460 +1986584779 +184057427 +95071752 +1563458104 +1016462808 +535288894 +1612776959 +882630913 +2074454736 +1616588345 +1399056191 +923464504 +1105983394 +273924237 +1392248308 +1235486430 +1267711980 +261278038 +2062824520 +69582492 +862905212 +1464550622 +644841357 +834668193 +68555022 +942020339 +1987823817 +1080318664 +1159295992 +46323342 +1305833124 +998397124 +230380769 +1400904876 +414371580 +1246843578 +1936193771 +2027148539 +2129474491 +1863164859 +1496253236 +1381047034 +639145715 +454752982 +1654971272 +2031394024 +1690239412 +775199604 +145188414 +1605580285 +844782096 +1008093627 +922647259 +1489623453 +1842761820 +991202281 +284160144 +1683101990 +2071520945 +1443456136 +1729425332 +1229870422 +294369612 +1959806101 +483291650 +708741192 +1059166031 +272001773 +588406084 +1041156874 +2135166633 +2084659320 +274720261 +626828700 +391928655 +1929691533 +510739076 +2082168067 +557407489 +655927491 +1540264704 +1402189585 +1664021118 +315428315 +744329390 +1359299290 +1306630597 +1028489534 +894917632 +1230667894 +324462022 +476859316 +313054668 +618831635 +289181770 +796346319 +1327572827 +1348347801 +1068348092 +1915978911 +242021028 +1056031077 +1853154584 +516741289 +1682859778 +97599591 +298949174 +46115206 +32284010 +856356663 +702042697 +1572548715 +111062600 +218580167 +1887977030 +855391990 +1577879458 +1047123979 +1883881524 +325313442 +130308226 +60859898 +802172759 +443362894 +679691533 +1091354529 +1239709213 +2007264361 +292218682 +160573658 +1775759624 +534239710 +1216604735 +1481430560 +1050980999 +751980865 +1579030151 +1349930173 +798096072 +1611314162 +58803188 +1500138769 +1036379229 +169865788 +1718718937 +776872611 +1025257778 +1149114747 +1823996591 +761655654 +1474428189 +1954304817 +822515553 +129117300 +250184063 +1502207086 +1220471829 +1489893277 +1361987799 +1512690512 +1650466935 +990263776 +2046930222 +719588022 +324210688 +950427574 +1471568888 +1903240840 +152874099 +122181312 +1367071354 +211677288 +1622320081 +255966935 +381543076 +1193555370 +1032839546 +1406800855 +195186469 +709352489 +20972861 +1669614659 +516173658 +843488414 +1798731959 +766357722 +198211853 +871720141 +108767351 +1560199652 +236927005 +1759234286 +402979780 +136373579 +331338660 +727190469 +1086801153 +1802907548 +482947661 +1239675253 +1925088860 +1850019015 +1451352541 +1399925294 +2105985950 +1832895617 +445997016 +991341848 +1092212824 +641183486 +1700694338 +1113185686 +163314497 +69384348 +1956674100 +1962046456 +835742070 +7402305 +686282949 +944509421 +1567601958 +923209954 +556260059 +1970581738 +1059583534 +887598720 +550288559 +2146384687 +543022620 +1033236220 +1238576292 +320627833 +735771587 +542445185 +1720553127 +694273889 +227857155 +19066495 +1685615738 +1320069979 +660249981 +1238826428 +285772017 +823564478 +1308210776 +94962470 +638127287 +2143952847 +102364775 +1324410236 +940978620 +1669966733 +100136543 +1497238680 +1493064824 +1159720077 +237353752 +2043353383 +1158621116 +780376372 +929105956 +249713761 +1101004205 +1664877543 +792158946 +674073684 +211667785 +1020016101 +693140180 +1897283523 +192602433 +1353390161 +988626303 +478374450 +29470992 +149353431 +573336920 +667598279 +145822630 +675701696 +1992008515 +1086801251 +198184781 +2092145058 +436556283 +1691249605 +1104381487 +673910035 +1587119341 +115518956 +1454286407 +368741649 +365232717 +407806965 +2033619192 +1157391663 +1081880649 +97803329 +29924117 +1775020829 +1995086852 +222526550 +980927343 +836229507 +700901000 +1010398335 +985582939 +1274237921 +1677996614 +1131405569 +1949939617 +1522521481 +70723172 +640750 +1467182892 +507279455 +1691890356 +424080731 +1181189490 +1131526049 +539599687 +487992250 +1500267698 +904832404 +895799215 +1386403242 +2062224068 +1977679864 +1484206572 +2092148185 +1605217046 +1331809776 +167191087 +438660741 +20555636 +868092087 +1449059076 +1006138575 +2142330008 +979572042 +2137544144 +1944785977 +354609875 +60783669 +1945426728 +1821792767 +568063124 +1489833436 +98389851 +1749252615 +473875837 +637989538 +89761217 +1974143535 +1542821943 +985560432 +1213063129 +1457562363 +815756648 +549786053 +1402226900 +273490046 +1881595830 +1569417987 +712150787 +1902151466 +290026426 +13726215 +760806393 +284872787 +993298257 +750866889 +82175116 +1347908133 +811650558 +2027601844 +1022217252 +1379713683 +1369951632 +1120607103 +981482650 +1843827469 +1758596642 +1071243867 +1670487356 +1153934937 +2056804299 +736066838 +464013652 +725077299 +1285852891 +1866240552 +998567346 +1019965073 +1288174891 +1710718133 +774632891 +1578201317 +1724444349 +1535439284 +1863074104 +570258958 +138822526 +1945249221 +1918167091 +950473084 +1825367417 +792900696 +182703119 +1047835402 +1913507799 +1164185769 +744179223 +1524620793 +87945988 +267182932 +531072082 +2144750287 +1003249770 +995085734 +722343939 +141619013 +713842638 +1720911285 +1161584087 +2002017529 +1284145770 +1936216978 +1432735199 +861106471 +1324172615 +1148325655 +1431365430 +1462995141 +946091228 +1202048873 +265984577 +623974998 +1994949569 +448687697 +1671810400 +1760973721 +1612873466 +268505975 +1138110866 +1700819455 +535688907 +1669182949 +1698086094 +1538938677 +516785035 +272946385 +1680557691 +1230627674 +1993857670 +694658130 +1085161555 +1130519793 +483391460 +370413106 +1991626264 +1807564075 +1518738762 +1275508046 +1123075568 +317346342 +330073272 +1389060146 +941321340 +177539193 +1837747843 +465648092 +1938512914 +1303137661 +734154068 +929140133 +856473468 +1269842975 +450839434 +407075915 +661298005 +967624469 +680022300 +194372048 +50768495 +526396323 +889030178 +1135930051 +1656916116 +1372421638 +1506343157 +1501058732 +1032502066 +877598271 +629083131 +8093986 +1194944614 +959156403 +1397154132 +2136265954 +1136695596 +1087418327 +454430399 +927724863 +243072341 +1188584467 +1856864996 +1099545809 +310943794 +160220782 +1506621724 +972241799 +1127845251 +39160377 +1166613847 +1178613747 +565556700 +2055644025 +167060150 +74989168 +1280582016 +1673403307 +1576047900 +165600434 +403517931 +57647383 +173694420 +1598462545 +1016803786 +1570848553 +1587244851 +6015735 +510783232 +2041675250 +933740598 +753855573 +1082776069 +643121946 +1853401383 +1393719864 +803342728 +1212539459 +218478015 +1931187979 +1251699836 +1385091863 +962318078 +1817256536 +1293252240 +1129378228 +1892245704 +426350608 +655297888 +1320809957 +591951042 +1058815819 +1378457340 +765645463 +509794716 +247777479 +189010368 +2097039567 +253793214 +699793600 +1991231170 +1187533812 +1453649174 +926523591 +1830655758 +1159566909 +172759807 +486514838 +224622720 +391237823 +270219169 +1476322557 +1776329686 +1232537248 +1146095445 +922098278 +214431828 +890857502 +1348448887 +869729716 +64183811 +1940399929 +1928545535 +1442641151 +558561744 +290856603 +1690418630 +747572112 +240412523 +1944211844 +1447365713 +84160045 +984262008 +753531239 +1010683636 +667434118 +1913098148 +1183443444 +1153948956 +2137720868 +1574681267 +1424168126 +1466559777 +1203527305 +509221726 +465171575 +2125625583 +723653554 +1356029077 +1326590822 +1593383271 +1420212888 +1119507104 +1374445158 +715370391 +1678068848 +1665301762 +258305374 +278157313 +1905714285 +55033570 +1725523026 +1989874330 +1039295579 +331570617 +853074318 +1706729697 +97185117 +2036517762 +713195006 +87422337 +1463715381 +2137363132 +1553982115 +519759038 +499101210 +2019153690 +497900974 +1222754764 +1227699119 +1824491796 +668654387 +500428359 +796515252 +2043099546 +1215798750 +327100453 +1560917660 +1474104124 +605257766 +1319148297 +1529137695 +183297144 +1161538979 +420949626 +514867761 +2014613297 +2127679323 +612052878 +1903647412 +693390681 +699475215 +1219879145 +683270165 +105973682 +1739638184 +1182371375 +2125127372 +90055510 +257642492 +1205342843 +1914547306 +926296879 +1705771202 +563578911 +821912777 +774086305 +890679364 +235346789 +100706781 +1495937130 +1554495086 +1629844476 +1679234274 +568550417 +2050794102 +46618387 +435680067 +2030989778 +658671265 +191843831 +576896811 +1358146480 +1411722976 +1260166977 +1464120163 +1003877512 +295054704 +1441763887 +1093933022 +552697196 +499623083 +860996681 +1478994076 +57910637 +1424575592 +153423205 +831996942 +167771308 +388769995 +932703724 +1663708438 +1943265081 +415064552 +1195459064 +364331851 +318375007 +1242077451 +800011918 +201881137 +1900748716 +991855749 +778777948 +1111411548 +256095077 +2038944925 +428048063 +1259972590 +186515982 +1869811951 +206421964 +739213178 +221951386 +1067418645 +70723606 +279862023 +344510589 +224146812 +1111858966 +512281897 +612916807 +2044562690 +28506687 +408698240 +312143594 +1223965751 +773030091 +630518601 +318559554 +1573042009 +832399738 +71824622 +417414110 +1611177687 +1183236171 +673509188 +1502638964 +1611284234 +1933481778 +1689154946 +1333612537 +2139903742 +280884477 +1555563923 +1059838740 +351608083 +1835425947 +1404349329 +575754895 +799801265 +1916631227 +1188671702 +696880307 +1945137914 +1597369943 +1009023901 +1021620018 +222916386 +1639542503 +1340179572 +1795958396 +324458593 +1412004195 +65888858 +1935636280 +447756718 +739398046 +1290791597 +2059040952 +525396176 +832462895 +1245169842 +517816271 +1113347372 +653250117 +1577655011 +1464955456 +341192416 +834520692 +2040710351 +1140993681 +603668271 +1081898406 +1837873988 +401322538 +531784701 +699414242 +1422942556 +754701087 +191473097 +615638480 +403175835 +515931690 +2027642675 +469064694 +304084323 +327915745 +1208462740 +1594875920 +239473050 +1733858917 +279855167 +1484642892 +104191540 +1393202540 +2137893009 +1681846551 +710674348 +331601778 +368883595 +603901051 +1472595459 +972551867 +1685799457 +1162985800 +1373874405 +70100510 +1862400042 +649333313 +824801598 +2053873139 +1264971793 +1227977433 +422321181 +1145130821 +1697042127 +726405504 +1473046566 +758021220 +173797776 +1712519616 +344396489 +453652944 +1049678860 +448588029 +1846855484 +1040088222 +2130434580 +410046184 +1371690000 +351834527 +1013947235 +696801811 +1324386394 +552263045 +1859787611 +550777151 +622363555 +1574704005 +1200110464 +1447165153 +1481093496 +317598610 +527658939 +1903414678 +1462729431 +77217418 +482336534 +788292349 +835238638 +656134311 +353328318 +1179635127 +1109787255 +1403007178 +1628223156 +809159091 +295611752 +1611174088 +1219205275 +1667301752 +1963008616 +85668862 +216619916 +1139911362 +637931907 +2076407527 +1690688514 +1260295463 +1503627885 +743315330 +559976968 +837237733 +1060913940 +1087635907 +593168763 +376159723 +1164853326 +1075505298 +1164452073 +2000091964 +1731639609 +1517780391 +1032243444 +693943216 +773303921 +512982952 +1503102307 +1068915674 +2124157041 +574823934 +588733778 +1939682009 +660492796 +805353694 +932109723 +1298424704 +734277574 +475314589 +411236519 +90421811 +1218629920 +971213487 +927659544 +132060212 +2058849395 +1520828308 +508219936 +1076219073 +448849958 +1672672009 +928827389 +33005919 +1042968752 +1961070833 +726949135 +1816272673 +326570138 +82567794 +737704699 +303243531 +657391728 +1326438478 +95441892 +1317884524 +2131792172 +1027551615 +468825580 +718586098 +1502866205 +880062099 +809007909 +574012477 +1851275587 +1736667454 +706072689 +1762641334 +1110012114 +1214292625 +691376759 +1558862072 +739480986 +1620204148 +1591867991 +1782449738 +1433791334 +171333478 +1451238764 +1760361472 +253901272 +41459815 +2063605003 +911293000 +1367898293 +11563247 +81693876 +1352206818 +1039114862 +550519457 +2070792916 +394497419 +1430581556 +732317178 +968509896 +1134373495 +321500984 +1674582586 +749531181 +1431513098 +741391563 +1440907940 +842891522 +1480872550 +913628441 +287275865 +1115838640 +199936127 +458609343 +419593756 +1960297599 +712510615 +461053572 +1876418954 +1623803615 +1828951865 +1887982201 +1705497491 +1033675035 +779613415 +108533300 +956984304 +1174110835 +1539114857 +1689301482 +2142620731 +526004704 +2010802466 +1669719669 +1275535886 +1294831916 +263627585 +568960178 +2137723438 +1744500135 +1482588619 +277515655 +712855127 +1682524746 +736124998 +1132448884 +1495338697 +1448635613 +1593502456 +1224274003 +924955580 +1274970673 +964772556 +482969423 +161162061 +1744385972 +591502724 +1118146365 +771013159 +2130617581 +659964199 +766150242 +509138637 +523283017 +288386264 +1784674523 +1818114933 +552013849 +206151054 +1808354723 +149030336 +1688739673 +2085870378 +861885463 +1223780772 +674511728 +1994334347 +571635821 +2123147341 +1440353155 +1795909825 +900619273 +567840181 +613198733 +1383588696 +729002242 +210101057 +1975091420 +1847148607 +981114216 +1958225353 +359629158 +1747264459 +319880343 +882912175 +2035650723 +2104554866 +553543460 +440180924 +163222272 +214414535 +589211260 +1851961946 +152801265 +1451096723 +928259070 +827312993 +1297947423 +1499894891 +802976686 +590816930 +1148321068 +1703595959 +1158657111 +1761519802 +939701007 +1887659353 +1971620859 +767308780 +1587324312 +805251428 +578050485 +1946953470 +405032239 +897930828 +682381997 +293199314 +855002047 +1235925457 +733380238 +1018224319 +1450339992 +1322591498 +722702617 +1603141257 +626204573 +1650961687 +282970602 +1924151996 +1003372931 +1085947288 +367485279 +4210351 +642059599 +1526142390 +1765730153 +1581760607 +1266318096 +1589867365 +201585739 +706158760 +247635145 +779636224 +505628583 +652667384 +1677567053 +1188010580 +945866698 +385085452 +276452390 +1679246936 +1403309771 +1726792382 +854354786 +2126012389 +1182449992 +1480559359 +1629490428 +1465420594 +1257227708 +485379711 +403884235 +1624712987 +489590063 +1045943834 +1003371729 +107836568 +480220793 +122206177 +1697703933 +681806532 +828364938 +1945339078 +1461442757 +1333993521 +450522814 +991526162 +374520453 +1396389512 +1376611614 +650972843 +928152800 +632437737 +230281578 +1782507586 +610966478 +1412731570 +1115583298 +92973259 +730668516 +225327358 +578352970 +1134552751 +1850040345 +1067943033 +33012938 +705928426 +1175779602 +513233731 +828134604 +725999887 +1195040264 +1656499542 +523855318 +508999373 +843009415 +974378132 +1500525535 +1217529868 +223283997 +729653501 +1868502712 +1151436797 +1362091238 +2098784290 +786460736 +1973057717 +1364032212 +1902044034 +2066030976 +2094700728 +2127371392 +496900298 +1081769832 +1829928089 +1564843332 +1114782770 +388372867 +593139286 +1628016501 +1216507471 +1319139173 +675573117 +725523365 +1842994491 +1184572490 +1568532780 +669888976 +537614377 +638579001 +893172973 +1267267878 +359598065 +2044609770 +481875469 +310898707 +683586858 +307449538 +1674930919 +438147244 +225996866 +1622147999 +418034988 +722897164 +556434183 +100479429 +140256848 +1671216953 +488852297 +733396134 +1151749807 +1705359768 +2052535308 +1827322924 +283399486 +1748046151 +864411767 +1851932266 +270451479 +1402026144 +343027619 +1163624452 +521810375 +702625684 +1060750575 +1003685844 +1013524391 +1744337433 +1311135382 +540971662 +35001030 +1537132248 +15636014 +453036018 +112545764 +572070197 +553515448 +252802613 +95803503 +1042367745 +986198747 +1247553310 +600243865 +891250407 +927392586 +883643351 +491812911 +1791804353 +588091970 +762264390 +1046346850 +931119589 +1925888843 +1568157225 +1633745274 +839155770 +424359421 +499786017 +436009555 +1735494803 +1040757680 +471010585 +1125143403 +1056393694 +924046604 +1237689167 +1628463891 +1477562052 +1490491780 +1724267394 +372446149 +329206880 +824337056 +972690014 +1220457287 +1751729643 +1856333366 +1712270198 +1396050348 +296941688 +327050941 +294913550 +1228061277 +105456136 +1863070775 +714322903 +944611906 +139946548 +1214108921 +1380621461 +1875441351 +107382953 +1851632047 +853101106 +1163776647 +628195003 +2090790274 +644756890 +2105757055 +1433798406 +221540637 +330719556 +1763005286 +1045877693 +1303409570 +835978926 +650123688 +1012259288 +400765476 +2046174037 +1309200976 +727816417 +193603939 +389778606 +833272553 +2056674715 +1104101509 +1777884459 +49137615 +170726782 +1011022273 +1924578967 +278109735 +715170672 +630196425 +1441886382 +1343365675 +573503051 +2086643273 +1301639082 +2007301458 +160700262 +1632358638 +1622823096 +1206577955 +788284560 +311318374 +1856701644 +1800543849 +712083851 +1755392033 +962261177 +1439900268 +1948995972 +1352039783 +125689174 +1858187039 +308657645 +1903573633 +1907324655 +479384427 +767112258 +1684419974 +757494163 +1482282930 +167132751 +51896897 +678164957 +740635803 +2138540170 +1979804039 +600453613 +151756784 +1464679029 +75793061 +1358334740 +105479942 +387111436 +1067552736 +1906023791 +1099195287 +675461121 +720801320 +391611907 +476973445 +2072841104 +517301081 +187676837 +234015101 +273391067 +2095001492 +713399528 +1040503325 +1631937818 +1470893691 +375302608 +1799070569 +1522790589 +1053467565 +392222724 +1513847111 +885787957 +992676337 +1665603896 +202983338 +1068469399 +876454988 +308463280 +1455580835 +1944007724 +67003423 +407292474 +471985197 +787804744 +798904381 +948958642 +713162200 +1316205463 +1136635479 +947177301 +1589596530 +1084153323 +1660576829 +482616207 +568607493 +983986873 +857918815 +220194415 +359293814 +1911386381 +612417139 +1873140925 +649690690 +1605093477 +1391261173 +852674028 +526079228 +120232513 +1161137309 +1981660063 +2064240237 +1228140732 +241468889 +388741786 +2015945476 +1040373270 +1337700429 +581624028 +209095085 +326852260 +1528801329 +1798691615 +1411005584 +1041894511 +133824175 +1979613077 +2025881384 +991742990 +52323844 +237691550 +755645723 +664740984 +2110832475 +1405336413 +122350813 +1354610001 +110526794 +648430041 +1474842514 +1271664103 +482606456 +1391599104 +352321187 +724075345 +1780340890 +220783016 +1764448615 +970557671 +802407044 +1973543701 +1297409932 +183724726 +1624751668 +560931868 +1225619237 +1758575843 +393061297 +1104016973 +602835186 +445385142 +1341708523 +1358480909 +1110126126 +1305057350 +616333675 +1232476939 +512183703 +726860469 +1880906980 +1987026218 +1998524572 +216029788 +1231141674 +203362111 +940105133 +863998916 +424145127 +557070100 +1834556588 +1226552172 +383130153 +984482872 +1410276898 +2007881822 +1545414740 +488412487 +1618974017 +1938476037 +1592429460 +74325555 +236377531 +786654335 +1432806465 +1346503657 +2091711685 +2049140140 +431496948 +456411741 +628516961 +164920280 +295954311 +479557885 +380950068 +1527095985 +682919996 +1321055201 +243611253 +1107065124 +1878125302 +2078167841 +186133648 +113771807 +915167065 +1596410546 +2121653629 +313098157 +2084823033 +1593143999 +104090547 +1529768845 +1667469554 +340468078 +168939532 +952792371 +1686971736 +113167569 +854448863 +2118468684 +569579310 +1482965824 +135905317 +865533621 +1962523709 +516855385 +245145958 +497960058 +1837910587 +488757212 +1605025182 +1568552241 +419441405 +1791158830 +1682324048 +1334608471 +1240085728 +1656494030 +1647706628 +1177425113 +1102154381 +1751797175 +559710310 +622140287 +2092265254 +728649842 +1574932659 +1631753342 +841817411 +281897874 +1602738378 +1411396722 +1764863699 +1738643695 +129446695 +1579903760 +108015433 +374592654 +2077863818 +1945926020 +863349866 +1535405352 +1366994613 +1282791271 +1179080534 +901835013 +469916094 +271682614 +410845395 +2117622723 +1449107727 +1512999776 +1721936250 +2008818037 +2135140064 +1666717856 +589984231 +1562589075 +1150987550 +1431801643 +1844486949 +606242281 +695714717 +1461867000 +197402328 +825161412 +894287113 +305417761 +1199754066 +824667283 +103860133 +2063103932 +212588988 +1470854746 +1198411556 +1391669522 +225206112 +1668327650 +1663352137 +636051507 +1638466725 +964976216 +1567636 +1212919328 +826310606 +2136707700 +732153536 +1416294837 +1551813127 +1883141087 +700612832 +1248816428 +341899720 +1396327549 +563199781 +539302048 +74005314 +1457486894 +844719810 +1273759380 +134670529 +948579943 +1189379665 +347259517 +271951042 +240307573 +1738929040 +497157154 +1908635223 +1254797529 +1133208661 +1399618301 +72290097 +1134776297 +465053981 +898600703 +1124000349 +1197207517 +167411893 +528329828 +932864956 +868024725 +1777146257 +1274764676 +116868627 +192862390 +1814066725 +190873941 +1650349284 +511302887 +1464633321 +1785019813 +1459882830 +506529338 +2132279331 +1731833872 +746836911 +1723724723 +81507378 +507988487 +831038604 +1214716040 +1907606788 +903328701 +202008689 +225177121 +1801929405 +1326009039 +1422384638 +1969341298 +1854338867 +207765947 +689882375 +1484001476 +1482530623 +806751002 +1676863866 +1149113700 +997624943 +1179729502 +1660416587 +314774617 +817265668 +972815770 +821303955 +802061351 +557165994 +1568140867 +378302426 +638673373 +2076129354 +1209341030 +1853389413 +1836252494 +2112669731 +2055398102 +2061429615 +1767115488 +1233923493 +1336330605 +1588973138 +940778713 +1544096552 +131371866 +277296541 +879143528 +938122868 +1954160408 +2028257228 +1935747812 +986406262 +1541190168 +103038781 +1803671930 +366522290 +924342736 +458249633 +923688284 +344999955 +836552059 +1562361657 +273645661 +2045893089 +1268267422 +2109898155 +2011079173 +1176181877 +2023844122 +1630711013 +262621722 +1212691080 +1072200504 +1203400435 +609303984 +1203572370 +1480696977 +1488447512 +2141695238 +1287373737 +1369221093 +1929959402 +126296351 +762927613 +2032998183 +1929968282 +1129449903 +809857272 +240734267 +2053138187 +1154857227 +1077286327 +1468016197 +1428502889 +975695768 +588799971 +1390917396 +839291293 +1764981848 +1267277871 +322518659 +2027603571 +332485303 +1394719163 +1083520358 +941789287 +450807885 +416733687 +282753152 +445019475 +1704107424 +1651974245 +227495230 +1830403776 +267418210 +113009765 +1612888410 +1396868113 +922867037 +1853622677 +1302522652 +2077724265 +783425356 +623055201 +1358743506 +1759121125 +1211855173 +602177254 +450928770 +829353373 +1869455125 +773447429 +709473296 +54456780 +20682944 +1792993655 +996246068 +471490829 +62243694 +1278999220 +916510305 +1766351119 +783489817 +1144005535 +1449271247 +1050908027 +1257015300 +914676009 +300292492 +32398690 +620815038 +1602815144 +2110122955 +1404240395 +78386698 +1321382813 +1015877872 +1290241871 +1923560067 +1466806642 +2119595244 +1645531545 +92770424 +681584893 +1699988325 +113453368 +327094900 +548750745 +584944198 +389338594 +1827749965 +1501454503 +8206065 +463756134 +497976390 +1457477312 +1514664161 +1754991690 +224669673 +1814956653 +1787390380 +845484712 +1270288150 +1750029687 +102241459 +1348674848 +923928852 +1118119331 +491433071 +700005272 +437442325 +463544667 +198053169 +530212749 +1145129560 +1898041494 +643666118 +1472224460 +299308592 +1228610316 +1861563055 +2127058557 +582581171 +1869769120 +443331044 +1080557561 +1179762785 +1957995205 +688065603 +1404432458 +1625468211 +327972336 +102433522 +748272713 +2078002023 +204674981 +2096947561 +854447228 +1322794312 +440896984 +1554452500 +1760236638 +904441651 +1752505669 +142965739 +2049571212 +1503063515 +786631857 +1374312024 +1802372107 +2015242173 +1088391431 +1781947017 +450339696 +810676904 +77794413 +1530897257 +1990439689 +2035789618 +71479213 +1247388499 +1513774181 +399451549 +1349822022 +114563246 +329969924 +1554497003 +64027159 +1184417152 +729807668 +504924143 +591386004 +342560658 +1409365795 +196408025 +485526397 +1311453359 +1699471541 +1272158255 +538281735 +1354360000 +1139916780 +1626673167 +988823369 +1590256477 +289866423 +1066617782 +973670086 +132822464 +954923753 +1045149299 +1380210963 +321214286 +1444600848 +582549337 +435777533 +1774570773 +2137046341 +499804692 +811504277 +719370361 +1004728836 +1402890282 +1061931019 +266610983 +1599298307 +1547457416 +1578064342 +1151286200 +672132023 +2116346077 +358162553 +1812048804 +1595535596 +1346985922 +1254821633 +1885402019 +266120057 +81008071 +2018224483 +1221043810 +1126157371 +1250951799 +1542258096 +423274571 +1833501136 +1978035629 +50361696 +1823063829 +330356674 +861865974 +394950542 +1335085510 +117272608 +1456881561 +1601696493 +1716570915 +856855330 +1032277187 +720373468 +1528987353 +1001139616 +1078536021 +1193552509 +449191565 +278038295 +300890494 +187109936 +544158352 +381898566 +57850772 +1765202162 +1508055937 +1308802571 +1159976611 +1931330508 +994820059 +990528592 +1981692205 +670400241 +1320885266 +696074531 +1065350783 +508487128 +813347139 +374748697 +2110183621 +382434406 +1231604027 +994977160 +1102807874 +613107732 +1996116777 +33860247 +1806660242 +297824694 +311898543 +2107550736 +484934630 +856056895 +341965654 +542785402 +473775410 +1850021591 +1851587973 +1633752021 +1633868452 +698924385 +476796965 +1468077009 +1369324626 +1797682232 +16667892 +287191761 +158685712 +830015031 +661940458 +121385686 +1212449437 +1893544485 +1116362846 +167773664 +359168570 +964995975 +201633911 +18345164 +1262820669 +513532454 +2125895900 +1747755300 +1369589350 +320377907 +143057054 +1843364760 +22915850 +1994645028 +1329633133 +1656784302 +546085765 +1806430098 +977377663 +1915410391 +1456628682 +994045555 +55118504 +1615314395 +1824060586 +717058963 +1736700081 +889026376 +463119800 +705579279 +1056800040 +822288370 +1670575255 +1258433951 +840633534 +785912276 +1771966406 +819045787 +386183928 +994072108 +1139423694 +529240983 +689953220 +1162339544 +376402363 +2019586353 +671640199 +922488128 +1678532803 +1649017862 +690414871 +987677838 +495579770 +745533375 +455508585 +172156708 +1462592338 +44725018 +1061183084 +1925712139 +750304297 +2117983124 +600516861 +273395904 +1228933428 +1441150396 +1059308181 +853416186 +112712535 +1445492109 +1847488294 +1252136229 +1974733092 +389957866 +266992125 +203651807 +262060571 +938632324 +1126139935 +1940593374 +440166539 +1816554806 +780787564 +935746309 +414604534 +1236296149 +1107903017 +1877196872 +1281021167 +21602454 +1655425363 +2031325465 +2139585578 +108458577 +157237721 +1221035358 +1549608973 +1216545902 +2074451544 +1662321508 +514554364 +1774456190 +766974089 +341803808 +16930408 +1033966214 +545455616 +278990979 +1972598539 +1671595551 +72100706 +265281430 +1340666710 +852888270 +1201027739 +1755271244 +2089184420 +161447108 +1484984468 +1222721939 +183049562 +992926184 +1106563756 +175151493 +1101384761 +1263801478 +1396186851 +503510086 +332863732 +1323154748 +18347946 +847418096 +950127290 +785322035 +1189221905 +967057699 +1819288249 +1734677521 +1246048678 +1644403140 +1258789424 +1318149384 +1909684570 +451972486 +23554007 +963228661 +59760082 +2112738427 +1124675770 +1544744551 +1187976718 +1307725332 +390187087 +147056827 +1482876825 +1491571848 +1410858305 +731580029 +1995081934 +1743722037 +2054734777 +2013429880 +443656486 +857378419 +651268267 +1632878391 +1824436118 +323072868 +1220072264 +923001149 +1967476009 +331378040 +93666885 +1729676931 +783350527 +117220892 +545421945 +843110609 +82475671 +1670097715 +240371512 +1270452390 +830339399 +630558599 +1417509217 +165732577 +2122130447 +680883874 +897312606 +1969728733 +277122263 +804563735 +1835674965 +720778749 +1661942154 +339459584 +206173492 +1338894625 +662532453 +1426245756 +114412126 +482524814 +1757623797 +208079011 +64718097 +393490676 +325299904 +610140042 +1236601285 +407775575 +132754109 +1476972798 +1678227965 +963093509 +2107531397 +948253534 +1128826086 +2082178197 +1629137408 +2026138692 +1904423282 +1906259672 +683218779 +1592614600 +479554773 +197677285 +1932074184 +685728266 +1536571910 +447122989 +2111974022 +1650984036 +929647803 +1722114171 +1859063048 +994365901 +2115604847 +36879304 +1604505943 +1204722485 +444654879 +1737260053 +534211635 +2122882845 +552869914 +494259384 +923652731 +1681696000 +428953933 +405306492 +1560351044 +185893568 +164082516 +96086175 +1778508168 +643637289 +293763460 +1563098704 +1329365555 +1830335371 +2010221694 +1293855930 +1333835759 +792385849 +868486453 +1045415159 +1786751750 +836607653 +1082294463 +1243774046 +2041330138 +1526949343 +833550451 +428058125 +1502348540 +1386420365 +922317509 +278517623 +920632717 +1351271443 +683824115 +333500113 +1537165011 +847906631 +429586288 +1168189531 +1491543921 +723349748 +583804587 +673425828 +406201471 +446542633 +1967281758 +1740037231 +1238928483 +688284564 +637968742 +878196585 +1524892217 +1720263206 +2121970631 +1418738707 +1099728901 +808037434 +1846796832 +454593793 +46974151 +621630693 +733111416 +967606868 +1972902136 +1416935532 +1301106981 +1362583499 +117358515 +1730693269 +383289382 +1608902436 +306559370 +967093970 +134844617 +712760841 +1413636603 +2102126375 +305314424 +505081438 +642927291 +943283167 +1383278024 +20335860 +516062725 +1357765007 +1439074567 +1615791626 +18318794 +1138387751 +2070385419 +65292945 +1760018445 +656013187 +1032899814 +1585436933 +2072948719 +186523147 +800536785 +42823587 +1917216417 +1183826167 +1651726023 +76292139 +3436489 +1786570640 +789052980 +1417073093 +1741213368 +1094367405 +1922154531 +236657011 +2037650572 +1157948907 +256992872 +406229649 +368230267 +1696067439 +2022021275 +386549061 +686971543 +1944923046 +451842006 +299506340 +453452585 +1484741820 +1884943273 +378917657 +1671264968 +537996410 +421741244 +1440997737 +1721822578 +2073467267 +1517289876 +1725259067 +1712554260 +158859208 +994848512 +1306283980 +1253226613 +769519396 +1542940991 +1143393537 +1927468303 +1799933863 +1549623186 +148214922 +1348517655 +1424160813 +534763983 +2035489198 +1221600211 +986605990 +187511890 +1675052797 +323864162 +2072455163 +2053970454 +1995129130 +462967926 +328228050 +1288643219 +37306856 +254211669 +658449447 +1762565923 +1966765929 +817308656 +609930788 +1125566261 +2070535269 +1379450184 +521023605 +1066445159 +1159434839 +173473820 +468584697 +1307649762 +1521991475 +1892745511 +1842413745 +1409997025 +966862074 +681536087 +1597508915 +494431223 +1005400250 +1522480431 +400918029 +853045732 +1985448357 +729146079 +2141688952 +2022755213 +983357749 +652654751 +1637837488 +802640030 +1469963407 +100284628 +1928206292 +1393015029 +1479734812 +301746249 +311976540 +491686004 +475220069 +780561237 +1799335766 +1997211545 +525823100 +1494265863 +1259724922 +1492685175 +28318303 +709750190 +1987116398 +1033718553 +84746973 +240550780 +1886764285 +2070195330 +969696859 +1880969589 +1945466895 +1953054608 +386140693 +1435820735 +608210991 +1856104100 +1536105364 +388933635 +1101635481 +868356528 +690679884 +1413612021 +1360042532 +1165899953 +46689611 +1011894650 +1015627850 +572512711 +358676866 +127869125 +2065197886 +386995169 +837619315 +1904830637 +1420713722 +922366288 +2145381417 +1159994359 +845077970 +967594628 +893480301 +643061217 +773165589 +1279620994 +2078881952 +1381376580 +988241446 +1467503668 +1770310215 +2089876928 +188376549 +313506451 +1356005301 +1548419081 +1479406404 +1402694912 +412830084 +347550607 +1975207624 +771506950 +475419732 +1892921862 +1158502119 +1313039047 +1650268851 +431732193 +87921687 +1648166620 +1591726552 +932999657 +468277601 +337723205 +1576060874 +1241443190 +1617344199 +1507459178 +475336122 +458101998 +827479199 +98162689 +400495278 +1015855748 +411669140 +1756500579 +416791181 +1891075544 +1011711844 +829621265 +91142503 +839435820 +1601128215 +566562235 +584874034 +612146686 +1879601282 +87659238 +1043878879 +1967522969 +1735825858 +488121784 +753038978 +56619811 +825844989 +181616204 +1298063001 +295705541 +1689075383 +1773399123 +753807539 +369070934 +1871561812 +1154302817 +1384926682 +135747304 +763319748 +1801717863 +2026822849 +1775031592 +483855481 +2117965352 +466983764 +2084983696 +537043940 +1051857799 +549646735 +269161574 +1139517037 +1593525614 +89200896 +727859247 +2081647398 +842239874 +784479059 +760008740 +1023856079 +2082542060 +1055714281 +565447814 +1708457536 +1809521820 +934518748 +1432535700 +816340989 +171961782 +1568283005 +1579660737 +1973679645 +1447622206 +1207208682 +310051478 +1418103910 +1674192446 +247551527 +1955147850 +578566597 +797198262 +76825777 +1718083634 +243240228 +166026673 +298459234 +177403979 +1008266547 +1082938293 +937412719 +2032122626 +1017996705 +1993127000 +450086792 +578970593 +1655165172 +1384605540 +2011506294 +324022513 +1556567322 +1432305651 +1903683250 +1382763320 +732444209 +963408284 +1692814798 +3064471 +490117083 +1940366325 +1958212322 +1068683680 +590080939 +2035038099 +639283667 +833321168 +53581124 +937742901 +1010725147 +1061847671 +2020681194 +1948137866 +946486650 +891194251 +1793781218 +1396573442 +1470164845 +1301462742 +633695335 +1334187491 +1625485255 +42779009 +619009494 +1381684857 +1425542329 +1351453703 +197609494 +970873480 +1354518174 +687726577 +763756157 +1165246848 +1756410257 +1353837097 +1052801299 +248210276 +39674617 +1106382423 +1185953177 +1050399764 +20746447 +1059150723 +851053982 +967233097 +1950344975 +497351552 +216322891 +1273026172 +1798814294 +850018226 +459730015 +1276815901 +892797236 +1078739509 +511017110 +170855917 +282709564 +708626604 +1141729397 +1637227738 +1396353181 +1905485555 +654990939 +1005279791 +1111839004 +1707792238 +1253490067 +1151513621 +666691014 +291959597 +54429737 +687437461 +1351110320 +905483719 +1654670558 +1153971647 +1402835271 +1870993449 +279514171 +1054165917 +573528028 +739244186 +183498170 +1466325264 +1817983695 +694515280 +1637181181 +2100693259 +1403141885 +631426931 +1590437350 +652011418 +389428838 +97944641 +1657291209 +1501267842 +1805736879 +763297629 +505297815 +324944245 +1055257226 +559727552 +1012381706 +258883898 +1465211271 +519568616 +1412855546 +720562894 +243078418 +1692369717 +1774728811 +816606446 +284130256 +1958226981 +135448062 +2102113951 +505258613 +1772629243 +2055323563 +1908400498 +256572526 +1498277265 +412928269 +646001364 +1596221906 +2070219478 +2147269206 +1254475137 +686033459 +505083373 +1579419383 +1741290685 +1064810925 +444317441 +2000174584 +382538548 +963886058 +1265546482 +1103101442 +1206964476 +810432551 +730346605 +2023570922 +1094562807 +541089938 +11535336 +1049193111 +1046348552 +1784164579 +957033026 +807265402 +2040737106 +307826643 +1220193671 +539254822 +1904048549 +1142929502 +539040381 +1011040038 +1828962961 +1044123754 +442975773 +1422769999 +2108934680 +887293215 +1275460935 +343989580 +1851179273 +393523769 +1447091023 +910660101 +1203956320 +29953980 +786747375 +151035480 +571043919 +798282711 +1200228591 +1617392471 +434963642 +9777969 +277174225 +328217100 +317604612 +1497367897 +867471923 +74169513 +492813751 +1406512304 +1085209551 +174293064 +303152410 +1528185325 +1597063063 +264603442 +267994892 +725040350 +608593023 +2119174165 +1118564119 +2055684046 +882350618 +175036792 +2085638026 +1669097993 +326072272 +509198297 +319897056 +1526300863 +2126590768 +754860698 +1536078832 +256281346 +1083077799 +1853683444 +1753649243 +1950549722 +1927852957 +98979346 +1209578378 +865578860 +273272410 +1512730788 +246280537 +1870335474 +1777334231 +514275429 +447892176 +238443606 +485965946 +1566456296 +146644004 +1368316564 +1741493088 +84798382 +889930909 +2067565360 +593996680 +1209827965 +1446382575 +573103800 +1964688664 +834977759 +829385146 +900282815 +541177555 +435550741 +703348889 +321546864 +534530087 +1912927267 +1187125724 +807802498 +1278174407 +1433406262 +530654324 +908024990 +1947681691 +978546500 +1146468596 +286163990 +397519148 +1293112600 +1654480554 +2139012236 +1377910983 +396927816 +2059093948 +1971907663 +1606755781 +1357992875 +397527815 +1423960797 +45486986 +1226912962 +176759964 +586664541 +1662463703 +880108853 +908211405 +49510143 +645552472 +2095337130 +857312641 +1923726880 +1381259744 +1387966965 +684268222 +1181457787 +219029817 +1830736819 +1467621777 +616548966 +976365771 +974618684 +608077554 +206793106 +1371546500 +519687855 +31217121 +830818633 +1877680730 +428744937 +107295783 +1923167717 +1655657899 +284055747 +362348610 +1170637954 +1164164601 +1270560016 +1220148097 +1809717073 +1218413498 +2077460738 +1585960305 +452189594 +1317944055 +122744880 +1633647381 +1536973873 +1953481699 +953785511 +6039191 +782363822 +1928404195 +614116745 +989156929 +1152467047 +1133804600 +1020374050 +1983285680 +864001683 +1449118987 +2090581463 +639685752 +957293238 +227153563 +1002034362 +2127931193 +1391318164 +125110730 +1200595642 +1053551589 +1343524228 +1130572733 +492028247 +1795713822 +301033140 +614773127 +1281877556 +1838007013 +420771178 +88179419 +1844046204 +1203135000 +2016583614 +310679302 +44808281 +1021567013 +1444483902 +1065182332 +857369045 +161001937 +366817671 +800466861 +800687689 +1324110910 +1027620424 +1802722052 +1304558455 +271454940 +1927832782 +357670449 +1325006529 +1123873363 +1488243182 +1817034776 +772103537 +1789276323 +284324255 +2053981093 +1479799688 +705095433 +2142160512 +1176362245 +1908230434 +2011260478 +1487041547 +1953038715 +885343843 +784041801 +870737399 +1742712889 +945043739 +1237555071 +395696102 +1745731428 +414182333 +1423316526 +1400969832 +1718740788 +1694771466 +1181318967 +2076411237 +872294347 +157708682 +1417170772 +541845476 +929812219 +1058963447 +826169731 +836309665 +391279487 +1531265165 +830986529 +1567641732 +1292011951 +694763360 +907199631 +1097567018 +1580107203 +1691241433 +1968304418 +1175336444 +488801524 +1058375841 +1571032546 +87049304 +1472558174 +846865424 +1488019137 +1043815314 +394153242 +521854456 +972742903 +1266447590 +679563138 +242430027 +1808293066 +1609375357 +1301393474 +486979149 +298201374 +1692672962 +2018244314 +1129187904 +1112831046 +1162772617 +1823951264 +2020030678 +112855988 +1256574819 +1563788463 +2081160406 +284427616 +2052589987 +992052599 +1855460162 +2139639291 +317127125 +554841939 +1480174780 +1360942439 +948995181 +2002029236 +186201694 +67959123 +534108726 +428631722 +1876252189 +2143484084 +1730025196 +215747691 +294201810 +1275214510 +86508357 +1423389714 +240561909 +1249280975 +1099857330 +113108939 +1362136963 +208948502 +1676897402 +1295813721 +493376118 +1582003741 +140382672 +201352632 +1574159384 +457509797 +756194571 +906850517 +1818452236 +1705189753 +761396105 +2004653930 +1773148876 +1295504832 +285802004 +1501917418 +1291505268 +2015827201 +1717665109 +1585707078 +1143558063 +1804173466 +861613145 +1384119972 +905970793 +1961470475 +1497228911 +120624108 +22935329 +1026642665 +1416437829 +516311447 +461162758 +1556820501 +717664080 +2035322143 +2014330298 +1473858651 +794689012 +1685298886 +1031564756 +1556085117 +1542469169 +657229985 +704106301 +1828271173 +11663755 +1995611569 +1696614726 +1729328864 +1433835000 +692689142 +1386018682 +147964497 +2076809114 +144505828 +2109434972 +1426554378 +265129936 +2132370302 +305713395 +1681567766 +501198101 +766876154 +1090904619 +1218862181 +654714649 +957751270 +545237185 +1449403661 +495566508 +1576801941 +858005130 +2038035677 +86548278 +1562111432 +1718823203 +98212033 +1410239353 +1267954281 +1827540897 +696590705 +1960643423 +1066075932 +844555202 +1889968890 +1210581760 +806506527 +1169039620 +1475711696 +791393181 +1474753015 +1009795814 +1292591282 +94145521 +2100700434 +363969816 +748860170 +910968056 +909207001 +50780183 +1406534564 +338525294 +908785314 +1297086594 +425073573 +323413098 +868426149 +523285606 +1733652451 +2136380430 +203342856 +282759509 +1949540206 +1269418788 +1127314711 +1692025448 +332516900 +1933821238 +713581420 +1808228596 +577730771 +40850787 +670540763 +1870322054 +134996309 +623757549 +86808222 +883856479 +1534725605 +996015223 +934636663 +793776521 +1334540517 +1843421977 +2090863115 +1759614090 +19351427 +811805616 +135416049 +1753003878 +800702399 +338758905 +2035763387 +602758957 +1608177693 +1015594451 +147300757 +1940694593 +801932041 +860882177 +1601439541 +1379662813 +901732964 +124496656 +1102501219 +1036729273 +748254205 +1189309441 +1920585753 +135496162 +37841016 +707738768 +929272684 +1372381533 +403677097 +872652151 +984511976 +423028524 +1684457768 +1119928025 +28548754 +337676519 +1458686930 +2064312142 +940435476 +919380975 +932422945 +1087736233 +712591920 +1734354986 +1948618410 +166547813 +966534151 +702867726 +291044470 +2069035370 +1739597000 +1039298675 +1110861163 +1512699105 +1174794838 +1148702179 +72954225 +2104067522 +373600065 +476631322 +829236025 +1358112041 +899659846 +366210145 +330556418 +928208600 +703886664 +1789243348 +845037094 +1644322140 +561140675 +1777460039 +584574725 +1273732595 +1364331378 +385709487 +1440280408 +183381881 +1088577214 +1731324878 +104933604 +680690566 +623139906 +1215794767 +45906023 +1797934744 +217013299 +118860248 +1754518618 +590613364 +595491570 +436270995 +1948725405 +1495151416 +802481141 +131798175 +275876368 +1506367805 +1921041523 +1120913463 +1003206298 +334698550 +750889854 +1587781023 +1608431145 +2115221232 +1973490511 +901227905 +151119466 +914584077 +485069136 +256053070 +1595274643 +1108209042 +1471847837 +1641180666 +758660138 +1688861136 +1760040914 +365695108 +131990852 +208048836 +801966103 +2080716257 +1703200252 +1604447244 +65030784 +1979076620 +963331402 +1986072307 +952506435 +1966537700 +173287209 +1703396290 +1406835075 +1781718354 +1671133874 +1232841938 +535462612 +1822253340 +2147426015 +1020531748 +2078306410 +1595217010 +2128740790 +1402670600 +1088914028 +739917280 +944048088 +701471294 +1105612388 +1076038941 +909520130 +1907578491 +1009271550 +465236734 +1364542088 +1074302335 +296829707 +180389842 +912890994 +1249336142 +2146927542 +1086178204 +805248784 +1406278969 +720412910 +328899011 +491637260 +1255875522 +3668703 +491579627 +128923622 +2081975114 +2086796638 +110180764 +1337162066 +1028227018 +850098044 +133726506 +1729698313 +1955710432 +1209765447 +491734795 +1715805276 +71553350 +956971530 +932863716 +1145855685 +1253801237 +1113253558 +2058746679 +355653731 +1112697452 +997441235 +1160902516 +371492773 +1717854146 +1489801527 +863130033 +826246020 +1493470230 +1354709661 +955169643 +1427961696 +1294022651 +1065350407 +617640114 +174766021 +1915448452 +751366621 +1904464334 +1723675236 +1961132068 +248715482 +1291996864 +2032685418 +1205687012 +77376932 +1031057455 +312004601 +1190630490 +942320487 +667658332 +155844294 +1939761722 +1828560848 +527337068 +1510132220 +1170878727 +1390467101 +188894593 +516865310 +597693114 +1144064236 +1944827006 +1891715765 +61930995 +414983473 +2066481787 +1977379447 +1166350094 +1823462473 +1553571036 +979998514 +2072177955 +698084252 +865200285 +1130381319 +775461185 +1896257740 +1442385920 +1966091675 +691094579 +2110044253 +2121935970 +483372654 +1791121453 +501789390 +1993504874 +814516533 +1892256491 +34915819 +1331381843 +342465958 +1178980055 +1128725201 +86698075 +1240911051 +1543708674 +5696214 +1070806850 +562575120 +1829158688 +476894238 +1542573635 +1753852995 +1174978491 +260290272 +736750667 +1950439676 +9064364 +31652939 +1769047703 +700158944 +2141697192 +1743500025 +1183531598 +1785334998 +97805767 +1029552824 +452367883 +1990062259 +1064468644 +1783749726 +185044569 +95965051 +764991279 +271742644 +1336876102 +161216306 +277438859 +260199305 +723791426 +2106597547 +737093543 +118881413 +1712966894 +1912072034 +379171685 +302233913 +1715028062 +388236050 +333886853 +1336592118 +1088394994 +328100397 +932608495 +124442944 +2113435395 +1030414263 +1153995768 +418319630 +872992874 +70980764 +54585708 +1058037443 +166945816 +819576988 +1329780087 +1503821918 +980793294 +1607218946 +1764021223 +1704584720 +1566332845 +353631119 +1823466134 +1131816092 +118219505 +55154171 +1434050005 +1833247568 +443390221 +1767936858 +1022356038 +1531785215 +2096037256 +1954964533 +1656228159 +2061989003 +837895148 +662740280 +332824986 +1710888022 +733721044 +387410694 +621441817 +900666860 +1206987682 +1951221905 +257005131 +40297328 +1410957203 +2021026354 +1744882049 +829806401 +227173825 +1420864535 +1961622493 +345393331 +1476018706 +1248188850 +31157251 +1919408928 +868642061 +1053513289 +1303710495 +817195669 +860994174 +812455007 +731701024 +1698889323 +1475195287 +1064526010 +1262293697 +61432683 +1451936705 +1883735515 +962099544 +511440739 +1687473772 +1219104675 +551738068 +950947327 +1092647381 +149136469 +1780753728 +1319821207 +1570001004 +1594892573 +1665214538 +898536062 +695597776 +1696371789 +670461342 +1564239837 +602401430 +1974171838 +233951858 +1463395604 +639143197 +965652882 +1014801279 +2114338484 +2030178893 +129611329 +28287519 +1334631950 +2013346844 +990387063 +1846072689 +1553336968 +62008090 +250327109 +356800647 +1154655472 +399463578 +2137554376 +326993031 +1969464582 +1584963301 +1992207569 +720516997 +133077429 +1541095710 +1390978339 +1697317266 +2143497140 +1217666529 +1931269124 +1459409096 +1856809726 +749438359 +326726728 +1823664562 +632133604 +456338057 +1851952082 +1966765554 +322201253 +694855497 +1665354595 +1875538221 +756863588 +1915681705 +84855220 +1911519060 +167661635 +74925948 +91028443 +2137126218 +1659889250 +2083236012 +710159567 +1792966679 +1476848074 +2101137906 +1342800298 +1472861566 +1171320788 +1126585774 +784787014 +880646866 +1876024133 +1111513742 +556827781 +360674089 +1567851799 +261296215 +179955995 +1890053052 +956151712 +1845310591 +1618107625 +1713015300 +1613508648 +1702962846 +1477050712 +1781170283 +1777888794 +1568079155 +1770812853 +1290294396 +1503831519 +333488772 +935777428 +833195945 +287143031 +131094078 +158573863 +1458463819 +1257679852 +943360878 +191627037 +986220338 +2054874620 +748454818 +1346894427 +1475242772 +1009751033 +1526850423 +1217812176 +1965902746 +1224677366 +688436154 +1531434398 +690702366 +243915352 +861001463 +324389001 +2021804146 +281596970 +2095201855 +1164614895 +1785428490 +281206979 +2100392323 +471140787 +568350010 +84002753 +629714651 +2026813829 +1341682605 +1573075529 +70957219 +180419295 +1480466501 +819412037 +1527313723 +808225625 +1829163071 +906680498 +2026037802 +1647582169 +2131357864 +566990308 +1031532919 +674576582 +810905660 +1892534382 +998965583 +685226158 +26647705 +946683790 +1849841053 +1812076195 +1227890770 +1802749728 +135733334 +1796240780 +1886752481 +765447985 +1675570962 +1080951439 +191039866 +1746528181 +1261370734 +1671506368 +418456570 +641200809 +332248345 +100135993 +1547881307 +210802499 +1747718162 +1531755523 +777792807 +631767434 +58848457 +1588698467 +376818168 +1057814041 +126440978 +403465873 +2004497831 +1976282031 +68058420 +1084904953 +1631548112 +203791755 +733662086 +1370816945 +969239740 +261749400 +304284736 +1160279607 +2008277581 +1565655471 +684302327 +279250503 +59372632 +1016550672 +379386497 +1607253940 +1227353172 +2127104659 +991525815 +2005145979 +611388445 +1050374273 +1446360799 +988206614 +2108188314 +1572801777 +1391672487 +1965202497 +1401600160 +1459730908 +902623803 +885664624 +1663522663 +1636285889 +108997922 +485278755 +1898035289 +413282658 +1645558362 +1758829222 +1978938129 +182377041 +2038079725 +2038310762 +1198927714 +269982574 +1498081054 +278797238 +249603586 +342123221 +136459569 +860992031 +1392497494 +1582820368 +1849198645 +1353202160 +1008138497 +1093387485 +1170921010 +262255010 +405634745 +2073544813 +1147919634 +2069157408 +1562347054 +1256917556 +406952515 +1312898695 +1670200215 +2052510878 +924244269 +1501654696 +87404271 +814840346 +1392481810 +1286331985 +1084822921 +743079216 +1565129223 +1334426507 +1085202438 +1701588793 +47934890 +330216284 +1136925513 +1897133536 +1683418445 +2145064011 +843037373 +706855807 +259835373 +1248672118 +632916972 +1407755007 +1170345878 +47780378 +517188916 +1577298393 +1360679073 +39905483 +1482325623 +137439694 +1541560179 +1569729895 +952280040 +786558342 +708578232 +2037102961 +1529637558 +126223808 +1224045820 +467356348 +1827812601 +1271980711 +797572633 +817254466 +1021630599 +333507430 +814834829 +1864667972 +1040363237 +1074670202 +965856442 +1673280209 +334941562 +2136202320 +1721060587 +852130478 +1566017065 +934256012 +892035961 +900859041 +1071695706 +286112492 +323105288 +2023975746 +1072670834 +1031683520 +1913595060 +454824745 +1157907328 +990157232 +922181093 +838236281 +114654295 +1719753726 +1655490748 +1136284894 +2053261156 +322841929 +853469218 +946140745 +1397512132 +1819325660 +471937306 +1732453694 +1808044332 +45514245 +437100524 +1226577750 +979770257 +1329136485 +2127436791 +2051465963 +1615248977 +303058431 +1927958062 +540436164 +1334741951 +1694069474 +995260909 +345165632 +536743058 +1917442002 +1183401913 +651397354 +1489712081 +691409013 +1787682248 +1395489589 +1014250943 +493667819 +194146687 +264279427 +165509831 +666083993 +1996733121 +1973554164 +711598239 +286349997 +1052648266 +1691368496 +1615486482 +1032601409 +1595350812 +1083251811 +1335659840 +1375825226 +1623687975 +522918143 +922411052 +471465236 +868083775 +1459154110 +241423591 +2051485689 +2110551464 +1731135672 +595411054 +1750750065 +979141613 +1609661997 +96934236 +1173288300 +1873941424 +262444067 +1839372294 +1723190897 +88514583 +403486885 +2009540894 +1141162849 +2094855381 +1477543728 +26280610 +1542722545 +413311892 +1361940450 +771064123 +2036999867 +1884858594 +1693475175 +360981456 +605458721 +1005145638 +602405047 +509460762 +968213454 +186057071 +1104871817 +571479871 +1165198684 +567050166 +668414107 +191003337 +293507943 +930858175 +2030375631 +2016698840 +1019372758 +286378868 +1878756087 +13051960 +233750601 +1208816167 +39332570 +1776473147 +1622128059 +1401273021 +400053622 +1511644279 +1138647967 +2093528798 +1872625735 +1744106688 +951190788 +327547134 +106083803 +1919404242 +513604205 +1210955620 +343400466 +1678802889 +1778005786 +1011814573 +1869806226 +2071513729 +1942672748 +1752698209 +1940728922 +814561859 +2039077077 +1672001361 +827613819 +125344031 +733333880 +866946389 +1901817178 +207978292 +120735762 +154387152 +1719622571 +1259383729 +100432302 +1444764658 +856006770 +1051623090 +1772311792 +962090573 +823543685 +138432349 +25562545 +1166944151 +1817235238 +1803568331 +31275076 +1539557817 +1727598413 +1973947825 +1144772378 +1520843687 +641026036 +1036365808 +1045361400 +1468639855 +1161709839 +1778695280 +188102596 +916043369 +1986673572 +308838359 +1070430521 +1558812495 +1568222088 +1170862824 +856093505 +276745210 +75002266 +480921649 +1238835783 +898545951 +619353998 +1264398328 +2065490102 +289105589 +920483012 +2096765179 +1828663406 +500597777 +1923229356 +825952136 +2021441464 +416771744 +1862317944 +919319216 +1885411599 +876544135 +550530848 +2073514195 +1792587504 +389720773 +234868906 +715534378 +1948533268 +1803090995 +1886397202 +657143126 +2079836205 +1961399468 +1138064775 +1171188341 +712461772 +1757418774 +288103021 +630468226 +2046524363 +1208586033 +579749757 +1727704121 +1709183810 +355495465 +406172609 +1583141626 +772267209 +121006906 +354977194 +510195160 +997551041 +905508043 +436225708 +642654898 +1295228816 +671094614 +1358189276 +1096278436 +326701961 +1097102830 +1753421562 +259054519 +911018650 +744002690 +1430242860 +1623480422 +353937816 +1718345881 +106465001 +252978531 +779448267 +686214758 +1980682652 +341148429 +1041710224 +239371613 +1924290056 +1813977433 +360378519 +131783602 +176688946 +1357929561 +1037291645 +612914654 +2000584459 +185036813 +1284009268 +1211290087 +1281315250 +1610711230 +160909269 +887253164 +1869765749 +1071927919 +1631255854 +1152524961 +547924694 +1985193670 +723387194 +654389695 +90688553 +1502835461 +1340604453 +2071371205 +1843983891 +234831029 +163259171 +1620790299 +2048808463 +523637690 +1752573901 +78013761 +1881567251 +642381899 +690928415 +1734668062 +827418712 +1974937683 +798474501 +2108733962 +1438165265 +959383770 +848503479 +1160447366 +2031311690 +332275685 +165488679 +431752736 +169985708 +888875874 +1086142431 +260674261 +244227687 +279263236 +184561819 +2088211578 +514094266 +347820990 +1561518229 +415419081 +871458680 +1166608483 +493432842 +605542284 +1808990382 +1184361257 +192726698 +488925446 +1011815292 +991201200 +450175761 +302496910 +1950584970 +1298679240 +1462944276 +1834413012 +1630954925 +1628432956 +118682100 +1800940633 +369825182 +1204824531 +2061614895 +614052869 +1484087768 +98693066 +554780800 +1998182034 +446514056 +2116299029 +266117467 +1317972736 +1135423864 +759550309 +1923515020 +796930598 +1943911566 +2116241719 +1285856045 +808243210 +959959271 +1736031806 +1110740120 +763060593 +887227398 +426200749 +449989958 +370698675 +2054633705 +568672058 +24155661 +276975239 +1773496590 +2085770556 +891028108 +1110100710 +36979974 +1445808908 +960799096 +483494030 +1414624290 +1226916563 +1801466766 +402564506 +1986466872 +1577498139 +1199495105 +1782894790 +1546256210 +337867502 +443654352 +358731833 +2073899308 +1554394473 +1121792426 +813643058 +1980595222 +1571782384 +1184341733 +1887745279 +2140454443 +1208497394 +17236870 +1766467385 +1146784302 +908264978 +729084447 +1183764276 +206590239 +1689883543 +1667258306 +1621214529 +769316458 +1321241425 +2023779035 +608299682 +751255916 +1075790492 +243710824 +150028478 +1413657994 +687365176 +508760311 +1340073654 +94276001 +1630552737 +6233064 +2074871223 +1054851474 +1190574798 +1815132854 +1047822269 +251588544 +1832369724 +666806006 +1398372847 +593151055 +1395890453 +434653475 +799741294 +938290348 +2101911782 +273472175 +1707606806 +1275669559 +149767562 +168422840 +2026925475 +1225558055 +412133664 +29470305 +491732401 +1099498840 +538230616 +1831806056 +1193774842 +21299705 +1838039120 +1121162417 +1076151179 +881130270 +788811624 +2123973448 +1132718815 +473697700 +643295806 +383608014 +1066848755 +2039186259 +818261489 +1866590049 +829992959 +772689623 +2140062224 +390116117 +2048359182 +142346139 +558538957 +1927801009 +1367904194 +970672621 +1957271314 +1859636595 +2070171462 +348018282 +1543959003 +1116462656 +369317988 +1234514476 +90141425 +1445469167 +2115644746 +878953049 +1421958968 +1100879913 +1352650750 +2065254774 +1484487927 +272015857 +1956957386 +155265769 +2138605907 +639466697 +927955392 +2131184483 +1029582815 +828830927 +126046974 +1588121772 +609148288 +1493951168 +411310746 +418935955 +1206104116 +333998560 +766954237 +602579471 +1450461216 +1136272225 +1837093947 +1540602641 +434257745 +1805255046 +272072043 +1856216713 +758651311 +1624722793 +1773987839 +95655591 +1896738650 +1583461577 +250921360 +1887860909 +75444627 +1178876752 +1871561745 +1105027442 +2007707679 +1997608719 +545665566 +469372320 +1344076240 +956976312 +888308275 +402696708 +1290974872 +1655262512 +1005276179 +593952440 +644051090 +694886479 +2134555082 +1078308835 +352657877 +259143477 +787041900 +1111309188 +1883866270 +413546091 +1206964779 +1633121272 +1997007669 +1457886139 +1373498534 +2072452296 +489279244 +1097576631 +1029996090 +349503275 +947701702 +1575661656 +818875595 +144294294 +385154321 +1707183870 +546991002 +1676129193 +1214962735 +1552267182 +122597986 +1859013825 +99670013 +109669420 +789839012 +452327890 +368812897 +1576880912 +1563637078 +105195519 +1990427003 +623118210 +1738316791 +1839951024 +2081004349 +964331677 +1764919672 +422799945 +2061908308 +647432114 +772303221 +862126363 +75610123 +1591178816 +1006420657 +460764444 +1150879039 +1553411660 +2136893637 +218358126 +958195194 +112007975 +2077371951 +1057865207 +221677395 +719727315 +1510193097 +590490292 +149124579 +926346527 +695685811 +2139551582 +1549464737 +286518955 +1832018959 +1482985439 +1250850632 +1449454983 +1905785384 +1165275293 +2096887098 +530604957 +2027401656 +25013573 +2121783774 +886338665 +485778017 +1125179165 +292266677 +475188006 +1343537291 +1250461871 +587195982 +1273425594 +160843430 +808873377 +1993152909 +1671036527 +1399363670 +2142277488 +449899407 +2095049481 +2134345422 +1999364144 +234084788 +1818880733 +1334865935 +1484935421 +1120852069 +1093167672 +502727066 +1070255519 +1623772629 +382645074 +1095269092 +1598072755 +1268983739 +1581047109 +575768272 +1561250417 +2056235115 +1919305563 +664228640 +495947449 +1045247509 +825072071 +1304820827 +890916770 +348624950 +556700849 +885710610 +798524357 +504266682 +872572385 +650404854 +738351471 +543969470 +1985270789 +75803244 +1664821539 +930954813 +578530310 +587593410 +407243795 +961175384 +1682862502 +2005316550 +82675475 +1116425963 +433601175 +1643925892 +1025177431 +205423090 +160670885 +1521124880 +1250670600 +985742956 +678462059 +2141587370 +1334367906 +1235162908 +879814333 +2132892264 +1739429591 +1752386718 +635813470 +330297414 +148872540 +473600611 +406100658 +1813694080 +1404555425 +984630968 +253803842 +1811799220 +1945806352 +1936666345 +1669632122 +2028481827 +905608660 +2103233297 +1524924072 +1930786091 +161172740 +1685594957 +1304427324 +1411843340 +523854265 +1982889383 +1405947062 +1858222171 +1070568644 +138277747 +1843630787 +662514587 +1890664465 +331960609 +992812001 +2039537006 +805561221 +1398912659 +1705747438 +62632998 +236059979 +1959551280 +1874432218 +34382683 +1748733977 +1396580692 +2062864510 +506858990 +1352330342 +1440304934 +290161433 +1513503082 +978416243 +1594588757 +777862774 +1502270508 +1429994493 +36326188 +1213009032 +353079489 +174603936 +909156171 +1015594076 +2065268401 +1241116781 +2008406077 +1957321759 +2046678002 +1259835088 +1515585549 +2109311000 +1495895067 +1327653182 +1836259570 +1530277750 +928903511 +1085356614 +1445658612 +1435762501 +290203308 +738479899 +1725923935 +1803706390 +1716896142 +1173029044 +434085516 +1071683003 +455539889 +470411705 +137208387 +808619378 +645015641 +1046364558 +1824213454 +562800394 +139997691 +1685135883 +372638506 +39192045 +797487323 +1888224055 +1019397 +145898742 +1068393589 +1837278967 +1676176492 +1997297101 +775151934 +974351457 +1285575954 +1065355242 +1712831356 +864016241 +721577985 +1282243850 +2037045286 +1155663501 +206443205 +345101527 +1626075206 +343651592 +1153720906 +123607199 +1390016151 +830450712 +686407594 +1530013842 +368102948 +1059046100 +1569205888 +1165590271 +799786507 +1570225285 +1311489014 +1868180097 +1260020605 +840181858 +1717993550 +2035172539 +1814533315 +856085856 +953044133 +1379881023 +1720102098 +1674622118 +514641226 +1609663736 +682801972 +721084431 +1954765263 +161393530 +1064736024 +961002521 +285000730 +307268527 +1791453234 +971408324 +1837282369 +12072534 +2030454424 +1259004609 +1177662805 +682757283 +681746247 +341668171 +403453732 +1941766852 +1181850030 +2121447282 +1829455743 +848899697 +830049491 +635016228 +81297073 +402667941 +162154699 +595938299 +2012331677 +844956671 +1317022730 +1819613292 +1006350201 +234275106 +633132166 +1291350931 +541543633 +277101752 +115275607 +231342355 +289174286 +2145730031 +1490346964 +1466837091 +681003667 +24609563 +1808505263 +1084457399 +1966376415 +842871645 +1058421034 +1648348510 +1691771342 +1888470525 +135881091 +1773068415 +143654818 +298035790 +221523066 +8502847 +1142992461 +1538545797 +1828116139 +1859014 +1772820903 +313764657 +1293209946 +166880889 +590866409 +1408485553 +398223244 +880040695 +1406731937 +1888570208 +199394139 +2087735604 +1913179772 +2007899402 +1024709355 +1732072539 +703287399 +2083130389 +1232937402 +247575093 +1824117266 +1368818493 +2020643509 +1967772084 +1666854283 +94682927 +1976274931 +662363096 +1633228724 +1656907423 +664222110 +1258565980 +1970672080 +1957432056 +1425446869 +414054842 +1218433962 +1823670113 +1294095537 +477682251 +1564756673 +1493489676 +417934207 +1330452797 +1353905430 +1442643562 +915041689 +2057192829 +1378290304 +495443 +157284275 +1054923922 +1369313936 +30444136 +875212359 +888684571 +125127063 +704003642 +1551047667 +1758355788 +213427417 +67786129 +869438120 +36615850 +2025218186 +147401341 +450670692 +1096168500 +1971071454 +1744766229 +1573850751 +1388344479 +1090772258 +1991784958 +571313629 +297194040 +1286944872 +1486355318 +206903222 +517751528 +1486850761 +364187497 +1572675451 +708681049 +394631633 +300404162 +1597365620 +519758696 +1004407804 +1000929639 +130630836 +1217835222 +1068715768 +1000068956 +1254451072 +946450306 +1147470297 +1705121764 +2042618806 +971058103 +1302404345 +1468985909 +211918935 +245692955 +1313287219 +783232564 +542886996 +452748444 +122104234 +749790218 +970499972 +1608954995 +1113977715 +395691775 +170152396 +1508609348 +696095937 +1767518016 +2028368044 +1700503742 +620964007 +11515233 +770855316 +1689679775 +1011584189 +2025306388 +488646434 +11570839 +1582944504 +383781592 +982628942 +737865201 +1852767502 +1194547877 +983558157 +1018571073 +1977780441 +1526445153 +1471319517 +2099884675 +128751723 +294335842 +1561356022 +1242729438 +690027617 +1731508418 +603855138 +1386123555 +1351542786 +484739534 +939143649 +1972506793 +496254767 +1709998965 +1514702921 +1507838957 +1587821705 +2003349355 +1519409796 +1023282561 +239647299 +354555090 +1761147762 +2092414801 +1549102968 +597222271 +963502227 +1379399761 +2123667424 +287338096 +1331800789 +104935499 +581673938 +745673163 +1347664937 +1271701556 +329697934 +1951520075 +510341463 +1681240720 +288775962 +1449485112 +1506263866 +785030729 +1012000429 +873483139 +145386038 +452338486 +729348846 +1664795834 +1475621047 +968996145 +2019350925 +1089285161 +913927299 +1420970245 +1686507433 +1877429526 +652886358 +1662691209 +17283974 +1984687147 +1767626709 +598957913 +582876663 +967807998 +1870659469 +912574597 +771844426 +233517284 +446331669 +1060620388 +1683002396 +1952595535 +1845651117 +547519177 +678595026 +1991037156 +999857663 +1407943872 +1508349342 +327995062 +229456370 +1380216619 +1417280223 +1143383669 +653703216 +956304008 +873329547 +1306589575 +471511570 +890613521 +1143793074 +91654631 +1489571434 +1726669737 +1059462629 +1212747255 +491760686 +1831307055 +1446264539 +938092356 +744443795 +981783287 +743204243 +442611265 +1529302464 +1421799270 +286164773 +381676479 +682259494 +1794514115 +709671541 +911715864 +1027247087 +2126951765 +2055099533 +1680950303 +935772125 +780945432 +840056230 +1407283695 +1671558954 +1983849305 +1498938326 +1013646740 +1563035394 +410917308 +78910348 +2054796081 +94740715 +1525174887 +845404789 +839184511 +359474527 +1588609032 +1281795776 +1888776991 +862924654 +1567960549 +122969823 +1545184149 +1214991016 +832641364 +309416365 +94754455 +812109481 +217032251 +1775704759 +1747881607 +997977683 +468277341 +1007681654 +522052989 +304642998 +359136333 +1535699730 +1867678393 +770053641 +1614610078 +1774990826 +864794356 +992301317 +472911967 +1703978867 +1351775844 +2061520999 +838290995 +1093069188 +776962006 +258767896 +1216039011 +174662507 +1473758913 +2048680375 +484078872 +1568513368 +713306209 +701111123 +1196734479 +313704168 +1699088807 +1665011821 +1321385822 +73658148 +1969654819 +1680522155 +1609357878 +1689849564 +303092148 +1076484308 +1317356742 +1167886505 +2068785626 +1790268709 +724381724 +1273077822 +1704306061 +1562672720 +218663362 +333784419 +1821440616 +1434702373 +508446926 +1147715881 +1335899101 +992525798 +568745602 +2049205310 +1693636922 +1765480081 +215425830 +1245242081 +1283008254 +1536811652 +1318900229 +1105179426 +1069850160 +780774460 +647545342 +1372942308 +1857258768 +1964902085 +393345165 +1778560746 +1607687146 +1117726890 +904154921 +1164509559 +532915962 +1122818283 +1498293978 +206872930 +410037009 +2006740904 +1354588812 +1745936110 +851783055 +1923334414 +1647657772 +397936329 +1541330847 +1863083602 +1643178410 +676855454 +1252411606 +814594991 +1782034880 +174778118 +1595369451 +282096574 +1547720427 +1305144572 +99515011 +1941065592 +936221670 +1707202158 +911308834 +1840376591 +724228069 +1444224796 +815711227 +75038400 +1651097727 +1225748236 +2081779304 +858202891 +824200698 +786078711 +634053657 +324374822 +1184015040 +27900856 +39974776 +679709802 +704756310 +1292386382 +1494304794 +339307542 +1467164501 +942190597 +621404117 +867401280 +99851521 +720919128 +660983224 +1036073192 +280637638 +1572292059 +728966135 +1004865708 +869033207 +1544677362 +1079904108 +372647286 +622941950 +1014199764 +1230850177 +1447142648 +1800278476 +1864903834 +1771517470 +836809868 +1892804691 +1811492246 +1516519671 +450077353 +956394981 +863340817 +789384896 +276075834 +1805531414 +1410789013 +1143477114 +1905382936 +2131708141 +1804460338 +793972480 +264862132 +1229268749 +1522938615 +1269727840 +2098301957 +920132330 +202148300 +323465595 +1543074280 +1216348064 +1554315773 +842733281 +869142892 +1271735959 +466767103 +1705952761 +1017057002 +130775702 +1074988784 +1467134356 +1087170683 +1938329601 +109035604 +1363246517 +1596377367 +1519824617 +359239983 +1354276655 +1504049110 +16216673 +765487 +1768911242 +1245485423 +1523704103 +891155434 +1196303732 +296352785 +1093303734 +1519769327 +1839427065 +162168151 +926601452 +534676698 +1031311043 +50853764 +1001443802 +589780156 +1067910766 +1132219504 +1664768940 +387561474 +71906539 +1455614893 +496597078 +1435153056 +904508613 +2016421695 +1794393039 +111301620 +1372987158 +1810609712 +112067108 +994414752 +908611487 +1635771211 +1885570187 +2104915219 +1932123996 +831390273 +1477200899 +1624067413 +993558424 +256318703 +11260464 +2024869468 +307172467 +1012704266 +467165976 +1375083234 +2144923770 +2131934917 +1762644708 +69346661 +1440066162 +111758139 +1504499717 +197091127 +2128179834 +1151409108 +308392748 +1353683344 +814535172 +420459856 +200614449 +1723146660 +2056231067 +2086184636 +1680578231 +1840871415 +770091261 +1010295482 +1317455180 +1763649686 +1266614186 +1328715644 +1641035506 +1573786653 +193936262 +2108201482 +801386239 +191376384 +2092652751 +416547300 +260723045 +1385235266 +528305439 +1765222762 +1582326393 +509001625 +769148222 +1890719141 +1862684970 +1583683395 +163695349 +2063299419 +1159346407 +72442768 +2002000407 +692440990 +1913314183 +624608020 +1702736473 +1083285716 +240774058 +821867011 +264517712 +1881809564 +248170016 +458453975 +1842527399 +1049556256 +649830359 +1787696502 +1466103556 +910553405 +1025448120 +1994408995 +528292519 +460290866 +355926972 +1297440742 +203526359 +71128294 +733640489 +367221709 +2134427713 +1892986896 +439664477 +1988944472 +437944238 +205495013 +466068845 +2140680711 +1288780729 +706842903 +815064074 +1553298441 +441168820 +1063234091 +2011752416 +136212571 +2112790347 +514099128 +1923909073 +1431410255 +1424652533 +801873546 +1278335602 +1952945052 +1262164412 +1634262574 +1102902146 +1465690771 +1705390869 +1836542635 +1832912480 +1692334934 +1582045883 +125093310 +1533795759 +2019990122 +330588323 +1999864604 +2013187185 +1619369052 +559223859 +680767612 +1025183845 +1000392679 +1744001703 +889452614 +1136605250 +1709308402 +1403551742 +913030676 +993235009 +680720627 +1714904222 +124086963 +486182031 +829584986 +1758349537 +1589084178 +147792109 +1316256758 +1278143165 +1980704590 +861108045 +712705401 +2105797900 +247420156 +585211875 +288902575 +99801112 +450915412 +1908271627 +659024971 +1131683024 +785971824 +1659417651 +728201079 +1675424438 +648539253 +290025833 +931492532 +1561569929 +1283260842 +1612213159 +1128990503 +1407347805 +2098395191 +1958575489 +1018213695 +1539995721 +2106367599 +186986805 +670655238 +1939588541 +1048094850 +1383360639 +1897902793 +1295515006 +1968572514 +39321720 +1395316118 +272004279 +1947593347 +2054341090 +1403687303 +586081523 +1566275093 +2131888383 +114022314 +67330698 +274430568 +1045514846 +1628900628 +1557691411 +510244358 +610407483 +817555568 +461155901 +421499325 +1835769263 +2001151622 +380383276 +2022756069 +524323212 +172488169 +923367271 +1907683852 +2070390962 +71398630 +1728772718 +2109712682 +1466714748 +2000776997 +1909822381 +1373572190 +1256980653 +348420256 +792363635 +1241385388 +462442570 +859694334 +1515815956 +1507957417 +341111314 +926023719 +2018201775 +951518797 +1743579288 +331874028 +1373018122 +1431864903 +185542002 +1753401398 +1307137324 +709865214 +1925889567 +83020948 +470065418 +1848796881 +154419578 +51354489 +1811025915 +1621134326 +2052131486 +1573364648 +847222869 +1161628491 +1921784905 +1639586504 +255530231 +236743827 +351797190 +1771346188 +1744701244 +692908504 +549886259 +1615419371 +1644427302 +145981899 +1947293399 +869961776 +1577846803 +2132835401 +475879527 +737500479 +695216968 +254285446 +820521427 +1165282386 +2103082328 +974941005 +1216636875 +1766624595 +448591684 +1121284714 +1192505596 +1295814553 +135429557 +966806853 +787917409 +390959789 +1203550680 +1139714600 +14822329 +800768277 +1832623104 +564708588 +268704000 +1329566758 +710690488 +68513752 +52044887 +141053643 +53865505 +527924414 +878554122 +749082473 +782209860 +1699075550 +1914364860 +737808540 +526532907 +983518087 +356949488 +975124591 +2104802801 +1549455084 +123455496 +92748711 +368778289 +911372906 +483708500 +1572328969 +2051087506 +498530829 +225613598 +1736226962 +1063239417 +494317599 +918310073 +1773929905 +562831351 +970354960 +1914983548 +616696856 +1498279374 +646054023 +1365779330 +133005586 +197645925 +1132660542 +870814127 +724178832 +2116178629 +1227763615 +1699303424 +2073497783 +629735051 +1822758920 +18762846 +998513340 +586648178 +502471346 +423358661 +490252036 +1001002175 +648972260 +78995351 +2064241592 +1143289859 +997305424 +1690687850 +1706121210 +1967660384 +1458187750 +175334418 +1318456110 +2104241773 +1541113748 +1451461696 +154404050 +526290642 +174792175 +878582883 +494985624 +1402555790 +430402659 +420999759 +2032290841 +105677931 +439762605 +883320533 +692326110 +942233951 +1306679195 +1182578146 +1943236126 +1955651455 +1261573497 +1859994070 +951457666 +111395273 +1403198272 +510095228 +2079055657 +713902375 +685429646 +1250028119 +670660500 +79059747 +554006168 +825064551 +605350389 +728798343 +1703647434 +1100336013 +2131354134 +2134050093 +1521335772 +2016161327 +92244376 +1961098377 +751998213 +784570486 +755848680 +2058677408 +1967148633 +551601158 +1866845215 +1081238482 +264111581 +670819233 +1192633756 +1667309853 +1180914461 +1124205765 +233728580 +1866344107 +226750237 +904389081 +1945403854 +780756405 +1729453632 +403270596 +1509554748 +1285617418 +1503606609 +1493425234 +1272183863 +877458734 +1362102914 +1364428239 +691073463 +2114101127 +1515078 +1446922144 +2025294887 +1968663711 +1998523302 +1744656454 +902418545 +115151235 +267992039 +2095052301 +1782461089 +1448906500 +1071774419 +2016189669 +1167766959 +1298524656 +773095102 +965687166 +2079281061 +355065086 +1368957762 +1441352161 +1640682504 +725080723 +787293748 +765382719 +1602539457 +1913014 +2129810959 +146129273 +2116014141 +2131326037 +1593051417 +1993825380 +1952506100 +1444091071 +1590998186 +707440997 +1559242307 +1858990225 +655009651 +1194219748 +1160413077 +1726784070 +1062925769 +180696388 +877825078 +1836020872 +1146383554 +809622491 +43602310 +367857668 +103491004 +1684284815 +1092938392 +890784752 +302183886 +547994201 +892697766 +284511197 +694123474 +861228259 +268353586 +139691243 +707569991 +73376038 +1583782315 +151084529 +780817036 +995540974 +2010074754 +1435826687 +42277074 +1023004183 +1015127109 +1105202843 +1203700572 +1892952187 +793740067 +202600478 +555091030 +837342378 +570458147 +658582034 +374143545 +1663396539 +1549366787 +676327431 +63907092 +294580905 +960838629 +758030567 +1155809165 +1229192215 +897721810 +1863379156 +1302568254 +334020477 +2014463686 +2083385290 +1329561451 +1877054792 +1371728329 +1371838525 +752575328 +239371790 +329557721 +1956275900 +2132323977 +1123297788 +11392730 +539931359 +1960640166 +581850877 +1198513393 +187300063 +97763768 +600396532 +863627495 +161670861 +894977438 +1824466124 +919701428 +2050786603 +906174691 +1817423238 +1766682111 +61259297 +3960068 +1633662149 +2144644587 +1333521519 +1363233294 +1368889268 +557876397 +2115808622 +1608261058 +887434118 +1924600874 +1593101387 +2010731906 +1935993604 +2133032746 +1823888425 +370360834 +1184062492 +2011188488 +468124602 +1784459024 +727332335 +629795463 +531952814 +404314811 +1549496891 +435255769 +1310489503 +1219436482 +54454233 +1371748800 +1223396550 +1688116382 +1368909740 +409434421 +903866028 +590315360 +967310818 +872191002 +51092771 +1854744936 +649308228 +1644194158 +1717993195 +437818185 +1629743257 +1394397972 +808179019 +666322101 +1258102812 +1276303621 +303297477 +1985435148 +1906099085 +835250292 +242266311 +1308112328 +1270506061 +1552755814 +380065162 +1324960294 +777020967 +1603461712 +865593029 +2145930707 +2012896134 +1769459057 +588762419 +832723304 +494166412 +639855190 +539984593 +1143474640 +136565701 +110494140 +1581292825 +1766308958 +1504892112 +241988196 +285147411 +615511276 +1518291818 +588444888 +453462776 +1276907255 +1423695180 +695729088 +437535935 +546717594 +101001254 +817601098 +1871677888 +878022221 +273579162 +589787269 +876469280 +138991648 +211762679 +1465231700 +971714953 +705929091 +2105086890 +1511699546 +1849403731 +94168943 +1622193686 +1283212909 +1860477901 +979602150 +1525201105 +2145625312 +1595113426 +896009275 +586586553 +2048576203 +25432882 +2010281733 +596821643 +462968818 +409515679 +697822897 +1280569916 +133709920 +1575845119 +1554149078 +723497189 +304830751 +1693140727 +935259868 +1770062451 +517372032 +1641188959 +1727665694 +2029071578 +1343109043 +1821834637 +1503781616 +478838304 +1534828891 +335900118 +2004039409 +1532970555 +1931013544 +752565037 +2119557108 +1832106099 +777997919 +1982355194 +281444094 +1240966737 +244387225 +979266992 +374053005 +378097145 +407628463 +1928202084 +1101594335 +712459214 +1473859163 +2036854203 +335038018 +1991231195 +1530559515 +2062703712 +1872819125 +726184910 +1737054701 +1229117093 +1205023214 +1124399944 +1565017211 +1061578975 +509886852 +1348547107 +1814144012 +481960312 +1033169559 +444658284 +316831858 +1314613653 +1685625021 +561219084 +146396997 +2059678027 +939316229 +554025460 +1840396463 +2040910564 +1266484675 +1166771978 +1930281120 +1601522693 +1010519525 +1313356987 +1516742757 +735855002 +2039541897 +1106313810 +1964972095 +1097081463 +83230107 +1382505658 +11176790 +593116959 +583569117 +1825320803 +1075077271 +1616738676 +122495439 +1391909130 +783868682 +1808120460 +1953128214 +930265679 +1720314839 +744960795 +1484291140 +1413227654 +638387712 +603292167 +432515984 +421185184 +57331212 +1443035509 +1734542171 +1574073969 +31406863 +1626600420 +532904131 +1996378958 +576198235 +616134238 +1231400968 +587375025 +1209251197 +1814970086 +265212180 +136844821 +1284225114 +387707619 +1528753951 +2068093796 +48344432 +1334398517 +850875828 +1768659271 +2079359312 +187683320 +1034403278 +570263376 +790975487 +1466919262 +991448560 +848306699 +762471124 +578507083 +274897020 +793877987 +57623855 +807801151 +642773298 +633822090 +1423935390 +1874174266 +1221197116 +485702939 +1541660704 +1486409296 +622547760 +678402171 +1874116916 +3818063 +599012319 +1922461348 +1338216580 +1449888147 +1543636971 +1270092245 +1637571467 +430556601 +1840355621 +281063306 +1897475864 +684320534 +1129370005 +512463340 +1262827617 +1404267025 +1306341327 +1320451473 +64584529 +1949114625 +1954273563 +1488519919 +1675805244 +1027987031 +1974222858 +1069982300 +366912680 +449286971 +1748384471 +93545948 +453105034 +199913143 +2016007296 +1791321615 +1649801290 +1412160619 +913930212 +1139889110 +1842717221 +606802185 +1420952416 +1592709437 +1291122719 +402838774 +2105172777 +406466689 +1807105799 +1264030456 +1726918162 +1871690328 +1065661434 +1533708077 +1212726599 +593983030 +414211461 +1039465810 +1663965330 +781124141 +1488752781 +1264866154 +874670089 +1941857815 +1464779297 +743193737 +1585695782 +967096939 +7870708 +352142346 +2106986049 +1850587929 +958944532 +1380454818 +1295813718 +102583603 +1783293592 +1253502847 +509050292 +1442915743 +370049656 +88484806 +1167122424 +1435711090 +1622192884 +232365375 +2029694120 +2036404345 +1271831185 +1546175802 +670044838 +613100318 +663558308 +1544714927 +407474486 +2128337605 +140425016 +1993170268 +947950897 +148295724 +197828967 +907453298 +1998883654 +1156773499 +140424468 +1147213724 +1259357102 +1923718060 +253232924 +1768407395 +1219150156 +623282580 +1856892201 +238788932 +2058993670 +1331601437 +471154307 +1941204142 +1220522134 +1742985493 +1339896296 +1890566972 +208602163 +2003454605 +1287798251 +616076649 +1984308562 +1428223267 +461763270 +784775811 +1576518992 +659592237 +1692229110 +1427918998 +1816365736 +1832653578 +427649074 +928239190 +1608887991 +680881998 +549162937 +680554499 +1304164578 +258571491 +919343431 +1215674600 +1590172928 +1390497738 +1009395094 +663211415 +985999583 +201807743 +406294739 +1194601747 +57778700 +1694092991 +1810678396 +2042087262 +974832610 +124958018 +679379426 +403867954 +784550255 +224124888 +1831786952 +453432343 +2056778466 +111952379 +1381671534 +1518182809 +792834377 +1930834471 +51253660 +2096998956 +41922314 +970597091 +1165189908 +1632095243 +213611182 +27101355 +147823010 +1199610765 +228909098 +554117749 +246728864 +286687798 +100727092 +2057407261 +181291412 +1075559703 +34881631 +860670838 +1479427657 +819431887 +1084795726 +1163730962 +1272864230 +994090545 +1275683341 +507052116 +364789706 +2068517718 +290402940 +416043367 +2018033026 +332325254 +1386640458 +1035739287 +1964420497 +1600251640 +1062840642 +2112243507 +652378758 +1291749740 +518877609 +899107622 +1578437538 +619604701 +809031235 +1759728950 +1695164404 +843912867 +472916141 +1027108414 +1663344754 +1557711867 +43355728 +788725336 +404318764 +1319039069 +1295777453 +769108471 +1240073139 +1586180393 +1185151838 +1110622518 +1918505647 +424308648 +2146361805 +1735442497 +2024560289 +1061718799 +1700202356 +529455399 +205984891 +71596317 +1428563021 +1784422429 +691201019 +90110609 +1396667731 +238881775 +934023476 +1869583872 +1265990189 +449884582 +1279812092 +1309345917 +1238609918 +1684130856 +480901338 +386903723 +305755679 +1720974478 +1973084116 +1490907517 +684113348 +1744106116 +1915216166 +682991505 +1332064965 +1792292807 +1744710304 +884783673 +174264558 +1950695195 +956379991 +1602827579 +1587633976 +1647581010 +1692938188 +836818059 +1886462785 +479478016 +558918284 +1004969327 +929362598 +1838730376 +166831596 +20488869 +1375377584 +647732935 +407392592 +1681133264 +221223765 +232993061 +1024557133 +905337113 +1977099177 +792289651 +1588328618 +1161680494 +437098810 +1185555274 +2046464167 +611363368 +988766821 +855360510 +66707300 +428917149 +355457872 +1759645488 +1265735208 +94437010 +91639857 +1824653492 +1099406337 +1021002455 +1515900220 +1266237933 +1041491324 +743794157 +1913970868 +1448883917 +277443773 +2135194633 +1681876978 +1302000906 +893048098 +1511492507 +2094290558 +333893068 +525689353 +383905720 +1519448342 +424669872 +995269089 +360731515 +1280030383 +1061976389 +789648664 +1635488255 +674138229 +2055383873 +1729925265 +765778086 +1732553717 +681847954 +1786780542 +1100970290 +1948085888 +680788218 +1844764447 +1714573108 +2129672135 +2122208220 +1702284094 +1664065465 +1276725478 +447848544 +1028074324 +1223532388 +781741613 +1553763677 +1607438109 +153706307 +1978433550 +455223550 +514437823 +1110980285 +1517199939 +1304086487 +598984892 +43854520 +1211986712 +181426510 +809632607 +797056782 +863274464 +448929501 +1898027072 +663876704 +1129717719 +1595307871 +230966165 +1111906207 +1570032443 +1933250259 +628488024 +699274273 +233615155 +1656562349 +1922806662 +1015356768 +1062842378 +1382761123 +1169063076 +893792280 +1837984673 +1683500899 +2004772565 +1207700964 +840103738 +456273810 +1251555484 +2052090451 +637700320 +2061188091 +701663585 +1500974784 +362633944 +452207009 +17367841 +1492351664 +2047514880 +248334006 +456774223 +1470063675 +34100617 +1085262247 +21854300 +267715772 +594340948 +1944660962 +1283072541 +1657183327 +1179938437 +304651969 +403491959 +870439462 +1988152868 +260780877 +2078140426 +680772958 +717054687 +1182212263 +585379761 +1354755007 +1095916706 +1287043346 +708246143 +1458550651 +1739250355 +725613984 +803418667 +1639281587 +973947990 +1260192890 +961861614 +1008048607 +197971489 +983715915 +1275764380 +792312438 +780893229 +411353273 +302012117 +1960831667 +716005242 +705504076 +683787481 +556674462 +966284953 +614444260 +1237447420 +1683339640 +1796656523 +1822827182 +890610999 +745089581 +962386880 +1598857143 +56156584 +554153588 +176987479 +859575251 +45951527 +1150935470 +2119768141 +1007813142 +11500429 +170255983 +1991529057 +1287264809 +962568421 +624938638 +1698618082 +1264580538 +438286657 +267139676 +1970084614 +1122074139 +823814138 +788885920 +1736518399 +2061261559 +324741912 +1385691274 +1736605093 +1215352912 +2130780855 +551508325 +666726407 +39453792 +1105661913 +843713886 +899029043 +1151613441 +1994649356 +871313537 +11942935 +2006149786 +1041569520 +2003471992 +1145930947 +2004137941 +480926982 +697065382 +1121234831 +919213640 +964205058 +943835797 +2041287779 +1788019197 +1732721717 +1630322530 +1701797108 +2057463630 +868530156 +1290918553 +1125332894 +851827363 +1842426878 +1792059301 +891281155 +800605144 +488289539 +1790310199 +1952218585 +335455248 +514140088 +1964161520 +194121386 +1555709608 +1820149864 +1340052333 +1412363901 +153593198 +2037117715 +386115084 +1072806838 +853839126 +1329950881 +966610969 +494374675 +915188951 +449449851 +48688135 +825168933 +1317980007 +1339606688 +1950501827 +22323723 +1034549918 +1595077480 +913604878 +1835155062 +2083367019 +556431429 +1639889999 +271338619 +1070571517 +1456567871 +465460005 +478797477 +1129234087 +1805512339 +1891161378 +1282827286 +1695146406 +129792814 +208150476 +401501884 +1459743696 +1174761446 +895876559 +227448999 +1624211297 +944564694 +1052617932 +794707657 +136687734 +855636111 +817031380 +1171237653 +303229943 +1730636258 +858909067 +239113314 +139584040 +351315419 +510451934 +1210155557 +1807883290 +975911939 +1688953035 +789633730 +633940630 +1432630765 +2072461016 +181603389 +1562423580 +133127844 +583105273 +874683628 +1307889290 +1478981833 +1102132627 +784616940 +276062879 +7266911 +1579324597 +412750614 +862903022 +248872329 +1583988267 +1166132965 +1979508587 +295413686 +1405246279 +2119092627 +646729105 +1915698213 +1181764537 +307128748 +744126505 +723233924 +1096762478 +1378067135 +8381041 +1021739846 +1559670524 +1570804621 +1154867690 +2142775798 +298004601 +315273333 +1474273983 +1400137228 +1099890273 +1750336862 +1407404139 +531731222 +15603828 +122823513 +780603551 +1599592095 +1288956478 +612628490 +1895005782 +546719110 +584237470 +394251239 +314933675 +1766002007 +701379987 +1059060180 +341752283 +1798142465 +289643668 +350133324 +672398663 +1849314192 +1920937946 +1827266354 +1844606342 +71458899 +2142539687 +1171396677 +1471596128 +1094946312 +774249892 +731516619 +1626677534 +789853720 +854340133 +259797437 +241962168 +2143296611 +872425927 +2136967950 +542532073 +1456663397 +383735541 +857465749 +1075181756 +1085115529 +1916525929 +1416934039 +735774346 +58685949 +1767067364 +1408173010 +1908000142 +1540521662 +1087955716 +1605122836 +1611980561 +1083011755 +629035866 +936093041 +30474419 +1403285758 +1667609661 +1657151953 +45655830 +374466146 +1916949390 +287617998 +370279109 +641891669 +277102300 +912811183 +2098555067 +660837842 +1770276932 +1026253175 +1745953371 +1539319213 +295703567 +334244069 +1598005163 +2062770931 +1742417079 +1358521657 +1455808945 +682889147 +816160845 +920305858 +1765900902 +1445196711 +1856398900 +1796375321 +700998821 +1376524913 +1306043626 +746654652 +1750991059 +1075509368 +1034272650 +2121270168 +1717401038 +1311374951 +886597703 +1668472457 +1972212793 +509390987 +547241984 +1570682516 +2048710201 +842945551 +1904926585 +1499231716 +758232834 +1499860017 +710269725 +66558131 +35265516 +1526430570 +986863990 +1801166419 +824143634 +695779242 +1450058092 +1525142455 +2072304155 +608618071 +124313459 +1675811566 +1684127439 +1158586110 +1649598086 +1254044829 +322477413 +388712142 +775033638 +147206558 +898103129 +1322275623 +1717889074 +799329682 +17737526 +1475332011 +151077750 +775970361 +827708380 +861347475 +842528492 +862973897 +240294398 +1829392482 +516656668 +1064438032 +377688076 +1966714760 +442096839 +302508583 +427849183 +566410299 +1978320149 +2111976623 +1724996409 +1480434588 +1218537804 +2047473822 +1869146730 +1993571443 +47196732 +619766211 +1168363418 +1765085806 +1419095894 +1186100944 +1092934169 +1570173644 +1962071305 +1920642550 +284037472 +657116150 +636132799 +524331870 +339024984 +1152789467 +1588769902 +716713061 +972020579 +2030866741 +1019221644 +1399869763 +449793392 +850058146 +1364362738 +27306153 +183009086 +435416894 +2074779975 +2052155816 +281504689 +2121976707 +524438379 +1449868107 +1739578865 +1943534273 +488485404 +685029387 +1366224270 +303073061 +458188289 +1650261742 +960189211 +1094321088 +27109964 +1299214196 +99626907 +1615879866 +2015927257 +1071647486 +1499262959 +887665253 +324033601 +1949056352 +1737723399 +1688396339 +1976362505 +1920732485 +2123813234 +1903658833 +1825404653 +257834275 +1878151892 +202359385 +1707702383 +1470247110 +2145893658 +48704139 +7792849 +1364634280 +351777200 +465981138 +867412374 +1311966412 +1560302226 +894522338 +463696960 +1659929133 +362918556 +332140569 +584092971 +1862181516 +1219805822 +908126573 +1663754220 +810045574 +449039264 +1492633077 +583294411 +425368850 +1248808262 +261215417 +683203126 +979476507 +463574802 +243421861 +302239969 +461984812 +292126000 +310032818 +1826619093 +643903200 +776013956 +546547819 +1955869612 +188832534 +1441070158 +272082924 +1848761667 +1803988714 +604223493 +285370990 +1518686582 +1824029316 +1193497563 +1034957154 +486591242 +1642536828 +380106584 +1069885653 +2067905678 +1628914846 +1331101070 +603625156 +460907705 +1794675872 +847047017 +763147674 +109177037 +1139173017 +1073180492 +1935796130 +1783076218 +1849194448 +334860301 +1591462182 +2038026982 +1775930459 +1863545107 +1739305001 +1432435526 +320284952 +2024675992 +803638460 +2144314268 +1070689907 +1838595615 +483421862 +565743087 +71218551 +1553307516 +486165118 +1700133397 +736924938 +1089790274 +13557455 +384117163 +1936837292 +776705129 +493294200 +928526661 +1849885622 +281606682 +564119231 +1551596422 +616466983 +8097766 +1442139757 +244913795 +1871642873 +1033961110 +1677349321 +44444177 +911153454 +333504133 +41274798 +1981843362 +24616100 +524696660 +400102801 +95834651 +2078004176 +886267919 +1795968049 +667445467 +1976058194 +1809525504 +1051562630 +1765411838 +438746985 +1544856830 +546454851 +141148959 +1826463512 +1110574083 +1692745382 +295446847 +1118671849 +987401491 +540360642 +842831074 +2021362601 +70226315 +887275251 +785032408 +403730449 +928550049 +619392122 +428346549 +1453246710 +1019494923 +524181201 +1383767238 +1905762843 +172665602 +2051212705 +1734337389 +1982191106 +955291687 +1352265579 +273454443 +352664869 +1898720430 +414603403 +31644733 +861810865 +2107348785 +327091581 +1980482714 +947266628 +867452223 +675830140 +821145581 +937678539 +1563105392 +1606177989 +1341408988 +344171793 +78086463 +1769755537 +1797418503 +1097581387 +146453090 +1033702094 +855860582 +319118692 +937431151 +442714323 +153826150 +1892722839 +1794979902 +427280594 +97904060 +1546216684 +841883997 +129548794 +260543902 +801749134 +456640375 +93542968 +1749015762 +1324092598 +769373109 +422677695 +114287489 +184994853 +2028855685 +1455696477 +529166646 +2106942148 +1077968367 +179101502 +1057039887 +1224421457 +1212803596 +1912900469 +1543540150 +2751099 +208131144 +1697366300 +1895473938 +2003111046 +2124646894 +1993377999 +1401844083 +819047243 +2122926793 +1662387985 +1620796377 +432083520 +1755930953 +1222328491 +1756176118 +377820414 +1645006187 +1870463608 +562815267 +1526378224 +1178676437 +1091981914 +1485836724 +109161156 +1271083416 +395392964 +1333582614 +336403364 +160809785 +729639116 +339154463 +368940930 +279521768 +87144754 +224568328 +256685015 +2080522753 +1626412411 +1075732258 +2055965898 +1141316748 +549044988 +340565770 +749764054 +1771373479 +2096741888 +1127584468 +1268896018 +1819721848 +1690399736 +647790594 +850914638 +634898002 +2133627319 +960075794 +1905981418 +381536635 +146174760 +94901134 +542346420 +875813876 +434055597 +911287350 +1155335645 +521200351 +1135855679 +1412020660 +454239456 +614784442 +340269270 +362721706 +1756101191 +889314258 +703287476 +358381597 +513204090 +652545717 +1485966065 +1782100108 +324783917 +1028882153 +282407055 +1175698555 +1663780155 +268550726 +2135774350 +1422277925 +650087361 +134465462 +1517179059 +1192433781 +1010279339 +1951234657 +2103721132 +18131336 +324951360 +1092093163 +1430151996 +779190817 +1706877605 +1770421266 +1141912523 +1315495148 +512251877 +1845200000 +1673876745 +1025455967 +350262069 +1012359163 +660072427 +675045986 +2041241316 +942479482 +1850744542 +1557537824 +1211030208 +1839035244 +832332101 +1861117569 +1973500706 +202027513 +906067703 +836296397 +5778522 +862305187 +854427733 +330729882 +1954398350 +137096081 +1109920699 +1513792307 +1907517348 +104349575 +681803808 +272285577 +1949549575 +208196905 +1297741544 +152327996 +1220556068 +1957813971 +827373982 +1114313737 +752809806 +530634876 +524367913 +1963840014 +222186472 +1356700014 +1677473936 +48203531 +1558727527 +436057991 +884499928 +1564506049 +1298363178 +1738927662 +1895235932 +1105277880 +1876023743 +857672983 +471586539 +1636057443 +962022558 +1153390347 +1908343020 +764088485 +1361587253 +1058600916 +916416481 +434659673 +868931240 +1743790464 +1548973410 +1621741046 +126941692 +2073341323 +1438097412 +349128165 +1282557690 +968087700 +397331696 +693801569 +1404145691 +1281831624 +110823971 +555025221 +873275638 +2006059903 +1660303101 +601815734 +716249238 +2131889641 +90389529 +1678271797 +1137796340 +1998732550 +294876634 +351899945 +909849818 +1211293116 +786559619 +1778781058 +807599932 +188049381 +1253038456 +934541624 +113907057 +543652221 +1283669789 +1396464747 +1511739921 +1681001485 +2090266316 +768401965 +815349462 +53606639 +1323427186 +1688625100 +2059666542 +836246640 +142957186 +628432133 +820652633 +233346716 +159220282 +1958448973 +84595618 +454096916 +162865271 +994445436 +1665390032 +949424890 +625742847 +325506316 +1137474271 +1878781303 +1260047941 +1251381328 +274949876 +396234082 +500362427 +1786689798 +2077235568 +443145096 +407608115 +745101382 +496751735 +1731035301 +286242834 +408934630 +419798293 +429200021 +1037366763 +1240450926 +662546737 +1196587045 +1051416252 +747142355 +1650683961 +1214281523 +1741587791 +1168590346 +16222765 +219846990 +1494096662 +1153697036 +2098628294 +606660955 +257594717 +226094522 +1002895038 +757957144 +2012784320 +932646958 +1201102240 +272908787 +1677748340 +1697853976 +2003944089 +1963991174 +2106788606 +276258734 +245707547 +996671721 +1516709661 +908254284 +45775118 +420642265 +1655396639 +1696459079 +1634923788 +1249500783 +717565777 +1651146553 +1469347773 +64178792 +657359941 +1420492419 +670839747 +914954658 +1646586942 +1673734785 +1672911803 +1511887614 +458898095 +726530395 +1784796402 +2136646435 +276900723 +1641256843 +1953153962 +236205681 +1917515577 +51377861 +1232877402 +1286741590 +959632146 +1278652520 +1707383855 +467545137 +827627952 +1194823995 +1717045920 +1545193729 +698486900 +1038910046 +1609372521 +1355846842 +311918817 +132728621 +123317852 +1958505759 +1806463406 +1796229655 +1322909726 +117877854 +375276403 +960222480 +107040641 +652177126 +453995675 +2060194603 +888382808 +224027604 +2111572465 +2121260210 +1510769195 +923720963 +1252429083 +1070669402 +1391266100 +2080057035 +118009750 +960828373 +1477767116 +816496650 +1999738419 +939655990 +24859844 +164173588 +1072384611 +148177697 +2122679348 +731364369 +1944407352 +1298105426 +849242223 +172200107 +110844258 +956282865 +824377234 +564839933 +868993820 +1712760042 +788867537 +833082637 +1686536604 +152153084 +1756803600 +791482039 +1222822487 +1000586053 +724055426 +1340832237 +1961414426 +54338895 +9845239 +1813669197 +993994885 +34705084 +1977842785 +2066379496 +182882781 +1953038485 +650260217 +2127290133 +1103660263 +1499502441 +152006593 +1214504521 +308301658 +976383827 +1779344454 +1177295478 +541660221 +420728344 +2010378116 +80713177 +572881428 +1619698068 +872195217 +1795703915 +472800473 +1596250643 +989052504 +286731251 +1650589538 +998897744 +2100400448 +497100775 +1033602828 +1930759586 +415996623 +1216485609 +1736314423 +1066256841 +1196292094 +692491039 +418275634 +1348298687 +1906995560 +726577292 +177198866 +1538856367 +1903872770 +718859087 +1959584711 +1766767238 +799572265 +384982491 +1238981659 +1671767482 +33202759 +1711782132 +1120534477 +1022255263 +1998513384 +623640368 +2021153007 +1951430184 +1120741143 +907272187 +1734706122 +1536737767 +2123757796 +1323536898 +455510960 +1172566243 +2016027937 +873786594 +373381282 +1775539849 +1600363886 +550580149 +1166912568 +1356753008 +1269439236 +979013631 +976036599 +2069011501 +1363996123 +67534610 +1593295335 +1397198882 +1779316742 +566346165 +271970497 +1630346478 +1189986533 +145639857 +1434293015 +163244028 +1052912044 +1021515489 +1699981795 +1029186193 +197568739 +8009107 +54268788 +66113028 +881795701 +427650070 +1841652878 +334675939 +978230219 +861081798 +1691428948 +100185808 +1840095430 +519981899 +21713661 +1056607905 +587516509 +1615008997 +306323139 +219349603 +33871514 +578293636 +1849696082 +1223858047 +723933493 +1136505449 +1387102075 +1776845538 +10537290 +939600223 +658548083 +208106030 +947609330 +712816871 +274219058 +1829405032 +1140466941 +2115871936 +16597323 +2118697161 +829470087 +1708026271 +71399321 +522081869 +80524522 +93112982 +1578689774 +668041031 +1708121979 +1885012913 +887390635 +1741993493 +315822901 +589603069 +818367892 +1039756395 +1726108518 +57986320 +669118285 +1736645808 +997586543 +1327666368 +1944751838 +1945195873 +2040483239 +71487249 +1627117257 +1033466532 +39875537 +1643714581 +1004680045 +869345624 +1204257204 +1076079366 +1391427493 +1284781727 +1169192349 +822633619 +1952822758 +729830680 +560162884 +692729745 +324340526 +875985786 +1282332814 +1142708418 +1915742181 +860957684 +1200694738 +437376818 +450119845 +50797633 +1765043186 +247388035 +1995993507 +1658042777 +318875284 +1475627116 +544025661 +358750822 +971858049 +1548705707 +1228096446 +28631606 +477301425 +472040292 +1313413333 +1646493774 +1294673911 +1118752443 +228840807 +1854836796 +1811482189 +553181333 +583338934 +946331355 +1695889751 +351597467 +1807289040 +749100842 +788974285 +109925237 +799898475 +406533823 +357313272 +648408334 +2064576600 +676188557 +2124035451 +461118613 +1034939379 +948409852 +2009824320 +115552177 +977041458 +339642098 +587592469 +142971143 +1986135872 +1882266381 +1261723587 +67493031 +1589619529 +925722128 +620674364 +25474815 +1872053483 +169080468 +377072282 +1531858875 +918181310 +1166046567 +1641784112 +1718079785 +1572580390 +1999097385 +219004472 +1489673342 +527802294 +195556275 +1950791955 +1562741673 +1143966127 +1813132628 +1678293850 +2121007586 +5291078 +118402672 +116495081 +1991426950 +2000669053 +1378218668 +2058919982 +1442804934 +156457148 +532110698 +1468279749 +2028510632 +701191166 +1845352031 +1412885859 +1619372476 +863914950 +907186324 +1189968614 +289011692 +758800061 +1408973086 +1778685034 +1286602355 +1604529361 +1581993341 +701860380 +601011840 +1247642321 +232670582 +574535778 +1252933399 +351073254 +691030860 +1096876702 +204258659 +2069249528 +1008313036 +1647063593 +78223029 +1540423734 +967859694 +2106733661 +94131253 +665728077 +1372135872 +1713503729 +1529643027 +131838548 +755988695 +1818654719 +890638609 +17478133 +1449856105 +29757316 +1622007494 +884365799 +731617696 +75535687 +2132008120 +964288279 +650071465 +1237457872 +1315361533 +1341102325 +186850926 +1519620193 +1262868206 +1195163962 +1019200138 +1341091235 +588104048 +1987059833 +1300341248 +682235301 +505304262 +524993472 +248255383 +2034947290 +656832021 +1004244078 +1706118361 +1547470630 +1021722212 +1008490819 +1577227947 +496246058 +1892856618 +161361995 +571781745 +1877381090 +1125650274 +1221853211 +967355314 +293528160 +415471888 +1154206240 +1813148353 +1678340094 +201886554 +684864843 +871947681 +789990603 +524441028 +24805281 +1472225904 +1029745291 +549798754 +1720481287 +917208933 +1206630775 +577241718 +475843646 +606617757 +1598963930 +1484334465 +36362056 +2095209988 +1229707435 +197724052 +519508086 +959604878 +1323374326 +1741361297 +1926960192 +1616902486 +9349537 +933682785 +1282567191 +1687689632 +1135569339 +1967432035 +412153665 +1925559942 +344389415 +436958947 +1250302199 +1374134706 +986757701 +823299838 +143859991 +45904828 +1400541556 +619703638 +652522585 +852021838 +2104038103 +688884642 +799748179 +1186261891 +886608694 +1319256265 +2145866769 +62499372 +913133914 +1925343313 +1679401859 +922483451 +711542450 +814485402 +462689435 +1847111790 +634433789 +874843101 +1625188084 +978823205 +1311802048 +728006635 +205474263 +151076101 +1551306474 +349334255 +196980929 +804364382 +969037893 +849503514 +1656386221 +925592348 +1538388156 +308650752 +2111854239 +277513202 +1627907017 +2110237360 +340012575 +393557283 +1888097026 +2019414434 +1316040734 +452155828 +686416188 +1778730170 +151783970 +1320849978 +506089623 +1776972055 +152189535 +1817891671 +357495042 +357663798 +1968967772 +1908801516 +706998053 +18465053 +565682251 +1676035946 +867968567 +74584824 +454144647 +258873076 +383235576 +418515238 +536386278 +2011142593 +381268951 +876398853 +257216228 +121882329 +748329639 +1573256962 +574038157 +1434745828 +1204503484 +725822128 +608112158 +1710593107 +355310535 +760301693 +1381001130 +712805577 +1117965491 +1202485254 +474123446 +1824963545 +1220950307 +1039805697 +1353515843 +2088918875 +1114390521 +1807660490 +200308303 +1497626097 +78692081 +736694581 +1361285042 +459961032 +1613093435 +1618501270 +581843361 +213939426 +1044274584 +1155881518 +1648685254 +101294421 +1881703646 +109313764 +1811887528 +89530533 +869615457 +1045405011 +802336111 +1987580949 +100406617 +1276459557 +1665060846 +1321356925 +168781606 +871093041 +1262792152 +1283172127 +531269884 +1463100455 +633314576 +609961965 +52311388 +1994599618 +1069922997 +1665404823 +1465617240 +1651766358 +1879344250 +362408176 +660164228 +1380545856 +463702597 +394384227 +1489859621 +128106478 +483914760 +211991430 +1173511489 +1286250871 +52088731 +1273918106 +415226780 +1717149577 +447791383 +584008386 +440758971 +1710583535 +1867180513 +972028855 +1026200342 +353011441 +1581990820 +1078511731 +200127411 +504430169 +596432906 +1665744651 +8712879 +328293508 +2028152828 +668877107 +1708839365 +344371777 +1063261334 +1051215338 +472478255 +1547176095 +1263206768 +1645989744 +685943318 +1315295500 +772424203 +1101170099 +884961429 +1220215586 +1685178485 +1325720400 +783315474 +1404875351 +150265607 +1809515816 +1757886792 +1732256427 +740543899 +1958014204 +89202948 +1336976806 +1476275207 +97915827 +1665270314 +1356944387 +766792935 +1226626031 +1701316165 +1830054269 +130357721 +26310772 +1229746716 +1393564490 +1672300517 +1915690035 +561376342 +297241072 +869376486 +1446337771 +1517456658 +407071323 +624574524 +153288484 +1811946674 +774840131 +1962804301 +1422349819 +359612911 +555864552 +1232880375 +448815859 +1892841358 +561671934 +546731687 +1410628025 +1918616322 +1313524622 +489770408 +1472448839 +996095243 +620128130 +1498759611 +78358312 +2013692620 +1023576480 +1994048347 +427585314 +1320817552 +715941185 +1873923085 +690790563 +1123012508 +351013961 +844079047 +787475535 +1125854093 +659399700 +62341706 +1485467004 +1215264253 +1295222081 +1934282863 +960621963 +1856894015 +333530902 +223766340 +1628026689 +1647055524 +713536749 +952991880 +495667120 +1333664879 +304267844 +574025432 +1199873851 +1327844324 +420590131 +1627459165 +501178229 +1136531316 +1353898602 +1191968792 +112060176 +1704912564 +2036047839 +899535711 +683283009 +547963892 +961877417 +21266365 +1763228145 +109615850 +1955549228 +576366460 +1966509866 +141596483 +800132801 +1447052907 +1788652007 +1513669550 +252561140 +136835479 +699850781 +556828984 +710860911 +1899724632 +1884673308 +1131451042 +1379700149 +238367889 +120498710 +586115103 +1430336681 +232558887 +143544019 +1318900873 +1132094598 +826827028 +1866864765 +2093972016 +848093393 +1482609262 +56104218 +656158974 +2058975722 +2022614084 +797755457 +711624875 +1322183344 +438923816 +77810777 +1574744484 +575759296 +777661558 +2131573468 +1286620207 +529902542 +1868763128 +270587602 +1909602691 +2107131018 +391086312 +348234147 +1389984051 +623645199 +491778166 +561401276 +1755739798 +1318605195 +280782393 +1702228166 +19214940 +1763391655 +1758332384 +675373914 +1674883730 +1633462821 +1473129371 +239024957 +808162517 +1912053188 +316835735 +235423353 +340328836 +1094497293 +219513173 +1626949043 +1624399836 +2088276301 +1897536645 +1386518879 +2047923671 +141139310 +1734753026 +1290424075 +764784509 +79047545 +1851825351 +373040659 +1397652740 +2132607745 +2075268825 +1416867680 +1748515752 +1686117562 +2092241595 +1275915834 +1172096735 +1417887318 +1514940792 +1980259252 +1182456858 +1831776527 +68198957 +1522785694 +778790172 +287712130 +1002251090 +255706360 +228504783 +752304087 +1642225240 +128944807 +893443397 +1229494618 +1419368882 +1658227907 +1308542163 +1123710585 +2031268566 +558711255 +1108834682 +1959053744 +1975578936 +709866787 +1497687658 +1920336883 +1985782621 +522300745 +1190740553 +1353239765 +355076349 +225713764 +1037532644 +423275306 +1748499458 +1816322817 +710987436 +603266900 +2072029177 +939492219 +1355570988 +1566770769 +1068437026 +101530737 +648781740 +340322260 +1759758644 +1957323903 +1464032846 +1643543563 +368551511 +425383880 +1455113659 +196646799 +1135250667 +805317669 +2116983682 +973549641 +1327618414 +1160240587 +179305758 +1682694763 +1385954351 +1216838403 +2105970069 +986970162 +885677572 +669473857 +1590237062 +810223101 +1608966076 +798324402 +229510223 +529919455 +899855140 +878291963 +870241715 +512130136 +688132218 +186790913 +8190051 +1056683729 +612174794 +1463303710 +1253330528 +1747425461 +121137731 +1222830562 +573491454 +1448756145 +235587502 +752797213 +983967260 +1621541853 +1969635616 +942453681 +461028367 +707829540 +1611927538 +2051265430 +1518052641 +1073409967 +702106184 +1747562864 +1603329422 +1601961324 +478371179 +326087489 +2114091461 +1166503398 +512878403 +2122281512 +75703479 +1125053197 +1438101575 +1329034008 +724995010 +1559239306 +404380922 +1298486465 +860511804 +639968424 +2051283678 +1844479064 +114026630 +1873435646 +639449098 +575054997 +433781538 +103892988 +478836779 +1951834179 +1177302955 +1180942964 +1551913396 +633148729 +635420640 +2030284575 +959236219 +602028453 +1049304325 +1472114622 +576826318 +1125007805 +449684171 +2014927893 +306558165 +1174679181 +1426683551 +710939087 +325681998 +139711707 +1350907512 +229482028 +1984190772 +1464934142 +2102917674 +476156222 +2039989139 +389215564 +580049210 +371342271 +193566096 +1757352166 +1552285235 +1745479492 +243017247 +40222227 +1628280419 +1202253466 +642250681 +530101097 +526884440 +1219076999 +1655108902 +976568611 +1086521244 +1961667067 +3764145 +365721147 +525122506 +329446143 +505432855 +1876030018 +558928172 +342139979 +1193480512 +514362198 +818296201 +1085986004 +903577763 +1398345411 +1457328275 +1097143859 +1008213929 +862129862 +695139703 +1251231177 +902352089 +175936474 +306000995 +1544602770 +706037571 +832885436 +616196121 +213662825 +1809454047 +1702717365 +27846244 +1813218192 +2068438513 +552968751 +2142664336 +426387720 +281515121 +554108860 +768527699 +1474995634 +1068471058 +1586823900 +413497990 +1972048821 +837685663 +1870826265 +921709032 +1845899593 +585472479 +1616848735 +949647122 +1487824568 +1792785210 +1255648117 +884943691 +351339133 +2088533553 +1501139812 +565001959 +1750503953 +1056373530 +592848203 +1416238497 +977328395 +1145816954 +1411419185 +1403716115 +1427332076 +1965528045 +24760166 +754844062 +886515456 +1611584066 +1168342052 +711080629 +301786081 +891684669 +1632789662 +202026 +1477157148 +1102154749 +949849148 +817498068 +747456311 +58013618 +1702441759 +1098795445 +2146547171 +1056097924 +1663797404 +1749567476 +2112471454 +109161959 +1018322326 +942316201 +1254978914 +282257863 +198548668 +534827342 +100302261 +223308834 +1289671404 +986817717 +1834892900 +310529808 +1697898346 +2136678981 +1202214477 +1183204360 +2136881008 +531887977 +137875462 +939246508 +1349386045 +885331773 +997260126 +904344157 +1984127218 +996323650 +1960442081 +1500440974 +598407478 +1925429887 +1609602934 +1616729804 +720262440 +717098200 +1898987668 +918811108 +1251925542 +1999289929 +1142119942 +394113298 +838623998 +829529194 +704643106 +389038696 +818724527 +1906857583 +1572243057 +808121887 +291261912 +1710118519 +1747368396 +1640647957 +447966644 +597144874 +397508466 +284610215 +1593468524 +210466899 +1785051189 +44392355 +2135896786 +1247170475 +1661122159 +708675578 +1964268675 +1412626179 +1627486686 +1068710569 +1264432460 +622122980 +1462823867 +2103056458 +1451652174 +19983325 +344611507 +122893054 +1926840908 +1916854564 +931014941 +70619172 +1479489435 +530899689 +1711267130 +1927456079 +1128044564 +2108775596 +64582646 +574029440 +171758848 +1849633836 +618421795 +160171986 +949320663 +132060307 +868847565 +766105691 +1544686486 +348850603 +1834816260 +661635299 +970973584 +1150156480 +617208109 +275142110 +1170139805 +961819616 +398035164 +949497066 +731190532 +1329050106 +1020116238 +63196319 +1859949795 +583899720 +1990652399 +840510711 +545191669 +2055235045 +1414540152 +716950517 +1757385233 +2032961947 +877122503 +559222249 +17538606 +1745970068 +1325327940 +1562225093 +2094820672 +1012660552 +76376744 +918310608 +15333384 +693584853 +1193452718 +1185473190 +1655404470 +1591487883 +2134970256 +239111354 +773054341 +1007602846 +302307674 +485520488 +1591502567 +145476425 +1326031200 +2136694236 +53227822 +593087704 +706161105 +1810613056 +478566003 +1583283608 +222351657 +496104610 +1181770029 +1547679597 +2058329703 +1129107053 +412856501 +2134706447 +2047417661 +428189886 +680807652 +1093386731 +1613663076 +188728474 +537390966 +1601149684 +427839829 +1310445307 +461268882 +730147503 +1795965796 +2052771449 +875623928 +974513348 +2041982037 +928851750 +1567601052 +600659494 +591981158 +2046167055 +36459455 +814332815 +394788017 +1218229484 +214528764 +305634072 +199852889 +627385266 +292856871 +99786902 +1055575152 +973664524 +1193173633 +521754580 +1162392998 +1730564600 +2122904264 +1590232827 +893526259 +436689498 +172896682 +542008407 +341977300 +1048520610 +1516521755 +236475689 +1977372361 +936639159 +837135184 +421869871 +835322567 +873594639 +1236202687 +1230110584 +2091824123 +1450731451 +1535744657 +144193364 +2078116717 +1828601528 +243980266 +986208221 +654782404 +1437153899 +1507962801 +1817175403 +1020234851 +1483383417 +1259924582 +1913761111 +1920072916 +1432821265 +308285870 +114566568 +333858227 +1824807626 +351042257 +163746940 +613963137 +1188177441 +585616812 +1449285704 +2061772080 +1821819499 +531912641 +2006112555 +1125067302 +2067657298 +2822271 +1055700372 +1748775178 +246802537 +2041908593 +256073935 +1683956437 +1402387747 +2073249338 +556707640 +738287516 +1185690272 +322985103 +510876784 +471027889 +631270974 +625443352 +804886117 +308594952 +976485610 +968633057 +922558089 +17179403 +1554249869 +224360146 +2078951484 +1228585720 +756272787 +1937580391 +206169375 +676446437 +1940402663 +1261869747 +277737967 +39721552 +1156294692 +533811902 +1723677989 +411198791 +459577592 +132901982 +1149486308 +1645267865 +455887085 +1660363092 +2116295754 +1087158059 +138322797 +773698223 +1395753011 +1114808407 +1742331281 +170827453 +1131987810 +1149097502 +395187599 +1063455646 +230199575 +1151460386 +853552390 +436368950 +1827906823 +646471405 +1698238697 +2105644790 +686192957 +707049741 +491973045 +262387299 +1118248533 +951550637 +395289281 +120251193 +449334854 +851176366 +1780614285 +418146961 +1938334426 +1918937082 +1191845184 +1186603789 +886261841 +786692817 +1357431242 +2018249652 +1935790320 +1752618841 +934221650 +18506247 +756595579 +1787774040 +454875197 +437018754 +286761797 +5630246 +395179897 +972954755 +712679987 +887152942 +1235342054 +1830928520 +1838703579 +1630631335 +1951179713 +140554786 +334324053 +1584310351 +558701747 +125174831 +1355763785 +1750546931 +1311778621 +94541979 +389756101 +521726215 +2112791631 +178062773 +126861409 +899529633 +196569020 +883456988 +539820026 +651444217 +1320475743 +826581823 +657074463 +1715655640 +1799536578 +1369754450 +455324934 +887394984 +1053199323 +146544865 +370542671 +856895388 +287099651 +704866725 +293722091 +845801398 +830041556 +1649485877 +448864682 +2141820177 +1744027856 +838620783 +516062745 +1709335839 +1016683556 +642924154 +461381824 +1213252576 +1526381142 +1001201850 +1864696793 +699373237 +1827783674 +374287608 +267545229 +1479836604 +1744042058 +722870163 +219747941 +649757733 +869415029 +590290612 +1506653122 +1156514680 +1295157337 +1800375213 +2002316079 +2125198894 +1302377442 +303697113 +2119535423 +898921650 +1142317896 +488114520 +460773841 +11517804 +1131038674 +922155666 +1224770380 +509936169 +1923357516 +941983525 +1209309406 +1603657542 +1316271133 +1476854636 +936010499 +912829543 +52241151 +1155758440 +1562587277 +921656180 +1746049052 +921756751 +2078170861 +893722742 +574648316 +1933003292 +871437988 +1877025759 +89216757 +843489763 +628463761 +1231534653 +1331604284 +1089237603 +1243052457 +315159310 +2011393269 +320339189 +825095479 +1787267137 +1262322714 +2034404886 +1243441032 +431110199 +1363775874 +31967883 +1343939742 +1416017025 +1187726323 +759043371 +190189558 +786291727 +1680800122 +120876771 +1680014469 +107964791 +2053880063 +403968809 +1984990550 +2143096820 +1247458573 +465970663 +1227147825 +431579209 +1555208266 +322716634 +746738519 +1419117887 +643055823 +1571833999 +1058901377 +1905378537 +1458755237 +154858761 +189005088 +675047463 +186826644 +1532944830 +2091064488 +1374552967 +144504554 +133770398 +13361046 +1825304676 +254647169 +1693375516 +1933269467 +161043584 +2097344325 +1770776369 +156656756 +1197319250 +89263385 +1383804581 +1628898459 +1644471651 +1706521215 +228153331 +916105891 +202093390 +1799987330 +1975007268 +2107471927 +1111258919 +2129866029 +148993367 +1786306382 +169209025 +1681938198 +1729887222 +1543761992 +1826442752 +1863657621 +1557123038 +1504263780 +2118304790 +1103014906 +1290049600 +131864727 +1052875584 +913342321 +288521483 +102711186 +1002605706 +1672326065 +1731609646 +499593710 +1231363632 +1959762977 +1415699601 +1433457023 +1612266659 +1243223221 +1393445302 +576041930 +1225605602 +1542438670 +214864664 +1394814627 +1076893220 +1944751886 +791092971 +755852324 +1660925859 +200732361 +112632456 +1631747002 +1303747268 +1402682056 +1763611729 +209139204 +168540730 +2052133212 +311850390 +1171146436 +1576975629 +2043460036 +1670740146 +660855614 +1855739365 +938956099 +2094312637 +1320522376 +34695672 +1340274291 +1896564306 +1260301274 +735229313 +2111428970 +507632253 +1812122533 +1908697209 +1298725224 +420491209 +1422139420 +1499457586 +533123666 +906402774 +655721206 +1935805722 +522530855 +864860410 +2104346452 +427180420 +1176710800 +1128009241 +2004156049 +1072687189 +651265739 +517528015 +780942906 +1590221839 +464357004 +2101465283 +1624917511 +1804631296 +1850545941 +737735138 +392376961 +1814491264 +1245367391 +57015847 +1575704825 +396608968 +477507056 +850360597 +1896066554 +1010630722 +1756763372 +404304112 +798952797 +131810579 +1269164522 +755815601 +558990999 +298391674 +1883824842 +415663401 +1371078863 +387606934 +933191416 +4538122 +1977828773 +1397548421 +2106003405 +1455262636 +1054696069 +1809065698 +45514126 +1447073030 +1476073314 +1290881518 +1504088877 +904294491 +1687490486 +1981595934 +1754655089 +1436073392 +844743008 +1363934813 +1840377504 +1643695805 +1495745392 +962058378 +252027759 +2054736392 +1260450052 +2135852601 +322916145 +484045268 +375975887 +1256107561 +488583390 +206321012 +506172334 +447103147 +1661583649 +1560868403 +108685197 +1707097775 +860457786 +1584758512 +850495645 +217063015 +341569355 +390502483 +51175301 +2096224444 +1826575875 +895918310 +1312675609 +1519469731 +392130467 +660937354 +334044461 +644158226 +568190098 +1594494514 +632527180 +891106243 +2078539782 +1008503067 +2147213804 +419639524 +1214824080 +505902491 +866742671 +728924081 +2066770894 +975427868 +288538208 +779745032 +412702732 +1139033854 +996808048 +754272088 +1529536337 +1047983349 +703012884 +1208628565 +1943901659 +2015688494 +580614648 +188548479 +529142200 +914659110 +832706705 +1097332298 +361669976 +1465233885 +1988438541 +292726110 +326253305 +1988168697 +712365634 +1541077385 +346587540 +1579108305 +122517818 +265874787 +407052525 +411056026 +1045619819 +819755258 +1550089880 +2042427867 +1574027346 +932142570 +942927569 +129556582 +2140771135 +739345580 +2145245076 +573902135 +927894059 +526903628 +1488561245 +1760600765 +1624235926 +1850231221 +1078351002 +1465190819 +2142957331 +1404604307 +1305875869 +707839317 +798198044 +1652463409 +139463974 +920715862 +1918338196 +546516500 +1331771889 +816474368 +1366271758 +734378121 +711418587 +792815456 +1666520691 +1654346156 +922372038 +1659808178 +246208089 +920133467 +86226666 +1174102148 +1447037095 +1574787911 +787219265 +923789374 +1277535485 +1865570268 +241496545 +1273009168 +1122690927 +1547372414 +1980848486 +1920888972 +1052352176 +2120312460 +694121186 +823206724 +519345312 +2025893075 +1639681092 +1885617070 +612787549 +203616032 +530948878 +131824592 +1857962188 +1453320917 +1791632771 +2104170277 +225970736 +1877859437 +1130788778 +1673007831 +1305163700 +1918008043 +449313557 +435215537 +1636094663 +690810103 +1708224706 +611301943 +90698869 +1541589544 +384707267 +1143051045 +1514418356 +1078828453 +1966257770 +2033763669 +957237881 +1458455214 +1771897091 +1570025430 +1662071246 +155362322 +1701850022 +1372549787 +1608683239 +1345999145 +1329236416 +1834653975 +1076374934 +312541546 +1360178158 +234054987 +83065942 +1809491716 +669270524 +1719160605 +352818171 +230011582 +182978900 +443517040 +1771601126 +567686167 +1586568086 +1138535835 +1646514621 +1405342208 +1024815856 +456268854 +716313774 +649229299 +2026294284 +230901373 +804591621 +1580660658 +1603451160 +265791212 +779176156 +785203928 +2100445187 +1855551090 +1097745475 +1313139698 +2089606077 +1180811417 +975147766 +611392954 +752488374 +1327965937 +841404536 +935467275 +1771482977 +465522015 +1503153442 +1210567415 +1604057850 +1002184415 +468425975 +481390058 +1458453269 +1184739750 +1130619357 +1337263905 +1415641123 +1935210979 +770440916 +871608635 +53518543 +1549617072 +1656812563 +6480083 +1257684514 +607074390 +1319619781 +1199806944 +1787885807 +147283899 +1811199898 +392890534 +1475249836 +505120786 +1328357809 +1099249165 +970642801 +684027603 +162332933 +427217003 +1686212019 +630758908 +908607061 +997181640 +1815498658 +2039226419 +186961898 +1083656133 +1826953750 +957402814 +1955264768 +1880472293 +359536238 +1464593684 +1886952376 +1617220752 +2071668074 +1059088509 +669544048 +1712070234 +1206372408 +333260298 +2104960768 +534138596 +838381085 +1285834929 +1633387762 +1809023886 +1969862532 +1795720695 +88757242 +1508590903 +278995955 +997364303 +358288896 +2094494614 +889107074 +545250794 +1030667099 +568577176 +1502653608 +838448220 +301565822 +1862189846 +155558256 +41034550 +1331926950 +79742682 +1100123060 +2001470999 +1791812916 +159011820 +187247649 +1749290036 +693150417 +1025628734 +887641317 +179054531 +687168973 +710020202 +1974775226 +775926215 +71127457 +106287533 +1773290518 +429416353 +53298499 +514913945 +974667147 +1083965599 +1083491121 +329837107 +1922413819 +1385056943 +44543305 +2077972075 +1426091494 +1376470256 +10231109 +378730906 +1230457607 +1802044026 +537742726 +1417705256 +1403850414 +1230893143 +295850343 +144008084 +1409947674 +983019316 +854028286 +1237239252 +1758945531 +925155743 +1343526786 +1384752401 +1354572097 +1396825285 +1899666346 +181755596 +333307236 +835673820 +511592704 +108237407 +73247115 +556136009 +38725834 +1499338609 +1932606265 +48956944 +1878069515 +1015580224 +1851000970 +268328594 +285801833 +1107367736 +1499221737 +581652176 +1251375820 +761685764 +1564671492 +2105404106 +1998925016 +1176133375 +883076202 +1194968154 +413402128 +90164651 +444309792 +165584827 +271920247 +777617028 +1001258647 +783512951 +885854436 +1074505762 +1339648961 +924580270 +426360724 +1124771578 +973537214 +156946591 +2140351803 +677054536 +425275185 +278669988 +1784422273 +1924496923 +860322164 +888314445 +538699039 +277510008 +846234904 +390140407 +1453643383 +1729311106 +1585108562 +1867045511 +1819475757 +2029418354 +2032630338 +2091396004 +659551734 +886405337 +727425308 +1545406170 +1960911100 +2067074269 +322502793 +239788176 +1044362199 +1296040007 +396734767 +1037230354 +1973094544 +822009953 +1315900342 +1610033169 +599023228 +28738858 +350863966 +1137722267 +306248866 +1197098870 +1527862674 +1759892249 +778926328 +965487588 +1479454113 +450918437 +847422294 +1364600803 +394830794 +1506974029 +103522493 +1122256102 +904896551 +2064433593 +1041846723 +1227399344 +156738121 +2086208922 +375955704 +553472888 +975955629 +201566600 +1375482841 +144372323 +1811599769 +1974506069 +173111182 +14980087 +964744688 +479360048 +1212078958 +345123715 +91768650 +1991005286 +1310611303 +1571222763 +294440076 +10549950 +788339918 +689270870 +1517523979 +891862411 +1811526972 +274936882 +808812356 +705890047 +1502336227 +965550477 +644615321 +1878291931 +1519023366 +1620570950 +2079858531 +747022559 +1764943274 +1743974652 +574044981 +1938054456 +1758954739 +1538789669 +269930856 +823550049 +1883913384 +361699506 +667071688 +1047041040 +1932922269 +961511764 +1057590990 +573778540 +1650782634 +427631321 +1465640951 +1314825958 +702568203 +126969660 +2020716005 +57420782 +1092520137 +517847678 +1935712713 +464059855 +2138418629 +1868087596 +1211082415 +1755878255 +1464578600 +1785127396 +1546449063 +1076049692 +1176433417 +1816379919 +1899599741 +912863154 +30595778 +419187781 +1959904194 +1963518047 +1380699545 +870011536 +389812939 +883998531 +1297642857 +1855453891 +51340841 +2000211060 +1982423551 +2072056846 +2057631843 +927460040 +442420877 +1845860908 +1391519896 +433355858 +1566464857 +455118663 +41750465 +883559809 +92762411 +1588199528 +1959609501 +1269195828 +1257095799 +1711725595 +34575334 +1287691577 +2130913376 +1994479528 +1103725977 +1364129274 +717007416 +1493538916 +100644157 +2014650273 +1201509159 +151984999 +1867377686 +1036449062 +76558197 +1777525881 +1963909103 +518979074 +1475903141 +1207945351 +952334932 +894884350 +1663064014 +994085397 +1778444160 +1755826425 +434801277 +1590570013 +877538605 +1691897077 +1154811960 +912113940 +832105006 +1138241689 +759109820 +1935830983 +354887315 +1476117237 +1281886252 +455531472 +1343283862 +335911763 +607516471 +1063177900 +1372360826 +684074669 +693220133 +1188786281 +1203053743 +21639627 +249247984 +7905028 +916523977 +1912311998 +1001990425 +547484489 +1520654775 +1436791703 +2138054503 +250709732 +981205132 +1145382815 +1162823672 +1813310138 +136140856 +1921933493 +1601657474 +491028171 +1250567082 +736060078 +946559644 +446367296 +1071971841 +1554076115 +1509545197 +296849019 +90667136 +55281682 +1485635300 +1293720880 +76921309 +1734883284 +1301625908 +993445287 +1499711634 +156132685 +1540929776 +872882761 +1592924388 +1531500631 +1123592494 +426645872 +529399799 +138932518 +92472363 +665540655 +2060866011 +1694129837 +1156568827 +1163949445 +282706267 +2103128471 +1610316742 +1354678108 +1509720938 +972378291 +1651527128 +1600388075 +1027659973 +989678780 +746625307 +1104581283 +577078417 +2048251215 +2098026570 +2076790051 +56900252 +1491472698 +802189165 +1649824641 +875489682 +1925781659 +2076470513 +1404889481 +2064714177 +21459228 +2070430136 +1978096541 +1715589065 +1079515315 +994562338 +1998295332 +1035160138 +457395432 +1205489793 +397397429 +1429773723 +709533273 +1997785504 +309950049 +1699212053 +596927163 +1414531332 +128806822 +497694730 +1365074254 +58113226 +554594982 +709063304 +860302391 +56935975 +1584552986 +638600402 +2133406489 +841958819 +555830931 +7382069 +764905308 +386443824 +1722971135 +1844420623 +1381006163 +1573782819 +732097114 +1838401595 +631788964 +1129494543 +1120691671 +1341322237 +979796399 +1430641720 +893050643 +1576723562 +697689404 +1021857465 +2074418292 +2062763658 +1079970691 +481529626 +624343314 +1940273082 +538465602 +61412653 +431389836 +524388443 +903371472 +987220768 +531770512 +1668276780 +1373664592 +107257999 +1365213756 +607187107 +1681040819 +2097310870 +298105055 +165346135 +1079321765 +1418796726 +1506668373 +2059118164 +701954798 +252235368 +1488358078 +1399644202 +1274092833 +1415292722 +1314924212 +206579877 +1896822348 +1939267526 +2146852959 +287804302 +2000680179 +430759148 +812192745 +756568004 +1417979916 +1343963258 +277361136 +644160860 +1451221257 +1642574892 +1251347968 +984778428 +1592402114 +1549453023 +1150124564 +524240231 +820766101 +509309289 +435874747 +1522720899 +761544657 +1924232825 +774881453 +2035637490 +1192041899 +2089805665 +94733719 +941380600 +1881589543 +94103031 +1229184902 +1734786075 +524862179 +2041377648 +343870431 +1942842095 +1237857258 +621231567 +439519307 +541594867 +116322812 +1690867275 +1526373296 +1708724926 +1092836650 +529014212 +85481510 +1913602751 +1038323501 +521356257 +1288840002 +1799868158 +298105435 +2063721455 +1688022000 +1490147334 +2006043472 +1782755720 +284044286 +1740149368 +1876858751 +1513229189 +1327451795 +254237282 +1407123189 +1671322226 +49595729 +497496799 +145070145 +489115036 +1039091666 +261392957 +32498664 +417981314 +1970117884 +1125335314 +946995526 +2055599394 +891454418 +1985319027 +429472003 +32810772 +1637703537 +727577438 +2096532228 +1178241890 +70241125 +1955092052 +813513962 +354285411 +1547757772 +542889065 +1867514600 +727725919 +797126347 +1127154141 +251564497 +846722076 +1624650940 +396634643 +1335837112 +516258959 +658027600 +1368335776 +934240273 +480661836 +346187443 +1881235800 +388777582 +1237641861 +1719071179 +818249586 +1270452633 +1209291069 +1545827024 +1219501213 +240049311 +1616068149 +1027109618 +1053563273 +1970353561 +427383742 +1596452338 +1690384513 +1155109662 +246095037 +670055007 +1406674159 +1092817113 +147222299 +1803308802 +281170577 +663481258 +313852755 +1649506354 +1597721532 +794514591 +1995693797 +1331473684 +1183292174 +1085852010 +903061215 +2001541760 +208820995 +2112352284 +1399885136 +1428322209 +204917947 +868469638 +307948179 +1258481220 +691339551 +735331921 +707449910 +234240416 +1890441583 +953544947 +904295423 +1149632095 +2046362060 +1051517723 +805457249 +180048990 +1714998981 +1119310004 +1829555344 +1165236865 +1913824596 +1677765493 +349226901 +949633122 +616133855 +1252288117 +803691234 +824954850 +1217156753 +56092722 +105793411 +1422074701 +924562360 +413741590 +533072273 +1615901911 +1149073512 +1240522184 +1850142328 +892031447 +46583483 +606954103 +2041663542 +2092945544 +1658471826 +699637144 +125510886 +1225987160 +1818947148 +1955066230 +243740377 +1585288096 +1485348075 +592967279 +387437570 +2101481930 +1845255396 +1191128804 +778953132 +914928501 +1247221527 +884746544 +189519554 +24300239 +1298488134 +722591828 +1640202151 +300077998 +1963114012 +1342860831 +1192109446 +2009697495 +1949814934 +1086289340 +1955159391 +1460803113 +1785926484 +2080670277 +539306625 +1457389985 +1888252859 +783047002 +895194433 +1226117286 +1376014281 +1282632004 +1180115568 +1073786029 +326277160 +1959068701 +1988714531 +1573498687 +696331597 +30750437 +1597798927 +1994819731 +753342265 +1090517430 +147414082 +568972629 +285894613 +1339523528 +431186477 +88225899 +278329220 +238862220 +1549029012 +2064255705 +172048850 +2088335637 +1374162042 +2060301709 +723898992 +121872827 +1138935348 +2099913273 +1404504831 +171567268 +1026215655 +1730781992 +2130635969 +867446538 +1156797031 +679483918 +898196975 +607112310 +526820002 +1651539241 +1697629740 +674234084 +73028222 +1983524353 +2013757612 +504214699 +2071750253 +144603184 +743076920 +1473295617 +61375241 +915125770 +1414147607 +1435537283 +827943831 +2138046599 +1557410111 +1966879179 +2090476224 +814431294 +2138446448 +969208231 +397729638 +2121598769 +1836654769 +1554526670 +653599040 +587368097 +14155332 +1180419042 +91423690 +1711785073 +1854653126 +164451912 +1547825778 +1720927090 +668666612 +1472092383 +1865530274 +1411743532 +797904353 +1926905516 +179385654 +64568312 +1214959151 +1007329485 +55131263 +624885614 +826725017 +2145607487 +1439316909 +817687817 +967332071 +1837046547 +791802938 +656503192 +1244089569 +1445401978 +1243871289 +1258244902 +478337372 +1335294979 +822546327 +185506850 +1499746892 +222888457 +1906433940 +20929856 +1694980841 +1624480567 +1432673388 +345401546 +1403902435 +1612059042 +409969858 +471377938 +471904879 +465101121 +1096263553 +1298629896 +463224960 +388096814 +2116317713 +1430557031 +77659713 +760637004 +2087060224 +1321749283 +58555334 +1183447865 +432510537 +536892707 +371259197 +1255056864 +722399557 +1871006089 +1477945321 +481349850 +1891935945 +1025442514 +2105830417 +1177125685 +1370844060 +1362249204 +641701079 +1780813918 +1833627142 +1113605958 +98431391 +782407047 +264752207 +561656352 +1170503861 +233586272 +1992213383 +1248163575 +994223276 +1931789959 +422429210 +1052778611 +967754177 +854939747 +1589671318 +1339013374 +2109996611 +164587227 +1062535815 +1440458284 +645937077 +806988112 +318417151 +604283846 +1984113797 +1689261211 +1966533050 +478331228 +1322591482 +1652676545 +1591937186 +1421022873 +287599944 +1856689393 +1982679225 +1458103806 +2090275666 +1827408961 +558783733 +937015294 +1611715272 +981212943 +1989793905 +431985801 +1836152690 +1431981575 +1770999175 +1798665653 +1596568803 +686051342 +1091640289 +95022232 +1493039454 +1410057440 +699306079 +1329669603 +951835004 +518355481 +1808000831 +126942838 +23548378 +1252454370 +1547965711 +311148323 +961660115 +1383161289 +1769252129 +904452133 +1063086602 +180552214 +1841467428 +527318226 +1161765157 +1683777685 +959304028 +850434199 +968275613 +582819555 +501616204 +417360768 +1268870898 +1593256493 +512383000 +614426704 +855830286 +1211689079 +1944096308 +1807665290 +1730044561 +1604613491 +1934608128 +1753592939 +709584213 +1335090191 +2064741262 +1671244329 +570767832 +1686509743 +428212814 +1633854434 +1867061957 +122196594 +13689013 +881343466 +1805974280 +972993041 +1731777665 +626766245 +1555812596 +85910221 +1044127013 +677199846 +1679166715 +1556510013 +1291626551 +387513353 +620715445 +1088239211 +47694995 +203276358 +545369054 +1982303123 +1956869297 +1254953268 +1169909666 +1874126912 +778713949 +1740677499 +1413153007 +1206926763 +1227048285 +1132731317 +1329123358 +1240737298 +2014074783 +987613990 +66246691 +1598368801 +1614380235 +1622059288 +1684279022 +511023600 +151775486 +1215962089 +2067533613 +1443402037 +1603475442 +540765410 +384157600 +1651170437 +744041768 +929526655 +1485989912 +553427418 +36996275 +508415931 +280070682 +815710224 +101609782 +1693223689 +2022636987 +1328658067 +678471358 +1204276697 +421911718 +545062494 +44407039 +488158409 +2143431295 +1658787274 +2110217697 +1680226669 +22327226 +114509536 +748705111 +2089860840 +1557911573 +204696905 +483142602 +1942069174 +1855867343 +1227184371 +724112181 +1194373607 +1780611789 +761108456 +1702789538 +2060682471 +1576818680 +1804399320 +1606422512 +1451972019 +985573740 +137410223 +508765069 +1407485458 +682472717 +553172108 +1895643867 +678420364 +64475735 +1858377917 +211163385 +86802961 +1972887453 +959868496 +29180153 +1383315378 +1164565402 +512322756 +1177900904 +872949097 +1739507127 +1902013085 +2067322704 +1372635268 +515637893 +1622628595 +1285834091 +2092456573 +1279544267 +744772955 +1396944945 +117634359 +882183178 +1905710014 +1525119817 +1564655895 +311398474 +1273280037 +95592611 +375874209 +984174306 +306755997 +462677171 +809578111 +1266624493 +491857324 +45409841 +283706247 +1004180080 +1223310746 +1156655344 +596203559 +977840183 +1076494401 +1968838827 +1493478077 +551639348 +1107189270 +1438451002 +1831183615 +1851962226 +687912299 +1948817975 +586661756 +446138665 +1326454144 +3834004 +757537140 +452250533 +99426615 +1133411349 +1436424839 +406182612 +1596088520 +98519302 +1672807106 +2087945845 +143929144 +1956513353 +944642277 +1367239890 +965685050 +1540845837 +197596425 +2042179451 +1362201016 +1691074502 +446335151 +321906639 +982041857 +130035118 +26385217 +1669954156 +2078853093 +613046973 +2116092822 +1257823590 +616880977 +726146314 +1710074123 +716307593 +1859557663 +999015315 +1122490205 +1308162536 +1097534617 +647813663 +1248624733 +1241463761 +456843369 +45783362 +461220003 +1422528419 +1586629199 +658816429 +1317224222 +801346568 +202407283 +1763559373 +1123253207 +1184449140 +1893594491 +1149638424 +706919649 +1824963937 +1762685397 +675528823 +935303879 +232082727 +1401675137 +497894354 +948390320 +1113749152 +1496909669 +2070880525 +274428040 +446960639 +571210541 +1523052773 +1688424400 +1028053910 +1568836136 +2160756 +303098681 +1007981687 +660977185 +1620322903 +1809328255 +863384468 +1236398628 +785097814 +2047833609 +982509471 +1934736238 +607269610 +659989760 +1549937988 +1282798433 +1595293639 +1782020715 +536989922 +2093187994 +582927387 +1650739074 +1442614015 +506324264 +1925167115 +1889574654 +1077534805 +1300736240 +1430515407 +2105588715 +722088728 +1432676163 +261203748 +1730070416 +2093653348 +1881526651 +1391915023 +809554168 +970441631 +29529190 +709904129 +1952951103 +1964265428 +1317173739 +465457215 +1366719768 +452488524 +2060750855 +1001256835 +989478446 +2006455201 +1584184222 +492733873 +1301585568 +2090508487 +270417340 +1043676575 +1020559644 +1571153580 +326708334 +978664712 +145758661 +1759384497 +1239868460 +1875829077 +1705554197 +973911464 +1120260452 +367624717 +1944353095 +1149789642 +1077528847 +1749820550 +966571423 +247218938 +67794118 +185807543 +699707463 +2128544973 +1187064379 +1689185909 +1987516526 +623764953 +34436134 +1141618446 +566789792 +304853474 +37811373 +1587349437 +1876007055 +364519707 +418530501 +2021765716 +2123904204 +1658398961 +1750111145 +1681974753 +484826777 +722887949 +2049599471 +281696225 +1872677592 +979644670 +2031516775 +691765367 +1226863608 +2099310893 +877572910 +1926571071 +2080372218 +2064637289 +1468273333 +1920405096 +540918595 +1502709467 +914539895 +1107708387 +1807562942 +952351268 +547574176 +1536086349 +1316870976 +966104677 +1410368417 +1293291532 +477019991 +1012995914 +827782638 +961846768 +1735883863 +729898461 +1243542993 +1461077807 +1709543131 +1127576121 +5359526 +788923091 +1079403366 +882932437 +568010515 +1012291937 +800086078 +2036283848 +785213385 +1341004673 +1391509667 +1699753280 +301229413 +1051588961 +504620901 +848803589 +440191662 +1821491877 +1814908267 +1850560079 +967299761 +144444610 +716072345 +1795082399 +1106291378 +304472561 +377497212 +202350724 +1765550368 +2087040343 +1329926845 +1770909895 +728479787 +261846563 +506358684 +1296490302 +1274138500 +1306444762 +1185290502 +2059351886 +499965788 +429316521 +1611621518 +801195201 +1480905483 +2116242419 +1649998790 +1921097145 +1790250648 +1317423409 +1624173577 +610066762 +1461868019 +192762274 +257665513 +420675750 +497234835 +635162726 +623026474 +115301556 +574719421 +1952953319 +1886211451 +1303199208 +67316234 +245086487 +452205862 +1341454735 +1551531249 +1637496364 +1253322973 +2051497037 +2066812886 +717460843 +705208590 +1400234721 +686219615 +207723733 +1173848218 +328986615 +1525147142 +650538147 +939053377 +839531514 +843300422 +1196718891 +1260207264 +1340535257 +1831881617 +1883233738 +1455836813 +259117390 +1688703409 +1194564616 +1562316599 +1756019643 +1439651103 +2014522461 +949990730 +843698705 +1504535178 +55830055 +747712094 +1423864416 +773290899 +1452920685 +676615489 +1459510514 +1660644418 +1850463707 +1788497129 +1038307912 +353518207 +580066859 +1877839426 +1196818629 +1776785750 +990563042 +389870238 +1461183719 +726313132 +1845707052 +1720301109 +267532893 +892788020 +1135134060 +2023552537 +184955476 +1002172874 +826059619 +1028654181 +359224404 +881889675 +1776366275 +1783088820 +1655180574 +1081803312 +312220661 +967207440 +594964082 +15200720 +608220921 +1633271995 +368718927 +1188287780 +1363627773 +1565537556 +817589882 +206707168 +1955407795 +131289953 +933020300 +1653631199 +1851591063 +1200553194 +398935571 +839241475 +1076622083 +583891047 +1841414349 +1902681702 +1612545228 +53155105 +637087729 +1241427856 +1836243925 +144784655 +175747520 +980938 +1111992095 +770711603 +16181659 +1720213017 +256499950 +384900586 +761017149 +1620127723 +1950438143 +1578607032 +1826834891 +1758362290 +1709896985 +612371544 +1264509841 +1414004400 +1812924738 +1663445412 +105762228 +742063173 +99852812 +1947176577 +497261227 +1712398040 +2000331683 +1134348957 +806342248 +1689091960 +1279133612 +982089769 +1690072899 +243642060 +1752801372 +1706254558 +1963855077 +2009301322 +2091155144 +577388578 +1481945397 +1894109639 +8511962 +1161296641 +1504988281 +1718408948 +1773668185 +622014474 +984929700 +1439109275 +137976239 +1090691928 +33688800 +237829051 +890384858 +530950027 +1950227091 +743232893 +1665298984 +609085692 +284841205 +796948949 +1591175461 +1974914104 +1040591009 +1196493185 +1533685014 +856962438 +1058310859 +1477356511 +1434351016 +392772608 +1223982502 +1442862979 +1554069249 +581487136 +1013788279 +1180253786 +1203501610 +1998717979 +471879413 +1341477849 +941926260 +505568213 +1579306900 +1832311118 +1036518241 +1382050344 +428060363 +554333577 +1991136036 +712901568 +1351282526 +1434827849 +540332025 +244389887 +483837386 +2074017039 +1101352325 +1542148245 +1403889902 +388219694 +1934920853 +480388757 +1831082673 +1341506455 +1061875893 +697387304 +374276593 +117893855 +548621635 +846156007 +1459371705 +1490547895 +1351724220 +891194957 +1175375365 +240758813 +125761653 +1603435728 +795092391 +2116897689 +168853649 +2146374917 +1404241890 +709185674 +243281157 +1888079276 +635719065 +1344633482 +1282743873 +2039608968 +1732853176 +1070181079 +372514077 +1416452201 +264203886 +1434389970 +2113839505 +638480479 +1552283825 +514977493 +1484636486 +864171882 +2005525388 +688877059 +1755366840 +1033417106 +929635872 +1881128493 +489369186 +1724728263 +1850542535 +658222835 +1723619533 +1107300777 +1367408509 +1966900690 +847896406 +2003127575 +1164050524 +2130640279 +1895252895 +749420053 +1053337710 +120283324 +18388606 +1317541596 +1554673294 +2132228112 +1956022076 +959473471 +499721957 +1293174914 +1823645354 +357763697 +1982051973 +1431528546 +1391180803 +764204198 +1165173391 +1880549990 +341448813 +868232278 +391289177 +2065068346 +1975533056 +1758697687 +1884485388 +675945814 +1614341614 +901052265 +659102445 +1362110861 +1650472318 +1712440156 +1482394185 +1668860924 +882498104 +889583831 +1653605388 +691036532 +1849057302 +5843697 +1984211447 +1525219008 +363607395 +1818779772 +809263906 +1754788198 +435500322 +1974437298 +1487854540 +776949136 +695185928 +1879143718 +694533834 +523235336 +1490357757 +431535575 +1199181150 +957215723 +1332587840 +1858283596 +171842936 +835576510 +1423240104 +1654237121 +356953786 +158254560 +396337304 +2010559175 +849291093 +97910958 +2016402872 +686018892 +1623129967 +232526619 +357315016 +284910225 +1987314818 +792815339 +111863875 +1327685710 +1569764475 +807049804 +1059345780 +116814661 +1330285140 +402219889 +548350236 +381982643 +1359435612 +1880938076 +92782591 +1531278548 +569030938 +1516022695 +1038032021 +925984725 +1674277255 +1434369325 +789060252 +376084700 +1532280284 +657979476 +1062103592 +1007926603 +890506096 +1419418609 +1292836828 +730337266 +64750300 +1404700704 +2058022976 +1634514775 +64266860 +969885109 +1751329436 +1394552000 +1372104998 +152196025 +1776534643 +584056963 +2033134101 +1869317234 +2115335511 +454681392 +1237856281 +1005883885 +1380666117 +764649889 +292769562 +22242721 +1140734589 +1825049846 +680222197 +55354534 +685492801 +1570728293 +1474773143 +1978329630 +153581911 +1539523443 +1235546686 +64121240 +1026554570 +1299813546 +1034006349 +630400358 +546881898 +258627699 +782596383 +175932894 +842684662 +668246837 +2045250128 +810536526 +1122928229 +1135622762 +1816420411 +356110698 +1900272651 +2109189973 +378353419 +893523592 +1786756172 +1058575616 +948878126 +324765325 +481820262 +276167621 +155611307 +635402173 +1815691064 +1391157993 +699523413 +694761986 +543487891 +1733529762 +1325162345 +1090369790 +1992157462 +2107758728 +1266302684 +687358476 +628521917 +1164069164 +1497895002 +1751450146 +152208278 +1166831765 +2107560844 +2052480929 +1128538091 +338430615 +798520874 +767810615 +1397006232 +1747399000 +1092575940 +1878826494 +2023566622 +1248187248 +366745019 +1691774038 +491861593 +1066268433 +239052377 +1035349485 +652314547 +1564214722 +2125719275 +496988361 +1524489802 +1244538311 +1184346838 +5528072 +261123827 +534758192 +1756978218 +413332106 +1701589958 +1717055415 +318329387 +682644401 +2055486030 +1116850261 +1450455016 +1305008614 +716765614 +395547308 +1036351460 +592848588 +1643734556 +1403096480 +137138978 +2135596150 +321881265 +376191355 +1023461987 +974195812 +1940406077 +1001697614 +1471184174 +1317412232 +98752277 +508047364 +1322940304 +359876104 +1042805556 +932434874 +773208210 +596911866 +502006641 +1091537598 +1279556267 +410009024 +60904211 +582527635 +1715017638 +777669825 +978074944 +603885451 +1370518413 +474325852 +2006981931 +1507657392 +462438354 +181379548 +1883848747 +1485900341 +1155575360 +1676771177 +340114307 +479275886 +846699761 +438866584 +987323250 +22156417 +798742689 +2030128807 +954591291 +1571950899 +479557025 +1456597933 +516004849 +1759113293 +1866606957 +576909061 +194157280 +1434140947 +1354578886 +1172232224 +2038026398 +577613652 +1646558077 +1897524681 +2085271044 +2108996431 +2078904229 +1821636143 +1447413125 +1086995942 +1350923672 +1787527432 +1566271828 +50139785 +78910369 +406111431 +72296202 +877653058 +288756590 +1026887494 +302120309 +768313615 +336001779 +818125159 +379943260 +55125088 +1395034220 +574100541 +1489266035 +602129458 +1746332765 +1379808786 +1179743110 +1245407194 +1129849819 +1117530506 +1206919978 +1061270401 +791683002 +506849455 +782695 +2142606674 +146893239 +1567054523 +45262812 +225803608 +1973165954 +117559014 +1103456666 +114438896 +1144446508 +1405576976 +882752512 +1480448287 +76218487 +1262695772 +1535573375 +1471252707 +1836796313 +877355763 +2073382165 +1435645431 +109680901 +1105641628 +533568977 +1239530720 +75688486 +1740488955 +153317473 +867371488 +99854762 +154100168 +862494515 +246748002 +1721154692 +907757327 +472551610 +1546836998 +1025316341 +1576008277 +1661275895 +22279202 +834101605 +396544759 +1502727489 +910320092 +1659240531 +890817217 +234089151 +1348553197 +1768172980 +159987668 +636714980 +1877853881 +1265629296 +1170283957 +969900953 +1341317783 +763289265 +1123218427 +61205623 +863144027 +1277318595 +923700138 +1109892029 +850989639 +1831457465 +1582443640 +250342990 +709290159 +1010968269 +1911618885 +731569361 +1845069874 +160679996 +86813202 +607906318 +1819920527 +977630419 +841995469 +1020990076 +598319751 +1001983137 +1657705056 +328689984 +120128786 +680505366 +1298590938 +1461446569 +1443794631 +274325717 +1522652192 +159455010 +1551644312 +298868683 +1269347040 +255150304 +2130326148 +704307032 +505493294 +692132659 +1715275301 +269628531 +1423702020 +1412861527 +430308527 +1510515223 +2020767845 +102745406 +340661994 +715279666 +1123735483 +938981746 +1717262803 +633956891 +1267671730 +1837391589 +1314462257 +418779020 +1151354510 +610773240 +693104737 +526523055 +770228251 +97265402 +825391738 +2039575291 +352415706 +808234238 +596398675 +857909000 +1500366898 +164190328 +1127537531 +776585270 +1577051855 +1557846058 +139616845 +1450336052 +1660591464 +480278840 +18132070 +636843299 +1419260586 +1735394873 +1270800191 +539448668 +1425302815 +437778800 +958227689 +429173677 +1048552041 +1651332426 +955696732 +1818780292 +1748597828 +1781088470 +1710871935 +2101013534 +441839061 +159786962 +811438886 +1942205959 +323977290 +1938976417 +571307581 +1901029145 +1349338827 +710924427 +1203881549 +862446644 +1191203267 +1222013619 +1499289943 +462980205 +809924844 +622606486 +1002428873 +87744011 +1060385287 +1960656562 +516917689 +2108937328 +1464505341 +1472614421 +1780233972 +1065619521 +1106219244 +1343622259 +1019149408 +1548058305 +1503409221 +1830588294 +1342780616 +1827386511 +1622081064 +1914088197 +1580932008 +823936243 +477528976 +637329909 +1686382887 +1668732243 +1859343528 +1038189183 +2131712448 +521784724 +1660795669 +986657674 +609528736 +573697308 +799830588 +1126446425 +535150988 +116852281 +451577198 +167901312 +1182471803 +1557796442 +1511523571 +54137563 +958371099 +867449144 +1884725857 +153668067 +547352007 +1359323273 +2067756265 +2128284015 +35775869 +397801593 +618130276 +1722158756 +2066533837 +329990156 +612864291 +2050762637 +851774881 +126176313 +889936663 +1461303617 +699873621 +1689767252 +440266394 +1235024610 +1806619533 +891843592 +1402925922 +841607688 +302156387 +766965846 +895745251 +1260527486 +1634414990 +632987461 +1414195554 +34283350 +1992310734 +1334468171 +15083717 +2028086603 +1732269764 +633213994 +1602761712 +1651319953 +963204150 +68142355 +1554598943 +1814979031 +194318668 +297051958 +1128799000 +894192290 +1986819210 +1569065394 +2129216900 +1645955096 +313425339 +1384659174 +340079136 +615581726 +4141372 +1235824388 +1876109212 +1638556363 +1868811849 +1142821118 +1672839713 +1713638935 +329805641 +1687923430 +1594241891 +2062075406 +173653776 +1049519955 +1565911711 +1136857927 +1117662310 +973027006 +804353310 +1311980979 +1270078965 +1933152311 +58689621 +1109414527 +1354734057 +40422873 +607885975 +1668159396 +1425082047 +947965112 +136257474 +1429223420 +36305852 +2012366687 +920296135 +1905117701 +1007704157 +445652200 +1471272988 +1337509799 +2133575630 +918031231 +1252101557 +159745759 +1967551186 +670529620 +1296603686 +937729849 +1643556627 +2100956996 +102227180 +766151944 +1886625659 +160916801 +1875566471 +1093876069 +201339674 +335968799 +614551817 +1626421721 +1283933911 +750809292 +908161493 +1320239763 +615692331 +1828457628 +1077873816 +1623396488 +126626180 +401663156 +813422639 +112718163 +1319694388 +2065524196 +272463922 +1139761926 +588570169 +1569067608 +2077491775 +84643148 +1522540956 +32235307 +850795092 +1261682968 +193152108 +578877915 +208075389 +394491782 +914846714 +822627206 +2020913504 +51296977 +1573436498 +781591349 +1371536740 +41645181 +462565330 +301926908 +1665041670 +589191510 +703590065 +330980661 +701909673 +2023284453 +249021210 +974373595 +1015562731 +837591379 +395957555 +945570859 +922234527 +1918498512 +977806166 +1773029619 +1032697832 +1170958275 +204423886 +1240773221 +1565450057 +1119270601 +2063400427 +1438879913 +1170567578 +1489353278 +72987615 +394620671 +1530998459 +535552945 +696547579 +1048556481 +1124744455 +1400137644 +1379537143 +1826654129 +1275938449 +1628558353 +653544076 +144017533 +318666084 +1049501632 +1089588392 +1240900611 +820516496 +2067394558 +866446582 +1853214328 +1090869185 +1070870468 +946503901 +508835595 +42657421 +862420680 +1947715508 +1213225000 +204290310 +2020703123 +1607845671 +1735288770 +408772420 +156909602 +636361603 +1533516876 +1557047247 +2015898746 +1212687357 +685502048 +1496973451 +1866231433 +829519581 +1815639535 +768249417 +1919107973 +909056498 +1588765913 +1839018884 +1775503080 +1294496593 +782404421 +698889901 +93516846 +1291240016 +741547322 +955937527 +1091471877 +1954772322 +1160227837 +964691352 +1415134345 +748032959 +1373463773 +1572043948 +1384394563 +759497001 +981607547 +1252809661 +1972184358 +1667109595 +602299465 +1690932143 +349145529 +270455352 +311697913 +120769854 +1179511851 +1900463826 +1959788738 +807531283 +1047476772 +594709512 +1506421184 +1140993618 +1885949528 +100484859 +2096931145 +829937757 +2055257181 +1109675335 +1794629110 +1322907879 +1857708294 +1020609235 +747468179 +1094619209 +1780106236 +1729075726 +199945223 +1604806946 +1248701673 +802244688 +1148255441 +1597847202 +1072700040 +1459953354 +1718617057 +104728243 +1212933533 +1530922147 +912259527 +112926657 +2125631659 +271197063 +1253920275 +1864097540 +371681922 +1203367773 +546551649 +279455456 +165559460 +193697111 +1602363335 +2023267754 +1214306346 +202347866 +970403316 +846928934 +1931423592 +1170348539 +304252232 +1032641617 +1972593227 +1452507674 +483005172 +897809619 +764977380 +54138581 +1002537863 +1977910913 +1585060728 +1914797390 +2090837570 +1563208740 +38510805 +1197274198 +1279822632 +410192728 +253158323 +1826374281 +689648184 +418717783 +2020071393 +144527871 +294501889 +1086894091 +346875737 +1264905205 +1933823026 +130815681 +287770096 +90591610 +1163457298 +112879675 +1543099284 +1646462470 +1010689295 +160593017 +1700601051 +2013227158 +2138503930 +1138178132 +1780540900 +2081857853 +553903224 +1819051705 +1131648403 +1833725856 +81760785 +1384806726 +1512616489 +771408969 +1803524509 +1385204234 +915936840 +2098026398 +324614678 +1262812577 +1215447956 +110954056 +1393628258 +1503218052 +201545666 +409601909 +1616097728 +1744644951 +2056064379 +479303375 +1905237968 +1609181783 +345046885 +1896258250 +599876267 +2125587785 +1830632455 +1153779491 +1797155842 +814797210 +840021699 +1878916628 +52120288 +205154540 +502841949 +1855644797 +1590358775 +1418778790 +1806187548 +1914973453 +534107719 +874151856 +2025927509 +1927735978 +229886260 +79989527 +189854239 +1845983988 +1824634478 +98434970 +177803715 +1582388798 +1707616753 +522850600 +1331163401 +160009372 +500954737 +1014312208 +1313788863 +150626932 +1829109419 +6326914 +2029543560 +1881229707 +211481455 +384901861 +1589390857 +1801840230 +1803680651 +1248094757 +1569330035 +190304723 +2122246613 +1447773896 +2118040701 +204649225 +1527763423 +160411292 +2050633214 +1204914254 +258846262 +80953281 +639819404 +1966463016 +603803882 +1970982805 +2126472388 +1104758619 +837811366 +1292777604 +1255385551 +519437137 +1299104518 +1137445463 +253183196 +1510585973 +1522347325 +1842574053 +1164942555 +1178544328 +943185162 +586788942 +1368849051 +917948127 +2034562838 +1339406104 +1122597353 +1414842614 +1499817396 +1025746919 +472273220 +1758663659 +1106700200 +1112092624 +1577643027 +1710504082 +935591782 +1556631767 +667779054 +1773403148 +701925723 +1923164605 +145356637 +2001030242 +913126421 +398539833 +1364132567 +287990098 +93630239 +381591475 +1466534426 +1036815401 +968380417 +687899830 +1954763529 +855459608 +2027305934 +929877234 +122818574 +1379639683 +1955624153 +595091794 +990819694 +914840705 +1707184418 +420979073 +477861140 +495292552 +1977610840 +1145640194 +121212052 +532052916 +921321151 +266568689 +385599510 +1834447572 +665108523 +1749732077 +2122437670 +758738762 +2131323552 +1441488449 +1795554163 +952220322 +2129388279 +1602834044 +1807679930 +2009210565 +385227630 +1930498504 +1241366600 +193368135 +378106650 +84702646 +1108208841 +2085291068 +505681719 +1586069981 +433099973 +335808912 +584226527 +554312025 +867861828 +1505547678 +820880715 +1253461338 +1192511603 +1485989238 +855709767 +1167465625 +97244352 +839549672 +461470426 +1892798515 +1791769994 +443375057 +1348148912 +1451966276 +305101975 +1733376542 +1234981132 +1546468575 +1926744678 +1613087782 +1631171222 +887469871 +1550895202 +2136852941 +326056204 +1983995175 +325178205 +910282731 +390823553 +1193040033 +268346761 +1211704268 +299017723 +1460858364 +550209858 +1154727491 +480840342 +647454210 +1994277163 +942310768 +392769077 +1638563509 +1385685826 +1740917989 +943046137 +1690787801 +1326810884 +30543621 +1089772728 +1106071914 +1643631403 +573460302 +1993541785 +1047042957 +562829596 +172114341 +883554485 +888007801 +1082397072 +1274378038 +2081047835 +1350743833 +338598658 +232581910 +664118550 +888808516 +1387309401 +1144958892 +1536262726 +1234102916 +2087269660 +1929031803 +725182777 +1325471838 +1522466145 +1668228914 +868775991 +701793381 +1698772535 +1958548720 +1807865295 +1194920290 +384525374 +1653923432 +94479600 +947354970 +1826037773 +978034085 +1835362772 +760951197 +104928475 +1768926959 +2111695030 +443527133 +2001508869 +628329932 +1332335649 +1241334623 +1773288824 +721114727 +327953891 +1713074837 +502662882 +1053136669 +891063027 +2025129027 +573881935 +1759839019 +579438760 +125170823 +1570904091 +239820407 +1320091113 +1955429465 +1893743839 +1414570713 +755300788 +1572297964 +245121150 +443179912 +185765513 +350049625 +64623223 +149976896 +793576758 +2066132092 +778306828 +2125912407 +1159983067 +404112005 +699543486 +1487936959 +2117186842 +1202206369 +393589980 +860766221 +1079851748 +967471915 +473121592 +1659290509 +1092642738 +2044025683 +1899110916 +265250204 +1851971501 +1645371108 +1679820917 +459788641 +1070185424 +1924942068 +902968553 +1255950938 +127508045 +967591776 +1405927834 +921084804 +886240220 +36751014 +899513563 +2046223288 +440863019 +1599057050 +1386676599 +410566213 +653779771 +1780266579 +1271332435 +1733631519 +600254846 +1744454027 +1245438380 +1692897585 +1640996063 +997065649 +1958147789 +1345483916 +494953109 +1490485058 +1805272557 +1565138533 +1267943478 +560757462 +673605823 +1395451524 +1528349238 +2079533657 +169052680 +267105810 +2116284672 +1068566243 +165845450 +409664043 +520139645 +1552522049 +820230257 +1173919416 +1185304980 +2091562692 +760067288 +1785559827 +1688533071 +2005505668 +1330973764 +1182045486 +855087669 +1141637905 +380045754 +1350040778 +484639315 +37834663 +767695664 +1752582794 +598592125 +1441301487 +1000550670 +2126941363 +1373351497 +1169603350 +246563526 +1342152521 +90685945 +412408976 +1751816564 +610825591 +1964931026 +424563173 +1784745007 +1002752358 +368642217 +397328647 +640828537 +2057175289 +255350668 +1971802301 +1091737127 +1110438337 +965956558 +1471782882 +312995468 +1450595874 +1509617545 +1080691132 +1055695020 +2108209671 +374508971 +2056245690 +2087667386 +1747860468 +1078365392 +186747264 +942529341 +1169051337 +599156241 +546862258 +1779876928 +416603619 +971425431 +1417138288 +1419355977 +1340067649 +1814466935 +2060184515 +1249759290 +2069817603 +1884503168 +194012769 +1032772293 +702976079 +1665795651 +1345767761 +6088305 +1027929549 +278975245 +1061783325 +988655572 +653484216 +970545367 +928839310 +253861037 +2048910759 +1115586575 +1196390378 +1070478448 +1714742816 +1743252636 +702871729 +2131346435 +567194420 +2120010017 +1403218764 +1907262069 +1786993304 +1315919631 +1009537711 +1709327260 +1052939152 +1203550480 +594615905 +1755915231 +721862484 +1940383666 +1762003536 +1749792033 +71875263 +676303213 +590963957 +725359479 +1646848580 +1519803267 +979220516 +1548275691 +487906194 +28127247 +471270491 +55165362 +1771379883 +1174142220 +39028149 +191090655 +1146668589 +1442246914 +2098352724 +786178246 +610682897 +960406787 +348021858 +1663622049 +16473620 +942637763 +1272053632 +738336104 +735537781 +886573520 +340644489 +807413044 +1562876733 +931608446 +1532772523 +1062241665 +303928065 +364509392 +463033708 +791834260 +392636639 +934304200 +846999622 +16532874 +2108446420 +886027772 +207623530 +1107631362 +180791038 +158492606 +1893809608 +791473935 +1118899394 +94347818 +307612337 +1135373014 +1036985581 +1579665969 +1873709118 +1772523362 +318755842 +66869959 +432452758 +1881632575 +998478405 +1965225281 +796390593 +1302406470 +182251025 +1259424301 +2094240730 +574887664 +46244853 +793756705 +591420539 +7207626 +1679784477 +799044069 +1114838988 +1860575515 +957536675 +861164948 +504565802 +2076436069 +955512766 +812178139 +1064325435 +1992498347 +244360461 +790550905 +1617538061 +563116303 +857420864 +2049990819 +297265230 +1855899269 +1867732452 +1093655823 +1010822092 +2049983478 +205596477 +957579174 +477387494 +251841330 +1751335879 +1068808033 +259048956 +1283636708 +1867852102 +1373887944 +996728575 +677905130 +87569244 +1501294378 +606857551 +1043082010 +165988869 +1671182987 +888096709 +410349330 +314250244 +358151122 +973465633 +1171671109 +260658293 +1270730864 +880086730 +2128390746 +216903039 +1890908822 +2030890576 +422499516 +701004349 +360794422 +674340847 +304856580 +1429602456 +933389803 +1588493289 +1149970910 +159794100 +437738216 +1827876040 +247363344 +1939032594 +287249944 +1290445355 +2105021464 +1958432931 +31058416 +367887146 +125199527 +389209539 +1341352780 +1296870636 +649867832 +464599996 +29473719 +630774930 +681503035 +1920382541 +514181858 +1104002552 +473903242 +874976281 +1778343399 +778759823 +157095089 +564249554 +219769464 +1307065999 +724043654 +657507680 +987458392 +971406999 +449056627 +1274708336 +114368706 +406594443 +1085657619 +145427122 +774481589 +1210857146 +534636661 +2115834369 +360244135 +1184504494 +432950717 +389717854 +1815279424 +1114453753 +162616747 +181977635 +70972657 +636519990 +1056953916 +1849316056 +1415279813 +1214049005 +266081962 +1635049277 +373631356 +990125617 +145073309 +1361089748 +1961532616 +594129936 +488314436 +2075901322 +1000724379 +1573972055 +73844796 +1775205969 +637345554 +608481458 +1743556690 +997589689 +1792985952 +29023760 +1387307543 +1460781728 +1143477513 +1549924290 +1642759363 +1214450170 +38960632 +552229631 +916282578 +1454240445 +1766278636 +1182364540 +941806074 +2139909993 +25006509 +1086879384 +1353516093 +1986539125 +1681009320 +1841830530 +1914956799 +534250052 +1268318937 +1988801596 +161972373 +1905664491 +449799406 +1905529063 +755770532 +95301710 +1934552823 +2143078075 +1556083438 +930546688 +1545518718 +1051359154 +2144996858 +1584479350 +1603588785 +913795788 +891236148 +1222383774 +2096160329 +1833042222 +1214810119 +2121166838 +772437958 +420842564 +1960222316 +305963631 +115189446 +1727695467 +840213683 +1383508384 +1569013415 +1002186056 +1141689227 +2018812821 +760231471 +1897459760 +2114114531 +547300647 +1893054187 +1522714322 +1477847335 +1291089257 +426589828 +1475360546 +728084960 +2030178613 +241672686 +1619321108 +1105078739 +190349367 +1304879682 +172405210 +164032558 +2077317641 +593247775 +2124254874 +235797624 +708437221 +1704466693 +1076011307 +2091945605 +1125996461 +2078197363 +1086151185 +997325634 +690945186 +836127297 +963956518 +1238245833 +581697836 +339187192 +568609521 +1872787094 +765777020 +2043970067 +453388406 +648471985 +138159105 +2072709514 +1753550725 +328508473 +1230105548 +1925955935 +492541031 +1159939541 +371720062 +469312257 +1395737165 +1080157284 +26295302 +324264824 +1024619241 +1152291763 +254978539 +2110770426 +2133750 +945923726 +799414075 +966090268 +36685911 +1381111912 +1305277460 +605295432 +1106415358 +2071054480 +501781851 +1559803764 +572042817 +639940957 +1485029630 +178109894 +968449430 +567651530 +2104065830 +1460990461 +1727591072 +328302244 +1930302718 +975844589 +1408459528 +1956598020 +1300109414 +285595122 +961406136 +1555087953 +248881900 +963539886 +353528031 +1048295976 +1929630154 +390213943 +281924240 +1087423966 +995509375 +1388339598 +1010994798 +1497291227 +800659714 +1583037615 +2137232184 +138205696 +1761147510 +958197966 +705857226 +1717729692 +271704779 +285964650 +2046031936 +54523849 +1261809240 +1307007817 +2011121869 +414435006 +1592602939 +825044357 +1969522959 +1841484839 +1788584243 +175567343 +742297167 +1570730749 +565781286 +1024221407 +510671067 +1561290661 +265077357 +1521665865 +911098240 +1065737071 +957219833 +900846776 +1203942767 +570883695 +1859044742 +1909799994 +141129739 +2130749521 +48280996 +39678027 +37789722 +1310090236 +1346685844 +2048911592 +1724525242 +791805135 +726472301 +1546564554 +485806327 +367572897 +1722131897 +1228103494 +1938303646 +140429535 +104841254 +301491066 +1701720196 +369918611 +1823156931 +465334789 +1435655683 +632893116 +1366181565 +492114802 +1203776811 +1077742660 +254431148 +1344906550 +1061008533 +302712145 +1384584578 +1098798256 +1612802381 +583786774 +1000226200 +1189843976 +1375591910 +1726698501 +588924882 +1861398237 +2094271398 +163573131 +942018083 +1885091397 +304002666 +1046859337 +39098815 +2005722862 +1416777949 +1862255746 +323574003 +704949984 +347665215 +1689755569 +1197064786 +1551442026 +620014581 +1451495935 +748864929 +1681023114 +1754208080 +2133449507 +632337722 +1219526813 +569752633 +1632563922 +261887141 +1945344543 +1211778776 +850812023 +1659259132 +1158566526 +1014385154 +453793568 +896174275 +1318387820 +1500652905 +935273090 +1176627035 +769947206 +650045189 +1500201038 +1474897190 +997710404 +1042472959 +524478329 +401668782 +1662487540 +1975974264 +1150533711 +1196027007 +1582698696 +1136499570 +1828364729 +654741861 +1706252204 +1313445004 +916629003 +1504113099 +377740132 +1767441026 +1015888584 +1536306658 +634342533 +1469682152 +284997286 +1952730353 +822851409 +1220270376 +981873740 +1592798616 +1870315565 +334591131 +920212158 +720542321 +1377064090 +1444690487 +1122211104 +892067983 +1273181103 +125261167 +2088094990 +708396151 +1261760738 +1768976071 +1363138013 +820529294 +934937427 +132283368 +177158745 +1312677559 +1899724394 +1193047329 +701500570 +386583279 +515245833 +986497856 +191829985 +1338097243 +59284584 +1173703725 +783412211 +1929600150 +1508294856 +1703624369 +502658823 +737875299 +1000831209 +1624869927 +1629943282 +126528664 +1750131095 +1570554624 +834924816 +864408185 +1192047047 +50579181 +1684937479 +2126984475 +182862549 +1862096224 +1292178386 +2082586943 +907659906 +1993678956 +321686575 +1422905739 +832693164 +513516560 +613519334 +891977749 +1687220285 +1396931545 +674094251 +1048031494 +953072267 +1176753074 +1785906793 +1953903476 +654139354 +1268366427 +2080432140 +256786801 +691437403 +767873308 +1121194986 +1883484450 +818452489 +658648817 +1862985277 +1001315038 +373261393 +1007680016 +936418334 +1280921299 +853875324 +1258104909 +556343391 +1686568489 +1771621469 +1169862725 +431062590 +1311358106 +419310623 +1105156841 +211905952 +1372382890 +134426267 +1997812745 +1178802718 +788565621 +1118695524 +1111751210 +1045352422 +1810132927 +1879624519 +19063760 +1546133730 +550593360 +677712577 +1261635359 +1551908399 +1050973971 +121831727 +340843085 +184411622 +975707052 +1598947994 +740755013 +514791893 +1223085815 +1910617739 +945854483 +386960273 +182444714 +2051011324 +598866226 +1554827604 +37953943 +449195323 +586146674 +826519565 +1567890848 +1697897884 +1871871987 +1230540127 +1430038755 +1890935748 +629190209 +1980632116 +421164677 +1890825569 +1385056867 +1472138648 +2012657296 +1725899952 +1656550271 +840880700 +1177364298 +249821636 +1355672593 +252966465 +12955727 +154043428 +639926738 +195400441 +57571104 +1238792964 +1750228045 +95525048 +1687988288 +188891071 +922044613 +1108395488 +1886788956 +646432952 +191451967 +1169344063 +389885052 +820642177 +1002492531 +811049730 +563984098 +240065750 +135704730 +429157746 +1965965702 +1792255001 +1270038447 +995846352 +2042076638 +478227392 +1248812817 +2055032365 +632270821 +1888739556 +102949159 +689841925 +980048872 +1853177204 +785366973 +520553512 +2042068276 +1707411586 +1628949000 +1781373584 +206360891 +1820400968 +803233999 +596245943 +493559497 +1805726531 +1407295673 +1057543595 +2045792281 +1543000404 +1486701341 +1864274336 +1187771757 +609256140 +712637040 +1082364747 +1087483533 +1961449858 +989913465 +1719754354 +1702705766 +1092862624 +262112631 +535270990 +798556180 +1047479605 +1055824503 +693140808 +607407543 +537289855 +327030744 +813768434 +210207175 +1130264744 +1410014378 +703766672 +788507627 +669826403 +1761310267 +686816260 +65343159 +1100527961 +403606948 +1253114917 +1709784101 +1116243989 +187996016 +649783986 +930210199 +1177909481 +222054692 +485432317 +123288457 +484167324 +1020703307 +921844638 +1531646929 +2076527810 +1614985446 +2139054472 +466334018 +1942016191 +805339259 +676541193 +924797287 +67869989 +1380307866 +1713304914 +737696392 +994134485 +252637526 +803039552 +2094662446 +656244475 +2056154469 +1656962900 +1772488464 +96666837 +159263238 +555215015 +1274576319 +381317931 +1040647332 +1397864776 +865485255 +2061350639 +172225766 +249648536 +1990394802 +1787211213 +241219360 +309245172 +1581743756 +1046558619 +985786365 +359057395 +1114428608 +218610583 +2072362309 +1852125001 +1212745069 +177516187 +507680905 +1159923867 +833760662 +416351726 +669403119 +458765478 +513018563 +828666358 +1013980493 +1787594882 +1209984289 +2054627825 +1037976011 +2075469544 +1968494817 +1210201777 +177634432 +1811405971 +849929342 +418853792 +2120651143 +284189450 +1465412412 +958953860 +643246845 +432357372 +1177564444 +568125506 +136998725 +242825865 +745641694 +644679630 +1402749732 +1579402356 +1061031356 +2072152852 +2038167835 +1574049920 +753335562 +904664680 +1214161154 +1963319851 +811808858 +104653517 +1891305747 +632820027 +1314855295 +2068940179 +296742350 +17300989 +340310323 +269909845 +301490440 +1805722735 +1228863705 +944737285 +90596460 +258944501 +1512862792 +227595185 +501770366 +111020838 +872274816 +1904520099 +1690423194 +1933306172 +1829189303 +1581107381 +1359872444 +435041217 +338288414 +426549951 +250877420 +1150097272 +531203468 +2142183167 +1782917299 +1846058763 +2063639698 +2079659649 +1863359753 +256466373 +202085846 +17366545 +2062189109 +1430949551 +962103830 +5301921 +1689894053 +327482974 +232897106 +44180771 +438503812 +1105171922 +1948700870 +2128927007 +890994447 +1630406525 +1562550740 +103383243 +2065447742 +1900839154 +529933194 +168841514 +903452778 +1061136663 +163541033 +538886429 +759711778 +79697083 +471062430 +475587883 +336163457 +673148276 +492954428 +250868918 +2104097828 +1455058259 +256170839 +1646508233 +1782541233 +489067945 +1690689004 +73561398 +1594239868 +1491906227 +55004757 +337750667 +974829104 +1617555497 +441133910 +892793199 +1370911004 +971067105 +1061634713 +126880134 +2032203768 +1225175747 +665766564 +644431898 +1304872830 +1136828994 +1120019782 +1641036287 +1809977271 +1612974210 +1891905205 +1766591451 +920548821 +592396 +1265616036 +555606407 +489660342 +808821392 +629167805 +2083900210 +153243971 +684172562 +274167229 +1128073076 +154244411 +715301139 +2020866275 +1525155415 +1686368244 +935017340 +1652035550 +1571088364 +12709439 +170318466 +68036615 +1317582270 +1307147460 +1188056397 +811134909 +969641083 +653546959 +555556467 +588748886 +1574095781 +556148863 +1854364922 +2129702188 +1045809205 +515702667 +611386345 +982225767 +668946638 +1295558907 +1256392996 +1797019714 +1449803318 +1971694136 +1670402341 +827475086 +1510578732 +457936034 +332026988 +934183449 +470645473 +502345454 +1002220064 +1788227743 +1809492914 +42792813 +451879005 +631650350 +696339772 +1007435472 +1220399236 +122951905 +1563584335 +927280511 +105170445 +461909893 +1442983178 +716556790 +1444135660 +2111929816 +2012115697 +553045009 +1761465883 +1314435368 +377255497 +1284384576 +2141910454 +1887834229 +1742320610 +326453794 +674534030 +65482436 +828799248 +1676754094 +1853710179 +490808514 +1719546907 +158105536 +1122458864 +268403032 +1165541008 +195374453 +391354937 +581641696 +1122654964 +496525383 +1043551589 +418154494 +1213082173 +340203601 +382600662 +1077714223 +893248610 +2144066545 +244665943 +1270504107 +1280967474 +239092749 +1010854689 +875804436 +565546543 +1685388719 +941286872 +1394345791 +1214659166 +647513404 +1885154305 +786722425 +805618940 +860129522 +1055125457 +1971159949 +1055503975 +1446480395 +405317997 +30675291 +1943005778 +1448869586 +448829785 +1008604303 +1789073187 +831430447 +2086318526 +534838150 +828013345 +183500821 +1805342257 +2108980819 +422593570 +668713298 +837301607 +988140113 +206618370 +1778588480 +235002256 +1421277536 +278618236 +2120156562 +60516313 +1084237176 +832802436 +1115641771 +907913477 +1888306411 +414638518 +1313231474 +1918981702 +210160648 +614617412 +220327839 +1218764951 +256206952 +1051758286 +1157599830 +791045102 +1879771631 +1341100651 +448903711 +1841268802 +1763694222 +1117617010 +531086762 +604350687 +1324235380 +162191594 +839352944 +598029268 +440809830 +812025858 +658545581 +1525047006 +1644828294 +1774187352 +285476836 +1385651057 +41342222 +1598708310 +1157149111 +251502870 +65842075 +1377476950 +1470267822 +322049027 +281751588 +480384004 +1113094129 +14039572 +1821484655 +1561997840 +1855308374 +1437695229 +532131202 +238911488 +2042045917 +1856366582 +401103082 +733915213 +306912202 +841912912 +1545941071 +965457784 +219476271 +1043285717 +592161488 +504953107 +281453126 +633503711 +2103661417 +1438602237 +885006581 +22019844 +668595539 +207790755 +344068871 +950347127 +688174759 +1457163000 +964386699 +362175767 +871677193 +672211426 +1799870996 +1403808395 +911122914 +1694433265 +1112691330 +1312225997 +280864830 +1419603532 +6655261 +1826805901 +237577668 +226131532 +722607970 +829739157 +731084639 +1004061096 +1463242868 +687262409 +295179685 +200765801 +709282253 +963775224 +408556557 +1053351125 +1914122352 +1096731316 +363030477 +731025403 +1458907083 +1234707670 +1403236829 +1111294432 +491032418 +166876096 +658244049 +1603723748 +1479102093 +939108880 +875843632 +1485757354 +618431133 +1113421301 +1711888887 +1341039104 +1943160458 +295489878 +197616552 +1258919678 +982752287 +492796238 +1459685479 +1692034541 +1456571462 +1868242036 +597902018 +1223210166 +817489705 +960932495 +1954235570 +128913140 +48156518 +1209988751 +1240207572 +539188936 +1376864847 +1898451622 +2142912684 +708483292 +690076854 +871272668 +46756999 +1308507987 +1984693969 +1758645886 +502063443 +1780370779 +2054135764 +699679996 +891806809 +889404404 +1192476234 +204008641 +433955297 +501564048 +2072250677 +1031857315 +1724774215 +742256734 +1992789810 +1531526137 +871169875 +2040946328 +594031240 +2111377447 +432651616 +1970896088 +1862345421 +428080652 +531895732 +404938627 +1299353321 +578652731 +1713446615 +1136563642 +189814969 +68026410 +769450774 +96467086 +767706406 +1661257583 +985871490 +1960182640 +1865266224 +1419826787 +314263041 +1790033254 +304200454 +2039037256 +384806340 +149506616 +1423079745 +1255976215 +42969297 +2017110985 +1219870015 +475620913 +1840523425 +934731788 +903701566 +224935510 +1339670416 +55571239 +803588241 +905633383 +1192134881 +993403211 +973659793 +1961585655 +1089870297 +1741366200 +1475359591 +2075741787 +1554065192 +1193142167 +1348084926 +1868328233 +835691773 +1652285380 +1759881841 +1220498114 +1801791996 +1035477938 +328990681 +1844761293 +905105276 +1548860696 +172898559 +598145053 +336108837 +1076600125 +823080563 +1675779253 +1132171364 +1626668805 +433928988 +176822597 +472588368 +1407588781 +2138408253 +1562458665 +1001471333 +1466284196 +1490716804 +408052878 +511942715 +691318082 +128897463 +1347634489 +196119814 +1888779305 +420648955 +1997911810 +776773595 +749639636 +1695189456 +1681878871 +151016685 +1868088015 +132540277 +487125522 +797204492 +955620840 +15421127 +1929375856 +434805997 +449350115 +2106198453 +907394365 +1856938896 +2097123058 +322369382 +710926582 +1415923606 +1813086186 +1118979460 +1927866322 +356920620 +1247876923 +1128017163 +553040434 +989172580 +1548666118 +403468597 +1765946176 +150822106 +2098658053 +1300341399 +301838791 +1819262420 +1432881676 +788964313 +468983264 +241018869 +804385440 +250875472 +675824866 +1253735555 +209590277 +1583219232 +963190804 +159229688 +1905588614 +1674117386 +1575153294 +1571191153 +645613198 +1355535968 +1928111773 +1893490121 +336069483 +333668560 +735179054 +1884735601 +737137157 +353641582 +2035557708 +688311562 +1653982981 +189912851 +360090334 +939381010 +978877165 +829073598 +1180399879 +1783262605 +1079949070 +1856224745 +889514513 +1289539347 +1291960329 +1852705317 +1448769035 +1050065296 +1379339055 +876438682 +473772801 +2024952253 +84491002 +254400926 +1770958726 +420560486 +588069486 +358654132 +157812439 +1325206643 +712295714 +45886499 +2013518205 +218795048 +235799351 +226124891 +1158176058 +1214676516 +1055198489 +191092289 +850455473 +2135147559 +2047317034 +1739969986 +1277203259 +1191793716 +1445191655 +578488646 +94375364 +677047062 +1454927328 +568148165 +554515667 +1539418331 +822549091 +177990746 +1959978817 +1410618578 +536644878 +2117791256 +588341573 +1248940593 +16194108 +454376131 +1467735641 +251993459 +680501022 +478428051 +1466669975 +1735699512 +669520340 +169641800 +1723363423 +569353726 +1909611787 +853083034 +1761147442 +1207319794 +1431571681 +1855522806 +1884366857 +739015361 +276187323 +291398876 +130950044 +1098736415 +469389622 +2090928861 +361871345 +1006034501 +2061236470 +950212918 +107491446 +2077430578 +1404589049 +1575227087 +181940389 +2085090072 +2053655138 +1648610364 +1673305936 +575691830 +1818252164 +1249185711 +1145045556 +1580380303 +2102268746 +758709351 +640216450 +1386356779 +466748509 +377099659 +2125372140 +742935833 +668498535 +108838537 +1841672248 +1137888158 +52283750 +56059945 +2143922659 +2113520220 +1006272863 +103930457 +2043467150 +263378265 +1679157544 +77923891 +200984689 +1585329034 +1726534255 +1874290625 +13537216 +1397302772 +975992688 +1158582772 +830199427 +930777786 +1917292123 +1470415877 +169650917 +236556985 +1847515536 +147539410 +979492818 +368530424 +256377947 +673681418 +1506418582 +308661697 +729741363 +1502857593 +274698270 +1736014226 +1606788050 +170681772 +1999392491 +1138461946 +248605664 +52893532 +576307332 +1975139919 +1927184157 +589844548 +1224959043 +755693198 +1748427320 +2055158471 +1686470984 +1518235796 +1378090700 +1856121902 +1754792781 +1078122589 +2003661312 +586801951 +1446653013 +112555611 +1260483369 +805587947 +421217308 +1990224732 +160961892 +695915578 +1578755310 +1767749942 +866597351 +1430664154 +758728240 +1115203015 +1483557686 +1335035572 +942859286 +1263258196 +1924880120 +20334682 +2018951394 +1525823792 +2075493153 +1557938730 +896575940 +1306100205 +1266576984 +503885073 +236739146 +1122754648 +1090687024 +1683392159 +1235310259 +203686745 +341496458 +1656527568 +46427829 +502458350 +204959498 +1625183140 +122724644 +1071556849 +908363646 +881452884 +39276216 +244437684 +69004808 +982135503 +1507695880 +1993884928 +1002470185 +1379163626 +1372225073 +930479690 +789618709 +121317365 +89096247 +2056195693 +625202439 +325835394 +1031466694 +1715889463 +2009227553 +119293305 +1919576209 +203240364 +1775820873 +1966004038 +705698714 +1980780372 +1443703530 +828423359 +904853573 +204583528 +1709876243 +944129790 +449021213 +1778881052 +1926265293 +1956717093 +1625282332 +781251830 +1188397072 +850023757 +1711731520 +1978015781 +971341123 +1800827767 +1886727826 +1596543562 +2126663161 +770710872 +1164949377 +1988407067 +890004178 +937041938 +44163783 +518341403 +755562329 +749862497 +351638127 +51782211 +1578285856 +1256491701 +256365740 +1140678452 +53137843 +705386953 +772075856 +1979403136 +514620398 +249874540 +613171318 +1703017470 +1099898298 +177419190 +1533549603 +2071239421 +1978246957 +1272793782 +1520299335 +1957426471 +2043504654 +537765064 +1798349890 +786025184 +1474807003 +1842513673 +1304366588 +82885684 +444892522 +1656004715 +134667895 +2023178379 +765012768 +391033635 +1016373183 +818150611 +1096420588 +1788449039 +650070099 +1611040987 +2038323579 +1263241417 +1166574809 +990738229 +1440660607 +552640765 +914494002 +1271423917 +1825434547 +287309689 +1081366740 +1721455553 +825074754 +732232982 +359997090 +152398109 +427263007 +1664363678 +235283793 +872155529 +1172884745 +369951688 +747850260 +1937897514 +760985324 +1764223443 +608564477 +1857405912 +1405188834 +1258634577 +1320963251 +1296028766 +374392346 +340054413 +139283347 +1815052954 +892695178 +1053777350 +938993223 +570646077 +1341087039 +2020359963 +144617982 +18678145 +605109297 +504615072 +171076254 +1032372304 +21495102 +406360047 +1904527833 +1194379848 +776311736 +504894446 +984793714 +1537297060 +121634241 +1593358191 +1247219324 +1526823076 +704509120 +420698928 +675368194 +1078901467 +760753341 +814651541 +746470773 +1653448519 +1868428891 +1685463996 +76610948 +1062032283 +1558340311 +221228930 +1080710428 +15965960 +725844003 +1251786683 +1048338264 +747339105 +1658146730 +805382449 +1941718953 +286974818 +1310276895 +779029019 +1824271878 +1431911137 +224903563 +924007555 +811250565 +929412683 +1344706483 +1486618759 +2008314150 +2105459824 +153786652 +607301275 +1611424695 +2022215544 +145281623 +1688035643 +936764179 +1703621934 +1909264573 +2017474607 +1719587894 +487624928 +1121777642 +620442510 +1234964034 +632440725 +1425824960 +1029199339 +919415543 +588618207 +1808228359 +596203774 +2020529344 +2033131922 +1520211329 +684296261 +815060957 +717434164 +23431372 +675891460 +675410340 +177218025 +1283192735 +139351387 +51949921 +1428474359 +1827387030 +988714100 +984612645 +1589167955 +858705059 +556716892 +2076792884 +1980482702 +1177159402 +1164273270 +465439779 +455500714 +45988961 +1384855322 +1044118922 +1854217320 +1981059096 +917164618 +1739865594 +1353786777 +1601460880 +407442904 +2071220941 +1624892252 +1083334364 +599147633 +1802110277 +219043451 +738499020 +1854060198 +1647517810 +418402402 +695290650 +484646808 +2007570358 +1553995710 +1041363700 +1936879594 +1386994764 +71039454 +953669216 +1852434543 +526540169 +999658177 +1089806217 +1570659091 +706391850 +923381666 +340340061 +298773796 +129684795 +1941800941 +706216700 +53422089 +1419209546 +1789551064 +652569722 +1073836175 +2008594516 +1391068743 +780412726 +1508628678 +1809471145 +1475703376 +1993275486 +1669557855 +882215438 +887155538 +1458953801 +121726554 +958194993 +265139369 +1974161097 +1484735162 +1264797547 +916483667 +907910605 +1971189397 +1839865333 +1248250666 +122479545 +1969550128 +1042567960 +828696246 +2022972217 +314293858 +470763662 +528058292 +1388130033 +331874530 +1919127035 +21059111 +1840503209 +1581114532 +1496762488 +1686295047 +1103188740 +231494278 +425966938 +414658893 +353220833 +1384161931 +679798263 +179898282 +721413445 +1944595810 +1096381949 +1629324050 +1768301559 +788763634 +730091068 +1890781104 +610830115 +1772659028 +571993702 +486318684 +2086952886 +1042757365 +1014376976 +1327599272 +1374631895 +786020363 +1348658383 +1067651456 +219651248 +697937223 +606462856 +1322839988 +929431502 +1032429794 +1737498881 +1282652335 +269108077 +269813496 +1462550617 +990521522 +66925658 +411448919 +472361924 +1835227217 +1200212553 +1202452992 +1578524674 +1811042668 +827628373 +3034728 +149877705 +767097611 +1045792093 +1164254681 +2094696883 +272940341 +1950275045 +1295871619 +1340591797 +22442645 +1993808842 +1947054653 +1345282633 +775756696 +832000799 +935297866 +2058409031 +1101108876 +1205111363 +1373476001 +2091630398 +1272037021 +1784924920 +416508674 +959780591 +837653825 +1618961667 +390821617 +501212846 +299106392 +393856345 +651090551 +1066204003 +1439648439 +1815345232 +1013417239 +1712588780 +1618136629 +161805210 +905696929 +1640579274 +8130404 +705267935 +838378259 +783887101 +1537268734 +1773676126 +694812484 +490893963 +831303841 +2068288485 +435040713 +2103340862 +1705729757 +851549388 +915637805 +395899935 +323027407 +1306459422 +897112781 +622133799 +1700315768 +1548203332 +1688337802 +992480559 +1216064916 +554271393 +557585691 +686717898 +716076603 +1463282620 +179813524 +724207008 +21066907 +1018191784 +1508094109 +1558335642 +644384262 +55422945 +2049229605 +1475688103 +2123711431 +336786670 +1431545317 +1681957540 +1188336058 +199699475 +2077857475 +1511363465 +1506158897 +827486608 +2133497264 +1058991017 +228206292 +1674351419 +2051471576 +1444271209 +81139164 +461573619 +2130989107 +797215768 +1924856240 +163318983 +1521422776 +1945923147 +1181510767 +882033237 +1356775141 +1825895029 +937456182 +1258521098 +1154099484 +913683965 +1595307769 +438161154 +448157858 +636160179 +637860629 +378531685 +39997 +2144019526 +1206018294 +2133537261 +1055526896 +1434224586 +1660405032 +959514824 +731012147 +1741544197 +1421088444 +714517606 +391276317 +1198461036 +877836590 +1912699093 +996900535 +2059347357 +647248682 +206192029 +1737758739 +1584704864 +1464713127 +744374575 +350905182 +912537248 +1182535729 +799063040 +1548697428 +1820396358 +1177594725 +1548737425 +1816932237 +236129371 +1534791038 +724975485 +1670353958 +1047712423 +1684490309 +253882457 +641772972 +958095105 +968400064 +1033049289 +9072493 +1846236654 +798264734 +1005973029 +1758100363 +1445513416 +1212165058 +1348375454 +882734632 +529394537 +2092750030 +1233639814 +1441931786 +1127802111 +2032702854 +843145566 +800714822 +1062813932 +244399343 +470163411 +1298943303 +1779190381 +1195138896 +821813613 +679419156 +732145557 +1075696071 +1321192128 +1690240663 +2044096135 +206757769 +1699313156 +1742849141 +1005022503 +557802537 +1353465856 +303052271 +1769967595 +554357663 +1185786904 +151878485 +499624045 +271943070 +1593810271 +1627426156 +157162277 +289472189 +280657330 +1219976209 +533871532 +750820741 +371435864 +165578265 +1945959637 +1193249478 +844997422 +530621547 +121461901 +18705902 +73378562 +18074388 +225463672 +1772691718 +1760923529 +1230486175 +183010608 +966905737 +1533538447 +1952978203 +1521263400 +571841703 +2104856688 +2020887445 +843784773 +1551183311 +1500829954 +1000947050 +1840655500 +1781487284 +73439611 +227043384 +384824378 +444875476 +392621650 +183300367 +1638124954 +1237619072 +713921914 +1759586855 +1256324974 +787300476 +1777661243 +1481788646 +412508547 +1391101124 +564791174 +595519155 +210523213 +2098329621 +401013710 +1731786614 +522687676 +358386751 +1605190411 +1366472449 +1909570062 +958536717 +219935852 +1602741915 +592540354 +293375463 +1829785299 +977364732 +738250939 +74923301 +1160665099 +228892245 +1312542373 +1874587014 +1988479100 +421383700 +514403842 +1618656695 +1903172346 +926912389 +862274171 +320479872 +1522431544 +1072797385 +271325845 +1923445255 +657100351 +794013521 +134348358 +114807114 +13002323 +2043918420 +1073343832 +232938175 +1499176687 +1665884186 +526313638 +1181478339 +495765270 +1264564578 +1256401640 +1656430369 +1493456823 +421460366 +1383533735 +1334452276 +842844066 +1897937578 +805625323 +598532764 +677366319 +1667899495 +919012637 +52314216 +593213232 +1190338482 +1975759471 +1250313583 +1984352004 +2110107829 +1365120697 +1997354327 +2006542601 +290980881 +82808854 +1358235641 +1956865067 +609122492 +392230332 +305146689 +1873687070 +1648631972 +1961577059 +1219660246 +2070092338 +1197627146 +406628874 +765452756 +948081076 +1212254197 +1363985521 +1625447396 +732670044 +135514510 +1677761612 +1325883276 +1325852992 +1506037435 +428713211 +1162721348 +1468661616 +1793833909 +1012592027 +1327720569 +2084814790 +1095400881 +538472562 +1894196210 +1704523374 +930702894 +51859251 +1430726796 +431851219 +2013436310 +502903394 +354459909 +1063579809 +909532268 +1119912666 +2011660885 +2121786466 +336414539 +1489624633 +706972862 +471929049 +1019902597 +2032856139 +1797782041 +378456384 +314085702 +813019742 +1847118000 +2107919611 +1825611769 +1027354922 +2045250754 +773529003 +1565827484 +1791963316 +330568729 +349046731 +1843822567 +1761295525 +780897950 +1709775230 +116715272 +1135357859 +625871391 +1026247540 +107786877 +490048628 +1000550358 +444201416 +1979673262 +1707523221 +916130465 +852092211 +1592895712 +566428859 +1230548596 +1906981414 +1379448601 +930182948 +1867417378 +1057576722 +1957537870 +1765184484 +1831105725 +1375881707 +1409664152 +14190806 +1724928438 +1106003071 +1775486332 +358342740 +668294653 +1892201604 +1493700599 +1294166044 +770965496 +1601487477 +1784214673 +1771515855 +2045688893 +1616404287 +1331555428 +814335711 +321012850 +776967492 +1380764570 +1551561446 +536465258 +612729523 +334260747 +256398988 +1670306245 +144314969 +2021583472 +1353928323 +1520196676 +1283763976 +1368119129 +1097641466 +242283400 +996121813 +1455984206 +910578053 +740839769 +802201158 +57260450 +1511805266 +256204987 +1841475123 +1135837473 +154410232 +1310395762 +319909253 +968745943 +1631408612 +1096876745 +202026865 +1035486411 +1633342003 +814756388 +1369747158 +1889740992 +337578986 +1514062127 +1763840816 +1691507309 +886775156 +900121145 +912142790 +1984416622 +1142404545 +1908264604 +1292917181 +2052982598 +501620725 +2095118339 +2110243048 +2013425991 +203839678 +1804234523 +1001779816 +358249910 +967146637 +1321689069 +1326995854 +451071602 +271082166 +1529022719 +1486558013 +1904424170 +196295460 +708821523 +1646681514 +533874446 +75400002 +1263038682 +77898107 +962175158 +15676179 +990040897 +799108133 +1158080724 +750821853 +2092025314 +1063579675 +1252442579 +2039660005 +1026339075 +1118384922 +96016035 +683089951 +2120164739 +454265945 +1650236588 +1294370160 +1781261799 +2101308190 +1565452327 +1162800871 +1440382555 +1322392849 +1359096331 +1720430 +821590715 +1892970777 +77120433 +2084629397 +1970868884 +1039295591 +2100305577 +813426133 +1838403724 +1110902653 +1564247987 +1782945390 +26998680 +669206918 +1675121747 +1053337756 +1787591840 +1771137782 +1736427707 +1760272931 +77920080 +1239180647 +907159444 +1859181879 +1193005190 +325128123 +874499102 +485904097 +1647520972 +86111785 +487624528 +321628039 +1979082562 +564744961 +258773788 +1802467798 +1604040552 +211595717 +468410284 +1294960629 +1322498371 +2032658271 +930422371 +1349497051 +554381541 +458060471 +255351159 +194489733 +81714605 +1991778866 +1954762665 +159634685 +1083475866 +714438461 +2018816565 +128997408 +1039566584 +745832019 +614901505 +539603908 +831943805 +1102526033 +861231947 +663542719 +1667270994 +1120005735 +318526870 +1123827899 +1331601453 +786937154 +271304880 +506616176 +672111777 +1201727251 +1856113227 +1226493318 +1659787722 +2111464387 +1420983051 +1741502328 +1955759605 +1228262068 +1901137013 +891751823 +1942700529 +1772469930 +1020749231 +834783465 +370818302 +1635650737 +1374387373 +1202762107 +590693122 +88135672 +1866304826 +110480469 +1208141408 +37348048 +1234308368 +392259213 +824285202 +1505613248 +898875389 +1496396979 +559856851 +607504968 +575406649 +72160926 +571485707 +1996389701 +1813663254 +379761665 +1077168121 +1567316619 +1271513488 +872385003 +1192302902 +144779072 +1707168468 +1563121204 +1780429809 +934072194 +618399663 +223639283 +1022207866 +337220841 +334119752 +82865626 +374568890 +1568428120 +475124839 +1198854092 +926557720 +1374000228 +547767424 +1486414572 +1981505197 +1123174073 +1558575498 +405507256 +972080126 +1224755104 +785268921 +2049248248 +644588075 +2056782410 +774149603 +1836890977 +54077834 +333834423 +1252528533 +1834507643 +1267906617 +1870928196 +2058146926 +142630836 +60665390 +244783031 +225496462 +435234280 +1813211151 +700621302 +1634088372 +592285224 +2074621530 +34372148 +2078699796 +1908643079 +1157546222 +1489791646 +166666688 +2129626348 +567063102 +951935609 +2031390948 +1211651177 +861234371 +658056903 +901058507 +915312205 +991891327 +6103392 +602336200 +112314296 +1877031589 +512999479 +254945132 +1937696979 +757782510 +480441595 +225447611 +423510013 +1181062897 +1859535983 +1015795237 +1108200779 +1893908132 +947011385 +869360211 +903970706 +289319383 +1036026899 +886113406 +856382485 +1987962508 +770020707 +2068033663 +701713232 +1428077610 +821608522 +1617025437 +272485289 +827711914 +71877990 +384799586 +557259855 +584877469 +639744718 +347473186 +1342659979 +1120186313 +572920797 +1766169992 +153765562 +284973133 +634481582 +1261966342 +31397617 +1581492967 +2131326553 +935368323 +1870812351 +1019869804 +1821481729 +579711188 +860348664 +444018788 +500261203 +1562061896 +1872096399 +1321869725 +1031603686 +2144581688 +2097992 +1103481676 +381897626 +559357847 +1688359145 +1021642345 +906831034 +883535476 +2141828658 +1479751831 +502221820 +148110573 +1764724964 +1136703402 +1410076915 +1796122581 +570712722 +1393919820 +584007256 +294041425 +266305976 +258005338 +873752613 +1126654640 +702024126 +1374013817 +541232889 +426636877 +548399894 +1572836575 +423734918 +550497886 +528834603 +805632544 +1109855734 +69710100 +1827274889 +2016686768 +953245576 +1821619900 +1348954951 +1455467396 +1969730473 +966196268 +444687151 +1232323740 +614835201 +1015399873 +478759912 +1198842458 +1309441298 +745065888 +1456847796 +35710263 +1871720528 +11388274 +1409724080 +265469769 +438025152 +1958123975 +1838306344 +861760070 +361138213 +219657299 +1667392614 +1470993947 +289367399 +1347183856 +1340197067 +1242612975 +1021320108 +541668371 +550596724 +843566933 +1507864639 +995283875 +2075890673 +2122699840 +2010683748 +407166937 +1174058650 +1172641398 +1152232825 +483422798 +1208351661 +876469705 +494811073 +470592094 +1141939475 +932836225 +281232421 +832762171 +1794596295 +642370634 +1052419471 +1314505261 +2113364582 +1341786870 +514205469 +1306078001 +436916198 +1535525577 +1847746372 +987512922 +231608862 +1208127363 +1982796797 +160015887 +1183343556 +1845996897 +567182824 +209918558 +871154647 +1719415649 +693341357 +2079506308 +448401707 +1188152430 +402614754 +1590341182 +2120988655 +683847175 +275619705 +1768101302 +1326217810 +1328039176 +935122915 +1292098744 +522342399 +1449328385 +450693097 +959258597 +837370314 +150955822 +1946771519 +1068979177 +1359083185 +1782084668 +1228995064 +394943093 +1480597917 +1796177889 +604861652 +204268916 +1368109890 +1298203009 +136291576 +1816511597 +338871791 +538906331 +1259369131 +312376798 +1222753506 +1534988837 +2080478100 +401487668 +715544365 +868117367 +1693586412 +1237886764 +169962104 +2144279510 +49661713 +1007332419 +147751684 +1996433232 +2076311596 +1506834869 +1631034252 +1157823012 +1901777963 +964148521 +806517253 +359155967 +1168417437 +27143496 +1657358976 +1304709014 +1843655093 +1996230767 +1843615345 +955540577 +161123917 +918885203 +343045766 +94118369 +1320372872 +1058590131 +962235736 +866475636 +148993248 +1132197841 +863271498 +198654961 +2139530260 +1011023182 +47604546 +2068358208 +370374404 +1678638798 +1078697572 +124668719 +495303672 +1885214826 +483824686 +1663721109 +1912358322 +2141183662 +820946475 +1608529767 +1989930781 +517078172 +416586696 +3571050 +1435963376 +759632462 +97689419 +608852600 +1818222594 +1059925155 +1475328236 +1967215842 +44639348 +191116087 +18387155 +36685960 +1202139269 +65991701 +2105044168 +1572513673 +1744630500 +1036258093 +1697182392 +92450524 +773989271 +33523430 +1756171633 +538863945 +27223444 +429634461 +2147393712 +2017154225 +946712633 +416496761 +2020725275 +235192361 +1176129223 +2118414694 +844044961 +846868169 +1030856202 +171889550 +666600363 +1075495550 +363005637 +684987519 +1112181511 +1565144906 +750979220 +1069742031 +990174932 +348126072 +2106000124 +539873676 +440576596 +732505747 +573397107 +49264582 +1271369692 +600620551 +478899043 +1271279757 +470291129 +1425611676 +1687776518 +343532756 +1660804038 +716422093 +314463803 +357365351 +1563290263 +1345320005 +529254901 +82406978 +273331907 +892260538 +767394497 +1385513418 +309921797 +1518373718 +307771802 +1300096729 +1866499790 +266288278 +1839970405 +159592739 +998794026 +265883864 +208857321 +122680070 +866504416 +687756364 +1393959827 +1336795545 +2113368040 +934252697 +1680328301 +1626688430 +1650674791 +1994792104 +1984053782 +1066481406 +1192628461 +365825035 +1148888384 +1465960369 +1258085574 +1916282882 +703990139 +1568007371 +1287172952 +1011761941 +720620452 +1006189094 +1278050220 +413107209 +1165781833 +129360598 +678991074 +1374639154 +252040668 +1545495490 +2062395518 +1646000496 +734807387 +2028279911 +432769545 +267652040 +1507484693 +2083444336 +114960497 +1344054827 +1002442094 +1307588958 +1709879863 +3846831 +626065679 +820481789 +1920129713 +1330055819 +241005512 +1059819017 +194334112 +961625964 +2066008111 +1472384332 +1374733173 +1084306297 +1601744930 +2053724247 +311461803 +1853785599 +1451736089 +226373674 +1352302447 +39059828 +107169937 +1785071992 +306711869 +1614654630 +1721032681 +421672366 +811225810 +575991127 +1729261324 +373622025 +579837958 +207843356 +1194103814 +352484023 +1537899175 +1435109326 +1412303040 +1732233287 +249251642 +1330827504 +1057133972 +1623984815 +267650153 +511395254 +1530225415 +579111956 +217697205 +834477856 +805485630 +1569999652 +873537685 +912655567 +1207587997 +1180249554 +379826550 +781137030 +1601921920 +1191052360 +1357128157 +1183699596 +1564674385 +1936966116 +1391542952 +611294551 +141966491 +781958479 +2046403877 +1554269532 +366708119 +148171871 +737613388 +1423842091 +1772156686 +1005263541 +1935237345 +1154898453 +1584375497 +5450903 +1989376310 +242377480 +1575450555 +715430347 +1155033047 +635554904 +1895679901 +1534859597 +1416691934 +1350118173 +578428309 +626336444 +386334121 +2143102694 +415818912 +1777877074 +606913597 +557785403 +412351905 +505833826 +2112054935 +779060024 +654005697 +702184675 +55418467 +278678736 +1707448216 +1990655813 +1433577189 +1144340066 +1996106716 +1275469851 +1386717546 +1424073623 +1990900198 +394266945 +2059628528 +1739096451 +1929126543 +1328836814 +941730976 +360071204 +1955173258 +1328065098 +355690251 +223508522 +958458524 +962603848 +781293926 +1370810429 +1468437675 +745865213 +2386806 +2122443372 +1448049889 +57805273 +253638460 +1008014457 +2048461086 +1687215650 +4870875 +1897084154 +815201853 +1391588421 +1173674130 +658618404 +1785855367 +1085819010 +250231207 +1567498262 +267172176 +1191962184 +1927569466 +74861787 +372543634 +135776069 +298370309 +1331002158 +1098379918 +1079664235 +554328939 +419333945 +1825529449 +556715745 +394293669 +1126095690 +614521019 +647932130 +2134110147 +515498457 +187664132 +2138981023 +265098964 +1002865985 +1383085796 +1438773094 +1661484389 +1021457515 +377108456 +1911715597 +441472129 +644280632 +956194133 +221557948 +719142419 +1328737767 +357334017 +1017512729 +512256277 +1455713935 +2097176964 +1066585216 +1875047880 +1775222765 +1623300962 +121857902 +753834807 +90338333 +769790032 +740461307 +605836790 +957454164 +731958682 +870935754 +1960320149 +2115044478 +162225200 +1474320891 +989018346 +539333656 +1238552840 +1430490475 +1183614289 +47263325 +1652048423 +1902756708 +1376001092 +2009382441 +772785789 +1888257369 +1317612728 +722479106 +807358937 +1045176961 +350218223 +283176251 +1167034863 +1104053031 +373514584 +1936824895 +1844514338 +979351375 +746795411 +428989372 +1850287129 +559631912 +396550202 +2012512330 +2033952803 +1385568548 +404362338 +1125021995 +668575376 +1587976627 +1172285320 +173140151 +1343249688 +400802764 +35038944 +2116035477 +141576485 +1352651673 +691030935 +948935423 +250344986 +1041249159 +1232111674 +1417379849 +2145302190 +1605626259 +1206721096 +1842332880 +437493986 +1953516507 +123838604 +140297467 +365664771 +520388806 +5326149 +252133927 +1905957355 +409688488 +1377155922 +427049083 +1997665115 +401957595 +600189234 +1193431155 +802760359 +635228179 +1161982985 +944336845 +1987879852 +1853013920 +1893272268 +90741190 +746779431 +977900294 +1508121039 +744597973 +436042905 +567358487 +439447205 +873536891 +373391346 +563285809 +1013834359 +739056117 +1083674616 +1019160508 +991190044 +842148323 +1428848996 +220862319 +1269197406 +1279030464 +622819914 +1869386640 +324977971 +1425580273 +357131171 +1486960956 +222433470 +197527375 +1192491229 +2115705738 +288268565 +1939270660 +946122385 +1796389604 +536384986 +1382165290 +216264443 +975832191 +108218534 +589655789 +1539118001 +1122052893 +1328711907 +475308969 +2141213401 +172418303 +1317457292 +1422578750 +393280622 +439171050 +554125566 +1016100536 +161074042 +879103537 +294197162 +518205214 +218580846 +516630632 +715732589 +1411072075 +484852723 +1004001155 +1202859087 +1430975108 +652907111 +1739244073 +665656750 +869171555 +567592617 +773875284 +1458827344 +2106710618 +1895928177 +640055603 +434535939 +1889657931 +812473907 +1751993231 +1164753033 +1205754529 +43680633 +1718878599 +74371418 +204754675 +450498488 +368568580 +722959889 +669079334 +885199212 +1438692479 +2080151409 +1370051935 +295209986 +1135526849 +653543395 +948117097 +727287274 +1319200146 +1817288652 +1294879891 +2093075430 +1128632349 +1254106861 +1841519960 +1768687952 +1688642800 +1583694243 +433678211 +1293152383 +600963628 +1639432741 +1336833016 +172358579 +1713804159 +1541587692 +622857067 +2082372739 +117063933 +1291936402 +820088303 +1555756412 +1224604163 +42656591 +1850966398 +212647364 +696199986 +651599848 +939934639 +2015400132 +321404852 +87330882 +1960991915 +1450037201 +1341437744 +1655028227 +1071241506 +882596896 +1091238822 +1504919717 +28265632 +1692202450 +996868810 +1365098648 +1864561029 +563189321 +759202692 +339934448 +498078412 +876266626 +1631870850 +1318166716 +284539390 +708991366 +1360823307 +2135505789 +921638730 +2057023293 +639621989 +1861573369 +1924939778 +961026841 +1948904252 +1738448045 +263580395 +1142858348 +1245992624 +1334821901 +2025455244 +189747798 +692257970 +2053720876 +1881950248 +1689126781 +1271335877 +1599027629 +104832454 +2030538569 +1938962077 +602910867 +759321547 +1423349280 +1921077583 +1043860938 +2132340646 +1134417242 +1031883079 +906495728 +1043956887 +1671505068 +620585450 +821413017 +485048261 +422006054 +412377414 +748628656 +1564864402 +1658370038 +2083450557 +1442835998 +1848117836 +628224880 +1349073227 +1582584436 +169868013 +472925456 +1034128417 +274700467 +355980377 +825606847 +877611334 +1115301925 +101472479 +651205269 +11679215 +86329477 +1785622511 +1043562294 +992825205 +682095751 +567583714 +1613410655 +1503508768 +1052631975 +2035416709 +1915886183 +1801260632 +1452797463 +1426772573 +1737227541 +748149814 +1127406762 +217968773 +2097223041 +562507550 +387836786 +422664849 +1596635968 +662537254 +778645226 +274759167 +1540148588 +1893947151 +376231646 +43870210 +1905626366 +462561123 +1829492721 +801705012 +1455386328 +364104824 +1369288726 +921313336 +1867613593 +274437054 +809246397 +1636016128 +2075697686 +114560213 +915305053 +1665441579 +862710027 +2042711815 +1883410353 +812449420 +457735718 +123763491 +1235114269 +2054371686 +786300745 +2013759495 +181647205 +178965686 +1760222999 +557878851 +222835896 +1518365717 +1020439974 +2052328617 +172587082 +328342654 +268949794 +1541875808 +1249655990 +2136563387 +1816312862 +2058902388 +1625095867 +1744526900 +25978953 +392917272 +1262484832 +888688980 +288145440 +998411537 +1701138400 +745881158 +1122175028 +788769021 +652769196 +1908475774 +655044868 +834416401 +2087441460 +267784219 +1392295252 +162793708 +1786149937 +265251578 +67638677 +1958737019 +593594232 +336588471 +1353129179 +1843250223 +325668210 +1021958394 +1754668963 +1950764077 +619001646 +1780647916 +196197702 +1881486478 +521853248 +484343142 +732414367 +75508000 +1230224300 +1854589396 +864277021 +1882993496 +1615581522 +1519321889 +569926249 +1555539334 +1787106109 +1962221501 +1718333042 +1425772398 +79989431 +1785971719 +1237025769 +673583663 +2122560191 +442671300 +369350238 +300744753 +1464629694 +2124019201 +104025183 +2083631341 +1757183469 +300222885 +1817634171 +131553069 +784566027 +402564891 +207061069 +2014790327 +109670639 +1071338090 +1750300175 +1725252161 +443176332 +172742776 +1133307847 +82798793 +2134964277 +704157241 +1508571191 +67470060 +342645312 +598113312 +741053723 +317721855 +1040784612 +1110403962 +618466609 +357930659 +1086939515 +722491792 +294078352 +696639337 +1022714677 +2111712523 +828192406 +1807280704 +366793766 +1035253476 +1674587383 +476464405 +2106591566 +1277403910 +54232918 +402284250 +1450146686 +1187540765 +485083043 +1437627315 +1891698006 +1993654234 +1505097375 +86859671 +444283898 +98667450 +404581526 +1485068511 +1209071412 +1023048135 +1842999170 +148527280 +1745539927 +2137077522 +845166617 +620770956 +2101306397 +1673359023 +280568012 +320616516 +561128851 +1955155395 +797080921 +520236770 +1085075657 +851313840 +922521020 +387738695 +2038854605 +1407604064 +1825366010 +1783068964 +1253774650 +1182979737 +1869928635 +1698058549 +1281647188 +127026513 +1035643412 +343234952 +1150074649 +731158934 +491762232 +748130928 +720752808 +1336928849 +1368901885 +674575557 +862804225 +1649469897 +995192073 +1423933076 +1457141645 +1792272995 +1944169846 +394733654 +496103187 +719207219 +782472350 +387474144 +2126811283 +460354712 +23059460 +1233102285 +1643334450 +1892988095 +783677186 +777497990 +2020014609 +1819320598 +1120732942 +1022605610 +402995884 +1612495175 +1770736538 +1123748692 +801940376 +992154775 +1798324250 +1664744601 +494141025 +646032675 +941194030 +1951282670 +290822022 +737880228 +198532676 +786925209 +1457087447 +981005026 +1174399354 +1436415082 +1441359739 +1197458814 +522033720 +937210541 +942963262 +1305710906 +1714708531 +815494223 +977547857 +687957825 +1838099833 +1380543741 +152969352 +1461352723 +356808786 +954909729 +306023851 +7649388 +472170682 +800164876 +653682063 +1413364712 +603963898 +944504086 +3761293 +802496574 +1731429295 +1460848740 +1783501601 +758345001 +749780175 +1077377692 +1955803816 +1271813895 +2014588233 +751283430 +430041153 +1581813116 +1566777653 +1407589010 +122287293 +1257393838 +640649104 +275256646 +571262913 +997457890 +1230166375 +877286764 +1005107278 +1702337057 +1677451640 +1658789341 +968218122 +133931890 +455809779 +971979415 +936428465 +39755427 +285344507 +572446418 +798100428 +1035124682 +1649824110 +606420596 +159454929 +1516928695 +1357704026 +589496083 +951258163 +776998031 +1997085093 +1073545456 +2034391869 +490250549 +1348802102 +458171135 +1487708439 +431484829 +1335457899 +345332069 +2133821887 +865425892 +2004121411 +954556361 +999357782 +312447542 +1926535776 +1935786247 +352202969 +64396635 +360749017 +1150303398 +1099521318 +2010573127 +1756723994 +1258976247 +1380018174 +966944373 +1848472330 +183792689 +1743942404 +1698073776 +1257338146 +1630850626 +40840677 +458656600 +2089021761 +1528549117 +890141430 +1276996012 +1873881186 +876479669 +2142421904 +1730518949 +1831036030 +994296039 +2042966492 +1610088158 +782598638 +247685813 +1674484793 +1143347656 +1397989211 +626522463 +1006437135 +1007229558 +1885498711 +238971662 +1974173931 +1586487393 +422764351 +1570632687 +1137077521 +1680102497 +1053999665 +1177918199 +2138759098 +995537778 +558983668 +881416880 +125050143 +285381206 +1757896549 +119988399 +2015900156 +1441448931 +1114284438 +1911383000 +904053441 +1896883077 +11585165 +431054586 +892747085 +1409574377 +1057577050 +1899184220 +269320287 +795592113 +2138155882 +96010570 +234595858 +413436586 +1666643257 +1371673380 +2093539083 +573159275 +402107931 +2084814533 +1568697053 +961091599 +818747765 +1693747196 +1246472805 +429160666 +1813735596 +1114889313 +1870609597 +780536386 +878788665 +627179390 +529935815 +890373831 +1058233977 +1422682900 +152464560 +2115811027 +1174383473 +421784847 +763919492 +1165055707 +517795417 +998515350 +1578492293 +36955026 +222705082 +1524547729 +610114301 +624813013 +1461878614 +31327707 +1585904612 +133142732 +1725074903 +684893770 +562303398 +1391326851 +1799783083 +285429348 +24379590 +531088101 +912608738 +554315405 +1421461932 +1970842715 +1976998306 +1573926492 +1939170094 +1003898131 +1995711339 +555605938 +21470190 +366023108 +1554121289 +1599962484 +402978134 +1776826371 +977026565 +1013092436 +254155737 +291421531 +1044420143 +1840060349 +424564263 +622011398 +377470471 +986867662 +2013338250 +29769907 +1272297010 +2037717840 +560858008 +37422100 +444549597 +1982319940 +2008264816 +274064255 +1408762784 +1799951262 +1277962386 +1256990475 +208073553 +1299432577 +1623013583 +1762194842 +751911413 +2025991717 +1391537565 +1728937978 +891600505 +1645693302 +2020359509 +1936020648 +1338270004 +297440125 +410548399 +1715740475 +1284307787 +276403001 +1745510382 +409121149 +166637193 +158884742 +446543249 +611186790 +2141204682 +307324417 +885251046 +1402483818 +2107275680 +15729784 +511990645 +167865585 +1315162361 +2135004228 +1930060427 +2067073774 +2013512298 +1174114344 +1648528104 +757629155 +672323999 +1521403966 +546166156 +2010594003 +1818844091 +956714555 +1578850830 +955668230 +1233117556 +1176877565 +1364789379 +1399754749 +1335762307 +1811332628 +2010941539 +1329483342 +2118657046 +748708937 +584483512 +2078449078 +764438722 +1096474158 +98831015 +2079601083 +1083994738 +2028891442 +1999191210 +950023388 +1055522138 +1500235666 +1707652544 +1727846137 +874155984 +106335052 +1590956492 +545516427 +1063049607 +1022323675 +1501184657 +148683515 +51717592 +718490388 +1548438264 +1387479899 +382339369 +1411896155 +569479593 +353512767 +13121445 +1153963106 +284478197 +777560167 +102953616 +383309212 +709677602 +1186948354 +264717006 +561385164 +2136971743 +1320239144 +2061620831 +1697140639 +900601634 +788293167 +1803475691 +344074478 +1333809595 +719041650 +1366398153 +687510604 +867725165 +1418115745 +1406000993 +268679781 +658111997 +1788340362 +1680575936 +1227591590 +2141853129 +1693697381 +234071048 +278847678 +323773900 +337024664 +662156890 +1033451503 +1523973019 +926873896 +1594836667 +1513461114 +99629392 +1508973850 +1063118105 +1000231026 +149783370 +719110148 +1344305505 +1483592965 +1438151798 +563220010 +23619921 +158393315 +1981335756 +1429620914 +427073096 +491964105 +1070477628 +2107649032 +1719555695 +1064847109 +1653862766 +1953626744 +1343694787 +1977636666 +143167760 +2005851677 +863604521 +1667140779 +785241925 +310957541 +1033118245 +884871318 +1819931391 +2096236350 +1885102344 +1969714761 +667862850 +1081924201 +1305824078 +2106014648 +1645144212 +1329444000 +116924315 +1478996320 +611581266 +543997411 +1970960425 +1682058895 +504162796 +1543032472 +599422356 +10541914 +1349175568 +1943117144 +1988178580 +1492343329 +1801485173 +704299454 +1012000460 +439243451 +1015256995 +2045118706 +1324114769 +687704738 +1993871408 +1061733465 +509935852 +514250611 +2143657667 +1815759930 +472781611 +1641318231 +997720282 +589705927 +972830903 +1609301549 +1133703338 +796307680 +1143876796 +1637866134 +191856504 +1743299152 +1648408048 +1541032073 +1538932648 +1489102981 +885891754 +1192934174 +45918787 +1897892214 +1632177625 +1061175782 +1795527272 +808808746 +1748880520 +1641915033 +1870542211 +111332724 +8681996 +1866716230 +1927092655 +481463607 +1360550813 +777329289 +1071169534 +185898068 +239147190 +57389225 +982205748 +1383023986 +1695255359 +1174062253 +978839491 +1196179760 +567610678 +370288491 +537799093 +1453502432 +1563222665 +583717880 +1203910998 +1047916642 +1644893662 +851954623 +1856725388 +1246290534 +346386008 +1579783952 +1357623259 +355068004 +1299016534 +1137232266 +836531611 +512083700 +1914561555 +1907701146 +697981768 +6225098 +1965090371 +1680187517 +1389249084 +1512862082 +706766122 +220604927 +561558194 +1274376800 +590893419 +1099357287 +580395584 +6632436 +1683075167 +1784306582 +1054549079 +1180485181 +488777557 +763790819 +279292068 +835163565 +196091123 +1636915327 +1190231569 +1495107658 +626663945 +2026763181 +2007191358 +393741852 +1786980679 +557689478 +399966950 +1604587402 +90393347 +1789216035 +969965836 +797159469 +2009820962 +1531524031 +2071536269 +453230733 +483397670 +504448205 +459863170 +18989190 +141271140 +1514412249 +1199474371 +630048697 +130719420 +1478766439 +1465212263 +326810544 +968198118 +507960184 +1821918202 +1594862063 +387239717 +1681625912 +1988603916 +26736748 +91831742 +241087218 +1631324150 +182225090 +2030303253 +453806339 +979384559 +1892640568 +1985330370 +903437181 +198387653 +321244392 +1407885386 +658250823 +340233582 +1549156526 +25179424 +1539707954 +31721576 +155898845 +870990745 +1496933839 +482709389 +1839188864 +2004894023 +157143943 +1286567279 +244650093 +1838769855 +1127687547 +271386841 +1930601597 +1368774766 +1902710992 +2112826687 +1251594371 +209033683 +944727599 +996751291 +46880405 +1848164780 +1195138945 +368124797 +1108566518 +1853389768 +708358380 +510239397 +1878569193 +100582686 +541960973 +2034468038 +971573431 +2038894812 +369693779 +663278647 +1896305187 +526837722 +1949845927 +2140955280 +218123929 +930049826 +264858474 +1241878 +151340944 +20085818 +2114068566 +1402935316 +229119501 +911312517 +252202959 +275999906 +611993649 +1447341904 +644124703 +1720560167 +1153248025 +1352483083 +83315916 +884333570 +1453065769 +625276889 +771317960 +277155553 +516688053 +1141011739 +940434200 +265509593 +1667849461 +742796479 +258981225 +1885973390 +1672846306 +523839699 +1887215268 +1824187250 +543925517 +1853800186 +1079638918 +773045018 +617629055 +1331841878 +1049044924 +1229622704 +631700134 +1693169628 +802699224 +1784948159 +898169063 +886015140 +521798081 +203751185 +1511292030 +1293116041 +480906738 +2027980083 +286644132 +1421340938 +146006028 +1954493593 +16653770 +404987254 +1692983335 +1689500076 +928826953 +1432714956 +1366203678 +1472752471 +1139031494 +298358949 +98313841 +1756660550 +1630200827 +1147358766 +838799606 +114417313 +693044746 +1641498830 +1899365473 +1591213809 +380030323 +273679906 +1794964994 +1891322353 +1566795948 +128388084 +1771818788 +1853440080 +1549729023 +1917824817 +1660450026 +1566382793 +175328423 +1205949713 +1108399221 +1104155376 +491181021 +327119251 +429424199 +1630212516 +625478200 +527738041 +1239389418 +108195379 +1675096807 +2078189024 +222612693 +220657905 +1572204207 +2121978166 +1811871714 +1952234530 +248174424 +1459353061 +1696073235 +1814970372 +1587741145 +1320408375 +1520926805 +989986520 +1090749544 +1033893183 +408885665 +1266077967 +92359248 +1517284886 +222749696 +583540270 +1844404138 +652173895 +66269138 +322398690 +1179911936 +1305658556 +430594070 +707525095 +1236363932 +653206763 +928183000 +661084491 +627701281 +592571067 +465835373 +875875705 +2051924128 +14424960 +543362430 +1492181625 +1334833336 +2064289235 +334684498 +278099232 +950698770 +743570163 +1544177200 +1043058018 +113371402 +1766926896 +1626598288 +1957775540 +271617143 +1692867426 +132690582 +1451529080 +851042334 +563284652 +11570527 +2087406267 +1216491415 +939753528 +601007110 +1844192696 +1532324595 +1066842484 +572584754 +1436765075 +1081267444 +1115947184 +781463052 +268617132 +1032752771 +1116147550 +546716365 +1983451541 +1859717714 +2090893565 +879025911 +1973089116 +1710336813 +358140552 +1783381008 +1981953956 +2051007978 +1916071590 +1285999388 +754566665 +331872595 +1297569916 +694489284 +1548364010 +89839796 +1295496394 +1245073059 +1622164391 +214855230 +1817657813 +911445818 +1296122675 +786121349 +1692908870 +1564739807 +1818874120 +661572773 +2111456172 +1654842013 +373806839 +2054866089 +386384276 +199412307 +1617719254 +744524828 +1982793315 +1452189563 +648049159 +1751381257 +590705303 +1402615824 +2083253852 +1888275219 +2097105108 +1484134215 +1978115015 +1245117854 +581723626 +1452795758 +1459973085 +251897791 +216757928 +608612112 +1038019140 +1909666799 +25868271 +709409612 +423755924 +2137324444 +216767977 +797562763 +2044706885 +603152253 +996975070 +1514942492 +1347677082 +832284737 +819648407 +1995726241 +436182346 +1410353710 +1250858417 +371952551 +1151145282 +1200479877 +1856086766 +981776649 +298114083 +290326744 +287088760 +1758087168 +542224535 +503846688 +219215632 +1580243675 +266029839 +245083904 +142169639 +689785763 +234924700 +358937616 +1487348526 +132147937 +962089869 +336839948 +1647090429 +162283303 +1169124685 +319255188 +10525896 +1605307032 +1729608899 +1261384313 +1977259583 +733270533 +314380542 +1685862701 +1715047182 +612494626 +1976189445 +2002135942 +223098146 +370930332 +358498983 +442313779 +1951174007 +624528822 +687397683 +2093343646 +1314314586 +922322383 +304797614 +654179464 +1054470320 +1266887483 +991019413 +554077102 +1429170787 +12660450 +873332290 +1439696683 +1617967482 +455457541 +553597349 +1447743417 +1188728074 +867977891 +986122470 +756291609 +1480472517 +814828267 +610943903 +1703570664 +1185758599 +969442886 +2145884443 +989448958 +1593971709 +685798478 +935308956 +760802647 +1608120861 +1240106570 +1414982111 +515107533 +359510406 +258517876 +1069184635 +1788681193 +271178327 +1942516926 +1080894228 +1889145809 +250490819 +1634491577 +1189405579 +1439218894 +354985821 +28044401 +48026855 +1835458338 +842872669 +658970758 +1391545354 +2028631268 +1628413645 +1389946149 +870596579 +1074901706 +2075744627 +1805905535 +1835704353 +1536381840 +898528458 +1103202816 +2051489374 +1258038864 +1361720693 +973190361 +899236409 +1632899020 +768223639 +1980130637 +1374561181 +1018714459 +1467138567 +416483112 +310449705 +1822124388 +444527514 +358476560 +1510099078 +1287400183 +1017447318 +754160785 +1168547803 +498377315 +2144106934 +2039144382 +1573279021 +2072367914 +1697566270 +1261499726 +1461266106 +448611080 +217218895 +1365271832 +1706649944 +1578939588 +190978546 +458402705 +1064354960 +959202185 +291049694 +291432493 +1977916644 +1758188261 +707915606 +140882701 +1432829001 +1152443120 +499359261 +795444432 +292359655 +1516806580 +1549605217 +1460907458 +2015183895 +1546228503 +1352568193 +1440979269 +1471112769 +902650815 +554995347 +784895228 +1351261895 +772214242 +2683412 +910428191 +203670182 +193661958 +1368830896 +1268025142 +1152864144 +1659880590 +1559457636 +983297140 +1270585204 +119889594 +1124179842 +555930557 +1272332714 +1623539103 +1351374989 +1564692369 +992862035 +753496558 +878116179 +860562283 +152241414 +83200724 +154057904 +1623354183 +985851539 +709053251 +260765763 +189629786 +1481267494 +263449176 +1100057977 +1684937676 +457111134 +321405225 +805479171 +1609975278 +1981285816 +217453159 +445788771 +1104387372 +337342753 +1569968613 +1660317929 +1609675467 +1046024068 +864209271 +1026884188 +2038886104 +1617705829 +1905000367 +751964739 +1769947243 +1988201092 +906022643 +1245817779 +826568983 +1615075894 +1506583542 +1016198770 +948859740 +1770032718 +2116256747 +486313769 +79660205 +290178325 +1291792940 +1689635483 +123980493 +1509246099 +2135424254 +1228367865 +1846588852 +1557909219 +741202146 +1308780671 +456449640 +1605411417 +188181211 +347852096 +1075633599 +2093181578 +1099816835 +698097194 +1933899022 +2005839478 +1943914973 +612984358 +1473431724 +1303014868 +1629183128 +274807817 +925563938 +1597956227 +761121586 +1005224143 +1888134552 +2052914526 +547375979 +2012115045 +1414676977 +535316585 +1092999262 +1113782181 +2093225805 +1834201409 +275079204 +402191797 +1292129178 +463260415 +750043893 +220279129 +408958345 +1849860728 +918376324 +195373720 +1708216558 +714807649 +808358078 +1034164634 +2017822517 +290057558 +1308972451 +795902808 +1888013785 +2070094037 +1801126951 +1628664690 +1975524915 +201019282 +1493296087 +1242718244 +736335868 +438811702 +209016777 +682078025 +125529463 +484095981 +1084269822 +1417658641 +947356396 +1834313715 +1637937771 +1356314742 +1536690795 +408830447 +1551688462 +1097423705 +1123638096 +212562892 +2131588339 +993976966 +502620450 +1293077143 +1789879774 +243150587 +1215687532 +1443523077 +1871815277 +1043728800 +1644542360 +1217627717 +138963396 +233394580 +1656439419 +347980174 +915472605 +1781968882 +832076155 +1999742427 +1052143875 +1779432552 +1686572494 +542597998 +988263646 +1075779641 +951428445 +392468460 +25719698 +2075066542 +605031352 +9824389 +921559860 +1107651802 +1302901532 +563955986 +1350802389 +371105417 +2007479063 +1075134019 +1414834217 +1504537775 +145278088 +1553797613 +1737932355 +1801717507 +1901777787 +505921312 +1436202741 +586370295 +358180091 +340862968 +218319199 +2044752585 +883460967 +1206582845 +973048578 +1834889412 +1599051305 +998768276 +1762472306 +56599009 +1008592666 +536548518 +1164250811 +164010550 +1100504504 +367569552 +535115967 +960499920 +1442703571 +1949950184 +317554047 +1587981659 +1356264150 +2055486403 +1242215518 +1110558289 +413924067 +530934611 +1696928584 +772104159 +871797580 +1915247783 +669373096 +1755258547 +974346980 +1642421675 +1442664311 +425914637 +493706303 +1057652970 +482513646 +1502298969 +1594201488 +1646764457 +1666309520 +547222345 +2014334010 +53941839 +1507722265 +1309553933 +2003892024 +1825276312 +750051945 +1212672526 +1733279067 +1992267463 +175747167 +2147203135 +375718427 +1872675752 +771823646 +1247516007 +1640439887 +1441196742 +855290906 +467303220 +936134769 +150471569 +893217857 +1429841073 +1208124539 +1375731504 +784656394 +654842380 +875012313 +303482266 +1202064725 +741862675 +357424106 +562303342 +2051416609 +213832482 +240096006 +653984906 +1426505008 +1973375074 +498768721 +1602252175 +1973094561 +874487148 +1327444279 +597434559 +2122003155 +820400519 +2038631301 +829810413 +1287703739 +827282423 +980281983 +33437948 +109639848 +40922874 +1409169452 +894296242 +695765254 +136698118 +1197778509 +1897829979 +878560793 +1555202615 +312649673 +782493754 +1769035097 +552745680 +1436478660 +1048056457 +378637106 +1935247382 +502824984 +204248019 +662250882 +1830269264 +801682578 +636770390 +503186135 +692830231 +1466580803 +1790889874 +1520112654 +299379138 +1824327822 +1629752502 +340302013 +1086013627 +376565097 +1036067267 +1222711745 +1574343606 +786413599 +2101272538 +982062573 +1099063272 +736282645 +603614022 +1651808952 +25277657 +1651670479 +2030446058 +1960525039 +7011815 +87210429 +475292274 +1837281079 +888893007 +1112062664 +192983566 +1581723239 +431159819 +1983873440 +954352245 +730538958 +1660717615 +436621100 +1070840971 +599247594 +813186197 +2106908238 +1821959339 +240046155 +745838189 +1775748229 +1222108728 +1844901462 +364547226 +1825722750 +1349226766 +389824884 +1329909581 +1232189177 +202866275 +1336921396 +1319399606 +678158549 +1026718828 +60808966 +1790221213 +1219702394 +1642532205 +73897385 +1056092187 +449400802 +804436343 +569326154 +886021902 +1875277314 +1168573748 +1699208099 +1834701904 +843049439 +1939254254 +433056446 +471314020 +1013879334 +130474260 +835861247 +692118436 +1479701026 +1225686131 +2022028017 +564406555 +1428552406 +1211465766 +1883806162 +2106710956 +90700946 +1944615128 +1749448521 +1310403340 +1439663685 +1823345906 +219011879 +1889064487 +480298601 +788338033 +627602742 +208092267 +1956911781 +179327193 +2042794172 +652477572 +2118581448 +328366970 +1123791593 +984977134 +458841230 +1959652840 +1677095571 +1938542256 +1037855323 +1551639940 +355465164 +318924081 +615622058 +91787678 +278151389 +706323004 +2036402806 +2027599911 +2016726345 +1328582843 +1703462169 +88254576 +1070163682 +36277123 +876592610 +1697766424 +244369390 +686020743 +1877093618 +139679914 +1338498316 +1848191418 +468046884 +314806261 +685684904 +926888114 +126975453 +215296827 +717946723 +1164830776 +1766936768 +1073411887 +1483754857 +235075178 +1165199565 +1761906247 +941398183 +1054118723 +1642022510 +810640880 +235217918 +1198001031 +898895456 +1305381600 +1234278154 +1775488066 +855664377 +1478647545 +314025162 +585274347 +1618327459 +1652523478 +285982117 +2086374344 +1967329739 +971667021 +865778810 +2094305192 +1186963849 +1583725533 +1111652320 +806416969 +509653772 +447923529 +1041492147 +1674853337 +62346128 +1982890330 +581488412 +1704368638 +646047562 +816706330 +754886022 +1544943019 +2122087931 +1989164176 +1172947437 +830268660 +1320328073 +1486972599 +1415543007 +791171885 +992012429 +1701525124 +730062581 +811858520 +525708497 +1595841391 +758680064 +1712672346 +1032083277 +1870332384 +371605667 +1541737049 +170772266 +1413097815 +1069106739 +233118394 +1248504497 +1650595151 +1937487033 +1894552060 +319817834 +544889407 +1292011431 +294422117 +386569935 +317475220 +1124690777 +1706898009 +1804447820 +392750136 +350586246 +648976601 +2094275260 +1080648827 +1460835122 +472500109 +529006570 +72031538 +37688808 +1561089847 +1942363923 +409294475 +955343249 +2113136189 +1822392290 +2024449988 +198770935 +923413140 +1527561491 +2136257968 +670481552 +1847379325 +533663727 +1962492983 +2141801442 +920233663 +132484555 +1119008571 +479648024 +1936932375 +1511758707 +830234270 +438425329 +1458550319 +1910883097 +1899260451 +1931050429 +292406019 +1971291989 +1968739237 +1853495867 +1766172264 +230550064 +661355468 +1731824805 +2052942355 +538321808 +1930595741 +828871847 +2065883299 +1919370061 +1499353399 +1765778977 +305550141 +1314362734 +1760096771 +1225783804 +1446847289 +731621695 +1705431828 +1236296017 +95896754 +388182450 +1674721346 +1554447074 +151581899 +1426498149 +1338013855 +443987918 +1250306490 +1159269444 +150000137 +868995107 +1389819508 +811355605 +453336264 +1295278215 +1349677413 +236448357 +2124150062 +1268077065 +8334771 +1476019813 +886372394 +313884912 +642898899 +498985517 +1539668716 +2089746189 +1230607212 +1097616896 +1178558558 +1326503967 +1485799346 +705796256 +733467393 +1637381245 +2132294405 +2071481248 +2081369163 +1235117247 +1083267044 +83885653 +2104112354 +325602904 +895241258 +409964971 +1620881120 +97435024 +646413328 +1597547534 +1365512089 +654748099 +926083700 +104400835 +968633011 +1568982599 +603386352 +360818079 +1511245140 +1833993565 +1458434975 +542320050 +1013013884 +796750673 +1248116306 +1746481277 +286648270 +1232927063 +1670478877 +220533786 +320560663 +606262273 +304419439 +277189369 +931865177 +1199660697 +687154340 +405262649 +1297095721 +1333567669 +2002810184 +515124162 +1988315768 +781410236 +619524997 +809465132 +202909187 +1222911350 +1170283211 +1714154328 +909421267 +481234539 +108990730 +1922435151 +1277985212 +1357107037 +1521432780 +1564633483 +442550452 +1044428009 +1785167269 +763111115 +1650690282 +2089586708 +1040300485 +435071811 +1141763757 +1727454825 +840334461 +291375831 +913538846 +695660997 +806499993 +754370967 +1477071233 +1426024991 +1563836099 +1679980420 +501452693 +586635662 +1246651100 +1410873960 +1067870201 +1355641831 +1185825463 +198371766 +565265220 +559774595 +1763005249 +1007815672 +1604202604 +1400688870 +1770926788 +1107409238 +1342791930 +663743625 +1542481049 +337072039 +243714802 +235331862 +628447870 +1157253649 +930992859 +1434947864 +1911624616 +260580444 +713489207 +1327977067 +1940560865 +1214941900 +1914612729 +1039728317 +478332212 +834999283 +247886500 +1664157675 +1033371049 +813151720 +76448622 +648892650 +1820967393 +1680651226 +2049581520 +1444410533 +640576816 +1244889802 +2108154158 +35574217 +1581961841 +204385312 +270906080 +62926064 +1361638961 +1201898939 +1497873928 +1125779929 +1462479384 +63879487 +306273348 +1255556601 +1278821387 +73402430 +147801270 +1757153599 +908401713 +395687771 +1273827626 +1941772762 +1208839491 +1350276248 +443181764 +882323236 +883443826 +345279636 +179250121 +1524020642 +1590169438 +139920631 +1559594859 +1024647631 +344305944 +1830500939 +1087573695 +1705944905 +884916231 +437963975 +684241187 +199911967 +501843462 +990514535 +1455468568 +1780664849 +1063916965 +1603269838 +1390334800 +1972318678 +1998957609 +516678778 +1766607792 +1060313453 +1866955026 +62305908 +1942636689 +602915204 +407585544 +2121886811 +2126935846 +1997754982 +114323794 +1539047058 +874918966 +458629738 +1222064349 +1962492661 +17090996 +2106980580 +252972989 +701332183 +159408899 +754816451 +1691846718 +1614877467 +387997653 +608280036 +1070663658 +1778332453 +433115066 +922137619 +147527584 +52239211 +1982451072 +2014482610 +114545119 +1777604114 +469914167 +522130664 +1752007277 +449366365 +372401998 +1866331071 +1988413423 +1247320964 +177477162 +1062994125 +1062329978 +194568158 +1022491057 +1315302967 +895900341 +1181899957 +2070119418 +440263411 +649293776 +310633423 +1048543447 +1719957434 +2088965877 +1481658514 +494611406 +89009813 +1533897725 +329578830 +2103492423 +1648442844 +2107182944 +425922942 +23089860 +1711706573 +875289308 +395491859 +1430553997 +716219083 +1642812823 +1608031159 +1779213208 +557659153 +1802599317 +654220618 +1872962120 +551016010 +1836120575 +1795597891 +991279421 +337930703 +2106231314 +2039822869 +2057888138 +2047713543 +1373997735 +405015896 +2136723356 +760411812 +734594726 +2092732132 +261371008 +694294023 +371171426 +284460869 +258516948 +1246460734 +679952728 +1689070945 +1962679818 +175281903 +1149618456 +1594409378 +732941057 +804734125 +101146348 +458419529 +1355750135 +1937266923 +106533772 +199545909 +127713979 +65281439 +91885130 +38118469 +2112994982 +1465882865 +443134365 +2102234691 +78811029 +1177729091 +2047483175 +340182037 +1872023114 +271170953 +624642906 +2130540063 +1517631688 +1304595634 +1672127360 +1332827858 +1479877538 +674262169 +779753588 +65334947 +1478996294 +880899937 +523754476 +687262782 +670683212 +630288249 +886808691 +798397191 +695569688 +978693821 +836515660 +661081022 +297093038 +1279650025 +615832065 +375904067 +309895469 +515831592 +716086104 +34434935 +787002546 +1340729011 +17491350 +157150586 +497840997 +1689618711 +1489978444 +1977718535 +216397232 +122248384 +2043053482 +1695393526 +1003148321 +419324311 +235172660 +1673831534 +1049612560 +1121981351 +324745077 +1745182248 +2100675172 +1161260738 +258779622 +250284562 +293427115 +874611688 +626188629 +603322584 +1390443280 +1342274734 +637757520 +29962178 +535520097 +655248870 +187112764 +1033361094 +197383933 +1677091208 +863595982 +413781165 +1799339593 +759165816 +2109174692 +655004266 +1178490127 +196863704 +181352152 +80619039 +1318845056 +506097230 +1825801287 +1272036580 +1667357968 +2084580910 +1522321143 +1960785083 +811708950 +1026124 +416624020 +54668582 +1343300858 +1054381540 +84630761 +1878820955 +1709630410 +271743525 +764698402 +1907014344 +1948834734 +1628294384 +173311861 +1600690679 +239976552 +135002905 +108211297 +1418466680 +331866610 +289563450 +1499085719 +1650711666 +795660680 +1177403359 +775264598 +315535000 +1114500621 +150102093 +128836435 +1926209571 +151128218 +545460455 +1980878153 +1494429076 +1599841995 +2065508914 +1225766384 +1161988758 +189768792 +1990464786 +921519454 +2138603526 +1471275522 +1094831315 +1591810557 +1711252074 +1229834221 +1700021854 +982235106 +1561700831 +1989585304 +333837178 +1064928849 +637762336 +1511240537 +1840193447 +953297336 +478257510 +1990295541 +1082133772 +256983433 +2141423759 +1627594227 +90377938 +1488369187 +1079952575 +8403205 +566651923 +94457685 +198171997 +409633061 +1015977139 +189291875 +1880908583 +2110808454 +1781102432 +1444677010 +1193159027 +1333640638 +279428468 +607376210 +1175742295 +613265646 +1672305059 +1813504631 +2124506183 +1365014859 +619318320 +455280045 +1207826752 +1701452092 +712263478 +1201766863 +1181562671 +802641417 +542652402 +114031598 +811044622 +1109304326 +208489283 +1009216619 +1518937387 +1224466422 +1198508494 +1252362323 +1187791229 +832127278 +549555685 +233466608 +18284268 +828984153 +840842819 +1194026563 +1442249800 +365664230 +860047547 +1419272335 +1730679089 +1479365867 +1874552381 +791022193 +1033334311 +439332211 +1992789056 +67413334 +1241973628 +387957811 +181444933 +2053018250 +1497262137 +389934216 +914751221 +868715876 +1614400639 +2113259715 +2121078199 +654708220 +797903345 +523150236 +888174828 +816187614 +1352134390 +1729017647 +2010214177 +646900542 +2094681878 +722778076 +2066172877 +1677877319 +54660295 +1793241610 +321415865 +1087994606 +85090174 +166721273 +1155407941 +1327063802 +554679084 +1336852874 +1232598405 +2051941221 +1726787090 +2147349626 +773173450 +1193704081 +2113125694 +746768001 +1848412301 +763545391 +1269918238 +589103482 +1579733005 +474568980 +170637481 +1442463535 +1121469522 +117835711 +17757963 +1040158751 +1795713031 +72418259 +685916714 +2117128896 +1160412865 +771006888 +136366521 +168337158 +2098070690 +691045606 +1505190032 +1183185447 +595503179 +1084493475 +1183051426 +1368676629 +130713908 +1148693472 +2115444631 +1979126210 +1912238863 +1237879221 +420746044 +1344488221 +1712448201 +591383525 +639468108 +686434075 +709219237 +657226071 +1726592826 +357448620 +729644330 +265025892 +327093868 +1890057196 +1036032780 +463460389 +2058394354 +986619823 +1154505995 +1416100739 +22321622 +1750009175 +353110566 +1205373048 +971202156 +483824474 +206582872 +939163139 +315467036 +2118821736 +29558712 +736213080 +1315826309 +1742006913 +1327596606 +1955294417 +280957340 +2036815843 +465036840 +2007550167 +246780815 +1194681171 +125092411 +573874683 +937254719 +1161125192 +1037335072 +848165425 +261367 +44357420 +116782516 +22582989 +1794366595 +469893082 +1227956038 +618085103 +953717557 +1434538910 +1557248243 +1269184593 +1405876998 +1586806955 +2005397674 +574219659 +1181330221 +1185510632 +382030428 +1462287561 +1074842827 +847067269 +1322354080 +1321623642 +2041748440 +1447446492 +1895498325 +831519511 +461088036 +785349749 +1679684936 +461349403 +829707169 +1796467453 +483932392 +476590116 +118876887 +1711888430 +1094675220 +1072594444 +998943693 +504439815 +194295390 +257337043 +2091246770 +52209416 +831556703 +1125093343 +1237720048 +1213587131 +439897257 +165079227 +2060654400 +1762251337 +1486702869 +1954919192 +1062214181 +1234717546 +638955055 +1523302217 +2020067295 +171156344 +1984651620 +702290817 +1967623797 +321100365 +1178880933 +2086500684 +2032988795 +126072505 +1011611481 +884448840 +630512320 +1205906871 +1141785884 +574275443 +1258116287 +1973342587 +1699368786 +348352687 +1039446070 +2139266043 +513431914 +952616823 +1754033733 +2000134783 +760052367 +668764266 +1087368681 +1399007423 +44582836 +959952328 +1570163767 +2029234456 +1662243145 +1390303916 +202851173 +693640431 +1329320952 +88356321 +819712936 +193448785 +972805161 +1450225257 +1399355656 +2114591045 +2024500700 +509988295 +1940449984 +1576385838 +858340982 +832412407 +1568168234 +1371772896 +1785029230 +1174718319 +1224424031 +397597949 +1843482585 +164309064 +1796605372 +1888065421 +1124261393 +1219285491 +1769816230 +639020890 +462105759 +1972667403 +1332661321 +1791426712 +2061023724 +4890610 +1984875497 +886345238 +1455115867 +1236747506 +853452635 +1332132919 +1746735801 +646418972 +761035109 +457593136 +1478831379 +181719695 +1829366032 +1116376961 +1356438014 +906306416 +1513974910 +1052436952 +1070615480 +1163096635 +793018725 +47393225 +234898478 +415351307 +686414116 +697004238 +240535063 +2019075437 +340947302 +154075139 +2023966047 +178339151 +1040420377 +1331598266 +1415086657 +1893873013 +516247537 +1014338811 +392808337 +1277282647 +1471931947 +1871639716 +1459002342 +1153814331 +840533029 +667956709 +2060120747 +207024291 +1720393661 +983252580 +1370120926 +365928738 +1030645805 +1605019405 +781280046 +1717059921 +154539995 +1021815109 +1588651711 +495487297 +1175890248 +1465134110 +673826448 +68826978 +649248729 +2088913106 +1962699991 +1165496266 +955768269 +208024680 +295295265 +280216568 +2079664396 +1754297608 +1434030899 +772713777 +274770669 +1346667999 +979738068 +1995164330 +182436931 +202375347 +213609420 +1213082736 +1807394752 +994889466 +782659010 +1961934747 +2016704575 +223827073 +309938396 +1045111176 +1688961183 +983764844 +1113938154 +190726264 +925194302 +929154497 +1356222531 +1880962571 +1137179177 +1651517796 +13695491 +1069359925 +1258331756 +1447726391 +1842073702 +1533102425 +646910742 +674328122 +1380783107 +829347673 +876703469 +1594392528 +2042430409 +536614573 +441798346 +677605771 +351065672 +311019274 +901432844 +661004068 +1356130450 +442910380 +1644768913 +322584956 +633636644 +422479567 +1251739453 +1989859175 +155958491 +241434982 +1493893324 +169653982 +1310794907 +604741432 +1617380373 +1005384961 +2137843858 +116807467 +1679713083 +1371143317 +946155140 +408932905 +818052197 +841101902 +945547478 +1259850544 +1518707673 +1296613151 +1570869818 +272656870 +1957617219 +779516620 +715567250 +1454902484 +1102101576 +1349203894 +1877382052 +206357381 +1191579422 +2033340543 +447792363 +537989098 +55510877 +1758587270 +1142730530 +1672891251 +616488583 +1133090740 +1789698718 +148718018 +356750410 +588370211 +557650923 +1174802607 +1429472113 +1503198402 +287169503 +800696138 +652327905 +1858039321 +1073353008 +462461476 +490072293 +1788920258 +1917363961 +1592173869 +990640505 +1647262365 +1798531250 +34736279 +1533119260 +98839965 +572725377 +1588630137 +1857427235 +1715455907 +1114037740 +326432170 +701063000 +756252811 +475150189 +1057813410 +1344623022 +1032801112 +85132369 +626611487 +388515866 +372301873 +1427307625 +1040843771 +82857546 +353176986 +1503305248 +572929840 +2142097244 +1273185561 +17620061 +985254101 +772964278 +1816151312 +1019990380 +158599890 +1914991277 +1592715757 +1747230027 +1624934865 +1160688017 +713784120 +1951367035 +1861751017 +1470036931 +279033576 +772080779 +667176305 +1311834689 +857213148 +1293787792 +1700350555 +1229515021 +573611769 +593710679 +1312372568 +926788755 +2097015927 +1885302408 +921402352 +1222717840 +1902922469 +1906656453 +1995682118 +1571590133 +779163186 +6798360 +1339097763 +224395295 +1754028387 +816548980 +1385083312 +320328859 +620432367 +1099350681 +1790365790 +899465944 +1871431460 +310058447 +63816985 +581160961 +1603846239 +1764167540 +1810675982 +29974361 +210394571 +975564902 +956763116 +159926850 +713383662 +1878165468 +1382644690 +468822484 +1637338274 +1230843160 +2040412617 +269017812 +1237641520 +1232026732 +493413107 +844186260 +2048575712 +1878496420 +1164515119 +521524432 +830363453 +807397262 +1420990376 +554311266 +1117455709 +1484807361 +1135472227 +573818301 +1101491253 +798664561 +603792662 +1311885825 +1774229464 +1560555778 +1471812675 +340129478 +1291237599 +706973718 +808951962 +781092225 +1937816878 +701880932 +1050110037 +1027974751 +1933907664 +1543523144 +1872161011 +1834999729 +1274535916 +889192482 +209040513 +2104899370 +1696589744 +1630030889 +511726988 +666561806 +967354602 +1647199215 +1240380107 +2068845855 +298380128 +1844172769 +1233248032 +2072609592 +1257244899 +557577060 +265255423 +400998850 +1264550778 +1074207385 +1182091075 +1054884008 +1776088317 +84717464 +2082858759 +1562512334 +1628240609 +1807536122 +1250028415 +755292877 +549244957 +1459068928 +712708599 +98351053 +941616169 +1224435587 +764912859 +1908970771 +724151154 +2005292966 +1830332978 +1022531283 +1701982087 +916097363 +947657227 +811743339 +1473674423 +1212912650 +1212742189 +590741553 +139636388 +247349617 +1645625561 +1915724705 +332067081 +1581000673 +1330753391 +1960307690 +1241053147 +433298158 +568116920 +1790298104 +1892367086 +1280825519 +1888649158 +686499607 +357777459 +506078369 +447986730 +1081928613 +363887688 +130836061 +2104459896 +2065869775 +1046933424 +904633476 +730129466 +373124199 +2117546126 +1942871656 +963865752 +109698866 +42737625 +462007665 +2025423572 +374804706 +2043008338 +1208693315 +187628749 +1136577838 +1641991474 +755745669 +779392294 +1386874912 +2036571188 +520557804 +2073374520 +246864999 +1026636174 +373877602 +1328793613 +1390523862 +504713663 +1285769861 +1308909989 +1551647087 +42919689 +2039039456 +1924771286 +12982168 +1834427464 +741153390 +122681034 +1877165089 +1203161056 +620958 +104486147 +1098685746 +1209314274 +292114896 +87779936 +703822100 +1047860565 +867172231 +2090697012 +936948106 +1387730035 +2016587884 +1183813105 +266882561 +242981839 +365123070 +1657406423 +747695502 +1650892932 +818832765 +151858942 +1693812621 +710388573 +2076630228 +1706794789 +397332389 +670299971 +1829475824 +127013830 +1873461027 +1830096782 +231499977 +824663125 +891927408 +523614874 +912443062 +1595749508 +1571475439 +1779615293 +1538962873 +360939897 +1019861680 +1408067109 +1544753003 +1286744242 +1651048948 +1909876073 +796667017 +251260803 +1413285357 +1615499782 +403119745 +959614331 +178404707 +332266325 +518925472 +575737096 +1002566296 +200917648 +702750926 +728543675 +2031014431 +934250904 +1553206801 +775458191 +1457865778 +318166215 +223724052 +881857569 +2097781508 +1762686925 +1242797467 +970159540 +1023270386 +640066822 +109420134 +526835687 +402459247 +906087152 +778096490 +1815744605 +374103286 +1181216235 +627875288 +552507994 +1513482560 +1146800760 +1128245090 +368565209 +1347718409 +1830996017 +1097108884 +1231249192 +617763273 +502832037 +2006707383 +2075629051 +820998252 +82947787 +810002972 +771296112 +1845634712 +2052800439 +1741455653 +721421451 +545383613 +1850875787 +1248257138 +947842861 +609479291 +2026353628 +616103818 +983582578 +1060086215 +1243979106 +1536090572 +426085127 +243296218 +516852014 +794650336 +1591014627 +200364383 +1891759221 +674780171 +818127656 +247107610 +534003907 +746273059 +1068105863 +616951694 +1556276032 +1839401975 +315102759 +1461592823 +1433373980 +1036524210 +2006976437 +1136766120 +137297700 +807335650 +1746245411 +16167680 +1423439468 +582344341 +1076253895 +519934926 +2118434913 +1502339022 +763231144 +487803280 +149505711 +206762124 +688167663 +2041264932 +881542295 +1506295320 +140888894 +1415546202 +105084731 +1208994757 +2032497897 +1661360763 +900913085 +200117008 +975469939 +186803417 +1236641218 +834962728 +1323569537 +1373938918 +1642298378 +922331301 +1390106598 +918254198 +1504675642 +318876845 +1438189124 +1475626908 +1821215867 +53936620 +1963430188 +1970721578 +260698744 +504114203 +1864502862 +1142241040 +2010409523 +2005391757 +410303594 +2115494255 +1066902866 +295317843 +1629371370 +1967815951 +495434851 +457357661 +7135721 +1732076069 +1292320389 +1330705258 +958531339 +787135119 +105552911 +201154289 +1705389317 +1610228554 +520031134 +996094793 +938371814 +193763354 +1050031414 +754318354 +17001284 +1310730158 +1258432557 +1881504147 +305487550 +1121358433 +1739412256 +715791145 +1089369040 +658831474 +1011108988 +571256762 +479163778 +1506543840 +1028614424 +486299499 +1091136261 +173451165 +1817004757 +2049667601 +960586285 +1922557669 +103338242 +518491954 +1385302575 +623369377 +1514586748 +176190741 +817132731 +417134514 +930509095 +834134015 +1727864672 +41458004 +568154514 +2033352223 +1162816437 +160083122 +601659720 +104701829 +818914597 +1612768708 +675958592 +1298078375 +971828900 +1704573016 +1784377874 +2062965162 +1878024181 +1453898983 +1965149115 +691126818 +1228973004 +2068487357 +1209618773 +466791931 +544373086 +576721873 +642982672 +1361505817 +993856387 +1573491767 +48156185 +574237411 +1614949772 +616310699 +460105986 +630282561 +776393822 +1061765706 +734984391 +1595308419 +527050767 +1410942983 +745903146 +1498879667 +968032351 +382797372 +1414361181 +698572884 +1836696355 +1232026648 +1389699703 +918185712 +1153030358 +451834828 +1384977643 +1697403444 +1028556701 +2027960316 +911425614 +2022413088 +1453968435 +959581799 +449166851 +921434559 +1575892498 +909272838 +1551717121 +204802672 +1971038544 +139217864 +1800111091 +350605663 +1550160847 +398530589 +1849485331 +370709550 +781327961 +1116362864 +1069282434 +470540669 +200905865 +311498489 +1388726381 +1353936223 +763333317 +626220376 +903856019 +1791890018 +506697044 +1815281633 +1666819458 +1960665480 +627379784 +2115986310 +734616391 +55788635 +877775500 +138849864 +260591307 +701330396 +278067728 +2060702399 +1051936060 +1828228575 +311749340 +753937743 +51454477 +1093077302 +1870300607 +1120736912 +1563617971 +2071206472 +1432235401 +804860704 +1277659047 +48085071 +1431081080 +34031419 +1839975089 +1937778125 +1849313052 +1359310900 +1750959957 +329209189 +1327813562 +338092700 +384997824 +58105414 +476942565 +645589131 +759435810 +755010293 +558807882 +1811371870 +435755221 +870557223 +417825965 +487209698 +1963634525 +140642925 +1607946610 +1379768848 +64365749 +892698364 +37145904 +1342024797 +940783435 +1468226984 +1376056216 +633274876 +1258521461 +1077885620 +1992585776 +861997770 +1407094809 +1172915690 +1200090471 +1792092633 +1231021104 +1677033036 +290198117 +1990456915 +284559681 +849005999 +1654345137 +720314902 +1719563222 +2072171103 +1207524601 +1535714099 +65330380 +667987563 +767999299 +129696129 +1560685927 +805145203 +1471720926 +353985714 +125888540 +700293494 +987260591 +1384410001 +1778179115 +832362719 +98924124 +1037790276 +2005278410 +1299014595 +682399262 +1088815866 +828563983 +972597379 +931789133 +1113123664 +1821603378 +438650623 +1833438567 +1393682953 +363338078 +893479520 +781913404 +428668458 +1561467083 +1549912704 +558364587 +974669363 +207574259 +2030085514 +1328655077 +333462799 +582895360 +168432020 +1717872801 +213590827 +1000794740 +1816796925 +1251381104 +858589502 +968327872 +1933780366 +1947405368 +1796891855 +758894097 +731710854 +762531871 +433013827 +1170361477 +448486790 +1826696780 +1533699555 +1341966310 +461126537 +1962368013 +755949746 +2011039241 +373248952 +1730619109 +71129852 +255850818 +911790538 +404592652 +838746179 +1080222559 +2122465453 +1052337006 +2081017299 +1791778730 +156234462 +792123153 +612622954 +2090014828 +592044873 +262031161 +701425277 +1323755727 +1024563032 +1134439105 +346633556 +1473049823 +813652237 +1880333111 +667532485 +1274778774 +1695217476 +1423482231 +1138334367 +2068466429 +1006617692 +1209464220 +176833599 +1918408231 +1614056872 +1015579778 +851147142 +1589038677 +2067916785 +784680793 +1233333759 +76667599 +1576803946 +1845956713 +19198780 +21365171 +2107987874 +720624057 +1345120899 +985067258 +1855063162 +1691754455 +310633433 +521231752 +1424603919 +978165919 +1796010526 +972337747 +254164502 +786861246 +893320528 +1260782195 +1996325466 +1070154128 +1031706778 +1462898690 +2085733906 +1882853920 +904453719 +2006167043 +520051065 +2137787478 +2082834643 +2096855011 +1836260543 +2102033423 +2118220182 +1796764769 +675173832 +1315857433 +634348379 +382753347 +860128241 +944981813 +903985099 +137248512 +1923147732 +552511977 +1109586259 +29828586 +1339373223 +2002906788 +1290610781 +1188215041 +925577268 +174833911 +503630083 +863827526 +2057687831 +1408083802 +722510922 +430255248 +1398387632 +657861917 +379626611 +1087164527 +612411692 +350363146 +736445648 +1287585524 +1666220579 +1370794028 +1670338871 +378865172 +168292193 +426840322 +516113684 +2091439925 +979352300 +1625699944 +2121268511 +171241875 +1481123084 +1264395645 +1359456917 +259216704 +1439229556 +1863087000 +1123044230 +1349433740 +1123687155 +1845555152 +1779688988 +374591139 +355933421 +11831952 +1461755667 +968345113 +362195098 +50717667 +108446990 +2028415677 +1421511695 +1778785861 +259797202 +1589803888 +58142536 +775910886 +1533760165 +1037494836 +254127182 +1507545029 +1208736711 +1735250266 +624457026 +420709980 +1994466970 +2063686582 +136313333 +970027553 +1265636674 +1260000488 +668099057 +897842015 +1634591627 +1024032479 +909673967 +948863646 +1992377592 +1271869065 +999581314 +2100824582 +1152801094 +273609361 +1732126796 +1412598296 +1863413250 +1790269332 +41025535 +1249689767 +680280520 +295152717 +609751148 +1889017231 +2030402984 +1234208174 +162243564 +1877386306 +1150411109 +298556897 +699930211 +268564135 +1558557385 +1368029269 +1166406150 +1045665364 +244578100 +2076080117 +1994529011 +89472044 +1200465534 +846626677 +42812979 +205782981 +1120236038 +1774939775 +1618381277 +836165640 +1417725459 +1659406812 +2085855408 +2098005979 +1954559530 +548122908 +1839539562 +1837478866 +1782331083 +2001783126 +1567381524 +785258544 +152856375 +119828088 +1053822679 +1711413760 +1487857357 +72745182 +609595477 +1732435457 +1341651 +456640840 +1821907501 +1201807186 +1303267517 +1864720480 +1407590167 +276019907 +1492176607 +878487796 +1112185548 +762418418 +390410961 +1050557308 +712940749 +197486843 +1598680216 +404996664 +2034965709 +1233527651 +259296142 +1454863585 +2018786195 +412152518 +1574691673 +925125227 +2123566278 +915065382 +997870409 +585678107 +500017191 +999212060 +1042318947 +174441045 +53535598 +198102816 +2039161525 +1461125765 +474122724 +1383854485 +192129914 +1586308272 +2146272903 +582540875 +489381932 +711730005 +780027718 +2088062148 +1116726669 +667509779 +1174106152 +1376022811 +2122373364 +1045408699 +1788175329 +1549581390 +1970533926 +1764257960 +317163124 +820920687 +202452419 +817180316 +1820132748 +1244771367 +991621361 +1873668346 +1442874183 +883299238 +1187310464 +1916996907 +119670075 +1379440378 +1355821531 +118459331 +1961981253 +1845203463 +830189336 +594525323 +1785781964 +1946916005 +1262035102 +812404468 +1175455168 +1236924818 +1857813167 +816146850 +639022560 +1680863446 +432921162 +956185685 +354300485 +635373581 +1773366001 +26949585 +1880144948 +617503714 +1900617932 +1175535484 +1500802952 +940444748 +945048743 +1620473028 +172401478 +153386627 +1738932359 +2134382731 +1998590090 +421638047 +581424406 +1636888406 +221070404 +1843459508 +301809226 +1396525572 +932900678 +12138746 +65188774 +1571923239 +1693002192 +498109936 +380625276 +2047302677 +1133483518 +6507629 +2074252263 +866144818 +624011343 +1827386547 +2041680302 +2124814295 +620347647 +839245398 +1597803675 +792749125 +992632025 +1189252386 +779648208 +843738467 +1610890433 +1361072614 +333143226 +1831960837 +1057048474 +634952452 +1081002762 +1989949152 +647091198 +1146191536 +1414388743 +192609742 +1644301473 +1795014019 +92428772 +630301343 +1801521648 +19197387 +1496446161 +278049343 +1846583934 +1390642816 +255379991 +319447933 +82404566 +1853183666 +1112197058 +1075036591 +894952405 +1891845266 +1918775058 +358359190 +1105434232 +104434636 +42836380 +14999058 +739387089 +1123839142 +2004948210 +1386478287 +122547030 +1271853306 +1579088030 +1766848503 +919383677 +1671516802 +249666198 +573421678 +1690714189 +1746112360 +851471021 +1389814475 +989271528 +1106851012 +1709262408 +1071676094 +812551031 +673975818 +2146712685 +1707503436 +418337436 +1918004095 +2065862626 +1523771668 +2022438732 +2108699006 +1538770726 +614342173 +1085054500 +1396235288 +2000820460 +1207601531 +520604946 +1432424842 +826966386 +1439988624 +956457996 +1076632585 +2013410302 +499688537 +675261297 +717397675 +1889503012 +1664532825 +1824248688 +1451281772 +588725271 +489316071 +2125257590 +587954308 +49335859 +396111378 +358474755 +2115198485 +1919883046 +233429839 +2076413844 +1311170124 +847772012 +1013984696 +559921765 +701108825 +74102579 +1080526711 +2133533667 +901068966 +373031687 +942508016 +1977701551 +238958341 +1442196553 +505479200 +956356017 +1184215918 +22528377 +633121057 +488014042 +611253648 +1122437128 +465787985 +1199207956 +1171772987 +861899363 +1557682711 +1139487824 +634298762 +1791112551 +1068418020 +1945468886 +491400915 +2082402717 +357907003 +1192509740 +9021648 +1438433715 +1178559760 +910090614 +1811465402 +2121067776 +740308517 +2050423744 +1415780681 +1245787717 +859296113 +452512951 +1268316094 +1492417170 +940526994 +1879569742 +467370650 +1406314979 +931294050 +1639143637 +120730694 +341493114 +631147813 +755029456 +2132605665 +1699565834 +553014695 +476522932 +1634484903 +910921698 +1669032673 +1643506551 +201871765 +700108785 +406113518 +2013337168 +673692913 +1146422035 +1916277264 +2089473594 +244726105 +628089729 +394502898 +1513042199 +2120506899 +1335029892 +1245128294 +440393901 +593861223 +28938696 +2079537538 +714591917 +370431810 +563201703 +1469621374 +355553827 +115283889 +2022636069 +832076760 +1749768792 +786074119 +353625785 +1245791696 +987945885 +1053734570 +1651905214 +853799405 +1727427483 +650843601 +622593021 +1669417429 +895569706 +1250682750 +2063920327 +261128258 +1223706001 +1251466571 +1506256552 +1664099902 +1845327794 +1535195248 +1596153792 +412436064 +1905627059 +11871847 +1882057438 +113697238 +127155737 +1757209859 +945773998 +1876924529 +395800330 +1299399783 +975232577 +1383746215 +205650705 +479654143 +90061972 +1933078188 +1130497745 +712654993 +1455011970 +2026067451 +1963337743 +1371448649 +139712061 +1039560096 +475431573 +1645968613 +556176350 +173275719 +1033680214 +4846494 +585711783 +791823625 +16718342 +320285573 +905520863 +143874079 +2077495432 +1851294862 +2020798608 +325812115 +1003210997 +848547538 +1709558330 +1208861703 +1328201681 +1799620303 +994456243 +311215778 +364791648 +301984565 +189799582 +180645744 +1673433215 +329511643 +1220205840 +1381140 +1975480257 +1776382191 +174656859 +861676823 +1781228685 +760368643 +1653500448 +1797947027 +1080654216 +411537663 +1941821106 +1010666001 +115348877 +1815136067 +1336478116 +1118559875 +516199957 +898552798 +179937930 +1844401638 +550689453 +1174394173 +8133769 +915481102 +1476378739 +197933351 +1096126846 +1002328306 +527444994 +168849038 +1003709446 +355441603 +1945231229 +1178366305 +1217118426 +1578976267 +1938734948 +723135226 +1229439646 +871905517 +1134672890 +1023777105 +1882571518 +1250021767 +691429524 +1071565986 +221097994 +1207629481 +1970118784 +401035924 +904547471 +373324590 +1575430098 +912681240 +1288805692 +904325189 +1110614591 +237448890 +1906653495 +1638059586 +406297928 +762879293 +1993501189 +204045510 +1941245598 +1063135968 +1783021777 +1732496899 +1786271194 +864977775 +456918768 +773460436 +1888754880 +192006638 +2023482204 +432700756 +1263572624 +97096550 +1640330237 +1086207760 +498132475 +397394061 +1459532350 +2073562573 +1310075301 +600854394 +830404114 +273206245 +838303284 +589573961 +1911265831 +1244601213 +1352453254 +1757283372 +1448646723 +1146215204 +672935692 +1084184852 +731228455 +311723239 +1949162627 +1188147223 +1085183675 +1690433860 +1380153861 +961182231 +2123134616 +496242837 +1058278782 +1615981206 +1582450598 +1556411257 +2013375267 +894499300 +1482490182 +1175966920 +1495353695 +165410648 +1449173165 +186173331 +754984609 +1212955348 +1430774544 +2107437863 +822755073 +731937619 +1106169419 +1495690765 +1816122471 +1837397875 +1807414004 +1617801451 +878061450 +745114032 +1160751663 +110731664 +1706296263 +1136402631 +606974501 +617091397 +604900189 +41941451 +26019006 +470791808 +936440752 +1508509188 +1646758729 +284310799 +1673919836 +948448246 +470484130 +281420797 +13919947 +1901258675 +241375012 +836675020 +485712646 +1347544432 +184882137 +154351470 +1037458659 +1992296142 +1772152921 +1915520109 +589926526 +785420936 +2026251773 +148739141 +1921823567 +485742627 +765830539 +379240109 +527684078 +791849545 +850031917 +1464124830 +152875086 +349306998 +1748435629 +1826794922 +1297755245 +71436112 +2108215720 +1311675192 +1972694787 +202107084 +866564 +310923785 +1549651516 +185748701 +465275255 +439626527 +30561195 +89944528 +207662989 +620487721 +875365464 +86431114 +769226863 +649705384 +572173741 +1535057402 +1028945493 +1099857820 +179423299 +1878977410 +416499002 +332298385 +80800761 +17450984 +11609660 +1378556006 +88887096 +2119825380 +542747550 +2061581883 +174448816 +543614114 +225022020 +1724100333 +729362815 +690297276 +16243212 +759924011 +780241804 +223906201 +1380411732 +1655607269 +310337316 +2154947 +157829005 +882511057 +1537212349 +1186774498 +1982368877 +1716635649 +918268260 +251384232 +2048934034 +999069021 +268835216 +2060543694 +230141379 +357722312 +2032885426 +772888929 +271820547 +59850595 +1316503043 +496842567 +1783950928 +2045865859 +1187139843 +1800194140 +658306222 +1967381648 +2024100342 +2038717954 +1475505269 +186954010 +2040872902 +1633334274 +1069465067 +1430601603 +672625124 +904350297 +999753604 +1590893384 +1155734529 +901203991 +442478758 +1424569745 +814264037 +672620137 +1782292057 +699665816 +1445509067 +2054112604 +759516411 +614528462 +403471523 +395983691 +512910673 +1590611367 +48694183 +1171216895 +1410509367 +2072794525 +1062451202 +738530988 +112264887 +955840456 +224381614 +1181729955 +238958411 +897006738 +2086080252 +1238712016 +340416474 +1094331133 +2139916007 +782895232 +371417230 +806696396 +1455515370 +6225639 +1506362212 +753540789 +2060338243 +118394975 +1368069251 +316326118 +514378666 +1880979925 +1906937485 +563072850 +904713172 +1169963204 +488383727 +1967164374 +1908494192 +600648615 +775521182 +2132875806 +1782378570 +1014479594 +882398896 +1720975174 +105707962 +1222815371 +667822659 +98140321 +2005710603 +1039239889 +904836717 +1313742325 +1045465528 +263715282 +2067283114 +958320123 +382110257 +1287868718 +1274646241 +896488924 +1021364995 +1034100079 +1459561774 +1926078167 +56579635 +1947945501 +1745758894 +1965073828 +401110468 +373796428 +1950465986 +36005390 +1388276022 +685381235 +1756980564 +1493983984 +1908196606 +277319575 +1592124305 +1766423561 +1316559464 +349477375 +932682239 +214541344 +613192657 +852481705 +1172861467 +995302914 +2140350423 +300024061 +1891791838 +1014231770 +1334124140 +1203869964 +792826290 +1390703775 +1004331818 +391101536 +1208293955 +1405442286 +764897964 +1011276294 +1441447677 +5690339 +1696657529 +1050944593 +1499674323 +1457370487 +1328264169 +944314981 +1076310400 +497339985 +1293792356 +2008992639 +711881330 +1906985013 +713990697 +1884742797 +754804279 +706857472 +37283210 +499112470 +1721089243 +1371407350 +1702982434 +366431885 +614627478 +559830604 +757533421 +1822921433 +1965272891 +1522431385 +686714079 +1259236920 +1528121724 +235887960 +162697865 +880312400 +1693258447 +1490962034 +1824627381 +622085200 +1988302020 +970936089 +483594191 +552699702 +730437454 +1197584888 +289958851 +1485241733 +1904442361 +327242062 +1984354203 +1478047956 +1698649412 +1539852990 +1844479841 +165793242 +2099683594 +454529614 +1988714676 +1917472837 +1976960999 +527945107 +1029226109 +1357599076 +763833068 +1191923975 +90427828 +309607867 +535402361 +1915055209 +931693067 +376220733 +738507650 +1415287259 +928920435 +1468945104 +465388499 +1218879287 +806703189 +222347212 +1546121349 +643573745 +1700395168 +1097287113 +35943087 +1397391361 +1263080356 +2135626681 +1851920975 +1104311384 +1905615871 +1681398327 +1632256491 +787358332 +891513755 +248605911 +1979282307 +981941583 +558213779 +367201021 +749513144 +1489906846 +743421754 +1488020794 +757710457 +1672342190 +809482250 +1223098957 +743737829 +1616185439 +1445446169 +142375530 +112275536 +998357690 +1239662643 +148218623 +248265403 +355259351 +136361657 +2100186379 +1459570735 +2041977528 +1634101058 +944343579 +681852212 +378131165 +1192949490 +513650872 +1360072748 +1751163269 +880851893 +2109585892 +1093586468 +1624273647 +1450123038 +1851296925 +1149132189 +112121640 +926912234 +1892870018 +1728307079 +224874756 +2035245548 +1840582616 +1223232446 +1127424544 +1988801239 +1471497849 +1482683895 +2125162896 +1424200580 +794770983 +2019656776 +910817990 +1739114562 +554025341 +1288949155 +784580404 +1067676213 +501538255 +388260026 +1948528106 +463640499 +1481846494 +1425318105 +1913763537 +1185659771 +426966647 +2025885177 +2112572006 +172353017 +1606708609 +189963114 +60114918 +1299807577 +1413195560 +1187539462 +1141125168 +737209761 +522739709 +1118804417 +13926694 +1317510692 +990977545 +924744684 +909141606 +1545002886 +66210192 +1693722011 +465195451 +567748447 +2081982037 +266239909 +1031388947 +1416344883 +1691558015 +797668836 +454521006 +2118524662 +676070366 +419609364 +143394031 +135295327 +609572478 +203508949 +1435102904 +2022768038 +1391048411 +428744424 +612494152 +1913788121 +1547548841 +626420846 +1083815165 +391042739 +1551165530 +1992956772 +1936045625 +1617375722 +1539195135 +253757429 +37640522 +1473693524 +519997338 +1069029469 +742554759 +64071705 +1866698305 +1197075765 +35112719 +395285023 +1616685130 +178506751 +530580350 +78773960 +382015700 +1965683254 +2101541999 +1773064112 +246944031 +566552503 +1539368585 +1794492872 +1192973349 +475700102 +38051963 +596655231 +321173226 +1974097589 +66547306 +1860368361 +80371370 +104187828 +1186578237 +600368708 +1173217297 +1929132996 +664440414 +892431954 +978725114 +699553133 +1287716978 +447926596 +878059884 +1818297328 +526700556 +1260075585 +1636496935 +480758907 +885656049 +1883440966 +1047311410 +277540986 +1530450190 +92801111 +753241088 +1568502154 +689456343 +1074414315 +1395116095 +756003649 +787299028 +1475487465 +860191477 +1973877266 +2075856173 +2033408774 +1755526614 +592812939 +778357080 +586768080 +1292366073 +2066074058 +1034694676 +22942309 +1736887739 +1561395233 +1283017894 +1225901026 +2042154140 +21190295 +961858344 +941981903 +298731281 +344824886 +1034783014 +1051972370 +1913327040 +1724239357 +2126386685 +1160959487 +332759358 +766202065 +488963304 +1192950835 +592595683 +417335830 +1078875961 +200638650 +1010148769 +1857233042 +787406730 +155031194 +1775823452 +1822101407 +177973504 +1365227543 +1236012992 +1460991398 +443644921 +1130683484 +1482181694 +1405503265 +2072665387 +1780912975 +1750328152 +959964754 +685401697 +1516171544 +536720463 +664304734 +529647384 +869479822 +1430506800 +1018610688 +2062430657 +2023102483 +1435946518 +993822971 +76257485 +298611640 +703572365 +863664216 +453642834 +331912169 +538281975 +631616338 +1697139713 +1774294967 +2092607737 +2140784634 +757494803 +1427305783 +1398804252 +682676543 +1060735110 +1001648756 +1642641297 +1746136808 +370336652 +31878112 +262957894 +899984036 +901357934 +1693464694 +1918594725 +816304944 +1569083530 +1207057595 +1810127915 +1645341015 +1505669235 +366216632 +361521583 +1959312070 +698128801 +899803558 +443444760 +247784866 +526614877 +388568849 +241085853 +1284109681 +1815874632 +1639890105 +1966786224 +729126095 +494055213 +1461943873 +327779255 +864391865 +1493821985 +590737149 +1764375902 +247696272 +136718196 +1535486979 +1064001216 +1705801726 +595060926 +726645483 +1203659093 +2100730162 +1092862115 +1565180677 +1912558584 +1790990916 +317500587 +208519696 +2038775783 +844115465 +597088546 +132377988 +2128225146 +265479530 +1772268093 +1947527722 +994605625 +118839658 +1261987947 +1322384880 +983231523 +608326284 +1913122030 +600123777 +856022556 +2049840226 +2135610756 +1920023772 +1608158304 +583188035 +499185607 +664333749 +536434549 +1592047722 +82030778 +301509485 +1235554991 +399531366 +510029181 +1126847126 +1243646831 +1107117727 +1259225114 +1224388329 +1372597258 +884009559 +1024432403 +219719235 +1002849217 +138936702 +1542104116 +1986080740 +747262986 +1307742498 +438720870 +1603285543 +1210099076 +426847978 +1375825667 +670773732 +1010036013 +1875011275 +1335107481 +1546470562 +1319575349 +1417138260 +1847980047 +407646692 +1816669626 +210525581 +1534493818 +912832809 +1317643308 +646235284 +2137221138 +542756918 +1530244843 +1014169893 +762476154 +385610412 +1153106595 +157096622 +224207505 +1900369581 +1464839120 +662928375 +1356171476 +527454548 +1089776353 +584513496 +1198228280 +2099812367 +312041123 +385852113 +1498799281 +1631616472 +1802990373 +1199295681 +2039263165 +1472176351 +1409821262 +1426273335 +237525512 +579980922 +2072508620 +227263002 +1122737841 +1455269815 +1241432895 +1885213995 +1840880228 +247055842 +2042310617 +2065087733 +2147425424 +1359666089 +580532460 +1356113252 +1887120637 +1670308813 +1940626748 +937865269 +1622637532 +105184223 +1323717382 +973953166 +1736800696 +979224108 +25765199 +1628580213 +303916811 +1435586461 +907369900 +541442324 +2015567383 +832394872 +768705326 +990821576 +140181040 +2010138222 +728551923 +1981061268 +109710416 +623378892 +1898665353 +109652192 +1983044981 +331714165 +1465765445 +1722681970 +2002022978 +1258908545 +513063591 +1477176863 +1364092769 +1836780974 +303646381 +953409817 +668521434 +329411580 +434506382 +972438245 +1764998041 +1341876282 +1513880569 +1633081776 +26787507 +135102248 +476419705 +166968547 +2145240470 +1204971628 +546167 +107467238 +1828350521 +1899211520 +217119431 +1663911854 +83442037 +1682884876 +1239110177 +2085465015 +794309773 +1752173768 +1415158230 +10918894 +1441471094 +1718804611 +964328711 +2109992528 +2048216191 +1398835093 +934947126 +1665730584 +593227728 +301344047 +1151328713 +620015235 +436446295 +1627748418 +786983782 +434203117 +685236398 +787529949 +541670356 +366103271 +539257821 +758789787 +2030015126 +622699858 +294191015 +1121641655 +560681225 +1088500788 +726331775 +1975839456 +1099419683 +20319222 +1547160419 +2063748394 +2130311750 +1447892963 +1315099840 +917775228 +966139899 +1908327568 +1219119276 +2117468612 +380859155 +1655565571 +1597733382 +1167842937 +2089768689 +135486133 +1955372886 +483955397 +501589404 +347147059 +1242745184 +384120882 +969846917 +1536936199 +1505762537 +1530528142 +477953339 +84610665 +1358883950 +1577373022 +104929887 +758560722 +1493637769 +87757989 +58970037 +661253961 +1005533218 +1025109936 +422097881 +77168846 +995094901 +802957036 +1732734417 +445344635 +1970799973 +1675019458 +580830768 +1778689211 +11491207 +1082420173 +2125836270 +1254236391 +1466541055 +948199539 +643688942 +824819945 +331244033 +1121642282 +909430610 +1690127984 +551531656 +1014360497 +301205058 +2045169425 +1102118486 +360175095 +558939738 +2107651704 +1385285031 +981037619 +37336902 +232896284 +1783994655 +1770071320 +678240920 +1607310980 +1297607130 +1259071688 +1238516543 +1309098338 +194008213 +1216869165 +415851081 +1660549269 +17585056 +1059540024 +337885566 +348829090 +33698658 +1247316176 +2038957074 +585230314 +114193025 +192678484 +482916092 +1216311511 +552853579 +1041855830 +1176479568 +1938138610 +2022893450 +1213816470 +23551247 +1659404457 +836404142 +701792167 +1119231790 +2134011273 +1960863855 +210264685 +1295625963 +7388421 +1427133851 +1711477044 +1667937690 +1444718907 +623533420 +2005823256 +1793547997 +657232078 +1105655784 +1685021423 +1242462393 +1219848809 +1877699907 +1725378485 +288676672 +283069838 +619750667 +1465156240 +73724801 +495160469 +531489063 +97276048 +7081279 +1367893205 +799068215 +1126313069 +1354420830 +612448422 +1336577754 +502563145 +619836843 +616227957 +66556542 +140290885 +2060946865 +690089962 +2146114141 +1707011214 +1347322041 +1104286277 +1244548990 +442300786 +176651438 +974765249 +20195623 +465328111 +1257835088 +639946290 +1930484351 +1331559889 +1135106760 +314489766 +1428835937 +1142188039 +1682382972 +80420504 +121017460 +889320154 +692868926 +1457595214 +1391883300 +1312705770 +2073823172 +1458439842 +1452996655 +1987286389 +1046156 +1451627149 +1546813955 +1348368197 +408429778 +643879297 +1790668983 +585081217 +1618644547 +1810864606 +1050409328 +728995987 +303327249 +833410031 +2060555876 +1438434009 +1147899798 +1341908165 +433138400 +682799122 +1422328669 +554155860 +1572119276 +2115197595 +2011751074 +816518928 +1280419717 +1938090598 +127475122 +585932725 +1777893339 +128521279 +2037559874 +1177223647 +1476889476 +298506004 +1821102944 +1120074812 +883587221 +1292263843 +783455770 +1933996549 +2021259830 +1086783019 +619922933 +1934332058 +377733380 +1767822731 +1128756575 +810871780 +303138205 +403601596 +1365027640 +1875257481 +371315544 +1229295067 +544292762 +1651735261 +1019902017 +671767884 +90184338 +650311709 +800289163 +2127744212 +1827535356 +129694992 +278766569 +1501154652 +1249769804 +1162353790 +645934848 +2033225574 +948866692 +519711030 +972524946 +1568789625 +306559441 +1350258326 +1189128708 +1435316016 +13646459 +1492266913 +1838917613 +1378674099 +1220040746 +62749509 +460485518 +1764333508 +1714484770 +1480387536 +288617745 +1804669109 +2130699245 +1088906908 +1784929673 +1810750953 +1218601900 +2063696242 +1164421957 +320888056 +1078566385 +1810356805 +206629983 +2027433077 +182584188 +1179154929 +1448739054 +489143629 +381929607 +490384114 +1924459645 +395576066 +1982651027 +1615893610 +1774250166 +1055208125 +1678643119 +87252036 +672057986 +1245644242 +1567639572 +960675731 +902829703 +1550855169 +2049582639 +540275728 +1214122474 +1120700892 +456488323 +231060784 +1441588948 +1535054708 +2041417589 +1648218931 +1415004137 +76518129 +679890212 +716259543 +565661758 +1061819820 +1206643657 +342637756 +1457395886 +1041811036 +1958531366 +1084162404 +2097019161 +1489690838 +1171414441 +621593499 +587851432 +591570365 +1582269230 +1490681135 +2142425535 +1484368222 +2030956863 +1209064361 +457585466 +339961538 +1440125145 +1899174414 +1875016246 +1334059087 +1399909698 +1142536735 +1410577216 +2079799910 +1858796278 +1976238975 +994136082 +917956287 +171393083 +304048321 +1959767323 +2129924449 +1388210725 +1909302837 +1472131639 +412141518 +383412688 +2059983071 +1003711884 +1965681919 +1403180558 +998653771 +1302566493 +1286653774 +60234484 +1760151959 +1626615312 +1500359630 +1511842725 +1354147911 +686935069 +764268775 +349200998 +2097512285 +696585038 +60513629 +1926267612 +1690721120 +978469916 +2097660695 +1994769441 +790753592 +2080101497 +1235496519 +552572781 +1404749488 +1647638037 +935985469 +1317248912 +503866273 +754183740 +572945822 +1502520044 +2056750233 +1859599596 +1562754529 +1669418544 +1338731261 +915630511 +1033777622 +545395524 +1602565580 +1798046397 +894596522 +1552594217 +347147787 +955110151 +1331378182 +2037868908 +1933580068 +1281555229 +1885154701 +576850012 +1214173078 +973167572 +1129422793 +471438919 +473321962 +2065408262 +1788687831 +977188235 +672108355 +214150005 +332224632 +581374940 +2073749602 +1894979161 +103309837 +1264997215 +663126024 +1137087459 +1810392739 +118207956 +787650208 +557505613 +1670802173 +1134797996 +1512615765 +854696707 +1025183256 +1298712185 +2136251937 +762854309 +1875562197 +1202941367 +1736021882 +857501342 +1674380286 +61860196 +775425956 +1315584469 +1039048431 +1447534311 +1529734475 +1371273063 +2028909252 +1456000429 +1118768576 +2132219089 +573513996 +1781894600 +1121822900 +236423087 +1900102556 +1909473108 +793928700 +1423421082 +896787456 +159060817 +130634141 +1921970712 +1457773002 +119402430 +537341374 +1185851551 +1322343798 +125879608 +2043352893 +849240436 +187739804 +671295202 +17341258 +1226788235 +2118829513 +1547075733 +450577651 +2000255117 +855592514 +1569346227 +1984990558 +1429106510 +1203757180 +959329810 +1665529597 +956376088 +721319271 +311974649 +232313522 +1618106727 +471035467 +362947664 +1392593792 +1928808469 +482350094 +1929935166 +967176373 +1804693892 +2055814774 +863045618 +506450681 +96070930 +1534340820 +523791939 +1322859165 +1505686686 +2070867672 +1773436816 +1358458155 +778976538 +1195299396 +1195965066 +60599400 +251572928 +7811228 +1726128997 +1207949016 +729130499 +2038103646 +1440262539 +199753579 +361655465 +1803210203 +1592347371 +142980287 +138076649 +1374798889 +1110156660 +1942770542 +1283130015 +1973202278 +301737575 +1379200945 +1360059451 +825529514 +554576462 +718262489 +748913538 +180529631 +2076720644 +1527890076 +1375829027 +1125202062 +1588489476 +1627401955 +1133013291 +1167134825 +687867323 +1862143790 +1057754823 +2128129862 +2061897369 +1419410289 +1783856417 +1506761092 +1562390576 +1921933067 +734076333 +525063588 +1717219961 +2017206348 +350782218 +2018957536 +1248923645 +1710841669 +697003402 +1803500108 +281620510 +1445916940 +1984029739 +210857507 +826323368 +1212375118 +1336059569 +267329196 +692293425 +321589212 +1434464021 +1380160748 +36249355 +344735196 +1360806963 +2098146724 +1764145485 +997179732 +1457424169 +1179052413 +771629151 +44016854 +1704116001 +341365464 +2061223203 +2054898220 +212839352 +1162663200 +1618256241 +909842754 +818679660 +1899876752 +208276046 +655225751 +2110734259 +1034599414 +1867600869 +1299310180 +1301928610 +412410646 +1620899393 +588908983 +1792571395 +1657148748 +933644180 +1005894710 +1607811824 +550306017 +2003074442 +917752345 +1729358431 +627219946 +961769200 +1285990784 +968585410 +875508755 +1193405356 +1181424763 +2038171955 +664177950 +2091267517 +709367968 +416571054 +152059916 +1364593719 +379821665 +1186659330 +1084710941 +1679131845 +341104293 +1497121587 +1152547590 +930013276 +1142209334 +662212690 +1863657456 +620396 +122540867 +266479826 +2003694839 +1040293212 +1995838257 +483431137 +2002062412 +1134345393 +1452016547 +730087519 +180267102 +485957662 +620775827 +844445052 +429741532 +1330143795 +1261016106 +581801448 +547253866 +1640837771 +1768460778 +1631964807 +1172485968 +2109565071 +981602747 +177549911 +892094700 +2123812081 +839762601 +608268508 +2124432478 +962303468 +874748334 +1980643669 +2002596681 +723102943 +316591158 +1857175445 +1857448337 +1768607705 +439779317 +2037715439 +107081720 +1060555144 +734676843 +536823252 +243215291 +1995692949 +1118624700 +790469157 +1489047072 +739601830 +274950317 +514049392 +701683254 +1256553064 +691599303 +1593777954 +1232881497 +1531361905 +54562814 +1209830327 +346181725 +929311149 +1042990348 +201294758 +1652414092 +1359581506 +2058470204 +1362378781 +980705564 +350765873 +1252610572 +1087787284 +1411321017 +1987287415 +1624610536 +1654536308 +1835496716 +595751588 +297521817 +1177060140 +1335353418 +572472134 +1691109533 +2037036672 +1829025198 +235225188 +1483330978 +914423048 +1766587093 +1537893793 +2124253375 +2112768819 +319721294 +1019760076 +166579929 +1972135386 +231857934 +77566485 +1187030520 +1212563498 +428332358 +292157444 +152867134 +1839653375 +131961212 +1777477670 +1346706035 +1967457928 +225745610 +1644227853 +997034421 +1561099029 +69216339 +540660306 +1450652053 +1898241538 +775885494 +786499384 +665180938 +394988940 +176909529 +641950665 +360274111 +496630823 +1661710741 +526854040 +321282561 +1893568676 +604420526 +1508313081 +958648526 +1032752884 +1800470526 +1111515661 +724922612 +1932431738 +741509683 +2071628647 +1752406018 +967255294 +1568372852 +601956791 +380870675 +1637589192 +1142617097 +1831522728 +1388347082 +1918502592 +470538464 +2053528020 +166007884 +647447993 +547995037 +526281995 +1144078816 +62222131 +1053136035 +1465361378 +1955790807 +1657556561 +826190811 +766955685 +542825798 +479177689 +1878471346 +1267748410 +264125779 +472497382 +1191893409 +2016531798 +1439752676 +612782614 +471004941 +1820623351 +102888158 +1613622039 +1504662431 +1491235240 +1384640983 +1975200896 +1397279612 +1550648867 +475165241 +1945274649 +2076930862 +1619244058 +2007496780 +982583249 +937121788 +1815803939 +492656163 +1763312599 +435275977 +1035481961 +95006641 +166263675 +155746723 +359132420 +638761057 +1347640132 +228180570 +2078513733 +1960422746 +699185512 +1751653436 +2063310904 +165323903 +1108832220 +1407062496 +1549964886 +936549468 +656858460 +953130105 +1411714709 +454649462 +882577319 +883475119 +314662594 +1865160568 +1820596907 +2130466534 +210333083 +1436425859 +418258863 +1245815044 +1531432500 +584522538 +1401561767 +1890564920 +1223283596 +601718252 +2118745491 +1154313681 +414657350 +670447355 +758483470 +330484607 +835771258 +1867315690 +1737547103 +238252496 +656381510 +246921916 +1191382601 +2068096219 +701571378 +2073959920 +804087691 +1016233972 +1791636840 +477200950 +999216858 +2001969924 +1913626809 +1417475721 +1100301320 +1297575661 +2001998260 +354379440 +1040656934 +1077798208 +956097692 +1011918777 +84628241 +1370755042 +1682366132 +843111711 +1701239649 +370653742 +562943753 +1291303105 +608906238 +1219325263 +1538225021 +1800288839 +1139937835 +92312751 +1726765111 +1944025526 +1108546723 +1370918303 +273742828 +2107763582 +1225404579 +39885990 +1377755655 +178222252 +1337461651 +1232270267 +532601692 +230634937 +162584827 +1488699384 +1242553714 +247213069 +711970778 +777436198 +1090324780 +265726780 +1148089940 +1653268534 +1557029885 +1756996178 +725110149 +947771258 +1409801369 +1865047984 +1040084009 +989082832 +1661589862 +1147084 +212517488 +1935332691 +2108910666 +1437922067 +1975218681 +1339182674 +1616144319 +1165196684 +423969293 +1262363 +1395831622 +586554121 +1489961747 +490901688 +833767190 +54448878 +1268337887 +1924091970 +320175658 +268944179 +1429876856 +1877205543 +2025940358 +7503358 +677493153 +1288258079 +1872551342 +1717577162 +129857264 +1386657557 +1718724246 +342374752 +1174506600 +1680151265 +1780296819 +1002241633 +871850291 +1248957491 +19954669 +1295819584 +1250219854 +1415786291 +1882373705 +592697954 +1906687980 +568657247 +647146832 +1027542219 +345265570 +967322490 +1296486398 +1775142426 +697044385 +1174943108 +1782645784 +1374537538 +315717540 +1507713479 +944631052 +445574804 +746887388 +515871650 +787949556 +1921393988 +48539267 +420762727 +776151973 +920389558 +1669720218 +796106642 +68725495 +772456425 +64409286 +1951099200 +1365154379 +1971097266 +372272800 +2012301211 +851155837 +717538370 +832140053 +158587 +345197148 +1529184438 +1175101696 +2127842933 +756238328 +1490819236 +1488072764 +1700869380 +1936394040 +87476504 +69257382 +576859948 +2008870492 +117796650 +997622675 +637538817 +1038186208 +519859246 +1433645459 +1106911703 +1292315671 +1498054745 +910527256 +509986402 +1321668363 +1282800056 +374803965 +25340552 +2000338426 +1206944018 +25499140 +198051926 +588644808 +1200600836 +178411211 +1344883136 +543936424 +1666483975 +898268868 +332846816 +1753960479 +967526250 +909706764 +1615347323 +1085322900 +1907329439 +105402492 +2123509109 +279705037 +1539047952 +1082937164 +1572020708 +889619049 +1993464420 +2082007110 +63803765 +1128780828 +309327427 +89144317 +981635606 +1516271445 +114643457 +1179687533 +2104916253 +1315244293 +1358098744 +1302315741 +1859180717 +877099072 +53100961 +44543885 +483575903 +1020627212 +954250649 +2098923227 +2105950112 +714096441 +56842071 +2081975573 +993801478 +1595890023 +1017429090 +418338539 +338025425 +863409862 +352862001 +401829190 +1992190691 +662189429 +490973507 +826342649 +30977226 +605616965 +2006030182 +2135893480 +1920861258 +1216645279 +1290725573 +1632558328 +2093744351 +1343826535 +1677102213 +429836606 +216970099 +483869215 +381276185 +175436563 +1197965656 +438118257 +109928489 +44283486 +2034008280 +1127357579 +462622025 +224550057 +1990767441 +815484027 +626379247 +1835474484 +1477673456 +1117352755 +514333486 +1508650682 +1722969720 +372880020 +1497060514 +1496347330 +1589525299 +640302440 +981422010 +1535786002 +1984128975 +511040576 +1965622609 +53615426 +994909791 +199415146 +229051989 +45391799 +637533403 +338980478 +89675285 +524058036 +1466338057 +552297311 +748608093 +1309621851 +1367781338 +1374987341 +997612687 +697971146 +344856448 +1511946173 +59138180 +2067826168 +1884826194 +1556198695 +1416689850 +1326867845 +49017487 +250628213 +715170200 +2033146462 +761668789 +533309161 +2086761888 +1756578580 +732724307 +168330229 +1801970379 +1370257711 +507310708 +1891645664 +1894315747 +1973648765 +296459327 +495440192 +1135786968 +1664240665 +1870427533 +2133399656 +214728163 +67800333 +1497862181 +273866344 +2135626501 +1235204727 +1830065039 +1404832704 +414588925 +1879082526 +1655460917 +1129759125 +1764745340 +269646058 +1663068286 +1704023580 +2026224638 +248308945 +1872353809 +1680711369 +1618566656 +232180869 +1424873385 +1365398755 +58345987 +1721332713 +1860838948 +1194132955 +1238089730 +1583782833 +1180048963 +1452817894 +1651583167 +530427497 +1726684238 +1639726020 +1765632224 +1409265629 +897075076 +32737501 +1140864507 +405052345 +1162496626 +758126199 +674698403 +678081264 +314666131 +553439393 +926390210 +39536292 +86667114 +397473218 +271717162 +1511540500 +1762871974 +330063149 +1085389565 +1476227274 +1524196104 +175995647 +912526459 +556761420 +1628813541 +416625978 +1087188917 +1208014131 +2056351999 +705337493 +469796112 +805943427 +738074995 +1610660619 +1210995773 +1900571621 +221303170 +1885694176 +431169238 +535969301 +291649922 +1357559448 +575505594 +378317036 +1755032666 +847222756 +1889857536 +1370420992 +1177285905 +827763453 +699164618 +553998361 +1003759101 +1611691078 +1110759781 +485088994 +2028317056 +50465050 +1693103126 +1937185407 +755802544 +15415590 +595645187 +1493877539 +1626076210 +1806640960 +1246965512 +1847379380 +1544851488 +1678134750 +235865034 +1836501410 +888210550 +811370628 +67334799 +495759569 +1658593384 +1957192335 +1866180561 +688395641 +637472141 +417861532 +1242394002 +1641231242 +2029552610 +205670136 +2126320236 +1910386018 +256135186 +1671939714 +1700087778 +1011937730 +1687355305 +148249317 +358331621 +1165947867 +1954890277 +1605297134 +865843599 +1352258117 +1135948236 +1101708633 +1041275880 +2024158787 +1913079261 +1108610679 +372434708 +1424188997 +918319366 +91131621 +2112584638 +1555791507 +508993153 +1207494993 +1049539101 +391062115 +1413165129 +1028375690 +153964486 +1669300315 +552831756 +1854052264 +533754398 +92703413 +2002301581 +892086019 +1258651280 +1809708210 +349899505 +2124494880 +1014482679 +1485847742 +1078719865 +2055758559 +1362522881 +844315479 +1016885590 +1734957589 +121020828 +1935204957 +1826089210 +86121819 +1343512816 +187598716 +1293616812 +245568270 +578660831 +559298293 +1273943960 +732625317 +81114960 +1826775716 +439193933 +614869358 +1919479130 +294011866 +1506955378 +1030646762 +2103720076 +1856854883 +1007657994 +970719108 +1195218977 +2086377860 +878994019 +410258210 +783209691 +1895879610 +2145215799 +904230519 +1683600919 +1823821362 +990352338 +879630087 +2011420078 +136485502 +1125198357 +442597261 +695783795 +251658669 +1175222579 +776898756 +2078434386 +1614416512 +1391768114 +1850429868 +1908428379 +751239844 +733592982 +1864664807 +460611080 +1741250977 +687900267 +1655830057 +1680145189 +1566894287 +2066088268 +315871232 +1315290249 +2063820419 +1220101751 +851407520 +1740158133 +62970442 +1731037607 +1604094563 +199455944 +708752317 +2046691825 +895239740 +960410986 +1074430756 +1672138496 +891361724 +541363620 +916422962 +594307944 +302308351 +1667662807 +1327900927 +19489511 +2128273887 +921668256 +707389778 +1636620296 +454329797 +126800417 +1555224916 +770201029 +1442090666 +1471561688 +1990302780 +146014538 +1064236173 +2053273222 +1877052146 +520847089 +105245519 +438320815 +420055266 +1000485259 +1398731801 +1494486022 +525140107 +142609878 +2035849642 +1441563069 +736917822 +190674346 +961742228 +2064818749 +210163857 +942532467 +839003357 +917553635 +431669116 +1293333154 +1044354053 +1986894032 +2063534183 +338961071 +1310972072 +1906353316 +484975610 +227724598 +1812142890 +214544108 +748571687 +1917388409 +652864923 +1168626953 +770390020 +2051596724 +515629327 +1295530127 +46722954 +403995321 +589609549 +783640777 +594669667 +1551351777 +700975878 +804833524 +346400597 +1539979236 +1722387160 +778069713 +685828742 +619257565 +617480097 +601879278 +958218636 +1928452170 +360748946 +1443194246 +8693120 +25408188 +1657738354 +757264807 +1942796598 +163119629 +1925891760 +565702970 +67232706 +294037439 +1861233098 +113955660 +698032760 +303358999 +897596437 +1292702428 +1854710776 +1598572316 +2097535952 +53627725 +991067904 +1672439464 +831697438 +1676896646 +144213381 +1449177536 +131292276 +1102432018 +1230146058 +492041222 +398142616 +1238839178 +517449411 +2055880971 +1996103985 +312762361 +71516952 +1774512097 +878465331 +138749658 +2068549536 +592214781 +252705319 +619098648 +895573780 +1150301756 +1911801076 +602800909 +601390424 +1861853381 +656428634 +1592458328 +1386809197 +1488126073 +1121871327 +1531022579 +789819961 +1253163603 +485970949 +2019966019 +1745204826 +884113565 +1111321549 +115170589 +792510888 +959941886 +427932950 +864027841 +586970335 +1306398281 +1002777499 +508036223 +1898613063 +1255482818 +1127134871 +646703195 +258300927 +891452300 +1249504104 +859691351 +605822033 +1905932739 +304666032 +1992631230 +1246575164 +1426537359 +1376170161 +2036395125 +532217314 +1862141110 +1908877496 +129938492 +598771028 +872715397 +245109081 +1391281916 +1832657283 +673042031 +107826109 +272143970 +1979440313 +1110603609 +780180193 +1730569728 +218602779 +1907315064 +229789275 +476903706 +651283716 +1479293380 +1336595058 +1257105749 +1237742471 +1641261090 +1102253332 +336833987 +920314801 +330939845 +225745464 +1452532115 +45597308 +2134622960 +1582470608 +644368336 +859854709 +1827579689 +2035650252 +545028344 +353138073 +2143476362 +817172314 +185094738 +1106596323 +1597352507 +1915664466 +1325199102 +1357183923 +2145453741 +1802102809 +2008467640 +1477263473 +991214219 +1118089741 +567522296 +484991661 +72859425 +904356283 +1405306462 +403799271 +1130101747 +710354929 +449396579 +1117241059 +145341889 +1093764915 +1977095768 +1972921579 +981931519 +374640464 +178576004 +977924233 +1191812778 +363670742 +2084520556 +641681637 +131851560 +1262236011 +1998865561 +129821653 +916855172 +1859849553 +1607085127 +1908069391 +830455646 +27123775 +245577404 +903315072 +931480059 +1650883866 +1307114343 +2061581806 +213755147 +1756510922 +1031339218 +359097037 +702792189 +860951338 +184534968 +1684723708 +1235591803 +363110972 +515164294 +279920933 +726781714 +452201202 +921602571 +858633274 +1714437213 +772984484 +988454927 +483808737 +485350389 +448056406 +244394480 +1315806035 +475180182 +489971884 +71637459 +1406660241 +2140855750 +1378751802 +1320758399 +207127250 +987779076 +204613969 +566224287 +1690571265 +1065565308 +750759255 +1227811326 +153673463 +1113870227 +1742975620 +433594396 +1840651941 +47693174 +1355196967 +551801567 +1762130388 +2128181451 +1540256494 +98455477 +466048192 +1988312901 +342849958 +1781854228 +316009435 +832821842 +1853491687 +1722669676 +826193945 +1084759842 +895944427 +1033321195 +2072538918 +1100558397 +1599545482 +1615626536 +18640057 +202821089 +695954214 +172313520 +1316691316 +291446186 +605907916 +1009859609 +339139360 +1961104884 +1561661176 +2101269748 +1941802687 +954434022 +52241578 +260367232 +795263275 +395091536 +2042221460 +1111272710 +1227913378 +1748229499 +686458738 +2054107323 +685505693 +1582403166 +939944870 +610560964 +535477915 +392006704 +78703852 +554117972 +594827793 +774658066 +726431492 +1911519109 +1066104252 +1332339408 +773895070 +1405243612 +1145960644 +188072598 +1359029713 +940279684 +1142506621 +1411271291 +1200646916 +1937769896 +1806362827 +1095384728 +901558959 +886792557 +696130579 +1588017697 +793416233 +1381636273 +1022937215 +1733361103 +1992197237 +1558415130 +2125367808 +2070901089 +2112533102 +572711953 +698075507 +691480946 +336747415 +1764179759 +2023820355 +1110642485 +1021939723 +1022297351 +1298715084 +233485788 +1962577035 +293738057 +1644757079 +1015740303 +84024305 +1303636258 +2111125031 +985583264 +42945168 +659771963 +426117314 +836361401 +2041408236 +1449054529 +422238856 +1886121825 +859986012 +400123016 +1809539266 +825035466 +972834970 +360131125 +1516516413 +1309582385 +2124310884 +1392853120 +272741222 +998766959 +267666823 +1571456306 +1232252748 +82760211 +1865194363 +729526179 +1098500514 +1949218669 +2033162438 +1062141898 +787318285 +2076107606 +1721913861 +1213435599 +764985359 +1615838449 +515006481 +1187224215 +1354476626 +1374992493 +1587347232 +1016532244 +52544311 +412698554 +1376663369 +1569060724 +1722280939 +1353490605 +814430196 +1995022161 +204773916 +1082097020 +1418994820 +1437026664 +1164857231 +1136705535 +19069196 +115874097 +938440556 +2052231634 +1178015995 +1725758842 +1980855592 +752446208 +791710793 +598357303 +220801009 +1306717274 +1785581518 +1575277635 +534226119 +1225445102 +444326231 +586770431 +1638143656 +1820989600 +8347507 +1212940947 +1026996557 +822777704 +1060479461 +1231770474 +1904874724 +331990633 +521313490 +922248307 +1468696168 +540382686 +1038122404 +259653077 +445130672 +68654752 +1985411919 +278502616 +821100960 +629639064 +876859919 +1041901970 +1936356339 +514957790 +469695957 +323098810 +1740402892 +914022189 +909869241 +1231062901 +587528141 +918216749 +296520200 +1614524699 +1740994453 +1356999661 +698811525 +1498385529 +1688990294 +1220125015 +273150188 +1010202815 +1760507702 +1311272592 +1269855892 +58154726 +1379927344 +1107784163 +336657343 +53544657 +1737423227 +1213517262 +1095446627 +1526295918 +1728475052 +1565142584 +1849394729 +1321394297 +331681125 +611780322 +404973550 +919209267 +1529997071 +701493750 +386250318 +1123507876 +2058493412 +1085061843 +474409757 +1600000058 +157703210 +747559945 +462719225 +1918210912 +2058832538 +1732575117 +1976365639 +1291276234 +692875632 +165539334 +1344820891 +282815212 +1379056596 +292783870 +1809111130 +960048001 +1857926455 +1511022211 +133958650 +42123932 +2122802534 +538932200 +961333199 +1505315957 +1240425950 +1347583517 +481340186 +1151435714 +285161712 +955749943 +603952125 +442864923 +1703309889 +1066671350 +213592187 +1614658779 +651762820 +42474178 +758451365 +1344638452 +208013512 +2103272257 +1627453664 +1587070109 +248572479 +1289081147 +399634462 +2106498934 +652619710 +533593112 +1139219 +627938596 +1072525312 +962472418 +2133254554 +165467614 +162572288 +467111092 +1316903329 +447734000 +1422861035 +1920855454 +890598923 +978687276 +840043156 +1104191111 +445862407 +1491805976 +1146665289 +1204313773 +688960781 +1354678802 +1160102382 +168930797 +794265263 +1408674861 +1458011944 +1193899725 +1367690148 +2110631655 +1727492837 +1368829367 +591086603 +652534501 +183818137 +576857509 +818002115 +346390425 +1043968601 +2134905444 +794124426 +319345989 +1908277250 +1684723349 +1298033265 +600836759 +641430812 +1743895673 +2092642735 +1788096102 +800725798 +634119868 +995291256 +1960828180 +803050666 +1789556519 +1222019393 +113578962 +835972596 +442225893 +76726969 +415981785 +1811055260 +667813573 +1068516286 +1994873398 +1244671082 +1886518401 +193780175 +141156036 +1873940198 +987904601 +460502025 +1634733800 +525144303 +1758535290 +88086911 +1166575115 +1354947315 +33245999 +807187569 +8189465 +667365867 +1802478825 +1969017645 +1470416533 +1444551696 +1043553391 +1583995496 +133040644 +1485779284 +1660722465 +549022429 +1149350897 +181052390 +1617538715 +996740647 +1425723473 +1356573469 +1190520822 +1566879509 +1083030019 +30941776 +2027381534 +570280171 +556086079 +1638433176 +658367083 +1722661194 +845896844 +691613082 +382365116 +854086309 +1358978949 +37360293 +675620307 +681911835 +1481911990 +1719173698 +118423683 +1614952634 +1057469334 +1779146148 +16491416 +59336583 +1960198539 +1634030131 +1056077230 +1238438364 +843119952 +99114405 +657834225 +1926149971 +130056181 +537732111 +348946495 +686142260 +28681639 +1007313578 +261319806 +874578483 +1698926660 +643684922 +1728664793 +910421961 +681045216 +256801452 +1592333796 +15473558 +1975975150 +1710757479 +1630426192 +885960836 +1342419980 +1646917608 +945297420 +1155134871 +1133464092 +2001374650 +246089587 +1976584044 +2100489055 +903923812 +1755250368 +83061588 +1441655923 +2104196863 +769203848 +1470337562 +964026793 +1030523655 +197432398 +515469805 +1674208577 +1926097191 +1425891766 +207770145 +35414995 +870741915 +223243703 +2011390145 +434015746 +1853669896 +749867333 +1776435726 +1353103856 +1695164753 +784086949 +339084300 +1549055756 +1030176536 +168184697 +1502061163 +1934100348 +1923435065 +1585122752 +1228272623 +1880148280 +206842952 +551126538 +696691425 +1237366607 +748558936 +1212161230 +764091537 +527172479 +490569348 +971861682 +562587474 +1361311263 +1195105386 +426493971 +1795327010 +901291634 +1176361304 +1424279088 +106911842 +724042410 +60882390 +445996143 +125614518 +1091058926 +614180840 +1627675681 +877675627 +390132257 +1065314785 +2105948250 +122796889 +1272157738 +509591140 +819488314 +362040697 +1258150076 +2031649544 +1126132234 +1785322555 +374735244 +2097993917 +200426381 +1736046508 +1145615655 +626920352 +1383889870 +2046907289 +1803281657 +660685310 +6335483 +379840419 +721567700 +452331626 +505454937 +1812626627 +1066512466 +2133130618 +542818606 +1456644723 +1050961756 +501283208 +1579441612 +175635846 +1010874349 +251446278 +537676543 +121540777 +135612174 +1663808778 +1906863333 +510347419 +1614319047 +2107289714 +98910279 +612451054 +586726419 +1482800149 +511874695 +242524428 +2143485459 +518210178 +622364847 +717569512 +970541805 +1127819784 +382712491 +2037054271 +1113466754 +925531097 +1346215347 +16944862 +1426814305 +778173311 +192580708 +290205006 +1029619590 +730257252 +411745784 +1165231764 +246582382 +171125469 +1675579183 +1860901429 +130931535 +1774489462 +325868835 +717657954 +1109805963 +837743530 +960182382 +1105807775 +1355953708 +1582547229 +1823377287 +179011865 +562883365 +58606130 +68582489 +1676350120 +984137227 +1414797836 +1693294982 +263467884 +45487499 +1885875691 +553672891 +1075107089 +468649295 +965418675 +92855206 +715231677 +1136544144 +1768434389 +428649458 +1267475679 +1395440204 +754518293 +1985133634 +357762519 +1592261823 +797832368 +1463570294 +800731883 +232895950 +1139463933 +979743749 +795779315 +1198070063 +1048326238 +324645787 +34723642 +315640426 +2017940770 +298191527 +361127925 +1756332813 +851864418 +1436235015 +77498460 +1817283093 +1529090221 +792730137 +806343589 +1150040962 +1221379595 +2073819268 +397997518 +1975897888 +1911469254 +755760038 +1420676063 +561817975 +71846684 +73924298 +794713925 +1211310618 +1053668047 +1590493240 +261897033 +2101994285 +1915139028 +296620676 +270151063 +1785596150 +594812203 +631278989 +1394445315 +1446676621 +2067514004 +1471943775 +1116476066 +1449120577 +117190264 +1922819655 +451677891 +1338569859 +1849155275 +849675410 +1166984099 +1613140882 +1605435448 +440176514 +27475209 +1677282132 +514100812 +822189134 +741109102 +1567768860 +265198726 +1003006136 +1522279497 +32854106 +1299626812 +1792430561 +1818450256 +1894439015 +276225902 +1065411923 +1193631988 +196256258 +389872050 +162624406 +1645376835 +507062314 +2085444061 +2097054726 +1845632173 +1787115688 +799246488 +865132624 +1252772922 +257198288 +1305309138 +1280248131 +1934480421 +1819409951 +2102437265 +528105875 +1239695163 +220152344 +1531112011 +614491012 +253006450 +683255175 +259437925 +2071456707 +430210542 +535663827 +989384982 +1623842530 +731920085 +1379257033 +1786466936 +229813272 +1886319347 +1724427349 +179384351 +1584467873 +1364059390 +978630839 +302116849 +469348664 +1235829128 +1607425988 +1749596796 +1022825901 +1279352291 +1704550413 +1550931776 +371563806 +1924702757 +934560140 +986054818 +30225560 +1617815315 +1245492744 +2101682267 +2048025858 +1781156571 +943583601 +1524384740 +365593009 +175356986 +1163368029 +595406281 +2061676334 +740311730 +774790632 +1498660559 +2104371120 +1753421472 +1800777408 +426236137 +841766952 +1260719748 +28349285 +1864592853 +392588391 +1732899698 +1268040981 +764152197 +1510118808 +55117473 +1750207016 +1540344368 +1672932789 +848216112 +1494542987 +1573474999 +481889035 +290642940 +950376091 +847482044 +465999927 +2113744120 +1442888326 +380192613 +706572203 +70195310 +1878853172 +663459675 +1823616782 +1532146932 +1089695812 +517900086 +645383033 +1118045097 +235009291 +1037971424 +703461148 +1503050273 +1802123622 +66096308 +1558167746 +1404846990 +1606440676 +1083616887 +105579454 +953500015 +509608238 +587468489 +1244142955 +1459984330 +1434950534 +1710142882 +1426244802 +730355212 +2090335495 +2132817005 +800550522 +1821705019 +648793033 +476683657 +1206368304 +1738488845 +994583743 +1851751337 +709050295 +1229593035 +742239113 +1412511443 +585159660 +396879087 +1478607751 +2143327406 +1801726077 +937564779 +1079460646 +1907305531 +1891064794 +1589068884 +347290373 +987724101 +901569566 +1782240907 +550383336 +180330721 +365112471 +493235183 +165664078 +1165662993 +167456555 +814457111 +1642346650 +1373824859 +405462309 +489446746 +1078092548 +1114512604 +1719039781 +1820331661 +379540399 +156715793 +69727101 +1858148150 +152559551 +1871453178 +648229281 +1232020197 +1631275062 +391810427 +673605434 +1978565435 +1379534528 +1575175000 +1613322694 +1929917864 +1755505721 +1978435165 +275669400 +1921169800 +996614510 +443125955 +588143263 +491477513 +1816950814 +993605572 +980924259 +747559714 +2108118176 +552480392 +420407727 +340174927 +709196185 +490134828 +50839429 +861755736 +214104359 +699068710 +2093775934 +1845379421 +1090879137 +619897720 +1676461208 +322930018 +47589072 +1142300254 +105364234 +1803094794 +973251771 +381033634 +1576780946 +1969866281 +824159589 +17440561 +313860146 +493626755 +1011046134 +1294784405 +1241186469 +971680662 +1847264797 +1661594197 +1311855590 +408977334 +4245377 +1362695019 +1270733071 +218349736 +2061763730 +1217025357 +2063729157 +1005159219 +1836923077 +1592706717 +1328089237 +1884512149 +587523323 +1433453472 +1540123295 +1560775094 +1814487106 +969420593 +1383157728 +491163048 +986861155 +1697017874 +984789803 +1997907289 +844318632 +78492625 +822104303 +544099781 +1740086822 +2133959893 +953077116 +1744332199 +1349171265 +76326539 +1962681936 +1263451347 +1293351896 +1878927445 +121126918 +982791325 +1324150515 +1449216156 +719819826 +1911673838 +735185980 +112459474 +1324965285 +402189438 +1081880067 +560639365 +893352486 +2068741222 +110173591 +1878142290 +1919164863 +954492223 +1956634915 +593785519 +1498592005 +1549238089 +580261764 +304185473 +1146086640 +1929433029 +380512012 +961284928 +1045400728 +1673863908 +692728726 +1166527647 +509171585 +2016879241 +468260155 +1228991411 +1781069431 +1203446135 +1341450885 +958551068 +1605635573 +275847305 +1519190433 +351504412 +197104879 +1629364025 +82163054 +2116269743 +436372600 +2038797969 +562571614 +1934964605 +1440552410 +1142833378 +91666430 +439155402 +924782760 +472178442 +1400440331 +1970183488 +2146042350 +2093169057 +989227487 +507730287 +1962564650 +1457487642 +1736721699 +1596150433 +513450129 +930688936 +407217854 +2119085703 +1206536241 +1926408287 +323106467 +1403641121 +1408288664 +405269521 +1372427216 +1844661265 +296583842 +1934998830 +1632142222 +1737136252 +930348560 +1723808653 +28808006 +1855131320 +48503447 +1429248337 +1677831161 +47062150 +1374933746 +519575000 +554792437 +1190014748 +1977062643 +144030488 +638681534 +343029124 +1074719425 +1045899388 +314631179 +133772018 +824824027 +637737646 +1537413139 +85629044 +1043007167 +762356707 +1930290309 +1339591009 +549871889 +1414948883 +929243613 +1480220450 +991273888 +958051620 +1187868122 +1039777336 +239816309 +718215635 +1086839486 +1614750056 +1237790636 +1641631923 +657281156 +1067369631 +1785662412 +1295962690 +1410398755 +712898189 +194378430 +1725029935 +846670207 +1019202458 +215283933 +236599699 +1104831502 +1258291101 +998956406 +887638163 +450398462 +1548828296 +155103398 +1379642076 +881565098 +1146377287 +190210048 +2069433220 +38670975 +430026357 +640165208 +1125510461 +2044776413 +1877955844 +619658736 +554573922 +797841827 +257837500 +1850536612 +60756934 +970735689 +2044915043 +1785786869 +1817405897 +916633853 +2001070803 +2054005596 +2021465355 +1111878256 +905478354 +761619870 +1562276718 +306823002 +916723268 +794435146 +1188388100 +2063100555 +984645194 +1110337673 +2101771530 +1414671552 +1750502881 +1079798343 +1311964317 +1480975077 +1699457080 +1866538239 +131333256 +1957294580 +1569591204 +192090190 +780546622 +1467022599 +1977877060 +450468871 +236172804 +1831464215 +356990819 +110154511 +795858823 +1262469173 +871774381 +210651893 +1569292176 +1788497649 +1005087040 +610196628 +1704114557 +1989732234 +1720534301 +1658402439 +1256920138 +1323553534 +590717135 +421400808 +657044963 +142690567 +140455399 +788378219 +2099985147 +1710046603 +980468410 +733048121 +1029585554 +810861822 +1183516992 +1265758358 +494842389 +1540507811 +1375912869 +1290701212 +655493337 +100203602 +1501353105 +77301865 +1888701252 +358956497 +687498493 +1445332161 +201205084 +260549147 +956250952 +1458125222 +1584102681 +1546968087 +1879526030 +93663997 +1689658654 +2019981430 +882042216 +1642160154 +1582544385 +1862510626 +227724627 +464646292 +525888800 +1411241620 +1730404650 +1020731189 +804265783 +958833872 +163948753 +1459759120 +1059037474 +1665301859 +1537060985 +800255078 +2024258356 +77075831 +98103591 +77979792 +337624978 +1054354544 +1536105015 +1921727659 +453838983 +1268147397 +2015391656 +2143497638 +1140645179 +749950225 +1638174144 +575705917 +464977203 +1865898771 +1040352209 +990866004 +1129656743 +623273211 +2011597193 +1933922527 +1582107083 +28062299 +1246197999 +493660910 +1693364158 +635775337 +1293915988 +1570138866 +712851168 +1392019580 +1648118659 +1050476146 +298890476 +1036740026 +824720157 +752729459 +157403775 +692628166 +748743449 +1298048955 +1442578391 +239433945 +1873754872 +1907555594 +2105332717 +766623433 +750937950 +1087505812 +1389896644 +615051496 +873944691 +824520080 +643113795 +2120142691 +1318180990 +188994305 +608434380 +464613330 +1759133171 +1321285548 +1856632910 +1259768182 +224278046 +8039738 +149024560 +1048998203 +760769198 +306428336 +1741626369 +1509512647 +1604477291 +1036721112 +1748946593 +1330748515 +796793059 +1706795662 +2097371948 +1547731009 +646817826 +1339784944 +15298857 +1520762518 +16821376 +658412652 +1493421561 +1335002366 +847406957 +2101855941 +1799615697 +459056481 +1275657841 +1508764959 +1718824663 +1499935887 +1516804698 +1867849224 +401450442 +130090248 +26793912 +2143076812 +1639602895 +1631271203 +1032314276 +1241065840 +814536070 +1829107335 +800377854 +764424370 +1229354697 +1447195681 +2104209314 +1244653554 +820474551 +2121030691 +1903066207 +166412464 +1308549409 +602989516 +120784757 +960681458 +1062045997 +1396442598 +321962770 +633387013 +748894837 +1838767468 +353752589 +1150345279 +1968857716 +380546501 +1145938443 +1460976963 +2011817704 +30769072 +554559156 +678870126 +1859876407 +1354937010 +1443294496 +941747456 +654649043 +1400020162 +38917363 +1475123594 +1373567205 +1941983570 +1641536058 +534632967 +397489438 +1762320815 +1495314425 +1459535436 +1011279765 +1817277195 +2092922449 +1760174602 +1508561015 +299191390 +763036234 +1329935083 +679737891 +1908974677 +643428399 +544071947 +1939743749 +1197987555 +1222942073 +1652136509 +405440917 +518752921 +446400317 +1060089961 +1918773083 +485317680 +387729907 +1144856641 +279817602 +2029265966 +1679489608 +677307041 +1644103133 +1027320385 +2136842477 +507899251 +697113933 +2082281278 +120590205 +58191300 +233989020 +883626439 +1388126384 +913726911 +645117469 +2031554783 +1457798858 +437377570 +1082058690 +533257283 +2089514079 +1487499607 +1052010204 +388430749 +400105920 +823299639 +873748429 +787835828 +1968156280 +1153566032 +669618146 +1500162240 +1830873073 +166237631 +379998978 +1820231902 +674136882 +1077112911 +1755029532 +794727088 +1135304211 +1989018552 +1678353527 +375946947 +755261815 +175987348 +260018082 +65577025 +613364919 +1342076772 +598834308 +555395350 +682092732 +1650844512 +943826099 +1082198652 +326660503 +1817574529 +1870034480 +147333136 +823656913 +392168978 +1647495376 +507046338 +558406610 +2027494354 +179794592 +1232543492 +957123617 +1934824124 +2027270580 +2092427829 +1776359028 +1558140460 +320891128 +384137195 +1734127808 +580909211 +449714220 +200009079 +1922985983 +1048548528 +755404430 +457595067 +551909392 +1699230529 +1539793720 +878569895 +1369321410 +1262344552 +1025903031 +45494675 +1654513531 +525914760 +552541013 +65436493 +405925466 +732335605 +1297979985 +1363049084 +519676081 +1177766918 +1307993265 +148551461 +588423730 +1628884393 +532688656 +175067890 +62309956 +982402876 +375076970 +1985295940 +2030951404 +1130481400 +295407359 +435377148 +682228281 +1835201079 +1313947044 +2051549692 +950061984 +192366427 +2097044367 +457091867 +718281187 +502101733 +522528360 +1124206654 +1234437338 +1820508345 +339772090 +1754113420 +850791615 +1647765355 +1902664881 +1439215345 +1129166100 +287869890 +1614283236 +1191476057 +1270272766 +1989360206 +1029288349 +1153740523 +972357958 +1324695708 +1589117671 +1654586239 +1012413140 +755581067 +1558652283 +1962475124 +947947495 +1508213003 +272083343 +1666228682 +2010314736 +794611703 +642951688 +1097268426 +467636400 +982723778 +703898198 +1318428016 +483005485 +459079432 +610159713 +1612171586 +746949322 +76959301 +656163995 +2017222088 +2066319507 +1685452344 +1023478963 +891193817 +862664404 +465112987 +398296409 +1875077544 +1220694054 +1956948692 +1690069020 +21157901 +1317678047 +1962152363 +1687386584 +1180509135 +609280418 +182854624 +130293914 +1076916819 +1165578403 +834192112 +247861187 +1648583888 +1293271544 +858020900 +1113271826 +2040220866 +934980202 +1769435821 +1909959307 +853816061 +1307404517 +785954622 +1745009879 +22585274 +1251067609 +2143306288 +1897662818 +324278016 +1952771332 +1440248191 +345435917 +1122965732 +1254916906 +2032822501 +155991219 +1864197325 +68193478 +286285133 +793630496 +1233771881 +1120477246 +1041491683 +734872121 +266265142 +1899512583 +1848143948 +159002361 +687009137 +1470096121 +2068961668 +1540825199 +630016991 +707432642 +1138351430 +652602265 +1958500252 +1134174070 +402781435 +135294620 +939461754 +1843029626 +480730537 +2062427486 +950462885 +366069391 +70935058 +667176562 +434262869 +357220191 +1460807058 +1668034750 +1477697437 +354815093 +255423223 +1743962580 +106844028 +2103567171 +1902964941 +793853166 +1426179645 +1824442961 +187194717 +2056196636 +384391955 +1325546147 +561315253 +195408559 +312236569 +964096688 +330703179 +1251698323 +659642667 +811433717 +1166642162 +1610105552 +1177503108 +1237577220 +129798466 +1611765977 +1594797411 +1590605524 +1132317079 +925011201 +1945420617 +1387740302 +521490133 +2052264645 +1343823826 +276971426 +698634163 +622519823 +2101414387 +885828880 +531232811 +338322694 +63891379 +1092548064 +533731254 +376127948 +2056644752 +864434433 +1627826272 +568803771 +1675868150 +646984786 +31425675 +705887610 +1884562006 +161224141 +170169939 +1331875769 +1751829665 +1302487018 +109403322 +1549766634 +542743673 +630893455 +1454547632 +1886567499 +907864881 +5698147 +361603674 +861795620 +891527028 +892836485 +1200118315 +955418407 +1985384549 +1733849569 +1331546356 +1894545653 +450800354 +811888980 +315865777 +2126668505 +1458873766 +347291452 +685072467 +1195952124 +508515594 +855242407 +380344245 +112861611 +10245777 +489747568 +1662628246 +552989450 +1120641023 +969692230 +292073301 +2028505905 +975390377 +653676975 +742817877 +1866917405 +1546513460 +1942936192 +674852165 +1384414361 +1529302113 +2006398521 +1131476367 +1980102468 +670803853 +1447342144 +1959287325 +2129677619 +1794633596 +496876144 +1178146095 +155665542 +1352118551 +1558490340 +268527154 +1362364329 +2048237908 +1931155400 +1915353779 +1021395284 +753363982 +59943433 +902417541 +1728754359 +713620408 +1645235418 +1448188117 +112650221 +1440687963 +2123040282 +1497064582 +822506428 +1981955155 +481057301 +655125248 +505275360 +1928399445 +466928925 +487469331 +1575549394 +963805070 +1665615426 +1731214936 +168439973 +1076622118 +1999742090 +1530804302 +977376379 +1783413842 +1298674434 +1998771663 +389294176 +1358617867 +753705556 +2118048536 +2072238275 +251457326 +1418753005 +37404848 +1692145289 +1394309639 +1534469431 +367168070 +1228781146 +2015526732 +1022293318 +1734056506 +1796442530 +1489222244 +74042189 +1224508276 +305543666 +1739657615 +808239564 +473983639 +668796085 +660498007 +2004787942 +1646172464 +296428201 +1155978728 +1497460479 +685722378 +367112947 +103682387 +656287266 +291867574 +355139714 +2075040271 +329272423 +2047285003 +1321866262 +1863741854 +266969425 +403163760 +1731784938 +1289262744 +2137220266 +1380743820 +631001340 +63778807 +457768448 +936545006 +1803436422 +1266008013 +1410528645 +324748859 +1926506020 +1267832939 +1970921324 +75450573 +276328019 +1320898155 +761172951 +643440966 +1424580543 +1417460217 +935308541 +1779720257 +1345016840 +1264580964 +1679521612 +519399454 +980839170 +1946491038 +922563214 +565140460 +1088270134 +912299832 +1945884281 +1719271474 +976078639 +256169081 +508332832 +632031413 +1522177094 +1918861477 +956780273 +1301199466 +1039210769 +780217949 +1376650040 +1315538788 +2101116104 +2137822991 +1958979755 +1378212999 +1407799561 +746804648 +1010449608 +605332753 +2011385612 +542487573 +1124732208 +844741134 +341494963 +2047295422 +1409881594 +1429765097 +812111607 +1208282227 +1001552923 +1788190246 +1464451309 +1509885755 +272738012 +839144755 +1281263584 +1229518285 +2140344222 +172990705 +2009736234 +1369510614 +1488529494 +1963368690 +1359849957 +1300025601 +1194098042 +620165870 +2046830249 +57064002 +1225498624 +1910732213 +599551575 +202747184 +607989699 +941046538 +102558958 +2017871293 +223327987 +914670565 +1078669873 +1224880910 +555377164 +395637534 +587283017 +828115176 +1234782289 +1868546602 +2057633461 +1227642863 +2041537307 +1919886047 +449669829 +1382583153 +1735771089 +1809519787 +535125106 +782385483 +282202009 +434471707 +839449486 +1507700633 +197720272 +1439001061 +1710447817 +805709971 +232563952 +1813006776 +676097617 +455891939 +580193693 +1754767490 +1680772850 +1135570857 +2921376 +120572219 +1963686033 +1237703665 +1989118821 +1873835846 +317862881 +1883172481 +1646238245 +767532710 +1118271986 +1234525687 +429568849 +1653397093 +2016911170 +711770859 +2087868800 +708877008 +71987844 +138105425 +394422 +1782435662 +943815396 +232958374 +1447958790 +1619913013 +688850313 +2028152483 +1227196855 +222139515 +1016239693 +1230118231 +342711735 +832442078 +320338249 +184346908 +558794277 +638201130 +2067519389 +57548874 +1405733840 +1038307728 +1292074561 +1835302690 +544221173 +1161502084 +399589901 +484606325 +1870379092 +471577745 +622711750 +1870773514 +106529759 +1566527147 +2103731888 +1554488549 +1038956512 +645098554 +1435157385 +118669720 +867238069 +303913430 +1348787951 +1209949804 +1136355508 +1669126200 +1394296713 +1695149785 +159843682 +1314332454 +1752698660 +1565577523 +205156534 +897289573 +1253396565 +749377707 +2058791657 +1652986466 +1233984033 +1781687102 +2124564211 +1856695783 +1504976968 +83610323 +1275739282 +1461225209 +1638098872 +167212147 +2106323763 +925772609 +285881867 +826078184 +1229686039 +1634669818 +2036027989 +218557900 +1156312371 +1282841054 +1913707685 +1316156053 +449689860 +1518922697 +734249928 +654846395 +268728623 +1987646493 +1404224102 +180036632 +1493149311 +490724487 +1961723734 +1470229875 +199936623 +1319217055 +1553840198 +1475675905 +632958616 +1044455422 +1642888052 +591798731 +1970228032 +1928769919 +1417876915 +1052430423 +1415956090 +1306421256 +1270988323 +424784813 +441778662 +1037212361 +1740940866 +891468523 +408651410 +327707147 +1546314918 +677380033 +167869992 +803055372 +857416666 +1661019304 +1293779860 +671656752 +983765531 +1493716483 +1990873807 +390122081 +821908740 +476348775 +1434577503 +317313145 +1068147506 +1257321887 +98599416 +338540774 +162268663 +1514555506 +1644962030 +1433256986 +1939340319 +2086740693 +322985699 +1532797538 +830725568 +731637110 +1860504685 +229556838 +1409017143 +2028374677 +1032612210 +118950161 +1541910333 +178908422 +790606914 +378192216 +1672624905 +633997073 +768314297 +347049998 +1110345849 +55408153 +664363143 +31009707 +1312730040 +762962559 +369550481 +1474998703 +130034418 +2014512512 +760772042 +2069374737 +1953769557 +1083757741 +1454688627 +637011477 +1815394851 +1167709664 +866568315 +1076928347 +1048600694 +1899180525 +1195878508 +443027379 +2078088948 +1986485422 +821219596 +1603230205 +472998848 +1589533893 +1950280203 +1583344697 +1644942046 +467159698 +1614354404 +810188439 +1230122258 +1983904886 +137703494 +1360156676 +1850933750 +898475536 +1282047765 +1657219659 +1982233278 +589252745 +146747488 +1650144481 +1756962409 +1013315803 +579589180 +658079455 +765012680 +1775467689 +1101106835 +695617980 +1614469463 +1922326431 +151364538 +2087468311 +1364376676 +2101644741 +1523329360 +861835075 +421320792 +990200117 +1672023514 +1651443050 +826621355 +1809727008 +864116078 +530071457 +560718897 +2146163843 +39807468 +395468527 +587932940 +186554956 +2045613008 +197411702 +1199870759 +477718541 +855491157 +1964883439 +105702582 +1956597992 +513017772 +1720172045 +1731440775 +664382310 +1660156709 +948333804 +618543403 +1036002421 +1810168879 +1039864195 +2026202538 +1334708745 +543823597 +705340245 +996952105 +1407939675 +1235411702 +1557671002 +1406619871 +1275219170 +1953139529 +1994552811 +1461774126 +1851268890 +44480865 +514161237 +181503783 +899972023 +331561029 +287206365 +709086367 +844578801 +2007378410 +293043495 +1508961111 +1520051471 +1241377299 +2127504514 +408570245 +904062530 +1019885062 +287289135 +91287627 +1563708659 +992629381 +1088239732 +824164687 +80557435 +498427087 +83300910 +1355776606 +304082968 +2077853721 +670067084 +7868210 +2122334587 +1184228322 +189371993 +874822962 +1515789351 +476578358 +1583909329 +212884504 +336473121 +1876952824 +1721845615 +1856524592 +970846475 +1701866481 +117611189 +1874909005 +574267895 +404900325 +1966196632 +2137976555 +1397529706 +906952717 +814657594 +1478087141 +1405379804 +897958504 +686380099 +1709462772 +828328577 +1356447184 +1717330983 +803179516 +393191858 +1906702976 +1678002478 +1908981209 +235797687 +1114428160 +2121865713 +572270808 +843897336 +1696227680 +281311752 +1814743812 +1250610513 +398922942 +1542169169 +1824878409 +803823267 +1360882154 +1815371316 +53869325 +120351223 +482545262 +1531956466 +1525731027 +1380503766 +70852918 +1087710151 +61348695 +1427300102 +657557486 +864528212 +1820491960 +416776815 +395047042 +1581989521 +652574502 +1509475202 +1556371586 +1224845310 +205888891 +1105115618 +1506157062 +2020632703 +208242483 +1905080004 +1415318224 +2033120892 +561419623 +628716730 +1701008560 +615288948 +749067953 +36070174 +2147245415 +127315332 +1416573940 +70614685 +1215025484 +1477922636 +1497914787 +1872582970 +194967200 +1170923099 +141876137 +590014242 +605428972 +794450639 +2099489445 +14316910 +2019295949 +157894688 +1119432528 +1377969364 +31043743 +1327675011 +1135565720 +1446361967 +1213312256 +1696985344 +2075078698 +766837168 +164790644 +676663003 +802907343 +164552411 +803978336 +71997635 +235167096 +2019003820 +1549920271 +1733081883 +1744103142 +1744887471 +756521334 +1885979280 +187418066 +1361950306 +532946271 +139423863 +1376267216 +404758573 +297318551 +348216096 +1782727937 +328362294 +1675891108 +770810009 +1774724261 +741719716 +320311705 +1702319311 +1508556884 +485102350 +231498667 +163980579 +649654761 +1035477003 +235978215 +884821858 +906997175 +1785898486 +470420093 +503616669 +1383302310 +1226941428 +242112301 +1570720376 +441408086 +775058573 +1710144239 +1817675303 +1179817146 +2007462790 +18407751 +815061435 +188341436 +1694298859 +1585871444 +1963065697 +288534927 +1906183150 +1517901361 +1797091812 +243801852 +1749400028 +1961072391 +893456613 +637393383 +49566958 +1778278471 +1544390558 +1835465445 +101214917 +2048007227 +1071284107 +1328156345 +142635881 +494520835 +1769564431 +917694454 +57181426 +1439756086 +2097511600 +2064644216 +1458163838 +765089387 +105502004 +1004979049 +203477183 +2068567701 +1293513977 +2109660333 +1438985414 +943122141 +205978537 +1040901794 +756710884 +1099435151 +1678295177 +806277843 +730229974 +1075202087 +494259640 +831444891 +975725667 +1565543747 +12117588 +1118361548 +2060064582 +1781682020 +2036056002 +2117246008 +1073954458 +1986083954 +2034406576 +384634648 +603689693 +2139908580 +1389613698 +807166876 +2060992633 +535644027 +769343562 +1352494400 +1478766168 +975322099 +245912546 +87993404 +2074757250 +1924207724 +894271247 +657503577 +851926163 +1388530887 +1488948468 +1827651830 +806590986 +1501066057 +798529730 +719171920 +1135264429 +687102084 +688934280 +61735239 +525702390 +575857208 +446369888 +1129392083 +568282140 +1835983586 +1936558960 +481791126 +224143965 +558418874 +1834285526 +1702910133 +1533740973 +2080198072 +1790903537 +1461014576 +1856922148 +537691137 +2118518153 +561364664 +1926222024 +1459982973 +241532846 +585329363 +813565382 +1040062577 +1304501283 +1948829811 +1727164661 +1993435564 +2010565051 +105383404 +421809124 +309451291 +1234775487 +990091265 +2145434877 +1023850799 +1471882391 +222095194 +1582269673 +1158684269 +1925005327 +968526999 +1091398693 +1568425216 +282057927 +800837194 +2106116353 +253092432 +1362201858 +1884854730 +1713075405 +1603734704 +322700445 +379157140 +496313633 +1627201728 +180503303 +75994647 +1473153644 +43584706 +181378051 +1894962769 +353035997 +1416153538 +737570386 +350987226 +292520690 +61969129 +573082420 +1874790363 +1220653398 +350604099 +695833714 +164568443 +1919029316 +977891641 +965405637 +1877662021 +1230984073 +180123847 +1615033103 +796575831 +1783858552 +1937733548 +1175732971 +132688537 +1417451629 +1356236274 +208683184 +743121625 +1399820981 +390061235 +490600746 +1752856978 +1806214774 +1228171132 +2103844205 +2098735464 +1290140261 +529442977 +1826042179 +363310011 +880047077 +374392246 +527878455 +651592745 +1352283887 +1493284092 +381771118 +435784313 +1673407940 +1996804222 +1232360144 +1309782844 +1787054122 +260609467 +1442471381 +1057022103 +1616845741 +1651154566 +1800143729 +869183074 +2041215801 +143260827 +474556405 +1699946927 +1371431960 +430916962 +1651198743 +514088573 +960359939 +1329757275 +877398585 +1840407016 +1704149521 +1405277040 +344516113 +908949760 +751077484 +726287232 +1344734073 +277001776 +575607806 +429610569 +1586784620 +215178280 +690220036 +881772354 +1272200384 +159582130 +385443272 +924860465 +1028765204 +279175425 +1068121292 +1503321609 +1979122353 +292069604 +1934238571 +1482837448 +806158178 +747114863 +665111075 +1683556763 +440038231 +221776948 +941350155 +784554345 +1130726709 +1692427639 +1510841577 +327977134 +1969429416 +2086449383 +757587704 +1408730388 +154144015 +1447807740 +143019094 +1426344399 +1607389870 +528462366 +203721216 +488671427 +807637792 +1271842509 +1991993036 +639276497 +1563912113 +1778747960 +2122113945 +222586643 +378379175 +639741373 +1906143406 +818417406 +861518321 +700009913 +1602971751 +1992245030 +244953905 +966329680 +172738517 +66899673 +905295415 +930326221 +1475630061 +1059439431 +230650313 +1618649156 +338300182 +1838040184 +2147111522 +542021399 +179227963 +807265666 +1813863908 +23737351 +1446542163 +1230292373 +1802485311 +1421172461 +1452879017 +33380838 +2060913834 +1211538775 +851798245 +774948507 +1911548689 +307286348 +619709890 +9018946 +1273616029 +792448407 +75918619 +31427796 +1722774628 +1551548680 +1090867227 +1953424941 +1022714188 +1429167410 +1643981477 +1022342063 +1971188809 +1823209440 +1829607729 +1637569069 +1846946792 +1128666245 +720377794 +1501948455 +402355058 +25773163 +1535329294 +315785244 +1237311939 +239643891 +1090733751 +1001376980 +546930239 +1710443641 +1010395926 +1820546268 +355408400 +1086314545 +1851974065 +2078183028 +490379577 +795357644 +1884124322 +1513093766 +77041406 +1380622151 +387952181 +2048230215 +1056347944 +70076262 +1538315636 +755811088 +1198742507 +111209783 +110275895 +1601097565 +136982946 +1645605189 +1916882809 +1374294885 +1885249080 +860132913 +228188217 +284695672 +423092906 +1238584143 +2105241940 +778501307 +177415040 +1809732357 +709200687 +667794618 +457606354 +445841361 +33404736 +534647760 +1826463513 +421356917 +435394328 +735327809 +491433179 +1973709964 +1491138897 +1690175687 +2084919747 +1601414792 +1143789604 +74419046 +1099536334 +913188766 +1448713931 +837301766 +1773321679 +1676902149 +1121997438 +48930937 +768002644 +1079755731 +827432244 +945417685 +742004440 +1536632932 +1613212303 +1199610794 +1982474293 +1646617039 +1734258555 +1661454158 +2067973956 +22169235 +249298319 +411923487 +1995879199 +1740437216 +2102099174 +1933315299 +1194368361 +1098405131 +2007734345 +146421047 +2011593897 +1308964628 +983722813 +1637431928 +838383129 +2105720252 +1686362865 +1606385774 +1037992335 +366311462 +404319811 +1779996775 +1902944394 +2017532114 +832123922 +1737935039 +1516665505 +418898829 +1251905550 +1437155813 +441068064 +1501203869 +1849079300 +289463615 +1094157438 +1803694827 +75295266 +141042151 +754616310 +2083029611 +287463198 +618726559 +1244510592 +1271186011 +108674839 +2082893721 +1229422615 +1795037704 +1541795847 +119931302 +13865518 +1946115658 +1899928078 +1916809912 +1816164124 +584568352 +1507261304 +1185345981 +1003467181 +611683206 +475018146 +1444535245 +2112887075 +176613799 +1733998860 +1059560865 +1980308626 +1809294127 +1200603016 +587441288 +1744840090 +1488066214 +1206167847 +841867034 +611768578 +1314842686 +777277108 +1841191193 +962396742 +171589307 +1961122496 +976262261 +2117704966 +1713566926 +745588525 +1786385442 +150651630 +105366181 +824247776 +1154118811 +717049387 +1299265922 +451170408 +682452815 +1475879721 +37685620 +1742013680 +1308704699 +1846979747 +795133049 +1896145987 +1444336190 +135715615 +954830186 +138719576 +747484193 +122189224 +915996684 +441191739 +1084585967 +1087585992 +254830587 +2060848228 +1057807310 +1968397513 +658953105 +696709104 +2119049143 +764319287 +1520956880 +1125684306 +1481368674 +672739155 +1576854714 +16337841 +1135228 +1614540334 +1758351522 +1309839928 +1314036434 +406000923 +1058502267 +610888976 +541716538 +2013332454 +749608552 +1289200732 +2135521678 +1665605237 +1730392471 +1072623997 +605707581 +1985223058 +985988577 +1663514891 +1806136923 +1644941683 +212740347 +1777702418 +261777322 +1733697228 +755903076 +1743145996 +258952735 +185274142 +1759483838 +260087963 +1799814476 +1370351712 +1569927891 +966367262 +1776352635 +480946511 +1577256238 +170585525 +346795317 +179381143 +1459786257 +334833347 +1844986380 +1042695080 +1407457345 +303210313 +880434490 +245962274 +1966725204 +539087765 +1890903957 +31981903 +169306535 +5197631 +1765679131 +925209611 +1748343628 +2024631866 +1110483753 +1360343818 +137236182 +762814582 +583211882 +1707164073 +1729181844 +212080869 +40626936 +1158954435 +382666394 +387422253 +1338335578 +1842452652 +722255601 +1035838310 +737664084 +2129712946 +1339048623 +1618098575 +228191572 +1158290179 +9702692 +2119095530 +1190272082 +179009228 +2124293161 +808467566 +1104218839 +1725153141 +685615784 +67218945 +938013311 +822851966 +830033527 +1521225193 +382532392 +411731723 +1733306062 +423159328 +1570686158 +2115972457 +810581582 +761538088 +1810941461 +1532837183 +1797376398 +401121897 +1515066481 +988941373 +2019220472 +1743258053 +2147231552 +2028923165 +1714869935 +1190019987 +60448745 +1691679449 +1998487553 +1164667584 +1269348942 +536619689 +1231886529 +59878606 +1359471656 +2061920056 +1581103799 +1742004048 +326168132 +1166926214 +17679728 +1896854290 +1135415023 +828261310 +510908731 +798872836 +213614845 +160801481 +1199994733 +1728681326 +1149742855 +1071731558 +1324455732 +1149490759 +953171075 +891842019 +192027098 +1013619820 +436037820 +43031003 +30803756 +1705386763 +579650693 +1262690286 +1765265369 +1939122349 +1177126694 +1198885520 +1533642749 +1503294826 +218328086 +1551322477 +1252665469 +1353743109 +232100140 +1763574200 +5132297 +445714985 +1924375681 +1205127031 +26912664 +926634888 +129374941 +1351368396 +2076125648 +1082546016 +95726767 +120669098 +2096165836 +531764588 +163700102 +2126969592 +89667703 +743350795 +1242176230 +1854933072 +534989496 +271819277 +906334944 +2068632245 +1775114103 +1124663031 +1472471074 +880295924 +330922492 +1704571214 +496386476 +336054790 +2802552 +273278510 +1541181821 +29715216 +1199913398 +1670556762 +1381083612 +1128555398 +605619130 +1476810379 +1249224497 +554301318 +2008574967 +1412924599 +533787262 +2098242670 +8791746 +1775963493 +1805692094 +543781242 +2047782770 +564543391 +464929839 +1675413225 +1689206422 +1937400913 +408225502 +2020128914 +1494488480 +904611978 +208700056 +1497291032 +1177890488 +1749881877 +1527006248 +230320239 +1272954991 +760606212 +1358875637 +1878574121 +89932943 +460616486 +285391791 +2098507911 +1873541085 +819179054 +2049266933 +1882332831 +447658899 +1707475380 +278630425 +347958021 +124535123 +743560264 +2023371246 +1813741545 +533477530 +284113100 +1686386811 +2027966010 +1188725079 +1895086868 +1377773394 +219131919 +1497485097 +757295994 +449452158 +622956441 +1517902206 +1808327796 +354046914 +1607835149 +121460634 +639438706 +1558859412 +1995001720 +1458617760 +1460642698 +1729850903 +1906276659 +1020634430 +2008481329 +106751032 +1145169553 +604557945 +2130122278 +811427450 +1138035475 +266751731 +350330613 +1018517837 +1455476810 +97933833 +248807583 +1674608729 +1595418931 +1006103577 +2124060888 +70891724 +376522135 +1784905036 +424938638 +1984357285 +1906365670 +1064377344 +1395733049 +1753883742 +375511456 +708892099 +1336250998 +134304467 +1729526529 +1197248679 +241055499 +727212434 +1801806624 +223694130 +1538639884 +792358452 +490445861 +1888970498 +1810876289 +1945922671 +1986904331 +2059683873 +1473047752 +1434839614 +918303802 +1449624992 +1505731338 +1294825938 +1087046380 +1930669977 +1131699575 +845928403 +847563673 +379948976 +452328497 +1223075130 +1088841076 +1788579495 +1357379597 +670883957 +838344526 +1598435097 +1398096392 +492667503 +1822129227 +789252628 +1285025955 +165091440 +530739478 +948418596 +2111014111 +370160162 +860618821 +1436578215 +1804999776 +1778922624 +738719560 +1163247467 +926264914 +1825765940 +946433796 +2057964489 +524210695 +1793997469 +290429817 +976539193 +869588951 +1379270893 +617635040 +79484901 +2050154851 +1455979567 +1677919998 +1300767595 +1948647070 +1352565577 +2090020223 +1086189377 +1517657017 +473276054 +2034607973 +1481187480 +843436216 +747743147 +770282047 +500952344 +379182123 +1509001607 +1664199811 +1305447037 +1187283900 +463149959 +1215927878 +1711494595 +109663781 +1506357695 +540550140 +979252732 +738144941 +1158185181 +1058737633 +640816144 +466681100 +589173983 +1941583739 +267844522 +1941739560 +1884120314 +1354033899 +1311912929 +209912720 +1241158224 +645616761 +1053348936 +1988901371 +1415898809 +1554301281 +220599846 +777416768 +1071017444 +1526046883 +1964700668 +1534167404 +594491113 +1528711616 +1643831185 +2100848809 +2069261756 +475600269 +691510102 +1079963289 +1534337903 +1332326246 +1546644389 +2123511886 +1126426337 +1814488911 +1917767799 +863063003 +1021039162 +1082197080 +1072975724 +114713739 +1727813842 +2126324660 +2103615110 +996229003 +1533142293 +176731309 +1773645771 +456676090 +1702778192 +1590862792 +1990843494 +149785658 +972090760 +1487191031 +103150819 +893868868 +1962791300 +794660921 +1973832158 +1349645555 +2126987167 +1372992899 +1325673794 +1105929856 +1039998163 +1095957945 +1968992859 +2061037325 +30671377 +894484935 +28267416 +1758485219 +873325948 +2131882527 +607230574 +258984593 +161130188 +233392698 +715660683 +1863908380 +1824255490 +559020529 +2013694038 +648862602 +2046211560 +2116844857 +1542731470 +1861519213 +764022130 +1369079980 +1063681120 +743525649 +594589232 +241871266 +1849455505 +1634587395 +1337829211 +1670964717 +1548141072 +1368500589 +417966004 +1576408489 +979502160 +1291291952 +1560807368 +1586732735 +1550276546 +1721937556 +1820125433 +118453581 +1438362288 +1496897275 +677474111 +1304572679 +2145759877 +576202023 +1273933888 +1541007699 +290237588 +2037956019 +762604032 +1353918709 +633998020 +1357193264 +1595789975 +335969878 +844297011 +786135539 +2006934595 +244954435 +7152480 +277416951 +1821362924 +986654640 +1568708904 +1234686644 +425903727 +971501802 +809140552 +98545512 +1089955383 +100019193 +1595442787 +1767429494 +1404591872 +1593719016 +196147870 +531042112 +987243068 +486385458 +421514483 +1749847100 +1840304167 +1055512504 +959556716 +1288610495 +1391482382 +1803853727 +2074746034 +1250933329 +2048808162 +2081898514 +1528350280 +1722687439 +921069506 +949575536 +809890435 +1346973234 +1921077338 +1619030988 +1445518746 +863549074 +1719050181 +893477886 +483494920 +976158405 +339713254 +679642790 +1507200517 +1326956322 +1166028249 +1928715001 +929319774 +858848768 +836743857 +1888876490 +2147459263 +80742591 +1545246569 +2074721649 +1331675920 +1446571084 +2009136515 +712542552 +1021774875 +782722374 +1662118089 +1831665310 +2129695608 +1435711779 +1303212650 +1427730706 +151777205 +874779183 +173724944 +635272126 +1850937588 +513438199 +1314914916 +1210654458 +1840394521 +333459517 +991885811 +622230648 +1192308286 +1828629668 +363623490 +1192283901 +1909372259 +1908870060 +1119521903 +1093564531 +1207957496 +981174770 +1806107083 +82248723 +1763897144 +1320741524 +1913914033 +1746109104 +608969656 +1069643036 +1026356163 +760746861 +1944422219 +1200081107 +1396018987 +1647876160 +1713519306 +563450256 +711046970 +1406430180 +896909773 +1702932781 +2028660828 +2089218059 +1384078801 +244800670 +1134018313 +1145967412 +6187082 +106056568 +92048295 +1214144578 +1087231338 +1898155378 +1296393301 +703644835 +1071413255 +1062823687 +302270291 +1680382911 +2132466723 +1328626454 +293646124 +1929405294 +381223914 +1689665112 +1429797806 +2094743220 +105631720 +2140844776 +1353689752 +1002541493 +1696293909 +1234866932 +944275905 +932889062 +1479667603 +2078294218 +2078856474 +1485854685 +36867138 +23421121 +552515616 +1124098476 +1921576500 +1848908917 +1827743311 +845506107 +764248956 +2130013603 +378405370 +749232031 +1311156409 +672051494 +531153678 +1692380323 +214232958 +1960951484 +1639639896 +319864678 +1954312613 +845846000 +1322406172 +1503122874 +2080712933 +119198429 +288528289 +1412896888 +50008999 +219901115 +751267925 +86876137 +243322237 +1303783541 +1210974613 +17415089 +1005208811 +891234277 +862921196 +1769457767 +873764232 +1241326566 +371206151 +37436993 +1913378060 +902359829 +1729817317 +2127611019 +715827665 +1221973565 +299992049 +522656630 +2067819565 +1622398221 +2025779505 +2001048850 +1741596650 +166824146 +1266462090 +1791605649 +386725261 +2017730016 +1878481786 +630047498 +1174029909 +941972752 +647462587 +31755072 +1833207029 +1510383783 +1801212840 +559487613 +604226701 +24935343 +596924606 +370121114 +927295172 +179258275 +350248485 +1643122837 +1401231840 +650240534 +18295820 +1321567758 +125155108 +2044075325 +1175132960 +1866751758 +63415823 +294111403 +1510873760 +450141084 +164357771 +1241871898 +1080188583 +1338387680 +36361002 +1727651170 +1370142753 +1869568031 +1090551306 +1023871945 +281571996 +1694778007 +1048807288 +878496603 +2064899121 +1976102460 +1057754878 +267663958 +1471741649 +311503071 +917904493 +1490037469 +1633070829 +1043059601 +1386629146 +660720141 +762327711 +1450044969 +954831544 +125717823 +1900186054 +1119189315 +1367589722 +832890989 +310093348 +1403950724 +413058511 +1680236101 +1126035108 +1503609817 +556624398 +1407607104 +1050904177 +1605431686 +138620059 +968319650 +1434050498 +1196374938 +1235983609 +758308499 +1507878009 +6404454 +100862321 +993465190 +1049464055 +1487491467 +1654185331 +1811791766 +790052789 +461533228 +1937509590 +542755195 +1580722543 +1157615664 +1375646184 +1890815891 +414082740 +1788704695 +1423568344 +1540117848 +1144830865 +1980192742 +800241305 +48251394 +1438140780 +938861364 +1016571044 +724707630 +2135236302 +105071005 +1483016130 +1495630663 +111475459 +1583878451 +341612205 +1160939514 +923886270 +1995797537 +825247633 +1713939059 +309847117 +615273575 +109210606 +1890569660 +1772889239 +1484856790 +1633901904 +39488331 +1126077838 +909986600 +1579606180 +123425055 +742695695 +232363837 +171676449 +33352827 +1171225201 +1188247493 +758060458 +1158977856 +1293318499 +93592940 +507124871 +1404793958 +1677471391 +848737077 +418249825 +453874013 +697050966 +1243497458 +20329425 +1006898083 +1858771033 +129540031 +749984095 +1484176624 +1614396822 +236402351 +1523664955 +592991012 +1146388952 +955787487 +716416067 +1889084647 +1188151324 +888092516 +1922437474 +211892878 +2076340009 +533014284 +1370870734 +1222174860 +626607224 +1877995605 +479485171 +156594967 +579249034 +897734996 +610468981 +1276300000 +2141232454 +630798406 +135714435 +1852519839 +760338437 +885698531 +1189212815 +227251611 +1122100882 +565394122 +820242623 +121006186 +1521181610 +1536658690 +2010090833 +561849286 +277267558 +1785044660 +773742164 +206123920 +170575296 +2144612898 +1428298780 +797182521 +1875124856 +1907783951 +953777488 +306890242 +658035299 +1564246469 +1583190243 +651784105 +47561227 +1718904678 +356820296 +807899665 +457119561 +1546033111 +1035151276 +1579220444 +2111427234 +1855393900 +1700226630 +1485125196 +1244568942 +1562833816 +2046974482 +1521836501 +1200394828 +673232999 +1727960421 +1370970124 +670362249 +1008775553 +20668997 +398003457 +769075857 +974446486 +704893700 +1427111156 +391209307 +140600295 +2078895262 +438770535 +1859504973 +288231910 +1246670200 +169140887 +1834265022 +134337828 +1748361331 +1798208608 +1989731728 +1301104313 +1135850156 +1086817023 +716454481 +1035340990 +461169876 +1916849309 +1708573989 +41646649 +1140335786 +231452591 +1050422202 +1161004783 +629456048 +1819498059 +2135451269 +1334349748 +1099125568 +379176929 +1474950043 +1030537182 +817947464 +1186971369 +1318769092 +2064617664 +1356112256 +1005550466 +51471844 +956989939 +656275426 +2041203573 +110610604 +1792125582 +980536948 +827065086 +679982925 +1441706824 +596430747 +241073266 +1483353473 +1736766533 +472525857 +386292027 +750287669 +1101981906 +58306439 +738255290 +288848006 +1157432007 +1117432219 +1763798050 +40485541 +1935379683 +803285771 +1359254633 +1852513699 +11914379 +217321452 +1903985544 +968904318 +873596878 +1797705469 +1079514922 +518238813 +630758769 +1906580008 +1198221738 +2072465593 +355527108 +1439295004 +1408335418 +2092293641 +1911820862 +1794627445 +695097662 +866319120 +1852933884 +1433352953 +1155167126 +862882243 +403301524 +771481528 +903367784 +191197560 +1574767299 +115138770 +2043711259 +1586681678 +332460222 +1800213155 +408102348 +1206057100 +1450434976 +1487617271 +1724295913 +2081193745 +1246713631 +775034003 +2006175690 +1602240739 +66845360 +1267027460 +1547050733 +1978666222 +914171258 +94664747 +697501694 +619621494 +1528017700 +1852668820 +1482503738 +1931319225 +476666701 +238387874 +2122516785 +2051434000 +353526644 +2018744396 +1490632031 +685986866 +1671473904 +1898734379 +1892043967 +974425232 +1238868002 +1468856232 +908135330 +338097986 +96406588 +766827372 +1940338725 +163251948 +2033854833 +1339905810 +2141918170 +800542443 +1434570558 +691936216 +1420163937 +815104610 +397121388 +755184027 +598940187 +873788089 +993571902 +573973324 +777738442 +1347098546 +445234073 +120886825 +2033085413 +2116707977 +2019621204 +1777645732 +943649561 +1111005559 +1099018316 +1851784891 +1449103545 +1195424904 +471128616 +1241958622 +1358676852 +357499801 +434380785 +1353111374 +1158042244 +1868951343 +2045047590 +430722533 +536572305 +294685331 +1185906561 +1135512493 +1168473420 +31994815 +1709485817 +1946211862 +1379093361 +7236242 +2067098687 +1264695126 +2123944219 +1939236244 +894857210 +920110133 +902758155 +1993875527 +624411376 +204378052 +1041816783 +1095539992 +1446336674 +253009988 +1453039793 +1880717459 +1606121362 +463598389 +1602185154 +1503685305 +894320923 +2138757460 +1798370636 +2080227484 +1126786305 +819360408 +2112222299 +688788474 +618088623 +1343832012 +696024717 +537703662 +461043491 +672485288 +329456258 +1355900701 +1592595421 +1232214413 +1202292580 +69523150 +1436592465 +96625716 +1165063142 +735445492 +349635704 +470619288 +468679303 +1955757066 +934217677 +2070864458 +1311958723 +1828538600 +2062138270 +962845711 +1761282436 +1041440927 +1782206120 +1726021087 +1730229401 +252811095 +922369452 +278770470 +790514757 +1383412943 +951255759 +1119971016 +591829996 +396367532 +204701781 +1794122577 +465890682 +1641294247 +1890748293 +1630953825 +229256091 +92900349 +2101573113 +697935394 +2048657415 +888307142 +621316204 +1213132491 +569362095 +535970826 +28494554 +183160883 +1577411753 +1810700674 +1909181971 +1160157507 +2063511769 +684067775 +1438927977 +706542879 +2067480718 +242700088 +1826513895 +511827066 +639067621 +2031215676 +158465995 +1104958303 +1525026275 +2049214288 +588428480 +1754282366 +2142114637 +542517945 +304734113 +2043288405 +1430825088 +926050317 +1108937248 +2000187183 +1462021144 +1137431802 +35864418 +891949249 +800648829 +1945046389 +2052106756 +716676950 +481630516 +1343551086 +1423219829 +401627586 +1586251174 +1102250076 +913454653 +77835147 +985982105 +1071920648 +1182793451 +363524732 +973651289 +1771221931 +2117807099 +968282278 +166256229 +275057564 +864087035 +1597081317 +1201107881 +1973024283 +1449784852 +515645377 +962972438 +1485649270 +1407594627 +1763621267 +1283212012 +1312217735 +332814569 +1764842528 +508285173 +1756034399 +18986467 +2094536348 +710800827 +932441120 +24887847 +1696782932 +2004361768 +1207681298 +2060307665 +830529409 +831419582 +2030631116 +1798811688 +997675811 +158205032 +515415075 +447273480 +1359312913 +340955711 +1897058332 +1874958291 +1303928149 +1235223954 +1135069270 +920065768 +370952318 +299803357 +1252880337 +2135794847 +808088531 +861431088 +7297666 +755141231 +1572231916 +939738786 +780029078 +1121531200 +796616906 +1987710377 +1034355217 +1627146316 +671646311 +917502685 +1278474356 +1669322122 +1075707717 +1793889431 +2116595602 +287536983 +2134845142 +1866170286 +15011626 +1291289643 +953910592 +1150080896 +63871763 +1324862911 +1449884253 +1316752101 +1313174110 +110489136 +30699541 +1320471776 +865630367 +1602931457 +112726914 +1645659446 +576979010 +909343820 +1485886175 +1611334227 +389006488 +10048838 +381353265 +1667480844 +1679370960 +1457060982 +1313886628 +1648482914 +1744597965 +1301248122 +1367169552 +1759609591 +445054118 +173596496 +762206839 +508925881 +1498459407 +64607445 +1825677982 +664149869 +175096581 +1856377524 +1984621645 +1040726949 +1311825333 +2097348559 +538902747 +1888804343 +859208732 +2024788922 +1352654923 +1248215220 +2034837760 +1734008188 +768212417 +1566725072 +1043585522 +2082099045 +1067724338 +640699840 +1235863519 +287410242 +252825783 +1680917637 +461006738 +1015032623 +42359871 +1959466146 +1079640068 +1868037853 +476132367 +1254736649 +1576931729 +313270365 +147979950 +741273415 +263135276 +686882697 +482594110 +1122344008 +564187971 +1835249033 +223075581 +451542083 +1421773573 +991287998 +2018267155 +317875448 +925903395 +938507845 +958575288 +14283266 +1225918087 +1211401071 +1695200904 +1686924826 +78950046 +1737560775 +1498907324 +1158590114 +1458114980 +1975039691 +265843116 +887563062 +140826408 +413823066 +1628836477 +403961685 +1100705764 +2111430587 +1526305693 +1664893735 +1799195973 +1749381274 +2116435819 +1073485898 +593185624 +1987219326 +1391361346 +1519089019 +778243524 +202452986 +1533372286 +2004161611 +1413854058 +1081089542 +1543602789 +1492804104 +671166669 +895026465 +503910571 +2129281649 +722582509 +769753687 +869361063 +863408917 +1183576753 +350713892 +1267370602 +136798869 +314660832 +646192648 +1801692605 +2113856805 +248090274 +1770644776 +1039859055 +841275899 +1610380454 +283736754 +212881270 +241140330 +486189740 +1746253556 +97818294 +1900043798 +679859450 +1641421083 +1245364255 +1351026119 +388963901 +1749274826 +1332824121 +1111546410 +371544865 +54701536 +1974955327 +1555121618 +405415429 +1094842282 +1691920488 +720076261 +1741034930 +1346129445 +686449418 +1989125204 +969290573 +1726308473 +682917455 +432187379 +2010045227 +895798726 +673327710 +348751320 +494568634 +771146004 +101311470 +1174428085 +265083439 +1346675725 +377970556 +654047340 +948466903 +1710794677 +1765593750 +1320011768 +1765496214 +1593065430 +727649739 +23427995 +540424064 +272086579 +743504256 +133975346 +1618216024 +1429953674 +2123100550 +440022949 +1008778499 +658534358 +872210328 +871340079 +1554333084 +1545538038 +1220091399 +2048901718 +169200394 +1321402869 +1075846155 +434283834 +520594947 +1453816712 +1088331174 +1469061850 +1017127741 +706441277 +641589971 +635140307 +152023059 +1369239710 +658568302 +692447123 +1641326289 +1402072558 +826422469 +1112058665 +684542584 +802039371 +1552081614 +1693321084 +1460573729 +276808294 +417177515 +867423165 +1822346333 +1637268914 +768841236 +1991546727 +811188135 +1844687391 +278346913 +1331783082 +1151020455 +1366678088 +653361285 +20664549 +2073119365 +1294951256 +655804856 +77658776 +516707318 +1314373159 +770105899 +10549959 +568962069 +1596528368 +1122608624 +1253504654 +251084091 +527206590 +799342090 +1711657821 +804014884 +1216519605 +431597338 +478877569 +706304871 +1200438574 +322940649 +1517493006 +897642318 +601287562 +701792441 +2048662773 +1967965650 +1355153726 +2069327322 +1893601367 +502621334 +577648531 +1971260143 +1019328652 +1892021690 +593882394 +1029878611 +313500111 +42927114 +5003587 +1567004765 +294011206 +532210177 +218863207 +2005669027 +1336225061 +1435382812 +289782717 +1815102631 +2141687683 +1490221292 +2138043280 +1511697042 +240379962 +591847194 +66005835 +141559087 +412329197 +1421159561 +63402762 +158446916 +1923780895 +641051293 +2129707060 +795625899 +385589335 +576105806 +1825504510 +699089446 +619032921 +1830508097 +118610564 +913044127 +215234626 +337473771 +771229506 +1551459687 +1772856584 +1061012223 +1219078670 +1767060619 +403749867 +1209638302 +1131274013 +644129829 +1801485497 +1197279848 +785688917 +66331046 +470955761 +849091679 +224777962 +247253008 +1490142972 +207001374 +1042878907 +1875732307 +783107181 +720899769 +427338105 +1402140102 +403924218 +545948669 +167700581 +619158844 +883422441 +938930087 +23134884 +508795377 +1999942310 +1242213554 +128372348 +256208530 +304368209 +1259646362 +900338359 +2105853706 +309442562 +1686027276 +24701104 +780398324 +387635307 +249479066 +1027651332 +1877778279 +456480441 +2070530240 +1606026938 +1239587622 +643946361 +2033365044 +494244076 +1047870580 +431830065 +661944657 +1667029424 +1315252506 +1600874744 +1690164308 +1824047883 +1453333406 +784894215 +1952420232 +1709541936 +1089262424 +1064582946 +462396648 +1047632482 +1374025508 +940276 +1072333586 +6940184 +388575584 +1321812652 +1034591517 +118870215 +1778293093 +957638109 +1724897154 +870397067 +1601584470 +1610778550 +1364641143 +501971402 +2042608615 +2026585800 +21517179 +1210377474 +1479976896 +1711681487 +886941709 +785826655 +349092054 +691878293 +347884943 +1438354478 +1756461239 +810281591 +338503312 +983003100 +811221868 +1410836898 +989943284 +1199797452 +585165903 +2024534801 +1318667667 +215975348 +834689262 +896081173 +1086372416 +288790085 +359376075 +303529911 +790761487 +254501043 +182632064 +812278666 +1464878517 +1662608960 +376476506 +204336578 +300951967 +725568560 +896214872 +648836911 +16439391 +505192463 +1459118502 +354942703 +1488195563 +122856722 +1765779602 +330655200 +1322654174 +203461857 +207706353 +493838194 +419437205 +1042395616 +1389919367 +1505809621 +1331185701 +1749295443 +1809339533 +2121947188 +2003796486 +1991971597 +786742207 +1321191355 +1507096909 +1163218713 +1525527933 +1808048877 +1888787273 +274259157 +309402140 +1905226664 +779451621 +1768520642 +112685720 +120163536 +1891377365 +1878465322 +450818736 +1066547891 +2081927179 +658525090 +1560386085 +353880736 +1700920706 +802821805 +1859690358 +884622759 +404633600 +1521546243 +859086299 +260946438 +1366034192 +1645828506 +1582137793 +725647453 +661563571 +960182078 +386212682 +402867197 +1234441236 +695614822 +160610213 +2013892857 +316651817 +273295933 +2134056393 +60545534 +4277607 +437391482 +1127093425 +2086204786 +1095916572 +539995863 +292601875 +649353630 +1342817668 +4808585 +1533976389 +1747451268 +1526354828 +245579040 +2008397706 +744905372 +1891407547 +1443051851 +1470552825 +405487470 +255750281 +1856765508 +808354667 +1490191517 +404896682 +968964881 +1356600726 +721548499 +1242260814 +1343173472 +782094033 +1246538422 +1780564954 +1909187459 +1185259560 +728997878 +301699674 +1477861435 +1378351508 +1644517342 +1482670020 +764844249 +1244484962 +861541200 +1010423289 +1105399020 +1606446572 +754347188 +400967223 +929515750 +1159834659 +656717504 +638797610 +1968189326 +2146909022 +1043694292 +789670559 +1356026100 +1765242792 +2031931374 +551715924 +399853177 +1130986148 +184797230 +161556988 +168762060 +913795108 +463256662 +1646623496 +144662968 +2107774004 +981809868 +909507217 +1204775318 +1843351069 +1919930507 +162690690 +1302313993 +526794047 +563657913 +84346095 +1686628706 +1220375418 +723143705 +1507334385 +1219800792 +1766837998 +149521296 +428343244 +1384597142 +33969022 +980059169 +1784450319 +1164955170 +1164856399 +1946007308 +1333717231 +2078651508 +261780322 +832857079 +75830828 +222070679 +1814666947 +985338046 +1426845997 +1510534368 +757784905 +1589536688 +665364714 +1284578952 +5710953 +749710809 +823724011 +1226086371 +1472854515 +183574748 +298403515 +1092208865 +333096044 +726746760 +329322359 +367065067 +1706805929 +2113772678 +1532020237 +724178680 +1912296338 +718253820 +655346540 +26593013 +1551110899 +731177369 +248663692 +1218294199 +1716515415 +1675509689 +581344919 +326816672 +1117562729 +1246709633 +1611395624 +1123273683 +1996420443 +287635987 +201876406 +1321791310 +471210735 +500279922 +266516527 +804306780 +1227026682 +595838886 +1171371847 +786348963 +562127916 +555908436 +1510527643 +326940607 +1274162257 +18390536 +353533620 +677789508 +749567905 +602197312 +1896083707 +318599672 +130223353 +329944979 +645416344 +1247786083 +1576654612 +109328320 +223576118 +1425591407 +396964308 +425452524 +599899069 +868175043 +925732446 +866415596 +1672481823 +5275480 +1462254482 +696370022 +791624443 +2024382399 +1252278459 +154668439 +203839358 +378957068 +173058975 +557372978 +1056746576 +922626880 +1159570290 +805346636 +1241226552 +1289793643 +1135291615 +1886642896 +390096078 +564462579 +1995971216 +613672196 +1990053987 +245451876 +1039124721 +442469408 +1113626920 +1964857167 +1308885005 +638625095 +1970132648 +623655839 +1334995118 +614273443 +500554590 +439789929 +768941882 +704393948 +818746997 +942000857 +1261766926 +1875493573 +1864627737 +273853568 +533356561 +958370641 +1563647212 +1668648176 +697529889 +1953743290 +85627108 +546017458 +419931839 +2075681095 +791469334 +1459056560 +370666855 +1905096254 +1276430079 +1679551860 +396237702 +1099079079 +155724052 +1731232820 +1713352523 +656278642 +23539101 +334810757 +1360672591 +842286098 +1276811615 +474955869 +570296023 +993955704 +748809438 +1103652585 +1952326346 +164973002 +624817113 +502372587 +2118716292 +710444221 +1048390045 +391164483 +638641668 +1839859380 +1850221043 +1009308524 +1597471986 +979167475 +541376736 +1993709688 +2078246554 +697100788 +1577458860 +1644115429 +1353379431 +1600997961 +1978926187 +566568374 +295800411 +1108254154 +1041524243 +866096435 +2102209858 +1790333681 +1969749020 +1907052556 +1955306683 +447082485 +261941496 +1926539328 +1157526707 +1310331541 +170220163 +1796168375 +1002707273 +2020441207 +657993251 +452695612 +852125034 +1199369988 +298921652 +782887940 +1896470776 +1876380513 +279519722 +1102366559 +1329894826 +110962261 +1668934933 +1625695238 +1219216415 +562975529 +344308025 +1173942625 +205825562 +166573397 +933511534 +13648598 +613655882 +1195453030 +1940187926 +1771182589 +358300923 +2110408089 +1419867317 +1361008197 +1983365648 +2077860568 +1813703809 +688007034 +1129746908 +2112625461 +1470894975 +878734037 +1841522326 +1750414697 +1981100596 +1023933505 +1861376958 +1502551882 +502145095 +933109725 +2065527411 +846453120 +2107052350 +123869325 +1013026517 +893080236 +137517923 +1626682399 +2088533266 +2077705849 +1250381341 +299350542 +2040630291 +522765010 +1660358739 +1876512291 +453141930 +1326578900 +417035678 +1582888839 +1291720713 +1887930653 +314139228 +985759392 +1490861702 +147756176 +2009692897 +1204755012 +1650308058 +364354344 +2137864737 +1568351821 +1210807464 +2097433439 +1692221147 +76350333 +843030028 +1829739070 +1703032732 +784079646 +1759961272 +805930425 +1083430188 +1653107915 +1328695435 +596305279 +1382136558 +1781837366 +1922884179 +1799172236 +1217242557 +1067121245 +1539619241 +1531381785 +2052880637 +882997295 +1679137961 +1915089886 +2087752307 +1181962372 +131960582 +2078133396 +602830545 +1342768046 +2028083188 +147568044 +1419118379 +723629568 +1977307115 +974667463 +1507709214 +1589784739 +1780597889 +443655755 +1095409006 +961809676 +1039961034 +330061916 +596163394 +815361566 +2129234153 +1813405951 +1882482811 +1521369746 +1197304088 +1787879800 +256883394 +728958402 +1555486038 +197152053 +1910920774 +1687446620 +127801802 +366267671 +882731018 +8401342 +513835716 +154365749 +732030910 +343659183 +1129033212 +92256476 +1933443922 +762147453 +535912231 +881369280 +1723957130 +1575873266 +1211431196 +172636876 +243751184 +1193181701 +1986042828 +2126233995 +567067800 +1035863268 +1766630147 +823951194 +1764821670 +1174632537 +1021103247 +1528258796 +714595509 +1148905049 +1894526468 +1597326527 +1157306391 +260878536 +1751692276 +1889337301 +604537719 +733241840 +1981593778 +390497993 +1495389294 +370022361 +1271867273 +1071862776 +1945895627 +335814821 +1244499652 +42163163 +1528996523 +1083058832 +20913510 +2096064323 +2118922101 +1787543657 +772531869 +1736260123 +814692546 +1793635116 +1117035272 +1529288055 +795056518 +864078092 +979130934 +1952362909 +1124956628 +583339562 +1694216563 +1729494347 +1316581403 +1528326693 +2119992340 +664487049 +1898349054 +1244375965 +1736349825 +1696761034 +1580190786 +833365829 +1738924197 +961703661 +1916424662 +1759837708 +910284336 +1887863115 +1399897717 +1682816205 +1476639590 +67106616 +1328967674 +446191214 +1596394671 +2124024192 +1310269306 +428041958 +1928903453 +287742286 +1011381520 +1475636368 +2017236633 +180479275 +856479413 +1989745325 +844966324 +607344820 +1086637642 +433832501 +156622206 +519344781 +1267198331 +1895546403 +1481048442 +1036139345 +1507900463 +243849131 +776518812 +760314533 +1926665336 +105674754 +827421149 +1108149362 +551865969 +276332172 +1084689906 +1862135275 +704374130 +866109712 +2393914 +1715755651 +194262432 +2019630547 +1896234926 +1050741846 +1861892225 +593717603 +1658086666 +801046219 +1027550104 +1814708872 +1320391000 +147264787 +1562771627 +653955795 +1183404132 +923188443 +897804926 +1959922944 +1683502976 +676986614 +2065597699 +363440477 +1785135977 +469980020 +639772649 +722342235 +184631647 +1344146780 +1588451947 +187025561 +912418783 +1782714380 +59172461 +661170061 +685972578 +1921064686 +1254887664 +196575596 +574627257 +134954121 +2011284468 +1895018258 +282218908 +1426572447 +401490405 +1465623041 +202277242 +1299295331 +1278062337 +1885780218 +1976281945 +1196176388 +101737047 +1613934274 +1666156408 +741509697 +188792862 +1850788056 +2085656477 +1777244809 +2037813617 +850591612 +1412475541 +2096986078 +1511761673 +2098448119 +1870567116 +619165690 +147540067 +297710726 +754119811 +11340887 +45245336 +1036338719 +1437913335 +446735741 +354478112 +1640190577 +1746031072 +1632540450 +1378487148 +1574829369 +681233190 +1480224195 +1041279996 +199905951 +74250244 +1230072858 +2050694007 +12423073 +859834019 +1941023976 +863014685 +124825913 +1890526407 +227292711 +75790384 +1613609875 +846458401 +223330452 +1911320601 +1600578212 +234671339 +1956565937 +489433283 +1672584674 +255818030 +843911396 +1165291604 +2001849102 +328968198 +396295104 +1429194824 +1010201388 +1876519299 +322991172 +1210107339 +1950769544 +1553064030 +1113317698 +1963192617 +265414401 +906858027 +678723655 +390240314 +649900786 +906016366 +466030699 +116027013 +1752474767 +689361151 +2027347615 +1205569331 +924032490 +1836429904 +1695002614 +449133517 +2092247935 +391430362 +1614425121 +1946613389 +720398560 +2010720225 +1228324565 +1730599949 +1739755876 +1551315737 +793223640 +1543041772 +956896119 +1906541339 +1358750742 +1222310521 +665915718 +2037474397 +1612550835 +1315816504 +796007115 +2078581534 +1431843517 +400998234 +620459037 +1311707484 +1606567565 +1544491528 +1000653741 +1154086531 +1993625045 +945418028 +1545516894 +1460566518 +744547769 +118431806 +1323803095 +1972872335 +1849031755 +916075323 +1376704424 +494771748 +311633448 +186116896 +253829439 +1670384190 +1408427417 +919745157 +1560374939 +873494604 +88078013 +208898406 +804592491 +1519921530 +609896640 +1425051528 +684145367 +68980557 +822059408 +1684799108 +1223067088 +668200805 +482733488 +621100334 +2128767323 +1227281257 +739532141 +1305086770 +1052669944 +441080248 +73678446 +281890721 +935851996 +385311894 +468007617 +1189681435 +2055696084 +1876435034 +2109426592 +1468587375 +602445990 +50020957 +1677485781 +1407038481 +1569942488 +139898773 +684606362 +106604207 +208879330 +1506665770 +1791403315 +1431946418 +27382928 +126653155 +2053046753 +8666603 +1353934412 +645095246 +1313753374 +259120709 +1086175494 +1387431820 +541011430 +2022027491 +1772743714 +1009019047 +1064225278 +1680956150 +737970433 +1026168223 +1002059877 +1340416423 +1076189180 +532062010 +599971257 +498648020 +671960783 +1284577619 +605252227 +880840113 +643759741 +249171894 +165302883 +671142669 +375825049 +70865988 +679809273 +1729759462 +715961234 +1993562647 +1988880171 +1802136729 +1233510819 +382407953 +1676680572 +858770885 +1391427000 +593422202 +392243387 +2129397433 +1619590425 +1394303264 +1322330208 +548295958 +1926365274 +1922301465 +1046943978 +450842409 +1059395436 +1652196206 +1331682522 +1703155178 +1901368100 +1496985405 +226814199 +129709502 +1567851394 +906623472 +1859468964 +136328980 +752702471 +1700865487 +1938465709 +1986213290 +2083273440 +1467662633 +697500527 +1327216792 +2061084836 +1089743914 +1309130577 +1533191613 +336563530 +483977137 +2081487571 +115445156 +258794955 +980947902 +566287565 +1318190391 +485660460 +1897970087 +873861921 +239544912 +1247471845 +1100676121 +369254414 +667839591 +2007299593 +81239730 +804168571 +612518417 +1782105217 +595150633 +451248059 +1717895009 +2062813266 +1148748587 +897628153 +1976414454 +91008853 +59275082 +1362122420 +427572384 +543252220 +1296126343 +543017540 +802047175 +129590597 +1109305106 +2120237566 +615251057 +859791545 +846615840 +854795970 +2107263390 +1947291961 +1224050384 +627619333 +1807107906 +1305290115 +1431787905 +272142675 +939911684 +2026938538 +723390735 +510323046 +1942268156 +1872139322 +1407951199 +1771198963 +1963148175 +1467226282 +985837735 +243236911 +2010478502 +134480430 +786254452 +665042029 +264071028 +1895559558 +637795947 +879322085 +607867455 +1484411787 +1734118055 +567647198 +1284220100 +810684792 +1195266531 +943844359 +2115974907 +479570788 +1215987034 +908402943 +359025678 +1939377769 +1418725989 +153810187 +1664033443 +679193541 +1925009150 +1479697971 +2146419823 +763363237 +1722934882 +2009414677 +897843667 +361705686 +526973058 +1161914695 +109781596 +1164769005 +2041236781 +717649052 +501697145 +1627871188 +1285296250 +1785917245 +291072332 +333079133 +582277956 +259563591 +812649922 +1798264991 +1167966535 +1171675600 +1590159112 +439208876 +1325485787 +1106708908 +1118402417 +1103011289 +438923231 +1117338592 +1866374526 +14374465 +979269621 +616734546 +376080152 +1506242679 +1778649241 +485861748 +523528037 +1672402374 +1203510800 +1025225182 +1152789915 +341323402 +663658779 +1443862247 +674402536 +1245936736 +1703425839 +1487052458 +896718079 +723908726 +511244410 +339393543 +1163117602 +1836730198 +1446102451 +134036372 +792257839 +1885025682 +1251374964 +511148718 +1899400148 +83160938 +1127883264 +127996652 +1589403617 +759048857 +613858400 +2112931654 +283967584 +1817369201 +990673188 +1436757499 +11208955 +1654331968 +733136098 +685611491 +752785056 +289078289 +25180301 +1649503135 +1012987015 +536424712 +1988896678 +28620970 +225671262 +1287515482 +162657342 +1017929101 +1025057516 +1414032306 +1529077819 +776974016 +1497193244 +509477435 +904970668 +939113214 +1268526293 +1518829069 +904561220 +1552493877 +1188714622 +1895234409 +841767728 +1199923577 +1402082729 +1574903826 +1885535069 +7384137 +1863982116 +1910715370 +1656887272 +729485483 +299656434 +1498300302 +758106453 +525327696 +638332136 +920763795 +1543256798 +1663389653 +187312454 +924850969 +292880021 +1684505698 +1434328405 +1197850690 +476135264 +555371050 +569196111 +1380696485 +2107864927 +1757910733 +1128447246 +802149007 +810350662 +383046327 +229569185 +548402083 +390430464 +2093551301 +311633806 +2047317736 +675553137 +611290240 +1398134390 +1433659590 +1136617937 +2036466527 +206939738 +532391087 +1552372532 +394252192 +1457242056 +1845252553 +2078757890 +744086813 +895619595 +407409507 +1299457863 +1464815706 +1788105992 +1259839142 +1075242791 +769069590 +2061988149 +1885593454 +1152115917 +144073687 +286511889 +1542546381 +90141340 +598145695 +1442380469 +765694477 +1209435936 +693031211 +51870420 +198570225 +582014090 +258810158 +730961312 +2134386622 +653062350 +40719720 +1832155528 +584336592 +784806534 +580291475 +991746099 +2084264397 +2045107182 +632368443 +1196619892 +972866325 +1401438033 +1111124393 +710976131 +406070302 +1255198080 +997488021 +1948616683 +1345339421 +1595633716 +1243513504 +2111033898 +657586004 +1936544716 +15420670 +856156229 +371075158 +274230828 +1587117541 +357978133 +927293178 +1627837262 +42650013 +1511629771 +265160148 +622941488 +355892222 +201940897 +520565022 +988260666 +1398560789 +1493431348 +242215051 +362201535 +56923831 +648285354 +1617399615 +1054411852 +449418389 +815255388 +502561921 +1692931894 +778805639 +1160147925 +1481992962 +794226309 +2016304155 +1853068120 +1068457138 +1455938048 +63562605 +1995750316 +936291662 +106212618 +1359896439 +1201451810 +729154107 +1715788662 +1403392708 +1249719129 +556565680 +654469849 +595666829 +798780731 +1016671384 +652590661 +1447066085 +486587352 +1707002513 +1896484475 +1301842740 +62080786 +1441932721 +2080648379 +1222228712 +776442035 +727391041 +1091049219 +482026507 +1795848179 +399503619 +545589113 +1644114847 +1335795282 +651801731 +856527639 +389763444 +1380955838 +424832653 +1793156152 +483191320 +981398333 +300142354 +1078858149 +1780179064 +1316813738 +1731448810 +1079761502 +1803401090 +1290967676 +828762329 +957760183 +1353048462 +123211402 +890924914 +427793526 +899653437 +1618315955 +1518842745 +1381679944 +1266680486 +1918346365 +1927269057 +763311686 +1106657999 +431587141 +1619839325 +1496421443 +1812542979 +2044671978 +1142093948 +148250651 +878586663 +1442236302 +1227108801 +511282079 +611566392 +811073963 +1591043581 +267483835 +2102041639 +272322262 +1225244018 +1307606454 +395533664 +2116168932 +1735399980 +1295187101 +1587001240 +1106759078 +529383398 +706198078 +877621795 +309168807 +1469509764 +1984279794 +740755948 +941865441 +1333217589 +405815280 +839053771 +327827889 +554065931 +1717640434 +1770064191 +1781174732 +81438866 +234146936 +444765048 +1672482447 +501630771 +399323039 +1944804710 +1726874789 +1706929493 +192854726 +1695560073 +1294845826 +1488041828 +1135077665 +254121256 +2017425226 +1841275744 +1131743051 +179110385 +1163301860 +968539197 +919866334 +2105167302 +154273138 +1325681614 +796737425 +482101028 +1879747545 +366894212 +104681571 +1513438630 +448333078 +338828507 +1958203678 +2120815525 +840459278 +210043069 +1918136587 +419850419 +1916972563 +2110991314 +2115410493 +1064334741 +1451549494 +1103004510 +1318455997 +1321491072 +796796606 +302715400 +1500601457 +1960098467 +1271254597 +272984143 +1917782121 +1425527735 +1598665757 +567035898 +1907628763 +1330929655 +933930110 +2012310335 +696884637 +1382263188 +203655194 +507604667 +1355595066 +1044114473 +717647736 +1126248005 +1463964892 +487136651 +1089755671 +1431891737 +1551471392 +393821517 +387412600 +722443741 +1715312589 +1184209206 +1025159141 +1068430399 +996824025 +148930090 +1341414542 +767122498 +1574457826 +792596652 +1334158397 +1334602941 +2123526307 +120604859 +1199429628 +672927296 +1502868048 +1403084823 +1180531963 +710979466 +299715648 +1898179699 +1837227471 +1763680540 +237832703 +779499495 +1048088630 +1789304095 +1173321012 +1435501230 +364264189 +741149954 +472226788 +1389423330 +1809580353 +1469050814 +1538353421 +1003511247 +88689664 +965327599 +1796107899 +1422848061 +152446892 +1772150558 +1543452921 +1351876521 +297594206 +898837321 +607477696 +1478126169 +1609816787 +907193344 +1228822221 +1299560610 +523390236 +1466654924 +2079060105 +1571478866 +1108475371 +1104897470 +859496448 +1472739560 +1846047424 +1331723237 +714679243 +1508144129 +653290403 +105549016 +364171728 +741980067 +1070876615 +12795980 +17344481 +1223323507 +1784946538 +1560797402 +427716380 +2082540745 +312151075 +1035194076 +1413183266 +1921967862 +1942387420 +494521839 +1074044824 +318294009 +1961176763 +1005621282 +1889772875 +922168487 +2110518752 +601785676 +247424399 +1809082528 +1933508913 +962103642 +1169743009 +439315668 +1067652658 +1533914737 +1181295735 +2138529273 +1546710717 +1198640216 +1214369133 +1184173608 +611953970 +1642085513 +1119230705 +924105045 +529795942 +384930323 +698589259 +324699714 +879452163 +1772634084 +642993723 +693145278 +630771718 +385282951 +1615313765 +593806822 +987068627 +1862738165 +255405702 +773093892 +677358159 +1425148711 +1212409560 +1745010818 +811579800 +246221647 +1736056443 +210806870 +1444861864 +802941928 +1394980478 +2056815834 +297543794 +366727535 +833437232 +827339736 +751657858 +1532026491 +1152039450 +1631110021 +1157176927 +1795033174 +176771652 +1787948645 +32832477 +1792085417 +234271819 +1019901104 +1507339934 +489677521 +1792994996 +37214446 +1914826232 +857920908 +1782225264 +578922385 +1104142555 +1370798059 +789729255 +401520771 +26256340 +37226085 +310852958 +323800134 +403953620 +1144290190 +1151139870 +1155611478 +528833033 +155695672 +639237852 +1686009961 +1950728846 +816009504 +1326474958 +1983561323 +460611273 +1560746778 +855978779 +1967951208 +2050424299 +501490127 +2005165654 +1817766884 +1359411035 +1639907270 +249205621 +316069943 +863221681 +1038934876 +717590714 +889478021 +1076160961 +1028443672 +1213278155 +1480114581 +25250214 +216934377 +488242411 +554083248 +372630050 +1127480263 +92609561 +175875248 +1943489767 +1419084519 +11952924 +256617393 +832347649 +867931703 +77084953 +735288301 +1369421831 +2082250607 +405571537 +581349218 +1574674229 +654777158 +897419161 +290412262 +1693712034 +1615009876 +1179890284 +622389347 +495969900 +245684791 +2102503928 +521220115 +462619169 +443262691 +1075303363 +835249219 +1570742955 +1167912924 +1011124467 +1366749074 +439513795 +1023077391 +1623366467 +1271861445 +1891009095 +1700451420 +2007149746 +1112947278 +1635218379 +265237635 +1694296496 +1062408960 +920014793 +444232010 +1352821223 +466243179 +2059241886 +385227859 +1088632526 +407728138 +630912650 +1043652806 +928948253 +1093531819 +1486915497 +2004251616 +1928781038 +910174804 +1024680892 +792421858 +129440231 +1464194688 +1815499249 +1752806698 +588572485 +1559024696 +1305774471 +448238583 +524488326 +793509202 +713476218 +71301175 +1855918163 +1633491011 +515533185 +1061255738 +2099734190 +427291423 +1446483597 +1040883068 +835019561 +2077396247 +2084535874 +1763967815 +1023444419 +1423967723 +1620735783 +804741809 +186658880 +497933028 +1597163667 +316099111 +1962127716 +1265179269 +2068905809 +403216553 +676720317 +1227196632 +851455136 +1201208644 +2020705835 +1564931354 +1272509819 +1729140350 +1050938717 +1788043004 +642912440 +1003189259 +67850779 +2089396037 +2044072327 +902870340 +2019308636 +1981124553 +519354507 +895269407 +1257608628 +2140090291 +1700011217 +1444267508 +490539671 +1149691236 +1760366619 +305183739 +267386857 +1681788781 +708400292 +944107175 +761501765 +1559855428 +2145315819 +634723952 +977303134 +1270341990 +216380654 +2028241851 +910901346 +859293094 +883947462 +978752125 +801205483 +780536141 +1881622465 +673030472 +614177046 +253493325 +1568299879 +1871785674 +246099968 +1120827448 +1168569535 +736639639 +123035037 +781452506 +1041823378 +390421894 +315757639 +1750223670 +1334529069 +1077259405 +1162595450 +1332361240 +1711983357 +2139898584 +455219582 +1928364012 +2020656787 +1366120928 +640173458 +757120601 +197389405 +1441378942 +1537656742 +2079011871 +2114409414 +4350140 +185021548 +1535225645 +1876135814 +431121516 +508569446 +897221701 +1167761155 +631604483 +1678674208 +62100885 +1022026377 +1994431847 +1812324555 +209071799 +924207604 +827436357 +1541433039 +488707314 +819851293 +1996652622 +269587678 +693024432 +1215289902 +909761136 +1450145033 +1412679308 +203656430 +840318127 +1344207531 +170582196 +844668267 +1529229079 +1705807842 +573320433 +1960350595 +66893640 +1470542135 +980628102 +698498123 +1001732695 +1042728987 +1720524500 +848680894 +707569894 +1929596299 +1772888499 +1535006251 +1323545691 +114112165 +207373896 +1172714665 +383699843 +900398328 +240520919 +1293460979 +203059713 +1653200227 +1497117410 +1043377840 +849924110 +1667699606 +1888046107 +231669541 +1226023800 +313882892 +44536488 +1292917440 +1784425027 +1025164590 +1991415563 +638674074 +2067893577 +1564456416 +1487354969 +627979823 +1346569067 +1112759820 +15502426 +522631110 +1226871985 +222876322 +1695345775 +1610571828 +1123274650 +1935866695 +756549159 +1326334363 +1441583274 +106182921 +222228555 +144023737 +1773882528 +2110274662 +375693278 +852422680 +276673907 +420229767 +2145340121 +2061098934 +1445394357 +1989272036 +552289361 +1365804287 +1406244804 +2039644330 +1993784110 +605330224 +1004920502 +2009286537 +1127961334 +84308839 +84679211 +675823462 +1694880667 +1207953862 +464206509 +303946178 +386804577 +1905789783 +410129100 +609033133 +2049813520 +36527980 +571824147 +278023151 +888950660 +848498054 +698252918 +886807133 +762113341 +2143647275 +728595522 +1314402702 +1361967914 +2134840326 +1206563384 +1208268377 +592686902 +64000238 +1070071266 +1720648237 +148309077 +1154750477 +248988051 +1843189744 +215220691 +713194560 +2147135922 +602025269 +471500695 +409781374 +1211058402 +373830568 +446309354 +1782882549 +651853719 +1335260015 +483896956 +1350106637 +74583500 +1246010297 +1346270264 +803179022 +412929351 +560754531 +790535701 +1619492735 +1769022908 +1383222603 +1683492973 +691610526 +956387192 +1831802050 +1846361003 +1205375243 +1527508146 +2061581695 +1918569803 +1527160420 +516123316 +242586851 +1936941795 +1727181718 +616417419 +235767501 +1362580619 +1268271138 +1571027516 +1846477575 +470894127 +1645611017 +945004224 +1817164391 +301306391 +1357933575 +230435274 +1091842092 +829942662 +1999458182 +327581048 +365951987 +543585060 +1283968240 +50270389 +242462416 +341859836 +1577778535 +156560463 +112945991 +957455308 +672683779 +355532842 +746913455 +252381849 +971950261 +982680956 +1614962468 +92737751 +406224825 +1313956396 +563631878 +2051835842 +111476972 +233312622 +205658585 +1469410548 +463747896 +1297500678 +151869562 +315722431 +1625081726 +517821550 +859307491 +761566318 +568091939 +1101769907 +1103426154 +2145870475 +1258330370 +1216372146 +955842135 +1931014149 +1571904988 +1702755590 +35912350 +396371602 +537952898 +1650874819 +489109353 +944177723 +817347567 +1052741232 +848529917 +928824539 +1286053854 +1054188503 +250751439 +1749801750 +204205533 +402621002 +2065524181 +1829287259 +920442552 +777348025 +443369929 +1488534491 +1879117932 +1546796084 +1486921318 +989964655 +615684582 +295279805 +773495156 +40105922 +1998035395 +809407507 +436477524 +388504646 +312798678 +925586878 +1332682369 +1130146245 +1978328110 +33728639 +2058970784 +1116898316 +1087917142 +162238576 +719216418 +1292122675 +564859578 +637256952 +973926286 +1485302130 +1414604977 +1417296215 +826352973 +1146239261 +816608651 +165790644 +2136203916 +1432293233 +461070449 +762215425 +1472399156 +311622197 +1571622932 +1908876680 +700126843 +1884421610 +686979910 +2032809212 +867084207 +517824372 +2066537851 +778571343 +1634722688 +1006971345 +940809919 +206455459 +151610372 +1505669497 +843712411 +1125536658 +843487979 +110833740 +395349226 +1669840953 +1257073001 +1211957877 +1835631597 +1245793270 +496767463 +149218398 +2008008695 +1969166619 +460840595 +1432147979 +1730559651 +1160967438 +1169085941 +270055914 +1046293003 +2036170148 +787880286 +965347206 +667257843 +275119327 +1972318552 +1608067763 +481574786 +2123928924 +966253612 +1325287197 +1101981935 +1809741592 +1436120937 +1497331161 +1332098897 +545710290 +561805390 +1020246846 +1791503560 +1058572853 +1169465244 +1652028607 +880255824 +1630305840 +936692938 +463331828 +643789630 +2105778879 +733387742 +1690082633 +1994465379 +1521268028 +507946192 +514239575 +1796387355 +332781096 +2122307338 +130478493 +309226372 +941077302 +1455765690 +1411208307 +603335246 +744402979 +761055820 +1935434143 +1290113270 +1322861211 +808197341 +934133182 +233950416 +1977662586 +438678142 +1114206241 +1460484778 +1375371080 +1577538069 +2104274408 +1333666312 +163442163 +1646873394 +1180648043 +1684710191 +7335938 +1694887618 +1333613899 +340117034 +1669711308 +1464092392 +649343406 +463304963 +772374435 +2060551714 +1066640209 +1516777414 +674123886 +854590705 +659407036 +1996985097 +1662788046 +1593540219 +83451866 +1492966984 +2032218361 +1197658107 +805968114 +1260105793 +627712528 +762758875 +446288457 +791154691 +262148621 +1626936501 +328381234 +269484559 +1174340471 +1661995133 +609601593 +696568132 +978603878 +1258944999 +1159873095 +1750978313 +1172013065 +79029656 +1120272079 +1846136952 +933620361 +1779679116 +1695638401 +448924760 +1225735687 +1779090267 +1941891744 +1110470400 +829264726 +600376211 +223092545 +1456977254 +1363135086 +669381003 +100648297 +1625283707 +148833856 +429029532 +1894768266 +1323174327 +2091024665 +356886211 +2019742459 +922144895 +1615831210 +1032131906 +525639560 +640360628 +1111161563 +1645911640 +339013932 +2044781924 +1278107108 +2034652333 +346223036 +356359147 +1666258953 +140631133 +1466829547 +348040031 +741007344 +1689922092 +1805017286 +2104142430 +211819447 +1905665583 +1581942489 +360653303 +187211467 +1329227107 +1683827631 +130752485 +1686113318 +1556086442 +1052897380 +1154460880 +440734701 +1578536941 +1794821508 +1551896264 +1076964933 +2133835440 +1449194540 +207588393 +2021004126 +1795417577 +563947540 +1539779431 +1936048710 +2030777087 +1887819462 +529572406 +1573215531 +1545353100 +486231188 +1785034979 +1303535036 +2068173677 +2145688282 +1490746503 +1249917136 +1682032265 +1621498988 +788546806 +1090635060 +526912721 +1943007686 +1531369761 +2105449662 +1590345547 +935782377 +1034930947 +1576697339 +237493269 +1242519340 +1450217817 +2032910846 +1806466880 +842513600 +1821475908 +1689760319 +582849415 +203564666 +1115492202 +2128202515 +689795854 +753043533 +1284253903 +610485883 +751248168 +627516759 +1860403019 +285796785 +101532099 +501466177 +1376431845 +628444820 +296990216 +760317958 +586410834 +1887335763 +1696100335 +1621341781 +1316549454 +1933593605 +716377473 +619283624 +1819020803 +375360705 +1461797224 +1493013064 +2065121024 +2044646639 +1696577730 +1033129579 +2025365507 +238889937 +1786173112 +1162135762 +849375820 +389937632 +1789652521 +562295192 +675734418 +1891184621 +1063761369 +2052166263 +372145793 +1360751585 +665000574 +958556628 +1100603700 +213617261 +432414761 +269669507 +2147210866 +1148792235 +888953131 +1818748022 +1524152940 +203266707 +1164277438 +1441790317 +100429699 +713371520 +327436248 +2125795206 +952261457 +2113609360 +1140447320 +1801637278 +356063345 +782616194 +216448822 +1031797763 +526317167 +1280210191 +936480378 +898462960 +493478129 +1601480952 +1857019588 +1594081829 +1815098214 +141950702 +1863751336 +1814825432 +1290742937 +605220819 +1486089806 +667412229 +808487527 +502883596 +2109202546 +908917226 +1216255117 +289155146 +887228784 +21032926 +255280859 +2027676104 +1822670204 +611344204 +662808650 +2039119026 +1643141967 +1189125817 +1171845570 +432138697 +2087588778 +1665323699 +2033619650 +1797124718 +1111921880 +1701234216 +1939075420 +828189569 +1368576000 +1082334709 +1433410388 +707182159 +1749746939 +94414267 +1210065755 +1711465837 +1003331493 +278837224 +2000620984 +1890560277 +299870151 +108418195 +1770752734 +2122540355 +719762399 +286077736 +2014175734 +215420718 +1475203554 +1038537656 +647559415 +1415308684 +556377707 +533695417 +1064949754 +1668299587 +87445985 +856541527 +349005508 +1456021986 +1938876236 +1782415897 +15720497 +1541139527 +1876830164 +1225786252 +1105121717 +732678010 +1504623477 +958259053 +475754639 +1804493628 +1066677248 +99023725 +1779550335 +1786439647 +385101462 +1646242421 +2001860365 +1860305016 +537296429 +501936132 +1128130052 +1093674136 +1035631550 +45596158 +614490076 +1123077535 +902137685 +963495584 +431615873 +693530274 +598427833 +447336370 +87186153 +327774350 +1673122623 +1192307870 +1060452360 +1030262452 +3083275 +1536206999 +687272432 +1069760523 +1635230725 +319339119 +708716522 +2020332187 +1965581541 +563093239 +1733153555 +355394322 +1065029372 +713799959 +1449068459 +2100660922 +759396117 +2063558535 +1076254809 +1661533803 +879570471 +1507870683 +207580429 +1477998305 +1955207053 +294766582 +1805772655 +1480846028 +1487074453 +718741367 +363624832 +1490157728 +107464718 +1050897264 +412434604 +1742695443 +1370236384 +1121151126 +1615543982 +1188334277 +1684244366 +1201213889 +1543728599 +601790090 +1915013848 +845313410 +554967364 +526926318 +761388297 +1631222173 +40976473 +1640958769 +991609208 +248556902 +971473426 +799332614 +543323484 +629762433 +132694994 +2030397937 +1348503800 +496319827 +1373072018 +1455968518 +1547217091 +1785506622 +1051180314 +769969827 +759174100 +519240648 +1958304104 +295934818 +1720454538 +1354549056 +897724908 +1487984738 +52378818 +1452692272 +2014911056 +813767116 +936430798 +2055887529 +307242237 +1928040006 +156960783 +1278715663 +579888972 +700284268 +1908478096 +712583967 +583198557 +1109498248 +1208903794 +1956270575 +417983118 +608637237 +1594293549 +1469163432 +1378607065 +205984002 +1988404081 +1189427521 +501918820 +1561374971 +396492929 +1399643729 +901876061 +448871748 +704852353 +769303470 +1262638864 +1641283151 +677707351 +1569881101 +1421839510 +834668135 +701113116 +2001728482 +1534952403 +462107564 +566828801 +2118150960 +1571605812 +1775732595 +1926937888 +1989588930 +236886185 +1373747789 +1311268715 +1615493250 +1579731791 +1152189148 +657437123 +2081650612 +566080471 +1053930053 +1333810693 +1467956532 +1502801801 +2038663046 +89776354 +617957017 +1532462550 +767483706 +40354470 +806818412 +1602151841 +741467586 +661063246 +989620596 +1203575150 +1227892048 +960287908 +627697314 +856140995 +739742148 +469802596 +1093027180 +2113489938 +1781071311 +561036782 +1545738081 +785776811 +1218473906 +1479905045 +1351857282 +124920311 +666232090 +672330167 +1627722112 +557411489 +762106521 +98195481 +2089874039 +1529590227 +138549951 +749208803 +984258420 +880017537 +1410272049 +1973879016 +2083592687 +490680449 +786683277 +563806353 +1346821445 +1526425425 +1033608949 +292364977 +1492431715 +667196613 +853401760 +890686149 +1452973424 +2071875666 +223107546 +657347059 +49312329 +889339637 +1329677226 +1677034441 +1446751126 +2091783747 +1775229922 +1389141517 +1473890327 +1913779873 +2138350320 +310665099 +646313762 +1401138721 +137060468 +582422801 +1891819171 +923743745 +1146229154 +1091156968 +302685522 +32354455 +1383521945 +1795117238 +699551068 +89440057 +538319739 +5040845 +13832075 +761427285 +662387904 +63144404 +1650766922 +1992065130 +1740178845 +950034400 +1936365229 +1367925119 +191692269 +1262771908 +1134221344 +182558941 +1573437008 +1780535106 +1583697663 +1710497476 +215474259 +1328033186 +486757573 +1361703413 +271706506 +789443095 +1394057869 +1655228451 +437076685 +2093608937 +1744668509 +975396424 +2098649782 +1758500584 +1736823710 +613554038 +1821644989 +1240106984 +458135520 +1414340186 +42657737 +247017102 +634781658 +234350006 +1509789010 +1769003002 +416908948 +935742370 +1402054461 +2000606611 +498756198 +1617528720 +1181156149 +985513771 +831748486 +1452862655 +1774956867 +78322707 +960607458 +64549904 +24447996 +557792319 +1039946329 +2123097779 +168809256 +629286391 +589168169 +1990454245 +1869393375 +1047303690 +1257310783 +1912051112 +1294320792 +1892092441 +2146401119 +656626154 +1513611796 +415826419 +1592368525 +768182609 +268949382 +2091124723 +238227681 +1450105531 +929154847 +1069976167 +755484538 +556628066 +1148298874 +1716091996 +621177970 +1172746871 +126400668 +1661124299 +1148361002 +295209924 +142927042 +1737529171 +138180521 +2012320418 +637349213 +1395491304 +1776887882 +1931670005 +1140100098 +1775805353 +440812512 +506228246 +44148124 +2033181037 +1274410855 +313097506 +1976822112 +1512638536 +1763203037 +758493311 +435131056 +371203927 +1315121377 +1583429930 +2087295924 +1936299348 +608693153 +66212944 +1449939999 +1757054155 +361422868 +1592867042 +1347099679 +499603389 +1457703812 +1984448892 +1895094693 +1087108046 +1768635250 +887711143 +715429752 +61964114 +1393939389 +759577876 +2095145151 +520866596 +1072675383 +1924483615 +2033505133 +688394772 +535493279 +321152541 +1059598700 +1850614656 +1904582471 +999410976 +1639430356 +365791977 +1065623920 +941886708 +2122846132 +1427046788 +387270102 +1322462163 +1926650177 +1844973914 +1159427408 +1674261222 +784598312 +780579010 +414488718 +1500028064 +842543124 +1808428107 +112122293 +790204627 +181811056 +1184797676 +567204594 +67832541 +1873192448 +1102697873 +388985082 +785307500 +805828882 +146083905 +1784718476 +297775590 +511875882 +702858748 +1239662298 +487238367 +2129905536 +1626932400 +1809700530 +1909072065 +1324422666 +821644290 +1435849640 +2109020979 +1602223300 +1850338358 +1461565395 +297282776 +1511282817 +1573687688 +1087487403 +1693093873 +611001716 +1654691998 +1760926414 +336710517 +609906223 +2427848 +1122018017 +1415735105 +148511754 +759252846 +1713510696 +660387636 +1462111594 +805689346 +1147626003 +1444533483 +285138099 +809842886 +1206121900 +1609560765 +1631487176 +494487892 +1571098096 +1086226829 +197342602 +885179844 +1383509605 +1708625420 +311383884 +323513361 +1254235645 +922385601 +1978205359 +867678412 +1259096118 +440627934 +870106260 +233630487 +1856363040 +1018618014 +992883333 +1422390088 +1679005651 +307511280 +80595786 +679148006 +1752044763 +365733885 +1488990892 +810683015 +1975294651 +972994421 +1305170908 +1398909099 +2059221250 +1502513510 +136605295 +1295247207 +1063655282 +447989180 +1618760568 +170407280 +1370374781 +1449482279 +1038085692 +481987251 +1890110214 +1908191952 +715617738 +1598989606 +779326319 +1708501072 +873896046 +310848322 +2016012352 +954491832 +989996328 +1620573467 +1320225718 +331503573 +283772834 +1148036721 +1304497994 +1588943742 +399462172 +1216235596 +943973605 +536067468 +363999155 +2007628887 +984056648 +1982759724 +30552519 +206947781 +1284758355 +1068638211 +688935032 +1027384921 +829346516 +1404552770 +478890879 +1608672835 +965570194 +1352786925 +1919521157 +834098898 +159795110 +762033837 +307188717 +1480020828 +1093537410 +590961552 +480573901 +250551756 +32421646 +880036073 +1466787352 +976395251 +1416103541 +1830786508 +836540491 +252676541 +1666062584 +867093010 +459624322 +803337291 +1935731222 +1148559354 +1830722213 +617594090 +405628477 +162129444 +78783277 +1371198671 +1514916370 +1998304434 +57813922 +1674711480 +612854623 +365002639 +1007248660 +1706392034 +955964191 +1487822561 +1956943790 +988385838 +220374986 +1276247495 +1964781089 +1636478528 +959550355 +653837932 +1889155069 +478129291 +1520930943 +201295744 +1281466582 +1309178517 +1349855098 +964705147 +1926772607 +1755483575 +1126834592 +2005555884 +979198599 +494267314 +1856376670 +1037012521 +21495146 +321747645 +1402015160 +1028743806 +2028139679 +210495704 +369082719 +1837599822 +1198881542 +589457705 +966363669 +1016178983 +78452585 +1925914024 +1670016916 +1967607655 +256559667 +1043464211 +21419751 +1538026249 +205159080 +1371274849 +355247749 +2131931687 +979274777 +1482082341 +1990003923 +1958473376 +1976349655 +1698896945 +848002249 +1997844801 +2020644590 +102533761 +879104959 +1901300622 +313029465 +1248187678 +1591416796 +1511911007 +1837645383 +410296817 +380606343 +1916097969 +188727193 +2050623259 +1736221976 +445286860 +946603822 +1757641727 +1983313109 +1151762902 +981432928 +191077210 +1136210941 +1960707705 +1673159551 +978731216 +1771697433 +1502025558 +530144513 +472216034 +1352386711 +403305455 +574749796 +84008022 +157122429 +887779261 +1332195700 +1748539225 +252206621 +1022357436 +11352394 +632812964 +790971757 +200079587 +535952575 +379710085 +645366447 +1482556397 +2137351812 +481195909 +486835651 +971301092 +672273119 +1623046592 +784525150 +197949023 +454294160 +408738935 +1699974581 +984438673 +880954970 +904877645 +1387744128 +1455704766 +988885667 +1544866558 +196000379 +173597720 +1145922135 +448207000 +1195955156 +1157274530 +1081019964 +1986926913 +1357354117 +1616972539 +219153350 +2002720565 +952045288 +209021514 +336432826 +1438880939 +1180322606 +1008705945 +914443883 +1964847756 +1206654968 +1368738043 +226103044 +759145902 +205693068 +1107058014 +1664023547 +1593437197 +415279132 +505425566 +990820107 +611279511 +679023286 +2136742242 +1059486512 +1874978442 +1146533124 +2140506476 +1714421707 +356403594 +1609995368 +1933575057 +211640511 +414557008 +2142596571 +548073337 +1853437948 +1175435530 +1556779282 +620398183 +992799638 +615950603 +1989136227 +1218902682 +1375096505 +47345647 +178477048 +891636404 +1640782844 +593756180 +1397061970 +484119303 +1205035692 +2076085257 +473377898 +117038556 +1803580051 +1619911022 +110061384 +1370518111 +1976314616 +1720056752 +1156609520 +40471479 +2134613761 +1151722444 +588544816 +1840568061 +179674326 +2145324099 +313482596 +1172473964 +613791054 +155135175 +243892999 +1988887559 +202480823 +422370047 +733040315 +1843263667 +1016126228 +2130102285 +179899323 +73678272 +2058703894 +653277221 +190716828 +1714800298 +125704595 +300778212 +937834761 +2102019212 +2020834965 +2094444281 +2142490691 +2007965078 +1098683077 +583551860 +1701049491 +1278357403 +581392311 +2014532087 +303347720 +1195183365 +22183615 +547240719 +1036587276 +224664438 +969610766 +1769627591 +2067928105 +1985736994 +1752246228 +100343780 +2059415266 +1663466475 +753621001 +102648446 +1230783125 +879325597 +403426659 +21134238 +833861161 +276777976 +2115578519 +828868204 +137259406 +1066777949 +1412420064 +1838308897 +197651704 +1993812375 +1705357336 +500999424 +1041512092 +1727540951 +1048240143 +2078099368 +1952205389 +2017850910 +1700243311 +1872649847 +1856104256 +1305005892 +1972993627 +1768035875 +820988719 +579130981 +1870684321 +2051771844 +1458456578 +126627332 +2072906082 +144834091 +403405308 +2041000953 +973702295 +540664714 +960295254 +238638712 +231489963 +1157946959 +84967439 +1936847300 +1658946383 +1126479532 +1516904603 +559702879 +1057095252 +1321626345 +430070141 +609854916 +1046792544 +138690749 +1914860808 +872302523 +1906726624 +588365879 +1451433504 +1629927298 +492654075 +762406434 +1756554630 +418076509 +907240525 +12476291 +311593814 +1880942821 +553141005 +1271889069 +2119581533 +784630969 +282352380 +57065324 +573994621 +1941298763 +1183544856 +2090899224 +353517994 +93156461 +1265041921 +783588135 +703011377 +164350817 +922278885 +470388537 +1036653341 +681521861 +1058754416 +340603197 +163965511 +1551408491 +1103009632 +1920520142 +1969485000 +2010250157 +1932996433 +133595166 +1743709330 +338653790 +1405484235 +1715807215 +1123284759 +1687836615 +1772872540 +1697279380 +1481651731 +808933748 +1640694957 +1835169725 +902090209 +758253230 +471274213 +1605101586 +922604048 +1393553098 +2075490123 +1959257389 +2075074959 +986760891 +152376938 +91556823 +390685734 +1255386570 +2012076965 +212687086 +1118153080 +1797589750 +346282253 +714378762 +2136243540 +1751766488 +282702330 +1112044652 +1292119456 +2055574870 +661840384 +626287539 +717024970 +155051693 +313973616 +1619115180 +913304924 +785247829 +1076733118 +1835908972 +31317279 +1004739594 +1647682713 +2106392239 +1991500485 +1800059651 +50465414 +234702572 +907962574 +2062542379 +447389658 +2026115654 +1712648481 +793671911 +593010768 +1701408373 +397954752 +875713098 +665969377 +1690074208 +783804320 +1327809762 +168878099 +1500829291 +1482861455 +482851715 +972460823 +248682731 +1268099545 +2049193941 +2084591703 +1299416824 +906449887 +1584790768 +1258325415 +750466725 +1237366772 +1308790829 +985169297 +2145329346 +1223849560 +1432558955 +2023961352 +789014393 +78747219 +469488472 +342939119 +476701971 +1345201571 +1008908496 +19292531 +2129005891 +189234610 +188170630 +1482351534 +1672096066 +671022345 +307328709 +1920778797 +1939121890 +209039003 +1857886853 +1091055067 +1115488890 +1295193973 +201896834 +1865955615 +385077097 +1510687664 +703641264 +382922795 +587053576 +2136200220 +259400499 +1376067970 +67463791 +728888972 +1719007089 +544165762 +2074090543 +580431937 +563458293 +2055612786 +769666548 +751628923 +1390480673 +294278966 +1422651268 +1697809382 +67574115 +1214289511 +1906848385 +1925460968 +157860930 +874853628 +1073171294 +359757764 +593325595 +1458248391 +1870445428 +1296966860 +1841171187 +310015357 +1285683432 +2100571686 +1686083327 +1353147223 +681977010 +1257606768 +1897312985 +608583905 +1838038705 +313287630 +516713044 +460221605 +1064916553 +1907193717 +754500571 +340084173 +1457519451 +822074687 +1554373684 +1216884189 +600052007 +1712234614 +2091737817 +1673223301 +2071992379 +537579764 +983988045 +1794954159 +1834546624 +677675584 +2104969516 +972746408 +630763622 +1643569195 +178409983 +1312740633 +753692315 +2075722968 +1921324538 +444247373 +241526950 +290553934 +904468978 +1306443503 +50264003 +1658969550 +1646527677 +1507783455 +333560589 +1053417713 +577183996 +933612596 +618168680 +521438165 +459352250 +542677411 +1059017929 +1443340295 +190147922 +746080906 +2121015879 +147633791 +1718827314 +604295853 +1791202986 +1897237298 +1917036486 +397411654 +1825476618 +1690877377 +841659027 +2067003569 +1981431311 +1746128005 +1225963424 +2031695315 +1257613907 +725007453 +1391995122 +1591174496 +1778425167 +1969179118 +377303445 +249110199 +343133635 +836655695 +791787610 +1402151564 +132512342 +981935532 +748822 +106044573 +1129569323 +1719576137 +710340426 +773288662 +1469329787 +479893265 +1170700316 +1147322757 +23286994 +2012359343 +1066842678 +2004718305 +1611003700 +145322455 +1888929972 +721133960 +870329908 +1133441446 +164824808 +501271427 +955136916 +542128253 +750381626 +1298270551 +1378783948 +1542169236 +552938468 +1511296290 +376621121 +553687290 +1617340863 +1506190444 +125779779 +180197642 +131995458 +1595109566 +660090907 +1302695774 +594948676 +683377901 +1167571469 +1661791354 +540612558 +631091522 +1807113809 +282058883 +1352225482 +529960070 +1415500329 +1517050290 +1031231497 +223153598 +2059178544 +1781613124 +1521424149 +1290478844 +1176298712 +2074362617 +654291487 +1552919833 +480566260 +124148702 +911626630 +606346039 +304346344 +1043622088 +53971958 +964437251 +198834215 +648920634 +1647815152 +1366405684 +163228340 +40944063 +1997497206 +1970342150 +323002946 +1202239040 +352818572 +1738503275 +571805683 +1384050069 +1961656873 +483500579 +1018179545 +1335597375 +1773979423 +46994610 +1262476344 +280787262 +1599914443 +1743042604 +404935965 +364057425 +201904996 +709282309 +1407679514 +255876954 +1673719561 +1606513729 +904797588 +1174051065 +825435765 +1068025928 +1214995128 +675449324 +890884430 +1537998074 +1877688364 +1243703002 +1129017702 +302010399 +480269424 +943190927 +785510978 +1498448969 +131304654 +412006754 +1545443579 +1393780999 +692794016 +997874375 +989339955 +1097729981 +1361931800 +1191244951 +1807012291 +622127666 +1447121905 +1333248204 +81157747 +204435845 +359815621 +906593513 +1272461774 +1574810750 +1582042837 +15862556 +965325176 +1312247553 +1259565559 +2094342878 +1614257953 +1739834983 +890050158 +252285283 +1090800304 +1021354812 +664292037 +488760236 +267652163 +1357086054 +1486634611 +1256992119 +307332387 +701082763 +300753422 +2114344678 +1323210430 +1747875328 +1300109234 +1404368177 +1952311173 +1659924856 +163478042 +1077289299 +1087251958 +1745520879 +1093151856 +2052577134 +910284785 +205233767 +1999436365 +377059090 +1945068750 +742002875 +629344373 +888385406 +1763357687 +1293636411 +1377145642 +2031009851 +503238817 +716296605 +1140518322 +810571204 +1417379369 +1441271744 +777432235 +593106151 +1041663424 +2077541469 +1997474328 +846490950 +1589982677 +13468723 +1923780249 +529750987 +1758989602 +869448457 +434844474 +521790739 +1074682224 +286797191 +898849829 +872267326 +1028800066 +1528194203 +1760652733 +644674105 +674346966 +990314727 +528200308 +1177585783 +1706611333 +1668718630 +1988156987 +976507054 +962506727 +618105574 +1569613205 +2004170151 +548163396 +1419603885 +703177453 +2138146073 +1433072608 +479474055 +520413413 +1044578563 +1348922512 +955257887 +1566369302 +276121089 +1242055078 +317735484 +1148388415 +123371496 +1845929687 +761557500 +768045601 +372793005 +1751872228 +1296245910 +1550378788 +1310999913 +817480892 +1391052127 +140023319 +1779987619 +2009157702 +1709636524 +1636674123 +409837450 +981756761 +192367928 +400499875 +267345722 +671841983 +920913288 +1311924285 +2020764496 +1876171175 +730809939 +149401937 +970742605 +1048545423 +1297790352 +1094114101 +746991462 +2059347853 +1862159703 +1119784467 +1663736433 +1010921965 +522679607 +827252698 +1828402857 +1913731735 +967276017 +1460906829 +1775405789 +529428893 +950097304 +37759591 +1511185654 +1142465232 +438259466 +1778531376 +1814307216 +1359172755 +942972013 +1687588064 +1087860282 +1673781953 +1836990001 +2058602888 +574843728 +987296705 +1005233341 +1321835191 +899160910 +719909396 +294136010 +415413695 +1730831361 +816815618 +1242666393 +1411750571 +583063705 +62458762 +725173752 +210985846 +591887655 +1675271056 +248745437 +2103073310 +670252640 +687004903 +1734121038 +337076208 +2046177658 +529609404 +2024664272 +986554293 +55907709 +1714170625 +897673533 +630751437 +553983683 +1902906874 +1952586628 +1453144593 +475332623 +99238991 +1868558289 +58680336 +916054609 +963741034 +1470430907 +1499118314 +1026199797 +48121011 +1710104160 +1618087452 +1723392067 +1958849597 +1573677114 +246161060 +498370852 +1160314505 +583237268 +397064863 +1689923909 +460417893 +1383619156 +1745831618 +27104870 +133809041 +229099407 +581088553 +2036715915 +34202388 +2034233147 +364564890 +133441379 +1755307788 +423245227 +1049495988 +571565174 +1893676134 +401130654 +1597764971 +1941797146 +2111234814 +1068368776 +1517705565 +1922600763 +494562242 +1763866625 +273487967 +1654876747 +199620246 +670552830 +1197317008 +660038139 +2054171986 +795664978 +687143009 +40497379 +1024764386 +1268231563 +2077213295 +1058966774 +1154981062 +294294537 +1192408153 +762805202 +717539764 +94420493 +1334370376 +463732251 +495551147 +784651700 +258045749 +459302313 +1853020476 +1775751314 +234419428 +200099070 +1392134292 +507907395 +1854975818 +1591754538 +1178460226 +904809178 +104309029 +1085148564 +1700474157 +791452038 +1125645944 +577754895 +2059683601 +1055375591 +1636721669 +1067181015 +1349670128 +681646174 +1829986217 +2067209893 +776066667 +1016872946 +383458496 +1271617814 +1801524646 +641504245 +1730920127 +1507061474 +269771911 +1965339555 +1707160544 +1661906203 +325763302 +1414652714 +1106177093 +1504223528 +171978245 +1210486122 +441888445 +1872452402 +2001938161 +1567534389 +302723649 +1914138114 +475426332 +1939445318 +833835482 +1825096460 +473607844 +516338051 +1744822705 +1249674511 +1533210997 +2128281201 +373808677 +1187251995 +622301798 +2104728804 +546829821 +892073710 +1922584711 +106506718 +406496265 +100864365 +1521159432 +1512673359 +1605087894 +1693137677 +575675833 +2046976339 +1418106431 +430130346 +1467027080 +1720830080 +196784813 +1942453412 +1512791750 +1030620295 +1620066224 +1986399594 +1546958346 +1217405282 +1088590457 +932685696 +1198202835 +1462399134 +2119937691 +1820504634 +1419644290 +519283865 +565094696 +1194745353 +625790583 +971590961 +1295609719 +2146950015 +336780672 +753213965 +1692604045 +912456506 +652706656 +963226828 +1342586852 +2119733736 +536573261 +1539371665 +1914703500 +2049365011 +422508312 +1387286076 +1888280958 +1969466659 +457207710 +829387767 +754668707 +1655410546 +144303254 +727122750 +1328431532 +1563947544 +1246406615 +1893526228 +611209250 +1872197198 +717633541 +1906818969 +1871663566 +1054414214 +512549286 +1416783963 +1966870720 +1165255942 +232527143 +1161973924 +1137506030 +769100404 +553861942 +904725882 +670981768 +976370254 +144528310 +411779078 +798353265 +601736021 +1241166845 +1553021972 +109662919 +1385470099 +132661075 +1438094451 +801933996 +1379067690 +1184137031 +1413143246 +1103781241 +1901770572 +1172478567 +827961159 +808701138 +1685027853 +97261474 +628088210 +702800147 +329788617 +1790062135 +1840306177 +1098889022 +196440429 +597548411 +1769870790 +1172810683 +742076721 +34166220 +1971163949 +1343812742 +1275333065 +1376702273 +1453475661 +513319517 +1509363348 +744086464 +1315253513 +740947391 +1928223495 +580913111 +1844728632 +1682510420 +1753391678 +525206143 +343727910 +1290935883 +622467617 +971816121 +1993736030 +952256234 +614394608 +1686558559 +2051145256 +810835037 +136623322 +1673532398 +1983645720 +878700043 +1707698618 +1807326021 +75029138 +835548036 +1036544647 +1528504799 +1348867553 +398424347 +125107616 +516637418 +1139371738 +2053331111 +1097550529 +836616722 +1588357883 +703458559 +1361822865 +1932085794 +1994394442 +1984290482 +756418267 +1840646824 +789063069 +1370812875 +1379721735 +692724677 +34164264 +1516345057 +218773428 +2017809984 +247561452 +1926472046 +1677652358 +322590590 +614536434 +566713357 +1851095390 +1963403987 +965137704 +1976203006 +332557757 +2104509443 +1882050469 +1430108286 +793642517 +1322924705 +2133566845 +7981735 +1107526851 +1980477639 +1992272217 +1863945118 +1673640815 +633851638 +1087274345 +905878902 +1326576316 +1121438609 +274740311 +1545349744 +991764945 +522301764 +1324338142 +521933655 +844892354 +1938874577 +1088647012 +548504096 +1754794916 +2053784717 +377223454 +2087352674 +2010810512 +111790276 +1369977312 +656969381 +1434714981 +1356060510 +664951116 +394758184 +1189054501 +509739686 +111219654 +715211669 +1143591324 +1198493999 +1621090571 +322683992 +172448960 +1895830883 +1868033736 +1164213905 +270648999 +1044888231 +1686147561 +1115541353 +836279160 +627310925 +1664045450 +443590428 +533611994 +2041268904 +383459454 +396938858 +5575532 +1753436767 +1053908240 +1440290513 +962013629 +1718859356 +1835048697 +3584482 +81115394 +1946268351 +718796151 +1224706719 +997278702 +192403075 +1547390711 +1169727662 +2088233958 +1267940800 +186457920 +211399309 +165345383 +1872605481 +1326940662 +1001624543 +352432758 +843502464 +1445214971 +886044753 +737287721 +1828674426 +1282983611 +742863253 +1434627545 +189408203 +35670119 +249157526 +1908267560 +1870718816 +252742008 +1989382954 +1669503520 +971538160 +1066606025 +519298574 +1163941235 +466513089 +1689026237 +1104691545 +1734453889 +1875484157 +1316090854 +1899799272 +1600605990 +495547868 +753940167 +1953038748 +1339050333 +51671490 +691599853 +2076338054 +1880345916 +1974583465 +671717659 +1167489813 +16508020 +707387778 +1416647339 +1924775580 +430622947 +1669389348 +1766674887 +2100126467 +493443860 +685797264 +471941393 +1657385095 +1152310353 +13483982 +614592992 +739280594 +1888968139 +1930683846 +491596218 +1342090481 +278748066 +1245536385 +1147645582 +1617798399 +1297207876 +1839245435 +1546652805 +1030070144 +1666345252 +70886817 +50076310 +1682853273 +778274595 +1466723649 +1460145205 +1208897542 +988629349 +1079336444 +1161540361 +1482073209 +1765133709 +1633481755 +991974656 +769960414 +1646965737 +1606567648 +1509241009 +1388450229 +1389767846 +2000837227 +583057062 +1668515913 +1098889965 +1730702644 +1138830664 +248614193 +1422464432 +537999822 +1278684337 +941326036 +608886639 +1328760647 +476695661 +1387161234 +648000649 +1936840867 +448575129 +1636629998 +868693663 +1610115490 +971219560 +486343724 +1096113597 +1963194216 +1256304139 +595595687 +1422278217 +618061500 +1984045916 +664562415 +471415079 +419619330 +185594680 +1570305044 +2838327 +1324425345 +1818919237 +1425302759 +1862425167 +950119927 +219145147 +323828158 +131396926 +695840809 +1710989392 +779397575 +485198028 +12080873 +268543926 +1353891691 +1622196364 +1239763486 +1840235416 +570826313 +1055474054 +949055907 +1166422000 +330268623 +1567117407 +1002984268 +994831039 +2038532486 +1422603599 +1180425719 +1461353883 +1425441926 +357367416 +1132789472 +703261037 +72308935 +2082909399 +922406184 +396137093 +66822678 +1618246993 +2107126486 +846220253 +2103445021 +2119207359 +1114764179 +1309853065 +1593920075 +207044017 +1002604833 +17262741 +1262518072 +1951660740 +1183684741 +1592786695 +1371294499 +39185362 +440134086 +1262343337 +1461788961 +1620559806 +576213572 +739747239 +1977927222 +1709003045 +1443008276 +2050236158 +1644428796 +217930812 +298889603 +1711251474 +1836177806 +258532441 +409988080 +1792139179 +230256153 +1524752259 +954508596 +1824176228 +1731796277 +1957113429 +1841438969 +846830701 +1761290521 +877640063 +292133748 +985101372 +916825425 +732267835 +99961062 +231130738 +205343993 +676174634 +970877977 +35787567 +237694031 +266402605 +2086023725 +1882122828 +484333417 +237429681 +1445890654 +173027575 +495962122 +1855878734 +1965166755 +726218275 +1233147346 +772191703 +402910856 +817459975 +581821485 +96866177 +1664290676 +195628358 +974506240 +1956424424 +1180729731 +1891331665 +541208611 +1280690793 +2122462403 +746552604 +1956865427 +945856732 +782340172 +47075811 +1212259337 +720880249 +1929198639 +1696592755 +958309930 +1227605645 +1869620330 +1454272053 +936000732 +1687303437 +33006680 +21664430 +312011493 +435917536 +839124405 +893832978 +532783714 +355931433 +1089461336 +1507289954 +164872209 +122707419 +1251137972 +706080821 +1403398212 +1226116727 +1452633425 +1212779992 +24489812 +87489949 +1259855803 +1236749149 +808370199 +1041570794 +785858256 +1766680129 +121692791 +507994939 +1073468534 +1057693523 +47814728 +1106475215 +1079357953 +359826221 +1542392751 +1918482358 +1253659199 +2075176465 +126930143 +195636888 +1434982772 +291802353 +318344307 +538637096 +997883174 +1721742520 +1764753823 +303032951 +787038864 +1789243635 +390522901 +2046894667 +878509137 +1198893100 +940981813 +1664367393 +818089581 +1062674604 +24878684 +1891558116 +2120368128 +72693413 +850549683 +1052242433 +432519634 +245458786 +823241144 +1686178834 +173151604 +950171287 +1881815722 +1608134376 +1241973640 +52676381 +2146771472 +92373166 +1774418901 +1764041647 +395406118 +413974117 +1405801635 +785929019 +313385136 +136827124 +1984822119 +1254366949 +1801194517 +655428052 +169557906 +1826073202 +399502520 +142442386 +1898766615 +1250052203 +1194684819 +183802601 +1495510990 +2017925963 +1869981435 +1668662594 +820613603 +1604313509 +1129313322 +2062587243 +1656989891 +1128601146 +7476762 +1283925144 +745159145 +402882880 +1697899262 +3477132 +1188811899 +2011284398 +140304256 +1026150370 +1118167700 +1941498774 +1681578422 +1287725606 +1620088328 +2081080943 +1430167992 +1371371295 +1183649498 +477369163 +1555173896 +531676840 +347811479 +1277671684 +52855786 +1168425082 +734501545 +1182169108 +1083528677 +244007788 +163286606 +1091005439 +1527932933 +908445752 +1493888319 +1078348547 +911922884 +535216570 +942149297 +1052227141 +1561366940 +2060316997 +846242267 +1095461715 +1200558955 +318846947 +1029059010 +483243299 +1690218242 +65224860 +960612463 +1097908490 +596901701 +1308423942 +228096526 +649757487 +329365376 +962598072 +1831926596 +1412894053 +1206605860 +1995213202 +356415845 +587055145 +756175306 +1850304164 +1665403692 +1668098191 +238037087 +460069342 +572841684 +1799404027 +372902691 +1419083951 +747382094 +1573461647 +1737930898 +1776441104 +2056704946 +1280665492 +1841665965 +869833761 +231090334 +291084018 +30774055 +459186861 +940841505 +360139431 +1421784933 +625284453 +1773033485 +480907145 +473014008 +2129449330 +1067962291 +1229189314 +1832269846 +585882335 +749803857 +2070306933 +1045951677 +1322645541 +1722227313 +1418854369 +594245844 +322125759 +844832368 +184693094 +2098566864 +754053666 +1465358586 +1792749181 +1623887428 +1696448921 +2083833199 +1654661483 +8152134 +877191056 +2014800915 +1429937067 +1502475510 +1640350752 +1910844212 +1975489518 +1622316434 +831322855 +1057195184 +1307102632 +1417205191 +1806999042 +1229925918 +315673220 +982160935 +804669583 +1734527589 +1576406780 +1126795342 +431876309 +1761099874 +1077878558 +1185929976 +1078974813 +723144091 +662333756 +627940086 +659493642 +169511591 +636092220 +1536684699 +36828858 +2066029287 +891676561 +1677179610 +1829389851 +719682431 +1152012396 +513229059 +1776877615 +311631381 +1930434250 +1436393009 +1541557299 +98623822 +271070297 +198743234 +1833151412 +1847477077 +1325538576 +117544073 +1461093303 +255933487 +1303474049 +392584468 +979077578 +1965807805 +1020524554 +1638571221 +2135319397 +1656616774 +1027772272 +24664607 +1575162413 +1919448833 +1701844218 +1257068617 +491647616 +706372966 +1770297676 +121041583 +1018004347 +1553248278 +1557434593 +412077998 +1651872100 +1828504890 +610821232 +1337539864 +1528498319 +1936359809 +1455083938 +842107974 +44809648 +611074339 +1234692443 +1023887226 +429398497 +107733349 +514974799 +417234246 +1764350124 +1542747071 +441898853 +1192028889 +1314712256 +2143743071 +301613858 +1806359872 +702632390 +2071911534 +1927401456 +1720636737 +1477676164 +1337352401 +2132714736 +982064617 +1018373643 +596052320 +172120833 +399388314 +384928481 +1627204771 +1241496288 +429738129 +90795463 +328705083 +1453625356 +520193960 +436438433 +1968600155 +937428206 +53304909 +1363863579 +1379327059 +1245333798 +531092187 +1375586483 +1546947657 +189968412 +2078218873 +1471375543 +2117369868 +1651371962 +801568060 +1307238621 +1636603050 +1783632677 +178128616 +85171723 +1955753510 +577516930 +470100204 +1435474634 +1819013218 +899838334 +1526270097 +234654 +205980042 +2046464057 +436673087 +27096549 +836408615 +489977996 +1390960128 +68252026 +1735311794 +1922052316 +1443838509 +1134775803 +2112020728 +1374573734 +458667699 +2081906948 +878462049 +1260235759 +1241661921 +367581451 +896384788 +1419790537 +452753174 +704654650 +1997307467 +922853379 +2140129284 +1668837037 +1822691713 +1518915733 +1669071691 +2028671755 +1417896142 +2105744778 +2055768304 +106821109 +448239126 +1299244785 +175073136 +36067273 +1073813453 +1618911645 +1170843076 +1038350533 +846001732 +1629510775 +972773833 +1724463781 +742262886 +66952106 +2092045232 +1638647674 +1486742643 +397314759 +195818677 +1336566462 +1320168138 +188464313 +857919851 +995376203 +1707380047 +379507895 +876564310 +977792541 +337769025 +784848966 +1084613651 +786008152 +2084093751 +1259686787 +822075425 +1010423556 +731114784 +1992918501 +2048774089 +1577116516 +1474945629 +874064274 +1154096649 +69724867 +941016380 +1098658234 +1708372542 +280275375 +1495972993 +1904191219 +1616841837 +668657483 +2092655532 +327278041 +1664033686 +1652551931 +706785936 +393114348 +482860825 +1044554961 +1177963314 +1567474476 +1830563113 +1114573418 +679677615 +505154890 +2124996974 +1410792399 +350589744 +2026287416 +840425268 +1825535373 +752868042 +1994521917 +1895260240 +1693884423 +945696503 +1456149134 +1974159798 +294185848 +1212856705 +1443517988 +962843331 +1158028590 +1770796029 +479393369 +663096873 +330098317 +872507717 +1145957698 +1374653278 +2050471032 +565948526 +1057732744 +1017560802 +1245626141 +1562887634 +995074128 +508934893 +1913477378 +873877896 +1349360161 +1591529103 +1626745939 +1196398430 +1339305696 +1173146714 +2142094934 +647971182 +999822864 +288797134 +1860827888 +295857204 +1251640466 +871372830 +2066653233 +1731033835 +1534469703 +249267902 +456057905 +532943754 +1623921181 +359045289 +1098892280 +534170277 +1376606091 +197034774 +2097057911 +224196571 +705969667 +1863051642 +1098074468 +2055329828 +1307097097 +577336759 +1104244610 +498919145 +1750483473 +1098855896 +1146890328 +602822689 +1387653031 +860234568 +898679894 +491809849 +1731607398 +817849479 +75360036 +1118593453 +1067117382 +531417941 +1651537207 +543554915 +890463230 +602945840 +1077725192 +119585673 +799980614 +1027299455 +343782245 +1505950281 +742867449 +1441856713 +1413796461 +2049964547 +2019193472 +370557423 +401400044 +1622193297 +1469413320 +1548290372 +77532338 +709582703 +261041292 +976212232 +1201392552 +1992648690 +1794061712 +1276752588 +963758496 +713695446 +1808170530 +467812055 +1257250361 +551150112 +1070757895 +187491905 +670735786 +1870738509 +1214791360 +1014518031 +1229205142 +1957658810 +308891096 +495517955 +1860139709 +180600920 +866075379 +114056105 +1802794217 +188005051 +1662346478 +1880326555 +897587754 +1923387770 +709055140 +2098980306 +1768552813 +355633204 +1228249246 +584827661 +1069328650 +888936128 +1052639716 +179095363 +1440086241 +2123397612 +366587268 +2110822027 +1846652473 +1581378628 +977856410 +928373968 +1391553790 +1286747506 +1423891923 +1104209851 +1467348426 +142483654 +1218265957 +1122658995 +330488705 +733128787 +855501902 +1228076459 +509032909 +1564557042 +1179573117 +130102074 +1920190246 +260338716 +714929735 +842035248 +1149274844 +1767569452 +1021130611 +441877437 +1743483416 +1387717879 +405215816 +1442652241 +821612860 +1383072226 +223542561 +65683002 +522336084 +1647434485 +1169892854 +1989684510 +1789918139 +240675163 +964859857 +2120406845 +973803950 +1820361760 +1200999656 +1482836859 +1237435154 +233089126 +1612938934 +1010141753 +493427842 +180385021 +1852177001 +1642702686 +1947954473 +725823965 +2084580124 +1543954241 +2113541844 +342312292 +839122835 +787671056 +1725384519 +1062665396 +853354059 +100236955 +562616233 +2023246913 +2089921466 +205050725 +116438428 +907297675 +177973922 +1090242378 +580175787 +1378973578 +425595589 +1817610942 +1612062704 +2038534523 +680269047 +2105490546 +71435897 +384962400 +1600709585 +2019390370 +1110786365 +1537806061 +1415860964 +1076844562 +1880118353 +107500151 +1864515618 +1458019224 +1170165547 +570386029 +1558256180 +1732781781 +446149294 +1500693998 +1937832506 +562587722 +260508025 +2115806428 +1652830100 +840683813 +1347296358 +2078425690 +510811107 +811875415 +1969476565 +1191080154 +769882313 +2040912462 +1576042554 +223108250 +1912819185 +539345272 +1760914311 +1181196501 +1616189834 +1493549017 +1288696652 +1333221804 +804084593 +311378551 +1903607834 +214857125 +2044160332 +202273480 +1715551123 +1834509190 +764861203 +1976059149 +1802831970 +270207655 +669259314 +1002644681 +201149697 +1180070421 +1814520096 +23142615 +223666927 +436918761 +2064055077 +1799709481 +660027012 +1829390614 +191571105 +273457675 +863103467 +1807760939 +1767006692 +4316471 +993499096 +423607638 +315695023 +749623282 +638464763 +212371707 +951896762 +206532239 +2046880898 +1716757965 +35107740 +1702229220 +1986965621 +704367054 +557390253 +40631670 +1884437475 +224426701 +63774285 +2108104402 +661345463 +2127829363 +1760330235 +1321372475 +1809736329 +1951901341 +1594830150 +525356149 +1612178632 +1214353195 +529672620 +458194080 +1637960833 +845367643 +1207817362 +128941948 +1057739351 +12230477 +335474187 +957136601 +1728988442 +370581927 +511882173 +1568470415 +1074948981 +1069272427 +1609102086 +811902808 +1293699128 +1672876371 +772523562 +1955044591 +1653222086 +385370150 +1128933418 +1315474768 +189787843 +576279921 +1840830917 +1801966475 +1790633116 +223019889 +112676908 +1281110301 +1068387533 +1320494270 +1410052249 +2126126884 +1332724747 +1745526437 +935779837 +914229542 +2116108364 +1447662010 +335216309 +1043573698 +369450789 +1944318395 +1855476506 +1663149918 +1469711119 +480516421 +1470710861 +975449557 +865886571 +452160632 +143440677 +1055674414 +1028440553 +1984271594 +710157241 +671590021 +59807836 +822834149 +1952700322 +1128195369 +2143328420 +1215268923 +1106838605 +1328569519 +813311712 +2042618442 +95315413 +781936429 +1342796804 +430531723 +1825510127 +1712247594 +227366470 +1533502985 +1227913864 +1697077589 +2014019406 +551141077 +525043499 +732422329 +1003301709 +668484176 +1788096743 +2031742262 +505272123 +350770337 +555848635 +565079959 +1173604486 +361065309 +1693275328 +1169449258 +1576334233 +652630285 +350535130 +242162297 +547765079 +445850543 +1024098726 +1890561883 +876382266 +702125205 +1455325829 +1103748737 +88144543 +535756045 +653342678 +2102163949 +1086897123 +1178386177 +687102631 +2090198832 +1846870354 +327715726 +1974457447 +204658829 +678486063 +382822434 +769738788 +1852090550 +743887744 +315530468 +874056160 +172738329 +968160753 +1224591290 +414900626 +1515925832 +1670441834 +1438999353 +1259004067 +399340452 +2141124558 +566846249 +1503089189 +81785453 +1102602294 +8948220 +36465755 +42015769 +1187334397 +723568386 +2132214602 +886721103 +1051284112 +1959188401 +1091379932 +1729770176 +194527187 +1861118720 +1434377078 +938414931 +29165540 +160949590 +1111153260 +997326293 +1385540881 +1526053887 +365768477 +908499067 +817569592 +1624772545 +1307839519 +811210502 +44135146 +663445061 +892995956 +1146737440 +672393281 +929461711 +1188753210 +1859727678 +1653030097 +1173484164 +598965134 +556830561 +985188917 +1690345066 +139117089 +1179716104 +1403980139 +1573494167 +2118131036 +1433145679 +1734443758 +1081800648 +282988325 +972500991 +460370887 +648756802 +1881000058 +1277940479 +126045699 +1041355929 +2089150982 +170180845 +1704800990 +834663290 +1316918286 +229710623 +1764125001 +358187848 +2089438302 +1269671450 +1531672012 +540919788 +1826502011 +369377281 +83781206 +1965619101 +1549093385 +1487761345 +1391629620 +1519740773 +773423377 +978589730 +454057774 +1056411702 +1951090721 +914428661 +1705168504 +1684607131 +44885493 +1831214204 +578479413 +2134036475 +2001395049 +135796755 +821216117 +1170829687 +365507379 +437857470 +1529017535 +307462033 +1707528920 +913205899 +848381821 +1386547283 +1282583180 +932163027 +1204682736 +684192918 +272440725 +448828709 +56450043 +1045864102 +1427418439 +510507817 +2102275804 +1231025513 +1424936479 +1659960660 +768148996 +1469821972 +1343691216 +1346628409 +1456374799 +1197602618 +1482425165 +130107268 +220948657 +1847932544 +567964738 +1749966193 +7910929 +128010010 +515688444 +856292750 +1514557293 +1798271625 +1788455777 +571756382 +334980895 +2060896502 +1020585091 +391430938 +959276956 +300519882 +901938756 +914069112 +1531545395 +179391587 +426546125 +152210744 +1649213559 +1770237341 +1498839153 +958104710 +820356311 +833780670 +1088211978 +1041304969 +534229566 +1656176716 +643787514 +542140495 +1784186726 +1159475958 +1398433245 +1151260371 +810263935 +1039405375 +1723016753 +1145244830 +952818229 +596118196 +1536675769 +1912095186 +896638079 +291130877 +678680650 +280699826 +470522464 +1105226775 +432910570 +2119736023 +727980469 +1931749724 +930357085 +1548336780 +618046746 +2018569063 +442158101 +1152276313 +1527262131 +1085945615 +1694416808 +1163965209 +97937926 +945366406 +167741932 +908201861 +1984771781 +1890758686 +2053446692 +790106362 +339393234 +1442638813 +554717900 +1236031313 +1733769690 +1233398551 +1516731140 +56808506 +191141678 +1949641710 +29060881 +919122147 +1733907786 +959417966 +319975280 +204470885 +830503381 +762133381 +1356747198 +210281864 +1848078997 +903680358 +1374247073 +1946016923 +1849046764 +1541989005 +706735136 +1686334897 +1285264043 +612698180 +328957612 +1624657278 +2055336993 +883675512 +713204943 +1641623035 +2117074063 +82452435 +1698431541 +160732094 +2032094146 +1727492422 +1079854241 +1618518284 +539426740 +1399829521 +1822989169 +1369930121 +14479255 +1032252719 +1580211985 +1862558252 +1935933078 +806975410 +1661091527 +1637496194 +201480768 +220343015 +1176347444 +1486744811 +833041196 +1505305056 +963918441 +740894541 +241496920 +1677123385 +235033929 +211087336 +1759575820 +1933465470 +371819430 +1644186318 +1513474245 +1451673671 +1115220955 +2052900985 +704019545 +790726476 +1275347459 +718498800 +1822979196 +708075796 +433573404 +1611428626 +1515051207 +2094664931 +1101441172 +1716531975 +167524298 +130304968 +1055793138 +1000565494 +1635610024 +2019711580 +1741460036 +1877106945 +1549351317 +1976493965 +2088194281 +1161443489 +1762475787 +312530063 +658146160 +1128466384 +1764203734 +1773367115 +1033883722 +320739631 +416609943 +161747533 +1039238431 +92105491 +869823329 +1472811835 +1703534117 +237390888 +1419993118 +657491642 +1953922863 +1587517417 +787796610 +862232354 +440599263 +275922987 +734460286 +34575651 +5546284 +136327955 +2011069616 +2093740565 +1297771444 +1626061756 +258786980 +1955917604 +607044492 +2022990714 +1581801071 +1640928214 +196246698 +1998411015 +1802675747 +1235485129 +2090516506 +525015429 +560813317 +1646566976 +762406317 +1980806435 +156574970 +568845533 +1420840204 +944371580 +1431077887 +1861439468 +1220294567 +18054525 +1896015119 +1225840851 +154382480 +1759601088 +1172097768 +1452153924 +1238179196 +1430884748 +1260587881 +1845223688 +1306391815 +694905304 +1338668255 +1502638513 +545832671 +993860354 +590639994 +488865530 +1518875783 +1151453311 +2135432506 +133798453 +984776099 +144523828 +702643986 +258132655 +1088895408 +2133721873 +2119572123 +161706328 +4292750 +1868103595 +1387547179 +158675230 +1480221035 +412161300 +1610829154 +570916583 +1843046048 +723933387 +268656623 +1001954215 +1418838692 +1607324878 +357109080 +1964671363 +453701585 +947749075 +306053245 +1972577368 +2099202386 +294002103 +2106375821 +936494837 +438525931 +661536159 +1194627493 +1527421340 +647774384 +1166715968 +1689127668 +652067134 +887335915 +929191199 +810742364 +220073302 +1341352499 +274087871 +790989885 +1036914900 +998021258 +1059646509 +2038869115 +269376302 +519487739 +248494548 +86564018 +973189324 +1196243623 +392617263 +798283045 +1147962361 +686619367 +757175218 +2084457199 +1125145298 +1418711378 +1131601044 +505082990 +2066485762 +150833364 +46727010 +571069249 +1038169280 +975918210 +1381811613 +1258242582 +169787061 +1655899484 +2049232468 +1206701961 +506437095 +961395329 +1098087429 +775813397 +1480883068 +1346581977 +862377415 +306588745 +395341952 +1254994679 +1104871790 +1543304313 +1941614046 +1862047008 +1480277864 +919275696 +1133274738 +464395260 +1424358687 +1052276853 +615228625 +1471085697 +1623346102 +1653397905 +299520259 +857674067 +764156839 +469307321 +366089904 +665905659 +1676009282 +872526999 +1627300988 +626613063 +1648340396 +960700409 +1973195040 +363234164 +1267289154 +221053344 +1618228843 +224677296 +1764357658 +1412359241 +2086724304 +1097151874 +184151289 +1072515395 +1561547135 +1608509976 +2124792248 +29292112 +932112026 +1600654702 +1682690017 +1231632285 +310845121 +299363208 +1700939606 +676935025 +965268868 +1229465241 +1549462024 +445086208 +1856078304 +1050318773 +1405786617 +1681789697 +1413552937 +525592123 +1902843041 +884298132 +750269419 +1519717051 +149173725 +689510076 +469385278 +333325014 +1762025471 +2030932413 +1941834991 +1739334071 +2060224525 +726463369 +1192505125 +1595430894 +1958095654 +1503350246 +1894794102 +1511551613 +32801624 +712579322 +593533206 +1582263648 +1157665531 +302127862 +485098773 +415968500 +1983917559 +1898651710 +941560624 +1739276953 +635466194 +1691830043 +1111510356 +784639919 +233856471 +1580895634 +1117964934 +1995881942 +1464344399 +912316277 +1587732365 +1377085276 +1638779646 +632753842 +825032522 +1449391652 +2136104089 +572342977 +813459617 +21422065 +1284922299 +1406992823 +1603685713 +295104182 +1709120686 +2088784487 +711072683 +1545554597 +1839952549 +1652633307 +1137347902 +327935096 +1196979702 +101374611 +1112575015 +1430836174 +1682270245 +83056301 +1279234468 +999130997 +995372578 +719483186 +228732625 +486668576 +1352237028 +1053765148 +1936060229 +1340857469 +1626108125 +602036198 +1362279534 +763546776 +2009029022 +818481600 +1058650959 +1570666060 +759782439 +1769723642 +968737009 +452251340 +1274873301 +2106084912 +780186436 +324369355 +59975875 +1892761452 +1755205529 +1742246120 +1975817753 +886956350 +593893469 +823706684 +1606439536 +822626095 +1310375260 +811192916 +1876391243 +1098951841 +4566738 +1355015720 +1700988040 +1366846272 +2118562496 +1562533414 +37844224 +1029729807 +985715826 +797626663 +651969801 +1954452835 +1249878004 +1926843102 +1913054099 +2030064440 +103728810 +1973029974 +1775342244 +1858934339 +1567792447 +1603676350 +598407041 +14202268 +279899386 +57362929 +836828363 +1590274646 +868555846 +565735958 +541742840 +873122584 +1920751678 +95247232 +92485208 +1891830527 +1657780646 +130329433 +774076686 +496012824 +927956096 +1426046488 +302982011 +30350452 +1205405942 +68552463 +2060414893 +1309134752 +2041582437 +1688273489 +1020585444 +1461891236 +1144466191 +1618992485 +1476093505 +1424365577 +1676355415 +165438220 +867156576 +397427613 +731174179 +1408899416 +1270550197 +504442209 +1504146648 +1363035405 +248789088 +1014443646 +1493364838 +1022865775 +1510456470 +273837287 +301428615 +1813438481 +304187739 +1506834557 +1881990944 +217118984 +668485662 +1776089734 +1905392474 +1689071106 +1090497322 +902375017 +1160579943 +419107179 +179256947 +689451710 +584545400 +1046413523 +1086879323 +1315719579 +307829291 +209945872 +1820161788 +1811975939 +1572981278 +2068950877 +678935937 +918862468 +944333004 +41908759 +1192699755 +1245761619 +1855347240 +1496887495 +605112528 +1589854537 +1714006479 +1273598190 +1218460623 +1471915305 +815185648 +161474297 +226806675 +1975765592 +580581477 +406063622 +517733654 +1165126877 +1452477145 +1604612978 +333362808 +1760306436 +1814558850 +6040948 +1424798727 +1240056480 +2074991825 +2103734664 +11435301 +871841181 +2145643423 +1204135056 +2117602800 +1853507015 +553538903 +575231681 +1295877904 +120061735 +1848829871 +366854879 +1591977040 +516531872 +528329177 +1818783715 +344813816 +1108910654 +77363689 +862547470 +126553883 +1529840834 +319676800 +459916691 +1142663622 +2134235651 +465957639 +419978701 +1226808483 +393465817 +376229717 +1238243784 +1265306998 +374389492 +294895193 +1235426151 +80412860 +848434096 +1810657832 +1376290764 +968495831 +1512004055 +1743145644 +412989224 +2028535927 +123991173 +84289291 +225866095 +1232901827 +161652981 +1088413566 +1359455710 +1691493815 +1408090366 +1819372401 +686673790 +1394842369 +137846392 +1106652491 +474167205 +531312209 +1482882209 +1712410989 +1796619208 +1857271701 +2007306182 +884561711 +1937684561 +708256631 +547735895 +1166491678 +1676752462 +2059739950 +762153674 +2089741686 +1940792230 +886144847 +26547330 +19174677 +2119046674 +188200311 +1107588243 +1331018736 +1879694126 +368194962 +1002907489 +418884268 +1763037331 +1140753881 +1525536760 +89720888 +1672066091 +860935321 +1802131878 +1321201651 +570723374 +1661954412 +58279714 +360924288 +222727395 +606015609 +1527415966 +1899479858 +518271911 +142085992 +1841737896 +311580493 +1028230839 +1868285226 +330755171 +999793865 +2056485537 +1438343414 +183328953 +1788696016 +1806538376 +1186236442 +60096636 +1422092060 +179506675 +1585633396 +1511812948 +1851572766 +299085069 +1166461178 +1025290769 +869808444 +680931943 +1083570483 +1230732732 +903659338 +1689586092 +610665050 +655655548 +60374356 +752751042 +349909797 +371954849 +1780981881 +70711375 +702710020 +633292098 +2127196913 +2141053435 +816621051 +1768409281 +1800108163 +2002857493 +1828505917 +1074716575 +34880520 +1266655666 +439045876 +1886453287 +1565740735 +1605507054 +764260408 +288065531 +138955349 +1847830892 +1518798263 +1042614688 +1389933336 +2129463313 +1698270236 +1450307692 +734730707 +2048180033 +1822262542 +368228940 +2118891409 +377488914 +1001521038 +2098604674 +371058701 +1818142089 +1719530307 +23683217 +1673515934 +1400552576 +1098399792 +1708396455 +519724594 +1537445668 +1447366094 +2085465330 +995469075 +64142854 +226047213 +1134424424 +1911973746 +1744845477 +29555464 +1154423435 +1726825142 +1727825701 +457247479 +314072202 +1628522086 +132026373 +682301142 +1599929847 +509515288 +1683822181 +1551050873 +880573989 +1354480622 +1123097532 +904257206 +880512909 +376166461 +2002656999 +441425716 +895891055 +1392619019 +1888791810 +833872737 +240604446 +1952934664 +1059919951 +1375028871 +1717424763 +657281780 +1404584335 +724364550 +236623274 +984926388 +1181612029 +550695476 +465964827 +1313638403 +1232996619 +2065894674 +1823153691 +769335152 +1469461900 +556244032 +2123815774 +445075784 +1460501239 +856845035 +821242245 +1315674590 +1298270751 +1717133301 +560809961 +1039578913 +403522390 +801414408 +845029930 +1463442341 +28959631 +414971045 +2120724121 +1433543966 +1139335595 +209863748 +270986707 +173463976 +760559224 +736951534 +1487102379 +1993555843 +655362560 +1162772422 +615407347 +2124824460 +1719016455 +591739474 +422416597 +1032034046 +1448584509 +1243658842 +200224988 +599371613 +813308495 +761034949 +1638950526 +1216830886 +1562449357 +336496808 +532789579 +1591408988 +751467853 +506030053 +877469307 +1890803448 +715893801 +1148456014 +2064267425 +1476453025 +1885407548 +1403886156 +1322525221 +393286460 +419174931 +1937932568 +370627273 +2138191386 +382188394 +793043870 +1022741784 +1830772904 +2036702712 +1222966772 +282660869 +702527560 +1984001721 +1921611395 +1919358446 +1398967431 +110624556 +304664377 +842892771 +862092409 +810694430 +1720362078 +605412210 +1526588231 +721334444 +522195987 +855557609 +459258344 +1926082143 +30599182 +852544805 +197773426 +1968531750 +1223172078 +188481164 +203236497 +2016215948 +1211222948 +2034009401 +1905435012 +286706072 +169186622 +460478924 +123224146 +2090798017 +232353722 +1522191577 +53938925 +537018100 +217600700 +916031335 +1347712530 +1937962779 +1521443545 +726817114 +511813575 +2043639532 +1582374723 +971071920 +1822238027 +1612973905 +1823616725 +2020011454 +1434022007 +899305155 +61008970 +1637258504 +768037455 +1272231919 +1523784257 +525988819 +1558937991 +1692970879 +986467744 +1682162137 +1636285249 +1218821466 +1056870066 +1690224174 +1755839566 +1274470767 +458771861 +956068449 +1064949898 +1980215406 +1682885563 +1576763473 +1876371290 +1117776638 +400351745 +1551125670 +583266895 +76484822 +1423653476 +2017288902 +975789977 +1484662446 +1507063759 +1743827432 +609410717 +883364368 +122332604 +20865061 +428851600 +1108800348 +1703027198 +2065136849 +180138166 +612413617 +1607877375 +1935977733 +1886884384 +2066649237 +744562534 +804350634 +1899380995 +279964449 +233630459 +1628268638 +1397741087 +633982205 +1031910660 +1981007982 +710467027 +308080488 +1850813236 +1686257005 +1792742934 +1210393347 +1282600789 +254670004 +2093757716 +1404933393 +275535065 +375125668 +366250093 +1978562263 +292778869 +546388260 +443492232 +1900656244 +334882345 +182892968 +1819821833 +1079444879 +987243602 +1571719181 +1359409328 +1220874062 +1052504171 +609666767 +1854856267 +2084414831 +443191101 +417839646 +245011671 +146520689 +2104096651 +2037754605 +1356914037 +1239213793 +144940961 +1303188105 +496663538 +420476026 +1678313773 +862913632 +251554642 +1971092642 +1409301892 +695046874 +1724265238 +1744184237 +877939843 +1396603424 +676145468 +1865183445 +820838957 +2035554796 +938573859 +1873343128 +497737915 +645946478 +1810274311 +940929016 +1063786125 +2055285982 +1087449705 +1020399128 +1945556939 +296880094 +112129273 +2090497901 +1600068199 +608792812 +363490279 +1130898324 +1471706444 +615044921 +954507318 +733524688 +1310091796 +531288909 +330225277 +40547991 +1927892333 +1006370745 +1905731436 +601247642 +894441893 +696821648 +327107122 +1392179808 +1342768126 +2137381433 +185625176 +259070603 +2045183767 +1273074881 +1279469732 +1843257058 +1569954976 +1391599005 +1786271311 +1022539527 +2000391817 +2277943 +5954204 +1324614613 +617322864 +960461522 +2058139301 +1927414660 +1491750431 +240880930 +1967962651 +1272159116 +1247251675 +1726210440 +1873406758 +2141693568 +275548440 +53030232 +1386389728 +1618316566 +42928017 +1572014904 +1877387170 +2088111784 +697606138 +1009373254 +1783885195 +120077466 +253488611 +1422672858 +1142616993 +106396781 +1424950801 +1148571197 +1431011394 +2042273666 +2109032720 +1341667048 +1822204678 +1453299503 +1582547978 +1642683682 +577974972 +682316006 +1221410474 +303898082 +676525926 +1496958914 +356928315 +2062915655 +967791832 +399856332 +1487446911 +697695354 +340484469 +37569401 +1707068608 +2124369664 +157646867 +1960557220 +1399558874 +1300263861 +2066954001 +677026028 +301351410 +1350481747 +571816046 +262900482 +544665147 +246537076 +1716199986 +2127213126 +1889220758 +146691310 +662045484 +963147584 +450589392 +1338571410 +312622850 +807517707 +1254003417 +1280414683 +1207374040 +593966681 +1978110037 +1547858509 +631536082 +1537694998 +1524744525 +789182950 +1350768570 +776819751 +2089446811 +1270238923 +1453845779 +243314573 +473237022 +2025661825 +506215056 +1017902170 +124715254 +74931394 +997631648 +2013936012 +221622704 +1659677132 +829599949 +672212096 +850764894 +1142222799 +1479729804 +2104768312 +275153834 +539620196 +551251345 +105780224 +2087478705 +1182787427 +1643475222 +1464739582 +1971970377 +846760144 +94075685 +1913933540 +2116999067 +1547921465 +9764466 +442752441 +1426099642 +515979522 +1460654611 +1550814896 +590910916 +310802611 +1417267261 +812533620 +1970479743 +99383562 +1484745716 +673760990 +1241606361 +816991872 +631045654 +1516760196 +1356612068 +1182296999 +1622540420 +1296607125 +217600778 +1118531994 +613863059 +42087508 +1965292138 +707938745 +1956021048 +1934807557 +108376562 +1965785514 +230076350 +1534476204 +334281388 +1690730962 +937807453 +925192304 +2001533573 +207591066 +1737725924 +1824529669 +306974628 +1074987993 +350807011 +1548580989 +1891979865 +981852665 +917857537 +1101108286 +16666016 +392914309 +250231763 +234266794 +1511446303 +864094823 +276354302 +1329254793 +1572033568 +84891703 +1116578702 +1680410130 +2050677217 +1346655053 +1067402686 +237474958 +889902367 +2005210139 +1162667262 +743952292 +65317557 +752909539 +420998313 +372292185 +1827897532 +771805324 +1920873175 +1572393749 +1753657989 +691247064 +526018387 +1770324005 +1084161374 +776250151 +2004590800 +448124029 +1640344974 +133461454 +1777378823 +1064894894 +218353157 +746473877 +597821376 +121546727 +2093128930 +1665224062 +359021685 +835547649 +1522950554 +1521688947 +1579499942 +1588268111 +127114838 +2000498255 +1960560297 +1955012370 +624819932 +1733949824 +1379922472 +230994273 +277713240 +1905940859 +2001318279 +1361874614 +534707362 +1858425431 +1809998644 +27568688 +1991886885 +1439893819 +1092463582 +62756395 +38884048 +1690284958 +184303122 +2132012979 +1208025373 +543324807 +820076980 +583492279 +2065013754 +252093274 +24276742 +44644945 +105107882 +1984837039 +1999657315 +729927814 +1571303215 +1232096139 +960922087 +1849016456 +990553351 +814756718 +1063407422 +1525260713 +525698501 +725922418 +1552829402 +370101739 +18332589 +497809336 +432858134 +57216638 +40610647 +617161256 +41745969 +1248636020 +1160486063 +861822949 +1832128299 +1078016169 +1113916224 +1856405041 +1122661114 +1219024106 +1693758433 +974834782 +1948951920 +1117578000 +59447273 +762390359 +819110808 +1050000624 +1577147078 +1882518231 +427777690 +2102845579 +460957001 +1980607092 +325463670 +479289591 +330932780 +758321804 +536506229 +371543427 +1375483060 +578252198 +1620179447 +388485475 +1440075147 +1304824098 +1466501645 +406507723 +1013745492 +441679111 +1625531829 +560020277 +1416513893 +1427000101 +1677598277 +1475961167 +41906813 +349225438 +378478143 +1619053891 +84260021 +806255833 +1574415822 +545217022 +639379277 +1899879493 +1024506613 +970312058 +510717649 +1561012842 +1341855485 +1886200710 +2139265040 +814551285 +127202537 +1431856540 +2119375383 +1593704182 +1838364263 +985637227 +2035383294 +1316412445 +1545657504 +1304413539 +595928898 +1075772134 +632891058 +637835711 +1424997572 +1011369202 +109405954 +1509257593 +1817625035 +1683821777 +2054474615 +309520665 +1436217622 +931497581 +1279832723 +1946935271 +345026775 +474204560 +1685652333 +336808168 +1288755845 +1812854871 +1768664708 +1260647581 +1259075405 +1459545323 +98801160 +1146975051 +628474120 +1644458665 +303904943 +1224403019 +572747151 +936796001 +1862238730 +1997744723 +1948165203 +1971644685 +1359518668 +1618306591 +1507982814 +1266509635 +1927827256 +796716788 +50523568 +1060176331 +596168411 +395550344 +1534380891 +134337097 +732358512 +675653089 +1947191968 +353539572 +1936300670 +1058783725 +1813084895 +2035101830 +58275129 +294075368 +1532076847 +362180072 +1518478387 +2104823998 +1298976073 +1233233469 +1955085073 +1099657629 +1057394506 +1167120093 +570480572 +417893672 +286146081 +350824180 +1214610460 +336669649 +1411000511 +1810778872 +732219993 +797897754 +1945115969 +1464578505 +1473550843 +1744824289 +1818118077 +1262367865 +656124366 +1483719325 +1149986048 +714399495 +1777794693 +534579247 +1076579567 +1148789432 +491919598 +228071993 +234539253 +299521023 +1327729622 +1291933760 +1466641117 +1898210194 +1709827432 +1752787198 +101550726 +776954245 +2089456847 +1512551237 +440249469 +674193193 +162965343 +237881790 +2138771698 +1636516187 +1982706079 +1809406128 +751400404 +491346797 +1145641805 +1901386452 +1205746293 +775952850 +288482052 +134842212 +1924742282 +780401650 +362914205 +11797887 +1079922673 +1690643827 +1303731647 +399080142 +1441370373 +866075432 +4383692 +1542921099 +1643029677 +2093840540 +907988688 +2083279146 +620550085 +1070954032 +173677288 +611838135 +559986571 +8899719 +273760615 +1311386975 +500246516 +1419402420 +1065289780 +1705992809 +47871622 +1353771832 +1840835022 +1972613904 +2134173482 +56265579 +1984411792 +1066612507 +1746909407 +1140659791 +1465692650 +1040796132 +2006735223 +1470076342 +436233584 +1502281252 +1416433234 +1344222272 +1438076750 +2036983319 +267692656 +1611754038 +501337807 +827679227 +1620653757 +775098422 +2139066203 +2120900274 +47017195 +1056872335 +1679409435 +94888817 +263160519 +1372760809 +2067502722 +249850353 +1429026389 +1904430866 +1316462860 +1028452148 +897607009 +634671862 +2069248280 +756858585 +2104748205 +357998216 +111656189 +1373697791 +1702220489 +1549732940 +1263197463 +1969913145 +1014003330 +1764535270 +650108725 +487173440 +392150044 +641691280 +460590066 +439167239 +1698563615 +2139999501 +534056057 +1961724134 +1365276663 +454075131 +64090839 +646819404 +211022349 +1380553699 +1675271552 +1108629358 +2015225562 +1597036184 +1865487943 +1972490119 +1955034401 +1977144133 +1198704262 +1509771242 +1379393425 +314418077 +1332200739 +245913107 +2078953347 +1982309464 +733086547 +323619744 +476517096 +1193676613 +762786983 +27597063 +1186192467 +1296843040 +1989321197 +403985482 +1750918171 +2053412036 +1050804886 +1961940520 +1286482088 +578592790 +923086231 +1154224002 +28145326 +641090526 +979230473 +1983179727 +470751011 +30451087 +1345467321 +1850144436 +344869165 +530184413 +2096057544 +276338864 +365010229 +681660443 +599958608 +841527326 +1875337057 +1362745592 +869124389 +914045876 +512104984 +710961939 +1318031358 +115539508 +616890327 +221352596 +2077480028 +1903372415 +799945386 +853082611 +910112769 +828090712 +1494173138 +1889343242 +663786792 +1964924149 +1919794330 +2009254113 +1667584938 +117179847 +391954878 +1616158834 +393518711 +756965108 +150335629 +993477320 +1598492434 +2025672686 +208739264 +320133175 +792234914 +720844248 +1031095114 +2110266272 +836383756 +1647985442 +184135220 +766380137 +1403874209 +984080606 +1619462748 +166503331 +1812171319 +966152238 +2055846573 +328474463 +783592740 +1828157255 +190244928 +303694030 +1945337102 +582199807 +1919852864 +191372166 +1339164915 +2070188493 +1184849486 +790173701 +1948377532 +1393588750 +1110306876 +593128798 +2114432998 +2141401991 +555911423 +803333107 +1641903785 +740046643 +1569713244 +898294346 +1724127250 +1041692344 +1064797677 +1388814921 +2007844583 +973160603 +1717289384 +643953675 +653834210 +1907534312 +947647705 +451687665 +342250471 +720016921 +643059831 +1681415386 +642721766 +1827909317 +324105439 +443615650 +1074014419 +1434412316 +1036744449 +1040963769 +1428330659 +1592655872 +1844296876 +922750796 +185218867 +1266526472 +1821045142 +1909346117 +160735169 +738359172 +1150677390 +21096104 +1711519775 +720483126 +665049779 +217870337 +480533791 +1612697484 +669558002 +822784262 +185230757 +1312617833 +356716001 +827952523 +993043502 +680821440 +1271568174 +2067057921 +2115233756 +160828975 +960538043 +1396080767 +1753484847 +657351271 +171347915 +1938703714 +1923877744 +1992393058 +1700566184 +2084612913 +583268582 +703759926 +2105709017 +147304709 +1424243053 +623275148 +365175046 +1904776844 +88488984 +1034733049 +580077458 +273719741 +199867234 +936793459 +1101672264 +1192910737 +1617614900 +225756790 +1112485010 +1585365008 +386585765 +2073023053 +833962128 +2140070612 +582890677 +1005310043 +1931290679 +359284773 +850219453 +1484373215 +296414038 +1433488035 +40649493 +254639407 +1580792744 +1464892546 +877914555 +1945967791 +1222185742 +966403539 +833217192 +1802263201 +1240123280 +1033084426 +591573012 +194311896 +78511515 +61704264 +420068687 +1190996526 +1647069273 +806654452 +1116535931 +333547753 +799241417 +1699426608 +1338857796 +583048448 +2058711381 +41593602 +2067421663 +207641771 +1475081637 +2108071156 +462281178 +908390734 +1425480055 +1340195733 +706874877 +500182149 +159115624 +1540092069 +154961702 +1399238904 +425692847 +746534715 +1593550801 +504204363 +808238979 +2013619488 +1695200889 +307824604 +672790292 +664253172 +641372357 +1472031709 +216196133 +1980230154 +2055080157 +127423866 +2021823756 +1975018172 +335065638 +1349421745 +1935605681 +797346816 +110328831 +1213602088 +2137542550 +817203708 +1713784237 +149174526 +209812129 +1868745940 +1548413431 +635504977 +467797007 +994480584 +1139709340 +1276035986 +860616424 +687426581 +1583860591 +1533406716 +1351679753 +77749300 +857954778 +1567875886 +2057979454 +765551287 +1695299753 +1932319562 +593085812 +2030365391 +1134257660 +381207845 +680228559 +1244586491 +1594809933 +670287461 +2061790200 +1161110522 +819461988 +124118681 +882372814 +220391771 +759623658 +1350169821 +1214872355 +1899332998 +478722160 +2075488779 +439275931 +2062582751 +1461411847 +1790955685 +2140332051 +171882977 +1211347923 +2050827858 +937434265 +759164028 +1835663772 +1530520077 +642045771 +822437784 +1911727922 +1322274331 +2067024276 +1359054207 +1992561792 +1981330828 +372681081 +664540132 +2105449509 +1255053896 +884931903 +717589520 +457740069 +2099804258 +469438870 +936462229 +2027809389 +908714802 +851561332 +1341737589 +552186839 +844409736 +1513620566 +1763534762 +747753946 +303571183 +375215143 +435934070 +1834091260 +1017260914 +1258371855 +1598335534 +192051597 +1177912483 +809906093 +37129742 +1011759663 +1182587175 +701669874 +969725524 +290157423 +1586601778 +1687315044 +747897492 +1538922388 +9270267 +1684359722 +1419248130 +917985069 +388437406 +613502071 +1470171908 +1232847142 +2127122637 +1086223022 +1980601088 +283210173 +1461438165 +269051511 +2117301433 +331215432 +1527423366 +1568153320 +523267029 +557852201 +230575765 +560396771 +1569611864 +1413162940 +1262066646 +391853740 +1703320363 +701184776 +2079168785 +303734208 +92623516 +2088439052 +1988093930 +1511871646 +858940473 +229047688 +2125373717 +181628733 +1461894831 +2105012707 +1267851755 +1295012271 +240739232 +581806273 +1564063782 +210557017 +913021705 +944003500 +1778710337 +1436288734 +1501855701 +2009286103 +1996685506 +923983917 +1274965395 +1111268504 +1315837658 +830802111 +1812453280 +1247522795 +1134536319 +1905076796 +1188478199 +975146601 +1269464795 +2047418672 +1204194289 +1247354864 +81563757 +518605472 +1204883923 +1349415512 +1813617744 +1445623155 +1931221785 +1230197878 +1656180173 +696759842 +26717731 +1287406862 +2133048577 +1528573432 +1149209317 +1982250435 +305073702 +276691065 +946035291 +1620911360 +1107493176 +611004923 +720950507 +94545847 +368598071 +1909428706 +1069692448 +1638062866 +1809363730 +126403089 +737934083 +1890927487 +645008562 +1942818006 +1092859351 +311142658 +1240957514 +876597489 +1541340536 +749654039 +1573357331 +1568058267 +2037060901 +1558922260 +949148052 +1038786571 +1393689047 +1254221754 +1315477636 +192240690 +727649466 +275487164 +803245613 +1448599973 +370033011 +1171843685 +1210545031 +1439725459 +662422903 +872425113 +1566128548 +1400356986 +615868952 +63653462 +1195691345 +1708728303 +374796120 +289165211 +437842144 +1916136657 +1038819250 +2011199476 +1336711276 +928396503 +1422638088 +138375680 +1967183074 +668843488 +1392597434 +1135177062 +861084178 +2120246900 +1410664226 +1664329792 +1421363225 +1780697237 +688689829 +484424608 +1072939048 +1351112732 +1356849721 +491583949 +603986071 +1972718673 +555237411 +1799677416 +1533963329 +930033532 +2088842627 +1971805473 +698686541 +980178229 +1835521301 +2035397817 +1908574732 +1110675742 +26289850 +1728274159 +1779519230 +1418887284 +715967573 +493119760 +1391650537 +2126631800 +9965904 +665530114 +1759845389 +698655733 +1149954723 +685300790 +2049768466 +359320796 +1176884739 +506270889 +184555822 +1732122150 +158464657 +1718519151 +514672034 +99823636 +1542840976 +1213358575 +1080001865 +1230878630 +1101272745 +841092949 +194070724 +1127562595 +421883460 +1973589954 +398966231 +1137851034 +319226066 +1790616768 +1116999186 +329191971 +308663235 +729360927 +1027847704 +1458617958 +1414661717 +930132522 +1817938754 +444062808 +1436403411 +2002494576 +28701311 +1594868068 +1573530079 +543373345 +1694691704 +968887408 +1756731921 +627209921 +52282390 +710521018 +1468302871 +246353114 +1838083613 +1890186331 +72459420 +89566196 +880553717 +391685486 +1880182965 +1997552903 +720877457 +41362552 +579430183 +1748725162 +1499980510 +1994091900 +531374036 +1170435616 +290671061 +1967777448 +1025446545 +319372372 +1415161868 +451492976 +862745717 +962369925 +1420380384 +471993990 +1589579846 +1472662774 +1182515008 +910399069 +1719015888 +873114973 +653101753 +1791475308 +962681170 +1533655470 +35677147 +695380487 +1383724726 +756554604 +736743039 +1963154909 +357796118 +89239901 +1809763161 +889170155 +1259675517 +2100434222 +709463955 +137638414 +272322946 +2124625823 +589131391 +1135068664 +939512100 +2009511775 +1607062654 +381608299 +1334690902 +642094015 +1292007368 +906223142 +1515208988 +1945109121 +550214803 +330406510 +1331280944 +585891950 +1025786997 +567522022 +1342446554 +1762530036 +383193283 +1700242673 +1851769937 +45472796 +441929180 +963961807 +2145907019 +1151393135 +1101600221 +270746317 +1128535310 +1690731612 +1405814981 +2068047411 +1552759740 +865393988 +302172062 +739966994 +1507488003 +1594179430 +1646190136 +875213343 +1391804904 +48921291 +1205619854 +575602200 +634813241 +83923203 +1143124222 +1977259796 +1846453240 +1526317505 +1530018821 +1550739529 +1571790301 +1971948001 +367217688 +1570213672 +975857488 +1468817910 +1840959990 +2104392798 +1012065874 +1099291323 +2024956561 +417341966 +1964685311 +179644975 +1157308960 +1324689666 +1773824406 +656015449 +52419362 +1018145662 +704936740 +1258039216 +1593747862 +1339749982 +1341962419 +589388436 +1169526130 +1040932011 +2115705941 +552061303 +444187893 +1540012594 +376525656 +811405581 +962742619 +1352383144 +132739843 +656218961 +1309292294 +1144805718 +1755510284 +1186765208 +1562147684 +1572711948 +1366410183 +571972997 +749917966 +992750941 +1227988446 +802337328 +2010896603 +1932925186 +2060376544 +1457160817 +1125191520 +1254855316 +2046549253 +147234002 +148303679 +2014771546 +699295305 +592491572 +1407300493 +1075820961 +1403897154 +222559464 +280720457 +1536636997 +878778425 +1590012752 +533959067 +486805061 +629294312 +2096106752 +2059517009 +1995704495 +520596101 +661951328 +840971789 +1748584547 +1464288656 +704384744 +1534026085 +1377181553 +14061914 +511733958 +484553221 +2060611167 +658967960 +632856900 +1927899066 +1358263266 +1225348473 +1187715911 +286600579 +481761979 +1410275375 +567321037 +2018398976 +141570152 +9850141 +404874396 +628375213 +639144453 +353497500 +540408575 +487365300 +874093601 +1202359903 +1328337089 +475194500 +519164911 +2032721834 +2009220585 +1896346464 +2046783748 +373470895 +233416037 +1959911267 +1032438856 +866272938 +1740326685 +243218474 +2091621411 +780558948 +529819053 +425899742 +43350675 +1097140090 +296815070 +184920827 +1106990231 +701689466 +813296041 +1746134684 +1055186966 +1353704616 +86016337 +1929280567 +408580871 +1414353426 +256991419 +927745782 +1299591612 +118728357 +676608599 +1198891712 +492199252 +910024636 +1011319332 +1524638108 +1776297574 +604162369 +1767856582 +1720435337 +1384721318 +150191988 +2146335079 +1428071993 +1247332078 +295666502 +1612992821 +206838662 +997355968 +278805214 +1952973346 +2052542935 +1632509830 +2038989683 +1834339854 +2041090701 +1305859462 +2091331274 +821352835 +457967426 +62575983 +1497961434 +1656859139 +554775235 +260502423 +520694823 +2079413344 +2036799997 +1124857192 +1699786278 +1609751687 +362094862 +1849978266 +1608603118 +1790166856 +949826697 +1904269620 +1255676029 +1156665359 +754141941 +1534481243 +962155057 +659201228 +1019507425 +853661093 +346057434 +913114478 +12036907 +289905060 +1734467313 +470004333 +352481043 +1084945100 +2126863472 +907256279 +1345447523 +500074647 +839185975 +1234763872 +1624931840 +391488605 +697031911 +1987026702 +93983224 +158151382 +1629709910 +1043809921 +2062421002 +737902291 +52991632 +669079295 +124899886 +1015146689 +1328280523 +1144407311 +1868807782 +1674337958 +2057521789 +1880844689 +1964243018 +1644505455 +203365375 +169240414 +581966907 +182745199 +1076496693 +1927414430 +682819847 +1915682668 +1014694654 +160268039 +159687625 +1711726566 +2147294741 +253670849 +1869877948 +1629521004 +1297480770 +1784815302 +219939647 +1350472402 +306410950 +344839534 +218135444 +1634691473 +1489246845 +2086943226 +1161545783 +1399284987 +1820304268 +978305154 +896306794 +2023669643 +1147545568 +1478273701 +58931194 +76558613 +1258204483 +741751041 +1992241281 +125415489 +902019080 +4445258 +1837142055 +901830174 +258116108 +1559536355 +383867530 +1555596878 +1196868010 +603807177 +758585633 +1503278960 +948646711 +976721077 +990486785 +290409909 +916180655 +4548921 +1689694896 +589001275 +982854075 +438518042 +465187270 +2130399643 +1916791743 +524118465 +59474608 +1027512578 +1265869506 +2051715889 +1152928067 +20404939 +2056161147 +842586475 +922235113 +166793607 +254639182 +1306102643 +1722390486 +1451507192 +1909909820 +333492471 +807302504 +711072884 +1310213548 +1797789290 +1001482793 +78910555 +1802338211 +543694041 +667911831 +637708638 +982212083 +1133099101 +620624633 +751520178 +1657217566 +680099241 +1779032756 +775603425 +584331482 +784477175 +796008364 +493008981 +1627063650 +1718243477 +659802589 +1881702833 +876862472 +234709427 +1185726377 +639288644 +568201898 +1993028882 +1350361528 +1878415446 +1643334524 +204360673 +1957326001 +1298189087 +748054714 +477754184 +1935897725 +1730266797 +1610853286 +409038710 +334303327 +1120587204 +1089137951 +2113336083 +1896190629 +1673469433 +750329611 +544715345 +18994766 +229909613 +115475174 +678797355 +2111612446 +992337646 +913506782 +1149855176 +1631626291 +1481708680 +995400410 +834504171 +1212640478 +491251286 +1038864845 +1022482832 +1789440373 +1786919559 +1500237016 +1577854450 +1369702709 +963606654 +1986893160 +1704006036 +2084193859 +928547463 +1669858472 +1832900840 +454533248 +272704435 +230132538 +473528014 +502614048 +345607712 +1152325370 +466742847 +1337945359 +2065832152 +1616598023 +822088002 +1400057185 +464514785 +1656592173 +465214015 +955766071 +547973370 +1487696847 +597722796 +187409282 +840450216 +28093598 +1557111991 +1804056870 +2014986758 +1113634379 +1740767081 +796050573 +636009203 +1426184274 +1250583821 +908713638 +1656316812 +1724111835 +1411327687 +2001924524 +728953557 +1878070534 +1192386235 +647302062 +1347184909 +2014474237 +2047359247 +1811699694 +1523582763 +365089614 +619982117 +2071556133 +1852786462 +1217704913 +111481767 +545753030 +1245798511 +1668593758 +202326252 +1113301621 +634744490 +1943093334 +1909352194 +1270753693 +1221793960 +1012452367 +31983684 +730627124 +589080554 +1443311371 +585068000 +1318034112 +1173898257 +1777454236 +1965336174 +373599518 +1644444825 +1865211773 +37815564 +1020543940 +82817739 +657797681 +944616426 +1935604201 +1875502594 +1056098193 +333873583 +973817457 +577208304 +536199836 +2087119078 +1211952794 +331809522 +1848987624 +335222839 +1553603482 +713956343 +367206523 +136746958 +1303036897 +1810517894 +721814958 +473587361 +836932503 +351785546 +291439887 +1210532021 +1996230372 +9168012 +1248347585 +869290664 +91985752 +1906145266 +1813907090 +2027589953 +1634164212 +722521636 +213979889 +460498021 +1299729940 +750179725 +400133451 +364199086 +1081989247 +101637427 +699421925 +488109081 +815593770 +1066628449 +624856039 +2118630668 +729662695 +1346670997 +444734381 +1566595199 +1698456544 +736174269 +629643572 +1547203268 +745342281 +1877991158 +269010284 +837328033 +1636652776 +2082917375 +717434339 +1123333341 +657955363 +931414228 +1583831362 +1957685303 +1681593953 +1983964814 +174400741 +616099552 +2085602241 +873822666 +1104208633 +753712364 +1940451115 +1729064672 +724859384 +522630163 +928252021 +1169593765 +2089225362 +479224917 +1905768034 +571385286 +2026428185 +503626668 +301892796 +147954822 +1340954701 +1938545573 +83388549 +2058389040 +914395266 +741343912 +842319620 +350742980 +551545567 +376429925 +187224146 +725946308 +992529477 +125342740 +1599768974 +2096738110 +879055104 +1392736442 +1678319134 +1603914488 +1915366605 +459087508 +626024605 +1857108319 +938312425 +384308992 +281009957 +817256963 +887935660 +582902754 +965211785 +81406713 +373964679 +1048600334 +2139795754 +1288359945 +1789944246 +834631726 +1639102925 +194006165 +1211061652 +1826327072 +919952473 +56107481 +1951669812 +372237799 +5361944 +683241268 +1764974241 +1683681078 +139672108 +1532857198 +2142768586 +765696713 +1242481869 +933597364 +1150005705 +1523491827 +1750854327 +2037941365 +2106394581 +568582464 +2119348079 +332875612 +1617182798 +2111660185 +1621235557 +1259643396 +798808263 +1112854834 +1453649561 +2009869915 +791698258 +226118386 +2065977397 +595884422 +598356185 +2071339341 +1279125690 +215846779 +1607536771 +1418797798 +1748703977 +1602821710 +37010864 +843702199 +388935426 +1187016569 +219710378 +2139789753 +1077474287 +178621311 +560888569 +1049338718 +511496923 +30587719 +1013515255 +2132732480 +1290231115 +1812323518 +1098103666 +596397028 +1674709786 +1889801925 +822515414 +1593203535 +338202699 +1420871599 +1517059228 +1617328390 +1636718378 +977112351 +888642540 +1237938708 +432450413 +925653404 +2081640907 +821385839 +2112669974 +153867637 +813691944 +1042660613 +332488948 +1374580513 +2091999331 +843985871 +1405168232 +958030938 +829234703 +547915699 +622870808 +1927338369 +1144312727 +150096946 +1669656646 +1966828141 +1743300481 +2007859346 +1240216093 +1112876061 +1477704088 +729450823 +2089988413 +218862980 +1967389531 +374955178 +1144516385 +1901546790 +1196341018 +1109702711 +2055414427 +2010032962 +4879676 +240419727 +1237129828 +2096879007 +1084405598 +494814412 +907426297 +1913640301 +1042730112 +1530297105 +1693495023 +39559191 +1680394052 +1215668021 +2006387333 +1276210885 +1076043719 +1099119778 +241603299 +406264159 +1828570601 +184108064 +625127140 +1648476485 +559063242 +1769643525 +1402539627 +1755404260 +731862588 +1310470407 +1617953575 +736742264 +1550890134 +707599755 +686137623 +487812085 +1202414167 +1593563920 +253968738 +97660631 +976377377 +1947463761 +137219823 +509287781 +1015648135 +2143607156 +1785498667 +2091691854 +1095243286 +2027101966 +350472366 +776330239 +63726382 +975599506 +277323076 +622789624 +597759383 +1679862704 +230710237 +1329621971 +842849463 +1848663812 +2066364235 +246255949 +408779919 +605018210 +734068034 +1611194086 +51098482 +988036773 +1708854718 +1027475859 +788016886 +1846074541 +1536763641 +1803665021 +1842198049 +1174778660 +1747873228 +789957687 +1054396978 +2098345594 +1566287926 +1118123360 +926461452 +1843611003 +1740912984 +1524220835 +1375990059 +1971623221 +706359158 +71355874 +1672803385 +625239745 +317611823 +2081583304 +1230257955 +1051679858 +1545293743 +1281356437 +2039716631 +1106664813 +161348648 +680249869 +805255706 +1698112289 +336431243 +499970107 +725407301 +2084304471 +1289927794 +1779804279 +2035166417 +708732072 +750443991 +814144221 +404859427 +343873328 +190881408 +1780849486 +168012901 +897240566 +1852205360 +1840816287 +1522480311 +22333536 +1774915943 +605254618 +1074013394 +1172726038 +1886611055 +966246377 +131907203 +2047959703 +1646496246 +937162909 +1598588345 +1982927489 +1437133016 +176511998 +1919748312 +579577162 +1956316278 +1807431081 +1288309235 +559276621 +474091654 +1693168662 +903149949 +664973062 +1326534501 +1071162851 +1562213628 +1031256213 +764495490 +937210291 +1053589749 +391927785 +1542464909 +2127603143 +1564653824 +1281592316 +946365872 +1696561027 +1182068372 +445378471 +486240289 +633173069 +280822312 +1923373305 +809685067 +53086977 +355466820 +618517697 +1860518058 +1643776055 +1177794319 +187126065 +1189461069 +2080944268 +852099127 +368511922 +1004623471 +266829108 +1399768136 +1769118961 +1204039399 +305874237 +13563099 +599020661 +285993733 +1578216923 +1880612977 +1232359605 +1127294302 +915197701 +1677738076 +1613534591 +1548370770 +1958560389 +1389424249 +210572190 +2011647366 +1744891069 +829089887 +1724681776 +1241183476 +2006884206 +1911807841 +283160897 +1940344827 +616423321 +651672820 +797484650 +883252429 +2051440956 +419119964 +2087291828 +209831545 +432683063 +538828841 +495825278 +2010899986 +271958171 +1728184884 +990710640 +1187155872 +1258439312 +456761584 +588042995 +1069516053 +1846185833 +798615185 +933679771 +1443593254 +1627705072 +510877900 +537293082 +1487105631 +275202093 +820453979 +1279966810 +891625414 +1472126799 +2077451460 +1774877843 +1376084107 +349087776 +1714686024 +1585915653 +781770839 +106031217 +2081740931 +645187177 +377989388 +1662442167 +1635897818 +1565145261 +773397832 +2092659402 +5704608 +1842913885 +1791361587 +804319793 +629110009 +1087471193 +284541217 +1139987909 +1624764275 +1771646848 +1415190002 +297734606 +904130010 +159331769 +1769861406 +834097823 +1934209612 +998461865 +1183185599 +1501411988 +436893870 +1964956439 +1607443206 +371151154 +462659968 +1985432594 +2033593321 +2098557786 +1403094207 +659507505 +2043733540 +1408798815 +354937743 +1687611479 +65634960 +984047752 +627599024 +350176178 +2124035661 +104879651 +2121823026 +1391742015 +402614258 +878469389 +1551073784 +24992016 +1712567212 +1337799749 +1023453881 +748269163 +691728089 +1460347752 +565741954 +151687647 +1831498906 +1028401923 +2137120242 +1717608579 +979476061 +1392730801 +229632437 +875725954 +654045969 +584570180 +415853785 +719680929 +1568617932 +1043452810 +1069857107 +1545169945 +1148332461 +1044196486 +789428312 +1550946719 +1922665875 +193018449 +1575938735 +1487749439 +1530818198 +451908969 +88534954 +75062639 +1912256721 +654276909 +226750287 +1596271979 +1682678832 +216386881 +1166396910 +514671245 +1609117682 +1396029347 +1390397199 +115680003 +1980599527 +1806250985 +835360933 +1401733811 +702220147 +1905218040 +799420108 +1850552608 +801930878 +1588848421 +1254015680 +577113105 +1781866870 +682470767 +2064862544 +1165201420 +1134379736 +5913851 +1240264059 +899152809 +660190760 +1467014346 +347941140 +195385944 +1683401227 +1514338051 +710057189 +1145035262 +762883750 +2100454389 +1260715265 +595999630 +1759221726 +2096076198 +1997733441 +313958225 +1853810591 +649669902 +17027185 +508257821 +91034675 +1271042865 +1085370927 +1872901545 +1953513633 +1002749823 +890619317 +940409721 +1008663674 +2130883376 +1839562531 +1668854434 +1450414075 +40020023 +1864240378 +986331654 +1554358074 +426813920 +2131366916 +169758177 +379784661 +1244598534 +765757807 +2139006387 +1193191084 +616007600 +305480964 +899518027 +1265677502 +322508149 +1407775849 +1356712177 +1593551015 +345663128 +1082130074 +1399581000 +1348412951 +1972749391 +192507073 +209592978 +1956149120 +2032069604 +1878447412 +1259079547 +2072089628 +1595204143 +97927553 +1478964054 +2022018063 +81810822 +1648722231 +254319076 +1326409356 +266996390 +245841815 +372116792 +883003991 +551322779 +1271634820 +1197845 +873830928 +531927021 +1357910023 +319898295 +877590149 +292556449 +1719479295 +78519452 +117822193 +1911986369 +288112430 +2073971313 +1796572325 +19076195 +1185567212 +1721178305 +1614280338 +1283494765 +1052658712 +1488814753 +1365305587 +553897295 +1743133829 +544231295 +820893686 +1988975644 +916348088 +1703897677 +392814775 +40499260 +1705095522 +1266645703 +572426281 +915521897 +1586543999 +1450016430 +1208078347 +1158539646 +1528535882 +1325900540 +923042367 +1816648313 +1252388205 +572131045 +1835724508 +290471769 +145825702 +1302521198 +1573966534 +1198484414 +643852303 +791788474 +1752381710 +239502484 +1336019769 +425791748 +80994480 +104884209 +2129689425 +473809255 +145383469 +1687301299 +1740454958 +717809750 +455339549 +1179515309 +20342532 +1663417896 +190571308 +1548878415 +841834788 +1113613675 +1218043080 +2094222993 +1685744720 +906283940 +237211114 +1831570423 +61321490 +1811177648 +882571189 +705173793 +455482474 +487469251 +944676277 +1791502244 +913260999 +1025670757 +1896386453 +895466776 +1499480012 +2041769923 +435284428 +1092451322 +612096025 +890623977 +124482984 +632438558 +406558225 +315054292 +33833325 +1248393013 +1428667967 +1251876405 +1195132358 +966929040 +10676697 +1432343472 +651015815 +71998187 +1096037472 +1533587004 +777171980 +1551519947 +2021056256 +1721848257 +1195538543 +786833607 +600035366 +944441348 +1682300384 +2099515378 +838727623 +2117584812 +1044483052 +1450823649 +860725141 +1168966036 +2083262207 +1267283366 +1484020328 +2117095532 +368192731 +765204648 +1221488289 +1563325089 +1732133688 +1232164986 +848184913 +235665855 +1304163173 +1944222385 +1769252859 +2081335153 +1348258684 +1642825467 +1655699762 +396313579 +282175427 +108251480 +1340754928 +1964475811 +60283210 +31998903 +1934576975 +1104766262 +1482822552 +647818468 +126248651 +1418601111 +1915101834 +1610268979 +1388212995 +135810917 +227989979 +462217636 +1699136006 +1960123667 +1694382622 +399837271 +48305874 +851062147 +196576008 +1817558734 +784913652 +1544834693 +1312900553 +293129766 +1941148272 +1595075980 +401381246 +1134419552 +1412068143 +461664456 +1166418456 +1199161470 +1566430719 +501757360 +1846979938 +1692679370 +1920358472 +1614598124 +1155464701 +1161087819 +1750409041 +1383454681 +1623305456 +1302061399 +1196094700 +1170204430 +1701898670 +1244400575 +2021266578 +1898474679 +914475661 +658696582 +1295825724 +79892566 +951826349 +1089490348 +1674968547 +1353207595 +76426253 +939553042 +1814872052 +1242844709 +2138714513 +1233819123 +1744602069 +1838210803 +779014845 +1517476893 +1305325280 +1934479546 +531081065 +908250673 +1170450579 +6902873 +62828425 +219061632 +1177107303 +1764727095 +1463462207 +1050890233 +1515718126 +230454220 +1709586816 +664060202 +310346786 +513929517 +1753550551 +1985315333 +1867137112 +1829976804 +777384728 +1534525516 +925337865 +768615593 +620860991 +522456286 +459342748 +1399875836 +2039933180 +1764668028 +1186871735 +423530597 +525435054 +209838666 +430433470 +588263479 +428900298 +1607540773 +205506926 +1892362505 +510947359 +1721225053 +2122816725 +73050527 +237801607 +285679864 +586980044 +1991352158 +123511549 +306633508 +1673845314 +900896277 +1841159025 +451699531 +1669511870 +314536368 +974155818 +2128854619 +1714412205 +866605350 +1746038999 +753800292 +1290135947 +123990405 +963638958 +1720569417 +712253884 +1392539257 +1180626542 +917760811 +1137418114 +1691573901 +491502216 +1112751192 +1764624428 +729303823 +1398431056 +204120824 +573172334 +1521942605 +510754333 +99534000 +275355235 +204429710 +551233532 +1944867105 +518966078 +1525389350 +1926238076 +85894635 +244511052 +1524793428 +839694927 +1534646999 +1648783833 +1803333886 +1107732768 +213554070 +1048389495 +140875662 +1131314881 +38323961 +1832449564 +1622817097 +1151075153 +1449590344 +204637272 +402022561 +1653711169 +777809606 +1923965167 +16981854 +877343607 +51836754 +221411564 +1428577139 +1996703859 +740377642 +806482841 +1775458288 +826272278 +1050993893 +1152768068 +1665967205 +438157244 +654068253 +1321817443 +1545890012 +867622323 +222723290 +1686765674 +1998937204 +261047252 +1371731590 +1474270653 +1412122405 +673838287 +1678907926 +1814144967 +180065808 +309233884 +1590626486 +197047662 +1186577491 +1642463240 +418459226 +467670982 +1491683451 +1158836868 +1274153823 +1119658091 +1985109146 +177664068 +124942511 +1503592704 +615821312 +779010765 +677926499 +14227676 +1646633088 +900649790 +1700993351 +1498086645 +1161697042 +925241293 +824873650 +426335799 +1599079580 +356297928 +92997118 +1779145388 +665531813 +1683623604 +1976193050 +1852109304 +1178603196 +247168628 +172296639 +522803000 +1406005497 +1446450462 +1642461091 +1243630995 +1624114531 +1767403603 +599740051 +92452195 +398930720 +1277666551 +106679872 +2045563808 +30832693 +1807673223 +1396166805 +1192529735 +585430868 +73556808 +1618865534 +37026801 +429854736 +1711862653 +1816172189 +1095386549 +1248002609 +1644881592 +800012206 +279122158 +1892050220 +972308845 +801925158 +1150572069 +271275659 +296902601 +246719417 +1895390190 +2064306204 +846459468 +1987842386 +315753276 +2124126019 +2094522258 +213833437 +7475064 +1754711833 +1610000242 +1200004799 +192659053 +1683557050 +671386686 +229685854 +2113411787 +235765691 +2045858044 +1061314688 +1483768300 +1543255988 +1861326894 +1762890458 +1287822560 +686152091 +417331968 +290910982 +957427751 +714234570 +537630399 +705334293 +631057126 +1384089867 +545693031 +946810403 +1360732239 +492731641 +1160643840 +1368207303 +99959826 +623160434 +420728455 +292618880 +159233837 +1092115141 +522304734 +125161976 +1327880832 +420679130 +1186476664 +664165484 +1963935118 +900319911 +279572295 +1104274031 +1586472002 +696904263 +1395185013 +396416105 +1411138833 +1932815412 +1101750399 +2042195960 +1169421631 +1647443430 +841522715 +382670222 +2140175072 +2002166555 +1750877526 +92651250 +477843341 +24122333 +385270130 +637077178 +1116237474 +907574865 +762239154 +296634658 +1328253995 +1948715819 +960800142 +1144705466 +701552082 +1240372437 +101495849 +140540436 +1937276701 +1496680862 +536956542 +1200931886 +1282012626 +1638706941 +1095644198 +303950609 +1138666723 +1937166913 +686620832 +1131358147 +1791849820 +290014710 +1224009398 +122209514 +314137043 +1609279528 +759286692 +1430374517 +369370745 +1521525847 +1727009175 +1697624741 +1322758018 +540325669 +694846559 +2024310100 +1780698107 +796342408 +17366888 +1570491160 +145539622 +554323430 +623939398 +1427552248 +45546723 +1719583597 +1731502857 +1184213447 +1509266862 +270640041 +168087946 +1153633035 +560654751 +1392097344 +1275842549 +874791794 +853893225 +2035129241 +157682663 +1223263970 +1409171440 +1884691838 +773405063 +584445810 +277533860 +1468251622 +461272262 +2058231967 +117110382 +478639151 +1481239479 +262650004 +1032962581 +2105178877 +1690202252 +1078509305 +1677278826 +1274221462 +115239104 +1039062041 +1544861503 +283327050 +45211428 +2105516255 +1675424395 +1321053977 +832824401 +381833972 +1208699570 +990507065 +1605097942 +470387363 +727715255 +231019358 +1054833173 +1005249115 +1699270980 +1516105436 +915997434 +1816381363 +1994744587 +249753265 +2079031367 +880223520 +207448495 +1621749972 +1958732825 +1884727321 +748487786 +2073971929 +776305714 +145865641 +209815332 +821517142 +103898248 +1885239727 +2142571119 +936722650 +119590051 +1203787042 +1927229715 +1724687993 +1674174405 +507461322 +1955707351 +581523930 +1512710438 +1507494684 +2097629366 +281224224 +1176392399 +1944890305 +530977490 +1107940118 +677630178 +738425985 +582206442 +488879355 +475669658 +1330694228 +415367637 +1251975373 +1476559870 +625182969 +2073492515 +1580458118 +362939048 +2068579987 +369697120 +482529099 +1124883381 +149443187 +59733444 +651574138 +656904510 +2015440796 +1233098068 +22131300 +1375451832 +1183243787 +303355524 +404360583 +980650444 +834333014 +1512300701 +1658280622 +1572758999 +2094507144 +2147159978 +2048428658 +1277717724 +415043967 +1152920383 +606793946 +1040226936 +1078929250 +39768417 +1403165984 +1000025589 +409465537 +1885695083 +2124908970 +558908725 +1945428527 +628999460 +1215813235 +1813385675 +1862097529 +1237944535 +1041353859 +897857668 +1541300059 +1445714442 +1878508112 +228149426 +810531496 +1389305087 +1800908425 +757554992 +1388981417 +1701853435 +2035272716 +1804025384 +707290170 +494583015 +696768672 +1786219421 +534351432 +2099934656 +638761362 +943816969 +1838146091 +616186685 +1502725694 +1636090970 +1245186145 +571055281 +1301992998 +959800026 +1808999816 +195863209 +1857657694 +1202816228 +1641577652 +1588682159 +1430965654 +304625500 +830503598 +1084390431 +1062180492 +72001367 +638760219 +949969560 +1876026751 +1346050389 +1444552575 +425311775 +984786162 +1978904007 +377762783 +1623547525 +775237329 +68425226 +92250562 +130479375 +1704516196 +1337436707 +701534657 +859025546 +149753086 +363050825 +1054888756 +2007410780 +1565867053 +548982760 +1448609291 +849349059 +853608260 +131629241 +1933739491 +1915788752 +203630608 +425016062 +718274664 +2079657359 +1771066451 +15343592 +357485486 +608368966 +1994247599 +735248269 +84432843 +622001280 +803673495 +176683405 +752480656 +360706044 +1514120112 +1454015313 +1219731590 +1663873198 +1817066138 +127136698 +1523800331 +1235449544 +676119458 +824925974 +2084798603 +1529727718 +956555216 +1871054446 +1298032822 +1160185824 +148586860 +2016307487 +1092359536 +1919653312 +2031651079 +1449845022 +380538630 +1878415030 +37609644 +464971473 +352932663 +841283139 +641654878 +1105413319 +1201989183 +8291342 +411944984 +274237126 +1672164541 +81527474 +401373824 +1048481224 +1316977018 +1077493283 +1873407198 +1254291974 +459737353 +682478766 +977862772 +1757770176 +1842664591 +1126449633 +1626594015 +787540479 +898619297 +1510761446 +89901853 +1279157927 +1241692828 +127511497 +1744129400 +1594625491 +968794637 +238300630 +552555162 +23300172 +246591972 +964500146 +297537298 +1918756513 +1046027621 +698911123 +819754089 +215520991 +1776404406 +545677640 +1469812965 +88658111 +1228156406 +300192090 +1846428287 +923337349 +1426641723 +1325538654 +1710877828 +177777372 +688816452 +1800779682 +1456935299 +1930509281 +1928291179 +1053581051 +1377651124 +749602168 +1291881681 +1930206287 +772902341 +1538473653 +747222785 +1070439639 +1309746519 +1793250406 +1769350762 +2129500608 +2008771398 +1398271520 +527694600 +1331100715 +1486929632 +1755851007 +1631292805 +1185874271 +531704708 +910450880 +363929278 +95098889 +1088228252 +1052745730 +1895878571 +397679903 +835771363 +1676686102 +1451260954 +65938840 +278804623 +595658987 +1996145127 +1051706964 +2134132641 +595884264 +2122146603 +1296395512 +241651023 +1744013718 +1278412472 +102938773 +994801590 +1806107073 +1434039488 +334247574 +1414474432 +917848646 +1520121846 +1946179140 +1828299526 +1884051124 +2041278029 +769044131 +789313206 +1789672952 +1166724034 +1625084570 +1318875407 +470501341 +1691023410 +1597680030 +1066160328 +1539684889 +501903346 +1052809321 +2135569153 +476566301 +201721185 +229736528 +73096371 +1480133658 +332675301 +1067897962 +1138757083 +1766714790 +1402145536 +405747867 +537079788 +774783734 +204443359 +217895666 +511351210 +98237741 +986939797 +1300664417 +1887910693 +6180184 +778265339 +1059302452 +476681525 +321805101 +509498834 +1542841853 +1861489990 +1011402180 +448167527 +1849575495 +1487968482 +649888712 +2079312024 +1561064853 +2130022370 +264503677 +481479167 +1121295805 +2031218467 +1883624704 +1527043672 +420814607 +510924790 +1731487032 +638710274 +1022276001 +1829724773 +1625650071 +175456770 +1570151818 +1631830255 +953722109 +481970623 +2108511780 +1275527210 +991469457 +1503869986 +989533552 +2002871638 +1952037513 +691625399 +1343356472 +454442577 +623453775 +756937677 +436981300 +887957453 +1238416845 +1558277105 +771692272 +974557901 +937837130 +1192506880 +1485482691 +521840514 +1831217154 +360275044 +204081639 +1309383577 +535731814 +1774233457 +793730185 +1489453923 +108720432 +754758317 +617497485 +1100189890 +111144655 +1607031037 +955577880 +2063182168 +151172789 +151450704 +370141098 +774626564 +908388381 +807122398 +1662584017 +2146805226 +217915855 +286792642 +973879479 +1155752985 +1479299522 +311878523 +1677593499 +1163033028 +672153567 +1881675138 +324932957 +1207885382 +1508424948 +1118663142 +549855657 +1617145380 +1873421460 +1167353143 +569851622 +1984566115 +626900532 +1525429502 +1900264636 +778073321 +1676880206 +122922086 +1552699886 +437784940 +930044484 +1067800255 +437106518 +1147960339 +1354592897 +1410985998 +156229677 +686408771 +1722864521 +1833823176 +1849441799 +247534440 +1568014667 +26891109 +1455419822 +928955967 +1145554251 +2005275480 +398617699 +871492063 +1025144975 +968469322 +708574531 +1652045507 +346415176 +461355519 +282635181 +2023295383 +584277605 +1835335067 +313596675 +1514322089 +755651674 +750703193 +514798780 +2110244572 +14205543 +671028457 +649169695 +1737070064 +357367986 +351127847 +1984604505 +1925382653 +378018956 +1292540679 +706854972 +1523573207 +1150332511 +1105472671 +247581623 +27993838 +2073941993 +956156154 +1680039346 +272873522 +1417511673 +1962674527 +148685257 +2001789278 +1650525946 +462281932 +1368627719 +258693972 +1212985125 +1883426499 +221454896 +1227190669 +406971309 +870624592 +816777085 +764339295 +1221752439 +653897942 +542238300 +1599771395 +1946438622 +1249093272 +975860954 +949287485 +207082295 +1223442577 +977281324 +133540641 +32115083 +509837022 +406414163 +1449626756 +325027901 +555099420 +1303932386 +1975553847 +1017381352 +525076457 +86764171 +82882829 +261019309 +308219068 +1310073498 +667990618 +1178843660 +2126850584 +1432329913 +253112451 +633264878 +1974568213 +1852883846 +432219852 +1076177837 +681261152 +1381507338 +1283260132 +1904703730 +211305014 +1416800773 +1936818813 +721142036 +1823214936 +1238961922 +1046169937 +230830708 +395410660 +874240136 +1248212060 +920487118 +961004307 +1331094890 +1181506427 +1269223375 +493684740 +1849497045 +300583387 +473051676 +1134343310 +553695838 +1106316555 +961427875 +259096036 +1538536407 +2037605712 +940357189 +772560097 +1173382196 +697577271 +983865111 +442699322 +486912436 +1705007147 +118430610 +1725874358 +603693436 +349261319 +2121285019 +1477933572 +1597473379 +894288489 +291454232 +781084621 +2075794916 +1560677607 +1274769362 +1777808313 +1861260995 +1747821038 +764667975 +267473185 +706653945 +1726095850 +526569222 +97706705 +1616217914 +1466926411 +870266802 +642116462 +17020034 +1854131914 +1084815784 +503932470 +1411655413 +1203246395 +82323181 +2015348850 +1552507714 +56124552 +1345798774 +1002497445 +950413041 +1637253006 +1783582067 +878724309 +1050446966 +910867781 +509048974 +764224313 +511205171 +1273716949 +1031697498 +1217859117 +852329151 +1558266720 +1315565822 +321063417 +877709483 +38348976 +963179879 +894729517 +1892480890 +2047995664 +1398661988 +1156652656 +1103758411 +1480985169 +1024517858 +508782477 +1537109721 +222832984 +1511279922 +340039114 +1860085991 +1147378341 +1218763423 +763049309 +2058246122 +1727812397 +1527273622 +421967646 +854045698 +411487472 +1639826763 +1706374849 +1969754193 +807908937 +2027438266 +699980028 +846257913 +843134497 +1594709546 +591255156 +743646513 +845887886 +1747907812 +1847404924 +179389407 +624942022 +208703753 +1716499128 +847775006 +1719983676 +2056538242 +560377349 +719878369 +1127818017 +1323426658 +630640844 +708146766 +703216632 +1052608490 +1562192464 +1114704105 +544951605 +1121083665 +936974650 +1352860542 +1001038283 +1636954678 +51634807 +1844172780 +1084180576 +642889963 +440335646 +1930068462 +243314127 +140256922 +2109457869 +868256149 +348960676 +1678473349 +1716031156 +2068944352 +1587527943 +128924857 +641339073 +567862312 +1452351516 +1271979917 +1276009078 +8084500 +177104759 +690717894 +1122788605 +722056364 +1811801559 +2059763255 +2074916906 +665356194 +1549234286 +2126551714 +362045327 +485931214 +621958029 +802380973 +268516029 +865272157 +942637895 +230490250 +1733528306 +1291598571 +1908963600 +1302075814 +1213059275 +1349007895 +1431000672 +1854398349 +1916870208 +735868540 +978894618 +1045395638 +743953040 +1155999378 +1736113533 +1866741646 +1878055742 +1400431444 +1779021253 +1805489001 +2065787639 +1180771891 +1784557067 +280349318 +1666703106 +259031448 +1082730291 +1935219135 +1124303605 +2025368186 +18225737 +710348264 +1169483110 +1927189337 +2012424078 +235058737 +1128713585 +1295941102 +2089457086 +898100145 +2031809642 +920868057 +1943495783 +628279035 +2076867435 +1532125668 +347537033 +1807439529 +785073465 +2126558286 +1465444882 +703377456 +1159846530 +1102518301 +983726774 +679065988 +1361549750 +2066457065 +466801475 +338369707 +1944341603 +485027212 +1048717971 +966341065 +264732902 +913658402 +1201399803 +1393446487 +62115856 +1143373241 +144062984 +2093925499 +2064241298 +2087558767 +574720886 +1993625085 +1472200788 +922257919 +1653580967 +109790605 +901332557 +971542201 +813168061 +2061179087 +2074060503 +1796894835 +592761427 +1288126605 +1715868252 +1059562902 +1626496312 +1512726207 +1544590115 +527730636 +331583625 +1809323017 +1441389038 +1532983428 +1055285856 +1503504894 +528873021 +1199348840 +1449946745 +445630672 +1139423959 +2024667631 +291772109 +464141099 +799441902 +1945353076 +573931704 +1700774460 +769411630 +1387099765 +1614469899 +695988485 +1036510952 +59747679 +1984115090 +604895556 +1119310581 +1463127754 +2117621764 +516417048 +1990858390 +301721741 +178256417 +1284763780 +1834705169 +1233542273 +640785027 +216094542 +285407465 +2090731772 +661725214 +1424831425 +1967915756 +953497324 +1888972524 +619874010 +751366752 +315420581 +173164822 +1520778382 +1702520346 +1787634722 +69283219 +591547651 +1847382401 +2053398309 +1196443207 +819209334 +1369042416 +1166581323 +1335626383 +1212417158 +1468303064 +1513882800 +349697291 +1155524585 +599941426 +990482318 +1371619128 +885348891 +933730442 +2033344342 +162696668 +754162550 +839358018 +2051669193 +1374036561 +1590724771 +219606126 +1547201383 +964019505 +1922126472 +1187352457 +1033302725 +366190475 +887251210 +939217386 +1562633683 +1706460545 +160776154 +581731358 +894603280 +1373193313 +2050034423 +261002432 +1722890604 +1058075360 +860943858 +565889274 +282210840 +1746292750 +1499619716 +168071535 +1908989418 +106298619 +1007429553 +1813174963 +1480335180 +450670676 +2032781089 +880052915 +1414690182 +1807423914 +2067405373 +300509259 +26130741 +807172935 +1239726645 +1588764424 +366149832 +1400502800 +23012135 +1260753112 +626212465 +2073046558 +1521755545 +201619421 +983638270 +235215755 +767508695 +1265849111 +1981508505 +119644763 +1433920646 +1743014276 +225943382 +293866551 +1408705591 +1706278562 +744537228 +1294003033 +438847830 +11743762 +953943299 +358769555 +312253021 +980074040 +1165942490 +1551979666 +421354817 +1532092323 +804998818 +444366952 +645361787 +1431211283 +369929862 +19633684 +1632830704 +1353568132 +254849440 +252855751 +471933595 +88874297 +372500515 +1905854241 +1831888573 +598443897 +52237145 +1093110517 +157238812 +796774373 +239629902 +596086642 +808518135 +1193573201 +954856197 +1120771156 +26163593 +2120798687 +525267174 +447518410 +1505407362 +1330265993 +891885362 +3285502 +613993628 +1261815224 +22919186 +99340685 +467899709 +277768626 +352196436 +939833304 +366642924 +724696951 +698203898 +51047849 +1323140849 +750441043 +1144158366 +1480379661 +1547215416 +1383788268 +2076466303 +208249903 +429877821 +883838852 +1329021059 +456041415 +857153891 +1854288233 +903559825 +215077606 +1037070578 +1795445188 +218363108 +1651064207 +909776764 +241282294 +1750404892 +1377676473 +519050921 +2102601328 +170026130 +885693845 +679814632 +868230028 +936741694 +2002955481 +1618671071 +2080900061 +1335851494 +1018402839 +1317204681 +1264834149 +1226652742 +1747082503 +1189353 +408190153 +55640270 +858343244 +114994738 +959200095 +1073420850 +1152065317 +607161635 +1291783958 +655645876 +1516938400 +1533066253 +258567120 +747131225 +2052117174 +213684800 +917157355 +790327371 +893499432 +1785387383 +1727069065 +748971265 +1256574806 +1660485478 +2084822759 +127493997 +830206512 +1202173260 +1354146739 +429805367 +1203362613 +1762336892 +485445637 +2061705858 +1877331631 +1444645732 +987643060 +881913300 +2051807368 +131943371 +1537559176 +1421262120 +1665009624 +1796126296 +20909697 +1569643150 +2009811096 +938067053 +212486873 +755826881 +575970788 +1939555938 +1504798146 +1832545595 +1452557769 +1442137258 +1960039592 +135280633 +496826870 +1166702684 +565086000 +1700189484 +781555928 +1050531637 +1614411694 +511403911 +347693721 +454571106 +1393317211 +252017441 +586514477 +783392739 +1673279561 +104040453 +432035387 +1694189259 +1673683603 +294362836 +484772664 +1886170476 +1050189717 +1060743452 +1678242767 +407504215 +745805399 +983316888 +1849641473 +558361344 +1118597521 +198984696 +1725064028 +1683683521 +1899174180 +359136308 +586731510 +1366102226 +870540220 +934425231 +1820673332 +116373783 +1186442673 +259704162 +899766523 +712238586 +363744615 +1331801910 +258944197 +2037428219 +1626164746 +743716861 +1776115047 +528870815 +1804460314 +1306874166 +936375031 +402782065 +142707406 +638532856 +961143409 +1261304927 +837517552 +538723789 +797504800 +589208084 +897860098 +1384236310 +1955310310 +1768400318 +171177894 +1628499995 +1884774101 +1357620567 +1888204157 +637056976 +2069859153 +104465124 +1968858887 +181319703 +2141893343 +1447539985 +925036564 +1770524743 +1976410801 +582013230 +929915261 +765302184 +984795296 +1072622668 +1403835040 +1945938705 +186443947 +93868945 +337178847 +983948748 +683077029 +1235038945 +220701410 +490903692 +855955615 +391879304 +2119403687 +593246068 +1749499871 +1860124196 +1230303045 +1671875377 +1964589320 +1051678284 +1853195080 +1958999016 +351734621 +630747996 +1582040111 +180661774 +1212761227 +364471724 +945963958 +50072875 +1437094392 +202315351 +1996011580 +1623538340 +296184296 +185706779 +460003440 +979261325 +1420745724 +680704850 +1470165017 +129217691 +1072584155 +1442085056 +722463760 +674600378 +1154725604 +1952766805 +198992107 +971831277 +856961441 +2052187187 +783346645 +1208696062 +535451536 +217903108 +1389357837 +1748212763 +582374832 +187838147 +1798285638 +2019469225 +390153498 +1646813570 +1495523917 +686337794 +1832520350 +1955527357 +1665599120 +1105782426 +488748559 +988280489 +1235000118 +1561332714 +282881898 +1957463878 +88449445 +1437607502 +1762747035 +287441552 +261955131 +472224828 +192145092 +1045301776 +1680920890 +727596628 +1263204884 +922795079 +328325743 +1845579717 +1110633227 +2126611381 +1717565294 +1500786725 +1625941303 +1065605563 +39640872 +1310978005 +873649272 +1705239992 +269276784 +1362397831 +546036833 +1504276902 +776246898 +828918731 +1314257132 +864696343 +119042586 +929520519 +1152137895 +380997717 +1401745347 +1344282987 +1426299494 +935182589 +2071879615 +542020730 +1857977669 +252721710 +240116799 +821127248 +231849443 +1957682093 +174430325 +1857790747 +875804008 +214071197 +1021285104 +1749453280 +1919311189 +1290561888 +964367464 +317864375 +647355142 +1740614362 +1146783106 +1961612274 +457827057 +1265825692 +743649145 +1609964952 +1646823410 +2145394492 +806764292 +925639256 +933093434 +731160259 +1467659986 +643587455 +983881970 +1707776786 +1464714703 +1215731413 +1517975231 +1639145028 +926038512 +246295592 +1853216226 +1947323617 +1995748872 +1625043767 +1090401857 +812632688 +1942908142 +1737757000 +405763402 +942207601 +1551885626 +863590459 +60549645 +148051124 +326071764 +1707373055 +145961968 +1132836056 +485528663 +1079055402 +1863996315 +1953188650 +1722642857 +700394637 +1513481788 +1039873912 +1916126051 +883973371 +531535293 +694680915 +1130268963 +237267871 +494520884 +978534188 +1862311638 +1584922742 +1791166876 +1657736133 +1175196094 +49446631 +452460086 +579598072 +913037090 +513009731 +727649196 +1239108854 +72899139 +873611165 +224461262 +558427802 +1952666567 +2088457578 +364132804 +1527825777 +641368567 +1877614592 +420216041 +410010970 +614104316 +951751334 +1104691886 +1744373279 +1189019205 +1599212770 +575423819 +903847196 +1036651864 +219107048 +414099681 +64364310 +268553679 +866559767 +643962383 +1181590769 +1379569498 +1371611579 +273215976 +1452468637 +97739096 +497677238 +2010896440 +2050405664 +438651168 +227545596 +1430747793 +1080019736 +2105160189 +1850963834 +1490030706 +571780857 +655231521 +447238944 +168670488 +1844250726 +2046451715 +744094308 +600614274 +935619931 +963201356 +1014713955 +999984242 +1231755035 +1881273722 +1643946625 +265862156 +1113359573 +868074556 +539078132 +418344562 +965813653 +1036755371 +281757354 +868735669 +1475406539 +509302951 +151999814 +407942627 +466979492 +2002963648 +1897973334 +1038760349 +510711521 +197728630 +1207430837 +207478600 +96696697 +1951525145 +808092874 +1032316629 +767242853 +1822806830 +2032300871 +1998997888 +1556596904 +1528763848 +117376397 +522472829 +249354756 +656454529 +940817392 +1215168409 +1693209900 +1222574746 +2083904078 +1021132792 +1731877697 +88420244 +1429075419 +51373541 +2091383893 +1179565105 +1090133890 +454611766 +1377293736 +150081080 +662090366 +1473990433 +2101606225 +1470183241 +358823414 +721365431 +1145506423 +243640637 +572879671 +554619679 +1772404485 +690256068 +1077092509 +2021759242 +1346710598 +2017909901 +1089444003 +892436850 +1093000999 +1025864434 +1913569642 +677395049 +1114284678 +1195161414 +728768590 +1058184923 +227242871 +1818902481 +1512796690 +1604536607 +1968983561 +27403408 +931043393 +1923106138 +1497586649 +1289866807 +496987921 +495609424 +1533507445 +1069867593 +1050229104 +1158428282 +1760123661 +2127321613 +1032703876 +959350611 +1997747866 +2122147880 +1851787462 +943265217 +1000528666 +1617873456 +1620660266 +2114813344 +665551222 +201945209 +1025514620 +892794094 +2020847690 +390827662 +349847053 +1842347603 +418231070 +1280890446 +1617970093 +1915817720 +423273606 +2114958015 +263943496 +1956781051 +1037341960 +1314172600 +967725685 +649981973 +1294010565 +2000429562 +1609332585 +1144274783 +1975093794 +1313636399 +2087540001 +828138812 +784026207 +1560716619 +795468508 +1449577430 +1762661828 +1820983128 +194887876 +1636025870 +64327142 +544734929 +1330889825 +482558213 +1825625376 +801376271 +250892285 +101415334 +768850638 +514835781 +2058196385 +1806192598 +1829008382 +878438422 +308690923 +975535299 +731384336 +1918023508 +2119810083 +558994482 +1084176259 +2059866436 +1387133294 +1868202467 +1473099407 +35118155 +1170296249 +1088277588 +1856101283 +1365184125 +576819810 +1920428426 +1909919054 +1907709636 +255502991 +1588060782 +561602259 +506395276 +1689476116 +1330452897 +1021231057 +1600188853 +989161847 +702755791 +331143628 +1297852770 +1678291091 +1062527964 +1068392631 +1650617526 +1621522447 +5085242 +1563000314 +861172093 +1873287709 +888616073 +896290248 +896100310 +1976893661 +604907884 +113800787 +406229824 +377852662 +2023719842 +166455812 +633355653 +1464296976 +728058071 +1139750929 +1006289445 +2058510968 +13498338 +458994650 +900189167 +716254130 +790138278 +50558289 +247061573 +1852666243 +1118950920 +1897679099 +1326705042 +1124036163 +1313195765 +40393487 +849840224 +54328190 +936683736 +1745940535 +2031221852 +1541591620 +1859741322 +289968028 +1919444282 +1735977516 +456423840 +405316287 +1052790845 +1184481911 +1545067216 +2059080290 +1095509231 +1558565554 +370591292 +1995698398 +127336036 +1160729571 +2046256687 +374397609 +865912166 +1017723960 +124593060 +45133560 +2141760123 +1437788825 +85527047 +844116699 +1492117016 +1022210783 +442573586 +1375855220 +416318755 +154831261 +1665823248 +188279389 +1890808777 +2122247088 +593595676 +796115974 +1159245351 +2138662892 +707712616 +107270934 +1549744799 +1078303909 +2102969332 +1677080835 +91549832 +2001742371 +2051478445 +957461998 +871982683 +28587857 +1002595558 +866259158 +1466376683 +1088122605 +1710375858 +811010051 +2110333389 +5465796 +39381623 +379168496 +160297057 +1705204871 +567447886 +2051105835 +1679968311 +1161043562 +699738161 +691730014 +1152222807 +1407450778 +799000948 +554483958 +338271039 +754486632 +84081145 +429820871 +608745355 +2135559590 +1387282869 +1480728039 +16663800 +242394779 +199503549 +1483040483 +1330517384 +1909879407 +146566886 +1293367125 +1915345204 +185948509 +1672535622 +2075642261 +1891153380 +92499860 +1979264448 +1423638043 +1253543422 +531518962 +2115368057 +258282581 +1938969740 +766885357 +812766539 +129757131 +1521371989 +896847685 +559578002 +2130117344 +884923627 +1946860871 +1463361735 +901587427 +41772002 +1662865285 +237144262 +1372289386 +1425261044 +383711148 +518172864 +1193122600 +569659657 +43224838 +1121281214 +313329389 +135724698 +953062014 +1736967432 +1389268120 +1484580976 +1704851841 +1647550702 +1276067068 +324253550 +312833593 +1405824199 +1845625539 +1209681278 +1965402201 +1828259236 +2094604906 +1764779424 +1144137323 +848708685 +1806551426 +659518960 +1085852948 +1031357165 +2084780005 +1469564096 +1549530029 +1130418957 +2039223754 +1592754867 +104216523 +205069495 +1728479565 +1057278538 +1942036928 +970264037 +394375866 +1499405121 +470331091 +1670442935 +1823658672 +783164685 +928783486 +1521800563 +1992845963 +746702040 +1202576151 +1939967221 +363997816 +199229827 +641192259 +23065595 +858748787 +1727045207 +1054422760 +796045144 +1049125655 +456469141 +1926464102 +940865761 +2049224008 +2030680625 +1145935257 +1630219925 +940475515 +940488537 +453000314 +1334851382 +292410010 +923331406 +857810669 +2116068682 +1706496091 +1786594155 +1490385598 +1551858406 +385812547 +545478101 +1344341980 +749810364 +744707928 +1985534239 +772875959 +1603456716 +1565095798 +1827298719 +252018212 +466737805 +136284212 +30998666 +1407603567 +38024572 +2061679292 +406055176 +1668244497 +854671159 +1346543713 +2121244811 +42038893 +1638953723 +897092569 +899849562 +1607538758 +456105012 +538960070 +950440708 +2007963419 +924772617 +1495918809 +1204821751 +1674582981 +93143090 +1042872342 +299975292 +1696599806 +460484492 +2127274011 +1948618018 +927222297 +116074575 +1979616685 +187342216 +154099147 +1893812329 +593397392 +1822343644 +600999840 +1939941105 +1796104808 +643038734 +1431411181 +545713729 +1542888296 +891466291 +1001818742 +2081848366 +1841906999 +862298513 +859137336 +1190342160 +2067120264 +386236669 +1283485250 +962508958 +686211962 +832601408 +1422993450 +666002325 +633735779 +202732099 +782076901 +465868816 +390074316 +936176048 +212197497 +983471708 +611036045 +813197337 +775929166 +259657205 +1456236071 +59856699 +805370934 +851640720 +951322990 +1807189676 +786005438 +645746341 +522004541 +1645142774 +1836088501 +441641157 +2031379444 +972090104 +1404150115 +570107758 +1804691512 +679659917 +1236110083 +290943643 +882392017 +2018186984 +756812459 +1272466333 +806879385 +969009956 +108454393 +1417915430 +1782207294 +884383559 +1677572635 +1090959717 +944240258 +335459921 +1942600437 +1895563248 +2142649598 +581122228 +393825941 +517170491 +78781354 +82430795 +958811649 +2110160798 +1054520899 +215478116 +532784908 +711728763 +895138034 +1768894992 +1002672407 +1777530051 +1639598328 +1759484866 +902512736 +298994065 +581011175 +1010967129 +1716909495 +215734821 +1895350689 +1246998482 +1306694538 +692107299 +1582458404 +1101811328 +440186900 +1577624354 +1682933556 +834012841 +2094794845 +1761714910 +916443636 +906122846 +1724392061 +1970964535 +1121600963 +109693321 +535209651 +2016738997 +1878588313 +1537882058 +1646785400 +1370702994 +1149883276 +401814488 +1669697059 +1730894451 +1412781617 +1239122907 +1946629272 +1160648658 +338637741 +1105840163 +1852755958 +1921096145 +60167843 +145459210 +1351236851 +1743101399 +979472051 +1298548049 +1357332661 +1895915688 +57187247 +934241074 +1719396575 +1178788210 +1043934396 +107122578 +1048043559 +775039061 +1645004636 +547345311 +2145742055 +647404265 +949159799 +1667955467 +230815068 +214457769 +759594726 +29960693 +1375106427 +1098232467 +1135800856 +1080378737 +871844965 +1195968699 +1225837947 +75598168 +791586450 +57826351 +1374146217 +1435463 +1953742039 +1431333465 +935676538 +1525654966 +462638027 +1979610934 +1632777545 +1510681587 +607166347 +1130298533 +2058026898 +605424755 +1777702798 +859703050 +125896574 +2008517867 +1074160819 +885491300 +2038478560 +301783598 +1983723767 +1026795768 +1382162336 +708085084 +75280819 +460516635 +783683253 +866867269 +518342986 +10345822 +868302732 +324601377 +1441679287 +1803979270 +1850256344 +1904317315 +1636106556 +1335550241 +1267515254 +95789256 +318365126 +1178058504 +701214011 +2096067925 +2037761554 +827110585 +1957102144 +964438725 +1712601885 +1848097056 +1266222324 +1548842004 +727409176 +500901012 +109443441 +802689995 +961417647 +893126694 +1669557264 +1479760634 +903472516 +390376348 +1804362011 +197668156 +46871971 +1507134707 +2101985471 +1682978527 +695201300 +1222017077 +1778767783 +1013566427 +252591933 +332498146 +962150704 +142869840 +1159608731 +771769200 +1107308565 +724726968 +472382608 +226047241 +126085325 +1199791784 +726948253 +235528766 +2002481779 +1688365901 +1128655460 +1524555395 +1020642887 +2032127976 +1914931743 +677521250 +82312484 +1961803714 +37172310 +36814307 +1497298594 +732373610 +1258831384 +1128582729 +1745940037 +1511423318 +1461080876 +560607093 +1654293158 +473205959 +1332376293 +614118075 +1197932928 +1804758901 +840165317 +1324018253 +857067037 +1567113570 +1559547019 +712065168 +1107995823 +540718831 +89136915 +2128638710 +425363159 +2004068659 +658676313 +507675644 +1818388725 +695848623 +544489951 +1168203671 +1428222233 +1803321336 +149302753 +1026678623 +1167261006 +1610383629 +1587285716 +674070516 +2083589588 +772178362 +1288188591 +1134038868 +429453615 +2128353908 +310573473 +1286520653 +1547983831 +1870120492 +1998585821 +508496006 +263355675 +2087722737 +489651069 +688718835 +1944307748 +1148327382 +1196394479 +1615212825 +1844176005 +1740884430 +635932849 +1124914590 +1396722118 +785235602 +4109565 +416499476 +248135583 +1591395282 +1090569992 +184241523 +216089996 +231274936 +1318280392 +645543611 +212145196 +1628853865 +1932064264 +1760129027 +1351490710 +1783166438 +121141386 +1614846385 +1723405527 +610792455 +156081572 +1520229627 +1759119837 +1352476051 +987958804 +1455812194 +945876834 +1623891653 +433243136 +195115304 +261643607 +437352702 +611614781 +509779190 +2028747984 +1702184773 +694020714 +97354332 +1933459709 +2012301106 +742897943 +2145604906 +1493671323 +527478560 +1758250285 +697678385 +163161350 +1879391671 +165041123 +1886566877 +342700478 +321122695 +1259312856 +2101820315 +1673598747 +99788012 +1410148861 +471991933 +1723679666 +1843391998 +667107237 +1985323273 +133261052 +1278722018 +347618816 +14525388 +833423144 +1041639530 +111879720 +619399205 +906456988 +854777663 +617520463 +252644663 +1382256223 +228287101 +950323049 +1545417573 +2107678772 +1115364172 +1284500802 +302895603 +1436486867 +396330010 +257232270 +962601966 +496118023 +1667381132 +1434593899 +72314041 +1363289482 +2101701137 +2057637314 +1496550534 +1232939507 +257772482 +1511075922 +2066362651 +1299412012 +1622955642 +538278209 +58385352 +330249657 +1155798672 +311030016 +1712505881 +1384085773 +1261353065 +1110439806 +1344280898 +229233589 +247456961 +1647176501 +1665720456 +643786971 +1904408771 +480838775 +1139904994 +1424306255 +1915432674 +1212219035 +640112089 +1869650163 +1122372702 +2136662623 +955106023 +1380145184 +1500254897 +873985026 +532073549 +975726891 +1412263235 +590458901 +1305976549 +420578260 +901488917 +870998782 +1804664033 +15358334 +1981438588 +1001461283 +244591923 +81411901 +501154136 +1910312380 +725198873 +258079260 +243667507 +1865103867 +1682385515 +11616533 +929839255 +175013957 +1881266697 +2052211957 +164192932 +688889072 +1284873493 +1664447830 +1562874098 +1816947042 +492691073 +827653686 +259922296 +1798667622 +1248231946 +1161411213 +522182756 +905412331 +1176769548 +356137697 +1906873615 +1421361471 +437549598 +260544103 +1184190203 +1162748471 +518623363 +1427857710 +880368691 +53525231 +1439474244 +1810207946 +228539188 +1173257293 +1714936255 +392732120 +1862146365 +852326100 +2057179950 +1277536815 +521789495 +402387376 +2105190501 +781711791 +53571350 +1205938799 +1943123004 +575754107 +2111351131 +972408904 +931891804 +1870741098 +246286728 +1369441402 +2131285201 +1430476931 +384706226 +502424917 +710850994 +1265074917 +555950148 +2841590 +927799215 +784489336 +1176098883 +495251822 +1177221456 +890761600 +1347577922 +1086917759 +20814767 +1869367417 +1489305135 +2126005269 +503595560 +1542876485 +1184460420 +299234917 +2118630592 +1148327903 +1271643821 +903038748 +871585353 +1517930549 +124996503 +855386907 +800923833 +509702729 +1357811824 +1511774827 +1774777646 +1913761972 +1514616417 +555093213 +550767660 +543231652 +1050345035 +1727989116 +1433993252 +250439309 +667423227 +1454808019 +2119806727 +9244714 +1433329640 +475918639 +1552121200 +470306413 +775153556 +1523268144 +1618634316 +2046797378 +278823245 +342736022 +1417244279 +403819748 +1198122929 +70684464 +913522477 +408451105 +1582459291 +540816475 +174729429 +949592060 +1095909688 +725497089 +1492823712 +2146254723 +306002557 +779333316 +249210384 +973425785 +86657688 +221533463 +982670499 +1519987328 +697452103 +387308051 +1990293741 +1472605659 +1910576196 +1461444410 +1371919389 +41915793 +1804180432 +641680021 +445735541 +854819713 +712364485 +1359258018 +1263270818 +147340129 +1900074493 +1438000247 +1096932189 +848500533 +16013688 +442272254 +847271608 +322016245 +1221605570 +1096481992 +1295442030 +1308263258 +1318015456 +130628882 +680766939 +2015467559 +517936933 +523577032 +1340589570 +281029481 +1985021442 +565025312 +322945274 +1641718226 +1206705333 +768680815 +349054291 +1919069818 +2127938833 +1612325109 +2066409947 +1880529678 +902841708 +1015858489 +581546563 +918855396 +1458130743 +1428818171 +1240871642 +532252665 +377816516 +388830024 +1840515924 +1695831972 +519458906 +373799215 +1563815883 +1037395840 +897376247 +756921805 +1318425321 +734914042 +1321947117 +1641370596 +229148620 +381168802 +262567763 +578202912 +152754973 +243022949 +43044373 +71681272 +2123552627 +945886082 +1087539761 +557615543 +1864741478 +398186856 +1986433714 +958129472 +930439522 +216766582 +1346959497 +623471798 +1912598554 +1866418403 +997271013 +1328930789 +756330595 +1894647260 +2085852595 +2074755917 +482077654 +1260316064 +1568642865 +711226275 +1641484867 +1831210628 +1289429187 +1794239840 +2074233577 +1332473560 +1865921112 +2050302557 +130875994 +805977226 +460434452 +1995617473 +1204164082 +299384518 +806263297 +2134603604 +516151101 +5739146 +610591754 +281266007 +1872157550 +1607862767 +1610196797 +481004497 +1355026380 +1548565744 +408276766 +1837104034 +661398160 +1976919631 +400846661 +155399379 +1660646612 +1690275848 +1949639219 +1587396541 +875265761 +1668076684 +1490215450 +1006141755 +326570262 +1950649902 +854275580 +1530734344 +102550773 +1660538878 +1517854301 +618701874 +1666278024 +2128446055 +899967881 +1390951926 +1588825175 +362681030 +1871956424 +796367907 +1911246774 +132749542 +485988293 +425161287 +2109669174 +886834955 +580560666 +1622832138 +429627155 +382716238 +1062745031 +1304892916 +2050792922 +405476834 +163551024 +229879536 +208643088 +1017826604 +1760613880 +311193861 +530881834 +1130984533 +929895735 +49676211 +1111946941 +1829863617 +1440628137 +553288468 +45060999 +1165100913 +1349656375 +1956307774 +1297850456 +1835644668 +233985413 +1260035982 +574995975 +814546079 +735384472 +1004623131 +1197262317 +1798129503 +162032399 +1100571591 +56122689 +325583423 +1330451127 +264765778 +1343410028 +943581360 +575959639 +1874291862 +2074565893 +1505855375 +1923968073 +1039029186 +1188235344 +1217112563 +1592317654 +1233296343 +234729828 +794490381 +1042120469 +1532580284 +482651402 +1276105882 +645132618 +1057647377 +2090651962 +1380517090 +2062270508 +1140430631 +1031162946 +76819260 +93518575 +1087285635 +402402683 +1423969702 +1352051413 +1745812711 +220067414 +1928011053 +1472620926 +147149660 +1286382780 +1249105351 +1186178846 +327134476 +318734266 +631012853 +1560430819 +553464095 +1425503234 +455067641 +2086044379 +1908154636 +1731173523 +583693350 +818318366 +1674341837 +1964210440 +733105226 +667288821 +847889738 +809924486 +760807396 +1935175374 +1212327170 +37293450 +1139743139 +810656233 +257360865 +920270544 +135793511 +404510525 +59169676 +1384898863 +1590689371 +386304152 +1703633129 +74218576 +1946734972 +109613576 +1499721811 +254318965 +48174308 +1260392799 +1985492488 +631867658 +2078711165 +1512350678 +448594450 +664332744 +32155851 +1296484189 +1474257230 +792963247 +1084175915 +539100752 +830256697 +76435406 +1349756986 +1087617562 +996705951 +1485550497 +1492128087 +1055875627 +722965712 +935333811 +1442179780 +279115194 +1009552387 +1241431104 +388728770 +361790550 +1495750069 +436903078 +1622183350 +1333758909 +1068770736 +1553410867 +698625939 +1517365187 +70259963 +730781790 +666365728 +1544517194 +1523745037 +1750541643 +2083617946 +206518087 +1826977049 +1285891284 +1294135649 +676199352 +623958134 +638780089 +1732074980 +1346923846 +1574113900 +1026771112 +1626039040 +436182639 +120718568 +2014767811 +797973190 +1616468637 +304187241 +272672892 +802743898 +1372957978 +1826083759 +1501369838 +742839517 +1896343723 +84667980 +1409205245 +1293377269 +1608413018 +1012263240 +1229511567 +1814931105 +691756641 +367919204 +961583106 +1367955994 +991877338 +1600363195 +952547326 +191317536 +1026993447 +1979318438 +1817356577 +1463176087 +2100037006 +1684640740 +113665629 +1569021995 +1988827981 +386338521 +224282245 +1214302311 +64938632 +1725652083 +1957141828 +1961282355 +1810320064 +1218863425 +1107175976 +1271249434 +83643017 +189203896 +938696891 +775399659 +557123100 +1900279997 +2143355653 +1549000438 +1353159545 +948419331 +1740317974 +232669344 +780254121 +1410190903 +1695845431 +732807479 +947347995 +1809511060 +154345826 +788692329 +48365933 +378628071 +2002994640 +113304566 +2104280155 +1812652821 +2074586921 +1767116571 +884032598 +1034279250 +890882357 +967675616 +1223483146 +1829579248 +1743075275 +1780606246 +1582375597 +1738947280 +1182123036 +788051494 +539882963 +774957362 +1020720839 +1320137084 +37664618 +569082622 +2052944563 +985012613 +231110035 +59806741 +1773704942 +279475968 +438434812 +1629215935 +392780534 +395231319 +1294385108 +319883808 +14864242 +30934058 +1354163058 +905746599 +998609674 +430162556 +587842199 +594201301 +63285154 +22734149 +185664933 +1245408190 +810785643 +725547896 +2020365552 +1831506482 +2045684980 +2058030170 +253105457 +1951145895 +895559136 +484215492 +2010952636 +521780430 +763691460 +301903801 +3512717 +1156471995 +697135120 +1297897825 +1476355803 +711999363 +1328831884 +683035213 +1617745962 +179957910 +1113197769 +58104514 +774159212 +1176482923 +80838663 +959824145 +274407465 +891624306 +1685372042 +147289369 +575647141 +1583573374 +57835892 +828752598 +1387235622 +953395028 +1312968090 +1250704610 +1475175458 +2076659550 +1552608411 +1478688176 +1085647897 +102259884 +629102353 +414520052 +814259247 +1957934237 +1097555265 +284521561 +2137892148 +63269386 +342626075 +764567712 +1239752309 +423464738 +1724391857 +1514159774 +1315089045 +1262280251 +1661449144 +1890736186 +698369978 +1719285036 +572005136 +2085605600 +525196416 +1884973226 +1188826562 +2000371874 +1814149128 +593951326 +1331576402 +752313378 +696211210 +1960678756 +1166833430 +1510470457 +1771129345 +116905048 +1794992018 +1761537845 +180174434 +2137618094 +378621909 +1419926744 +413599184 +2103013767 +786602870 +1728688229 +1217810370 +300568366 +1471940767 +1916180348 +2019853402 +2043945903 +1854302300 +397566170 +1781435481 +895645215 +250454397 +1448100962 +1489596541 +1582030799 +52930692 +38324103 +1395225907 +1219764122 +1548794560 +1018871605 +1336669170 +1196302930 +632925802 +1516843605 +1186437376 +1011547712 +789286701 +1600036561 +967077831 +1575889571 +1181241142 +37404553 +1876457938 +505698262 +1953584902 +1748827692 +402160517 +1660403554 +2146393863 +36112351 +408565121 +249364612 +1484213313 +1898161662 +1831395411 +1537144005 +1936485765 +1079137671 +609424479 +1337796677 +2098009276 +1946093650 +386615960 +583451430 +1315453607 +1573053336 +1594999142 +2104740308 +1025606249 +414593325 +1533146231 +59363744 +451997879 +1262120521 +565062006 +258099133 +863464566 +967222523 +1918502687 +862374781 +1003334874 +179584161 +1111739393 +340064539 +2077745823 +795651156 +1877208544 +1866747941 +1874788827 +339149376 +1057060970 +1825314455 +137759378 +1443676930 +261282238 +1453212985 +869246619 +1856281380 +1410469645 +1894852868 +123391058 +796132228 +1954216612 +575388937 +2058252750 +371794970 +833488070 +774233668 +1339017494 +604507109 +1636608449 +194868720 +784091270 +600864194 +534933260 +714353446 +1396515350 +264658156 +433617739 +1123820530 +603807532 +1490678709 +801651337 +741566910 +786871992 +1062933575 +47296247 +1656118611 +771731308 +1457765892 +1403487831 +895122366 +106414473 +1210220796 +1470511303 +17183575 +1582015766 +156515725 +791417243 +773549612 +761022834 +280542044 +968418333 +1545114105 +881406238 +1503351593 +111983903 +130437940 +1768009749 +545601642 +1254258470 +224333634 +2036280351 +2055909808 +965900544 +675668695 +971359735 +1013196792 +184303658 +1743091043 +323479036 +1587791490 +490729761 +429893509 +650528638 +1961241064 +447077084 +85060756 +2117756789 +1238494327 +858610369 +731295976 +1519036371 +1827028702 +128926433 +252958961 +1182896647 +240910336 +383396902 +803422748 +786511978 +1637655372 +1027756382 +675308681 +1546081532 +1993656927 +1350977377 +369957620 +859370071 +1535281035 +2113048663 +1182849107 +975588877 +456294777 +1612742617 +1626117515 +270052193 +2059819701 +1711178272 +240325335 +1150830381 +422304993 +971621311 +522383104 +101850047 +1100547744 +775342066 +1284746694 +1341458080 +1158738968 +2088169442 +2127970058 +648910692 +968442177 +655795091 +47508577 +814615456 +2006772468 +417466197 +1673985527 +1394569856 +383031212 +709350986 +222675085 +839325989 +174609955 +1848792601 +1109378183 +86946009 +1412487225 +1349703518 +1237776390 +1834792218 +173841181 +1760159494 +1936642265 +1274388925 +388017912 +1073905311 +468363357 +1546756880 +1014591105 +448849767 +48183925 +1983033282 +1104644858 +95692502 +650165090 +963933679 +513158699 +176666969 +211019887 +896189911 +886017956 +433694972 +1735515901 +1060627911 +135003925 +697410436 +1147573920 +1547491150 +2047113954 +237866662 +1234799720 +73471487 +1998026157 +1023958337 +1347860412 +238560421 +2097863648 +1816223769 +1785317302 +964971106 +117589888 +1833501227 +800520740 +1222234746 +1929193729 +1450685831 +38684777 +294868780 +1627352800 +249704664 +1191058691 +365887108 +683399637 +779090944 +1426515020 +818403562 +1476501380 +426605292 +218411065 +1376131686 +664471955 +1453210785 +1449603173 +515014464 +329685475 +649979937 +753574885 +280065475 +318720058 +391408539 +1245036581 +436309946 +77426118 +2045557322 +1658544693 +2006619847 +1348759505 +1697229470 +154004979 +828628657 +1946934135 +1345063671 +1194515766 +482850124 +2124154615 +473547138 +1301253686 +1453172348 +900152430 +1519664751 +681820386 +1564624385 +825391889 +2131423560 +2079638849 +1155077364 +633919849 +685730087 +1435142839 +952639908 +1077138626 +532695773 +1388949854 +1154564745 +430769447 +900010899 +1013700944 +1779528952 +449756722 +1167705924 +460673961 +249207209 +365285947 +1655189727 +732057333 +341956914 +2128736865 +2033311019 +1795129262 +881405648 +1405492123 +329466001 +298546385 +83400364 +313405913 +230701587 +1238477728 +947325762 +916431674 +526136919 +1899965670 +1993570300 +1058832692 +1141431877 +1000651397 +1489602139 +2041442776 +2014352342 +1121647443 +343715850 +1034574618 +1582321405 +592923059 +1399860565 +1090027484 +1324980392 +1741817479 +1071280702 +1210807764 +1389463094 +1952686350 +468816239 +1718929095 +103749087 +552216603 +2032335008 +334450674 +1790694331 +832177122 +1250882348 +169347602 +584659145 +1096969001 +1228180295 +1726091022 +2097620398 +570298786 +1620050150 +1964489092 +1691946230 +1963766001 +851580062 +1126783987 +409205412 +103956979 +69327823 +1734185805 +1845774459 +1140608525 +797509921 +1087753905 +945811227 +1266326160 +659199352 +1049560315 +1818542763 +544050712 +1384010989 +1461753446 +1376227834 +487409690 +1631101048 +1960886979 +1584378691 +711797695 +1539494353 +1534515441 +1282096482 +1012060856 +1351520886 +826559064 +828343209 +55617300 +1953343051 +1237548621 +159574280 +2022670874 +824250778 +2005348739 +1015795752 +1621760699 +945618996 +1961606979 +740603211 +1604818348 +863683646 +411662326 +1385412 +100210988 +1873415772 +1377613246 +587620678 +1357033173 +1191016578 +24515721 +2068830868 +583027283 +1559031162 +1203443702 +1595088139 +763068400 +2030002766 +275947700 +818685701 +1835862169 +1513496322 +978259981 +1711049396 +190263452 +836125072 +579361500 +1812024152 +1781744068 +393484831 +405143715 +1239078768 +1257168478 +816806042 +1240464180 +1357379466 +542738166 +470593778 +1945000144 +1899771339 +1661610356 +1969515865 +1821118560 +97153992 +1381063379 +877078614 +1692242131 +2144131780 +759597733 +1968189832 +815333833 +447976254 +1334202506 +1793593814 +11542002 +1524465958 +482235238 +590903502 +1189006462 +116495658 +984388334 +1594150178 +1355574426 +94073164 +263472572 +448554958 +1451452630 +806210738 +919148736 +1248969126 +558498430 +433275445 +1071001343 +232133342 +530429437 +304581074 +1109211956 +75187920 +301229206 +1868809689 +2043377752 +1116563039 +169302296 +1230096610 +762673205 +180844298 +607078921 +1244908443 +771747801 +1796085383 +1361404101 +1756136135 +1242751913 +569494879 +1850209299 +1506224485 +1018049837 +1154178281 +164951576 +1937198574 +255663759 +723450006 +222990371 +1326665102 +955583348 +753419808 +1631246176 +2064795304 +828607728 +1932475383 +1786121346 +724501833 +901554774 +1955423642 +1954598443 +1664227980 +2136267940 +414193716 +761652775 +760532093 +62795452 +2123056877 +369184580 +1305547365 +545068108 +71910231 +664288203 +1563117946 +1226088512 +829239779 +1352832872 +1481752271 +1552689785 +1575823243 +660933725 +360789485 +181759403 +144696254 +278101141 +1010367131 +2077171637 +2064222487 +1734868964 +831242763 +1872162481 +1541983760 +347987095 +1860946774 +1956177476 +1109639871 +473995219 +2018972928 +1085213100 +843179800 +1177036646 +1630281208 +915090031 +1841324849 +1045915506 +2141178544 +523080980 +251264730 +1475447167 +2075770765 +1827087973 +2136380893 +289076602 +2008847376 +133593499 +567177743 +871730860 +63281488 +483916583 +459116176 +894524251 +208595416 +2001099936 +1242511347 +2069542190 +1809793765 +204667570 +396053762 +1681283045 +1289880670 +1239233562 +710836043 +772678230 +6839945 +404677244 +1818593737 +534841 +927758224 +2069858467 +1475982009 +856045341 +1749462793 +1464879254 +1145121943 +1610826521 +1598472753 +1712299687 +335073733 +1661754241 +48732622 +794189910 +408794844 +257328038 +647806198 +1651306191 +179386581 +310116315 +1855973761 +575440343 +1991399361 +998370783 +1814673905 +554751756 +1771049014 +1821513850 +959429001 +1442159103 +1822048692 +1887187225 +1364533922 +1150547053 +595748919 +966513067 +467942659 +1740870862 +429855941 +2066415412 +1305686901 +764929674 +1580686005 +1354419523 +1559119584 +1989480849 +1611747562 +59442135 +1493303393 +1791134143 +369558450 +1201793506 +219090838 +213474163 +52680642 +2033764743 +768225920 +1823729656 +1707794945 +1727654921 +1118405111 +1382359989 +1467358498 +335455385 +385423394 +2063107417 +1301968453 +853366053 +1656494632 +1731824394 +772297817 +814697885 +349270420 +205500174 +21633761 +1908390005 +47497376 +1633381323 +1967832140 +1540800769 +1277031818 +189906942 +595110627 +1496122656 +403381106 +647791269 +1382403751 +1171607026 +324037277 +942715048 +751778299 +1442442388 +177591390 +71653149 +1777897774 +563014784 +2134760567 +932382579 +1416380838 +1643771551 +516723325 +41195007 +310985788 +865993745 +246695182 +332619549 +626900102 +294192558 +1966000872 +447248594 +1834993327 +1095549042 +637155537 +282620306 +444188050 +1040536643 +930411576 +1826591801 +64660021 +1254448853 +621823202 +816438320 +549407594 +799414592 +888091469 +179821720 +1362429376 +875368388 +1112204299 +631326566 +371656291 +1628927624 +672521574 +682642080 +347437721 +919216756 +1015261629 +974337824 +1213409314 +833778854 +1421586418 +900918993 +1929327896 +2058741955 +1183539299 +226032299 +951794950 +2113950875 +2052624100 +1016454971 +1220916081 +526963654 +1832893291 +1770323675 +1326378246 +573501113 +1950145395 +541323975 +1448869501 +914866046 +1172650541 +1820525793 +396310022 +1845172115 +355684225 +743747743 +616905223 +1370945854 +1718085567 +1830314537 +57241060 +992188338 +583749882 +1986568957 +903446645 +1767289182 +65117608 +1855241596 +1733756409 +2117741708 +724212919 +807188842 +497221715 +409622563 +430028869 +1823599961 +983123676 +232690616 +217440288 +284509529 +1147556662 +1390090830 +2105035322 +1543866684 +1087779297 +313235899 +140130780 +1704684521 +1684181754 +1858216347 +1387515410 +1741422814 +702921037 +1971265293 +1580508123 +1606367683 +1591070827 +1645625731 +1314125631 +1177343588 +1615883792 +2038338550 +1984532431 +2113105507 +300477465 +267077652 +1789221820 +1283601141 +499768269 +2006662109 +1568110671 +1647324931 +1249269291 +1525662345 +1043707968 +189564940 +1838898245 +1183838748 +1894249461 +1375596351 +894571447 +1134281224 +969535517 +1597492485 +958062869 +402559993 +1056376520 +401650048 +2048185724 +223018503 +1578993636 +1516585868 +113873405 +1416042419 +1482207727 +414350871 +1683120072 +1123945900 +1697952012 +35404693 +983124361 +1118579035 +1682729624 +84910004 +496757733 +578953944 +274474944 +188172330 +1762792692 +21240758 +1563768681 +509880492 +1155521982 +385820550 +2107372977 +2113584851 +788380543 +1016265849 +367751251 +689082620 +1239284352 +1946744887 +58184840 +1353157757 +1215303659 +1540392568 +1767508628 +750940083 +516854820 +1317976993 +786344776 +1499979181 +289072380 +321590752 +1584889185 +785830113 +900544697 +1859364129 +974002443 +515853741 +1880604887 +390287476 +1025734233 +888643221 +776108027 +985623562 +854744424 +1564488570 +2001889411 +1222495675 +106087542 +1093690115 +1021756915 +164272383 +299364225 +89576926 +1704664951 +2066872853 +840517009 +74036123 +1237366198 +1626861785 +1574015304 +1526438579 +1948452537 +1011420841 +164785044 +701513586 +723301322 +1138787488 +1217367328 +456422562 +1529074964 +95617913 +1345065783 +157699343 +1081241476 +52326560 +1722187914 +935647239 +1274822235 +1828275456 +2029337355 +149095502 +1992547839 +181217932 +238672428 +1549729142 +100607137 +1079189437 +1623765265 +1337973336 +558567574 +1050296921 +716928267 +359536464 +2061717762 +881713311 +1061050050 +637535437 +2020500799 +130933730 +1093957999 +1402092116 +226551644 +291540134 +1559791459 +1307793120 +343866694 +1134495725 +95956711 +1618688930 +815287534 +2125294066 +1767784432 +660351725 +159028350 +2006456861 +62597220 +259635488 +938162650 +1686362485 +1597608824 +1496730225 +589175759 +167053443 +1856266689 +503409873 +1048766754 +769833091 +1140945310 +921783906 +900766822 +87419661 +176392374 +1127318466 +378959796 +1736183833 +287627938 +722826490 +723195911 +383584649 +194031772 +1538483445 +361395068 +1961816205 +51351522 +520423418 +1820789418 +113948742 +780058906 +611468420 +1800311228 +230184082 +2108198645 +242003339 +397237525 +1816981686 +745413212 +1446004280 +439331130 +1886358523 +220304538 +1340097952 +1973778184 +396696912 +319932770 +205254332 +2132880745 +607560708 +928080823 +708593008 +991145357 +1122112595 +99592805 +1352540425 +936445152 +150944328 +1872963844 +609750922 +264893070 +505539102 +1221219343 +2065204298 +735723185 +1181934340 +159723989 +1132960710 +851432379 +905137202 +431481342 +1290763509 +644012077 +651785880 +483377813 +470306613 +1048482792 +803310583 +675560946 +1033879890 +1410871291 +1603641769 +1742472898 +254533000 +578270716 +1842065704 +1607073426 +1514715869 +1993010032 +1332553622 +2124466791 +110419454 +1838092724 +1198202486 +28140105 +426332261 +232653179 +187864094 +1559292972 +1084085558 +1093001296 +1990774314 +227365419 +1737013373 +495076547 +710743232 +59836339 +1543559339 +1514053815 +735397285 +429955581 +777441458 +191555406 +24944832 +1031974458 +769826122 +1867010536 +491564236 +137058343 +1712536920 +1824117858 +114041487 +1822956374 +1514726935 +1312243973 +1851096479 +1941059196 +1544897152 +2038960574 +1352868520 +481499062 +984478222 +1196159187 +708864481 +574007948 +1691235734 +1419607713 +633844287 +1087311425 +786177880 +1369241572 +1517267007 +1563619338 +1560796978 +1542211839 +448110149 +183139452 +1261738727 +939674385 +320197796 +826791999 +616308596 +434239283 +502264725 +2131035531 +1746483256 +205877557 +1924611079 +1143896761 +97354483 +1129995952 +1625395823 +1081832705 +178671491 +186776657 +1655840653 +1869907225 +1606384370 +142201292 +809735002 +245078603 +1511442864 +179518361 +1808697941 +924756194 +1721730200 +109324442 +1107895647 +835985279 +1048998828 +1428093443 +1662777278 +1665307424 +1862332726 +17558356 +1648859307 +1461332334 +223435913 +1425986738 +457745447 +320790396 +408499042 +2083141271 +1402623101 +587170533 +122434280 +910980107 +309594110 +1728818650 +1053181399 +1119329113 +1973897253 +417140616 +1298847474 +1635111547 +1341896810 +873094027 +1744435989 +302308809 +1709079306 +645951169 +1730402252 +1224372937 +163774945 +1445251330 +1241931293 +1812634252 +759100017 +1465367206 +1091137343 +1216845464 +1786157602 +1499636385 +1152503087 +1041297055 +2086806919 +1274937367 +1952277162 +248917381 +856272370 +857974914 +1368246494 +682685975 +1275115530 +519610321 +170313874 +469528692 +1392704348 +1914749864 +771837502 +954300006 +413217385 +354756106 +31189295 +576992331 +1800007437 +1273120588 +242142935 +411623806 +591004146 +1333280278 +1628469270 +229678100 +685433016 +633488710 +1270975156 +624756287 +1908426077 +1075768670 +873673668 +617214799 +1933743584 +94436515 +1299900775 +1061375466 +614046836 +1470214649 +1530904159 +2006751184 +1237480865 +155258013 +813567542 +1650698251 +510014119 +844756838 +80206934 +162537908 +2117877426 +322349869 +574161714 +561397925 +1655630148 +55147337 +791076025 +193579516 +688636047 +2062051181 +818335803 +449578476 +990336204 +1692009471 +1066793276 +776596140 +1786445986 +219210403 +1837971607 +253009174 +1689425052 +1221392118 +112276710 +779422270 +1376650131 +925844253 +282636873 +1886664250 +1770601091 +362843807 +2049202159 +1740994869 +685193676 +475880225 +154909146 +193340176 +531027562 +945985172 +386919692 +1219663609 +860552705 +1205255495 +1669242086 +1850888909 +749781319 +588551714 +480001402 +388743657 +807762117 +170489361 +641752832 +349703521 +1391881479 +754029542 +1129125791 +621047962 +1679873795 +1411762664 +360228564 +1302991238 +1774606471 +261947075 +896502460 +312316500 +737827301 +1051411606 +505656676 +1268854863 +1997396778 +892576369 +341034825 +710465836 +2097831864 +2010276911 +413871097 +700129535 +451344977 +893872499 +1088873193 +1259107094 +1064361860 +1730626025 +1608810615 +308759691 +337171919 +590452759 +929807653 +2017045715 +2002215423 +1290036218 +1172553305 +1629338247 +1551983293 +2069055765 +1941654747 +142326946 +972983724 +299827775 +1411181810 +822896854 +1192404144 +1752216635 +1533362690 +1142752361 +1615009898 +1947233788 +1842881896 +2066354875 +693622639 +784271441 +1177978321 +1757984500 +367413818 +639305288 +2066744191 +704585738 +1229758047 +849068197 +574147805 +1084489823 +2139104415 +1746701110 +566344422 +1543604060 +1668273228 +360515521 +1685931007 +493773304 +660343296 +949629169 +1316670158 +1852747441 +554362156 +702549201 +848016154 +21888406 +502299341 +543414402 +2088243281 +1195921980 +1327685844 +1118737954 +806422832 +1695099662 +1758043242 +725683376 +252201752 +840317642 +1574751573 +826349557 +1924807465 +1566372340 +425567020 +343668239 +962492752 +2093840248 +704183760 +500940111 +440129904 +1364527056 +1450569280 +1756800062 +1069790849 +2004931436 +311865615 +1917807003 +2026819842 +814164956 +313737758 +1967579475 +2010086937 +1641423602 +938833781 +669026121 +1189039616 +549393376 +1394709497 +1441241369 +1389711018 +821977422 +120107278 +1167034835 +240866114 +545674298 +1510703074 +1203358867 +492030898 +67403186 +1704298978 +932160802 +1431930242 +1007384611 +541477217 +354237444 +864832399 +853342832 +124560799 +744168594 +1667507789 +438298557 +564264421 +1530111078 +2079722159 +1503098203 +51653551 +1121278128 +2052491579 +1446363049 +415035849 +1294718949 +120856823 +535143127 +314270136 +361722938 +1080817426 +1824973210 +1565081805 +1572848324 +1892376396 +1121897135 +357525479 +1176822990 +2129281746 +899002696 +1531060434 +846630498 +1752345528 +1655621234 +1590799092 +1272369669 +2093919791 +7579865 +654997099 +2026158303 +1510678068 +706650651 +999952783 +1415685999 +5530052 +1414988632 +562921300 +126386875 +1950131759 +877191436 +488109813 +883465537 +554680998 +2053191618 +308830214 +299573746 +1027605106 +666355693 +1476396737 +1009403204 +1565358389 +859973523 +1856033702 +1170220269 +368111109 +1299349146 +295106291 +314547253 +1306929012 +950103390 +193221908 +670123432 +1656754041 +1193174691 +2085809432 +1662284093 +460679675 +501247084 +1788670969 +263327786 +1378438521 +129297134 +1146793324 +1933119519 +35005105 +1455623538 +85209618 +1062610211 +2121979231 +1561606355 +2072013415 +1539853972 +274096230 +1780563470 +562590593 +642207340 +932428968 +857696884 +956754593 +91874332 +1807800275 +1149976501 +761997765 +1317070668 +195667544 +700323549 +831871114 +656347219 +1201570633 +473058435 +919675005 +432525506 +602355569 +2066468329 +218161378 +637360674 +1374608219 +303370996 +1699970885 +1349103802 +1864977351 +1624500653 +741474126 +2139073581 +1257580475 +1304064720 +633797273 +42525795 +14277956 +1590551866 +134400128 +1822078231 +593044719 +896397893 +991665252 +788712263 +1596721442 +1823536366 +1445059482 +650808427 +149111153 +217250840 +1083333934 +751466722 +136235521 +1301495312 +1388827397 +1510843741 +1604866308 +941314634 +712463895 +1322360011 +418331639 +1453938022 +1313949944 +1675912114 +610519094 +1947747218 +1718437910 +624797050 +1390815436 +1852838038 +299391634 +1983860156 +601752283 +1291056886 +625088771 +50990077 +967109604 +2070148254 +701798504 +1116220757 +139915446 +1785132438 +1867687479 +276150967 +939144102 +1109031228 +1786994708 +396526762 +2050345863 +351974956 +1718886773 +321193854 +1805912978 +885353070 +1997105969 +268948424 +685616640 +1568060231 +893745474 +2076432076 +1273414621 +1193137108 +1912808584 +1875166904 +336710346 +390413708 +1926156981 +1303819950 +313078314 +480471837 +272557059 +452993760 +118120628 +2140244539 +729144727 +1057264730 +1101792119 +368655788 +1453791493 +1004654334 +720630744 +1025194618 +1325848189 +379060074 +1910547688 +1175470510 +648008498 +448680680 +596047093 +1541753972 +377629109 +1869461714 +587407433 +142954045 +1597144970 +924117779 +533367753 +1375818303 +80454082 +846446067 +1856290140 +353011141 +1299439827 +1974410768 +345772032 +2028584555 +884191851 +1447564152 +249756695 +190499696 +304734838 +970387439 +1215694314 +1630583027 +1349447513 +978758355 +658569889 +1997456011 +1427439035 +1254616982 +1391726335 +1805068144 +976595048 +1979133768 +1948022190 +426256370 +755767900 +333906295 +1802074673 +836221982 +1180352363 +1510881166 +1189233123 +332308542 +1337808286 +1535005156 +213409449 +74516489 +835085660 +463166144 +265016185 +1139820498 +1433553583 +1480710500 +622919878 +635517448 +311985207 +1281489767 +485489811 +1739424242 +388623102 +1877216147 +1397008739 +1365218150 +1708866267 +1197547281 +1791474521 +317150519 +1531453576 +1446065546 +1153372501 +564322291 +809463064 +195121977 +896630834 +2147271351 +1730127133 +1110040283 +74304192 +417729145 +1573206428 +339320378 +1557549643 +859276363 +1820030878 +32985873 +1494793812 +2132016085 +1314475641 +1980283623 +1723956679 +1703098743 +1710016122 +973481770 +920833245 +1271398742 +23545403 +564824118 +1588549261 +1554998980 +2010889665 +594438115 +2119321271 +672869081 +789560092 +868468457 +672656784 +372203577 +1978508741 +746960977 +789932722 +1404231521 +1086281355 +199998717 +116024236 +758828585 +232984591 +1610818048 +743361022 +1547460232 +1443618024 +319834053 +1103075327 +1006150498 +1293315824 +2023908572 +130065592 +1316861227 +441249043 +1718614854 +724376559 +304655060 +165569321 +696214183 +977524141 +955129413 +1564682640 +1650180926 +1327332990 +1395707733 +249658255 +2117265712 +652455606 +1335939610 +169780781 +768479843 +2094768195 +402765372 +231814243 +690645569 +1950225604 +1675432267 +1010479622 +905817283 +534099118 +156311798 +782242208 +664164710 +1473173026 +1223491251 +235295916 +50065937 +1528146311 +400865237 +746280120 +358186804 +1355994650 +163479113 +2008367730 +535843992 +1559186846 +110542337 +505626056 +64158805 +1446481947 +675406838 +832638648 +1393766494 +1078172210 +1064452891 +2084412063 +880914167 +592401511 +947408038 +1786731450 +1126500629 +1103719836 +421490010 +1790665339 +429409214 +1644981261 +2025961256 +479475152 +1025643924 +279342845 +1225755272 +1383830729 +1635337496 +1389234385 +1244714811 +23697840 +800937584 +1355257149 +529323897 +865096389 +654255448 +1204730735 +1697735037 +2048021943 +135419297 +614704280 +1984950358 +1016333464 +1207105791 +784874748 +655581267 +186122772 +1888594585 +1077071277 +1976788112 +170520151 +574568891 +1855265720 +649995303 +1600212815 +2134608565 +1875750576 +836559896 +1622462413 +1117501313 +2081274708 +1646160254 +1918438897 +1289048209 +28000503 +636051638 +1943303657 +1232731238 +186303027 +1843841952 +1368150535 +801007308 +1681308663 +237000352 +2008113099 +318699763 +892581619 +46752224 +59810700 +1969652896 +2023540336 +230330852 +396738139 +1731322408 +880326155 +1996950955 +1718447325 +608593083 +686027203 +1193426091 +1726094397 +619818263 +692102697 +1497049646 +1908866472 +720103200 +2133101285 +1704686482 +1952834438 +171920664 +1401044786 +1173501325 +972927972 +934869801 +1410501677 +833557424 +1253569565 +155599648 +880309648 +1313380265 +2125252545 +756366336 +1543711117 +374507036 +340205096 +276553625 +223974343 +2058652421 +885146708 +910001547 +1104594864 +463757457 +1529819810 +1796697561 +1960807104 +1291202635 +369317113 +1946424741 +848405469 +174667903 +2118345405 +101966607 +1348169229 +943789730 +1036836409 +611187258 +1777347154 +142922326 +766786907 +510173154 +1456302591 +744555804 +1266539490 +852530061 +1119062840 +1606744586 +1129083686 +1343037184 +1517913359 +2014230394 +105555083 +475024576 +330504204 +1635374893 +124238489 +143827660 +779093880 +493555603 +2090252401 +1627499349 +668223506 +2061114158 +1729465957 +2016392735 +857420240 +618818718 +480096346 +487283746 +761741044 +1246883253 +997456900 +70559987 +1991439057 +116512742 +923090048 +963018249 +1723257328 +2052173734 +158571785 +1093687040 +1918920481 +264126868 +1568711616 +101941037 +1899501762 +1692950105 +245768697 +531111994 +39022060 +188537450 +11127696 +707245567 +102167960 +1740593653 +576154654 +959588201 +211928723 +1056251000 +1446871947 +973669767 +155650605 +296845200 +1044229754 +2147089662 +413357942 +1967319803 +962624264 +2136615271 +1872009889 +1121196049 +1082818663 +1643446722 +1385322918 +504046631 +1745387759 +1137341032 +49513088 +1991156456 +1668453026 +88535149 +32210258 +1679580722 +795780716 +134378219 +1272690727 +1371935370 +1093966420 +1484619450 +280702723 +393354719 +310805569 +436353328 +690199919 +1355035324 +435959343 +1103557862 +1174871479 +1398583607 +1092689485 +899397720 +372296008 +28024500 +395360795 +1757618926 +532071131 +2140748554 +747476310 +581584219 +1984421363 +268445689 +670119368 +2016631621 +1948026411 +1465900084 +3526192 +1073233491 +690351807 +1097492612 +410369293 +971054530 +1490847332 +721174863 +1407407858 +33563603 +2076210187 +1843367201 +1137121465 +1103598018 +1094467160 +82327302 +2002995738 +1466763169 +110351802 +250872885 +1076898447 +642422933 +244137792 +1824374758 +1224007153 +81075507 +2092820447 +1894126521 +2097707128 +1893363210 +1212542958 +2101233321 +819113053 +1902894765 +1051242285 +1229482347 +726465647 +394605969 +1950657210 +2133873505 +428169573 +1879383749 +1829757059 +1565291038 +835498119 +776740571 +1647618341 +691010209 +96020092 +1757970143 +941883095 +1172918540 +252909429 +1186020887 +849809650 +1476916582 +1267096394 +795146449 +1223559455 +1217319874 +541026011 +288618765 +1171069547 +1360139065 +44029882 +74828185 +442137764 +770495529 +469434154 +245311326 +756885387 +897603727 +2124695075 +439158798 +315411118 +812709546 +1215899369 +1963029459 +1503719755 +1311919462 +1573515954 +298119202 +337354354 +1826425383 +1484140089 +1187164004 +1155858317 +603752835 +1982310453 +231934125 +1821072710 +375852816 +520552890 +844658609 +1735991881 +564582773 +919486794 +30645997 +1335078302 +1388920949 +275957323 +2091963689 +139041028 +253168750 +383638839 +454452146 +1065878296 +1599538209 +269997957 +422114404 +763974023 +1843513912 +720233606 +1101328377 +1522455647 +56890048 +141008733 +530830317 +660642883 +2123319186 +762764442 +334231945 +351688354 +1283317332 +1178890555 +2087680236 +1847900105 +2098377349 +2118326233 +1035494760 +1339814650 +246799909 +979974801 +1478855679 +499968659 +1363613641 +1933307825 +1565846956 +815668202 +55822135 +1987961360 +1579642225 +1899336047 +560711318 +533486954 +1274308046 +617601366 +674495687 +1805138363 +1278244250 +650331225 +420419157 +1612476195 +1002019579 +1703736490 +643883102 +942216167 +1404152947 +594776804 +913058753 +292164059 +1934591454 +1159858662 +1272138861 +1265963485 +1659827321 +488268854 +1051787663 +1078190629 +1303937056 +1107609798 +918668341 +736095633 +859462197 +1479379660 +1269582587 +2133770243 +2096981026 +1944078274 +1791424959 +1227741628 +446925851 +64360468 +692734176 +1448945430 +1768096958 +1336617278 +243677950 +1024766258 +1931394082 +1156736703 +1316930317 +1718501889 +169111717 +441585530 +836981726 +1828939038 +929854384 +1888769389 +759646020 +86307792 +848895539 +1678314361 +822403425 +1708357736 +1010210373 +2091986012 +1694644332 +959707752 +1888580638 +1338585643 +39965732 +188022841 +1402946111 +732699908 +1636968272 +1023559422 +2069317187 +1880646222 +2048325680 +1853227621 +889899277 +1217772349 +1424245862 +1059010994 +1659357880 +113743941 +740466384 +441728616 +2002513330 +1500112404 +528036409 +703925222 +1030943118 +1350439834 +264799310 +2041153491 +1294942199 +1959443642 +853377595 +1036039189 +1150545637 +893343328 +1224062031 +406008101 +1626043236 +713546655 +1429567523 +1547876775 +446709229 +1330409555 +1253620749 +1336608506 +400698256 +530382963 +248135852 +2060056136 +644126904 +988602236 +354301105 +499156587 +341230993 +882337514 +1203081809 +1372174111 +85293700 +1467881119 +1265843954 +1380235899 +1279841114 +2119221550 +268791441 +282903103 +865081230 +1492853472 +688911204 +343640818 +58916479 +2118478727 +1891517594 +505625708 +1301404634 +997654695 +1842234214 +1702102891 +1528037658 +2090370066 +1614675379 +24680915 +931488654 +1968976484 +523837502 +1272719647 +703830350 +1726919311 +497410110 +789124051 +1047316782 +1763254065 +21876302 +179674248 +1734991967 +290667743 +462577352 +452589549 +1783521215 +1151488556 +796230367 +1842437694 +1122483636 +540264313 +200579754 +276404622 +1537919008 +2042813968 +1978507513 +918473019 +1985700386 +1445699245 +943153934 +769705393 +1267192081 +1466991436 +2042425040 +1971022432 +1046427099 +392351503 +612662835 +2093743881 +8121920 +634539137 +125934482 +1743113887 +925206881 +588511834 +48219788 +561244448 +1740000390 +844450155 +256198495 +715000378 +1384714469 +456778249 +991405001 +775149829 +352108570 +822428866 +1693622848 +190325308 +120644463 +489293134 +960030701 +1387836545 +1956284570 +854972094 +1211375329 +855228021 +1247323597 +1824038164 +801488255 +1255445517 +311093653 +927422737 +851075756 +1236300534 +1515934571 +899295544 +1797544983 +1108451313 +1743745699 +2053743478 +1823451692 +980976520 +363038079 +667373045 +1756126350 +715146649 +1489801911 +1302265550 +905471958 +1610446375 +1791558685 +1865502659 +850799272 +1600359607 +572991105 +2062174601 +308103981 +1820314702 +1738729117 +1109592236 +928276571 +2049822770 +2037014973 +1779352327 +1138639657 +1405465896 +531164223 +788700992 +366433561 +127426275 +694960822 +42401605 +1108402795 +1057998901 +709774650 +717045497 +1773145551 +52092914 +2019311048 +531133861 +1662539289 +1663386085 +249152872 +365854913 +1116262044 +822143978 +280545866 +1424366025 +494975032 +2019274983 +386474613 +1423251604 +1921614105 +276005938 +1055120283 +912770114 +1681471834 +1586284507 +1701471106 +2047905396 +1713710782 +248948280 +2090307001 +674629929 +1306947182 +652598004 +1391675427 +932609085 +704690918 +1263502827 +1463742946 +219746559 +779405264 +1712895818 +585601472 +1895667308 +387556148 +866147338 +1172549686 +882531181 +737938673 +1559024299 +158299137 +512069130 +1835030238 +1213419420 +1424839245 +1369018424 +652220279 +978826703 +1269440172 +218447413 +1227774984 +1212263526 +893077343 +387238518 +1864861530 +137269122 +1319847603 +422068800 +1400771949 +636106901 +641815359 +32693565 +201519071 +1227416831 +1928360873 +589075220 +2093564169 +953426911 +1471606401 +684019194 +364967563 +1629905538 +1196088324 +52514153 +695841310 +473443921 +1421532577 +1348061590 +1452270625 +543489102 +1566509003 +532561961 +1755752628 +312102698 +919800479 +1473130510 +449371820 +92164434 +1895199310 +1850143769 +728271335 +389531021 +1882837334 +929790406 +1616947852 +1663714560 +1518865626 +1563028373 +469657823 +842988379 +99563919 +834625386 +325410269 +1295652243 +887139539 +1021251580 +1769096165 +161188469 +221829522 +1073883142 +704677571 +1788338525 +1606445103 +312946551 +2100441224 +378761934 +1786077061 +402329396 +470926368 +1533792723 +104989518 +1199197703 +1923323744 +1987826852 +2128988109 +1392787948 +1504057764 +1500370088 +808332673 +1973715588 +195874819 +907896592 +660857326 +521285089 +56065187 +1547996866 +1542536669 +1825161352 +1709185335 +1764366191 +751560846 +266379258 +1405221068 +210522301 +579325809 +1358178644 +589284235 +217919222 +1760508041 +1060210603 +1751711945 +1865497559 +111924658 +1527552041 +1705840763 +93429120 +772856341 +1062414880 +1593799208 +1581189014 +888646820 +1789674027 +341601958 +1549504146 +163475468 +397667145 +950017364 +1706012137 +75344850 +511719051 +1322894680 +826905696 +778098309 +580632101 +1037427998 +1357424118 +1938810745 +1626712233 +1575343340 +1551835138 +539439189 +1179571637 +1269849049 +651363847 +559640030 +828206165 +744792967 +1332496371 +1890621045 +191108527 +766201737 +631784217 +1980782555 +1107803695 +33804715 +2144258023 +1505470841 +983822080 +1702786513 +1580815691 +1495541131 +878197545 +260237739 +126155793 +1458829646 +1297665737 +1483579911 +1250156744 +776894323 +911439604 +654508234 +1316333512 +2091011241 +1924357284 +1967697359 +503167624 +605079801 +565006679 +1835663995 +348217198 +756115206 +454382085 +980001415 +589414113 +1562185780 +1013806130 +586188489 +920172973 +1997628210 +141491354 +353505016 +1345685694 +1019688899 +613742756 +1471841487 +331034898 +1911408493 +807937750 +1581191642 +540819168 +1719377354 +88216228 +1857152680 +1662904948 +2012573512 +1677366392 +18588924 +470169665 +94889423 +1854252919 +818386863 +851004629 +161151356 +1798388278 +1440418743 +1723337137 +664710761 +2026607232 +496026462 +514855323 +20614938 +849531479 +1860541017 +1040303837 +1463274235 +1184898856 +1371338735 +1227199080 +1992836607 +805046729 +1768018249 +1564730313 +893262958 +1477687281 +1080151613 +758352822 +1007570025 +1098740537 +1228522488 +1102459448 +805509809 +2046909351 +1953464078 +966661165 +1697813982 +1246399173 +542514654 +215041095 +1125522757 +1038541117 +729896418 +1146137695 +1888072596 +442953788 +38957884 +1203863183 +1627852644 +1410296620 +283578615 +1473205603 +67859701 +2051596864 +890452269 +961122659 +1381800498 +1970603882 +1719475482 +241886875 +921860772 +800514322 +1344346324 +1727370581 +699940025 +1150326754 +546548098 +250270359 +249242279 +1089062753 +465311454 +1374765036 +2127603870 +1195207873 +373419083 +1868192818 +1638161661 +412376967 +924572353 +1118530657 +1822673587 +1208150968 +444252613 +1890533289 +1112264185 +1334704882 +704172300 +346581035 +1157825116 +276164134 +588467910 +2079685888 +1076678456 +1932814234 +1659572821 +1776618482 +935657340 +58637272 +2026888841 +1184899619 +1147700025 +344716648 +412181007 +1127820247 +1539924521 +785600090 +848529417 +1030602534 +1197977058 +1773101770 +1649543 +873166997 +833769090 +445902156 +616216638 +1946033275 +1780607038 +1320388939 +145130662 +790948507 +1596553073 +733598573 +723150747 +525747882 +518929159 +235239921 +154882716 +1454586500 +293877193 +34287909 +492002471 +1441577218 +379004557 +904183479 +421913817 +1918929078 +1689783569 +1270443234 +802047964 +740276979 +896061356 +803697508 +1613443977 +1729830446 +1249599664 +82176967 +1528380074 +882723055 +1402565906 +1673510736 +1673671562 +851635332 +259625661 +249338661 +1377383214 +778554821 +484578582 +1532265930 +85657673 +778455775 +1566553839 +577660144 +72549345 +1945558397 +1481843623 +494463162 +1717003827 +1024143545 +1764906396 +371568144 +1764420524 +513484104 +1175265652 +1230380853 +95830903 +277381668 +1312557821 +1624210977 +1160104723 +567640079 +1150238065 +686292637 +1419275411 +1409863727 +935631299 +649174977 +40934900 +1420209881 +33957259 +126592573 +51182009 +1600511099 +704252717 +123731354 +1398585848 +38612693 +618194517 +968106027 +1062756238 +235617265 +1339674171 +679693114 +749101370 +367456175 +1910073968 +844932273 +644837844 +1075148141 +321659602 +1804942567 +1642788220 +1471897667 +343751557 +914579984 +734277746 +1279382856 +1563754961 +775212646 +552109089 +1597712221 +901805219 +603291098 +1050739672 +1606057937 +727022453 +301841872 +1644670630 +1345216970 +1269947899 +559943220 +1580834235 +462138423 +1239636334 +182451957 +829594598 +1002226654 +1027384230 +1474432442 +2077374795 +1349043832 +1131891362 +1572679368 +673457852 +1475642919 +339775704 +1407735598 +607542127 +1903530665 +35464597 +1159651216 +1353759238 +937269816 +1762942315 +257015262 +395844105 +342481120 +558857134 +2040514735 +1687698090 +1828805034 +452974307 +1121048677 +143459809 +1692610642 +1303500635 +973054407 +547353648 +183401217 +300003202 +477244796 +1532445050 +1431894564 +2049924164 +58419254 +760053835 +242216220 +1466154852 +1367595962 +2145746885 +1501619449 +379763530 +1352022476 +291405618 +2142705845 +1609037738 +687249723 +337703317 +20411225 +580280811 +2025401407 +1849216259 +1033255118 +998966437 +1992676068 +578382112 +154983424 +818246827 +1125735761 +338384641 +1118250029 +1602980557 +1870829691 +402660945 +1505421073 +1929248945 +1162714780 +1747637293 +1247920150 +382827094 +1745900530 +602055951 +762590625 +950439358 +893461569 +757812822 +411993449 +1580711293 +1095516140 +432404674 +13508456 +973433899 +134137285 +1046763574 +1972400336 +2126813353 +1625145687 +2127383760 +797576532 +603397800 +318284754 +1915826562 +58894709 +41630797 +171003859 +1564315782 +1970879743 +1333718640 +1164469427 +1071316245 +1716545734 +762886309 +1673372196 +331652711 +1713325668 +419350118 +1089465534 +2125319117 +2000061411 +37498026 +410240143 +2013569867 +1010931925 +544377428 +912849793 +835848614 +523707133 +390511832 +815748726 +1321283665 +993909632 +1134033480 +1089626579 +1052804341 +1175664278 +1260630439 +469636475 +999060373 +446865431 +1634105902 +2070376618 +15927517 +249508564 +1596265166 +347580229 +1962834232 +2015615284 +1437045763 +1940669701 +1868193047 +1474543789 +203426196 +1734279266 +337992066 +747803624 +499645412 +1173840680 +1271510757 +890157244 +1989589407 +445310774 +1884066877 +976139239 +1534937354 +789387570 +4319869 +648084145 +1259024046 +1003380242 +1094949576 +745646300 +926273212 +1110877093 +995154864 +375054731 +1458457322 +810505448 +243186367 +748019437 +603691501 +2111379415 +75079578 +807117697 +1698175033 +413071645 +1554921321 +50336797 +1586912325 +678948430 +940494042 +1429018084 +1124259205 +677077271 +257673676 +511712911 +1466464841 +261993545 +1159797056 +578005239 +1265373788 +107262984 +1323651540 +44163352 +1218140077 +171322756 +419218083 +529113752 +981828205 +662404451 +1277133189 +1585519706 +626300218 +1352212768 +245153756 +176991603 +1765284413 +1800075077 +227328401 +1204713090 +331539860 +1167822443 +486247527 +1455799065 +1844899714 +743921203 +1967511976 +1163880907 +1005914748 +979825384 +1741886147 +123804888 +1087088368 +918054039 +167968241 +157744797 +1089376795 +587186324 +686858549 +2071205000 +1249590775 +1963991739 +1509241059 +1875890993 +1168720859 +1754394815 +2052882597 +786521624 +1406986244 +132727350 +1991234714 +1738526104 +1300549793 +329998593 +1046841521 +997965859 +1073919796 +866869849 +14363118 +2079834545 +1846695233 +1756249265 +56155785 +786299953 +526819656 +224124026 +944044751 +1616196452 +811310351 +1630903300 +1539917804 +2060901126 +1447411391 +901675215 +1789308472 +468648602 +508586382 +1694707421 +1255170226 +1915572627 +1827434771 +1098921293 +1506615083 +980500916 +1428919886 +405972957 +1978466775 +355356035 +1272842806 +1992829893 +287706932 +972054392 +1601595511 +343862717 +1758354345 +2128415167 +567986744 +554915448 +1597127971 +1379297095 +38335101 +989562128 +1292714573 +1485746492 +1891237343 +934539397 +1954395095 +252340078 +481763170 +1062081673 +20429057 +161714293 +13519318 +1527044140 +1142215209 +1442439205 +1933017097 +973198336 +1797795240 +1058376256 +818544582 +2085502172 +2030430648 +272656445 +281881241 +1641301345 +253587964 +849867985 +48733146 +1850715936 +81681432 +87068247 +692794416 +1374396006 +1572814739 +436548111 +161451755 +1379726186 +688888189 +643214926 +294324212 +709317246 +804929219 +307843530 +88877739 +1947144429 +1750282735 +2021894836 +772859117 +1400594327 +932787444 +1591403699 +1338612851 +815734444 +1864060144 +1620494093 +309552142 +2117648109 +322878430 +358285288 +1820880397 +404559863 +445353535 +366191165 +1778955869 +2018168274 +802739276 +1940407624 +1250410813 +1491627466 +436138902 +1544735025 +53461064 +1241068122 +1852578555 +142338803 +1040728903 +1455377643 +16749992 +1813588020 +708488322 +949537436 +1257508072 +2047101174 +1765271881 +974084568 +1520111619 +2074824023 +944249029 +1842990049 +285625663 +617645778 +100066264 +730979198 +983836943 +1879022133 +601663824 +1786576220 +1671946110 +1852074637 +1130720038 +2108085012 +1249326014 +1184181102 +1201669486 +954420922 +1326519906 +94914741 +262314917 +1343269898 +1908502762 +970803239 +145323686 +1018527186 +870420765 +1910595567 +1992611754 +243048736 +1837935942 +789377136 +2086038786 +2123561605 +1407022914 +38621402 +707057155 +243376210 +1917643536 +1308720980 +2029952430 +1442105998 +1013311969 +1013188820 +1402707362 +115154336 +49886274 +456893201 +1069575258 +1376406180 +551807942 +1331890175 +572192430 +312827056 +155209766 +717516117 +1331354242 +1025630532 +480628036 +1176482349 +1268679268 +171080331 +1965859485 +1207234406 +147158288 +1225398751 +1245855809 +854215444 +1468774961 +1016015697 +15452776 +1351243743 +310638047 +1028764745 +216948915 +1713345409 +1143919081 +266835190 +22754962 +66010691 +1643241370 +574562905 +1397900866 +67950153 +887389961 +1553110633 +785466270 +71260556 +431257517 +1266094306 +1247742905 +1699936785 +1437174637 +1066118742 +759687544 +1584332926 +144033845 +2005543353 +291064722 +1612808807 +874075402 +306517498 +816568902 +1184713449 +1335282243 +1033517818 +750575210 +331717677 +1300353008 +773330173 +397728368 +796110730 +1347893078 +1795629235 +864060883 +87799391 +1201256220 +1649527153 +159059947 +1632513737 +768137812 +1406802852 +1184966874 +57828801 +325437946 +1944654418 +1642161727 +469471792 +1802714123 +1933226449 +2082280599 +529305877 +92260299 +751365853 +1714019326 +1427542543 +1784883671 +317110889 +1759260220 +937753031 +1090441062 +9504940 +1733863762 +290850492 +1805134175 +450440997 +378649883 +858906747 +2099968151 +537709831 +343936836 +720622315 +1944512683 +1528903711 +778451116 +122466982 +1326074481 +273129196 +591938774 +981304957 +58871997 +526735725 +1510610834 +151132297 +1278101578 +1077146513 +1578674840 +915501602 +1394257402 +1190451412 +1853254633 +337214816 +1199956352 +1439634747 +628065308 +857606880 +1890075745 +1006715191 +1716513627 +1842560248 +1544425022 +2060450464 +415698915 +1341454058 +1441870527 +1194150031 +1463921040 +620461360 +1467279227 +2055859814 +1601766317 +1526151225 +435111891 +964893504 +1677283522 +1713213469 +2042040017 +1108474714 +481231423 +1288813771 +151442478 +187002409 +1626028587 +1351398830 +1626637156 +106610247 +61522062 +1369229253 +1113325438 +1778035690 +1064305853 +510266813 +1691002506 +1480004768 +1851720871 +985389385 +526671152 +1168158263 +1605850745 +1993950379 +1076534429 +1060133415 +1372617956 +1511646320 +2025026919 +902417830 +1077376141 +1919583288 +2010892544 +1558607565 +1060913411 +14851374 +1745609974 +539458350 +1366250205 +1224763482 +646068597 +1427772267 +446509088 +1759394035 +1058324309 +1510814941 +122177200 +601843167 +843336062 +1973898071 +1587232552 +1370007214 +994572686 +1045599650 +1216473945 +2071107115 +2105733065 +441608254 +1435269787 +1983276336 +1344026084 +365162281 +1755375976 +1207434981 +1923769846 +668805739 +1222286355 +1521896172 +1208264089 +441052912 +599176006 +1854332686 +1868825180 +1045685094 +1466243073 +779665841 +409016388 +1588420274 +1381509009 +1252352450 +1414834697 +821257913 +474876016 +261923736 +1866857563 +1691349961 +185547203 +1825106980 +2132958215 +1620816991 +1660899668 +1329500652 +1985979272 +1268791996 +389451985 +1762265470 +1937597735 +1611738340 +1136677994 +998378176 +2052791253 +1735854000 +705227214 +1774132785 +634055447 +23986640 +406314978 +1043071835 +1612406914 +1787823987 +147940637 +879757963 +461598253 +622816653 +1141681699 +180972168 +166682966 +1327228903 +2006079149 +152157534 +800562246 +1519495169 +1481658186 +639057870 +640803518 +1871110171 +253839692 +430917605 +1335364863 +1390517686 +1429295782 +1240672468 +978888038 +2134522996 +867321605 +1612943485 +11025988 +1273636584 +508531672 +1623432902 +913976923 +656472309 +355707218 +1375575176 +1279288962 +1497388917 +1556547345 +1445971929 +677134172 +1415142846 +1598129463 +1477696418 +787154367 +932304001 +2116754288 +1427957885 +655930524 +223110332 +1858875491 +1991295387 +1613628018 +1140687625 +1084484208 +445032409 +1127726973 +1951805813 +2057975894 +1138752962 +1077958749 +419023919 +614702216 +1991935673 +1075496228 +970409434 +1220027201 +207301543 +320314704 +629090898 +1653273472 +997448876 +2044233744 +1103919287 +327661647 +683904464 +2036223288 +296932287 +2111862349 +544670164 +520042620 +1823254192 +388481903 +2133670638 +816458169 +1472966111 +431219399 +1944185143 +1277288277 +341711646 +935454457 +207763378 +760735565 +1550156673 +52215403 +1836231793 +373082460 +1272242605 +2043533336 +693397164 +1901333503 +1549323160 +1690846040 +1798083600 +505758799 +2018507687 +334504416 +394498439 +167956327 +298883117 +939168603 +687998947 +2122137310 +1327650507 +674185937 +791111831 +653132970 +1105405337 +587813326 +1930421247 +1447116983 +1523267783 +2138184626 +60368900 +925940809 +42916381 +1896600693 +1299023269 +1315158986 +1792650382 +1992420433 +1069008842 +1194489894 +1535782825 +719608794 +1700248694 +1406806865 +1054113210 +2094747133 +1574763192 +1352996327 +886432089 +115278491 +1327649989 +66598948 +789464428 +2118761821 +719731918 +1894869765 +559091499 +502669518 +1194503100 +2082359283 +493370496 +1254872000 +860816444 +536286877 +1003989046 +12356065 +1851445864 +649155780 +2004776498 +772971058 +1843645674 +1393075675 +1492579852 +1396410720 +652398892 +399209414 +1343674206 +79678436 +1752205741 +82622647 +194956927 +932372083 +149221595 +984421356 +903650256 +868953513 +731807473 +1462741755 +1371623031 +1926310574 +1397617390 +1864993527 +1033698926 +110950186 +253796757 +2037687972 +123306251 +2105242621 +539360104 +2128082749 +730730031 +235522131 +1373674777 +75826235 +1631932851 +2026073669 +475035649 +828123409 +2105752106 +79757742 +910746056 +153225385 +1012129825 +1059967651 +1137646741 +1915780081 +1928921165 +1869454215 +1231038189 +1153060548 +1648281141 +481171931 +870570428 +534496419 +592122118 +1124367185 +424700744 +715428369 +1082126158 +964060848 +696027471 +1812856189 +1199582979 +2069702248 +1888682424 +684032183 +1948292269 +216234425 +1512155592 +1906560727 +295992167 +275418001 +2059786113 +1308121993 +1335385652 +1049949206 +1076418426 +1116823169 +771919773 +159972967 +122400070 +272717266 +641144899 +992970498 +807213686 +1233267017 +2117337683 +1231914430 +1948695386 +1051980193 +48491630 +497239209 +717352734 +1248074610 +419457809 +458551510 +1932106793 +220266431 +674785935 +1296778737 +2126827158 +970778102 +1572196738 +2039129623 +131416447 +760098743 +941595182 +1207834874 +1876921912 +1713514955 +1367807841 +1999321982 +1986232222 +2008952740 +844808832 +645962260 +1094736109 +814662867 +1877876690 +895947848 +1866643060 +1926368320 +1393187057 +436512146 +1026959282 +1812644867 +895063656 +811582427 +2032911298 +1569849591 +2108361165 +2012254808 +393144046 +1533074255 +1903900784 +524560493 +145689350 +698012318 +1732395367 +2022611263 +264043625 +952719561 +1874449597 +102792199 +814188653 +571774782 +748754459 +1908924763 +1386437649 +479147501 +657388963 +1105597062 +258032174 +2050576020 +1542109208 +1284991456 +1715737239 +289689217 +2096573884 +1601164889 +1859538808 +2057451401 +1465936050 +105199206 +1443042008 +1222353186 +629759700 +1588731359 +1920365504 +214671419 +1463858974 +36925481 +1167390980 +1190824923 +139717681 +1981579634 +1762599705 +888472140 +1743020749 +1001553707 +1367619642 +252926064 +2107150769 +1625651816 +156018436 +1501776329 +763159624 +1871755676 +1791465546 +712249860 +1325436917 +1503520707 +622217613 +643889319 +1608719913 +2065259622 +1866242505 +90995965 +1506507333 +1639124361 +305667385 +822882659 +1676049843 +1473058365 +2013707582 +1815767524 +1307154351 +1628823640 +556756016 +902691452 +482893699 +1924375658 +1155617516 +442560820 +1402543826 +1311635953 +1944337149 +18219803 +1035907981 +1588319048 +730469663 +213861250 +944356107 +1352687277 +857750570 +405592372 +1270463251 +576509427 +496588338 +629486936 +68150141 +802255723 +1452369595 +1744199984 +127830440 +1318593529 +1412483860 +1434984792 +799933521 +1969239876 +190192596 +1282827220 +1746131887 +1345810113 +1725388040 +1001192065 +509962418 +1522241542 +1019411868 +1545870399 +963076942 +1749881532 +1759731649 +1907433049 +955085161 +469998571 +165541773 +78064764 +1046507999 +662130111 +707551700 +1114658140 +1464385834 +12437647 +711374476 +1592216275 +1331031176 +2123858336 +879717419 +2130964698 +1945614564 +1069910015 +1266308270 +1544262803 +268236480 +844212663 +397971221 +778198898 +218970557 +1417383089 +176585649 +1182047499 +1019780973 +1936317299 +941996900 +1974866134 +258832222 +1107538673 +2052930898 +1305340221 +1769668785 +612998950 +272514713 +1086570971 +625436597 +983889189 +531303598 +1956467774 +960263877 +1411021017 +1939948824 +758394794 +333447385 +1058773446 +155173949 +601683865 +1902986109 +553145170 +1379882764 +2121956666 +1970528260 +1556468413 +1156520517 +842825585 +1345302064 +2098517417 +670208072 +1604134287 +1058572443 +575655322 +761990860 +680757580 +1188654273 +1034505574 +1767328551 +1814090870 +2018394763 +151148502 +1623074996 +831174993 +1562169519 +1415540172 +1589569787 +1895616904 +326829971 +1744743736 +349817122 +82332432 +150405259 +1729699886 +56805451 +2120933519 +1138684651 +1213325968 +816275456 +336503068 +1164359738 +1486483528 +1940637355 +75448533 +2062138851 +555144567 +756206113 +1103309476 +1589650141 +376051016 +769916698 +1460561257 +527199518 +245508047 +144252602 +2089369038 +1661048219 +1733822389 +1837502294 +1987878190 +1331082477 +39835768 +2070210623 +1481487736 +1769535654 +2127016074 +1454937607 +760736658 +1192858394 +123729416 +1097239726 +209734484 +1610212944 +890393433 +285183017 +1524868147 +1445538000 +1041389130 +480693975 +887704494 +1417440147 +1250610674 +200782103 +1944639665 +1496118721 +345034705 +1886525055 +1009683292 +2078857094 +1576543702 +850077835 +1262455923 +1616379470 +772804810 +596460012 +1238431477 +752337236 +2051397619 +1999168135 +1945195630 +27643387 +948924213 +7446467 +1637856332 +1839317646 +292629484 +1015240831 +1137371998 +1334018615 +1495934807 +2025076492 +603975114 +599061833 +78374947 +401131131 +2095180554 +423409652 +140172539 +957380198 +354783098 +1716716241 +1807458033 +1617239022 +1185612063 +432779195 +66215386 +276559892 +1185116431 +2117613005 +128244379 +982828414 +2145256393 +1077168592 +990274881 +1635629077 +769002590 +1282904365 +503386260 +1906374589 +469439332 +1999321067 +1783967433 +1073414446 +450899252 +1862342381 +1474545578 +398596158 +138268385 +1614718117 +1355976357 +493051484 +1183950710 +1015950742 +2110290506 +222079125 +1448729938 +29022244 +498639018 +486362721 +2146635249 +626883397 +1469191135 +2144407994 +1704051990 +311982368 +1632553423 +325570932 +1594886734 +2135939684 +84461873 +2064326066 +1987777103 +1868429307 +990256865 +291192708 +1583288040 +317318795 +689788866 +1721556425 +1932036912 +2045765223 +67124261 +968503974 +914232318 +29931119 +1190583099 +215478608 +58953363 +1689222117 +701841329 +58104965 +168621867 +23548817 +55029311 +1872673857 +335531185 +1687582735 +50761141 +1930417919 +1676038771 +135223015 +1847260338 +1516332226 +2003652322 +690033555 +1807524934 +1439456714 +1007352350 +349830153 +1013529491 +791905614 +248111728 +1080653753 +1760409588 +1162344046 +1110584872 +803509039 +1377822654 +1169538236 +345247509 +2079663984 +1227643201 +513869376 +2103212801 +1282672512 +239059585 +291260338 +822771599 +289820726 +74194610 +351326722 +425043741 +1921454948 +1867658949 +281212415 +464004855 +1527700235 +1720669129 +1471357205 +1877530388 +586714973 +115779171 +2125642117 +1667368726 +1876188759 +1140502515 +630469950 +532214150 +370841522 +1800008186 +877461659 +303021858 +880167739 +1391331035 +258751011 +15356604 +1630390620 +550011349 +838128203 +1920211347 +624205959 +1189454926 +197771440 +398177259 +909630227 +478983856 +862182114 +289846814 +52169337 +186055671 +19893555 +638884310 +301834842 +2145535672 +158769388 +30539953 +1138554539 +789239339 +562754104 +1509396061 +441763877 +1440215763 +1812417919 +1321931617 +684063151 +2071168930 +1337288221 +166970123 +473696632 +27932776 +2087181470 +1097902591 +1217387702 +137469263 +1496079851 +2127017929 +616453119 +210778317 +269381096 +668622456 +396833989 +289274651 +1307506767 +698668831 +287326675 +1466276155 +729208785 +1425881214 +108031846 +1291962889 +787793628 +549795724 +584695004 +452727899 +1871727341 +1268758155 +376413182 +1061531914 +1435728279 +850109814 +1089464690 +1375426101 +1948012405 +159368745 +1512895364 +1296608608 +138903026 +2129348483 +1507386926 +408284122 +650487292 +1904220915 +697558773 +1957994059 +455406098 +984885448 +1276786566 +1184614883 +263283015 +1384818413 +329094124 +1051076643 +1934614137 +913789129 +1503804542 +1658857830 +35063636 +1880217724 +572906096 +1470791915 +582843890 +1662370786 +698734369 +383372648 +1821739531 +64146085 +1679981256 +1960642558 +46010921 +1039884534 +221443032 +696498213 +796621801 +919001806 +507008624 +1252027900 +1903887254 +1783795190 +289159135 +19686621 +1021129955 +618253260 +1070763264 +808260444 +1532042389 +427084159 +319634626 +1567106025 +159818235 +892540722 +890414293 +742662126 +407427861 +1589148662 +1126034774 +81683744 +1653294747 +658532382 +2042326302 +1699305668 +1698416917 +116285687 +248320233 +347555070 +1035287493 +755328857 +1599582970 +791691099 +391640400 +1888742106 +811377721 +1412770355 +359511718 +1882140985 +73547152 +1891554107 +161741496 +393181778 +1311176484 +321559732 +1285722501 +54107129 +1064221858 +1693150362 +1643255791 +42772984 +1774834106 +1149066891 +701305366 +1669676761 +700888911 +252238635 +1785962448 +949209145 +599793706 +673766293 +1704538002 +51893028 +1465457392 +2096178402 +1940635134 +129351465 +1361465110 +152663204 +2011492451 +1435012262 +2044217311 +25750299 +1828194040 +1207910148 +347310031 +966432893 +1262017277 +1411531889 +512099607 +757789421 +1454304873 +139450066 +1906856312 +8126592 +1809126827 +460261575 +260365227 +1447605627 +1409470720 +860158933 +2121371920 +966525075 +912051962 +1439345664 +915219829 +705203448 +1568697130 +129201291 +857866653 +1432705933 +1564213553 +754600316 +1458456232 +1244923946 +1962510464 +1805766264 +63873191 +1077044094 +1069814505 +575972799 +1834833515 +376635731 +715422865 +1594206179 +384762323 +377066044 +2054467754 +645127550 +1824671671 +1316454827 +1505286484 +1798559943 +135496254 +269854798 +1090421959 +1050716083 +975058246 +511635441 +1179917375 +1832924899 +1944341374 +596647280 +440041568 +1255313959 +1841571226 +255068384 +913596575 +1905444418 +1332112478 +1983411080 +333933569 +1019462345 +212563163 +1049356434 +466184876 +597325486 +1426422478 +373168983 +1242453037 +1103610501 +1689623810 +600255873 +754686796 +1825120064 +870110671 +1845108755 +728352499 +1845168917 +209260549 +1908269874 +1530610169 +6118275 +357433507 +1970651737 +1261432234 +51521085 +78236473 +27545161 +1956965503 +1410348952 +2010956242 +143415424 +282327649 +76035757 +1192771858 +748512526 +673361244 +471710688 +1121681509 +1915814281 +1575321189 +663821671 +368586506 +182524337 +341458087 +1238697177 +2027633093 +1069810586 +936382446 +89409994 +830596813 +319508967 +95528269 +1188030320 +142677056 +1356960504 +1239551405 +220913530 +1384505665 +1049033261 +1631262482 +1247978259 +1192448685 +1913590131 +1324014017 +237736896 +514619009 +1997375261 +709447584 +1636300518 +1765705894 +137285126 +152638541 +2134292400 +319809463 +494096628 +1225505929 +199958908 +1563907215 +14404727 +289368902 +247020380 +333913695 +384897172 +1435050700 +476590751 +1741857676 +527118457 +697504281 +978879693 +1576151718 +181283115 +79374305 +621116756 +2094873247 +1403388322 +858853652 +462008608 +1253279935 +1568301236 +2098309127 +871502181 +1705586362 +103464020 +858310933 +2025395826 +597560649 +2083816862 +77871086 +13984216 +2098221589 +367239989 +261004596 +284651636 +752137161 +1696055296 +761242388 +346511189 +75690105 +1458746669 +1325390882 +1651841824 +1640029785 +1404765187 +125474932 +1587419384 +660669861 +984328584 +2049427992 +1913949796 +405146172 +2000253471 +637968329 +2110732535 +2103717492 +1496279262 +1988644713 +553794493 +1432612476 +2066515799 +567778709 +1383350418 +286272140 +828783305 +1668002054 +1038409301 +377354953 +281760794 +1384920490 +453045058 +1740507464 +562827725 +2104886882 +1233053601 +1967592912 +82878166 +672989337 +480779126 +1067206750 +574933681 +247245274 +1472352923 +427703505 +885213604 +1435601810 +383937349 +234009218 +1276762875 +937731842 +1666621695 +1195795026 +1505510551 +902488465 +1482067167 +186810208 +423006871 +372992820 +564165161 +704767666 +1757913311 +1017210219 +297791482 +173257388 +974613454 +1530845083 +2140850300 +1057491620 +56350772 +474145778 +2124698371 +631284453 +721391053 +1449567646 +1058987958 +1606604657 +737685808 +1442925307 +1840613875 +2014448683 +233173501 +1359751922 +1062760061 +1738684052 +114756739 +397343580 +1925494260 +537763611 +770336401 +342175773 +1242531277 +380766064 +1359385993 +1540322759 +554023452 +186515799 +923684194 +547390104 +1244007419 +980034966 +1021535883 +1221222142 +1611319419 +1742926936 +523306140 +522823730 +1202047945 +1260991948 +1965749037 +895178172 +1127956983 +51438891 +107446447 +43233397 +1790122943 +222203186 +440576977 +1568133556 +759966797 +1210913378 +1910309329 +2002498074 +1591679442 +1122211674 +1395337185 +2145702894 +1308727473 +171537731 +545609351 +405251245 +1151572697 +1567145234 +1626473387 +615408469 +1162588522 +2295880 +1138232199 +217152819 +1263287828 +956497588 +1112330991 +243761164 +1007936479 +1219777438 +286994561 +650575775 +1441980625 +727571538 +71225683 +54463774 +1938484917 +1981535012 +2056961849 +1382680711 +956263039 +1304815386 +1380899958 +117506864 +1476353118 +1926509309 +522758109 +480442167 +1346170895 +1747849 +1095850636 +361275769 +4043729 +86599187 +578428588 +1267331557 +1043096776 +1690759579 +1511092721 +2051033255 +763053370 +1798087282 +554125382 +57550347 +378175173 +625351065 +112014121 +169176442 +459402430 +21492322 +1551857153 +1415665469 +1326307709 +785273463 +1533172333 +655177179 +564299124 +2055930443 +1135619346 +1910470019 +2057678292 +83986335 +124262140 +2061722021 +170585522 +702690728 +1181569930 +1213682298 +245966660 +545179004 +1117231906 +1009020030 +195782638 +1671357288 +1066570377 +573957811 +149224706 +1178584498 +743134253 +608627136 +1200076821 +147507759 +2024292605 +378900882 +932781222 +1409981290 +1034078061 +1497080347 +1318428085 +22213759 +1260066718 +1228622729 +106200094 +1384328859 +1142861102 +276785617 +2087019587 +176947385 +1490467915 +185502599 +722126389 +460216173 +1194522629 +917909027 +2131573462 +113609358 +1491866839 +133314520 +1292193857 +87517444 +741941656 +344787030 +235025203 +618750613 +723687912 +1167806426 +2028731903 +1757765973 +517403125 +1199676341 +1779979732 +1777469843 +280815422 +1886179827 +1014315054 +1423676525 +15481796 +953850994 +1600623910 +1505949711 +1139353593 +175266651 +1966165885 +186392575 +1093175678 +1950255699 +300001933 +437558869 +2083570219 +1592195790 +525076314 +678028227 +1936982820 +760101517 +1296778840 +513187084 +1927907943 +1178027095 +123469409 +297827420 +230219788 +1903449142 +2075297264 +511035211 +1642145321 +942128670 +1934711736 +1657627117 +1895979664 +1387851998 +1016093180 +887849610 +1563118649 +834775417 +1074242185 +508810679 +637547468 +1374244118 +946369549 +573634039 +818956261 +1471445863 +1251662266 +608455433 +84063732 +400957458 +1121642518 +2011971676 +1578984554 +1245111927 +162315448 +1809204342 +1001077421 +90129064 +172755905 +495739094 +1032257735 +2107467641 +5882563 +780753751 +1347835991 +1021975744 +1668603361 +763470992 +1856751161 +595361898 +1272281672 +346814982 +1969606017 +71167573 +920449021 +641078630 +1542613436 +24627640 +1249534063 +1626677168 +425585098 +223692933 +1491165196 +2004569652 +1468804861 +1653480645 +1666290347 +322398634 +1743609709 +1839046252 +818137729 +628383796 +1799030246 +824020292 +1409137548 +999382589 +1845996036 +930257261 +1762853582 +1555263550 +1525619160 +887651606 +1902078532 +1347741529 +958819179 +675043905 +1988820159 +353948967 +699671545 +1090870574 +1980626135 +1125256644 +1314563508 +1324307684 +982342648 +635884721 +830304681 +501149347 +958283355 +426430742 +192711952 +1776421084 +1054814539 +1991742198 +452957729 +316468439 +843641139 +151470117 +1246725700 +459011073 +1706733667 +624861212 +1346662679 +1461328551 +1972602741 +157998210 +2136372457 +1813939252 +511947177 +688560354 +757326179 +345089665 +1813816998 +2071889687 +1669397349 +648675999 +560290760 +352218382 +1149825346 +1518574115 +778649124 +1342537298 +1147511552 +1833463663 +1186795848 +1600469281 +2448454 +2030436988 +1751939398 +1249174155 +341964413 +1311189418 +1874035367 +1688627093 +625034321 +1699154461 +1846625303 +613923130 +1365610065 +211088833 +1302483485 +2122936244 +556178498 +968816835 +2047342283 +78092199 +1617492834 +460149395 +430310581 +619834533 +1978723511 +1208959705 +1962371831 +978751415 +894939721 +1001684032 +431737048 +897388175 +884637372 +36192798 +2146562330 +1226601785 +1347382216 +1873114050 +767745230 +1972416538 +1424784863 +466886886 +438856020 +642911280 +677975719 +1741339505 +618363877 +1234154217 +562672693 +518222512 +1312246416 +32681879 +978371908 +1742556997 +652516412 +809611771 +804033054 +467404596 +1788363186 +1698972775 +1469088628 +72616586 +448877303 +206242352 +108809384 +447955985 +1432844137 +1456191601 +173586387 +53105720 +1281124491 +1598371250 +519992606 +1719980511 +93798883 +1197968325 +1313836369 +712162760 +284638894 +1876509062 +1230385272 +1596885310 +1909190941 +61273532 +1191958659 +414223706 +870885303 +1995991713 +881628302 +511764841 +1547480841 +203233282 +584381427 +1996358144 +409475634 +693190812 +296830481 +1842319771 +1898765 +470416869 +1895425491 +1283023256 +2068788119 +267934449 +855520119 +15103354 +1465902774 +21872840 +727266114 +1750541668 +1898381902 +1957651387 +1199943330 +1660089196 +2018924919 +244418341 +2074312902 +742326575 +92926407 +808457556 +1254091416 +1640407248 +1011690838 +1838472844 +1489281744 +1421166472 +384180008 +1786112225 +1116002595 +386078773 +109045446 +863944439 +1669102029 +30349918 +1131878888 +377138500 +45453272 +450298015 +399011341 +772719387 +53356035 +149909595 +582887126 +1253299366 +1809998791 +454328397 +1497717707 +1736828045 +1196654972 +1590644114 +397801953 +303262741 +1083567714 +1409492791 +2141735585 +425365810 +683175615 +378431945 +63994388 +1799178211 +764510718 +173039834 +515639002 +286129099 +203389752 +1647517890 +663267599 +248843025 +2097815905 +1062278940 +1021562412 +3688293 +1212188536 +1604449538 +1256987659 +874703679 +2058777935 +607221718 +464048077 +1107949260 +50382185 +861850030 +1411212001 +1133949899 +123859174 +1405463938 +1559315710 +807034789 +1783895883 +1623310098 +458729352 +400922953 +1796349932 +974368354 +687052052 +1999739685 +474402597 +1350319651 +101099062 +424734854 +265114944 +1122661474 +428423147 +1477303480 +579627364 +1685410806 +204523511 +490921651 +145148877 +668571588 +1598870911 +195531062 +1530421619 +862599264 +1329480961 +1654280793 +120579554 +741313023 +313831934 +1904475437 +217139473 +772561287 +157914742 +2013489406 +1746929641 +844966794 +1865745443 +73848590 +47802798 +1966844505 +498583445 +312917742 +942022331 +927006592 +1790221222 +1521649695 +464933751 +1994744733 +2012571346 +610082628 +515832674 +1463958610 +805613690 +2046254293 +179074226 +2135094651 +1553051438 +299653781 +728924027 +1866883372 +56645570 +946063500 +491961011 +214560313 +812069258 +91407005 +1059527107 +530331053 +165255595 +1107329905 +349691910 +663839040 +1420247647 +1291714241 +1590845633 +1062985221 +665880288 +2055779384 +910246307 +530967987 +518378364 +1426078981 +1994926597 +1323992054 +1324849626 +26517175 +1311603057 +730417416 +326170956 +2040527084 +449817140 +382816527 +839106937 +941778152 +597376840 +1651176195 +1033185157 +1656903947 +34023601 +1198440752 +616750205 +383715511 +1862279793 +2036997852 +1675429753 +1305641778 +952499426 +193826393 +1213937514 +1862745733 +724794380 +1732315878 +1141341066 +572237329 +908824284 +318707044 +598754505 +72943693 +1049124460 +924925461 +2113470778 +1498941600 +1307741988 +805094067 +293236104 +1905118828 +308786614 +1326421261 +1414539128 +342810215 +377378366 +2031289333 +726525727 +92174511 +1920803537 +254471832 +1397816289 +725819315 +448298225 +464270155 +441081400 +1173092606 +49102385 +1582422466 +1745329935 +957926669 +1901129510 +196600792 +1030870362 +802770322 +1121526254 +996857492 +154228275 +281784594 +1801951559 +447464379 +39419775 +2110738174 +1773885641 +1453958903 +306064741 +3780359 +1337764588 +1032590468 +95954870 +1111084477 +1287062300 +1493771159 +1836903793 +1735360526 +1958041314 +130501545 +760969484 +2007143699 +1712924012 +358815771 +817586720 +1466569874 +555416564 +1848457082 +121856549 +1676942818 +697830927 +276084824 +1958727412 +352298838 +723549203 +1998147187 +315553364 +349951196 +1304622442 +621618106 +353731555 +494903382 +1654208574 +449686425 +1605987860 +793787227 +1943457584 +1295408005 +381664105 +1754015250 +1425909550 +1142633589 +1613675301 +991349914 +1501449360 +283778373 +310436141 +2056865924 +2132235456 +432292690 +1586325094 +682582735 +708377514 +1397568859 +1034881573 +1431926717 +1248232398 +1350434938 +1781877914 +405371193 +1972053044 +2135609469 +900274575 +1478777970 +437812247 +358778787 +125081549 +233786183 +1654186792 +506745654 +1987801434 +932612695 +1649379243 +1453993087 +1923962609 +1003344956 +1737771461 +86915102 +912727232 +1722523269 +519207792 +351568679 +257622356 +1227585306 +1749137538 +1292503929 +512028376 +849886288 +495455219 +146422642 +1255257481 +320024615 +134548463 +8048409 +1798802586 +572360710 +366827196 +1923884135 +806146894 +2021013989 +283146142 +646464680 +806143036 +1932525385 +2100457767 +582621997 +788386693 +1690745580 +669537100 +1701113926 +1265785201 +1188744892 +2052682605 +1523407557 +268846551 +1654336495 +668427839 +780874927 +356739135 +1163883058 +927297569 +1611996617 +1483907674 +1061846032 +1620045026 +1135226612 +1634206743 +1986872222 +911627099 +292869989 +1860402563 +1194773241 +939334669 +519061951 +979814979 +892308788 +1101683949 +1768201672 +435570721 +1771221049 +1321831950 +1701355922 +812482293 +1227030907 +1077279832 +1081328844 +733883754 +1745707671 +1862203771 +1090622890 +762107081 +642017692 +555135859 +98531107 +1703863725 +27697237 +1233757719 +1190586820 +2014569459 +2145384819 +1483456809 +1727488375 +1192674412 +275307830 +99066678 +25005743 +1167616618 +1200750627 +1793207416 +1603187339 +824488028 +967555718 +1157059614 +1636970322 +47102978 +86855798 +570815518 +780986732 +1832563469 +285535642 +1871609622 +447186902 +927553334 +279261833 +545718010 +483933411 +306959070 +1779475729 +1674520231 +174044882 +1777376900 +1010493392 +1901533257 +822567665 +1285801222 +2000599935 +847573408 +305934193 +1053866915 +493297176 +1909121532 +1878354943 +1460852895 +918697498 +1367841617 +1507955873 +1005553296 +1938657136 +141458957 +690633117 +76709130 +2013068580 +1137820020 +1004262464 +144846765 +1683538030 +1488195876 +451805836 +1315530111 +1015232459 +625850718 +945423364 +2025725852 +379900327 +1767991029 +1164043426 +233016614 +468080789 +1469977619 +1286883529 +961377966 +1231615504 +1017754825 +274747213 +2829354 +238112794 +1782703086 +1008382651 +29286282 +1924162043 +1699015768 +105995412 +1789746975 +689352140 +1110257877 +1934593741 +225406522 +450970105 +238915929 +1540936634 +1466202564 +864766647 +338876350 +1344444768 +1244666974 +2106867379 +361004547 +1477683588 +427464520 +1830982166 +617083470 +1388842486 +915114022 +1634838295 +1663589699 +917943377 +1872951089 +1298809137 +1926326028 +1902237372 +1075487533 +1477858148 +2008232784 +717750860 +19726641 +971007013 +504860953 +245133163 +1421977118 +743776882 +1786069797 +740696035 +1608543529 +2124946147 +2085140803 +705726855 +2084329878 +298661702 +35926796 +364310751 +2129643869 +653010266 +1753153237 +897274243 +140364913 +1269259289 +1815217620 +2013316002 +420584778 +1594060000 +1768069726 +1496072311 +924434501 +1628818863 +66339524 +944161142 +452342228 +571200477 +1189294305 +1874319347 +1314977360 +827880455 +467531734 +776037241 +805342954 +405188889 +1481764097 +742189185 +703850592 +1517690893 +1106499936 +686010813 +23217511 +712169525 +1583285056 +163582424 +1981428814 +1251019029 +29414778 +254529945 +697595381 +1797484505 +1750602256 +1622029882 +1278819720 +1816941780 +418707376 +1731161948 +240658610 +1608001682 +1457997647 +1555635970 +288398489 +1925529381 +184189563 +1093741443 +183234623 +1665953660 +1835930628 +887085215 +1036160905 +794946916 +1573096028 +1059378416 +1507116442 +1008897436 +1222960840 +1341061608 +112432817 +1252375619 +1595591553 +810028199 +902376476 +1198710162 +284574433 +33712548 +868168294 +703281810 +1764874496 +1108826904 +163799844 +1075388496 +516979226 +452198333 +853434229 +701168790 +1545939776 +1036668852 +219638802 +1234386757 +1923754067 +1255799708 +2029333673 +1349366447 +167694476 +1388966467 +210780236 +1390655317 +582544428 +323213053 +495547288 +30652333 +1133241252 +1397923764 +1229362495 +1417815686 +1431636312 +2097530790 +2121097496 +1049027160 +1058874046 +137413692 +2124415656 +1575853273 +589612025 +830366238 +129538415 +2135551801 +1867035090 +349177217 +1222454910 +1643305510 +1604976925 +1104304936 +845188309 +1772671402 +345787755 +1055968545 +1015843071 +928332183 +1379181599 +1511390359 +958984517 +364939203 +761830475 +40863364 +1782754889 +45983139 +2138394154 +1756368737 +1095010299 +1049784553 +1893782429 +1071942308 +478154178 +335910806 +1902308546 +607692593 +323978960 +1621859988 +956869810 +1546433870 +1117681850 +414363088 +503255158 +1962870160 +39550842 +849042914 +871355057 +1055393913 +1777375097 +103053008 +419300624 +588875966 +467992212 +1181131099 +629739331 +103263453 +1227114238 +620649837 +1859632191 +174640889 +1670434390 +1605930972 +1246583197 +1104920 +1941841779 +1001408095 +608797513 +118337091 +475784436 +1565667324 +1664770961 +1593466286 +1980030412 +20542472 +1408852798 +2019581254 +869585386 +132724208 +927491519 +499476835 +235777216 +1346792143 +1088352802 +703769428 +380439594 +1718092133 +807032882 +1607553832 +191258322 +519181425 +1782194721 +1861692713 +2125112397 +881294271 +1862797633 +1919470528 +1882702366 +324111499 +2037807619 +211003154 +1889778823 +1555094933 +1804469441 +1722325587 +1575637405 +1065838591 +1594423193 +297739143 +1198562799 +374431064 +797215978 +1434340016 +1721223207 +1885568780 +2138109444 +2101662801 +1456177265 +797658678 +1561732985 +1647435588 +1316840103 +1196444058 +1361644653 +1294468853 +2077738329 +1076958638 +1066455733 +1812957048 +1401070137 +956779705 +2023960202 +1143365312 +364390990 +1680945995 +718207251 +1940028395 +599300939 +165146796 +90283890 +1797863738 +539577860 +887499868 +1084720106 +113317419 +625585001 +1075345903 +67496572 +2081762266 +1873004581 +1629229557 +1581714206 +1042361037 +678189968 +795875211 +189346242 +608444649 +1872833850 +1255801975 +273918049 +1126420339 +65098032 +150394604 +122302004 +429489022 +1831340599 +840509255 +222033769 +283157890 +1005656052 +312317659 +2081021629 +1545233912 +1199817528 +1018258087 +1658551332 +1825402529 +2093603990 +1726047904 +1759681147 +1819124924 +1207793814 +1193911706 +714002313 +1885983782 +1989786917 +903348555 +346944783 +1715137119 +11666882 +620862833 +694073811 +76764915 +771257437 +816375815 +506253937 +455114388 +1656885070 +728287707 +738272279 +515057474 +1040605366 +671810260 +2060291387 +92939246 +1690068347 +1571359071 +1918341775 +1636188690 +1149923327 +1530539275 +1307829966 +210233493 +576967333 +2021832279 +2096217275 +419270602 +777697186 +295678411 +2134407722 +789364068 +916541244 +680997885 +866128983 +1687798681 +1497373700 +1372382921 +2142913069 +1006775122 +2100670628 +733701700 +1521832597 +993792346 +1405511960 +1434640336 +1086731593 +948096660 +858515759 +857589720 +436801702 +2008439086 +240645347 +1744631668 +71188932 +817612680 +1618980299 +19922559 +1236883283 +249193837 +315600970 +1223807357 +1038557905 +1232142214 +1904805242 +1904686889 +772457247 +1254695294 +1129586162 +767886669 +113986768 +1082773142 +1501588369 +1635819365 +2076565488 +759616682 +922976053 +1015813433 +1707713342 +1781491812 +1873403154 +2144515044 +1642447251 +2114048501 +1741663064 +1713636183 +784177534 +1213159715 +1733558742 +2021060817 +1462353552 +2049159713 +1097384526 +353427809 +1133818279 +854706120 +110631050 +1906275527 +2109401414 +1240217212 +526678548 +75904534 +175506706 +2028266917 +1711723900 +104588547 +640399951 +487216305 +1120401980 +200629645 +121224470 +846321486 +197661041 +1763671721 +812886340 +1939324105 +1329824256 +1597063874 +1005000172 +915899350 +1470641043 +319870076 +817575415 +420541921 +673297886 +1951393695 +1275248041 +783928936 +1710185574 +1237165807 +2024146149 +89380474 +1313070341 +52169207 +2117647391 +877310593 +156757754 +610563695 +1364526899 +1277159735 +811193340 +1485751369 +2123481221 +1008854382 +1101939442 +788883913 +800694839 +284280050 +238464139 +1805695012 +1200179400 +1709105182 +2125565088 +2017754816 +2129647103 +651379326 +1821664863 +1257411496 +1435308263 +1384366789 +347093655 +1311970764 +1473747263 +1660163997 +1364139971 +1443911006 +389990942 +1520897726 +2054474701 +1754517841 +650573813 +718184394 +1092785562 +626571386 +1727038776 +47241356 +1415455300 +380249967 +331521406 +1653919439 +38461331 +1531700807 +1215540974 +16542772 +1401971975 +1197704429 +667922098 +1076153190 +307632278 +2103230361 +313036331 +654725933 +1267717477 +1786783594 +167406282 +484373801 +1083210952 +557397225 +2005271527 +990202006 +164431418 +508361692 +1708386400 +1257216981 +1134933078 +1287941528 +1304458337 +402904730 +1668191495 +1635979744 +2056824170 +1706652827 +1020196903 +1124881496 +1723195599 +274685230 +175102277 +243634049 +1350838420 +482734555 +199380763 +1663874751 +1137460489 +1467098240 +1303174697 +1304866771 +1951472041 +238902001 +1862263996 +1809259920 +1229104007 +2026695415 +170137964 +790006759 +1136428748 +1305071043 +2077948287 +293403437 +1707975773 +1598656135 +1929383181 +1617316295 +1157825314 +802096436 +594714143 +733537265 +1076781666 +769816421 +977171314 +280136438 +1252550976 +1176552077 +1944011189 +242527817 +496166670 +1099702238 +1547394589 +300155063 +1338604240 +1262174937 +2109414984 +420224599 +1141386704 +132069300 +1210231359 +130331804 +1437140343 +1140695998 +423735242 +997632469 +591868485 +205634775 +467465116 +1749693799 +1007731212 +1062179260 +335747416 +2084512878 +1831995681 +1312918731 +217165669 +937063009 +341987160 +13693210 +1179590827 +838153830 +1113395449 +579501768 +1138308894 +304516041 +1841676705 +1100240230 +724740640 +835579762 +1232309530 +1934971999 +965911566 +521966226 +928184350 +1389646808 +1519598695 +1520052835 +1595281584 +1987063811 +1122262987 +455529148 +901759423 +1458010403 +392558378 +586271456 +623445486 +609724047 +1523334466 +965432647 +623417258 +555441645 +1803586477 +1736812707 +1134943413 +794411723 +2041328748 +829136470 +1894651953 +618585740 +1664716232 +979477836 +406074092 +483144151 +1501444062 +1334258442 +1872790959 +873559109 +706827629 +1320588895 +713139272 +1829090616 +1776118043 +1614898696 +1139617372 +21192774 +53686504 +1763062858 +630916821 +1577020970 +581011857 +1254334079 +2132462615 +237114687 +843663138 +1119922380 +1031526410 +737508238 +1949058851 +778694716 +1356093979 +1466291435 +1758172552 +1762168071 +1949435586 +1112132966 +948942865 +1674742898 +1985692075 +1655770494 +847848145 +551347699 +1337377463 +476482541 +18762747 +329511187 +497675315 +72449252 +2092574045 +1128592136 +1649470222 +526102255 +235442568 +1634449190 +763216942 +1079105706 +606887922 +1794743352 +1816613945 +408463125 +425954420 +1025224276 +1874754561 +36643324 +639908699 +1676706499 +1148776290 +1588851564 +1203965749 +986984717 +1097138410 +2051813895 +1538332417 +287032225 +380812788 +1557095164 +616543412 +878488103 +1629544416 +561633810 +2007080239 +1131530991 +1087736065 +95039159 +618496533 +1850953007 +1174144866 +1225384455 +1498212711 +843275163 +1633847581 +1924167132 +1868499439 +1361118494 +1960810456 +360924490 +890341345 +962103099 +1949776054 +2094307095 +1949087816 +899430816 +1998637342 +1339936585 +1186463042 +231966482 +749548102 +1803006454 +1110454585 +231608870 +217156616 +970051176 +1363139861 +1304892681 +1065090336 +1981636394 +1008362040 +91751554 +1059537202 +359091104 +935026717 +545901135 +135774588 +656042508 +1907019629 +2096585044 +1016966998 +649877326 +911204495 +819259404 +596700773 +712808664 +1718690220 +447854467 +2052745249 +757669614 +679820949 +654809703 +413192421 +1790275534 +886418574 +630349037 +612843063 +102074787 +1935241719 +1677933399 +2083711182 +796120111 +1769684953 +995764736 +1155211215 +557228022 +1541665871 +1290985803 +1213270530 +1301201852 +1240087200 +82753880 +1951079178 +3808047 +902013284 +400296304 +716616711 +473219856 +848150771 +621878313 +1230889471 +1527971721 +1276688016 +1644081892 +1170763607 +15622942 +126947281 +1783606670 +117697730 +2062189000 +1314056421 +53925264 +710825464 +936257726 +1049690000 +1866036679 +1493485748 +443872223 +1009538835 +559272630 +1745074075 +102142387 +642026510 +1548669605 +105950434 +1544039794 +1948965909 +822567146 +2017259651 +649633033 +1444445459 +1100665474 +30121106 +573649827 +597263718 +1200884713 +589272770 +724210999 +837007736 +706970500 +638916352 +3580509 +760895764 +1349741816 +939838236 +1810585764 +1068294847 +285840336 +106974339 +2077833682 +845112967 +1852048414 +32492421 +1487139477 +1253234371 +138442856 +883695624 +1054716633 +961010002 +753471627 +1704349666 +257971813 +1854137101 +1734470772 +831621640 +303917171 +787871837 +1420894410 +1028128170 +1624879573 +2127864910 +1667044522 +1628460083 +741277026 +869302690 +420814671 +404379142 +1937597538 +706655007 +511353481 +1867947572 +1551767974 +215918247 +1900439994 +891423804 +1469152619 +2038882850 +1775119428 +376385604 +852409204 +381107407 +2080735270 +1110381017 +87760860 +1667722394 +1942002657 +391678031 +308110583 +1215413420 +1419806201 +1932990157 +1195794682 +939367076 +1413966592 +1937071709 +1808669766 +1834781263 +193967203 +1598783656 +393952622 +705320685 +1319247581 +1945720597 +921238932 +1072203927 +689660753 +242907903 +963603129 +317296533 +619293507 +1816012333 +698403940 +552545129 +778909702 +786164800 +72783875 +573428711 +1177842831 +380894459 +1788842131 +450165384 +166400968 +837153166 +1389532460 +1580367560 +626741227 +1050718579 +1267665175 +820708430 +502018587 +1661617797 +1526029115 +1821266168 +1459854746 +299784400 +745986447 +2031851 +542692303 +1709589576 +319328384 +1161985811 +1378118261 +1017732324 +1714530940 +9544315 +1803897124 +1787314816 +582973027 +834256307 +20725627 +224331510 +1284421692 +187126595 +1061484676 +526470504 +1767494155 +1688225903 +1577189083 +887675682 +361450686 +2079207671 +401809831 +1887479801 +1752990191 +1861664578 +39780553 +351492991 +1863696429 +582472857 +2061082567 +35541166 +1744458668 +1291717181 +1053273490 +1311505960 +1301261496 +709686967 +951337128 +1884234523 +1543943274 +972062755 +2108566034 +680881318 +1159189350 +1022567062 +1207351823 +779199857 +563309318 +637057258 +1666875539 +924760004 +568781281 +2068685371 +664756157 +174287825 +1782866301 +704536711 +525780816 +1499079082 +1287009568 +439379735 +1534620248 +883984588 +1731096916 +440410091 +48006900 +884874765 +1150097058 +999344029 +621625640 +546556684 +1971406784 +582708026 +1227438003 +983112487 +1605275089 +287306178 +1762312344 +21100759 +924363436 +1281704236 +945860763 +1493144718 +1202905959 +1610616920 +1667432543 +838288612 +167669983 +45729711 +189884046 +1454679551 +485109446 +1724504295 +191180491 +68722715 +17430738 +239187392 +953597480 +1167527796 +1238531421 +1575223120 +1714084480 +1062454557 +10447499 +794038835 +2045567044 +1615722588 +1081345013 +1660395741 +1636823347 +2005708450 +794616329 +435200462 +1351369520 +1997522288 +2045817382 +871318415 +688327252 +66003718 +917048126 +878211298 +1520683269 +1402157572 +455231945 +1711863761 +1470880287 +472662683 +1951051153 +276994119 +1640190479 +1042098926 +1852217240 +1206791312 +2104553483 +1862664739 +2000830147 +2002636880 +1330903679 +934691513 +1515548973 +820243378 +792916315 +162681654 +1255443840 +2144285835 +12720294 +1153777574 +868120602 +701047546 +1219781292 +1785168728 +1579258844 +592980914 +1039842652 +2034490790 +157361027 +363239292 +359669825 +2108412180 +640233411 +1999860305 +1003027458 +344967003 +1059167969 +960097293 +60148094 +912514468 +815250525 +1391051773 +1847205981 +183315850 +63811503 +492638648 +345997504 +1319255343 +489440835 +358717798 +325549270 +1357561437 +1059765344 +1545330562 +995246517 +491540541 +2138311476 +2035089170 +378547683 +148188855 +250844814 +738217508 +109117387 +891078225 +590594165 +1112144845 +1236045229 +1649762134 +2072242139 +1296193323 +414792955 +740009016 +539761449 +114515288 +923324867 +603572952 +607153937 +1269322371 +1922828296 +1096594772 +1628040170 +100893918 +306672562 +540321866 +1646224480 +1301919079 +1031862407 +1637052309 +1189524601 +1410410090 +1785241164 +1440369415 +1143951 +1894358552 +183963993 +591738116 +859019749 +1420009222 +94016603 +783778240 +568718897 +508809558 +1523787257 +1108480346 +623324846 +299628476 +1712053299 +1230478783 +1568950847 +1487397947 +179589908 +1049507369 +1588291865 +486262470 +1589829236 +1087032697 +1788181549 +474207995 +576601358 +830222503 +1884618086 +214358875 +123108270 +1885762037 +2108717427 +307072263 +330016505 +820253528 +1727081485 +424033108 +1604031769 +148316735 +932842666 +980335378 +1256797081 +1556167513 +1279963854 +821366732 +639162648 +701431053 +161281031 +818752556 +1750938423 +1749572896 +1305015026 +1193284011 +689121946 +945712928 +1667492006 +1265723304 +1775935431 +1404626444 +1480082179 +1899043701 +1142904833 +1441315958 +58632317 +1472921339 +114085839 +1785713802 +1896954447 +1718117608 +1934030537 +682313466 +550969338 +1043343971 +90997331 +1830933192 +1864710703 +730159979 +384880597 +2025991735 +1548912536 +2135819020 +1628080983 +706443914 +1181619383 +169719281 +1652156842 +701627742 +1435442586 +1280608625 +2106254186 +768041117 +1032168679 +1101675372 +61873428 +1090800996 +427113063 +175959267 +729031150 +176583862 +1894076875 +515578040 +858897328 +297562565 +1558922011 +949894659 +2128495757 +1276149066 +1680054639 +365892706 +1154657153 +1081483527 +354228079 +635254489 +1787927441 +1535847462 +804973770 +1292600636 +89991556 +92932708 +425725613 +48762095 +860973826 +1457894292 +1150437467 +922847254 +401211640 +1577550530 +1098806521 +1130242791 +1754134392 +845399748 +1645820831 +465548073 +1142962313 +1057259194 +1415442732 +1123974422 +185924612 +948013723 +1489867128 +1340581766 +2029497250 +1844095207 +1975836255 +1669941044 +1232459022 +633326377 +815058032 +1322450578 +726259086 +1240783645 +1371212673 +1587232912 +551194290 +374166492 +362596518 +952405930 +1951717022 +1461403039 +2082648721 +1558367767 +159319139 +1580985904 +2023915840 +1302281452 +490761450 +1291874924 +278772226 +676686063 +92405000 +1768639354 +2017267829 +2121902250 +1465250914 +1845620436 +1644359646 +550226288 +331463165 +311934030 +1872676866 +1057722251 +1552717676 +1096405892 +497471515 +2103911966 +1470572384 +860068033 +908834248 +1274805759 +173987424 +843999322 +685689878 +333306563 +277501578 +562122070 +1635588015 +768263029 +1853996994 +1914360241 +1444949092 +1946401994 +1535515948 +1314733273 +1920820597 +853283214 +1012870061 +1417696595 +1403509502 +1344333226 +1729630626 +1128702720 +254571830 +1134864654 +77624964 +752043345 +1091292972 +1548197349 +1612111379 +2000127220 +675519460 +1786098803 +696642894 +1361209338 +2119405367 +974144473 +1923331408 +1607509734 +1742407502 +1629844754 +1374386328 +1039872946 +1428763101 +762418628 +207122571 +1202100050 +1615701842 +1219992632 +472312997 +871727696 +416842210 +54459975 +2000430416 +671414040 +1189324629 +2078055381 +1423457386 +133133953 +1478769082 +888085117 +2133261174 +6804894 +526700272 +682420420 +1368014232 +498621991 +1656564893 +1143861992 +2106131726 +1251488747 +626223098 +1333034406 +143878045 +2054986199 +2095453034 +351000616 +1109602601 +1563671228 +1570993248 +1581915599 +287915276 +1987835459 +1636375574 +140862044 +511765851 +678216556 +71433777 +1935223237 +811350509 +1550202859 +675824706 +797128035 +1557007753 +1202524979 +1479548456 +777538337 +1701146970 +988629701 +1921400329 +1659795048 +92634801 +400139780 +845345806 +236512846 +307642331 +793315192 +587513463 +1417244933 +209502772 +11023063 +851676884 +497418048 +1998858522 +340568810 +638280093 +363140726 +1018785366 +709713870 +150880315 +1830135876 +112433082 +826705022 +479780263 +1669440835 +2029230001 +1959328719 +299495525 +1582893323 +800474773 +73412206 +1095204724 +893109574 +473551986 +1940550530 +1129622420 +781194318 +586382075 +1717135883 +50955603 +795884847 +1728158947 +902632487 +1293302896 +1579533821 +1243201297 +1931582989 +1942674547 +114503016 +493813211 +2093554863 +1944638892 +606246293 +772776237 +276935507 +128203481 +654522590 +88780579 +427699006 +89932265 +889255352 +501111212 +1185136989 +1782364926 +974663199 +978203872 +764503698 +1755857517 +1564585947 +334155934 +1806813120 +212987146 +2062314881 +561961959 +1506290042 +1494365054 +1805163256 +1290389383 +1289555954 +1919666272 +1784202595 +1235627169 +1716821516 +242965240 +2008403406 +1993757024 +371168721 +515442348 +2082537603 +798867727 +605374613 +824309307 +1299978940 +1790511603 +459190585 +127158491 +621231827 +1223694283 +1883016008 +38334126 +1557850217 +1542345480 +251321272 +1472681450 +2104307439 +1757611315 +819562857 +1761987047 +900517050 +2109118811 +1534169672 +537235997 +1197262332 +1103507540 +780201238 +1058182090 +949780916 +1151369959 +1573624438 +884834871 +1950237687 +31515403 +1709144178 +1102732979 +1822027006 +20851115 +1229891470 +295775185 +1244545399 +965423830 +334109311 +654911968 +360285662 +585430584 +2127593419 +317109453 +195558251 +799672628 +2079096500 +1096075301 +761307791 +1465782524 +1633311299 +1958570123 +421806417 +266028889 +869268565 +1371587333 +1417398848 +295409355 +108938557 +1220152887 +326924758 +1818082735 +175402218 +1468117 +1838933851 +1405293688 +297243302 +935995602 +223233870 +631352614 +1590907570 +583519532 +1216783198 +1571017341 +900628985 +1412341449 +223206321 +832241838 +360933102 +984514112 +150540714 +1994244401 +795600587 +572347131 +112789642 +1664869152 +1943934465 +1530188491 +1960278507 +2052873022 +602857730 +139719618 +1723472109 +778259949 +141187735 +1414922312 +36069989 +438431037 +203434266 +259303860 +1069783651 +1794341837 +842823392 +139083201 +1217875530 +1743452378 +1551424650 +1441081852 +428210568 +1912357753 +278112316 +578751282 +1759118506 +1073712904 +1151098414 +1871908149 +591098408 +947549231 +1254612992 +403893268 +852938605 +1857470722 +543612886 +428927066 +488247023 +684800621 +1843849379 +524317013 +1123231658 +2047283645 +783620873 +45531662 +1694141834 +1626444265 +184614863 +764533717 +1222412995 +1736039514 +58131921 +1650623563 +1500913619 +336244237 +81891198 +1112548477 +1409957141 +1232989612 +836972978 +2001055550 +33055195 +2091585970 +257465170 +885993800 +1801573045 +801078056 +1314920866 +142336420 +1485878677 +1011286597 +666653433 +461626687 +911086595 +1450274306 +507158349 +457744781 +929234924 +691773213 +1222278498 +4164271 +280329079 +1280410419 +1654787835 +1781242698 +1616654657 +1736679033 +746307527 +879128150 +822184997 +1583280506 +732700052 +855240192 +1527382828 +990165222 +1741233992 +1181472225 +1791243278 +908671210 +1323808646 +1129638307 +1919957808 +1990462079 +1591264995 +683560755 +1293252738 +2098423344 +1141305536 +75004014 +642712909 +216100387 +79168285 +923041988 +1496510806 +1733956120 +556801038 +965681815 +1323151505 +1303108566 +1844809966 +2145336502 +738905424 +430026370 +853093046 +118804604 +1420191593 +446843390 +1300276830 +1063951223 +1355514601 +476601828 +46105883 +1127988761 +319580259 +1637370878 +1811549516 +1612832997 +1588310574 +805371404 +1687837011 +83539836 +1021471791 +1767005297 +1006581824 +370498950 +1353477769 +1563382863 +1336180765 +529145627 +719007781 +1033507083 +526998481 +1457913205 +1463533454 +1380091528 +1576717809 +736241399 +1826934918 +729510991 +1800192622 +1034965871 +1206112819 +1846298505 +15470984 +1525693079 +1336185735 +1827020500 +991042428 +777012662 +484908257 +531395792 +860552498 +1506380048 +150917441 +1867134322 +1876878998 +1504395210 +1283033537 +1065576116 +2033540837 +2002041318 +2099083199 +413055671 +1312470875 +1415133005 +1793147199 +741705037 +3890756 +1472598469 +1471216028 +1804083379 +360080693 +529845200 +1502898236 +375551677 +2055538279 +691600324 +55088530 +899097059 +1468612986 +539996787 +1430492851 +181681836 +2046376835 +1581410292 +2048816158 +1775772186 +938321855 +1184366048 +693864654 +824379044 +1038923718 +645464205 +1237434715 +203910946 +2060597211 +883098266 +945615983 +2064487967 +208213088 +269348363 +1721087698 +568293781 +799193563 +1076502287 +943845458 +707248194 +1768102611 +998933988 +1606345254 +1089231949 +1538930775 +889354457 +1270913785 +1437823963 +323281102 +1172246295 +1066112501 +1261602957 +209128695 +1759977155 +2085982001 +1248052414 +257957712 +1175933069 +1451963360 +171071275 +2059031335 +250095695 +88075595 +119760775 +519444058 +1809163293 +688054556 +1318637622 +738181932 +1631900015 +2025885816 +358800895 +483350355 +1484747422 +1448032844 +2022281131 +226618232 +571462981 +1312621446 +549899334 +1743709277 +231250299 +1811502291 +1952837972 +1991227454 +1750000644 +1053406738 +101701518 +778450065 +357886450 +272772794 +689997753 +607982145 +360848389 +809758528 +1127426204 +22528034 +1497813085 +298580178 +760709967 +982229452 +176982346 +1119510862 +1465579807 +1661729769 +420060059 +1340377290 +1888348001 +991523040 +505515088 +290763687 +587748669 +736765387 +2102265978 +393102994 +580509193 +1704782974 +1446509732 +682210712 +335749392 +1804396183 +954983506 +1025747145 +264894680 +1315831895 +1835505673 +1392320884 +1338359929 +1185835110 +1690901062 +2099069896 +20580914 +1867883409 +1071097111 +1486160722 +1382129530 +1491157170 +679054364 +1122993883 +335196562 +1184569453 +1413757570 +922945232 +1921334840 +1368539900 +1316048226 +354360386 +925839226 +615074310 +1036571098 +1261588618 +271986845 +1991554604 +139852115 +536881526 +1159902851 +1975357789 +1929202410 +350779132 +1013709251 +1472619825 +302365381 +1034290166 +1193019586 +1373462492 +372967240 +427665468 +717136014 +1052021604 +1550659351 +1052332576 +89107409 +816933273 +1975277808 +2010442250 +37989525 +1143842386 +217318988 +963828751 +1758916697 +1253890086 +77933722 +2030903542 +1097961042 +217785837 +420301420 +110380245 +45659978 +202020183 +461159377 +1059369230 +1674640008 +763524758 +2093659396 +720175946 +2136987250 +319142988 +1147841414 +706639616 +1371164592 +551017117 +1758972193 +1460272002 +1367950390 +1586766353 +1323230604 +1405939915 +583125092 +1540549592 +222285018 +194558141 +646956030 +300218740 +77978035 +1744917072 +518004578 +498279456 +1855297317 +563664556 +700299639 +168973046 +1623033786 +227455999 +932497805 +1569209534 +947631945 +922001407 +1888352522 +2095473359 +1628641024 +1112033467 +499006828 +1240129569 +424821821 +1866957218 +679412274 +1748052425 +1125413485 +1262537366 +1141118369 +1347698503 +1457095507 +1788074399 +1647917244 +1535073543 +1385507823 +18438174 +2033352999 +1093321492 +582102730 +586168990 +1262294538 +57652869 +813624989 +47308695 +1626862403 +1761256934 +969310103 +1367731278 +1709246645 +450467479 +332281097 +60769825 +1690597048 +757102918 +1927727043 +222525674 +357671695 +905656880 +1485063041 +1498790064 +105871735 +794674900 +1139380815 +1753788979 +182264795 +377404990 +1772227153 +68134146 +1470726482 +206846236 +654303136 +585537372 +264499105 +1467928125 +632846068 +1891361508 +1081701411 +1602156171 +1111609138 +643464408 +2052623650 +1443890235 +704234233 +1595737050 +53509505 +484477628 +1818262724 +411181200 +1390134508 +1155842117 +1909971264 +1496006244 +1950517018 +901868431 +1102311575 +2132781813 +1279273421 +727055081 +53432312 +602516255 +933901317 +707735448 +1188053628 +1198400422 +28179926 +1820899696 +942278282 +1109881337 +1275572219 +2053887421 +1753345746 +1180712221 +1350294008 +310096331 +628965623 +1403803514 +794573960 +299744699 +1814984714 +37224820 +1455586817 +1577472331 +1533231064 +1258620187 +331857114 +488058992 +1243918352 +1611130536 +1215114073 +1297350664 +66163143 +1531742 +2005086113 +1254216771 +1199932164 +2033266039 +927632819 +2142210446 +995663728 +55721390 +2048614219 +601525826 +1236433611 +1251424580 +911622158 +1865399234 +507744446 +1706196118 +17660286 +175245512 +1743420938 +1473247103 +1752717843 +1129168355 +584383642 +2084574958 +1617227347 +1828301994 +1548221846 +684857772 +978169011 +1614384989 +686389514 +835771476 +721118113 +1886321678 +721553867 +1648750932 +1881048476 +1717217595 +1704472323 +1782179048 +171259774 +793422286 +886119980 +1082881932 +511337873 +1393864426 +641594402 +528998159 +1569109938 +237531692 +2002245262 +1174344134 +1366700047 +439145256 +1111435444 +836443746 +119963602 +512173642 +1521301518 +1098132613 +2126558631 +60207384 +1933904089 +700193096 +1946529062 +507974308 +201460381 +1680093891 +77708256 +1905932704 +1314789291 +248968030 +551871342 +53425623 +1331849962 +1063209215 +1447290049 +1973444364 +1592207374 +868916339 +63492408 +1446968988 +2043260473 +1430192456 +1886114244 +1007212269 +119152554 +2006077847 +1519385911 +1640454073 +956726812 +1498460895 +1700661457 +743147254 +51170343 +1499706872 +1251121562 +252630724 +1032317115 +1328829818 +11079780 +199622758 +1577797848 +562951123 +253048381 +762164162 +1626160338 +1700338430 +588124878 +1070884065 +421771121 +651617287 +370369405 +317547947 +2081809743 +109000002 +1324760216 +53478649 +2115077849 +696662480 +1693932722 +924321013 +47639727 +1247110532 +1667468267 +98810070 +599333756 +771106182 +351440795 +1631650871 +2099936000 +362520575 +1831273629 +1530250201 +925471698 +2084322010 +144930715 +404148389 +1637176792 +733055594 +1475032454 +2058947913 +1384672881 +1845401859 +229012212 +1318998976 +1954401861 +1553772429 +1372477625 +1921996062 +102951261 +918926700 +698833428 +150590988 +18553584 +218818047 +249401058 +617887340 +989924229 +600841853 +102054563 +942376582 +963362429 +1933328192 +325143135 +1888834127 +1870166554 +470073850 +145498868 +1359859698 +1203129444 +1620531322 +1271323963 +440318677 +1318449534 +1500336176 +1759317653 +1125367747 +906624957 +984311631 +899880162 +1009576218 +1903238331 +1598713590 +1160167206 +1921791915 +1817531637 +1409568264 +392195607 +659972219 +2010410118 +494250170 +1602348801 +826288899 +280094714 +1927491936 +567639378 +2777620 +250082138 +713138247 +1362637318 +1453211583 +186185921 +486477633 +1893530260 +1504635455 +1986813809 +1505364266 +482519555 +745955118 +342192249 +1382399717 +1755531336 +97946932 +833629659 +768214894 +2019738847 +503677648 +30299511 +264450806 +1163649867 +2040709629 +758700976 +618515020 +719514880 +1038795690 +398523308 +1287154258 +1041573310 +648605447 +2000292505 +256726980 +2101817030 +38994779 +743204613 +1847863642 +1543630234 +582534775 +1205744260 +2026149789 +1328489893 +1547936509 +1261065858 +936537582 +1645883441 +2094695517 +1704752476 +1518138640 +450889518 +1735051987 +1782589446 +1614539385 +1628277968 +393806774 +85570758 +200309200 +1432602464 +484094066 +1487463459 +326692126 +1132699513 +1340272316 +583419106 +1087032895 +1379267095 +1326623720 +787412890 +775413682 +1909158495 +1993157150 +654079823 +1090164740 +1393610012 +1915145682 +2026702322 +892009805 +1862357551 +1583971151 +262664798 +165763421 +1171539490 +2045254244 +1780302807 +652333811 +291577371 +1865873565 +852643011 +1724179835 +202483983 +192622822 +2050871962 +1335183497 +1532895139 +486807420 +274732744 +764678586 +1813431140 +1062145634 +1540092268 +1575105987 +907819137 +46688444 +517787080 +153945501 +1961834126 +397005754 +1045955306 +1676708029 +1980976905 +1308620104 +1842471451 +1005032748 +1206390701 +1475290610 +1657366559 +1497968072 +1193680527 +362525922 +1074664259 +1396164510 +555148745 +978052573 +583864359 +2088043884 +1464859994 +858597104 +705238822 +1130807486 +1920742738 +97847443 +558429826 +681078227 +144535887 +1076216906 +835023728 +2106370013 +1473222660 +1880979035 +1635594394 +1306715918 +1042115491 +1330582197 +164265018 +101022544 +658389159 +1821631577 +1598990616 +1852069686 +36673851 +526171228 +1100750549 +591822596 +1504223801 +1684614908 +532382832 +821600147 +395728364 +1237621655 +1952407634 +168987455 +1335469098 +363353812 +850065682 +1480004985 +1439570718 +1685089411 +1438891350 +765309730 +1418584798 +927002096 +2072025648 +313216641 +110100646 +88807018 +414239186 +768489805 +1910438595 +2013229802 +473075844 +1947112447 +391917382 +1573826393 +391451395 +1896141184 +1110957653 +923834228 +570257683 +1506686018 +13972235 +375181669 +1675673473 +1349441333 +738535481 +378255507 +681962670 +30622551 +2063344918 +2120854020 +795932282 +1334446068 +900372468 +720474282 +1647662710 +1010473114 +809281301 +2061901896 +1778962920 +572236248 +1927648050 +104555116 +371865047 +172081785 +1678381509 +763316443 +2068222969 +641855514 +1687150671 +490997004 +1057884 +1701122906 +866178674 +1676731357 +903080591 +1604714155 +2054986865 +1585043261 +1635336707 +1970848135 +1558413633 +283785341 +1157810556 +311302453 +1004259623 +657989618 +1321775568 +1813540924 +572407866 +953254840 +238293525 +352572268 +1057809956 +610158572 +524654053 +588707817 +1373475015 +445393374 +1230563331 +913142038 +936390379 +1231621216 +466781296 +1802569053 +760868925 +1369861887 +1259799560 +668372142 +807421500 +747652619 +491736630 +218351485 +1031437960 +1649547186 +529653939 +2035697584 +160053156 +1851429507 +1701754860 +732461022 +657200699 +1940048385 +1085033290 +1715010655 +402723310 +1609687344 +156234824 +1776198325 +2055080718 +1386798155 +541856716 +843987449 +470935723 +1008638012 +499072854 +1231804649 +231016252 +1758872415 +1900176791 +1038437752 +359041386 +244429773 +1256789238 +1390479347 +1893976959 +1786443177 +1278693283 +2054030115 +1490389036 +832964495 +639007489 +106087 +625529233 +1724040780 +1715116742 +1028252543 +1186244476 +1871351566 +656967220 +1093841546 +1110666073 +1198823936 +1937828996 +1581601797 +59978301 +289418202 +665922798 +290994553 +2048290617 +418615941 +1329432305 +259848356 +663045715 +438737895 +1650327703 +409539026 +77697424 +781537338 +316085494 +1568086460 +1614501833 +955092983 +1568192547 +92547418 +531650115 +1135825641 +1120799961 +1717894591 +859693559 +1777767182 +664252490 +1970359633 +829107470 +454597838 +1404477782 +889085771 +744016040 +2070400580 +1180080324 +644823010 +341532873 +362028982 +904671366 +1004578588 +800766877 +407515421 +1414117615 +878464302 +1189052759 +1730203109 +299067114 +656070944 +537812444 +1867259662 +748618363 +1069462560 +855601655 +1869418324 +639873503 +1715295215 +1499701858 +1304125993 +1538171200 +181325681 +1758723831 +795165334 +1070411452 +355256224 +718082266 +103008129 +1000079234 +1059615139 +465037111 +1904750600 +2064193728 +1265803988 +164782373 +1330827695 +2144268290 +1353835132 +913547156 +295851757 +2009906076 +1451359600 +15627771 +611040791 +373338512 +871229426 +332975468 +1013212016 +439040993 +1832677326 +169854361 +1977212193 +2014003007 +1928578193 +624893879 +936930812 +136350769 +1342976145 +1039938941 +1136430003 +255107637 +1504976052 +893696955 +171817717 +623296392 +1058479328 +1502645412 +620081035 +264830812 +268708920 +915932792 +127253240 +1720068520 +931560563 +738294032 +2093407033 +1802789989 +1071269500 +959135401 +94347335 +756463178 +1128989762 +2071559528 +622982538 +910084307 +548969760 +1559913350 +1046435076 +1891945905 +452368643 +35381431 +2147053542 +1957344695 +929078386 +171387611 +433157439 +1987557714 +1674033023 +1053238474 +104904878 +1942741943 +1969171266 +232158119 +1515326816 +753248181 +970452151 +1461250201 +408554523 +2041721651 +272901954 +502901858 +650701181 +1401891716 +426977738 +1273683719 +164492376 +975947498 +686113421 +1210927452 +720409756 +1138482064 +1246308884 +719979650 +948343111 +27903622 +891367262 +1381500551 +2015461337 +417916637 +287255377 +2120366215 +213174933 +108942996 +205040686 +1728501749 +862191177 +1175492837 +1042268302 +1270745700 +1069730840 +1315170256 +1773647558 +1720432022 +569578324 +53141649 +846632093 +734070700 +1029089147 +1532745515 +1944998153 +1749498903 +523743931 +1043823389 +321994906 +1472087043 +1071727011 +1213362168 +706103946 +939704700 +1631278805 +993359323 +912587268 +1844453738 +1102302319 +1117627954 +1425471839 +1964493497 +145637144 +320256493 +1087755549 +1215367984 +1635426749 +713919460 +788316358 +57521426 +767061109 +1634948452 +791592126 +1796150256 +1020210319 +589106631 +1398165512 +1543954250 +1632930020 +1720160418 +868557645 +557173384 +786038938 +1574661591 +1496878084 +269834095 +420537267 +261981704 +2114287834 +1522839586 +1379609659 +1392276025 +1339849435 +1525246803 +1712532519 +280121337 +593131139 +1200475620 +994040797 +1381447498 +1257997046 +1761101906 +868912302 +2049589173 +1409768514 +1889122621 +491212156 +660450378 +1285593223 +2124142177 +233127148 +6667221 +533831913 +1019166086 +1581328812 +2030709997 +1289000182 +2001866079 +145208054 +1255804368 +1377222018 +1524817713 +500596745 +569587805 +902580868 +65645616 +849709142 +1495712007 +1266121237 +1843749939 +729675857 +376634635 +1457368197 +1598588159 +278740160 +719653064 +1340227132 +769952317 +1380103442 +478336708 +746610846 +1613230591 +485003929 +1280442759 +484913029 +2066332741 +1163669108 +1773913211 +1920715173 +1308877162 +882233931 +1150453543 +686211227 +1382830677 +1720041348 +1588792095 +1448476293 +422266843 +937020455 +567113882 +118533134 +1666696312 +943748518 +1575901332 +1117800824 +1222488678 +148070748 +310544308 +1992440995 +1528174190 +788881016 +591568193 +993921133 +1273884945 +1872010952 +1478834163 +1192734039 +888196413 +1105263726 +965965564 +49589927 +1987497658 +2116419107 +735801155 +1222844687 +1688976807 +177109602 +523837332 +2111243650 +1114130057 +1090951215 +82293137 +633342722 +2034699733 +1658194469 +1751143546 +1109704763 +1806265217 +2061687854 +954662111 +1186955759 +703085223 +1546230304 +33393245 +1976970168 +1270757609 +1512227408 +1022220559 +11470374 +470007486 +1988186123 +61060301 +310021496 +1957121582 +796861456 +1532866183 +1498614742 +973971059 +2056703516 +1462374744 +2088101116 +1000171083 +1544667881 +573960190 +887387168 +1055378702 +177620088 +1997091931 +714160271 +91824295 +804270394 +1901116031 +794909518 +203017051 +1934509276 +624396038 +1473774660 +1299253036 +1646616598 +1485245034 +1769260522 +1487319073 +1546305335 +2079282019 +1296957008 +195683144 +1464664554 +648088102 +1169654203 +1373884422 +2110462846 +1110271671 +226571857 +1507647080 +1684231862 +1113959025 +415542134 +1861851950 +963567309 +1129702406 +1953676245 +1767837703 +883334789 +601102115 +1970854754 +670360417 +1225498154 +1297145766 +1969613453 +724631104 +634907152 +1591390327 +64466529 +33728840 +1523188698 +1361423537 +229411984 +840369605 +2009511639 +1399066187 +66770379 +1972490838 +361854210 +293342237 +1332654270 +2046086072 +1407301262 +1748196404 +1760454375 +223384923 +730415162 +1566646972 +1991222627 +1613749951 +20265440 +1814593733 +136626720 +1245763594 +964255852 +2106240173 +1970394698 +1599163004 +1550146853 +2034861227 +1632891844 +925851903 +1248801117 +1862303828 +1766221508 +1110829108 +1113886367 +1832991888 +935836298 +1475740578 +2126334125 +121006920 +1374343002 +1386151739 +1869203325 +987313729 +1609536663 +452134839 +406477054 +1453275642 +2065884791 +426742494 +1120385727 +55027863 +1672506088 +2084641579 +13784389 +1495417138 +1536320936 +1563931242 +1382794717 +1021729132 +342299497 +484112186 +736549313 +2108521006 +1594941295 +1850435680 +1794029246 +383293945 +1178692610 +1772879723 +504300866 +405551965 +1011547814 +226020543 +1392865694 +473600829 +678155382 +1799342748 +1926876471 +596556525 +78601594 +899778551 +651584389 +1751107682 +836936482 +665368778 +1099041172 +225773770 +81816372 +334352242 +1247502903 +424115869 +818464428 +1984052216 +385153227 +265922075 +1687004248 +31698825 +649216021 +718213211 +1804578548 +1153516887 +1123765176 +668642715 +1379537430 +369147222 +1142243544 +2057692812 +21006323 +921636368 +506765690 +99607917 +1821414919 +1158350079 +1850715600 +510867753 +1823718857 +802273124 +736641524 +1905535229 +1136625366 +1984144427 +182167450 +1955089795 +1820712995 +567320678 +73528222 +1360233595 +599019503 +722744243 +2078446806 +256114404 +1876261130 +1054728334 +924757119 +1108314912 +1423875557 +2067000663 +1018524077 +1444881880 +841153383 +1525289767 +1544489797 +515084654 +536156198 +1247721749 +1025952408 +212391407 +2049994874 +1762593932 +2117926636 +1039136592 +1599254711 +152610438 +846742739 +1272484058 +719931116 +920270962 +485234005 +1318950620 +1643015205 +416197164 +1575065024 +1371792688 +1470925498 +352338495 +332623952 +747317407 +271855510 +1351148029 +44715639 +1113008894 +728954148 +1589205437 +1628093548 +1265110346 +689443538 +506562308 +1477501753 +591954764 +121672592 +1447944741 +1631091357 +1720927303 +1600555180 +330350448 +845927713 +173002648 +1250621410 +1331161719 +1491953268 +746152968 +1747358883 +919534644 +2117945656 +1070800733 +1271873139 +303085960 +1818118141 +1543728650 +1654233990 +1862833780 +509253896 +235704490 +1304555569 +2137347444 +1500814837 +1993999108 +496426105 +830832942 +438470224 +618098697 +131294036 +2069561581 +191542353 +1731849216 +252428382 +1037470066 +1904851864 +1503049792 +221148137 +1249321485 +101719112 +1968507020 +21372481 +72181120 +891824106 +1293245621 +375267081 +562458599 +689490623 +2029501071 +277808731 +1198744519 +117721913 +1582364301 +1188608315 +1618536750 +1428879761 +1685034420 +301886045 +1867349985 +155649470 +433180081 +1789427919 +347191823 +17545649 +2041856301 +1384661889 +1922397513 +1397422445 +1605810027 +1024235350 +1499141558 +1426833399 +1045607832 +1571322678 +171173857 +191369805 +1946589759 +733632456 +880860428 +1828607182 +1011441188 +2079604947 +1946329096 +446321841 +1120729614 +1417382198 +1875201602 +658280387 +1719268243 +1595067939 +813929857 +4964676 +1237012210 +1161121680 +22510325 +1131384863 +398299921 +1944907839 +381323661 +2004109948 +821659541 +1880465219 +1283459700 +1867267373 +1304304249 +1454633557 +2058637178 +1103410361 +40782366 +792013958 +784533895 +1052223554 +724135257 +583379343 +1498545395 +1844864872 +2000761542 +1226263349 +355661611 +1572546137 +673847640 +1169591468 +1577510814 +1910859851 +183229500 +1600021139 +894761066 +581529421 +1397445330 +1276084727 +438155722 +71621224 +1009066298 +1721615422 +1938888597 +165886900 +1028765331 +1850042128 +1269297261 +1069547697 +494572438 +2053831156 +2121771251 +1218707696 +489726852 +1472832998 +916088920 +343004746 +551612699 +1271750531 +1915550883 +1225460340 +293858351 +1345578049 +988836543 +477087851 +798115541 +1883597609 +1058617272 +48077223 +1012198689 +1496772994 +119698447 +2021264987 +1070904768 +2058587045 +39668239 +2099670100 +1761145525 +1308965500 +1021734149 +108234315 +1215313009 +996021753 +1326942011 +1705039861 +321371103 +95547283 +2048044607 +872983803 +1367297814 +1816111842 +2098444143 +1661156165 +1014206244 +939797038 +2138244016 +1812321785 +675910999 +1049377641 +1860399008 +1688109688 +398666987 +1980097456 +1561891028 +1469571756 +1891200853 +1601559267 +1421758208 +1504862730 +763041120 +296008709 +1613097045 +1978354129 +1292030462 +792555409 +1535910342 +1613401566 +888102692 +1436471301 +338901721 +107916859 +1105099495 +289862216 +1769073024 +2119305739 +1229659254 +1759833393 +1784143876 +1905570253 +661727386 +1497059237 +1446196294 +1060394373 +1329673045 +860603674 +382482481 +1073390250 +314679293 +1804240689 +430769332 +1077720413 +2100249399 +2043866377 +908590894 +1244796213 +688938138 +297017588 +710714131 +1577040831 +1733488889 +1049615852 +1684957690 +691104737 +1339478068 +1306547066 +662926828 +421653674 +918896811 +299587057 +179740280 +1580624197 +1796646294 +1625936574 +493534923 +978835691 +339056600 +876017404 +2052225941 +653735893 +532774446 +335511625 +1731456307 +485540197 +231894354 +492563553 +1730336410 +920832493 +789581142 +293566894 +350389676 +375586383 +1343182746 +2035347366 +1066691120 +535177167 +1194410784 +1729617949 +956830841 +2113307596 +2029205006 +1136571121 +1546448145 +1678367652 +615024047 +2039983068 +509719695 +954080647 +768516825 +414461988 +1607816541 +1301291271 +749973613 +1191789200 +1786831468 +981867967 +1684352753 +1369684230 +1902700460 +326450247 +1663251124 +105606488 +702036631 +858950223 +2140953854 +1768727751 +1394127390 +1187880991 +1350862052 +203474583 +1153704939 +1232583410 +1340045705 +552669436 +763467414 +1955069752 +445168857 +1273187109 +761666752 +1213685682 +1687649097 +221999645 +367493305 +290139062 +1413788845 +6841125 +1272007030 +950657950 +1376525355 +1027223842 +1277108198 +892292832 +1132830331 +1979144829 +1751243055 +1126300537 +1600388932 +997886797 +166697880 +803767337 +1201361380 +1320402819 +2036350747 +393923437 +1873072256 +652334514 +201509542 +170757465 +1925521623 +963176294 +1384443147 +1465687073 +1185175939 +1751936452 +1755826135 +451481136 +1758777577 +880349517 +1402139086 +987819284 +1907573360 +531763636 +1880112116 +892920043 +363424817 +1483871523 +2019220580 +1963813750 +334274672 +38434813 +620097439 +1535636053 +1358837632 +508964538 +1929559490 +1084426240 +1161299052 +2131069032 +1255183705 +939337028 +946761678 +492143204 +257540453 +2131937617 +96596008 +2013366588 +435935105 +1855373585 +746232458 +1838074192 +695709222 +506322170 +222354180 +428337690 +1399242213 +585778998 +1912209214 +1270979145 +402109100 +99000238 +1309413958 +1022206539 +1634636291 +520767943 +1531171077 +1416712134 +1605194183 +544986482 +1400297518 +712894241 +1484323510 +199575549 +1205037445 +1741863963 +184029518 +1301633454 +1607746903 +619964624 +1009523391 +206495713 +310555168 +1705232613 +712817883 +532909348 +2133570304 +2112060096 +1118688346 +1898295870 +1235555594 +1520797446 +1997296108 +397485904 +395520337 +1484448752 +918253847 +1926691415 +753677238 +375964383 +324194249 +6491108 +1088858624 +1808517759 +206066657 +146412421 +1402898074 +390096176 +1448045875 +863161329 +1010060800 +310085619 +1069657043 +1320615968 +2015318232 +1782474926 +1853525316 +2001404888 +1747051375 +824730015 +1752217110 +835123321 +198043813 +1602029571 +1232609225 +593564151 +938994675 +3379425 +372771918 +1692671913 +379343808 +696966167 +1699163021 +1468202432 +358000278 +1905229679 +1614614853 +1760898352 +147842207 +915177081 +476576033 +1157903007 +1225262700 +1546233076 +331035327 +1093097284 +1181224355 +37076995 +947018525 +780792082 +861807010 +551751987 +1615915403 +1059850824 +6297910 +701040980 +1653414975 +945292585 +704420405 +2026186893 +490480850 +1083764213 +575669412 +42160224 +404482997 +933669690 +1947389903 +2019097851 +547084394 +2095232110 +786791284 +1023660427 +1105651469 +2012053984 +422409856 +1436686796 +957667620 +1603634211 +1473763791 +1904686145 +236942645 +188087154 +308954485 +1852858048 +1247937978 +315252395 +406415380 +753869305 +1260544981 +1110835786 +632572550 +1751025831 +47116351 +1208241962 +1793186055 +451599349 +2141911652 +1593092310 +323213552 +541512398 +1540840772 +1110004836 +1565172825 +499008593 +974575172 +1987582681 +1935695389 +1932242792 +1443733244 +1261975533 +1689445290 +1680675889 +1450062687 +1998399775 +1386050289 +550517017 +166168522 +1792465670 +1304386322 +1426713503 +755817808 +1936958872 +1030255687 +802934159 +997717186 +675958094 +1254533508 +992145190 +121566757 +1577747060 +1533657588 +1662407529 +540268248 +951346765 +13932475 +1514843420 +791445799 +1949627864 +1299602565 +87695395 +1064119749 +841564207 +1768371285 +366698788 +692480334 +1006937926 +917215805 +858648856 +651919948 +74118479 +137878712 +1407737756 +2011077351 +1168134399 +63188268 +861310889 +1844092493 +1317721776 +1853456079 +1965659250 +747985189 +1239630019 +1480583132 +1288253437 +43493137 +1494515607 +655613210 +834938936 +1296659823 +1955215775 +922634331 +213295925 +649296334 +543521968 +579994713 +1341776668 +1550459895 +1497210519 +52941876 +54896195 +1571328998 +190820588 +1462633952 +1434922702 +1358954987 +1525822220 +148749943 +1055563833 +696060348 +2002206023 +873739435 +1444045537 +1094352394 +206838919 +584815327 +1137845531 +1701354526 +1240428537 +1972784467 +850530702 +1048160664 +747935151 +1063826627 +1697456998 +1291457119 +1643821340 +891750018 +694433366 +993548211 +944691894 +749329562 +417393562 +1135512483 +64479866 +1852316264 +346983822 +1590302086 +2001066207 +1402547655 +138878786 +1855788582 +128803443 +1582924324 +802657329 +335642362 +20256003 +1940502860 +2036996889 +1260684540 +1765803680 +740043943 +161361556 +366255183 +1803870570 +1858818554 +1657712302 +1300208262 +603084924 +204662021 +146272826 +1547776818 +953991583 +563666388 +535805653 +1018471449 +268499004 +882789476 +461289887 +122081563 +137853483 +600168673 +1977870146 +266656926 +35609349 +633043827 +602299289 +55865352 +426063039 +491812530 +1316549892 +44383071 +1231856473 +1477911448 +410638254 +888243395 +1189246354 +2068350557 +40968009 +1792331278 +125528930 +187240835 +1192624449 +1079520513 +750907223 +1728430102 +2097991962 +1019406227 +463735930 +411798201 +1141487791 +601589414 +1011966874 +971874289 +868246340 +1047576224 +1604918116 +1470545629 +1103441576 +2030981155 +1962358159 +272507821 +2075364227 +1046730984 +1750419269 +338518833 +1934974379 +792181976 +259385742 +1975942389 +437029606 +384914672 +15699576 +1629654055 +1464435185 +766606800 +1210600510 +1414943499 +1786013027 +1674336440 +1826741700 +780017170 +128442206 +691224927 +1751891459 +996688547 +1738801151 +1209325927 +319750528 +694759079 +1092823435 +134625040 +967266900 +1020704014 +1181356024 +570202522 +1359222847 +968846756 +1362384498 +1618608590 +797305497 +1799414104 +2003523262 +813005073 +1281584512 +1320474800 +1579611873 +344701374 +587934651 +1218141253 +2019037814 +267192704 +1998158423 +2147480021 +958417631 +1602566235 +996684920 +549735134 +664408514 +1316435448 +1244494213 +1757231949 +1451060488 +64277466 +630452315 +484932865 +634479988 +1989675163 +1453779621 +1996864486 +1460800105 +103601470 +1648794942 +1316839719 +916606543 +782895806 +489830871 +348734769 +1127597180 +1077765523 +1566876022 +999151347 +1344958227 +1417550797 +999147720 +155892210 +872633384 +1995832640 +705627344 +1537041899 +1164784440 +1950121557 +1146790200 +468361281 +2014399023 +1777242516 +953294146 +501395363 +1619434031 +259590119 +350776201 +932750488 +363191589 +1999571144 +102106559 +1279798132 +634983302 +591937431 +1628532901 +1762580483 +1669702954 +1047925275 +614248182 +867177533 +317992425 +1613395902 +1023069743 +1190625809 +1461744894 +1728697087 +580184060 +479045686 +1531334996 +1726974261 +947406967 +1398250372 +1356733129 +1900701113 +1899645735 +828683512 +12807584 +102938289 +1761434000 +375999173 +2102509433 +1863540559 +1655797306 +590009087 +307994342 +1136846559 +205105922 +1977697296 +37288187 +819354104 +697391181 +355280612 +285266358 +1720460924 +1545906421 +1747011252 +1301674363 +2126090482 +78573291 +685525712 +1705581095 +1025980258 +2083776084 +914830576 +779197724 +1835938171 +1743514088 +792005308 +1938876460 +1357464440 +1168004482 +1893902245 +1073521351 +676318140 +336427685 +1381515694 +1813164699 +541533607 +1211729342 +1850452886 +1360887712 +1909120524 +58249850 +1646154070 +1482097800 +1604156272 +1245681675 +636288516 +1582763106 +1324254966 +1321814228 +1140860553 +202751576 +1258106664 +2055691129 +981949300 +946561187 +1651721569 +1773954609 +737954000 +861702361 +794475443 +484372597 +1935223712 +1470793583 +820800282 +1169255758 +1136474634 +1362333890 +233501453 +839443873 +575737954 +2142621977 +897693723 +74408376 +1477236129 +354366347 +1320090051 +2113524645 +1937129453 +496861369 +1287855225 +930506358 +699612946 +398478241 +838713839 +1681562246 +1345039429 +342951760 +1308033207 +2082993429 +1204654121 +2102508650 +419882378 +992394186 +1425818585 +1240682661 +14166296 +414809572 +455532903 +247667749 +1254253445 +1031270857 +242806078 +4463520 +1105679233 +1720042208 +358829868 +278285637 +1686083205 +148475673 +775147006 +826454783 +1078982032 +1474759952 +1224933024 +1917695871 +1008838551 +422488805 +113163984 +169388110 +357998586 +1317818105 +124413113 +777880965 +162728643 +1550231698 +2018563626 +176894940 +1965041270 +326612881 +424562689 +1071811067 +1357883738 +667368768 +1076274588 +316079323 +239927328 +1435104456 +594364960 +1926010533 +1583580129 +1369511967 +604981668 +515078513 +696788271 +1829914693 +285290737 +1705626822 +104919850 +398454721 +1875014933 +462918437 +1716272826 +1999428046 +1240799402 +1879001470 +1402176096 +1111879380 +2055896410 +1219733719 +1438492261 +332975451 +144061138 +648892351 +1000344219 +1220335726 +964971674 +1240271547 +507956534 +1559336635 +1018798433 +2091536664 +781364954 +1623780101 +459131529 +1478153225 +1306211146 +744422266 +1036296400 +1411130997 +1142876987 +763827685 +1874049434 +711666166 +615772083 +967365188 +443183988 +2017948179 +2079244568 +351596750 +1090198250 +1370253181 +684572201 +1234259389 +2019145532 +1684916421 +307111467 +836633558 +777704320 +815068002 +248486545 +1796502753 +759121018 +1029851499 +1272799207 +1218252547 +360521077 +431526705 +1962674814 +1396817477 +1842657702 +958068153 +13161514 +1569223488 +1669734319 +628933597 +389105028 +2112918307 +499398128 +320865948 +317031409 +1589596379 +1691119129 +1001603611 +676372120 +1562781013 +539036384 +983483587 +251930924 +1316740704 +1798551589 +500417469 +965759810 +410188959 +1530268969 +91075369 +1628441507 +1890790046 +522602074 +1443632673 +1140123875 +217776129 +254217178 +1153285389 +1786999617 +1923951498 +1782218986 +28620998 +1889386157 +134133466 +349486946 +58933919 +1723729845 +2040606076 +1060537530 +252618317 +1455903441 +1599573914 +1236101905 +1707834365 +768830970 +887169846 +60768187 +1734590780 +1297358806 +1591037156 +1825666149 +778316665 +1334343554 +200784576 +74465690 +326983781 +418560705 +328682868 +1480269170 +58076674 +105150718 +1115004508 +86697672 +1994536876 +1249137974 +436184619 +2053470795 +825384172 +329307047 +966524677 +1078002489 +1785210488 +418614943 +166620746 +1345561206 +1187445913 +1053790593 +1406329393 +774553046 +203665751 +849882901 +452735547 +981982416 +36742807 +653520123 +1056448106 +363726588 +1072080828 +1385130974 +1843995758 +1130157503 +1490281693 +811516618 +1216855175 +1337334921 +2060654592 +1653039794 +1243322068 +738555116 +1982346841 +62363097 +1816557606 +1620073682 +480978040 +1983178352 +818151240 +1668423953 +889485297 +76996985 +295493351 +1093151048 +926879886 +748228899 +2075133464 +963622693 +1401749022 +984097922 +1327349281 +326346203 +221745249 +1023861391 +1456503706 +1712026942 +1835378009 +525875233 +901878215 +1748548953 +31431380 +2145200283 +339620422 +2013778221 +60079732 +8694380 +1486368255 +541057772 +1991872732 +157035847 +61998077 +733874382 +234032832 +357491429 +1827025430 +1160912718 +1105720328 +1754675247 +2124535411 +359985702 +591289521 +1304401044 +686331905 +813034770 +180778787 +2142835611 +377578064 +2016156796 +521227197 +1279456279 +1617222102 +552658577 +1277172914 +1956842524 +418953150 +1337252646 +1965536904 +1905321406 +1878310418 +1809925988 +2062357253 +1940308496 +396316722 +148906438 +150316277 +75858505 +1309819156 +1256036605 +1830533752 +1286870920 +1616022307 +274339625 +443788316 +154870565 +1087374396 +624567104 +150222528 +1464952460 +493240252 +671449725 +596925092 +2110462354 +1224108302 +1874098006 +1919821230 +1643061453 +1063867005 +1737874486 +1400899211 +794693775 +1400316827 +1315772816 +587518623 +1796633549 +1464679254 +737834900 +1872492054 +627014763 +1993871505 +1555542158 +1913885683 +1462410165 +1829881784 +210190351 +1617280730 +769772532 +834757455 +1767503258 +87241344 +1327997708 +291469336 +684166436 +1290976414 +1515577638 +410780795 +1063313997 +1011155443 +1474647800 +653704835 +264571006 +121857927 +2054021662 +1580343823 +709376551 +1703171564 +897539429 +1447211451 +1428179970 +1524554192 +1293599309 +836238481 +1290956227 +608525826 +518636617 +1501146579 +78322908 +1288409149 +188420386 +1845826166 +1375650493 +1516418094 +2137295502 +2059816930 +659910861 +1505389493 +323114077 +1723224858 +369061288 +1797761877 +229446045 +633632295 +1919619804 +135984060 +66492470 +481512707 +1839155624 +964031899 +1928724159 +1119851946 +341102444 +1074839820 +1956090427 +1632058671 +1683365646 +327243396 +985721602 +1761688554 +1615652545 +1174141989 +1460031072 +843819391 +543076435 +1449842927 +756152673 +1202987296 +807748772 +1079266750 +778728506 +1176810060 +729544979 +1008174552 +1810442355 +501681135 +1144158612 +1876934825 +983193843 +835830588 +693483077 +764434354 +1955682534 +1034585521 +1839274174 +1764289314 +519160544 +1375156172 +2091532710 +1504882147 +989361078 +1559701608 +531540488 +301908502 +256037351 +1074616923 +1751751429 +1012190024 +130120572 +412016553 +2091456774 +908849078 +1588826614 +673518105 +1917023630 +1251785321 +1175199240 +913698594 +981236499 +10909435 +1749529182 +1674719576 +775343789 +1557728069 +561821449 +467134315 +1174533735 +1080981993 +1842290487 +1118582797 +438380492 +684167917 +530800757 +969920980 +986076420 +786838108 +2044537904 +590344201 +1799028132 +27174828 +1002360755 +1743001258 +936023906 +443703721 +269035715 +705563889 +1695489042 +1444234956 +1619262483 +529241893 +1455144391 +1221308018 +56477821 +83004533 +631552439 +618299270 +550138848 +1806086174 +1699281264 +244945688 +777185323 +2137661756 +929113605 +1307986081 +960099089 +1915190025 +2094824189 +857153345 +358050579 +1746368674 +884328173 +1360411334 +1341886284 +1820352079 +1804115055 +1610922000 +378432320 +1352120449 +907673308 +1997694804 +1881362343 +215334051 +1071519174 +1937840164 +298338584 +1703071613 +408655787 +848477433 +1361674139 +2107937051 +1093423121 +2138859462 +2098115159 +2022536726 +1299361895 +910730600 +1790243104 +1246702437 +1767883945 +810035 +845587463 +504728470 +1361221369 +39990099 +177596902 +1017852776 +1650912099 +556029222 +222489577 +411101759 +406240378 +2103851920 +626435811 +1477759552 +1894208437 +924774395 +1033347517 +155380576 +1773251828 +247538008 +115833979 +719191301 +238913823 +66465490 +594244380 +1538275718 +977196091 +237003836 +637494507 +597596388 +237813871 +1483081970 +1102324859 +1599035240 +1523072070 +1279921761 +469404368 +1026500521 +1835950983 +691893945 +1437602281 +94707714 +648262218 +2064038092 +1572467266 +394987007 +841328839 +458331136 +550367583 +467097020 +705869144 +666201562 +1186288321 +944782967 +732667052 +1780532701 +335575038 +1709863143 +2017536537 +973069545 +159975884 +107866760 +308667868 +1262300743 +1706902000 +1831739938 +394738856 +28822720 +710756811 +83206191 +720716666 +875444 +177913905 +1368978884 +2064913536 +1750381172 +1763965891 +758758728 +61228660 +166849826 +1225855748 +767097804 +833051388 +264660421 +1711880772 +1565718440 +2045193123 +2047455810 +1128097936 +1915246012 +873041707 +1288073820 +2023112773 +1181709575 +402890915 +1582531125 +865965865 +797629771 +1611353846 +1576722677 +880835962 +184586864 +1577598121 +1058749868 +1553565748 +1495028010 +661647392 +1170047991 +106303090 +722876052 +1336897817 +1332158838 +1489973856 +22465557 +1596819259 +1054370980 +1588183997 +1494528734 +954343142 +568798285 +1262291099 +1827384850 +1856872105 +1137920224 +861610777 +112279372 +572967701 +1727576643 +909909143 +36837899 +1156815672 +1790745106 +221424763 +586930145 +702011326 +1774990511 +2081958155 +1363658718 +797554854 +40777597 +2086534770 +2134452671 +1372936435 +1429024978 +9434580 +822272047 +335912311 +1597618578 +169317133 +1290255453 +18933215 +1431608232 +970156655 +1875805321 +422044808 +1831767433 +1988084693 +995012510 +1411860428 +750510189 +1031850409 +421192452 +393771647 +1253275173 +1008122597 +1095782973 +880782036 +942597105 +311958043 +1678336891 +983374702 +251009165 +1665305914 +208827490 +1680034143 +1674740495 +1031099537 +2015946454 +1124875425 +1200416670 +1158718260 +1143808640 +484541255 +2128874915 +872130313 +906586063 +1813158700 +712731359 +1901598573 +1077535480 +1463241548 +785965335 +1498727932 +1857013195 +2039240508 +359366882 +805312520 +772538896 +1301963987 +1117270563 +303392139 +137855041 +1368279728 +1968698054 +346682531 +900830223 +1495954901 +1377782068 +769293030 +473346678 +430715091 +1928011290 +1617155318 +915256346 +1909402557 +341801984 +1821842409 +1575077610 +1054533343 +1575957335 +505129442 +370291243 +214439022 +2003857375 +79820790 +106195882 +215740609 +885133310 +878734778 +1517704596 +2002403873 +1182126918 +1655559637 +1223199953 +1003341324 +2002242169 +2124030176 +351812577 +1232540589 +745839558 +825159255 +1663255680 +526367200 +294830925 +431028378 +288286110 +636632909 +105387140 +1863363720 +1691166252 +1681344475 +221009514 +2061457495 +1895783497 +77383241 +2141278285 +2001979379 +293123850 +878927947 +733230509 +1810828446 +733848172 +1915357427 +1318904436 +1957048125 +771215103 +1173662957 +1933594654 +1123027680 +258719898 +531950564 +1948186935 +1921975579 +1058317765 +95534213 +205520309 +1346603875 +732167122 +310907449 +1062483947 +275849727 +1992251924 +1283493461 +189823574 +1740551773 +1360876703 +183618212 +1595047504 +1654000553 +1062546159 +180794366 +1317345352 +1796394332 +2096151793 +488766140 +1605958809 +719883249 +1662429097 +1392069815 +1842910929 +1921148995 +1924020380 +1643614217 +1695640926 +834854497 +1739148430 +1901161236 +33974724 +323831904 +64585037 +1096458671 +599681631 +2056836962 +232468484 +789505206 +1649905087 +1593345187 +973123418 +1097468944 +1099862093 +2035669577 +1278263310 +269723797 +1684580261 +1226931455 +758489937 +1143055423 +1946814704 +273435386 +387641590 +1642241986 +47100733 +164178322 +1138372555 +1742741660 +999032819 +730037337 +1496419248 +1033007543 +1053869241 +1561004285 +2129466214 +1653550873 +1470357599 +214451051 +295572431 +972779039 +1807796238 +1268695849 +2070247983 +760174683 +1156881778 +1201027645 +1029898480 +693978392 +280475452 +1788388417 +1837033815 +79806509 +2061823803 +77191757 +1722048495 +2108924537 +241370080 +712937402 +1704182549 +1240402899 +1442974739 +1053118149 +125926795 +349360332 +466638786 +107909361 +2002911205 +1936996386 +322360412 +150999988 +762291777 +2130156651 +1419695837 +685056112 +742847686 +429093968 +1886083757 +1772746167 +1123072360 +19075561 +1413650936 +812622527 +98882070 +1327991092 +889814284 +1820930565 +1289431981 +1131184364 +386384319 +846130882 +224103616 +1829359058 +1899249031 +350030411 +31235743 +218404169 +457939772 +2034146948 +7916907 +780300185 +37663289 +770208684 +762973188 +1457359126 +1455264796 +1505820874 +1886453094 +1193864905 +1131083393 +862041806 +1212940467 +397250682 +1674664333 +1311822537 +1725241774 +416994970 +985269455 +867190107 +1548179334 +1371653774 +1713320989 +1772282950 +1053529185 +1465086372 +2122313361 +1084764928 +1683490541 +432769486 +971428228 +1691407449 +1213069671 +1009091517 +314132485 +1976042859 +318966996 +1769397282 +1334380085 +57936442 +815778539 +317979831 +919978249 +2028719006 +715230513 +447158934 +1193057896 +292988639 +864153904 +30843703 +1160178746 +264849591 +1402497477 +726016087 +2037132541 +308543014 +43618811 +2011962255 +1393307942 +1727109352 +297248093 +217252523 +1271033153 +1510317764 +1226344040 +1585165639 +1338876975 +1545311036 +1207079273 +525773412 +1603247479 +2022857812 +843753243 +375742080 +1904093171 +1558983756 +822901014 +949667419 +1851972395 +1687054919 +980511122 +864667493 +1951904510 +235524951 +1590683580 +1841553403 +544067966 +1634302391 +1706032010 +1937375908 +1213928096 +2003280103 +7144783 +337477601 +1366114219 +1233488824 +1922643240 +557507546 +631316212 +982238865 +1083280959 +87080043 +857613030 +1927034202 +462822123 +614222553 +1338534311 +1285723138 +1563889972 +1043023058 +825294409 +396917446 +1907690552 +629715271 +632442397 +1350890484 +323785026 +1176510363 +837709228 +2029817037 +966402624 +2051637324 +1885613492 +973547407 +241631277 +1104244064 +59552583 +16790870 +1661751610 +690868796 +999029735 +597548921 +777948839 +1856642765 +377099476 +1240770963 +323381670 +1715633787 +379010453 +1887271642 +611173197 +1204304862 +136705440 +371380101 +1834020133 +769147838 +1722270586 +10321511 +1945658201 +412496166 +2040138548 +764577177 +316649842 +1778268393 +1738124585 +558281119 +735028809 +1797677168 +575071989 +249296771 +341062316 +1574101725 +846845693 +1119011156 +1283260842 +1223945169 +212298471 +1606642513 +792095308 +591308924 +1346430507 +1403268505 +1795613786 +1483135948 +1774648607 +1482150271 +104800138 +1349435545 +1492471782 +2050458339 +1761931711 +1385126683 +667551869 +2078581553 +1015911428 +258192806 +489379024 +1750940237 +2055869974 +1064451014 +2000237008 +249448643 +491069091 +699599053 +1368459799 +1774329933 +1923544222 +1580758270 +1233488798 +568155882 +24583546 +432435658 +1971424388 +1820197332 +1915571606 +1598589347 +1154863955 +2020371744 +800541244 +499852089 +1923346435 +414989307 +1884978772 +443414656 +346087212 +753406552 +701607462 +835466236 +356863141 +609993789 +1899917250 +209616502 +859442432 +243502693 +909215555 +80418583 +2017832627 +685276130 +1661176853 +1103837777 +1253432012 +1685760399 +1536273435 +1077372752 +1358474083 +1304361393 +528478451 +365854390 +1177249489 +1329019695 +865706479 +953112277 +1744009002 +603201604 +1396526933 +2090096214 +1356608156 +2098134396 +778078803 +1713471298 +560644537 +530512405 +1923087800 +1420086969 +774015099 +684819707 +1500505552 +644364078 +1370095837 +1014198757 +1748201855 +476044202 +552475508 +1136991643 +1553416954 +1910949591 +293869388 +2081895406 +129320333 +1471118878 +1263431453 +995026812 +276747507 +859956808 +1598228416 +1673274440 +802569374 +807352925 +1623925188 +1580648177 +373340575 +37086077 +2111160583 +148944727 +1457173046 +737692034 +833764434 +810194950 +1382056112 +56376624 +1824393707 +982774319 +532420826 +229385567 +2119765962 +2085837780 +2140335158 +266151703 +2020249538 +122171843 +1737270581 +1136197344 +1117198656 +2014018088 +1996154152 +567943424 +1539808880 +651239878 +1375296349 +1016250421 +84404408 +1748636924 +1053336498 +48081343 +1897581651 +363025897 +785773377 +583862438 +1173220847 +20345841 +640239062 +850130907 +1003120160 +1172659888 +1079516474 +975402475 +1111014020 +1072367985 +1241554178 +983779911 +1194539828 +831341111 +2119977255 +164254836 +697875551 +1968647759 +732198261 +90200783 +472403989 +2107494610 +1106451204 +556808397 +1708647887 +12304055 +604889740 +1458745890 +375329952 +1390663117 +2042608328 +1548550799 +1411008958 +535363742 +251198058 +266645471 +1708023630 +1330714533 +1242047946 +671554003 +255598870 +336118476 +1655333914 +1450138698 +1167459587 +1627827521 +1614393535 +1865335138 +1448991632 +199108148 +1955535921 +1921395621 +159119110 +914503478 +330720371 +1867766997 +926807533 +935610111 +1179029240 +1302137485 +178789581 +1074153920 +703204636 +1589798539 +1609517663 +954402695 +1856444010 +1170057645 +137633580 +951008308 +1841611648 +393232450 +1287126784 +1349461914 +1843371148 +307102723 +829805787 +1310281035 +24954213 +131313771 +1509389183 +1980490135 +2052709393 +1668508294 +747509965 +235946116 +1388791643 +1674317498 +1171556227 +420337235 +828971335 +1350345808 +1494491156 +1532175971 +792660700 +956525171 +339095018 +501621062 +2126582816 +476728598 +1452629371 +1820710817 +869961048 +592272507 +1022689083 +565848549 +899375231 +1852494871 +1876129584 +924329444 +1983808642 +1238035120 +757335931 +1889034387 +759059766 +1504845896 +2124980503 +367761 +1031679746 +1149053083 +420704997 +1860651081 +351915243 +1915196153 +1245343405 +1144575943 +724237676 +1584438423 +1646197006 +703336844 +2061167022 +951342729 +376564013 +783644422 +1543615236 +1399253097 +1349492971 +295506819 +1104264320 +1078138908 +1219836264 +940589314 +168690380 +1977172195 +682140054 +927750146 +1334534444 +659636909 +928117907 +218730542 +1808689992 +1348822904 +2079381624 +13121588 +1116535409 +1177241381 +1157697531 +1840773085 +614196156 +656410889 +396626282 +527879530 +1607753618 +773190295 +1311523953 +1003885207 +24959744 +513533276 +1299392026 +1129224064 +1591672184 +371744642 +2069813379 +1760362564 +201433190 +604469785 +540629062 +1535967634 +1264106694 +1468746970 +1754698176 +925313039 +670086226 +1686596152 +938434627 +1786621636 +716353885 +2096132158 +1479911073 +1330550042 +605059400 +1876537355 +1858429572 +65329370 +502244003 +1022469877 +1069214577 +527203747 +1536003154 +221122956 +1656427812 +980191690 +592867598 +1578757543 +593070607 +794300788 +35743680 +1133699669 +182784774 +1299850374 +454962991 +1937482951 +77679765 +1125049218 +1476595455 +1016114392 +764187206 +45465693 +964762903 +96614631 +1376015735 +1569822303 +1973151987 +1086961659 +1635151673 +327912342 +2109431537 +556882603 +855116089 +1497951043 +778005559 +364060253 +330659085 +1370873157 +1942817796 +923729692 +17690298 +1978561476 +2057429362 +200475072 +1130928203 +364908705 +2137958023 +1208607968 +1489957923 +1467069831 +77238713 +106661481 +1512535524 +1042001616 +203276113 +741067611 +464340271 +28944452 +1828029270 +2099491944 +356856794 +1789977159 +508890899 +1211972883 +1140444554 +1286896458 +1576033137 +1471103640 +510285968 +1371367285 +247349684 +527976266 +1202445114 +157295398 +728451338 +185889669 +522204104 +718925714 +1394497637 +2012162027 +38511897 +1471736350 +2118823509 +1551047421 +366254318 +174615974 +144631384 +830594589 +203560426 +1972660654 +782602886 +560417220 +1615154166 +1291493785 +1772390103 +608115072 +430906596 +1200939592 +2079218712 +941192564 +424823230 +179084749 +1469168830 +1627268344 +336380147 +50136520 +1813158013 +858584251 +769062234 +1060172002 +723262631 +807574131 +384424705 +694602492 +211137904 +750679023 +869218466 +355769288 +1581273613 +1072778892 +180946295 +216392851 +1633196112 +1796100461 +1507886636 +1258102567 +256731885 +1938793232 +311558512 +188466950 +732502148 +736381742 +367551699 +54187330 +216166438 +703931846 +104323851 +2029324451 +1562516098 +873386085 +942012805 +138295081 +1680960217 +1326437510 +832897573 +1892098121 +2077116534 +1702116039 +100383762 +1510906499 +627411283 +281330057 +1727299350 +113123747 +2077430518 +1087702338 +1371226314 +186678755 +879011923 +1682784826 +375145705 +1611514071 +271682920 +742697404 +1665701402 +487849358 +1446629251 +1770025253 +369690161 +861661701 +495927690 +1311702967 +999956782 +29404259 +490656829 +1832854355 +1921502381 +420289715 +1387486746 +2021886143 +1931196214 +2014898029 +155732552 +1511011916 +2128021776 +85679422 +451230607 +1351764442 +272358177 +1330242530 +887065621 +647503883 +794272953 +1158748541 +1390201287 +312490707 +1646597900 +689346890 +2082515960 +2016288061 +1551008591 +430960003 +1180507380 +403481725 +460364262 +1671164210 +88852432 +234382995 +2091453925 +1476339178 +108785490 +1875166492 +1343753559 +264518042 +1238694760 +1324291687 +350197464 +1689925367 +528572482 +622555642 +872684249 +1415638103 +1270059525 +1666957203 +426902996 +512777164 +1979447910 +2073500896 +1202124055 +1914480223 +1942305310 +605648998 +197956578 +975329042 +1009130724 +658320840 +499009604 +1097983156 +892703836 +442979882 +426838687 +1001489326 +170662726 +1770592246 +1266007369 +1409357486 +947400286 +1616204833 +951799206 +1475972768 +91276827 +1824483455 +744127223 +1361336352 +1343957010 +1171030219 +1874113517 +1175921273 +1097047468 +928753924 +942917848 +891869130 +1534402922 +1140874426 +1867198172 +396049998 +1799195266 +218724129 +1494033155 +544415454 +661704011 +1920871842 +1545904781 +832366737 +1543980440 +664428502 +94240575 +343897078 +133149687 +1046039781 +1819869846 +224426515 +723039589 +416513421 +1585762867 +2066996599 +1587543641 +1312392736 +1095434224 +537107461 +93663012 +2038352072 +1428976591 +1628065935 +1031742850 +1148691115 +2024115933 +683454469 +1367415244 +1370665440 +1227869923 +2029119255 +1144053634 +626291056 +714002344 +540550427 +1290719558 +808242920 +884447505 +1423869246 +1854282701 +556833704 +1648295761 +429838642 +973347125 +1086574980 +349351594 +413407118 +251484069 +1444785818 +950514579 +345147081 +1335654243 +232007522 +1973213016 +219913445 +1380698638 +1849845302 +903367914 +600630234 +1073027094 +2131237838 +482265842 +69597081 +610045246 +1196268186 +610147508 +1900764805 +2004511106 +1494595013 +1177150403 +1711310160 +2051428717 +677962516 +2141148802 +877292195 +1764537496 +343016748 +1290699313 +2016021565 +1787802567 +93730245 +213684999 +975973162 +325737767 +39414367 +1195886607 +1706436405 +1889259669 +2099254522 +159582992 +814803116 +2083008712 +641848834 +884400197 +545570310 +1838117020 +1494547705 +298851467 +1695144479 +841659070 +1476001870 +1258970991 +745604140 +6480738 +1252636145 +1622896335 +1771018235 +1595652894 +766112000 +1639556152 +1235971813 +859842245 +1853241151 +64461327 +1185580013 +1892655519 +1260347934 +744532770 +1634431540 +1212118808 +904115762 +301751008 +1147643872 +1545964596 +1186151205 +1693214183 +1236597969 +533215262 +1992065650 +784258800 +1374874333 +1320583873 +2043229791 +2120478473 +1327064611 +1148382288 +1595891160 +950599198 +596551534 +214519512 +442671703 +1832523347 +1074361758 +148429206 +1896984674 +112458123 +2041084725 +1009848961 +856990893 +1528032618 +74484121 +1761106656 +1829783626 +1222127994 +1159587604 +868451184 +767858529 +248701925 +1401666446 +612440531 +1032960725 +629057131 +1933024404 +928706868 +602051956 +1112605368 +2077089157 +50459468 +2063204566 +526157043 +264978981 +358392621 +211196743 +1339340739 +506821828 +2108181417 +1451798862 +400422905 +970546730 +161306107 +1928455523 +1045030852 +1922412763 +1610755502 +119675198 +934516720 +331723038 +887533727 +1183218645 +1733389484 +1499974258 +68695723 +214962968 +1285515015 +997402591 +817014924 +250636735 +927008100 +867474393 +166357653 +1453165144 +1132453374 +524750275 +1664361887 +324310465 +1031572103 +1625059656 +1776109327 +1431995008 +448122739 +1937415434 +1212966884 +1493153591 +1712344550 +676238738 +1612828789 +499377622 +1007961776 +352878868 +1682596267 +593867612 +1852853126 +1751291990 +808830580 +990884493 +601210934 +1625845505 +1241521228 +1528219034 +345836250 +1407878882 +833900530 +1478289624 +1932629157 +350778769 +1802600089 +816717612 +1975838426 +1431225768 +101228972 +276477517 +1221157554 +1314195856 +1769631108 +786018456 +1990434594 +1234976249 +1285396078 +850912722 +1587855117 +820508698 +1444780335 +1293224595 +424317040 +106127267 +136625441 +1025527974 +1731972772 +1378146669 +406263361 +2077809022 +638541903 +1240163891 +1408614998 +423687412 +1590942661 +1063731439 +1240405024 +1419297439 +347473559 +1341633997 +1695774956 +1568631114 +508346205 +1317922416 +207165922 +351297152 +405415017 +1492562001 +1202209874 +1993270134 +165587051 +499506561 +1139011081 +589904091 +605633829 +1275636522 +1615432066 +190122953 +506299544 +2021695427 +120448328 +1144841447 +1114375670 +1529063326 +1568528860 +557834683 +445311118 +661450236 +1977132122 +792784677 +2003084233 +1525423430 +213932143 +363946791 +695862198 +421098066 +715243943 +1101277215 +1913660067 +1917453817 +947063701 +2079247118 +269476731 +2086074783 +521667561 +875110560 +1214227657 +2137099627 +1065233513 +1720527201 +2011311406 +1185681841 +717885001 +978203429 +567261520 +138930213 +1536038112 +1012572638 +800380449 +1365686587 +1805357315 +655981035 +743626369 +2019289459 +1019927826 +1439488568 +292903877 +1735171769 +393282135 +59080296 +1505141938 +1340345837 +2138327414 +1774618669 +1278936972 +512511327 +502245581 +345680981 +502127307 +1567479095 +2066208183 +365955065 +605677288 +636609536 +1344158494 +1172938808 +775539749 +732712959 +38027798 +1575920198 +2098399546 +1843385114 +84417585 +694542267 +1715190925 +1104345411 +2134030835 +2008094802 +692033532 +379829323 +2067175098 +49691823 +1720175160 +2058018864 +1824310492 +851628484 +423046543 +179072426 +1197309465 +925173850 +1746551521 +1116034000 +1291128916 +204745161 +1752643536 +487803762 +1377683970 +380699637 +1220516721 +1415711768 +1956619836 +1171432619 +1111613234 +2041037421 +1865974887 +679320511 +997899185 +1852522074 +539931665 +1689932717 +84867749 +459623115 +1739624540 +1805042909 +370158331 +1416451385 +509187745 +793204875 +1595523811 +1706497211 +1718378725 +1194591684 +675047563 +862023993 +1399336845 +280207452 +1349827756 +629537167 +660907089 +422860829 +2045248936 +470043277 +1594293449 +1009378522 +363597051 +1312784688 +1688699034 +1361496236 +1017823114 +81147051 +903945305 +1102690864 +540770167 +496086198 +760250125 +910928498 +1912537583 +1269437871 +1704133373 +1360577746 +828451434 +1275028451 +407685782 +1503498997 +2137052444 +1807022627 +1783706449 +1339396552 +289076147 +297129891 +1762257382 +186841435 +767173168 +1209067183 +1196219957 +1130770219 +374368223 +737435343 +344782807 +1392191337 +818582395 +1248728113 +347398553 +1359352562 +1744814311 +1107648679 +122797412 +1509868246 +229602902 +1826930786 +722962344 +1058054336 +954475589 +1130648126 +414069685 +944044385 +790187105 +50292487 +135957290 +1079263252 +347422378 +1898214672 +1266104687 +1114595546 +959798207 +314840997 +97882118 +1334166430 +1052276340 +442664925 +578874119 +1870858735 +1691393038 +926272673 +1082727649 +1288723701 +2033921352 +1205525062 +651108299 +116040606 +884972200 +1374070643 +1174094942 +1839447789 +357235121 +1588164627 +636008526 +1147422227 +1638457114 +771965816 +79201831 +1985879492 +522696840 +1345306519 +952991391 +1482495047 +1660147516 +1050873509 +669177829 +564940208 +1493538434 +1248051949 +288315296 +1037447825 +26840974 +1371042945 +178687878 +2060762326 +429084359 +829796178 +29319284 +1314056559 +56383173 +1203414226 +1006020700 +413618295 +644095205 +1642029227 +1561040522 +135068672 +266511395 +1640242353 +2120948164 +789208236 +838065224 +926455907 +124219635 +350729092 +1977329416 +793397465 +915669301 +1323384203 +2041449414 +1203984597 +213348380 +2068290388 +427543894 +392036258 +1981569066 +856628254 +1221832436 +2010888350 +23201165 +1278215610 +1066818928 +1029221866 +1691833905 +1710914133 +523767445 +1105390779 +1845982805 +790278840 +598149484 +1819447322 +1579487076 +1436214709 +598419581 +1703706712 +1786943801 +428265350 +349620529 +555129454 +1751649553 +243586295 +1759114051 +1964997933 +164393035 +39174298 +209550543 +2145962101 +895802552 +1431382980 +2009366803 +919003717 +562114942 +928702083 +1948225583 +106465199 +492132568 +324509380 +1211855978 +190631726 +1114788221 +1810005462 +2010079048 +546791649 +1098736523 +461014981 +103014713 +738196677 +889280331 +452635242 +1293326131 +493446236 +696221537 +904956535 +310960521 +860614572 +944130833 +520511065 +859093025 +1839933385 +1951894045 +720976180 +611453454 +366525339 +1649678263 +412195390 +472990538 +2141810832 +736704770 +1684846516 +184958910 +1851492991 +1347368330 +47554310 +250800993 +298621206 +508569291 +353815706 +1036817883 +1397849623 +806450949 +182660366 +1891295859 +1502672486 +1087616901 +54772733 +215803411 +2031747734 +575283798 +1074896436 +1724197471 +379694195 +1795872617 +188167278 +746219534 +1298067232 +600362668 +1219210072 +1292394416 +1337067438 +756572940 +1477353326 +1041076782 +2103941270 +1524907636 +1291877775 +255078828 +2033476928 +1645693481 +1291896711 +1283842903 +304660782 +1474557078 +1027655114 +1807333269 +414690331 +1082427847 +2023136680 +298954418 +1657711645 +950549468 +2023151889 +2037405840 +598938437 +63835519 +636141726 +1897005670 +664198187 +1855351798 +1041916438 +2001265626 +464441090 +371786117 +894858760 +420898713 +1896693753 +39252887 +675977541 +1782687033 +1684946368 +1967874253 +919046288 +1989607151 +1294947683 +1946701403 +1649456772 +1709638014 +881645602 +1525109804 +2008592432 +391873600 +328175624 +1884260674 +281795792 +927114062 +1948096193 +917937519 +676636084 +464810733 +625805669 +1718552522 +318592711 +1090246760 +2090338639 +1213451471 +1511145473 +1839548745 +1252704358 +39639366 +1474752130 +790167078 +2007513619 +246314771 +632290581 +1154977654 +45532526 +134263705 +717132021 +927178128 +1659373509 +578240805 +1319051728 +1987549134 +315017831 +1600847521 +767179548 +115630377 +371301392 +1443815632 +580441110 +997107061 +1014884506 +899033821 +2087353821 +957739498 +2112485292 +1451015646 +649804595 +1217706002 +1490655013 +2124556725 +2007873080 +1350684984 +223387848 +492680014 +358178991 +268920374 +626943719 +1075311012 +1196098503 +138833581 +1653551817 +367666583 +2126382715 +1968569649 +1968514104 +746078615 +2084200026 +192331848 +42410599 +517157488 +1189438910 +1057295105 +1416191309 +1129309083 +2015034603 +1381192953 +432841082 +517355550 +451415307 +1923496095 +494428628 +311804739 +1126697431 +717816476 +804484753 +1484876422 +986736851 +1431428473 +412703786 +35351706 +1570262054 +2066255604 +403018289 +1549161121 +1887341605 +224048746 +147756088 +1824057983 +416380594 +190166687 +193731823 +1605819504 +1247461792 +1609923132 +587644940 +1115012748 +843632437 +1020486022 +1632368298 +1295047744 +796498469 +2126796926 +1606852483 +1923195900 +697129755 +263853589 +1260588675 +1683866606 +1695282062 +1673292461 +1719218312 +1118060468 +1592064417 +2122236601 +519737941 +1331922374 +198801699 +667494029 +1008496709 +615182294 +857660716 +1202228532 +73518150 +2105122508 +664668016 +661163090 +1072651608 +1508300453 +1681649112 +557536259 +655864549 +330663933 +536849537 +115233385 +106376186 +1233979292 +379086974 +1366964861 +770362250 +2074369036 +892773674 +342096914 +1044945856 +337354444 +316849868 +1564683797 +1669276818 +515651567 +84694178 +530289880 +1130833861 +942354894 +1732518412 +1204352012 +899993754 +249702781 +1865515102 +1972645363 +1758003234 +1399680567 +382697974 +266384136 +1730344500 +919547511 +381617521 +1836720686 +6043156 +760704495 +1056201899 +776405406 +687589883 +1948975574 +1118502321 +1732535739 +138846370 +1435352189 +1149735888 +1808123188 +1951003756 +1234430066 +190929420 +934353970 +29301312 +1923447833 +2138705982 +929295066 +25666966 +1856737436 +754456781 +1783670200 +1108934355 +1137154755 +2050054336 +691795208 +2056702267 +284188209 +381032246 +2062745423 +1044892704 +1437234146 +691667181 +1732482587 +1238726072 +1810169502 +1317534678 +1377572442 +1098038043 +319786918 +1038211982 +901558152 +1554216984 +1229141403 +1835912122 +1583518296 +1005105588 +1827134456 +365329715 +1030772554 +1536388244 +1119786496 +666959106 +497838952 +109457604 +569529795 +1189634160 +18676223 +853718004 +1570666406 +2081421646 +1898610709 +860416904 +625605179 +1483609648 +2099142976 +288291034 +653660679 +1329231770 +1386329077 +973447597 +219960105 +140403581 +380180934 +1449101508 +1976315703 +1963699230 +306723448 +1655966511 +181545297 +1337496002 +1044871108 +1301331794 +2004455108 +1542710060 +1410789398 +426501255 +584860572 +1429465621 +1280219260 +8043330 +1363403619 +1031346321 +868460235 +1989008798 +367472321 +820119563 +129816184 +1021133000 +1867686 +1516145262 +1994580598 +221827791 +1656548843 +227277884 +1670929299 +1485380899 +43493466 +1977652747 +993863762 +225038764 +1167665101 +2038734870 +1526370558 +1024636561 +1433961282 +789676308 +1451137817 +2018821854 +71658281 +583873429 +2026865185 +1435061900 +1615219750 +747841772 +1276587050 +1982692071 +1567961335 +1406403235 +856341424 +1569829021 +775064849 +703438374 +1791656812 +284130044 +930716258 +1315102463 +1769510943 +974209724 +1145271562 +615891058 +1199248488 +165453015 +507142280 +578135398 +1190089577 +1941103563 +1367811706 +493743746 +1812441769 +1439469987 +1077617175 +1691823306 +727048239 +545353277 +292181430 +2003635290 +380561700 +1860142766 +1262554877 +1236903124 +1282488139 +2037619726 +1940341498 +926661304 +174266122 +723574108 +94280119 +1943777066 +1697783833 +1239551682 +412184476 +749548673 +1405004697 +919326756 +1327684072 +447610626 +712946671 +548012130 +941354372 +377904793 +1987482118 +2018971547 +2069728099 +567046709 +416841176 +214425882 +423198351 +797402877 +2074568648 +1685753228 +2034306001 +1209573139 +1575889306 +1827163852 +2136234443 +1750155429 +403254312 +83030915 +1546448847 +2101038145 +1322582597 +1958633323 +703103171 +580103646 +730476431 +2030787243 +1027714273 +1443423103 +431315725 +1969068645 +1821327896 +271314195 +1840556545 +1743572347 +838360905 +109914073 +1957998229 +1261559256 +907316950 +1885083229 +799828837 +794139304 +947172721 +228234495 +473819508 +935923516 +1978389924 +877073820 +1018954431 +1377355123 +830628318 +194053380 +1188504798 +1533731489 +774157027 +1918981230 +1417035084 +1801871300 +1214920685 +1848350809 +1623456297 +888764933 +2119665005 +1316529194 +484853632 +810542262 +1426443268 +295368214 +2072101518 +186276570 +32967795 +724446707 +980415874 +980140516 +952681203 +1454235382 +1916064033 +783587479 +183825555 +787534816 +13458955 +1014453873 +981588197 +1201963753 +400701714 +1755745224 +973461335 +1817736798 +1410132876 +40898372 +1518603959 +886105525 +929663305 +1490785316 +55151072 +1414516938 +153843930 +1481594340 +1709885152 +78461801 +1667870910 +1742852947 +802908508 +500803137 +575509816 +1755589711 +1955038519 +344090201 +391693543 +2138864074 +1131625017 +405152498 +1005834299 +2113213214 +1607116251 +1406536013 +1721474790 +433093939 +1076789163 +984124018 +473992311 +447909475 +1870229544 +1403655617 +1938694791 +1925380616 +670688907 +2092538722 +1259491308 +233090411 +23516875 +779878570 +1975943358 +826425383 +1280681707 +403969526 +434531447 +1088236579 +748059727 +826224990 +1079617005 +1879684745 +1231377488 +2085451305 +1845414311 +691010091 +1344503670 +1419405454 +1124104030 +273809186 +256045824 +1598096342 +721718661 +2126275368 +854268311 +512929804 +1904172336 +1524957218 +457984878 +1016179996 +1758047629 +481501753 +1796058567 +1586507339 +1307927137 +929256626 +1990476866 +1742458584 +2017493205 +591052945 +421199926 +949626563 +323254042 +1652577414 +887594220 +21184706 +196103857 +84614242 +1440590160 +1320207888 +358423428 +1696635984 +770820582 +1080142089 +1675427705 +1625088893 +1593071894 +1432116393 +1002562463 +2051056772 +300812742 +613126444 +385074878 +2096871309 +52150135 +1693002015 +878644287 +2042627001 +1287976951 +748653845 +486196299 +1709176877 +1698280408 +809450341 +1214270643 +438390980 +830635047 +1410374500 +523005222 +123741559 +583098740 +881428651 +1820377544 +1353919322 +1961570740 +1348321601 +831524567 +1407158986 +632954346 +1834087030 +1310732111 +933767088 +299729826 +1695806989 +883154749 +351879962 +1241325356 +1761799037 +247023315 +381818659 +362969234 +733219614 +2090995536 +2061249642 +1542669956 +1157782531 +352156974 +225821355 +420673383 +875162196 +349562915 +1003772124 +1756590847 +22456811 +210207798 +1570677940 +1370778412 +1041732366 +830353278 +2003732758 +728335748 +2141085389 +790016199 +1028065575 +1689408730 +1673170948 +1379945537 +783250438 +1287486337 +1626968852 +1165069097 +1650455571 +212704819 +1108580985 +1564221565 +1755374775 +118879868 +1916378539 +1981196130 +539553252 +644057088 +183275397 +1543325376 +253164287 +205732208 +1753533174 +1823842227 +1576510620 +647781892 +506711858 +1432759731 +1376117641 +500313599 +75292282 +256699568 +42238682 +1748463230 +1636645105 +825489120 +888465920 +1116130309 +1990558218 +391437843 +1328835128 +951655555 +1955659409 +936726255 +1070535424 +1724554300 +770438738 +1610088676 +221127740 +953714135 +1005930404 +474292028 +1159446344 +611979930 +150650607 +588473316 +1259761823 +657362465 +2021233047 +488395816 +1157676065 +2096525329 +745095384 +1199914747 +1697504912 +234256841 +2025403867 +438487184 +1350387150 +1868478437 +829925027 +531738631 +672650345 +638100788 +1468464886 +1743185769 +215171441 +91419976 +1205790797 +436299181 +1045134112 +64237553 +910591209 +57096808 +676217483 +1061241817 +645570124 +1935979306 +1718604282 +519319524 +276891474 +728796699 +468361205 +1021986858 +1928711446 +18382469 +1256243699 +1806631666 +456869653 +459147202 +1527626455 +1286794681 +990885833 +52793152 +1924895469 +311867071 +1795978921 +2140066910 +403287048 +854286070 +428882444 +1448421160 +918523623 +1339473653 +1505517968 +1594741107 +253231822 +3604444 +1383236765 +1971836105 +522923968 +1660128240 +553149156 +991285174 +534631450 +334376955 +1009667643 +1790875150 +2141008621 +1466537297 +102538704 +1521151428 +605848330 +1093424537 +1573944581 +383260151 +1405291608 +1222439854 +375843414 +1808578656 +2076725925 +804725858 +1109516168 +847765900 +2144199511 +467550488 +295023359 +249947686 +471154933 +1678260125 +74300143 +994078901 +1190904717 +627449299 +1985364075 +1725536167 +961826254 +847548071 +1368927669 +955351227 +166601720 +1471466373 +329019008 +772450050 +417407262 +1902963589 +1155710201 +1822698871 +977919795 +1531553615 +1483793879 +907162072 +188795825 +445826400 +1754927973 +185511689 +913376888 +2049951332 +435459375 +1384531821 +1580727809 +509759518 +231127075 +624148878 +1137208817 +69007502 +202201398 +2099035072 +916555573 +1571129067 +906902651 +1083157293 +895111793 +1235921659 +1855607343 +1312519055 +991401600 +863833897 +987734278 +1969321396 +247903864 +324044510 +728999820 +436699690 +769870910 +336444145 +622211379 +1683247798 +238911830 +1057670754 +920295972 +1819639639 +1567430272 +1151423047 +296304870 +557155441 +1220430549 +498506268 +508706865 +2136986123 +2069635335 +1415609517 +1072659768 +817263480 +504047528 +780783464 +2129782536 +1495449129 +1644617361 +970033166 +1317286877 +1892521225 +1294077676 +2046286697 +181737267 +2063948586 +235247195 +803948646 +1599712737 +474159025 +1861619400 +372525061 +146315016 +1281566024 +1523948108 +442619886 +1838721466 +596895009 +941126154 +199944683 +586397484 +863277842 +1615554200 +1659057253 +1680541322 +2119601729 +292357069 +1662840210 +1467567210 +1936974430 +485389729 +637370439 +1682012007 +1779467405 +536173488 +1863749275 +1695932344 +771420683 +520214273 +1148161433 +1245579708 +234350026 +1520686494 +1391894725 +1515916050 +897150954 +1834514611 +1207153868 +1494045963 +628157118 +1407098552 +2080443448 +1491434960 +875169104 +1592017053 +1024492634 +847287185 +1884374122 +539849197 +167370747 +1673864904 +1025238926 +804741186 +1208393263 +657222683 +1340914675 +924658890 +205671379 +2112335358 +1444873164 +1353832812 +1210431419 +1679223190 +727035658 +454842496 +1047655592 +1624186612 +141873459 +107325813 +970748928 +770030577 +1514424365 +903708728 +113981889 +242109821 +348242133 +1138474524 +1089397007 +85132607 +1678323721 +1256767754 +1758997511 +556078999 +2061508941 +819907126 +1213301682 +1254939968 +1744566017 +1418973062 +1219791678 +1041955533 +625322226 +282739449 +573695075 +1352357885 +737581945 +1621350667 +829060849 +879455405 +1728676480 +1799809777 +1649485982 +1095617197 +556034857 +1763467872 +1337727019 +904276990 +754458748 +279640378 +989409597 +285298821 +1536408132 +600923460 +841377820 +1450433425 +1420830587 +2054679502 +557889745 +1017912956 +1326168916 +1777681424 +2059868489 +1951491143 +2060420873 +486079916 +1156365380 +650519171 +2107430583 +1985426229 +1529974576 +1688623416 +1637752359 +1031976910 +636756965 +46303568 +647961134 +1974483984 +950580559 +1402419882 +106640714 +1939990156 +1687718703 +1643048847 +393429969 +381612875 +945998624 +1814260556 +288808730 +1503888370 +684689864 +1614977646 +1134086146 +597074705 +1418985141 +1047023371 +1083154621 +427866873 +1697542542 +1043101556 +265809455 +1080033470 +584241324 +1903561814 +2112010381 +1220998290 +1949865382 +612487867 +1047998626 +752962293 +2014907750 +1154639341 +545468802 +1555142805 +650204540 +938898771 +1936755681 +1596203164 +605675679 +78080763 +952607886 +1290365543 +1693058409 +2086694032 +1887440248 +964559903 +986233756 +823111221 +1392426776 +536292650 +1866212777 +1658236231 +1616326121 +302970454 +1414314397 +1580852854 +1523968744 +1216696132 +45857073 +424483722 +1969658425 +2060764823 +1579123063 +367643579 +1468423981 +81843955 +1306542350 +1257696014 +1678047120 +1912218029 +1335776777 +483171358 +1055099924 +881351538 +422381743 +795056524 +1845911441 +1408615499 +1618167745 +1090854570 +1944908149 +1336896875 +601607153 +1413750622 +1639867329 +2015921551 +847119828 +1016352425 +1085134035 +892976902 +1440836147 +907308812 +806258077 +872475563 +1274952392 +127198410 +954319518 +434011094 +1384894424 +484882990 +198745476 +573187553 +968054349 +1253845400 +1454539092 +1390436092 +2048901925 +1152966885 +651567943 +1519586022 +96337807 +448992444 +708999249 +697944961 +1862743067 +201382930 +566382864 +562379247 +1217735355 +1651516899 +1455356149 +511087855 +411342063 +114130579 +1383563418 +1686294455 +241328989 +190399288 +2120305550 +1626223414 +675282279 +171567378 +51927319 +1643336628 +1425412778 +1506466411 +886289072 +1326831055 +511949649 +1537857015 +698933430 +608287456 +1986849459 +1407932679 +1306232417 +1702108878 +1609315610 +1872615281 +117004478 +679567317 +1376648532 +1572360627 +1190655172 +1787990596 +1686491206 +426734942 +1326801403 +1927820196 +617134231 +1299623305 +1406559962 +1292416510 +1471190683 +1458487281 +788269490 +749119814 +817470045 +1674558562 +2075950869 +1329419694 +1064931929 +627400651 +1937707150 +904297740 +2035333331 +1096455920 +458922971 +1497165293 +821587553 +575927449 +29248962 +50752438 +804428 +1219904135 +1838743034 +1687295635 +1646639077 +1018060789 +1467632183 +116289660 +170200447 +726708497 +1408706170 +1641391130 +37712130 +49492012 +243027296 +855182175 +1724050574 +171494518 +37118221 +641498855 +798895169 +1974825372 +1545796596 +686744852 +923797644 +2004719567 +36426497 +1745385197 +433163368 +65675460 +1796137635 +433967796 +1285579595 +1487397021 +2121263431 +784735024 +357974163 +1441411966 +901024685 +528174610 +20636815 +162247207 +22082092 +58348946 +211739220 +265109389 +913531121 +1935789794 +436603907 +950649343 +429805002 +1235499076 +777991067 +1975601598 +1922243929 +1701788711 +1832837517 +1958670426 +1299690260 +118517237 +2024345886 +948344248 +552485033 +1162441833 +288257621 +526264817 +1947176858 +646231784 +1967676783 +700717895 +1174406394 +1988313599 +862965102 +1196488487 +2046662545 +1074704322 +1461597876 +812710018 +863010469 +1898201783 +1763359361 +1292815471 +986217211 +393866780 +1120933421 +760977492 +2095655491 +806287290 +572164271 +1247862104 +924804527 +449026509 +48722704 +1477289560 +1611468343 +336980325 +2003554377 +1411161553 +983212110 +1823747513 +2111879448 +10134856 +1664577464 +827360902 +1206623343 +1563756361 +1902065225 +520737571 +228982731 +617592046 +271455706 +1992342093 +1910407517 +1257672918 +238725225 +883857290 +2018650410 +186897069 +1690144580 +443331033 +1434759173 +467465459 +892357543 +1483481877 +1944755019 +356342238 +1820462202 +1800825749 +1767503791 +656190664 +1477089614 +1731899591 +666325521 +994183430 +411776845 +1872948864 +410456143 +166358422 +246202788 +639438874 +783950468 +517658494 +484297319 +546874337 +1775331412 +723022545 +1430731627 +1646498175 +909919614 +973392559 +2089829208 +197195139 +1440858018 +834703103 +1680677016 +1238129390 +1191045341 +1353655570 +891471491 +811065484 +2009846235 +221077457 +395481427 +528688108 +1215260887 +807258273 +254153324 +1625717030 +973616695 +500356112 +117672256 +1757567164 +1018014607 +601969576 +156957853 +645862371 +1324992121 +1587689481 +144876898 +87428087 +413598392 +87222459 +284623226 +1854456411 +921925562 +1965300242 +945102153 +2112970904 +1171472164 +1836573644 +776552740 +1033834751 +2057651101 +1172034168 +1562522859 +1125428340 +1979292441 +1816676184 +603661722 +805425488 +169548648 +721333978 +415509004 +1187563255 +1323303554 +572466858 +1833425627 +500812027 +12672691 +1978302525 +588240114 +426271083 +2065524984 +872863340 +133243846 +839966899 +690679934 +1078345999 +805454155 +1862152099 +767435995 +1582006895 +748503202 +677603448 +606557415 +163542414 +1803031788 +438366208 +1980218598 +259209862 +1243791697 +2283598 +980543841 +1659300701 +1189846854 +156363747 +84283911 +875788833 +657175775 +96956602 +706607710 +1245415889 +523227686 +624649047 +2118279230 +656471532 +1464615946 +661475516 +1734817532 +122586453 +376143967 +354769879 +1704593348 +1124647170 +1032373328 +163667116 +1288189584 +687921468 +602033324 +1120924534 +947131331 +1845825021 +1123208132 +1927675172 +1357642075 +165571338 +2084038919 +1441925986 +1041360171 +593731046 +1538882589 +1747967882 +1839146936 +2062110275 +225133281 +1809942518 +571098159 +1689749227 +323934386 +158432043 +1812335680 +700078354 +513201923 +1369445380 +1824725524 +1545575251 +1533112496 +965431460 +86013071 +2135145821 +2086355994 +1033144402 +1833487194 +1062080478 +813335926 +1043645621 +1227651817 +749891198 +338087960 +121528340 +1343622244 +1876970549 +1869496222 +1035285532 +1791597176 +2094629503 +697744402 +215211687 +1636895082 +1021678789 +373643731 +1301747114 +1721757143 +886845654 +523708847 +1398999019 +284937257 +2056821343 +216946831 +370950328 +2044483516 +155819177 +1404094731 +1730487063 +1217899655 +69947009 +626649036 +298067824 +819838207 +964736996 +419596165 +15976804 +694223897 +141608739 +1051262336 +338337425 +88754595 +1749006739 +553549113 +1725649677 +623201880 +927192844 +879913144 +197475375 +1814038498 +1403621991 +1596474394 +2098975755 +1312959686 +1813421225 +322442435 +1209959555 +1969240402 +1726537166 +792962970 +1039656409 +1796484176 +1419612006 +1337724234 +468838735 +236865355 +1757320399 +484815539 +931089252 +1898929138 +1536077876 +1269426678 +1987683733 +1137600967 +1822975791 +1565849763 +1760802847 +602684987 +298279259 +1958278222 +269239837 +1701901250 +1407268968 +220731944 +867377288 +1073206545 +543174379 +2077336843 +894963299 +122227898 +722816165 +1934619708 +1918712074 +2142428172 +1124860294 +240067161 +231809879 +734697045 +724882701 +1162899131 +486142536 +113476929 +284842161 +326342621 +1251077896 +2107817952 +1892192384 +864397095 +563019291 +42987995 +675191669 +832259128 +1744889245 +2082460637 +1052991072 +464782886 +1008183534 +1596165452 +394636081 +1903146833 +1718393350 +1117452247 +1690282893 +1489621776 +1112396771 +667659540 +1729688937 +1344206650 +1402356585 +307087990 +359622133 +1888499121 +420564919 +644464295 +67358095 +1671642815 +604798599 +1959550479 +388556262 +1167817891 +2002538475 +1063747931 +2000077019 +1599944072 +998724920 +905584444 +2064726958 +2006908454 +354266248 +311879392 +1762571639 +2072659598 +1429331639 +1305370885 +1414797726 +394244762 +1973030425 +997003015 +1738451412 +1227903362 +1304091006 +2098073545 +968918836 +1724655925 +595054192 +1036276931 +1248815093 +1199852792 +848343762 +1637371355 +220187035 +703398589 +553635639 +72780406 +155859014 +1552360559 +978364850 +73102324 +1411785366 +1332631098 +384981716 +1026873357 +1257807048 +1814313355 +184760594 +525121126 +61074469 +10307371 +1522124142 +1799525881 +1238210734 +678731500 +1750115779 +59645922 +255903777 +197686323 +1095922853 +1504718870 +1397539115 +1944266615 +994606578 +1617726150 +500181557 +1548242217 +1690506557 +656040571 +953119128 +521387759 +729142895 +217420846 +1854018858 +1114124612 +1244294204 +964342258 +780954319 +1429054798 +1489463385 +842028789 +1439362170 +864103879 +494071022 +530089256 +1542835379 +96703153 +589735178 +1798739156 +294389477 +1685658031 +1155974379 +1691928592 +1482440998 +3097309 +1162171095 +1982622555 +1551339526 +705194004 +491179478 +356975006 +1226581763 +1220322374 +574395853 +933116973 +186963338 +1818690057 +1897459232 +967917657 +1100261207 +1239438969 +1809946446 +392139729 +2103542848 +156533821 +922228985 +1498894579 +253236974 +1511964163 +1150150087 +547626451 +1050138546 +158640818 +92071396 +385095897 +161738127 +1254242491 +220234804 +1713077653 +1959436495 +711414283 +2070052660 +1038534610 +1931736657 +496964865 +1971651584 +2118699995 +168171274 +1721627168 +939134004 +1268432481 +813582489 +601596803 +1660572211 +769641689 +758130624 +435317548 +121052620 +1011367598 +1947281712 +1271202707 +1558994050 +849936610 +1429843526 +1651065446 +1235032507 +1591581653 +757824289 +1455267312 +1157175659 +569777136 +19197947 +1079744671 +1608311746 +1950934604 +1576709536 +1432479682 +1922150951 +1744880810 +1006623202 +713801307 +865829643 +1820205691 +1315398110 +378918206 +442363732 +2073528734 +814235755 +563416352 +937412685 +614033819 +1834619060 +348923087 +1463970429 +1116978938 +1999988533 +551519289 +561076943 +610329174 +2006786601 +1718252602 +1180106310 +2025984548 +650513625 +640934408 +1829435504 +79739513 +2073414091 +1604102807 +1824620323 +932553645 +170420466 +542966319 +605275689 +1485818577 +921884525 +1047639421 +1411863663 +1736120280 +1611055774 +201792700 +202670451 +1298191186 +550715787 +1666640881 +267686476 +403220672 +70676522 +828763419 +1013549846 +2077463123 +399532374 +46172508 +1955964023 +1050045999 +687106917 +1637915879 +1129785513 +613037360 +1094535038 +806922188 +1545591005 +1264955504 +1349888507 +3383046 +603290433 +124289385 +1051022468 +2015154097 +1860409665 +514594594 +69463149 +2063080117 +1812785780 +620178937 +1582237350 +2080472256 +1023399609 +1652913872 +761752027 +2036949456 +1582893347 +1161284401 +2083121964 +1391373722 +63846753 +622745233 +881805953 +1193632266 +1235782593 +1976340991 +2000554454 +633889951 +1093812847 +1202959314 +637272997 +1697103281 +1327248699 +1688295465 +1564773730 +1040174716 +55406411 +1634236879 +955771185 +1868192191 +106932168 +390524887 +1801180799 +1130331778 +2043438759 +415449179 +1019797586 +1478848458 +1576733580 +955435902 +722738532 +1640580333 +1578181136 +1604544485 +686728951 +666480081 +1433401828 +539799758 +1300370032 +379731028 +1742759072 +1937643030 +2076834309 +922524123 +1478454847 +1494124391 +1962698839 +1533861259 +980877622 +770986377 +1254569802 +1087809791 +1161511264 +908266954 +70657921 +1057466376 +1323716133 +1090455507 +388831186 +752966065 +2045891409 +1111569719 +246062751 +1476588897 +568630556 +932791702 +2143068979 +2002032385 +1472591460 +1295955363 +234279765 +1067866884 +1086114745 +163630426 +1990391007 +417085945 +1657754817 +1805606199 +1950947204 +491148791 +429108928 +1058033358 +1578958582 +1590620192 +1966300312 +1649616503 +500602920 +1142532797 +592588362 +889434107 +1895498863 +490996124 +2001003826 +2141561614 +1967585021 +422150734 +926869668 +1963170352 +276699471 +251977481 +1111642068 +510979236 +1319844365 +50273165 +674609662 +1162751725 +467359110 +184880831 +820874276 +270822666 +676029623 +1249983204 +1328856025 +107504557 +693119748 +1147672689 +1757121061 +1193722669 +142721839 +202225775 +2083156776 +2038220702 +693221899 +1936676954 +2032298668 +513323273 +211344040 +811684688 +329009977 +488043512 +1063662169 +1440652045 +999022748 +236022887 +1490925211 +1673632411 +1398774612 +1958284321 +1858513242 +72165240 +81623340 +387059217 +1322148444 +1410479365 +494563775 +2015268192 +410668406 +104201188 +1061507213 +553390245 +306426963 +997180341 +444127299 +999648863 +786373647 +328942319 +1512972136 +997717688 +1140627008 +1841982113 +1485761200 +56805529 +1135150511 +337300300 +292828416 +478592074 +2010932711 +1691603028 +289392747 +1721962306 +1763768268 +371016087 +2109021523 +938433064 +1781495452 +456101650 +806217609 +44680211 +560302838 +1867724822 +598070456 +866729802 +717421516 +1042197756 +1866378665 +1503795163 +1371140075 +1231867153 +354029203 +364283435 +926365618 +1839790403 +421088965 +2061516129 +29607056 +713917381 +392624555 +2040539767 +258036762 +682017303 +1615018425 +2021805030 +1053033390 +1576556301 +812754447 +687045195 +2032657951 +1618972056 +731725406 +445477142 +1339213230 +1329795862 +1312206944 +2056634746 +224509970 +1031101961 +1412946262 +1595650046 +115485466 +1766975465 +1959933481 +1041851084 +1459282221 +233538798 +955883566 +1488889277 +947456180 +1348508121 +1381945396 +1205492942 +2030525424 +849480174 +1079814324 +936075167 +278552827 +1892568771 +1623120362 +163727130 +1364057179 +207362120 +609204272 +555786762 +1537157982 +1921411216 +464937860 +1761667953 +805029529 +1877884122 +1209834351 +920514995 +1497375940 +1022284184 +1962366080 +809174513 +1255822983 +770765998 +150580142 +55795515 +2119274119 +1532525538 +1261288457 +2002315896 +234522064 +193619133 +790907415 +513074891 +2086187905 +266544129 +676802022 +1302761436 +473906249 +1286006294 +1858548198 +2011064231 +1059933863 +176002411 +1625248536 +1864963392 +2053886533 +687599239 +637994740 +1403778825 +1709883424 +452877172 +65469690 +818222759 +1223643170 +216049832 +874018274 +1195433641 +1748575371 +2135306731 +1050265889 +1983097435 +181442216 +1841173304 +348688679 +120146473 +2107717433 +1025490701 +1422907910 +434140034 +164013347 +1133972460 +297720618 +1223947210 +1309974871 +1922969154 +941426955 +1216377757 +463084746 +1579421695 +472672934 +25484522 +2032298867 +538142625 +843707281 +1108458389 +754192457 +1717725555 +156408382 +355284180 +1705548638 +1206674272 +190897968 +1886990854 +900363928 +539586647 +2007137328 +860597714 +1565077348 +1282561590 +1294737748 +1729090695 +269050402 +1592458366 +805554258 +1579025274 +1367943873 +1746981213 +647919383 +1831028619 +1178919260 +1120592317 +1856513141 +1063734479 +1658734942 +552736774 +24709220 +265443752 +122978681 +181117602 +620727932 +1828527319 +1387791874 +811625900 +1568034525 +140672155 +1351212547 +1427688205 +1001269869 +768806247 +562766147 +148523969 +350413295 +831816550 +1740982336 +1155967553 +263358176 +961442561 +755465118 +911277559 +644987532 +1934384378 +2031869876 +354017025 +850635209 +1543121171 +906753799 +875344429 +1808564923 +1029732480 +1056462031 +281809207 +710776151 +296770258 +1093435108 +131327028 +437442413 +297164007 +1559015234 +1438712282 +1065970255 +2121781381 +1587236251 +1416383550 +806114283 +1180734939 +424867455 +1069472459 +2142177500 +1180332573 +1980750018 +639681384 +967233303 +1865136247 +993698409 +1817868512 +1260773770 +1900452208 +545729293 +921855045 +782701040 +1602191324 +1203664252 +1493477191 +1898961582 +149615712 +1624804220 +188920347 +446779720 +1036335806 +1627632629 +1512749975 +1010633539 +1067385233 +781649877 +1816747823 +100636524 +1206517332 +738736634 +95330377 +239366257 +572003005 +735011761 +1206599560 +289655604 +1728710171 +876984424 +1550429374 +1481678731 +1422713717 +324800771 +116896124 +877421393 +1528465023 +1610373315 +628899328 +1678080736 +1087693887 +817819675 +2124860456 +2124029693 +297968657 +1490126783 +987179585 +1365353890 +124293012 +656443760 +1465990414 +1330810344 +1395180394 +1561320791 +1570176601 +1967183399 +148848905 +629292513 +109355355 +1877559076 +1506276937 +1659784729 +1211754159 +781507006 +1984585500 +1328650283 +1658928399 +1365566876 +791539951 +140344079 +896163964 +1879233838 +958163755 +873540772 +1855779884 +1256132412 +216183907 +695475821 +474002654 +340476919 +1351919581 +1939993068 +1671287263 +599616327 +1353830212 +1093980216 +419316079 +1502679117 +1723272729 +528671434 +1232754545 +1082066018 +40972516 +297025056 +1863573024 +2025558016 +1625675340 +1375017775 +1243641244 +269731643 +1515361855 +2139805208 +1481833 +326041962 +865862332 +1857261717 +1582174374 +1082046239 +405253890 +2056177028 +1422523158 +1757173471 +1848686448 +946326773 +209306151 +1055033012 +2040306989 +628622230 +410228481 +1616096070 +1157293664 +1642983026 +550678440 +1198266180 +1940008083 +266767816 +1076340549 +1418199775 +1641785592 +172498145 +1687931418 +1009663799 +164819706 +1689413251 +1335705761 +1030682038 +1399191321 +770396487 +2112728278 +1804445211 +679089867 +1387767788 +1414135035 +380292667 +186610914 +1623441186 +1435325680 +79434255 +104579768 +1845554161 +1695530326 +1261873432 +1341053540 +98725118 +312655965 +1133577975 +365492935 +1388996514 +404294102 +2007278527 +1561494659 +2092225520 +869458678 +1726314365 +1634155123 +57680791 +609512756 +885862796 +828077278 +574757386 +542824360 +1507167145 +1962525174 +1956959395 +1887459812 +1652440 +1432916933 +1175301844 +81086696 +1537496701 +873372358 +1776617022 +651886485 +66942250 +1875342140 +964542450 +1200520225 +93351427 +206055316 +1604814327 +2100629954 +1767549976 +1549556199 +822604984 +1346380693 +1036227674 +880285775 +1955893449 +1922090471 +1708363053 +383167187 +317431183 +1068046550 +198208714 +126906930 +808022715 +199861154 +1559823863 +1983324559 +280947850 +949836916 +709213269 +2057564872 +1601723401 +776155519 +1785423365 +418782204 +1976675744 +1878774792 +624837520 +1434006423 +1831921099 +244903848 +836078974 +507042435 +1591284542 +1872306649 +1387328211 +1399694343 +1646913472 +948207616 +1782861531 +1964344655 +2016254167 +1981070245 +2091251585 +676793234 +33447751 +1503591800 +512634145 +314395602 +305945068 +1221847415 +224476826 +1907668469 +1998002934 +2009900191 +178967025 +1827195031 +1741191336 +803804546 +1113717806 +1425628787 +1048708394 +1949796781 +1932671222 +492509288 +1674619782 +1172515785 +1892203632 +1174049606 +2120723402 +1527581515 +990910613 +1989493921 +1361168112 +934678550 +518803507 +1394615863 +290786702 +1031437652 +1709011465 +596731770 +105801419 +1933488292 +356916591 +2103804354 +1795904835 +535883617 +1783515737 +1389612523 +1339688163 +749749895 +667757662 +240912909 +552063028 +452945237 +733422198 +79199162 +1625461022 +478142182 +1253248768 +1598700776 +2005723697 +96675733 +1440711049 +1219408161 +1031354283 +1959514556 +466540376 +1322140985 +843468561 +28068194 +1918872755 +949269980 +1961556486 +128305699 +905590686 +1609977673 +664189316 +541622775 +852106549 +2003877479 +1291372671 +1519864211 +97306740 +1843435699 +1972809448 +830728938 +1922634862 +1450786823 +1308871120 +1028399982 +902003951 +1167111169 +1125075716 +195231353 +239035682 +8946351 +7262261 +705576059 +1331087337 +850730822 +733644253 +1102476444 +1800000803 +547717091 +1230782143 +558107841 +10211116 +1894971459 +1099730617 +862317665 +1751365290 +243619640 +234698229 +1848672031 +2087055339 +60024029 +531917321 +1862206553 +1510810852 +1840788442 +743122888 +265331156 +860415963 +1868198604 +460562509 +1099451646 +1877144955 +467824770 +1805027705 +1060748644 +1318555593 +391188310 +15741441 +971072748 +938905401 +1246523584 +1529180589 +949116517 +994011396 +481427558 +1811434183 +597893038 +725047198 +2046132412 +299081421 +664618890 +2106156441 +830998743 +379341795 +1469483646 +524303537 +1122464683 +1734814802 +1384719500 +843179639 +47893663 +336687498 +572840947 +515718433 +2141715203 +1633589591 +1834274026 +385419865 +1649331032 +657863126 +1324325266 +748370969 +39560068 +125958136 +1742382365 +520987626 +1937392319 +192791755 +1246034825 +1836041083 +491873177 +1910653715 +1794713876 +1322871920 +142511862 +1116713874 +1847175457 +1264976546 +704045028 +1084411309 +2108156185 +751938691 +1421098808 +533513484 +1267657125 +1415330363 +19619428 +954447503 +1800750229 +1668950460 +1612310630 +977591847 +269837781 +1651870698 +1103549983 +2012220146 +25374676 +893458654 +57528254 +1271409501 +582016089 +549401431 +1034579568 +229246318 +1872273351 +1177091431 +1345960192 +1571965160 +294584329 +2050005221 +508892821 +255256866 +654460264 +1929991629 +788770351 +1922117389 +1197838345 +808389779 +729081245 +851104926 +329856591 +193908227 +1828696773 +599694373 +1845778925 +784763109 +464430871 +1871153601 +1678221763 +521959125 +995079455 +112754205 +1071360556 +2029659023 +342000523 +796150259 +1059266806 +1687960715 +220631771 +1353851135 +1590482288 +729524593 +1609108002 +97458905 +512032574 +250394705 +2019576294 +1709870919 +1058784484 +601173891 +413492197 +1388641075 +795082118 +94705323 +1988335448 +493377395 +879468432 +305282672 +217047349 +410206547 +827241797 +1212126804 +522960752 +1898602354 +1094302179 +864961275 +547268965 +6085338 +405438343 +767900737 +1359936473 +1995920631 +1497425330 +821560827 +2093379536 +2009457904 +1071955532 +1965472183 +1571845176 +2130740016 +419162426 +1985337373 +1371897444 +1214244545 +2080042696 +1212749244 +1707621940 +812027480 +1518031916 +1924669289 +1222234028 +197790066 +989312445 +1745194780 +2096392420 +2083614625 +462672408 +496177737 +2089699963 +868110751 +1264078474 +1302152788 +716547734 +614020156 +2123713616 +662443623 +475994413 +1048185500 +480432158 +2047839589 +1031441869 +899594584 +1885693314 +255855665 +2113839129 +1818252363 +1468604909 +1673977422 +482796195 +839153178 +1451163063 +1705030223 +1036943244 +292991861 +1302741356 +985852016 +229122838 +1765413764 +1482029753 +171339153 +486040867 +598624580 +1473491941 +1202588601 +1212644736 +1449721909 +1865032224 +1688639149 +350423762 +197980734 +1588995090 +1381865631 +1097575319 +1327204757 +1637721296 +1063930800 +997973472 +958842557 +590424574 +1480769667 +1797995735 +2041587638 +1038316243 +687455331 +187095851 +193573951 +1673307347 +416218689 +1958987715 +1007853453 +587557842 +297544934 +1606478033 +2061049783 +1500133535 +671639121 +1363288045 +1217682112 +212794623 +1713711807 +1415662846 +1801789713 +948093790 +365754517 +981510822 +438331438 +1429685318 +1979484294 +1397173995 +2020109892 +1312770314 +1047686083 +1914213882 +203602909 +1735141414 +2101309733 +397176860 +1260965114 +370044774 +208680927 +121334919 +957602616 +506225861 +1727812952 +871168752 +2006359396 +251968425 +86973149 +1076557860 +464763048 +1800684956 +344737059 +119069114 +601295098 +710491576 +1100579936 +1039626536 +2140176894 +932580583 +289316883 +2012803139 +97867249 +1337002966 +1779533373 +301470158 +924660733 +1733359459 +698647018 +38142199 +2103404233 +907327945 +159477118 +913523202 +1413553806 +1887290070 +1784691954 +1272429554 +2139258495 +1871665103 +201503767 +456537896 +1524866411 +546240826 +575607010 +2126161509 +1256732402 +1676186946 +1018304397 +1249425649 +461283881 +1307621280 +1114745140 +559151130 +497140599 +746794865 +860621288 +1421801332 +332670676 +1559268306 +1459943531 +288591262 +319112603 +1619420649 +1202114464 +1732666409 +1359227071 +839322770 +857612316 +1351001918 +563504225 +1059116083 +1807539814 +2088370636 +1605356909 +235663176 +2067048497 +714605663 +1911850123 +937869246 +1964031312 +225650356 +98006878 +931292804 +784801487 +595147477 +1678087670 +1645422775 +2016948809 +2010758346 +1057207434 +1329408692 +151865960 +1376320037 +801345693 +1353980424 +961502799 +13089116 +45819546 +1819115115 +1364091035 +609323771 +730747550 +1024147201 +550210759 +188620811 +1259810378 +469775608 +903226474 +1024176853 +1407644854 +719774139 +1249827209 +1505651733 +1651066943 +2034628696 +2100799210 +1181670965 +1532567824 +1970264372 +1044945664 +442291610 +1152189416 +1196811624 +1818611647 +1953535110 +403308401 +632630798 +1966624226 +449127947 +304262265 +1183231613 +1058451719 +1035009815 +59895167 +1608662478 +1223630626 +1319705545 +2078438087 +2126857101 +196398750 +1338599293 +699147592 +1446225959 +696767378 +202730887 +1333371008 +650082941 +1384401853 +718455184 +472863665 +281863869 +1160746794 +1625053081 +1478675493 +831874793 +1431104543 +1881983894 +1464505592 +1250245122 +183628194 +1768767857 +285993087 +1242079913 +656294025 +345888254 +703258743 +1879924651 +1665593799 +634213182 +1859298104 +1861992549 +1972812476 +410962048 +1160734861 +522096206 +613692936 +346622221 +1172179147 +1998094789 +1065077405 +1645042812 +132475010 +78340551 +1122612246 +1611150503 +910215344 +406233141 +1345650750 +227237288 +1656478263 +1529278944 +1996005146 +1942471351 +623875209 +504815523 +140875957 +1327133952 +237256526 +1806469757 +1961347135 +2096554631 +1520978658 +1786675963 +360033031 +534229871 +161288521 +973725967 +880852092 +1333467669 +824337108 +1945929497 +831026833 +956812118 +2024270048 +1953639079 +420478974 +787001745 +212388573 +1766129724 +1014239033 +1868866836 +1147925020 +862760531 +1663854539 +1771800229 +1367576054 +1804730497 +951450533 +1604832581 +1463716606 +765314020 +1553903564 +837211616 +404506335 +1913936595 +1371441488 +565794857 +740178915 +104809932 +1899262526 +1564516023 +2050739430 +582805711 +373844494 +1927525830 +388961143 +794323468 +567043927 +601349716 +412969544 +1581282961 +322732904 +1560894564 +296559844 +1986587444 +1185211145 +1664135899 +1643834293 +2136661678 +1121484832 +960067251 +754492051 +527904748 +1797278867 +1158998386 +294357695 +1021236707 +1724793243 +1034536610 +1126046640 +1476572121 +451568986 +1029302422 +2059377833 +825413480 +809344604 +300855328 +1619736948 +1376388532 +902205044 +2032706492 +810187845 +1224937948 +1446117408 +1106747689 +1064041744 +483844905 +623399940 +560392389 +473022935 +1744884772 +1520459640 +1227514986 +125305872 +1170254860 +239029725 +419663568 +44007919 +1963822968 +1454200178 +1170054559 +1292911442 +1905769164 +51873333 +1204805627 +583698996 +861217938 +1505660955 +55952296 +90122822 +260382351 +2088658788 +900310667 +1485320299 +1387292548 +2007058356 +401878396 +1871137453 +482974649 +962270785 +196676741 +80375773 +335246778 +1424191727 +205681646 +1505501638 +1663221452 +625345214 +1549509557 +1479560773 +2079545392 +572080469 +624988567 +1837830909 +623953802 +1829794194 +274046257 +1485171740 +1187971501 +329998554 +1575294562 +1448353852 +271173694 +328121581 +786190503 +1658466243 +187696290 +1188068899 +1382120048 +670670939 +2856037 +1578796789 +751046712 +338102815 +855504869 +956728358 +1843604453 +371242673 +1582073572 +1245630362 +1850803446 +1514135317 +1817710831 +328308365 +1204482578 +294180986 +10618911 +1478528835 +1779352726 +1198590412 +1808527389 +1207163641 +499460616 +2079701084 +1535285222 +1285651120 +1590683679 +1722981512 +326236371 +825320079 +246168803 +329092408 +256633221 +997215516 +667195223 +1112138090 +1953943874 +363316028 +1483380763 +1388533799 +1608946391 +1186700562 +755185468 +1279173574 +1515008927 +1959668046 +1573354560 +1525627839 +1290713233 +1205223639 +576734603 +951756975 +264903632 +1076195220 +883974411 +1800188854 +214362692 +327174442 +1375686719 +540599063 +1152494521 +1621855522 +869691472 +1409127742 +471587390 +1536886695 +373782184 +278047617 +1900202724 +1857162948 +1666581416 +1361665467 +896379862 +274283236 +493355393 +263905141 +86467634 +2066709954 +1789532980 +1377180867 +1124449945 +218783936 +181454194 +1389353577 +1294979156 +1065428605 +1042058783 +1509341848 +1392603047 +270261854 +2049940911 +397613921 +1892117377 +772148735 +1806741663 +216221119 +161551783 +33040200 +494268736 +2061754507 +1890203148 +13366504 +1275936326 +639099362 +287649740 +1769291719 +903004503 +374117374 +1688518025 +545053836 +1751298242 +665484322 +763837772 +1932752436 +2054837899 +2058816928 +850697394 +949413035 +1420675128 +95816793 +1219674889 +1323132391 +493430714 +964308618 +2095281127 +152688730 +1180529738 +109349262 +185728930 +1674798474 +23620121 +2075932078 +1688164979 +1299556447 +567547792 +1975814719 +921364518 +1470552295 +202448446 +462398896 +2015606131 +1953746688 +1127883218 +631960255 +1739015476 +1035237470 +543293535 +442229222 +1984650505 +1963968663 +538046016 +1056841746 +1139617407 +1031476730 +2021150365 +1087414886 +1184165460 +1054196455 +1196764148 +1369894390 +581511281 +1220384269 +1298342820 +122192612 +372457068 +1865890612 +2098007332 +1293821586 +1188959260 +152972130 +1756220482 +1057081743 +2106718818 +736620053 +1689041999 +1698250646 +1771857523 +84851886 +2140479869 +1609024380 +2048820550 +531042237 +518382478 +1040954309 +1562518967 +392049195 +2128369195 +599200780 +1446245650 +1177649695 +1969095170 +2027756932 +250550316 +1119954343 +2465896 +623007384 +838361307 +2100473228 +1916828970 +2027320567 +105961710 +1525565805 +936918663 +65196880 +114702210 +478477014 +1763447527 +1886559733 +563328900 +1756443748 +1348100465 +464665802 +140002337 +1866482943 +1505620111 +1702521304 +111048491 +1486505658 +154238436 +1557294141 +516671705 +2123333607 +1437567425 +767222021 +1095804302 +1440033322 +1390229405 +1934165609 +1393022902 +1159574728 +1814002529 +1498984613 +537656885 +603437544 +1564181493 +652359095 +1081914558 +1180145372 +391435180 +1645243458 +789105472 +1739535645 +2109909261 +929107809 +1458534940 +1468045724 +484145466 +1569583431 +807067735 +638383902 +979393925 +1323739440 +614233861 +269477702 +2090961462 +1710038163 +1709511024 +1333707219 +1496720125 +955050279 +345798299 +1163239006 +306551244 +883455184 +1766676550 +1870732737 +1535814279 +701107460 +903394462 +1927249459 +198867270 +1692499934 +1519301456 +161292883 +474124096 +830352749 +1629338608 +958269562 +252452532 +288922695 +1596653464 +1231846457 +1612662135 +63403678 +1501324160 +1556139949 +1773441841 +1063351536 +742363521 +1122678318 +2018401815 +1088161820 +138433676 +177469411 +1971617005 +1905110226 +2048202149 +1359947636 +458734038 +804112963 +1139713448 +657601309 +349129249 +511531256 +818894192 +823253345 +1341884005 +300749152 +1781522907 +1594336538 +589671847 +1230692724 +678699347 +54850335 +1294096402 +32539859 +1610990284 +920054595 +1095891396 +205870157 +2042732914 +966809563 +1294031978 +33682942 +1144278975 +1118165335 +1938793169 +1044997476 +330629323 +250043559 +1849110439 +1470342771 +907644868 +50756040 +1981874028 +1726539061 +874009386 +1176274385 +2027288213 +508048645 +623127275 +469476413 +1738741369 +1301826623 +524326748 +885354123 +1334366482 +2135317032 +1805408719 +282774230 +193703542 +1700657985 +1249583794 +1487735520 +1734340927 +246379121 +458417207 +1525650448 +1291376597 +789046530 +1775694008 +993003388 +111905654 +535855228 +1043759428 +2093779682 +114910641 +1917768814 +1122570419 +2142198855 +278333812 +1745697695 +464191620 +2017075181 +900040670 +988518368 +754945657 +86923504 +976351752 +412870728 +369697735 +1170055294 +2113528713 +1619281529 +510307166 +1700385992 +1865660650 +968724373 +1078552793 +1009553599 +1757770904 +706763153 +2002556987 +1869676558 +1242618381 +898832767 +1815972592 +1357529023 +669117934 +791059363 +1352244230 +947451746 +389273410 +1816435850 +817043279 +1289314080 +657470570 +1571988936 +1376237585 +1633822322 +1984859664 +1745935320 +656393969 +1950904729 +1217733201 +1166701135 +1503807074 +935910203 +2135425509 +434876219 +1945463802 +1745712765 +1141639372 +1800537141 +1467905675 +236774105 +551886260 +1136394619 +1594303128 +1221004194 +1927453982 +799063710 +20972292 +169243745 +468015912 +838015572 +1458557825 +1125486482 +262520860 +687311762 +611825157 +99896877 +285763434 +1268219126 +2050801606 +1503496635 +287436613 +1407125032 +291923190 +275378474 +1842001251 +89903344 +2021091239 +836156975 +1890440485 +1341513266 +1072931081 +294843098 +330424237 +519750561 +1515847292 +110394572 +1318814272 +1536819585 +279638317 +1786830184 +227351509 +1738196142 +764833019 +489872369 +278024257 +1376658176 +589769246 +563787691 +497393654 +493087205 +2067284327 +784830267 +1900212237 +211723869 +1060208742 +1594729841 +301627214 +933816333 +283403168 +44584051 +127845952 +1356334249 +339427149 +458270189 +1876084811 +1855274442 +568664761 +1047415435 +1244610379 +848303078 +686761971 +1471961888 +439015573 +1451594990 +1961834257 +717039830 +680769518 +404119856 +1280827521 +1178163172 +897207061 +1200628200 +1962993440 +649935650 +1412352070 +875718534 +97181843 +1713979284 +1809534867 +380585012 +1758563335 +1937380819 +1736919261 +2097990485 +248167361 +1465520424 +1805781279 +816832122 +365452211 +902908010 +1665135201 +1052214183 +227386250 +2104150774 +356325525 +41736859 +673706956 +1037095044 +445856715 +1954534477 +67774568 +1343063776 +1007679030 +2030768008 +1992999427 +272547452 +759002894 +2090181270 +1986526736 +421054114 +323282634 +1597606423 +210951285 +2060201896 +1548113260 +459118646 +1378238672 +1206410891 +1275950769 +1743690884 +2109318901 +793602322 +648421419 +189221503 +750269448 +1004746944 +230958363 +1423976404 +2041841988 +676815078 +1231027233 +2109616557 +2019878855 +91222615 +1992900917 +1865394634 +363770067 +604420164 +1808092256 +202813155 +1025474278 +2131374891 +1800419579 +1236425563 +2044093139 +1201049191 +1695544210 +1274848163 +259976435 +824011331 +871055399 +221811688 +1617613653 +1519476818 +411033192 +220399453 +376740115 +641991555 +1644375857 +271098455 +1318806633 +727919442 +233231364 +1191201840 +819142058 +78648634 +909112826 +1182912125 +683068798 +569721435 +1385725281 +1708543076 +553612678 +1038661212 +797484991 +450222169 +92226755 +345545553 +1725070332 +352203190 +1169556884 +448642084 +574014879 +639686889 +1968118902 +985048071 +860086342 +197375369 +1627039626 +356978551 +468473825 +798362611 +1084897994 +701705189 +1989564452 +1904040052 +780353823 +751193630 +939468529 +1463422621 +1320915065 +177710162 +1024482049 +1874527743 +1216371374 +1821967041 +177266264 +1308598130 +20028946 +1902336597 +1660801320 +1189585831 +203495033 +87332551 +1829272720 +24130287 +1072380622 +541875415 +221505657 +551936600 +898853966 +689979482 +1350299212 +1983751960 +1391684671 +1192380016 +1740308364 +24554847 +1943573646 +532293246 +1487977468 +1117005064 +710003408 +364975870 +844049159 +1926374783 +39459263 +1021315424 +1087489265 +59488209 +776168373 +600806937 +1249074040 +979663406 +688139489 +930863113 +1003793693 +1760520111 +1472738528 +1225299350 +164973064 +224108846 +1915278832 +1515272276 +60377159 +1159479856 +560168644 +1800685523 +1184034703 +356258642 +185495121 +524528523 +1473263706 +895498530 +889504393 +169829218 +674389665 +928963656 +1191144642 +1761878930 +988451866 +1967313015 +215202219 +90042258 +799492773 +903341708 +1020905371 +1803286466 +516378172 +346160251 +881102169 +681351236 +570269098 +648897353 +49139864 +630646257 +1808377209 +609308508 +283848132 +844928264 +965567150 +469343254 +1369456788 +291347209 +1364841784 +111477533 +461176427 +2039231449 +1040441190 +1652321069 +1653626731 +2028893056 +1472150436 +1868828950 +2118935314 +124159561 +624687011 +992357038 +1927446027 +1141065183 +1338517289 +661064548 +1822416419 +1908786387 +1309961902 +1871556283 +391948996 +970855463 +333381143 +675797129 +1815783728 +1298948293 +1145140383 +1037756868 +1590295502 +362498519 +1149234401 +2051471929 +254246320 +42191943 +1556309350 +1907873051 +2071084999 +880976138 +1629218353 +2042536666 +1005135699 +106421716 +887410056 +785098079 +1247486899 +78443697 +1446162627 +922419670 +1987230085 +608640881 +646492305 +231695433 +1579496345 +979873448 +907492562 +1247796425 +131338094 +2052632945 +138069645 +1721633596 +267647816 +1287304046 +1625621878 +521894136 +1329495990 +1034447580 +282283539 +1253097341 +1915423719 +1911501893 +1148150359 +773075770 +2017923609 +2035560415 +1558173849 +1117926861 +2114004113 +856852829 +2040346531 +1953750550 +1465493710 +539355189 +37962335 +897506407 +1519228637 +945454898 +2145302832 +1650566731 +850604195 +135888829 +1224716680 +1118252012 +1423192876 +702854910 +1640146148 +605205218 +1737302490 +1922429688 +1858302559 +1505242561 +1686447933 +858969271 +130834684 +1556887894 +747046038 +1689008533 +527331107 +713566503 +398377714 +420193991 +519833405 +1863871425 +959549180 +557795741 +613894184 +331294169 +1503250639 +611713369 +1981860901 +206371186 +747602198 +1059093933 +1324623198 +23311426 +1761948843 +817285699 +628516644 +1351767685 +592231739 +339335556 +709526599 +131196024 +1198304827 +840361283 +1688083918 +1945350865 +381886168 +67931378 +511433721 +780263883 +488125369 +1031267126 +496651660 +1447674549 +1589062867 +1110545844 +1778968718 +944829858 +1722259213 +1613345971 +1151201045 +322377764 +524956256 +328340595 +345689190 +139421451 +1145626294 +974205835 +1491189137 +1737858033 +1313541391 +53232088 +1869054057 +364362570 +893593371 +1409654328 +162229787 +1275479539 +1477585706 +673663508 +2055743422 +1965711075 +1704930635 +404911434 +1265901976 +1146509854 +1515457279 +897387046 +2091339713 +1090232844 +363249370 +1095057110 +1412610608 +888205626 +1423397705 +1758299799 +1027627078 +421540352 +585021986 +371332567 +11914737 +1898563377 +424564655 +1880968795 +115442299 +1318158026 +1143139475 +277672086 +446153917 +473241533 +951335595 +354413692 +291468960 +508782582 +759325126 +1557370936 +1655292436 +127298757 +307274334 +1599148501 +1217531602 +670523704 +546721963 +482658562 +1558729331 +1970119669 +93474713 +438872761 +244176373 +678496699 +810205328 +256091110 +429576428 +1234769983 +2137059905 +545018727 +405444361 +1132715732 +822690814 +851598278 +1605957265 +1774026409 +1206011970 +1897426225 +135325343 +1965337097 +1307313513 +1790617779 +2092635854 +1614587848 +1242282633 +1162683808 +137627904 +1789004596 +1645342371 +1696357235 +1611640617 +1738817084 +2135229996 +1855816990 +269830136 +797951676 +2111908101 +699406564 +2032721659 +2101484358 +1244425292 +290682372 +1086716443 +2067116106 +1142280651 +545190060 +1693658867 +200808973 +295132638 +1828984210 +18662422 +1602446151 +1472118341 +2111298277 +1069550351 +566917326 +1126498437 +1207178256 +208438275 +624357160 +756051843 +1820078892 +215690597 +743798192 +1528412235 +485520733 +1541749868 +1492836688 +1184927297 +1426987880 +1446837398 +281868941 +1717670252 +386070193 +201501399 +712467255 +931260254 +1895160266 +913276229 +1226392892 +1576660828 +931938651 +681355395 +901295522 +895753280 +1750905747 +1468212848 +2022251718 +810600355 +1676651123 +499125230 +1566652198 +1349246368 +714815827 +162966742 +730174955 +1200336560 +1704716611 +75527995 +237780210 +984220843 +1522365393 +519649151 +554407447 +1908435587 +721150551 +1266874703 +692212193 +468827169 +32667284 +1918605085 +2045487998 +964605935 +452476832 +799299872 +1860359216 +55898931 +120029072 +1735127286 +866499286 +1796680196 +86768868 +285667837 +998442916 +801584696 +448634579 +1728617871 +2001921256 +5867542 +1804145866 +92217818 +990088385 +1179027611 +611866970 +1544495833 +939979550 +1333017521 +663886888 +1632191743 +1801844690 +696554172 +1403313180 +1699849040 +1661160107 +1855790013 +351665264 +1374035675 +1911688944 +471694337 +961679313 +630704583 +120890885 +1048448182 +916372420 +1119333801 +1850032878 +1365006999 +700468024 +1704470486 +1370874542 +357130242 +1796688305 +213479279 +1536157853 +261071627 +1757975112 +328653756 +1594089148 +274378352 +1960845499 +1248450190 +970932524 +1216675032 +800815583 +484608984 +924981397 +1152480847 +1858644659 +689186693 +1624175184 +672840325 +1319891276 +1745066069 +1721288507 +88780048 +716916222 +1423837737 +1453787048 +1417384246 +980824575 +677177942 +1774514488 +630029232 +890657221 +1163188694 +891100859 +501148686 +1491842450 +337706359 +775527038 +1305204301 +1586156550 +1746459563 +374395685 +239488485 +83584899 +1299377082 +1391969332 +1942229558 +1988563776 +868660869 +467586235 +1160971404 +466243290 +41391094 +1249751453 +1183159513 +1465228831 +556054853 +453060111 +298569759 +1233232795 +80090952 +928598991 +2123890016 +1243279646 +1819699851 +477555054 +587638448 +9922562 +1253082093 +1892842749 +1596079112 +852058008 +119754787 +1835567597 +935642907 +1419131869 +1080053282 +730388817 +1260211997 +1948714151 +1197975053 +273699754 +267473793 +1239366147 +1523451207 +1450633306 +557111331 +2079506060 +1903693418 +855681090 +1165255207 +1983784370 +1784280081 +1141661575 +1079580368 +1456496284 +1619216630 +1667218816 +1466418847 +724815075 +1412577917 +915014311 +1576873083 +1532332704 +603098261 +365032342 +803980926 +1683151543 +1095421159 +2064192923 +1484382046 +145912564 +190409029 +1751855839 +1385278712 +1713860236 +1055005498 +1942390043 +1645882648 +811215268 +650587485 +663654207 +647515990 +287383918 +1805315783 +1727096358 +1743880203 +1277048765 +1246831526 +1062815402 +2001863840 +511925795 +1977829713 +1431253275 +2044258500 +433444326 +1796285617 +700755778 +2116595869 +744223128 +617465053 +1453494267 +890135693 +807874083 +1057866459 +127930757 +374250671 +2112871957 +2070320800 +2020133320 +776603577 +573424637 +536303879 +1424119567 +860808555 +194136014 +1003732277 +457205110 +1471184779 +103080155 +1520020512 +1325564971 +615005950 +1350366578 +609334598 +511780802 +1783810904 +258136567 +1212536580 +1752923126 +1002359696 +1830001634 +1058933745 +1892495389 +490392069 +2116800204 +2020426146 +864642740 +2082188513 +1943263298 +737292412 +711308442 +369204287 +1273596292 +2135428009 +1230012842 +1467732306 +991676638 +1687217953 +791433438 +1094756793 +1059754817 +2116998409 +1709762744 +262637747 +578849360 +74059898 +2046448652 +836985927 +1286596479 +1651888130 +1839345623 +969114465 +563338227 +1584357364 +1459506534 +532654784 +1457299862 +176665626 +467359649 +1253079512 +913958039 +1178668092 +1622283799 +40070683 +1166612453 +704812994 +1507802989 +10805444 +244547299 +151752779 +1105562237 +1304302116 +121267541 +667841333 +1566939864 +700116901 +741901232 +1465904868 +1537102828 +2028497711 +970309350 +1228964804 +850128528 +1533647577 +665838520 +162151414 +2066302361 +2123138383 +338817040 +386178363 +1228734247 +1252775079 +1564846455 +703534399 +1292845762 +583975260 +1408347393 +653165104 +594780704 +1652894692 +804917883 +1700342942 +809713160 +926185424 +220700627 +229169376 +1626302325 +962601859 +1695074244 +1015921506 +843615922 +517899946 +97402662 +1693744450 +2051547524 +763241182 +1855895864 +1970366237 +738895917 +47229257 +209060952 +1967630165 +1300004336 +1773907407 +523680916 +445366451 +210399020 +1932028309 +1098531555 +805179724 +1437439353 +1903449438 +358039018 +99668865 +682151215 +578739646 +328838242 +160969892 +1541341505 +2023912486 +1176891398 +237473780 +394328785 +1274294060 +1931218230 +298392661 +2037535243 +1639630447 +121275250 +628947512 +1686859704 +330336203 +449094029 +839380392 +2104243610 +972774945 +1284746843 +167158982 +757319606 +235794750 +972338707 +47275311 +2139244189 +1330377725 +146944177 +673911756 +1909117371 +475782419 +834881648 +1302975229 +352211257 +2011773047 +1540449009 +746540042 +1138583459 +1324183591 +1044932703 +1028635054 +816330390 +1166207954 +1657582567 +355706446 +1496544157 +2106676596 +1195086839 +1453304119 +931967894 +332350034 +1620463102 +1689287500 +568144785 +445318161 +1736562812 +559905326 +1775695886 +1883506989 +1233817082 +1537329610 +211805760 +2068698730 +692821191 +564017017 +1932988129 +85786552 +1310557060 +924087941 +1409970143 +208006115 +1952722995 +78816886 +1374214069 +1462821914 +434523332 +723274578 +1422014863 +1629610171 +29095050 +206499109 +1961960206 +1649558152 +1895786609 +382621343 +2094876313 +1484865773 +942526669 +1723088551 +1220889114 +28860103 +1112934513 +1432694874 +2097558833 +1805755704 +1996711892 +1883063315 +1891542256 +1159785304 +659667608 +1154028752 +1367791419 +464906955 +1232845638 +594521841 +1927728870 +1667368970 +1317796419 +1202260085 +1149495494 +1346891469 +1408759194 +963972052 +848965973 +1157062155 +1346593395 +796358638 +494444281 +141636416 +371963542 +1715333395 +170496519 +1484898055 +1000544622 +120571704 +1143170112 +849772866 +2003635019 +887228720 +2009558170 +515818979 +2041257472 +1229865941 +980725935 +1126619462 +1824387782 +760971157 +646504785 +994700554 +1963231242 +1796000279 +194108375 +1224506788 +612488683 +1043074349 +234085295 +1959082078 +1839432987 +728529576 +2100718494 +63912881 +296379324 +123731365 +1548810937 +1296923946 +244303069 +544497401 +2146696812 +100454441 +1431726121 +2008771334 +616273420 +1325499946 +1091153627 +1596999355 +304635760 +768057762 +210486864 +951140545 +1762758316 +26234458 +599657176 +1956866691 +1250741246 +1212145859 +852457392 +1484826542 +1023744289 +544406732 +65872470 +976979135 +608319613 +362251794 +1100710500 +9646902 +1659175740 +1345013570 +554144303 +1658388904 +1445468011 +1985870425 +1519676590 +2061741431 +1163886723 +463346570 +1511257139 +1468522483 +1231404332 +1721744003 +272179381 +846679000 +1747978462 +871836557 +656062043 +851236060 +2083982417 +1508519436 +188578954 +960243058 +2052926168 +254451425 +1937222194 +513762133 +616703219 +890449046 +523409036 +128395312 +87978968 +1077553339 +1786784216 +1533446979 +915940116 +1158977159 +1447704763 +2079826839 +1622323729 +811478254 +1400865675 +706244413 +385738609 +1673045056 +1552923413 +2133717071 +397397965 +61501808 +837469484 +333896734 +1570021244 +1026048438 +1294139793 +1475463764 +1280499863 +1083878339 +1989225898 +1897203083 +1974327385 +365151286 +2025598395 +2062306354 +1442704625 +1664898963 +1448269685 +211161094 +676392474 +748490800 +143504285 +151232555 +1559969054 +1544369960 +857476968 +1945707664 +1069931368 +262916733 +1931941087 +1467329334 +324418542 +621926923 +1801226068 +1894439786 +1647975362 +947882213 +1222419903 +780991577 +2031760552 +1064162153 +530711012 +1858604290 +1429313439 +408825759 +1773426996 +724534416 +2073724723 +1074213033 +935695510 +602633549 +1822703834 +1079199796 +753866105 +1235189240 +476086108 +1611343073 +1033413256 +1546017477 +1874259807 +817870696 +865863163 +51194701 +1439797619 +519605583 +1945634487 +940289333 +1467487797 +1020570742 +1721280911 +1351764701 +2084732895 +104508275 +1062885343 +1366562686 +513334035 +688828691 +2091097103 +439575110 +1763041725 +879308965 +1042208659 +1438261911 +1958508761 +1796074764 +525967503 +287111222 +1259934190 +1559380760 +1833128699 +986710349 +229767808 +551508214 +1037905050 +1669565427 +1071113797 +836055889 +462371113 +391117946 +1856626632 +36168376 +1742882648 +1793875879 +140676651 +658284343 +1012954918 +654010686 +1347113035 +956568373 +1093585796 +962671112 +1835877338 +2135794456 +253449375 +1646902452 +1784385572 +779416878 +1934013674 +896836114 +191313990 +1619658725 +1883546463 +421081798 +23683291 +773967865 +2090647226 +1094797088 +1610023755 +405534691 +1485915035 +1319166739 +441703067 +1081314035 +965558970 +582379718 +1739598378 +1978513888 +1236390405 +939227765 +787598613 +182492553 +1901898877 +475992304 +170803361 +7864604 +2122894756 +1955188934 +787281483 +1909424782 +704541400 +978595473 +1381599859 +440604216 +1399677272 +1405283150 +1214572081 +1342840850 +352596590 +677112188 +1748375541 +1838511625 +1996278927 +42594960 +772342012 +814354250 +624974678 +364456743 +645384490 +1861365083 +1303684508 +1432983104 +2043857637 +1058099738 +1908975408 +67177350 +1065964342 +1884386516 +2022366284 +1853245825 +1646327650 +579424037 +684357651 +880443861 +1020028253 +2084034923 +138243363 +87116686 +1279392125 +490839953 +764228875 +880284018 +181867931 +613024154 +922878978 +954209943 +1427378404 +1547853656 +1318666686 +2072762895 +1261735092 +474867547 +1358262351 +1158109081 +1532967285 +1119754111 +1225286431 +451447979 +856656979 +1100169068 +157210157 +355500981 +1679593105 +841567808 +1235944842 +552137710 +778119083 +1374188205 +639254396 +2057511208 +1865028158 +1403483271 +790311578 +2046896089 +2016507426 +1713190556 +853622385 +1296402182 +1113560564 +24805423 +1221681429 +227812008 +499672970 +432460132 +1385921089 +2032640255 +1552214243 +463723873 +336604587 +261387574 +1563892941 +493814744 +616888555 +1096002398 +1335382552 +1852833397 +1648140108 +2113501635 +1079537954 +139910856 +2023529195 +797082465 +1543394128 +666357125 +696494906 +1412417906 +232064033 +1550117291 +561336440 +1345624597 +1574922715 +1783017870 +1573436606 +2074595685 +67994354 +811874047 +1959752293 +1620208598 +1275597920 +148873232 +1881596172 +692007213 +642687976 +351001080 +1788009611 +1978070528 +56350829 +1288666071 +1944088515 +1135888784 +1428576928 +1820134062 +1932971249 +824487408 +339007539 +481982507 +89421666 +571071572 +2032099799 +650758106 +1916696169 +1459538866 +286292328 +1342649127 +1386650903 +354286683 +7039527 +1198919548 +1974495281 +1282637447 +1347792780 +1708607805 +1974644661 +1990480756 +2059608885 +1615170624 +1821067636 +2115959715 +756353048 +1617672503 +1104364851 +37446328 +1290322917 +889852452 +861933736 +1629330456 +1371834959 +951355402 +52918380 +1256451110 +1602113508 +1969614550 +568506328 +1888405837 +1164780029 +1955157232 +95208872 +1171819556 +1006593132 +2069704153 +306973356 +206902265 +1630828310 +134134369 +49899373 +1542953548 +1749304993 +1870967010 +1511429615 +358174393 +1341155865 +468310818 +395620721 +483995135 +1358163270 +1257554457 +2113325591 +582514581 +61426211 +18760324 +1838965692 +1663539720 +1988374874 +259988372 +1404461909 +1005671255 +67661956 +1499670781 +30007164 +1074255089 +1421891286 +336980520 +1281157354 +905235948 +471114889 +1331056727 +300705848 +72936234 +1054540089 +1812135463 +431110628 +248212307 +132962633 +826731349 +732207442 +1491125903 +2084285807 +698049385 +2073640485 +2145712018 +716809709 +1765122529 +1661768090 +557700935 +2025110901 +918746351 +1563372191 +2092772858 +270933484 +1593379355 +1019544299 +1692824770 +1930359875 +153218005 +450577071 +253991116 +1484274732 +751282919 +326927350 +391331174 +415934735 +758037978 +639543481 +548897368 +1584769328 +1371750923 +2040023272 +1521571487 +2069800308 +1966180109 +1519799857 +639126370 +1583818990 +1034084300 +1196827305 +1461446243 +1952830651 +612715848 +1406735453 +76280488 +58611555 +278796104 +1769105258 +1988971430 +432014109 +72198681 +95478898 +1916288842 +823481601 +422406249 +160136368 +1239416336 +1180444227 +799679849 +1788313704 +617729907 +23947124 +1680853328 +2139301394 +2093747432 +1499549789 +1511617604 +585390154 +935885131 +398218256 +1782217460 +249847727 +203565259 +247449660 +1656583180 +279845747 +306061216 +1935379285 +2048951006 +147548998 +219909746 +2121149687 +243027897 +2136198588 +797147640 +665434146 +148851308 +2036563976 +1845878373 +948531157 +1677394033 +316124633 +972478281 +1210763713 +307942379 +918742066 +562829855 +1819559983 +1504132220 +1498714986 +70294591 +1138866032 +1748562713 +273859851 +1386315693 +1257662246 +553705598 +1692376909 +1045557883 +455172956 +1839925907 +1265467629 +428838996 +2082953804 +1254182570 +1225986636 +600904302 +1403033878 +1115066965 +299299028 +204081388 +644977350 +615423661 +1176559669 +1855741063 +923366040 +2095301735 +271087270 +595442376 +1451950308 +1769802257 +665736967 +443332692 +1370881322 +939596818 +1829648385 +481059920 +1493302417 +1374541646 +1526617803 +1948475373 +1066983906 +644601785 +229830721 +1002454062 +1898784355 +1455817358 +1603358365 +1154334585 +423400675 +1902657393 +1358415973 +1068378025 +370597406 +387491995 +776635440 +1293963446 +335310082 +1047722711 +1889405822 +1787260390 +670041320 +407659142 +83109435 +2040922642 +1347255960 +1912757820 +374498915 +693074729 +1139815819 +1901116718 +494066455 +59316077 +398234855 +723897176 +1061770139 +149535562 +32230886 +517644856 +1303870148 +455631561 +272818601 +514802473 +1524009586 +643416007 +902294468 +153161379 +1937379454 +1237604551 +1200884090 +1679301628 +877381293 +1870925410 +2086960770 +960490728 +1764364404 +1286733083 +725764901 +2138863319 +1979807812 +1865580720 +1892496390 +326390619 +1924896797 +143247597 +1050287796 +839183288 +292783160 +1082518682 +1356828145 +1596653308 +1538150244 +1629646746 +2111455781 +914676182 +125579106 +866266602 +1067837561 +2062958560 +2103871153 +121238003 +1594776540 +833768798 +1992163413 +1534253663 +1794259527 +1609044170 +673503098 +372540780 +1600423841 +505827262 +90637852 +1345436583 +832217882 +2015534649 +1488684181 +1882505678 +707234289 +1781467341 +817540712 +2064062434 +1230637001 +208207308 +1546225533 +1194609134 +1122883491 +1671804639 +2060875736 +43237404 +1587279551 +2017263241 +164475408 +1034572443 +703548392 +9155173 +421342458 +350324271 +1618199343 +1094845556 +722865051 +1071139537 +1600672819 +813502903 +269092472 +285407053 +681553904 +1757776653 +20429083 +1388788193 +1391760346 +837969795 +1305366980 +474913699 +1046177104 +704108865 +1669522834 +21576947 +228429856 +1582914922 +64814351 +1815709407 +1452694516 +229289759 +702798202 +8759260 +238444933 +1124140661 +359083531 +1856644276 +71502569 +1081948582 +780300165 +1672175388 +1895451485 +1049392638 +1957582441 +429521741 +659685643 +1978011524 +1818309934 +2051445990 +668497672 +976193266 +378876041 +1714674776 +1680302131 +2048398875 +1736251723 +1908731987 +1483830150 +1801066074 +1576957746 +789041018 +2030355834 +132272301 +797800278 +121317119 +1256412962 +1156883809 +1977961395 +1327915531 +91348743 +610777913 +852607272 +1986800228 +1660170551 +662706065 +268838321 +172372546 +493233942 +2087148255 +76334888 +1161731614 +915857874 +455210930 +728922742 +448676357 +356126157 +317690817 +209924697 +1839956307 +2118756891 +1786882443 +481513677 +2001629077 +1919154744 +1279313955 +2122946196 +1028084058 +288714116 +1953423944 +208515942 +380062859 +416718209 +1061123214 +219379439 +2076888760 +1723829279 +488217760 +101777658 +69579573 +427882368 +178112547 +1231311187 +1343740242 +633323477 +1960233929 +1792416599 +989449634 +130441098 +2002341296 +681922294 +101714342 +1641740092 +1163435971 +2103343419 +1413411188 +295266279 +2078805968 +294011599 +583980395 +1884746264 +502527541 +964043255 +153980825 +1563650755 +1183422694 +83385937 +1139996386 +1671640455 +185163595 +1209575960 +2099522823 +363276142 +293403499 +1295779417 +996599619 +106153781 +940712368 +1986049254 +236594879 +795570017 +520487900 +338309221 +289826461 +1683923871 +294168993 +1703237649 +1979190150 +225491313 +1997249248 +415686898 +2110237577 +352293141 +1379730153 +116734754 +1915943896 +415669199 +200120691 +908456635 +2087309654 +385284286 +2118032595 +2039348829 +748560429 +263952446 +1187644598 +1745160048 +370106227 +2128356967 +1583725654 +606701107 +776443336 +2104213554 +945010328 +1066269797 +1640653778 +1239179321 +622023798 +1472360280 +1464670634 +471789399 +1888047178 +1427424563 +824082540 +1120293683 +1544159317 +592542789 +1535962883 +1744280008 +1500999424 +1475788889 +2129564295 +1471548371 +1367654071 +730641076 +1735500817 +407815021 +328317476 +2105607045 +388688340 +1912043131 +564824504 +1165131676 +1868773037 +1509834832 +83917825 +1361943167 +601530506 +705941624 +686819800 +2066201140 +1177731023 +427383330 +1346142056 +2001813563 +1547677014 +742817725 +446872704 +936156249 +339614086 +1947872128 +264461490 +321694733 +1271936851 +1632115561 +1052335809 +859954021 +2039930583 +1380653285 +818077418 +281135275 +1145212768 +1382901922 +1446266952 +866502158 +745253106 +1530184777 +80961677 +1346783612 +88642753 +767781477 +1265501105 +1266373776 +1195164808 +464159513 +1120703692 +595358174 +1206977238 +1567576396 +1531514423 +1546591324 +1367964877 +1795975913 +1868286057 +492418080 +1280607827 +773138218 +1352372101 +1173054762 +6307856 +22965871 +1454190037 +1151520624 +1405867793 +752973341 +2018022782 +3637252 +135674471 +2098984460 +1350420864 +224317224 +719282289 +468438321 +1490691001 +1914447097 +932597834 +463911045 +362321623 +2139575073 +2031487441 +1893836046 +1538682749 +1251968670 +1542328312 +1259485159 +1744386751 +675452491 +2032623377 +949275204 +1848507253 +2038931233 +972241076 +1155213642 +1042968210 +230625221 +1908186984 +913507344 +234262473 +2043861455 +865008156 +1584683338 +120695031 +1584290446 +2053121659 +1611386032 +1351253895 +838235846 +2075297077 +1713575519 +830327271 +1959300871 +1459927917 +221526372 +1063785893 +854772581 +1481011531 +660688996 +1530225072 +1366151261 +1609964201 +1231248677 +1257598846 +434721629 +238978672 +153083408 +665346850 +2147165656 +1066590753 +899609324 +2043543463 +1931598909 +336809014 +16754846 +1368405707 +242447025 +1628140879 +572175955 +1080682871 +1555954308 +138267826 +1911010142 +1367771531 +1598195743 +2132536515 +284073777 +305484677 +1466064398 +944762773 +1835709749 +684732011 +407243326 +919474779 +1942330858 +841964955 +1158453451 +2095414266 +1507311806 +1158135459 +1014521371 +259437482 +1054195274 +798636633 +596246496 +1070950120 +19558692 +838693521 +551607351 +591734647 +1919376393 +2107561660 +730002473 +1682902887 +1327849543 +180714569 +1667955754 +1611923320 +486199246 +986536505 +409202446 +174425347 +1671268516 +816445772 +1093900126 +1466115726 +1658410728 +104869929 +1414046345 +1018238886 +1263005388 +281084068 +1277676368 +169717014 +1079720701 +1873922864 +1240667135 +1099279394 +565132737 +1792274486 +1691014041 +337025482 +1752352498 +273532867 +2019928370 +932718394 +454247436 +1540400476 +397158066 +940446682 +379453333 +806360512 +1114872029 +2050721850 +1622806285 +61288508 +1369353928 +1133733365 +166158437 +635916625 +4488603 +1429163826 +917000694 +1282164971 +1598880840 +1996721395 +1008604187 +692064327 +948517141 +1573736924 +336855166 +492047535 +1910762407 +2089207664 +765580402 +1783207129 +874442410 +1219827838 +1176123957 +1271600477 +12790872 +1555577291 +2077960989 +1127662901 +1458815493 +1553283626 +1188951409 +680685773 +539533343 +1355109847 +1316602399 +544021946 +636790025 +86119445 +1826186917 +88187217 +2082840840 +687307456 +780251545 +883874334 +113560733 +1117106711 +1375921869 +2024323140 +1058830727 +2141502271 +1660046621 +1933273138 +1213846461 +688686930 +1057389967 +1226637333 +96780573 +987867308 +206816586 +1555596066 +393667287 +1395767996 +88798192 +933200630 +603394195 +1405400591 +1477222577 +1240184220 +1491520036 +1155925846 +1328371437 +1426877228 +1843233303 +2108622982 +163267914 +1956794036 +1078246045 +1539189783 +1833633528 +2137076773 +1533208406 +1346196501 +1922866263 +599571219 +2034883431 +832772582 +1826208552 +2131664005 +1820639890 +2033025139 +1539776423 +66823529 +1281309487 +1628574615 +1000024160 +1884703682 +886491558 +329763089 +977404254 +230527946 +1485688935 +158292043 +1657405175 +1181438590 +119431378 +1820673089 +990748978 +1197677423 +1212379225 +676898858 +1187270548 +598103983 +2023095359 +962653163 +1197675203 +1910495143 +1795425745 +876400107 +1894675500 +1468581988 +761941598 +1286968275 +1535405517 +2043251085 +768059243 +387946029 +1780471119 +1654550801 +717709118 +610391725 +1885078748 +55914406 +768683769 +1395000275 +1237352996 +888115147 +1068189716 +80618327 +2085792570 +133085293 +757517185 +1125579471 +731189277 +633128897 +2088232634 +1928864480 +396140392 +1736174732 +657780939 +143332244 +1057273072 +1419722538 +1430300519 +445194941 +1315489975 +50876114 +833140971 +948477447 +1705426916 +1550850089 +1558869172 +1443022016 +1606764495 +180069293 +690538643 +696633844 +1068184440 +1758728359 +777252171 +1006493363 +1891813653 +1534769356 +2132072834 +475519282 +20414605 +2072821820 +256900114 +416554997 +1661512904 +914681053 +559887241 +571302328 +186919943 +1990187761 +1016497270 +1502409919 +2041063875 +1849638241 +303403718 +1599007143 +1253004682 +1862272890 +894545511 +712285530 +2042342184 +1585084154 +1408919374 +963042976 +1196328866 +38687897 +1969536339 +940658871 +1573457253 +1954125525 +1416178153 +1593871859 +1879463698 +1673078267 +2010426856 +1393492954 +440275672 +422830450 +1964795283 +627195616 +265534563 +833808905 +2129605535 +159114790 +535963498 +285525605 +1758121934 +1788968180 +314847 +505183797 +353770062 +2042657031 +2090267952 +1762689436 +858216360 +1139113170 +1801377333 +680269051 +2079772041 +1227350939 +486910929 +1348466546 +673739150 +218890979 +874061165 +536682358 +1612383933 +1314336837 +959512808 +1429695568 +1941532453 +1225047371 +116020825 +1923654340 +1384162162 +651984323 +61696297 +994800448 +293468856 +62011145 +1499984245 +647238918 +2104668176 +1442768549 +262444707 +815400888 +434398071 +2063822040 +1495669940 +366686464 +1143689331 +1982580869 +1715153010 +1817428481 +53988200 +441730527 +206627192 +1666372133 +1756067365 +1166140000 +948584054 +1550116170 +243703724 +1064604879 +1326286863 +1627865886 +1716589203 +1387983160 +475182686 +2010058059 +1449994305 +1975166931 +509813329 +1407178834 +1270451833 +772258036 +75096074 +1704849904 +688596429 +1570766014 +2071536369 +1832285760 +1405863235 +1639205731 +1502230594 +1459851435 +2080936259 +1708857786 +978739921 +1689519976 +727514138 +1927323975 +1092152498 +971217862 +844445206 +270955713 +451600100 +413550761 +1658938874 +926782786 +276125172 +961449531 +754466070 +785938502 +221144717 +2024917903 +1558196538 +296240792 +1582284159 +99309319 +1867006806 +1506336880 +1931595080 +1125386394 +998058964 +1286342026 +437754181 +931511575 +847716164 +1416494102 +473547903 +1575230302 +1196334429 +1565700401 +398964517 +2040779636 +1836656115 +850564617 +306846749 +1348111341 +1777347404 +582971922 +162077224 +384329826 +1368910424 +383221942 +261764081 +779623314 +679462734 +1844048240 +878932634 +398985892 +1202901473 +663044066 +1524372286 +53476789 +1949386092 +1962126468 +984988364 +649618608 +1231136922 +1458536267 +77365262 +279987704 +876753020 +476329779 +173283692 +565925487 +1326894397 +480130441 +1914036828 +956758153 +1063102363 +2076114053 +1341087979 +284529139 +311852347 +1602852060 +1064152454 +991315081 +1299416652 +1943085088 +1390300973 +354834477 +458645506 +767189612 +408311266 +260547950 +581832432 +1393299630 +910166558 +1812969354 +704352249 +987531820 +2092957058 +1581105270 +1463861600 +118757102 +2147030757 +643272349 +598887544 +1913583938 +1600030502 +1661989907 +1842214343 +793634833 +1946519047 +6583042 +249003245 +863187853 +997898123 +1548419897 +658789293 +240715448 +1903254375 +1117434799 +1007905060 +164081993 +1377982749 +1589737492 +1557381624 +140665659 +1255223199 +114250225 +1128197479 +1200696609 +1695355495 +444575431 +1319453712 +1694902605 +1087847780 +1918341256 +1461002895 +540394634 +1432847515 +1155733590 +1334029467 +1231882914 +1162316632 +1583032712 +2095070767 +12731107 +983968962 +606376412 +253446555 +739739689 +1723811211 +1261351616 +903821682 +954310312 +703605460 +313719658 +1094975971 +1958828659 +427969884 +75689803 +1012041621 +2123325379 +520265234 +184011685 +1670744336 +1608113015 +2102352941 +984263583 +1024001 +1387716808 +2139997173 +1335053469 +472116075 +1154830157 +770602533 +419703194 +1167561264 +1754571495 +1026079607 +1421007820 +346827536 +602407170 +534875788 +1250649219 +1556717483 +1238481248 +1564368877 +504209806 +1049826260 +1992338761 +579899609 +2061867881 +1968180493 +1100164844 +98395918 +1491441181 +560794211 +53265211 +328221117 +561818212 +1440982019 +320734642 +1896871681 +1913098094 +1475564800 +519990567 +185317641 +495642416 +127078414 +1211397248 +1916650236 +473905951 +1813804418 +304042376 +1724555170 +1223038253 +1542523625 +1141440399 +1727248060 +444866237 +986295513 +159664021 +359250470 +806992358 +1259828865 +457646388 +150949891 +1820623076 +510911599 +479171008 +234957641 +1951893618 +799905651 +2131829322 +1717508065 +127986803 +504336241 +1902825706 +623629219 +631414656 +966739306 +392795808 +1105320607 +633060076 +696838184 +682392129 +1856098330 +91878161 +1823832528 +1435862742 +536744398 +662644393 +1595526763 +895994868 +1469636751 +707871981 +1353641256 +1620586643 +381011409 +1864552855 +2099757651 +615969050 +1668962826 +752179654 +600314725 +1238987243 +880166457 +1104650966 +994329301 +1503795677 +1736065622 +1961068607 +1896591485 +693902581 +446645035 +445946021 +1376294710 +155259717 +537824183 +1052643591 +1591122459 +1074568581 +1715287984 +1039165575 +1970563450 +1037441088 +1747037556 +1176721058 +510544083 +2128048965 +893790266 +462818086 +596534368 +415269444 +1214997741 +1196849093 +1654256687 +2095164198 +154016411 +501102340 +1451476227 +1890082034 +314687299 +1200584064 +436500967 +761332334 +1646530086 +1812795678 +916592052 +36870621 +717955621 +360230863 +1111439202 +285759957 +1399396438 +934519004 +1323201045 +998950346 +2111240063 +1833745128 +979515664 +857546681 +149079567 +1576050032 +1272816125 +1364077308 +625415477 +779589164 +1311757858 +779431888 +1280691504 +615750438 +522030274 +1595378803 +1816334502 +958531242 +209227489 +1315380940 +623843272 +1125819541 +1352251561 +1341798893 +1486050405 +316207116 +1627558850 +737963195 +1250726120 +803276248 +1736913542 +1214482535 +489537728 +568945558 +2072029216 +638617295 +2144995590 +1197361693 +2002694603 +622927419 +1976950857 +1166968814 +1402359307 +1110158713 +1782719252 +1924389582 +558053868 +1451570106 +735437176 +767281358 +619467399 +1359280448 +1893100899 +1971718960 +553595693 +1231667656 +140442428 +33670895 +1969630852 +1391168549 +836947143 +1559060746 +458167436 +1326484872 +2128006304 +382713005 +1965102167 +2125518246 +1580074698 +1820313123 +600962017 +1409541908 +839798289 +2003321324 +372216973 +475033893 +1780227258 +930270842 +1926603999 +368180786 +1697552200 +398587750 +1727461234 +1443169451 +222823063 +133573279 +527353460 +363265491 +167244175 +349500664 +1754434040 +1004191318 +1908561410 +65117829 +183192542 +1889084066 +447830834 +811062 +1867118664 +2027905532 +1821124185 +320597033 +1289963792 +513438826 +176434709 +1662180766 +988472719 +1956661968 +444967960 +767593070 +177359106 +2142520160 +1166180821 +1904820341 +1438205963 +1389003884 +2038393620 +1965559423 +1752269375 +58154147 +167576439 +1359219768 +1062345466 +2076137849 +1424337597 +1245538008 +1817738267 +1872168431 +1246349070 +1537373283 +1752590315 +919989607 +1857970316 +895070460 +1433428433 +2034405026 +409767578 +274417504 +1843583346 +854735538 +1042010575 +2020942452 +849772050 +60707748 +1778279145 +140494365 +1449711632 +1669189118 +2106053789 +1054497359 +1727343265 +126146580 +266233479 +642205083 +54800782 +1690571076 +1887743092 +1872539049 +1415255859 +986608514 +1262428685 +1020362527 +1906598122 +972915353 +1915432987 +1192542907 +859836731 +177716917 +1466960412 +555936429 +1032452455 +361487339 +429395234 +1882224505 +422195087 +60190731 +2022718870 +1871906719 +1729379849 +1981289011 +778920430 +1309239467 +2107435592 +1045153910 +1951444550 +14752726 +588241338 +1691703994 +1887291775 +2003497198 +530828861 +1002236812 +876376077 +289943335 +1975152166 +644325416 +1482486242 +687505249 +822042333 +801963006 +1243441679 +1854494788 +1163450345 +1672836913 +1589235645 +1585645432 +1733027644 +1464470867 +1310068503 +1314923846 +1298276231 +2088988934 +476679665 +1258228175 +986659196 +280640567 +1272980901 +1574900534 +1972344562 +1012789028 +1430914084 +355689775 +2015025841 +159806513 +645633110 +1842694359 +804131929 +2128119352 +382715960 +1626174262 +782598711 +1626157639 +1333185402 +1946049056 +1151510904 +774937399 +1384210841 +737054901 +91924619 +546795696 +2051978747 +1390200850 +488300982 +381174764 +500945377 +1474960178 +661815331 +1773926278 +902377065 +486676245 +639231658 +185807501 +842366020 +506773851 +345614015 +1487999130 +201984562 +1149745944 +1468634835 +584700523 +628436559 +103749898 +63374514 +1961621961 +2049798954 +1214885419 +589075713 +1286526147 +1951940320 +681000332 +1833321844 +1856435419 +2071201182 +174139178 +90126535 +424662911 +1649099357 +751941866 +51105541 +403992774 +1238618112 +690337199 +589800275 +2080984132 +1197111051 +935414290 +1421499615 +1399095613 +2085160235 +742650802 +1983796136 +566113146 +846400700 +2047170651 +380251459 +748716006 +1114572422 +969327172 +2035242154 +919029094 +1650327504 +1721080350 +627980865 +1574045038 +1895219528 +718107400 +1998707949 +1396835237 +1470049266 +2049813490 +1800828011 +561183730 +592667042 +243144639 +494684215 +1789778093 +1178558929 +1916183830 +1041390058 +1116235516 +511350984 +877702547 +1682348662 +1357751684 +777389550 +2062600122 +2106467690 +1891961972 +884443646 +1994226196 +663507418 +387287503 +1567822898 +1291488283 +1961332541 +1315558779 +2009595683 +1812556843 +564910368 +1332161301 +1714886685 +218254732 +1893345032 +160070079 +461399371 +240545599 +1949848172 +1639958300 +9245781 +843754583 +608710169 +520596765 +1721457130 +143575183 +1878348449 +351363032 +58691657 +1837332491 +95841356 +943135304 +1684075040 +759348774 +1330422807 +1104414290 +2050837057 +1144271700 +272489421 +1912949092 +809344895 +837399790 +1097626745 +376747933 +1055654522 +843488129 +536818012 +1517053893 +1084033728 +339182537 +1009528545 +1093279509 +1182937120 +1618238714 +1613876274 +756910602 +1761813898 +1344741075 +1108273634 +1820505555 +1034589919 +1204114990 +616157211 +571181311 +1963463764 +1946580018 +1675595601 +1866817173 +943368071 +1948085023 +1632282617 +1752712966 +638001165 +582425714 +2129460899 +1693655687 +1425913844 +518795264 +1063225932 +362463924 +857977801 +2072754477 +1455743434 +2040914921 +1543509544 +922136060 +650341875 +1157839794 +119393488 +1758615509 +830861701 +1153983407 +815246851 +1447018913 +1725164718 +631226967 +1246115283 +1253276671 +350560492 +41999706 +1053878046 +1982843109 +1794712673 +1691879211 +417785175 +1776689924 +1238051250 +1843699019 +148001540 +153793534 +58679296 +1005979341 +79064364 +1514422730 +899410614 +1622573908 +289075142 +1549752489 +632930054 +408468630 +1160884350 +1463791755 +1562452037 +1976131201 +763327020 +1140133107 +459874520 +2009442304 +245926131 +810435012 +2051442010 +1299804177 +645794473 +1698671035 +844199741 +1063579649 +1327877312 +2082250991 +759795020 +1475878852 +88560878 +818474316 +334374546 +167625242 +185413398 +1233785160 +1790199150 +474488541 +636054002 +275645556 +882957171 +1796938352 +1739437311 +297925561 +1625585906 +355280684 +1438058668 +2085460426 +217239340 +1683984799 +748411791 +121197702 +836305329 +1394206264 +1819868738 +1680505070 +310302265 +1000262402 +1615272413 +1070097286 +328657606 +1703833291 +1888571602 +663032152 +1871458533 +2073985001 +1896817313 +1514174035 +400989894 +385387667 +1789819591 +1283947065 +34842371 +1381773255 +1581872626 +1660428277 +1737053939 +872447647 +1598405056 +1954293279 +408948798 +199333199 +2075490981 +1245254127 +1593539463 +1747876071 +778275549 +1903841729 +600654825 +246064315 +826455367 +929312432 +1949897606 +567543321 +1592344584 +1673872492 +494044674 +1341678249 +1040562879 +895034568 +1727065916 +682898823 +31497986 +1761908288 +2064672078 +1613370612 +1274852917 +1654242369 +338334611 +725774325 +1461052000 +747283410 +925107524 +1389059333 +1992537537 +371163340 +989451757 +623329439 +127521421 +1590106582 +869393754 +953976788 +371935366 +671807712 +1521520109 +1964279951 +198196556 +2015564784 +1158474552 +1238759436 +763115704 +738056821 +1921658259 +794613690 +352481461 +1838846689 +260500655 +1627334378 +1345605410 +598835266 +205625056 +659173762 +1346118676 +1130732580 +2048233095 +1191172566 +1501895920 +890201204 +1814502005 +1629417341 +332824139 +536412111 +435910481 +704759505 +1208219823 +1957430591 +521555808 +1406416380 +1825511727 +1680030361 +497692168 +441143783 +270603534 +271866779 +1235757474 +623084995 +2110713468 +1496258129 +102935725 +1308835230 +2095093395 +308560781 +1968008992 +1293728424 +1439293362 +1868758439 +337417342 +793705634 +611475996 +4435699 +275639328 +944300135 +540847810 +711549809 +1649059640 +1749067633 +521496752 +23131801 +1008000365 +199524831 +1703162162 +1505692533 +640668615 +1973765696 +1777559312 +1876426089 +449367043 +1740789132 +1225200570 +552302768 +902140714 +1172810317 +860863550 +722666058 +319055093 +152673264 +443940850 +656472435 +946378898 +1055416846 +660908134 +1222018226 +1999716981 +1201755944 +1933568036 +1501292973 +803339930 +307581140 +1524424774 +1811340295 +507105972 +1080103288 +1169549181 +1147774587 +906385336 +799624845 +876717028 +1355752379 +392930330 +2101917598 +1908055148 +1295071044 +1127244267 +621435050 +2017737103 +1446299361 +774108314 +314194305 +2102771796 +1720487212 +1369611151 +616196283 +795021791 +1221844484 +1817952227 +581106179 +575653809 +473808509 +888687319 +2100078584 +137665157 +1395793291 +1032698224 +1307214338 +396084230 +1939083561 +2106839183 +1272801258 +1147352292 +352285865 +1227235208 +907923792 +1647356910 +206995828 +1529358842 +1517610365 +1653295189 +155983508 +1831804670 +1608583337 +1876470721 +1053932173 +77295972 +524008864 +128293009 +1895248200 +1105115043 +703946818 +221573061 +1993802362 +656541754 +359238218 +1242112006 +1689239979 +1666452556 +1638196236 +1480839892 +1625808092 +763513847 +480708536 +1978093957 +1990749055 +1388632329 +1477967219 +50261235 +770507523 +848093936 +1703556424 +926491032 +532414958 +1164656114 +655478105 +1586347131 +1241952086 +1179486969 +1714640140 +989716638 +137118364 +271103311 +1211289700 +2130920726 +927645065 +1570527918 +1225549084 +469401396 +1089496827 +716261673 +1950241288 +567821271 +1479775520 +283466177 +398431580 +1323040927 +1672098506 +1876398800 +1373302163 +295122381 +577009088 +929374939 +1221613413 +1109424047 +2094031053 +1877091518 +548287530 +1188499492 +909094839 +115444023 +30732482 +1046213203 +386547334 +1242022182 +1029650282 +1314192399 +665066453 +107715718 +1783593796 +1754563280 +823977391 +1586351436 +174900903 +156269263 +1869817613 +573332483 +1479310191 +1394432471 +302247635 +705128706 +1689554853 +879256724 +1634503645 +763684618 +1988680771 +1581051051 +493292489 +389484653 +622066895 +1402387328 +504928676 +652799377 +301116884 +891476010 +1894821560 +1330767166 +58184762 +412404365 +1438482884 +1841778558 +19483997 +114976628 +1280646346 +194384900 +271245891 +1002980312 +767717383 +1750556082 +249929135 +1069965019 +308201140 +1939483988 +1949221743 +1942704786 +555684959 +1790418866 +1376272189 +1048977448 +32419871 +1998339084 +303881128 +537348548 +503654813 +604998012 +1428824558 +250992725 +1935765178 +1487009320 +663397090 +1226764415 +1181304230 +682881087 +1341741043 +314466929 +877265987 +1612986934 +1317447241 +1644983371 +1216059369 +1567376376 +567464742 +1524260509 +1359376717 +369202837 +1319481647 +1915061676 +12138055 +548270188 +816555476 +44557926 +399125624 +1120436604 +581906474 +902780438 +1725434617 +2010731033 +1153773163 +1513716147 +1350256705 +1817170254 +592996914 +384077288 +352567693 +1934737957 +698544217 +1229833681 +1400241244 +2015991458 +727333404 +468816965 +1435884186 +1294798146 +1993077474 +647777255 +1664000983 +1165075474 +415355283 +1676139038 +1713345662 +1231910759 +1720696964 +2112471287 +204863716 +155119791 +867768077 +1930298333 +18367176 +2021541240 +1296530832 +1368623881 +1691227846 +1889527747 +1752701169 +2043795540 +1676782056 +303761738 +1126145573 +929539652 +172269548 +1853478977 +1398356617 +1608153735 +1000793475 +1243950444 +108447342 +517310810 +261542270 +523802626 +45966200 +1974887932 +1755713385 +1766663164 +1939875571 +1960577101 +1921782955 +660160000 +1743391786 +1940150131 +534217593 +892438971 +1161290365 +77961791 +634483070 +766507886 +2121757331 +163781478 +1070269625 +1100419256 +1093321131 +1242539173 +806414585 +344194100 +703209260 +1807208060 +1588144544 +811656603 +177035222 +1849686814 +1335459229 +223001422 +1677091099 +943688966 +1989664587 +1469483022 +756782420 +1763963894 +2129643023 +352690558 +1556630378 +516376968 +1245129529 +570437095 +594338759 +1879612599 +1336944981 +568612443 +2043394078 +259730958 +1669031699 +989231561 +1502270132 +327962637 +1333425661 +57995744 +2135170697 +774086558 +869652347 +164722272 +476289724 +57627928 +387723694 +5897175 +1001316895 +229904633 +1475380198 +1758099315 +1993868528 +1457539573 +2110789873 +1403015258 +1973916541 +1208435755 +1973452353 +420771652 +940564706 +1162913686 +989384095 +836475136 +1422644645 +510932147 +1825706697 +777431129 +838894784 +1011648711 +835426873 +826581833 +1785735269 +1705079221 +991304105 +114541345 +1762707149 +1379027800 +120438521 +616540396 +1608932433 +1595818719 +227156063 +1455317313 +905874644 +190462289 +710848923 +732307537 +1398898044 +536817628 +1153079189 +191979102 +1699731315 +2142463285 +1028454239 +974892312 +505911784 +706677288 +1752323441 +1344806568 +1718325999 +440266666 +23904753 +1356577620 +2145345887 +1015208859 +1471118966 +1760569389 +246753011 +1591557487 +229626137 +1855685444 +1039892558 +456782201 +1163519110 +1945767202 +647244490 +1874368033 +530591091 +2046142534 +263702014 +1683670280 +90637988 +1963433329 +1678649917 +1119092227 +790841993 +37078053 +1825769516 +395681786 +1381884621 +1396611867 +835948452 +1405789375 +605705840 +833810692 +273514586 +2076824806 +446896433 +520267597 +1520898645 +676522570 +228469393 +413307555 +1133304771 +1391988503 +211591109 +1780549261 +1118872889 +742182200 +1679208147 +1382574903 +278368832 +1769846136 +1198524584 +1957018750 +741454715 +1989366577 +1994096803 +419740583 +237564715 +1228497777 +1816352451 +1073513167 +486803504 +274574643 +1907323859 +760318090 +203915801 +206736644 +1280585687 +1724814446 +883259215 +1509055080 +2138122001 +2016563986 +753559936 +202229462 +1649629600 +1872432825 +944411662 +1181354099 +1107524080 +1222780494 +803716587 +158565016 +1032315596 +1545171303 +447945 +878928752 +1964911886 +238012660 +2107426529 +1633780689 +1311525827 +446746385 +1908355332 +1071366039 +1207064475 +2112271133 +1278102683 +340166514 +1689601931 +13878250 +1849221594 +1680240284 +2030442237 +455297882 +1882469746 +1532588189 +180247059 +679397760 +566458640 +1287771139 +1902178255 +1370175228 +1446336155 +787010203 +767862883 +1446784100 +1665938955 +585291121 +1684796760 +1625881836 +71588163 +848838940 +2072628221 +1979943495 +1920204979 +1132209048 +1944730981 +1050824014 +1472375562 +1486849264 +1064702265 +1174113509 +1019605901 +947660854 +1629411391 +754591999 +332765395 +1809658451 +1433989760 +899224035 +949945942 +1188684367 +121915615 +248798450 +1975694570 +889778498 +1695582550 +1494149878 +1475069620 +1232895663 +972548066 +1546657783 +2081734603 +897692640 +1379117630 +1854455934 +2029901688 +1176364963 +757796300 +1354793603 +515730580 +1822498565 +381423464 +1535336481 +622675771 +2010834855 +142444832 +955441166 +1673009658 +1576434592 +1854665202 +475471953 +617635311 +1976580817 +724270403 +445846234 +718875668 +272369305 +1939996112 +46461640 +1505264968 +765060530 +1593119423 +1439515923 +1662753170 +824753405 +1146488209 +1545171211 +2001118369 +1904284510 +752481166 +369365301 +1579299427 +1133904630 +1904701782 +54491551 +997255837 +2047146614 +1009932717 +522781848 +1476097559 +717114271 +998253801 +2093732870 +546211441 +1722524204 +392095456 +1265087109 +1994893509 +184607920 +1311548749 +1352674830 +949668451 +757184524 +644707105 +464937973 +1581937929 +1791195315 +2010109184 +1435572650 +1547996177 +615106702 +1804937951 +979811956 +1749011332 +1562156085 +1034303507 +598783522 +1461819052 +2044236225 +1121565370 +790432963 +613866848 +2119819171 +736682185 +1160078289 +1694859727 +1128777642 +277681750 +1542269588 +1313385562 +1589230499 +747460770 +115570365 +198931375 +1392167876 +580508339 +1780869305 +1035879543 +443133875 +1068958307 +436392072 +1058240578 +726412611 +1416204028 +659768262 +141085048 +303023888 +1258551784 +1602904100 +199776465 +232633506 +245853415 +813643313 +204969029 +982535601 +1973721603 +1899828756 +2111313243 +103919705 +1294614697 +1277215157 +1693150205 +2042075467 +1392785523 +1892081580 +1286759695 +1973293862 +1525467237 +175155590 +268944089 +446941897 +611547662 +1327184667 +1173354508 +2027751691 +1986952930 +1314439556 +183291931 +1098021066 +769860009 +383068396 +1330654573 +1015713424 +1196711709 +1535623602 +1998249025 +1022949664 +1287968711 +1962078620 +1126869370 +435099760 +1091810130 +672535927 +329691579 +337112005 +417133859 +1616451275 +162922219 +1942601097 +1791606865 +431866308 +242059346 +255670880 +1759050976 +1415413854 +135938923 +1598520258 +582369762 +319230854 +549057676 +1352229771 +702299250 +1879712249 +220459548 +1899010959 +1267852204 +71224925 +774476976 +408337267 +2033303546 +1901346346 +843437027 +977630028 +426398625 +1173128606 +1314742033 +843532484 +642096233 +1477664252 +638649933 +286219451 +1909530560 +880709279 +541890331 +1521097888 +148639485 +677829254 +972134498 +731009248 +997060108 +1521192175 +2083239019 +1699359358 +1253420776 +156214919 +1450886669 +373789332 +227439845 +77879997 +782126599 +113259743 +1979226343 +1625563626 +1090889771 +258141320 +651208585 +258148156 +1101673805 +1293304818 +1735812408 +1740323738 +1579524269 +1497859320 +473549370 +2121414600 +871473561 +622188855 +651760206 +1843608059 +1353198103 +1648820314 +1217316586 +1288953475 +1200696024 +323253715 +1445168394 +504099046 +697043047 +1672608239 +581979043 +1479169647 +1785867982 +413721739 +957249625 +729274105 +671863059 +1608458210 +987422261 +1773536864 +754279381 +575751021 +1366376955 +186320002 +2073610342 +1839926325 +160250955 +797600255 +314631532 +812011161 +493724666 +1667829636 +313347828 +1711041253 +809299463 +1514043852 +2034294968 +106984209 +2018142898 +583854367 +1779592449 +452638294 +2063024014 +1417976783 +866360033 +872789992 +2147250889 +1538223092 +333764554 +987189502 +1164276309 +1088043935 +1562940524 +383169616 +1274363938 +1489067218 +75612293 +1434614893 +139183825 +390243825 +99142406 +632908491 +2058073461 +412490234 +196466096 +719889276 +1926534087 +83277416 +826873486 +1797193337 +667131784 +458982287 +102347983 +582672150 +1876959070 +968708016 +1455462142 +1876726311 +359447461 +1789226697 +716432166 +1523723770 +729786984 +131889042 +1906893386 +2004150922 +1620956260 +1982505679 +1291282167 +1760140085 +225265856 +1390424574 +245564928 +135855670 +1802914808 +442031025 +855744946 +1581965247 +525308441 +1682618432 +1231674937 +1192440225 +2141600719 +1334022920 +1775112376 +1871076142 +155247289 +1083090870 +1600318805 +514694750 +724833919 +169267323 +2038418520 +1454620904 +301156365 +1797828258 +1311288178 +1922112625 +1632850289 +455086698 +1534769062 +1858116145 +1845511272 +1780333991 +1993971815 +1500942432 +74881368 +702233114 +935424032 +600189809 +237367898 +19615321 +1792630035 +231484970 +1353638241 +1420258763 +2102561112 +1508885530 +355865985 +1555396269 +2023580280 +1080699905 +1724663593 +1914515152 +387837161 +2025819958 +1564859762 +1699125339 +1800448936 +1050226403 +6728389 +1187734350 +760858901 +1852239661 +820584693 +607347068 +1205698446 +895466061 +1309580182 +2141122478 +1495655871 +1546948081 +13254151 +1140802258 +1778433051 +1366892392 +413577373 +1733510515 +728294275 +769443358 +1141423136 +604390907 +1850143263 +718603081 +371422412 +90496776 +596939392 +1936282174 +1789622116 +249904680 +839024930 +1796350505 +1437639030 +1599883831 +1501106519 +110740076 +59747251 +559321317 +1006206137 +1369327434 +552960147 +354378360 +768791867 +566214298 +1495180618 +399741270 +1933106690 +1908757991 +2133251785 +513917317 +530717702 +1127191273 +1118308225 +233377317 +1845794355 +1489730637 +323874094 +295250099 +1278529163 +2113496210 +545154779 +2117554093 +1762363067 +1982793809 +1569954276 +1115985938 +2093533885 +1629701528 +1675307255 +952256375 +851545314 +80783754 +1306634735 +1620337181 +646998052 +654331706 +2020078451 +432621095 +415606049 +2005846588 +946538412 +946323751 +985554213 +2064846637 +1179701069 +683864920 +1407093626 +1503575163 +979115019 +538139142 +1469587725 +1524269798 +508209587 +1084467144 +1359579960 +2078163864 +52969435 +1305630197 +1560381744 +1728276690 +110402924 +264443410 +1809060445 +1417037660 +1884780591 +308574849 +2071369366 +1757375394 +741195944 +339491767 +1615738334 +1687734357 +1285815519 +453808899 +1605097346 +318032940 +1137673820 +864707325 +1821608103 +2116788839 +1402846467 +1143712180 +1493574990 +1911056054 +80695676 +705671302 +1841736270 +133665111 +2011301499 +1254634366 +1861941802 +2121704424 +1519077776 +1523518599 +1391258436 +1256374719 +1832093448 +1315144154 +866266465 +425805745 +1654635921 +334521151 +2113540102 +792967792 +788330051 +1571153800 +1111000732 +1926003871 +288377477 +785125187 +1895309062 +1691223944 +1928837367 +1241400404 +1454796351 +2009533044 +1947071706 +1149048973 +2143198155 +1810889558 +256199692 +1857656309 +1785110334 +1775277468 +1233691260 +1028885122 +884168540 +918301061 +196545628 +1750435005 +1344106806 +1851181549 +2084956157 +1310163260 +496665694 +725802560 +733833412 +1607666426 +504322783 +1022210890 +245307966 +252148197 +565951186 +26661685 +1493548602 +2020747537 +2036194729 +1293136660 +1022312863 +2031909237 +956542570 +1278512555 +1742081898 +594169256 +906306375 +828289511 +1623054378 +1790474915 +1746590572 +1819600006 +1393426273 +943213730 +1523297908 +1330898782 +105893342 +2019963602 +2056701342 +839726754 +1480146380 +413540477 +1861937644 +1725454346 +665688674 +280405183 +1752116032 +11753628 +153669072 +1640827113 +1304890289 +1175981935 +1525252702 +113949211 +307010842 +1119850953 +708118468 +1213317218 +1948140464 +183689198 +856308485 +1547247388 +2003289205 +102251110 +342977470 +1379103465 +1433149892 +448870812 +1251583419 +1342367586 +1288597566 +584246151 +1755908063 +1003051563 +162216850 +274113090 +1283456746 +1914332882 +285866718 +1437125818 +1407676347 +1590757007 +465624106 +785445402 +1704706219 +772634948 +1905296355 +265341039 +1985952166 +1705953171 +449030237 +694777004 +1105716911 +304835794 +797028114 +1448694381 +1683939259 +82694359 +1897565193 +788039030 +1425061945 +1038679111 +1372285182 +1033486361 +2041730674 +1534502032 +1307599451 +1177703772 +1301351266 +1593466169 +467345943 +561543965 +1036739529 +932970049 +1346989367 +593962100 +1705604997 +1104802074 +859303139 +1544073516 +663271597 +1308333376 +91366872 +1768988508 +1613169171 +888394986 +1070199241 +1149624782 +971089345 +820280786 +1937663813 +248667643 +1858959898 +1162465347 +1282154004 +1753206924 +549483731 +442269807 +783427049 +1850834997 +2035735976 +1250772992 +264895314 +924991857 +36259393 +1611884682 +1518953957 +1741864390 +569203108 +230773448 +1138454258 +1232474706 +1539106825 +1229821130 +853979566 +1004792348 +2118216117 +1924178808 +6933482 +941821814 +596975946 +1944597295 +1190489457 +308452196 +959578994 +325159813 +2061659121 +1509062725 +767429620 +697602522 +1212414074 +655681949 +1948375514 +1477309389 +1580673806 +1984634907 +941710423 +952144116 +1579015649 +1510913531 +1182917564 +569986260 +595904589 +574540741 +1799807390 +1449884156 +1579333089 +1770539859 +1226579316 +1586266572 +564878026 +1823555262 +1383380219 +1755367483 +2132007459 +195475566 +2080527297 +2046182932 +1704538291 +700473269 +596301806 +769468718 +1356155218 +397193672 +99294459 +789345377 +234344931 +1041004882 +1741489493 +1813360580 +404434765 +776923409 +235863192 +1000339355 +1351464151 +2035670583 +302739863 +783313592 +1658726794 +1529319179 +222096516 +76121172 +1205390793 +1605476736 +1831488656 +1189914604 +1800952302 +1764532305 +1088613888 +1358006945 +317521926 +1684915694 +2127475663 +1673677145 +2082109366 +79286474 +315538874 +168970649 +1120291356 +2057028367 +1982331230 +1524726122 +686468128 +70710774 +377581829 +2037932279 +2106381357 +680321692 +673762224 +1617624504 +62157223 +895858740 +1693745676 +1267548016 +353851828 +1377750684 +309978973 +7320482 +994799341 +1398592861 +1365327428 +1312321268 +936024908 +1345319443 +838514765 +870650626 +1424605918 +1154053639 +1039621276 +397413626 +1063598358 +874468858 +1922139748 +1750066486 +945179632 +152237929 +1640515118 +904077342 +832559621 +166793694 +374218198 +894716844 +1062652434 +2067963874 +14781213 +1416504263 +1298230911 +324760186 +1423824745 +145546604 +1723353047 +641668525 +1457867872 +511894307 +1986987969 +148898989 +1382544934 +1264110239 +1302952628 +274682562 +1661523865 +219067338 +1149151420 +1436179966 +1969133825 +2094331052 +1588417895 +1462165295 +850924746 +273493869 +1628958989 +1225142944 +1168210713 +544127775 +1145623171 +1182991926 +1960632038 +296370434 +1507752112 +1236973136 +441917038 +1083621512 +1878641661 +1899784911 +1595515819 +1718145982 +2048683900 +830577105 +834772573 +1204152881 +1105259667 +348812791 +1423220219 +106927439 +1784992757 +1244870396 +53774844 +1225927004 +559552043 +904699590 +1499420873 +41027384 +2129842535 +520147939 +585155160 +1127982058 +1703139865 +398303550 +1424352492 +1063408330 +1635276686 +1866269530 +2147029842 +1366434700 +1618570793 +1595062013 +937097034 +1519771046 +278155471 +1771869608 +576440279 +1383415138 +2120682399 +1999660498 +1490342578 +1758191508 +1097047247 +1544117422 +836634864 +1656599290 +301333364 +188572090 +1697626675 +283692251 +708720029 +135298187 +1411674309 +264376246 +533601737 +688543153 +1327784576 +21394776 +407329036 +1327330770 +1387829476 +2025899829 +774909136 +177442862 +1398187227 +1053064607 +1949312470 +1974627506 +288996097 +1922511221 +1826804357 +1779338675 +1533219081 +776367956 +1175972449 +222370298 +285483598 +1477305814 +410942388 +1983110273 +1760998065 +1119662417 +2118408460 +1025188727 +1384038663 +504526550 +1713731880 +564339592 +525921326 +2121060916 +1891670362 +1913750802 +1999477098 +519095850 +2091193664 +1250180677 +1572160457 +1893022487 +1077324536 +1861156555 +1668050060 +756645245 +1493011582 +1053785494 +1533013201 +521500384 +1276155792 +1818496799 +1998806198 +1687098180 +1654123425 +1612320615 +659276949 +1625048237 +490025694 +2043315612 +2129574787 +56273927 +460171556 +508012465 +29851195 +204358271 +274279619 +2029328293 +723454121 +217989636 +1132025323 +148130931 +2111012123 +61866211 +2009287486 +1631578535 +818511456 +1354815420 +537880381 +204041009 +1876315804 +1814036173 +2022537808 +1727638354 +1353650705 +1529177585 +1192475322 +2012927654 +1006742175 +1682501016 +1908759619 +988833314 +1738774943 +221447527 +1496845780 +1768626139 +425805798 +1771125399 +1650470784 +1149259920 +1989115035 +635012459 +1297390851 +1952643510 +696878670 +1159194689 +1436738398 +1515390126 +366526461 +1974618779 +1719431135 +95358618 +1641171305 +1594485296 +1822996972 +847338362 +976179233 +867988646 +712782369 +1982921408 +403006015 +474058340 +824271075 +2141780958 +695505867 +173633207 +1762923449 +1121311666 +1944758606 +1265910586 +123087938 +1786389994 +1900923045 +1420478789 +1591549856 +450318068 +432189830 +880804606 +1965708194 +798716291 +707939738 +1537655682 +894074909 +201627395 +984657330 +569588234 +1048965757 +1960836563 +1437576880 +1761748126 +1796274324 +1840582895 +88322818 +473061751 +1834880206 +783828686 +646694958 +1450320007 +1905140352 +443969916 +568746945 +2028228290 +82876262 +322186343 +1301223431 +1674426119 +772504411 +1733413261 +407747077 +590728957 +384645904 +1115686815 +2128384639 +1278720814 +1317314210 +965558321 +1848309048 +218796320 +778911237 +1138402280 +1980544446 +427701913 +831501528 +2068867265 +900763664 +518898086 +705212303 +1547458622 +1969218093 +462869007 +1991428538 +390481391 +343613649 +2074304801 +712667734 +1644837080 +1601247272 +1485172145 +1230766693 +2008994349 +2075901102 +1615412597 +977197517 +2056802094 +746649763 +147028079 +874876767 +447475163 +365824399 +1653788004 +1585877444 +198885198 +2081489917 +269895324 +120268815 +834769933 +788793410 +825481118 +234744907 +610527855 +1288350125 +78689798 +1001009246 +1631963774 +5510951 +1713676980 +1129317206 +1606758223 +1051365477 +212600251 +1468268924 +979782932 +1828012848 +297982793 +889101378 +427178964 +445010873 +1763978145 +874654127 +810835272 +1270282502 +313047923 +1009720470 +1204288771 +582943247 +1129989285 +2039058705 +1371736657 +1955470403 +126319964 +1982264513 +1096336880 +205009762 +835790111 +580817006 +210520713 +401983444 +1710134212 +1817278936 +1453348921 +1922734463 +1138064213 +285648205 +1603263664 +1436047006 +1174749583 +2030442628 +1881057879 +791244081 +757613107 +544409504 +2061526583 +1070661031 +1554129974 +1118331706 +1653604278 +536635612 +1009906763 +877857288 +344622367 +1136226728 +712638153 +1440959248 +1341236490 +1548428264 +2021776254 +1551757204 +1950411708 +1584426819 +1221552492 +1256276982 +1359677634 +212133057 +1541925187 +815457650 +1648180064 +569191123 +698416630 +1381754295 +1360435204 +1456029738 +1926163799 +1274478139 +379207121 +1332810126 +245326197 +2032811399 +1869445738 +1255232961 +763185039 +66584457 +243976041 +1475823192 +1507543705 +1585212531 +876767809 +1381836312 +989486087 +679695869 +818779483 +63554932 +1935972851 +30973469 +275687989 +1330414391 +846431120 +1923868053 +1899605514 +1544847750 +1158138701 +1112557070 +853393840 +936818852 +239551561 +1232600961 +122145330 +484877758 +1117928713 +1991591068 +1740110719 +1881113752 +2058175526 +1984086760 +1209453297 +1418235583 +1421815644 +2086221106 +652588247 +263818083 +618433327 +1471367730 +327373015 +406922531 +1502341200 +603061005 +1737336922 +201288672 +379445410 +1489458788 +1746136422 +1537584111 +454532210 +452046615 +326919316 +694083771 +1684647576 +449064646 +1178961529 +655092641 +293172067 +771588601 +388722746 +203863945 +608191713 +1598176043 +1622099528 +2030007357 +1536913501 +127204128 +146341793 +7863180 +1598571858 +473714808 +414785711 +953429410 +1076775813 +4638985 +1154718082 +1456221224 +1494097773 +753370857 +846321687 +1948629983 +1205417472 +1173241003 +495230106 +742581400 +1622305650 +1674191636 +1397674042 +1915477717 +298296589 +1786396788 +2119341662 +906488302 +1237089183 +1593957542 +789012012 +626519036 +1721161670 +935353805 +634382216 +1172249881 +1409068613 +1049167928 +2125679291 +338360779 +1053806913 +1132913726 +1794582003 +400421039 +1886284583 +493420042 +201567374 +944218407 +1666661046 +696797481 +1686799807 +1141483048 +223505469 +936990201 +909477117 +521802058 +575903341 +881335131 +1428290360 +1812992524 +327809025 +69818724 +292027912 +2048970696 +1005172529 +926410129 +1073736929 +266757495 +1975578057 +1051932572 +605118274 +881901322 +37362650 +252216629 +1282322361 +1923647233 +745636671 +1483889736 +720381992 +264814069 +33203569 +259698152 +1406297117 +256709038 +1196688353 +168290586 +778511096 +1772591695 +1049625717 +59317808 +1438100571 +1377434743 +129136533 +1730128484 +1278921791 +1134309062 +509054965 +205175072 +1401066557 +337149374 +1257107644 +2006184831 +1219050696 +1294470295 +110917812 +353889410 +1070633880 +856554484 +1837779146 +1791015873 +1121368553 +1870982715 +2050714025 +380182023 +2127691753 +1099918730 +548472609 +758719201 +725026777 +1598098327 +818037009 +15643701 +828049422 +947173542 +1745772185 +2106971213 +2081482605 +107343502 +164662637 +1335065514 +444492876 +1421770281 +1193766698 +1663543572 +568756928 +1304684510 +2017432982 +1639390809 +13755346 +1707728480 +1282923034 +1135123900 +1431227547 +1186153411 +1515305923 +1411435652 +138588493 +2063778532 +22671205 +863615271 +1514393211 +840708215 +879258972 +194958985 +1787881757 +477547509 +154446550 +1721880714 +584891011 +319109187 +909462581 +1029383887 +1740879469 +2103229279 +545443811 +162152749 +1260430141 +415393146 +1801543558 +1274185488 +2123121626 +936982944 +261825740 +1406865526 +2123136355 +1777131663 +670817530 +114241201 +1693426547 +693488736 +977856472 +1060336111 +1534196951 +1857115444 +1255295096 +1174595060 +187179305 +1409741647 +748992127 +772070316 +1728850834 +1658454708 +1801454203 +1322246655 +1614200339 +199414366 +1484399405 +727146832 +614807512 +1138459315 +2001332320 +590445491 +2075442260 +115674412 +1997311017 +2051094967 +1892806075 +520644899 +17852520 +1438748975 +1214133635 +995708992 +351601438 +600846938 +705340788 +1606896534 +1775441999 +892520093 +869154533 +376950478 +1664590409 +450521720 +2035405186 +1318560964 +1772768375 +1502121877 +1517975331 +1109684132 +81785061 +2132782843 +100659800 +2083117382 +575744686 +28618412 +51308146 +425572055 +2079713379 +1944114222 +946216955 +2097565900 +1235379549 +12866942 +945791244 +1586980987 +613713881 +1651132033 +1046393873 +241672232 +396168478 +1915548407 +618622710 +2060758888 +218586479 +506544248 +1231836204 +1991354854 +2008666125 +602327887 +953555339 +2090451186 +587627083 +1054215139 +2026084920 +1163371769 +1082833551 +2077393067 +1588943825 +1015063282 +1874023641 +387677132 +965145534 +961919542 +400544074 +1910936779 +401416881 +1014257955 +1414585164 +1447810754 +1255930187 +1810753642 +1215875513 +1874552897 +1724028882 +1434461992 +233613497 +808381439 +1278333199 +94795974 +1410709326 +84404890 +37763513 +1998336409 +1138620029 +2063848433 +1014224531 +73969932 +1993757852 +455684708 +1089033214 +1720297845 +843361840 +2054178749 +534733739 +1243905914 +1817631880 +936150620 +110680222 +1084733396 +236477727 +1366610409 +748003390 +1452353240 +1093679659 +324548625 +739331585 +1327293156 +1132930064 +2017664784 +1422089131 +396155742 +2102069674 +1459852644 +247008504 +1093206055 +1376217429 +1261233035 +1167175987 +1222491634 +1716917743 +108725553 +795305831 +412795935 +15420654 +1330039571 +1656701849 +1833052534 +118706543 +1767382071 +770302282 +355184270 +986508833 +1518305673 +1807537511 +2080188492 +1842854298 +399385448 +1259998000 +828300714 +269566584 +534603483 +1224456456 +224152610 +1994456127 +1471464960 +1317358665 +1223189909 +585214347 +337051004 +298197895 +154648442 +445776557 +1093503726 +567444377 +461197212 +276059649 +76662579 +146766098 +394766193 +1844044650 +917068381 +749950463 +683069835 +287890406 +410004326 +615774679 +2130744704 +809389774 +1875772680 +811561770 +1078956358 +262892515 +2036018226 +1303108968 +109864995 +1359999539 +472983985 +1333054904 +1945213886 +810034989 +1631252799 +2099862329 +1255811547 +577272877 +519823058 +1717008759 +853332527 +596485637 +1863774857 +1248098720 +293046640 +633359590 +1998049183 +976116475 +921249996 +260569862 +1591891155 +904511052 +1069959636 +1320180187 +1716072822 +1432347 +1583072702 +1604607401 +1304541315 +1692937697 +817123292 +1777525301 +878508953 +614853530 +440076642 +362278104 +567232211 +1695888189 +939550982 +1087055270 +1265413300 +1792883509 +1683540907 +981704510 +893498581 +1976587547 +1615064100 +744064116 +805220375 +388830449 +1004633978 +249627882 +1293341501 +2074593615 +1569808069 +861930676 +2076025962 +1005397123 +319054429 +1233083629 +550851173 +1136177721 +863125282 +1429360126 +1751031251 +1303201925 +1791638231 +170779815 +851606466 +583705565 +1257835085 +2117019767 +229105426 +793892344 +951240629 +1122604007 +622996244 +418821081 +1866668123 +1428216619 +807651530 +723818454 +1677844501 +2100993032 +650928421 +1100168922 +815440060 +579470735 +2105566045 +1134494489 +1812554364 +508933570 +123188562 +528195999 +1938293697 +1874219813 +1831397924 +1582448280 +2044999628 +535520742 +18670197 +1155351065 +505056861 +247775623 +1949243410 +1456297490 +1370379630 +424756006 +1875118572 +1089564105 +1852972625 +535286454 +1813382559 +1383333478 +488795838 +316827332 +336018752 +1304235898 +896298067 +294101149 +291246739 +561368784 +803034720 +414435301 +1089564783 +593844769 +141171467 +773479059 +28809401 +38687447 +1308999801 +47479598 +1194038513 +1814056663 +295255221 +995798275 +1122870505 +1665634851 +1420554281 +850505429 +607715308 +1126043258 +1385791884 +273614220 +361893088 +1874587722 +590441552 +697911840 +1031339973 +1486739620 +992012989 +1322586712 +2048108404 +1795047709 +1737022014 +990189539 +241408830 +1878193481 +1763668598 +270218231 +1916880928 +925184751 +317697829 +963435793 +591757766 +612953050 +1959234068 +1714628272 +131104253 +1232304701 +417650053 +738819562 +210864311 +1803441937 +1012433782 +572757399 +1530546012 +1602875334 +1270669239 +414402337 +942131306 +115198581 +1736989049 +842756062 +1910246290 +1326527415 +1832945601 +4171473 +1057237248 +1449130551 +274389704 +826634529 +226831655 +592087534 +1790070322 +818589421 +1205040584 +1601820743 +385734045 +1336144838 +686641796 +803384099 +2074964400 +897506108 +459342388 +939914534 +1470263507 +1989888400 +395306220 +593449099 +256807089 +1337437527 +708647680 +1993796139 +32709941 +471410322 +1172839906 +1865655543 +475581795 +82593507 +1167302446 +749971500 +909228036 +1394134101 +1342059034 +551814710 +65239875 +399615970 +6151805 +450973920 +1735760808 +692793602 +1254358019 +1663241560 +1590299710 +1713700408 +455672446 +913079569 +1556105160 +850978667 +1506528668 +1812912250 +40932546 +67692700 +1659224741 +73642487 +539103023 +684580999 +1939298030 +1014684818 +767174506 +959116829 +1764656318 +1676402542 +205767282 +959231704 +80733605 +271007157 +1358847675 +86885410 +721981078 +947124835 +779679012 +1976339097 +462882748 +222495074 +1542555857 +918555194 +1135574644 +951177370 +1769533861 +494619664 +616605972 +1810466407 +562312365 +128347065 +1884108895 +1101415388 +812928064 +1675923277 +2116100206 +1580102571 +487556458 +1733272877 +1109021465 +693323741 +545020933 +1189755070 +964330898 +1903868608 +1276640481 +1686311976 +703509796 +2056319493 +1515167426 +1166392544 +131330920 +910239635 +2084947738 +1266905564 +1861417005 +1706997952 +1761525228 +330539329 +1369980711 +176353945 +458886394 +1106605958 +1277769333 +1271814459 +635045588 +1246385892 +704433382 +1122602046 +832175121 +1813454847 +1815925787 +1377196054 +855726270 +632773038 +1133581015 +2132366751 +171601366 +1837090811 +2041202596 +1686768792 +855999707 +25049868 +449524780 +793463797 +1291955432 +163458137 +352978101 +905997013 +493997467 +1722958813 +1082350958 +952883861 +682081123 +212636644 +77214672 +1317126711 +1459022536 +781648054 +292245110 +143714009 +447619254 +2108170897 +1520910063 +1303345524 +593460287 +507007430 +1288228627 +765061654 +196614593 +1181947575 +304346798 +1052614300 +1206997444 +753871578 +1846078098 +351469228 +917329716 +51572551 +1257466241 +1411327183 +1774531364 +192333552 +216727396 +309128840 +404970196 +293942069 +1626255551 +1863992732 +1075590123 +1918500661 +2007706741 +1523209377 +1879187911 +1381133156 +679071253 +325164550 +1888140587 +1967299880 +1090226204 +2084755180 +1001763808 +1394573003 +989885833 +61277604 +960933 +688480283 +412746832 +918290649 +740052834 +1670213074 +182134184 +367100551 +1862546626 +398861581 +676229391 +120033174 +692803650 +155001294 +1984025906 +1768393773 +2073501956 +1844248999 +1144119503 +1805206219 +1077898507 +1823190756 +2130370769 +818555446 +1643006989 +1073113326 +755826979 +497287149 +320202681 +1745712812 +558564753 +321163614 +286709447 +971311585 +1239454264 +1026762281 +494041011 +1421588448 +1393862832 +209103989 +1820450029 +2070092223 +329137163 +365770031 +77609870 +165679421 +2134163805 +3628178 +2009928420 +1130799660 +1808834397 +940343280 +806506768 +1791721518 +1758898726 +302030109 +717351196 +367242057 +799317258 +1037553877 +2112954869 +1357882011 +1358717492 +252180668 +181709949 +450688108 +1278942950 +675750960 +1872276556 +525322134 +884854950 +1545242938 +447930710 +1213992113 +1911012969 +525540580 +1379671535 +1897693126 +529168758 +1242116307 +881009138 +190519507 +34975939 +1687515907 +1982241025 +1793874666 +1989546016 +552108574 +13633075 +641379627 +1589662451 +2126587945 +1999261638 +800896295 +231284965 +33487939 +1251584403 +1510227915 +709238900 +976377312 +2035550050 +1594093850 +374136602 +335997112 +660602315 +137665923 +861537692 +2040273850 +2035359050 +1390706450 +1134906510 +768884540 +1581225957 +1169882449 +308916799 +1415983334 +816273467 +150979168 +1968091908 +829906543 +792358795 +1410270712 +809010840 +644136785 +63683359 +1040295805 +677624725 +1315267763 +403040073 +1386863625 +144161427 +291106475 +833473827 +518298029 +627103587 +1494076142 +655963952 +1488641279 +1386866345 +543839354 +731864081 +374289207 +1312723895 +165606390 +1544171656 +1621640694 +1581589724 +212961476 +1772619862 +1402197985 +1042868019 +417495009 +664985049 +1851878859 +1061631795 +728668408 +744691016 +1739256520 +2043936171 +1147731089 +978636497 +40613950 +1438837564 +1812110324 +558911979 +2065941151 +1158702818 +1214875932 +1407098782 +398085515 +1758715286 +2138962863 +772374722 +923955533 +157085605 +169062731 +398112580 +1738675330 +382024207 +23248794 +993389667 +1424892226 +440743804 +1658374716 +1129287437 +1502375599 +239559476 +1873978453 +1094148471 +136012000 +874225895 +2072784968 +176625950 +165579811 +1737411644 +735537930 +84037315 +748630814 +1950413862 +1491136097 +1146716330 +1561645500 +1482615313 +1919091052 +338117386 +1639700918 +2088153783 +736229966 +1230892600 +322694342 +759478760 +76798619 +1747586568 +1200222564 +1735173335 +729390357 +555114515 +1974732812 +455885163 +1649262986 +2110744812 +1330111058 +1574564306 +139887114 +1495690869 +1164492302 +875425044 +1579728184 +1913123117 +678355258 +923380634 +912355799 +92517111 +258512299 +683963203 +430634497 +1898213217 +624633339 +1166864463 +981622170 +947327681 +1926343223 +1058420789 +547430602 +979082140 +646110477 +1276820959 +1534196655 +473359641 +1732706122 +1035975994 +436620805 +915333532 +463056652 +576507919 +263540754 +1627548955 +1451932964 +1843268938 +1393188424 +2130288222 +619165924 +158060575 +75321685 +877678223 +842023778 +505956182 +628407793 +1466657117 +1672820645 +1610029963 +266501151 +1451680221 +520967104 +813931753 +283278713 +1167077581 +2090752712 +1817475368 +1640437222 +1675975187 +705967714 +2077058027 +443825071 +1169024367 +506082299 +707365825 +649089674 +1958015263 +403151116 +2042278098 +1940819837 +1022317040 +52855025 +2016141523 +1899995264 +894878803 +374614057 +380919409 +214052273 +2047434703 +1990949372 +480553424 +1351631276 +364432828 +1294485177 +1634909989 +1531510410 +1237754241 +1304901709 +1024463984 +766245780 +2010869424 +954038364 +1210070852 +1032410143 +1460120663 +1917436677 +1681499817 +1270652278 +173104145 +1576294267 +1063988467 +1195421186 +1629149292 +932646342 +947932802 +376544447 +1307260400 +1328852211 +590596720 +1207211455 +1172317935 +1071150144 +411359083 +1536750763 +218151673 +2046269072 +920777525 +1455905915 +1203687133 +1945241510 +74668047 +1067072909 +751796226 +1284738899 +2099483052 +64433241 +1054691929 +1633499221 +1335085519 +1227796074 +1062309840 +251590338 +275733612 +543975484 +1184236681 +1223666414 +920519932 +344013433 +405034977 +1511116652 +1551224888 +1577352912 +434783149 +1962583971 +966620028 +652934822 +1861369395 +1887397553 +2108840737 +917572880 +1685155415 +36025137 +1984645790 +289467993 +1320764036 +1936645194 +353901234 +227972317 +1422660768 +1688986753 +1455768392 +337486960 +1940577092 +1731502004 +881462445 +977330125 +807684771 +1801982377 +1321343558 +1212719748 +1165615381 +725084798 +642589013 +1600398530 +540185121 +1609209041 +105849705 +254070868 +1349122946 +67206794 +1171643748 +886794714 +103231931 +1008805890 +1176262707 +1423995968 +797967437 +1530163942 +1651968285 +73144557 +1071667047 +960253029 +410631517 +864760491 +544271386 +1292093962 +1842090616 +1351956157 +946592691 +1015950526 +417192257 +2112208073 +1741035324 +1059781270 +1565122955 +133736797 +521506663 +1670972660 +387807665 +1870629610 +1738179455 +1559451414 +609940676 +1841411386 +420773656 +1786203383 +1117923706 +1218741093 +1168883677 +622408344 +1291885650 +93067077 +1582661373 +1702517168 +957827568 +2126932759 +847127482 +652434537 +1331405268 +1793720174 +1668385063 +1748597526 +1758444599 +1261936740 +660895148 +1176083906 +1395673537 +1182401812 +699572919 +1783481203 +905547774 +290268726 +1195448969 +1515488450 +2131680112 +1616222625 +1154208185 +1102120171 +687480071 +175608215 +1724528515 +1979365721 +268675292 +1159706240 +1534399241 +1226502860 +1139155352 +234043076 +1878937397 +323076972 +2027763250 +1399838813 +2071674498 +1638724201 +514291905 +585085999 +667324459 +1909965442 +1767487811 +1366897378 +1545962997 +525551937 +1657166104 +593928318 +2041040387 +1641362569 +62667296 +1047764924 +595999092 +750147367 +1223373139 +173043959 +582029440 +1492048431 +1332750199 +2116428682 +571067644 +324421903 +202988110 +302521393 +647498876 +83267712 +1702360206 +571689726 +1721991913 +69168463 +1156775725 +241832724 +1979133906 +776779888 +1608730103 +1377613255 +1302331825 +1118412559 +1971541574 +1195888564 +612291480 +2034208870 +96169841 +1208290572 +636872589 +1319542980 +1381334531 +1218902029 +664107764 +566601083 +1187847063 +1235175408 +891022986 +1390835173 +1537696801 +1538521862 +1474102885 +1092573360 +2110211589 +1048611150 +1161741823 +1119503666 +1290443875 +993392081 +1896283555 +751690330 +223521689 +1051131732 +1870102889 +47579615 +99536649 +334910722 +2081788485 +195706490 +1543201294 +571177426 +1515249470 +777052178 +1790079455 +31873586 +1343653261 +830442871 +1267048994 +87192599 +73794396 +657262148 +1625714462 +1547897282 +1749835508 +1588442403 +449024784 +764093683 +560462421 +1739468659 +1757485765 +309262328 +343675341 +1981007454 +1360394061 +66294583 +2028587069 +1459930710 +401205305 +1962891906 +1655637200 +1944406599 +386585684 +1023403022 +573975129 +29181491 +1055276609 +1917628390 +859624362 +174841955 +2004820990 +933418759 +832104103 +1483051804 +333832393 +434455963 +924010559 +782857177 +1198549647 +1484472980 +374842189 +808551764 +1793735309 +718517530 +642075570 +1006645722 +784812113 +523178991 +319092784 +1186017418 +338587249 +1974729984 +982940370 +725172933 +850649358 +1556915499 +754354424 +1905925967 +1327060242 +1613978787 +2080767923 +1184397584 +399913898 +765388378 +519965740 +733746291 +1199844342 +1443976299 +1516603468 +250910341 +780965631 +1891445657 +1059462105 +427217292 +462479540 +1701537675 +1433863014 +1247291653 +77233018 +1752955798 +285825424 +415820267 +1580202134 +1268765794 +1140993200 +283367845 +678197645 +1895347624 +41810164 +2005257887 +1361842763 +2122578087 +1042171823 +1761756661 +740482818 +1562137563 +348019304 +1940327160 +858630214 +1864622773 +43753853 +1639595846 +1608584782 +1103215958 +2066813138 +2071064322 +657269985 +1353192505 +1170872328 +734503003 +958664655 +1456697752 +1150323270 +391383142 +577979898 +143832822 +674750987 +1256177543 +2039180446 +716561151 +1113951783 +1253539562 +691655591 +8639958 +867812575 +1432138409 +1570777522 +1215831880 +1224981921 +281924088 +932971005 +1268735774 +1921519934 +394072139 +224468084 +1840849425 +317652814 +881738069 +1046558282 +1488525142 +1616241072 +2005222937 +797739246 +619080694 +249122431 +1375719144 +762913516 +923873418 +484413039 +654610314 +1640434570 +1598364822 +1908149876 +184606513 +1607004781 +628478804 +1616744922 +1030298655 +1844310684 +694243195 +1312222743 +629798041 +1962978969 +1086259030 +1023870180 +39963405 +779624807 +1341522994 +921701474 +1826183089 +682564488 +390458898 +1683922378 +1480303734 +1009539592 +1933044810 +708539230 +1772453108 +709434580 +1192952270 +279579774 +202385502 +643833444 +40246003 +386992015 +103354577 +668724807 +2003736937 +1133653232 +365551843 +550496484 +298392328 +995349884 +365991805 +1384651358 +2019220064 +405955210 +16792517 +1213259411 +1327656684 +1842975606 +1895823899 +1718115582 +1379414336 +1228643986 +580171526 +1164975498 +1937183216 +205140986 +1874410079 +982651838 +484720761 +2076795581 +1626485283 +524966764 +316303949 +1729839860 +1193691571 +172557238 +716009445 +1559243414 +723053723 +1014401773 +407109650 +1089045528 +251569483 +278846066 +1495000739 +268362000 +1492105477 +675173775 +2111337606 +1240445729 +245805710 +1343268294 +321606067 +825977236 +360760145 +111305635 +1031118223 +87686576 +1093957474 +1515838984 +16998509 +572959109 +2040805748 +333302458 +155315321 +1087013671 +505859697 +871324766 +498773437 +1228913420 +1885726539 +905883087 +170475300 +2137296022 +1184729153 +1665476039 +258174374 +529350983 +193166167 +222028332 +1769796712 +438971877 +1565296627 +2091402779 +1264949113 +1926056772 +55224766 +148583688 +2013743348 +1149182240 +1664422672 +2030741857 +1722141349 +1557744772 +216560668 +1877456671 +497274795 +722420365 +601297789 +996048232 +1951333785 +339540681 +1901931319 +2121809085 +329353055 +939176825 +1639801477 +587527430 +1468527808 +1832967644 +809555762 +1090840872 +124455873 +227368741 +1034760003 +1389404986 +5941865 +1089984769 +1537988675 +2019685213 +91683362 +1054927699 +1902943423 +1813824711 +465188824 +2119504091 +1543797734 +962463619 +694440808 +2145095524 +1958511852 +498290945 +337152557 +1712959523 +472616382 +666505612 +504652700 +2112417859 +1254033042 +1973180508 +1797901855 +2063588805 +916537732 +1922357728 +143473898 +1951297735 +1164279067 +149415764 +893798857 +554784094 +21617329 +985482219 +1609711793 +1924560752 +651823282 +2074900617 +1896581195 +48137369 +889880589 +443538355 +45749245 +700908793 +941829300 +382901802 +266384668 +1414445683 +1049407414 +771037369 +1379379894 +155956809 +596734229 +1029798102 +72061966 +1513271962 +804672182 +215535864 +1317086049 +1968951249 +364951628 +63401258 +376251695 +386568958 +1048883477 +1985963489 +163646062 +1700706760 +1913380458 +2060227258 +1748844129 +655777399 +356281965 +1794593374 +1356686192 +1298111266 +30011528 +1623070861 +565073301 +1079418942 +246624582 +1944453195 +1235375751 +843358811 +826767649 +1307437717 +209147125 +1631439832 +1522973582 +1526233175 +1452907433 +1887925210 +1589634433 +1829159129 +127010520 +491034263 +1667638970 +290656583 +44257375 +1433535780 +203400193 +1793101504 +2089313180 +559682158 +1440211230 +1298515724 +1857793424 +1470222758 +774102937 +275383077 +402158052 +1020727519 +72352625 +1637533804 +1864086331 +899120274 +797487873 +2073233456 +383076458 +172977807 +1451982983 +1835983892 +2060903018 +894133769 +1517659373 +40429890 +1385168032 +1037814695 +331086473 +1429425407 +323866827 +534486666 +1075043263 +265696359 +1094168825 +367770845 +1564212084 +804478601 +1837993603 +190831373 +1079861679 +92668007 +1211558893 +1152214304 +1730201811 +928161576 +2051334578 +380206037 +853911384 +286927389 +553183844 +158410720 +2122911281 +466603214 +1052544489 +1493087006 +507033105 +290228873 +383418053 +838119578 +1719654280 +707284880 +1372606245 +647213895 +972981240 +319291422 +1014984740 +389709676 +1123770023 +705494695 +580541049 +56148054 +798162702 +1792099942 +1208362358 +380880866 +572777870 +1112213289 +761086903 +1426689255 +1399140678 +1314270747 +1585099975 +1374568311 +1780873962 +490160816 +720171669 +140423419 +780389689 +1103589722 +978542997 +352560321 +1810874602 +203665594 +999774216 +636372194 +522957016 +2014758956 +1026081870 +1646727040 +572770003 +1606622920 +1702875094 +1370932705 +1251239214 +763753805 +1751813571 +1824017085 +1875967094 +365416826 +1103222692 +1127624124 +1679687574 +540839019 +354708787 +1313077888 +1030999835 +1074880456 +1453501307 +1811389524 +30986530 +284560656 +16466197 +1841861132 +488226251 +1016240413 +330749679 +1011183267 +883515721 +1356831549 +510426659 +1456285724 +815970821 +65818106 +679734781 +2067210036 +829571911 +284064705 +1743743473 +558055357 +649481531 +699482517 +1685679481 +181685457 +1240321536 +2040388268 +1494763345 +123837723 +967785076 +800781004 +1935227247 +998771606 +1085341661 +1951693444 +693149090 +1573567912 +820450209 +1023898769 +437267531 +1703965930 +233246671 +947694191 +1012768006 +1049217492 +1013512297 +1692502787 +968943880 +1843084208 +1976567492 +565203705 +253655917 +478565376 +1264686222 +1939335398 +660250833 +357524110 +1832240018 +7530531 +481361833 +652541446 +808311535 +269105432 +1651313052 +1893653196 +73315228 +196978494 +1319737460 +893765437 +1220877264 +1757004992 +450247719 +1454123935 +557215535 +1463015725 +355857779 +1570727832 +1008034865 +1324801660 +1266328392 +837118709 +1890005365 +1519984309 +1315684085 +1007207940 +1311836059 +1975934919 +1364732050 +996592429 +1983465450 +1846093884 +1649133875 +644293337 +2115199316 +1152963279 +390462886 +41030897 +1349941773 +1710200346 +934796334 +423335389 +1319721690 +1385044054 +1877459324 +1876937225 +700576131 +85833456 +1300181409 +1708610996 +1410635116 +419026153 +398246058 +1153156833 +1939010462 +1713930143 +12881125 +1103362873 +1542381414 +1377613176 +2099955302 +1378363216 +1076223412 +1601605529 +2022656554 +1043939080 +607085160 +265635792 +1084969977 +1957026934 +1975836138 +2019766312 +232878675 +1148074181 +1257326718 +2110338000 +877527758 +1957902849 +48687808 +30225520 +1519030198 +1459322924 +449251673 +1917276256 +464996109 +240778488 +1483722751 +477877235 +1344141361 +878620518 +1855490411 +1296613016 +109500086 +784230175 +750734897 +2132156640 +1828169255 +1357820058 +250308784 +765655585 +1167363344 +78661275 +637938249 +1400242019 +1226735456 +1895264967 +1363096371 +2104263214 +1705684168 +1411784179 +2134488734 +1077230718 +723623455 +436256760 +847023326 +1188619565 +677035248 +183262430 +1666496800 +2021176609 +1061882948 +1374503563 +1170305977 +1171383034 +11250090 +1921040875 +1156056027 +1839419345 +1131377285 +1406364811 +457591282 +151256981 +1485026086 +1095529531 +1551499000 +564277894 +843310850 +767111724 +521057461 +401511371 +31412255 +508062547 +1478742089 +755035711 +944319307 +178281768 +1943655276 +1621354555 +361544198 +1462668428 +1495047517 +1423427146 +689688343 +517869846 +447326532 +700938433 +291427073 +1603382559 +392874130 +1422804358 +862263723 +850465413 +1574061339 +199806161 +1945994944 +978076692 +764084056 +641822147 +1745188416 +1285141517 +1043333518 +1776600671 +1793204064 +374591959 +384152734 +590039724 +552873727 +180324362 +63910631 +914417925 +1642992790 +1558958148 +190361423 +185197485 +2076827995 +637687956 +886135918 +220771420 +93586867 +1279010049 +1643575779 +955850590 +2129475462 +1070153470 +1155656752 +1927986758 +2048230162 +1919740808 +422325257 +1645934930 +1057398677 +1465658775 +1275051954 +703119093 +1840250735 +1659204688 +1293158817 +245640814 +1839529051 +1357069449 +1160058740 +1335038193 +768543949 +1350420163 +1520235679 +697888296 +1988108119 +258887949 +918659717 +2081694987 +1537897998 +414751848 +890061929 +1519889812 +1484905318 +2045718681 +1300392923 +1385651833 +1817975841 +1722718180 +884103115 +727890870 +1040893308 +11671421 +1431009964 +733660395 +1670876110 +576685133 +979301209 +1362921513 +1933754582 +2139359949 +550476058 +554814884 +1342296465 +2070711737 +1252703180 +1182920936 +182116039 +23879249 +1117132275 +1720014037 +438631097 +2007194205 +1092420202 +1923536416 +1905429238 +245329477 +1161704601 +1575921432 +1968047657 +2045807716 +156328654 +861457317 +2057479138 +1587338618 +1595117712 +1580871600 +16540104 +426935274 +796309465 +1950294686 +418811575 +1346785523 +357625922 +1761108040 +1270013613 +1610329103 +796545329 +1452129652 +1634208352 +1913677604 +1024660041 +2072839450 +1773388161 +2117080243 +1848892218 +1531333752 +214926072 +863113171 +959771536 +35490082 +761437239 +1116100190 +896947399 +671432729 +555955161 +344581464 +104820681 +572495265 +771516738 +901130146 +375306303 +1190328313 +100432022 +732932226 +803952706 +1370445635 +195777681 +1600498035 +675091639 +1829986033 +1366691991 +1699751680 +1755341835 +992596505 +1669348276 +1456750405 +376446609 +1884274348 +172379928 +1336218145 +1919764430 +933817168 +304834687 +669228182 +1605249897 +860789848 +1013809646 +1710070579 +1433285113 +1785326384 +463717077 +1808591417 +828171049 +564149099 +394039995 +1632123755 +1934594734 +589817676 +1085138142 +462202725 +272320061 +304346486 +14470758 +2027661897 +1296942991 +1683819034 +1336928654 +1673389600 +1420609734 +1509308583 +862124097 +1192890517 +295642103 +1166958784 +1862118699 +1900892000 +2027748633 +728444697 +1463478931 +1313550098 +366287433 +1927196009 +974657867 +1194458482 +343861460 +1368697862 +679098590 +130972547 +1958515538 +1764236732 +593175272 +83351952 +2068583218 +607646030 +2111013849 +1218042561 +143981416 +1300458855 +743948513 +1564591151 +662283790 +1606072610 +609998020 +957925893 +625547747 +324633071 +711334246 +505812732 +1053077768 +27329529 +1819362830 +1419365201 +1954525538 +646537050 +466340035 +150903351 +2015234912 +1145438625 +281875898 +1826266803 +762191710 +875051170 +1909618755 +683291280 +1482697201 +1873148956 +1901333842 +1626678617 +1026124163 +497798707 +1043786120 +1688407954 +2103871318 +1653784140 +498850199 +581935417 +1978417211 +1210184445 +1087748149 +884011331 +1237513975 +759627331 +155892884 +1044555865 +1406164381 +622232920 +1195459216 +1273915646 +1767671545 +1477335114 +952698801 +382379607 +204902637 +714833908 +1065670888 +1687599838 +440499216 +819521082 +1166794807 +1466623379 +1317319789 +63097280 +1007547685 +1273707459 +1716881420 +1506397885 +1855642876 +1547814984 +569098682 +795907377 +284342667 +1806612657 +1555534709 +440235552 +703684875 +814215442 +1062468472 +1899144091 +2088131088 +682656369 +1228995558 +893346241 +1065035977 +1433898195 +1608180149 +2130706865 +974014385 +2048679365 +802744299 +2140809192 +1367819097 +2120064088 +56422824 +227883134 +1246287900 +1773304245 +1734281019 +954447128 +1173635581 +155896054 +1750354506 +1457978248 +1962508711 +1158405567 +1898213800 +518709938 +1972621009 +813198624 +270370382 +1913268450 +1495854994 +1499365940 +659131043 +413407323 +785780487 +119827545 +396630540 +1759794872 +21023262 +1199374839 +1753120416 +1388842359 +1171955279 +1809543241 +1616725494 +270759531 +1435363838 +1203522865 +1225206660 +461515771 +1359418919 +828077518 +1919494019 +1174443983 +1986483085 +1670224172 +1693153921 +1811620446 +335939148 +1963524303 +1577405248 +1831794142 +1315406595 +89052644 +97717817 +2101187082 +208880189 +494348357 +1713498306 +229903451 +1693723196 +1319135075 +1618745811 +718194828 +981194668 +1087987657 +988954359 +269074858 +144026874 +66677371 +730590629 +1503445794 +894754889 +502601000 +530406129 +733754326 +25341524 +76076402 +397891125 +361280673 +2039600706 +1975296373 +45591167 +1207523653 +2064349017 +143308985 +1161227088 +125745558 +637657342 +727241746 +355649010 +183896891 +2046376821 +1974394821 +902091719 +880087841 +914898830 +1891046078 +1149162699 +1058925704 +1957723450 +1879753328 +414887850 +704994691 +234870681 +945293979 +1438749018 +260212205 +1021370382 +1836640143 +621492878 +913487440 +1664452868 +667084046 +2121011093 +1581318238 +810393031 +1134754533 +1707063796 +1448050373 +1861996280 +2062712806 +1631947264 +1760889453 +1889623979 +386555335 +493493647 +657039161 +130117766 +1642656346 +1715964866 +2087841216 +1374926027 +2130852716 +645352259 +1609796708 +928663048 +2084101277 +1870008913 +1950033430 +1773257772 +344018144 +716037222 +1290226993 +1011102190 +689564667 +724061583 +1821495221 +1824319201 +283641731 +1122061946 +1538831833 +198870890 +606525563 +1152237638 +2088494869 +993080898 +1645731285 +598050383 +1123198664 +1140903984 +166531601 +1063556232 +368346363 +149900669 +1708908492 +1978143071 +1078563717 +1645526121 +1700668336 +881113499 +1271300246 +2044686480 +1597150721 +414043591 +908305022 +139231741 +1138105174 +582316595 +1963550942 +1421746905 +1704378542 +1354899127 +1620617795 +163420457 +359653117 +1561629017 +1156501355 +2005384403 +12195752 +132216372 +998804739 +178727353 +1195772604 +1367151102 +328628022 +757197448 +1197810525 +1407191740 +255239922 +750995213 +140821591 +1526540168 +648198046 +1737972313 +1940583759 +1556503068 +1877204054 +931205285 +2138819664 +1693271348 +205468542 +1695714558 +900686827 +1826086338 +1859135015 +1260339944 +1240231707 +868152722 +1118240699 +1252427459 +1000369094 +2117045438 +1431154812 +48658051 +1336712892 +1759782834 +805855499 +387039769 +1019490926 +1061095421 +1138034983 +1160312518 +440151941 +1786233029 +750801183 +233252052 +1195252449 +480521589 +1164457337 +1186588465 +26309289 +1369925880 +734819375 +926996116 +1048528570 +446470742 +39852412 +141276629 +1314623465 +1158093112 +1393704088 +167508911 +1127654902 +677375252 +216166962 +316884147 +289674438 +1022022462 +703923916 +1309165365 +2083117883 +1841958899 +321994235 +375786177 +1480708280 +1072795418 +609038229 +528477082 +1553317007 +1773495567 +1715065547 +1579626296 +995937799 +302401275 +359138764 +2044466369 +748872017 +398991176 +38259350 +2063495482 +1557084288 +1431963438 +83520746 +537255543 +2109338690 +299687708 +854139690 +251529480 +1321710170 +1558063606 +1560694845 +1257344406 +1252538858 +1882689080 +1633130583 +585763490 +808000850 +94685164 +1114240572 +213834209 +1868180731 +681822472 +1793460505 +716634882 +984223747 +5115621 +613617603 +1733095764 +404106798 +651876953 +1649107599 +1961191086 +2083840391 +1732628345 +350962981 +2045695433 +2032316053 +1205102671 +149741266 +1206542576 +615682630 +1710436111 +316403334 +1868221488 +1445641544 +1949533917 +306501330 +106158746 +2044219081 +1420741903 +319992956 +1764916165 +2102564375 +2113453461 +334067399 +939304474 +2118569083 +947685003 +524916590 +375192233 +1599561956 +26540541 +188899671 +1535918700 +1759168886 +539862653 +1434130485 +1644001292 +1744965324 +1583871751 +703060220 +213164306 +1146824215 +1019463554 +2081385794 +444982111 +821513823 +240403477 +551140857 +718249256 +1661145380 +871133813 +335681773 +1616226107 +837103627 +669749173 +408046933 +808189062 +1617434176 +932963523 +1183381295 +1069512484 +959504065 +1372280966 +457947536 +571189303 +1912143619 +1892078022 +67706947 +1509625296 +1328466125 +770767167 +1722789602 +327806692 +1790230721 +1656691749 +772788803 +464260896 +1897095226 +1323929661 +1182510153 +1410756958 +47579826 +1518191926 +879499417 +884683453 +40457451 +1287546350 +1692872515 +1657891627 +73026225 +728770162 +579920464 +1032530290 +2101051129 +1037868000 +1603719594 +1865711100 +782462374 +1671426541 +1227852748 +2110928500 +294710061 +803158703 +291251544 +2084940782 +312366804 +1064040348 +401718031 +61978382 +240486361 +1584228184 +1472735340 +288066187 +954936462 +204751109 +1172749641 +995393914 +1492297459 +718138508 +505801893 +1565323684 +1446908671 +1085722357 +450370327 +1400476152 +2123590358 +2054089921 +1118703604 +758569084 +1578032814 +199072705 +722013936 +1872742875 +1002231408 +1013265481 +1810200010 +1314598212 +2077305829 +64434393 +1376576594 +170308542 +1648662577 +701828286 +458374729 +456115391 +906579395 +1631124370 +1451509305 +251393206 +201779231 +1957311199 +1816716890 +1648687902 +895549908 +119603569 +901680406 +871656618 +26209842 +2020384010 +1630225703 +1604242657 +71973067 +204755991 +1329501884 +1074204475 +1218021472 +992218246 +241319039 +1147843653 +1056652639 +1617895633 +1318152195 +557831568 +172240271 +1776526925 +1013946960 +1078819666 +1260167647 +317972617 +1330212872 +1461946878 +127800168 +999446115 +963151132 +1023350077 +1119049684 +1864831538 +1895006695 +1145259527 +1737731901 +1377748750 +602018536 +1809704968 +1582504742 +1931520420 +736425796 +653042566 +776255019 +977744835 +1800886220 +1832907658 +448156821 +971554767 +243255579 +620397092 +600598044 +1257202539 +1699216759 +1860765692 +1575175156 +881945983 +1175228922 +1702975325 +1881392098 +2138380055 +578841754 +852958135 +1855727945 +326364801 +1998217662 +1445976198 +1704113552 +452752550 +1108197519 +1139134646 +236789322 +1844623315 +1792177212 +1013044341 +674884502 +1445579784 +698468352 +1123041323 +269650904 +941723931 +1743438416 +870248948 +51442822 +1295171527 +583530992 +1626617978 +29633862 +1758759915 +1182109655 +1911025961 +1749656322 +1760951409 +616500448 +1457900619 +2087316211 +467234462 +756393170 +1643946115 +919987012 +1864590689 +635597113 +1156776334 +1561730356 +280290677 +22337028 +89131210 +1725870462 +720805380 +1212172534 +1995521366 +1662529311 +808127302 +718286666 +1713972133 +2103298829 +1301817659 +1193106463 +2132932691 +913093926 +227732471 +1896475004 +515266600 +1988683880 +365491804 +1973167219 +1928516443 +832726266 +582076741 +1424978910 +1752713278 +299183782 +2060576023 +762005965 +1860914138 +193383053 +784342993 +1950045349 +1919253515 +1505148373 +1014734235 +1767291233 +1020194036 +1822861537 +338094251 +586682521 +1778676718 +1639911910 +1779788984 +1764125761 +405522188 +2007521455 +1513117118 +920788788 +1848721688 +1878608922 +746472360 +1629754483 +563851541 +1328549101 +907249746 +169081171 +1627732884 +820342121 +931087136 +1341163374 +1013725174 +1715430129 +1143725075 +785495041 +1073094854 +10975662 +405302626 +2093288890 +1833837199 +743396878 +532487763 +1465030269 +235825140 +164793100 +1081672383 +641347329 +24830907 +447305853 +1562136117 +1873552595 +178431127 +161124829 +1355823431 +742282668 +1489673931 +115589529 +911363840 +969923167 +935931650 +1842450976 +163602893 +1949656825 +1410397458 +1307327969 +587668218 +336008664 +1318303631 +992970845 +281813907 +1004657183 +1736367723 +814301670 +322203804 +1972192863 +979094770 +1403876187 +466056544 +1003925678 +1851182040 +2028192662 +729994625 +2029613168 +41833843 +2085818056 +624412188 +1531507774 +53923937 +1535776028 +353947293 +989855588 +1230743357 +517550187 +792028765 +493657167 +1824878156 +1379696983 +829665831 +995698139 +225184180 +1111479738 +2000355322 +1961551903 +1925781409 +175075479 +1786261119 +757392531 +1578951666 +104834015 +1761318209 +1282650059 +2133026677 +343829187 +1164779579 +27376873 +282163595 +1789191767 +1558884647 +336087533 +1177484148 +1912831941 +1325943121 +260743857 +282898480 +2117971886 +754401024 +2107776636 +1350185221 +1584066855 +955991127 +1575369402 +548062946 +808862802 +1389437657 +326360707 +983938281 +1028215128 +1083753238 +415406299 +1133049144 +697587800 +1698056358 +1118592173 +1041416987 +715352289 +1145969046 +1323580582 +357060409 +557370046 +1659668115 +1534544557 +322718339 +838127588 +1795288414 +605616819 +808615826 +402205790 +565909807 +11317400 +1986272645 +1521900934 +1586686802 +386851943 +183280088 +828640811 +713212650 +1167218369 +1856855940 +1796965889 +1582624669 +842421436 +347070041 +1133197379 +1961013609 +1388487028 +1848549669 +959499008 +564583962 +58126430 +1516869054 +76768430 +1592670987 +1839587393 +914896018 +1240475753 +297720564 +1723511845 +1642681543 +863630371 +1734829245 +1481470540 +238047657 +1174032399 +1868322484 +421327746 +2002673210 +434051486 +1588546115 +1712045502 +83533727 +1023687136 +406983290 +430603768 +9400868 +220513252 +1819090796 +1857950537 +1180012260 +236191111 +1916076967 +549397666 +312959541 +1361264306 +241501411 +1227855559 +454256411 +539221975 +803883756 +2096937954 +1402852346 +391229353 +1430924846 +1640900003 +1565261752 +1151763682 +2062227749 +1420451315 +1585815169 +1503290217 +985013169 +1669348896 +379493705 +1391996460 +2099952665 +388894573 +1612509712 +1771559813 +99361462 +645038324 +2007750924 +2015438429 +1194435990 +173226817 +1229219087 +1435937401 +1401082377 +1683475498 +1975159376 +57482485 +1632929804 +1230528074 +448711839 +916371003 +723944429 +2013973591 +2068134685 +638688531 +1286941258 +1506466206 +2141978748 +124470780 +1028331455 +373988805 +1516467240 +980800472 +762883379 +981493304 +604876637 +862244841 +1626531628 +465143914 +730199623 +673483970 +638370731 +1959418710 +2109421371 +2039453108 +1495410561 +1937097099 +2096935594 +980856717 +1020141525 +398163785 +1897227720 +1744085954 +264653728 +1817878758 +235290837 +1551594987 +1176861316 +229785937 +1676065767 +57709123 +603774743 +1045049359 +1038509595 +1366658122 +2026542663 +1643386233 +81419315 +1505590643 +2108530147 +811618938 +31590965 +599417230 +623554001 +2141012336 +491386691 +2118964562 +1930625787 +440838637 +952337631 +803283664 +839002422 +702081704 +399885970 +1103656150 +372476814 +635176808 +507767489 +1549338130 +864962745 +36349608 +1607047254 +1468737488 +1081398967 +498073201 +687911962 +960457982 +2141459434 +769331278 +318564977 +2102505933 +1580950216 +350155942 +554439516 +57020569 +343684630 +1045826207 +28501483 +126826769 +1486664844 +980839115 +930110433 +178183618 +1682920819 +1329996404 +1281839768 +2055397633 +1965173212 +1789607258 +1457252115 +682652309 +1825956866 +916815721 +3906150 +759872186 +1414888923 +691818112 +1720330168 +1408864709 +1461149390 +2038895146 +1363886995 +894615959 +241567440 +1918326511 +951636528 +585252071 +816669070 +980138012 +712078840 +155850266 +1960977127 +1642189274 +334033884 +1496414298 +824702030 +1615873652 +1404328283 +642391594 +1257997262 +714096750 +1325043903 +936470481 +1630912472 +1328950053 +1696342667 +898317747 +2020768166 +1269189187 +159698808 +1334433908 +1160600685 +1523585803 +81566219 +1402168126 +1294428666 +1033202748 +1987420197 +2111097736 +2013340760 +552015389 +119464354 +1826834239 +46721015 +453498238 +1175764889 +871423045 +2069371891 +432609524 +1513814639 +1179885505 +1146706274 +691374895 +2116355986 +630135098 +2020324948 +1665215005 +1528452845 +1893609466 +786920545 +1688151654 +1080559727 +1947521230 +1064253809 +1162125946 +1202205708 +211198828 +47845046 +1042142257 +174812916 +2061185806 +1594157647 +294277271 +1740536397 +1640878662 +747775509 +768817638 +364818060 +669663752 +1201427162 +1878632699 +1849549258 +200649789 +422523946 +1818421596 +830784887 +295365247 +1336152954 +211754085 +41491065 +2123073499 +1899905739 +1122050792 +1923111081 +816675900 +136693091 +977833142 +1027874728 +184538137 +2019975399 +1202687645 +98240296 +1466649398 +1496964916 +1838776693 +960044413 +97256777 +460110684 +1324862473 +766920530 +1661537846 +1056011524 +468986140 +1862187635 +1478535471 +139924088 +545488875 +1773900718 +1476077042 +757242960 +1815391783 +1451666893 +509665051 +789958928 +1227294327 +1326340951 +926652019 +57643821 +206732032 +1111190156 +2077619220 +1409419677 +1209430452 +1396784971 +758900945 +900723498 +209345736 +856157722 +1360834182 +1534208209 +1623078252 +874888380 +442736085 +2092064392 +589592368 +1921271556 +84504833 +1135081243 +1547688626 +1560581875 +1892324203 +1215596762 +864765121 +254505606 +2005555690 +2092059448 +1580846557 +784724061 +2219621 +1787578589 +1895914217 +2079838841 +1049514618 +957861022 +1329140164 +1808415563 +1858584520 +1538485900 +517089638 +1071935054 +925210461 +2140167890 +1946823434 +1367946547 +2084748635 +388932154 +1141734455 +21769820 +1524013397 +541939434 +1582351695 +1268853952 +1757536196 +299633168 +1523359558 +1615608238 +244208968 +956722468 +252848651 +246428589 +596817409 +1279220 +178783783 +1646332028 +959140242 +1507923947 +1307263943 +670241114 +898926200 +1824353581 +1742176168 +1824136661 +1817037824 +1541515955 +1044599560 +1754302811 +1930448109 +38850368 +1776072631 +1306977859 +580789802 +1210940678 +428348163 +190842350 +1510573847 +1951707722 +1806450588 +1754782815 +760946542 +2059299239 +2001211405 +1357763951 +2060578459 +32511540 +856612331 +872235054 +1540435487 +16392627 +1542476168 +291878039 +1840746208 +1137168689 +2116014701 +1510300384 +531200996 +1013130613 +1117119547 +314165457 +1051980981 +745708530 +1621143316 +1632770783 +1956649209 +2049491480 +1823613133 +1319739408 +1853715554 +1482580073 +927038575 +467178448 +1394395664 +780766332 +1824942399 +1307490476 +813277872 +534071083 +32241882 +206229712 +550463710 +1574718050 +498107751 +243726270 +564403091 +466638804 +1754026655 +1095604087 +1479769418 +723662554 +1409769545 +384266751 +1469371085 +883429213 +2017037535 +1278536646 +785437045 +1693167020 +450792406 +491668951 +1028263446 +1377830981 +958847399 +275175462 +11113666 +636306151 +1582665938 +824391538 +1170377234 +1614907820 +1030621250 +1720840944 +1042142223 +1528729002 +1964567214 +1606545314 +1995367806 +1571110221 +554665754 +1327653576 +147289128 +1964435299 +1711920328 +1616660213 +700380864 +1581474215 +747713211 +1485817910 +1127157587 +1198505617 +1977486861 +7937385 +428852950 +788850613 +283112848 +439966616 +1425156764 +1865778786 +1264358155 +448050350 +1333202959 +147495757 +21407646 +227861534 +1676224759 +1985974860 +1834406848 +1524108918 +1409601434 +241588954 +704278846 +1556890562 +58540605 +268715526 +1026067127 +758921470 +1850189741 +1773780338 +97255732 +829863681 +824802307 +2074742593 +837801066 +1253655257 +716109558 +1120913914 +1693621874 +2141266322 +839209053 +810496381 +441833024 +24928364 +957992138 +463240670 +252789898 +486733250 +301731883 +2087196746 +2010842168 +1711333317 +181302053 +567637366 +1120740231 +239842658 +836352893 +2146807358 +998764128 +539058986 +1773104048 +1096019860 +1368922667 +450422707 +1023278806 +59240086 +1704077964 +1739388364 +1180154000 +1250216190 +1733171039 +2019363053 +2060712571 +27520415 +2044291417 +871221062 +490761086 +149597667 +1357954312 +792492969 +89310766 +1221312832 +356342638 +270612819 +1788950198 +1477082869 +510455477 +477819443 +1476406579 +1509219606 +1016878430 +1102026979 +457755818 +238317449 +1552449686 +1481034624 +297557535 +1109044002 +1072939341 +1477711536 +211776545 +658626732 +1349590941 +125005468 +686147147 +1246398711 +996226530 +1176908233 +1395996378 +206697194 +1969401202 +1485307144 +1428010026 +178260192 +1755919963 +1069476577 +1655343061 +118891793 +1547296020 +984265992 +1628111399 +416690802 +2086292971 +2085867217 +655008252 +1491259009 +1419418194 +952565787 +452819364 +344873887 +282793675 +664595909 +1003500619 +1632384617 +789601377 +1689647766 +731299680 +1785827908 +719072352 +2127296058 +1992525102 +540989906 +1465119555 +1273051481 +719250099 +1073555870 +195044410 +227109512 +1192447663 +1742340430 +1211375505 +673075414 +11547585 +1150184828 +611458984 +666555837 +493960190 +2030877178 +1619121624 +946779554 +228267417 +1901915300 +1611375463 +1231768036 +1386816269 +253493192 +773932154 +2118115949 +2039321100 +1493004506 +2097928359 +1884362555 +2033994413 +1415564266 +1009930388 +605760864 +341636489 +1204974798 +832870376 +1534084152 +799831580 +2044245881 +59675919 +811379165 +1046947062 +671134903 +1477935002 +1540907252 +554528433 +949572979 +340203158 +782795850 +704004631 +1951578621 +2014563886 +2090820900 +57588165 +641012392 +2061453201 +2096909266 +2134016899 +2011897912 +1833788173 +2020527664 +1279978531 +696234913 +478804880 +1621615020 +1901209711 +1311675256 +1008215524 +553557643 +1208437490 +1067891443 +1364936809 +107900904 +1739026346 +695388163 +1648808156 +146071131 +1644961142 +1989011314 +928866981 +201482125 +1793106287 +795947219 +144819377 +1850694452 +1436959612 +58788930 +1800120070 +1423492863 +2070686843 +1486424595 +1296536879 +1203181726 +35175860 +1775341759 +677313098 +1936385571 +939533367 +1685528622 +342459567 +487209 +605936418 +1707396376 +108388113 +197479116 +255300891 +1757196269 +343550248 +1900262034 +1598723935 +1272417229 +2101744159 +1244346574 +2068364449 +99079889 +947557379 +1357840413 +157868819 +600193801 +633849628 +81072014 +2086618397 +1930386507 +1284253740 +2121794257 +1558244618 +1961566838 +1910696181 +350294337 +1499611813 +105672100 +350781547 +2105548231 +1813068476 +459169660 +155543699 +2068369367 +68882282 +499093947 +1821147753 +1667606217 +1771511177 +1775408265 +764469144 +1692391978 +1874488154 +1712026523 +902748743 +2032356973 +164736676 +1536598371 +2113428988 +103871425 +1319501230 +1250199080 +78182035 +730262200 +1064282271 +1988878216 +1080556537 +416410436 +2094550316 +1431338084 +374475019 +1760135144 +1890507745 +530018718 +1681020863 +1959390027 +1029112666 +1354684969 +1479512596 +653140195 +982609586 +96498092 +198048525 +709614092 +1808524615 +1100797268 +594487417 +1973261292 +489911991 +560432757 +2077132717 +1809413221 +1810631838 +7831104 +392191773 +727430461 +1996709320 +1472748310 +1143840897 +1943775988 +756602747 +1518315916 +1556427484 +499626844 +2048334634 +1089964700 +311533223 +929963652 +297166021 +1791045819 +1583103847 +1279775607 +1887543912 +1781152372 +1989389699 +1548584879 +734465992 +436393468 +1374362523 +1224377983 +996826226 +1304011593 +886307556 +659974416 +1311842697 +1278499329 +1387404877 +1161068370 +603763992 +383762126 +957360710 +1360366739 +1902078042 +366304547 +1859993583 +1802929028 +1456269247 +24043158 +585409033 +1753435268 +1815088977 +21029232 +885727227 +1555149241 +1802181605 +727633278 +956250473 +389163949 +1164026746 +183129348 +1613541933 +13369324 +1487140941 +352365841 +673343740 +651499991 +1630865171 +2060748617 +1812568361 +87145515 +297027095 +622445423 +1447512254 +51621489 +988749970 +1160022189 +1854550518 +297535569 +1184065347 +292475903 +2050970837 +851670676 +313505135 +789214416 +259336270 +2115686740 +1516847694 +1215586743 +357367042 +533390793 +1398716091 +1970908975 +546760117 +738373385 +175791168 +1220103858 +1389873376 +1806656339 +1133368827 +1054958089 +1893801854 +1430395923 +1677403512 +1193830460 +1482017412 +518669835 +206369001 +1189084282 +816205404 +1390434348 +1481560185 +719692594 +94621377 +1795065321 +1508907010 +353957647 +1763268413 +878271057 +1569544390 +2120635455 +1411661850 +820776833 +1944060782 +1958421967 +1559150218 +2119851951 +1031042177 +801539946 +1779024642 +16927357 +1856498035 +1525342849 +1447323280 +1386417900 +571689661 +781857044 +1905087735 +778058663 +1970941327 +573809491 +21009363 +1305017864 +1293502085 +115630740 +952599537 +654925448 +469588387 +568384303 +1533196505 +2039132777 +541536110 +797374707 +712425963 +338113245 +608313026 +124092533 +310481548 +1639355204 +925632480 +2089506190 +1656282561 +634646867 +1467365391 +956122193 +2021064767 +2039055053 +1737979237 +1778668854 +669630068 +1561436916 +204994698 +690639431 +718971133 +1498496783 +806270172 +1671570670 +5938583 +1275858559 +92471325 +1539135088 +1167507689 +634007436 +189026147 +1879933652 +972120681 +797339174 +2004026185 +1282602229 +289210730 +782175017 +1224624771 +1945493291 +1416821885 +544506515 +754131836 +1290403004 +436077920 +344627425 +921588211 +1105707988 +1906064342 +1126582909 +1796347419 +477551827 +477596044 +455133943 +1638849 +483534628 +1730992503 +94110175 +2022669716 +751016544 +728117611 +64212216 +483466548 +1700238292 +861551390 +340009085 +835356873 +1150762120 +1122184103 +2059981644 +948771763 +391522340 +457004511 +1702903599 +1681925344 +893082431 +2047531024 +456029907 +1998790419 +1806111718 +1582612816 +1647654191 +136179897 +2060208861 +2102788134 +137818747 +396259841 +1686296989 +231928922 +271445909 +289829885 +960046533 +335658125 +773296433 +512801177 +1197209515 +1113305519 +1348158050 +200487987 +88005974 +1260656046 +1149259750 +479528314 +1717660558 +704679701 +13970010 +463259341 +604727078 +469999918 +314566113 +263355148 +2052612734 +1962220304 +399535046 +1965337947 +1917524790 +537353793 +214114140 +1456338132 +769282715 +485560050 +1746168017 +1729329248 +821218175 +371980803 +94646777 +2018427691 +1485286322 +1442804827 +71432030 +1573292296 +555977225 +1220691781 +2052820610 +126154135 +1925371482 +2066790620 +589413477 +382614912 +389306890 +903979590 +645970061 +294435977 +718716246 +1045505107 +112290276 +488757388 +1582858900 +326404417 +1945095520 +204657967 +811964467 +1543779890 +1933987215 +1633182642 +1915760693 +2028633992 +1504126685 +1253563367 +1323955171 +1575558716 +679372015 +1879932396 +648766849 +584708977 +2006086532 +426654683 +504015949 +448016361 +809269596 +893322840 +1351995951 +1455239657 +1187758817 +2070712197 +353261116 +1300049093 +411985937 +1936120016 +1626453510 +209597810 +2140777983 +290934329 +1753377700 +1927281550 +1924116972 +1521654745 +1808431894 +1280760009 +627734464 +984903417 +708835077 +1307106479 +717352165 +1357601926 +1891815456 +575955049 +1784256610 +248347757 +1023971410 +446042558 +1141670597 +228483713 +1901282215 +181945766 +151712262 +107059683 +1481994860 +563698200 +2043179699 +960964722 +773296010 +2036474034 +1251899052 +379190062 +1816271936 +1028532376 +1900844807 +1477220182 +161808737 +381095623 +314639951 +870643815 +1688202102 +1031992116 +80762093 +1432533910 +1607947166 +1865018703 +1680881667 +484434928 +163577613 +675068617 +712918642 +2064859828 +857014383 +864630904 +24435863 +191525595 +1428329104 +2067615562 +1152490318 +54141466 +1956605948 +256905722 +433331528 +1625394236 +1285438098 +186692687 +955130770 +1447246835 +567788310 +1269770721 +170407002 +108506764 +154279190 +251169096 +1541040674 +1762226356 +2116187799 +1074438694 +99177636 +132281765 +1749507311 +812096278 +49657945 +459038046 +1676727183 +74093809 +650563642 +957572639 +2141709371 +1803053960 +1011714106 +1950831672 +2059959682 +1445045634 +1428742260 +1197914132 +1631738322 +236389383 +497677319 +52042984 +1506160104 +668084322 +160549749 +1660439294 +919253418 +1701590423 +1275182002 +887957569 +628545469 +1374359639 +1020239334 +230569132 +38972269 +1069897280 +689607179 +1715699452 +1143991089 +1340170821 +525788444 +1138216812 +995741133 +1537502550 +941564836 +908217167 +835064536 +222823449 +2106131299 +319319210 +459212832 +456324970 +371362195 +1965372936 +1124409292 +531911944 +1478328583 +2043662710 +86018719 +606026937 +784136632 +714564189 +1980386576 +1804375966 +945133321 +2019358846 +726789598 +1634740500 +1587574650 +1870780687 +827427673 +2113363094 +861513852 +1823168806 +1503381996 +1803078688 +583902325 +190962885 +2025902137 +542549976 +510282095 +337631321 +998874947 +881644290 +155520610 +2123284239 +1413556234 +1633849193 +2019463302 +1499574954 +92392482 +656116286 +66655495 +2072779059 +313008604 +1011788816 +1944654257 +1039798203 +499045669 +1384745259 +763095242 +1326473342 +1350624706 +1624609094 +1002158501 +706523054 +1280204135 +1586060826 +897485939 +1158622624 +2128610803 +1407768035 +1496253946 +980002102 +141928677 +1651774556 +955802693 +1555484912 +1138140101 +827782347 +907576218 +1230532583 +1483898633 +974231713 +1155827994 +1796907238 +1986020529 +952998603 +689221793 +337582550 +190260215 +1452317035 +1664055893 +1540884921 +929442482 +518730746 +99924327 +62162969 +2104791572 +997410267 +1220785593 +2085918727 +257694654 +569555891 +918437181 +399623331 +73846799 +1874239875 +1955108243 +1211986900 +554538574 +715200813 +295035836 +2038437208 +1689432526 +1450863830 +1687860798 +1527969408 +256378786 +229598943 +1865551958 +446639001 +1681915978 +1382124203 +1987523922 +463874812 +1900854949 +2087448249 +526037781 +1858162874 +937374868 +1746823375 +1796597953 +1195069522 +168895618 +567551487 +1594692854 +242742418 +294307714 +1402317449 +1454729318 +848846288 +2117518263 +1749765154 +739799848 +1659467141 +1053145337 +280176998 +1039952901 +1309524123 +509775941 +758021212 +1756163124 +44208272 +2140145415 +1596203398 +508083084 +1893516717 +1536167999 +1034120866 +1604195943 +326059220 +633460593 +1253310248 +1521128742 +802356211 +1820861735 +968337948 +1045098629 +2115169449 +223171750 +352344300 +816532090 +193206365 +2102109454 +1556331938 +1852673506 +1007771143 +1836508937 +745142760 +169811618 +198801230 +1503163972 +1925974742 +243009502 +1495825739 +1374694492 +751092587 +1241858808 +763378844 +1785213453 +698571103 +1089438064 +271190398 +1951881352 +463083158 +1073546609 +1625259439 +1431421107 +2118645239 +1592945241 +1654592857 +323505891 +261993683 +1847799222 +278131697 +1818325621 +1552989080 +1285902841 +1507350910 +150648192 +1455714459 +1706152141 +1653812164 +1234205554 +1949161643 +1002154256 +461416398 +552770582 +96529416 +1224795242 +190500387 +795100520 +166749658 +461690785 +599498224 +629832817 +1535237395 +77274015 +2061253924 +1506398986 +1670219256 +1568363133 +1829904877 +1932212939 +1268678707 +2108036574 +1603054913 +674184139 +1246455767 +962922175 +824832332 +554686579 +521590668 +331160848 +1788892133 +323268664 +1333315104 +102824883 +876039246 +1429844521 +1327620126 +1066539634 +77461393 +1494369784 +1528230419 +676959617 +2124202601 +915984166 +754233632 +2037972877 +274899504 +276969241 +1458852362 +2104804381 +61698532 +580047421 +2065357308 +1664753445 +1254231561 +1164329427 +480191973 +2079063893 +1719016006 +1001782641 +262741093 +1360424491 +1325051305 +1596056198 +1463249375 +53606904 +878417071 +643385853 +1120146538 +955878464 +2137755637 +500893309 +1632838081 +2114474591 +1416877476 +239588065 +2004963820 +1691776980 +516557306 +1316332535 +1649097714 +578255839 +1896379956 +1566971374 +95525636 +1003127869 +583817153 +575717609 +934708114 +155349512 +1577500251 +1197449208 +1515774003 +755067908 +646021758 +831539730 +808674812 +1524438829 +1474925583 +1928821350 +332833645 +1465197573 +282231012 +1965671726 +1432188516 +1699108488 +57776143 +1289668688 +1243401820 +574333450 +458517575 +745015886 +1152589289 +207413884 +164503612 +1248114925 +1210541753 +748320766 +1823832535 +2145249868 +903670278 +1253849138 +1195215428 +271960633 +2008917046 +1841237186 +1103500364 +670108211 +1218192367 +430942299 +451445913 +1551026012 +1896139872 +733676925 +1369214090 +1180844740 +285301765 +1426990233 +323029781 +1528703586 +2001323683 +781547356 +126235824 +1006429324 +988961240 +290739437 +107060602 +52019346 +1039060203 +1930893137 +49785566 +1942730481 +1037258627 +1245000994 +67207466 +898692025 +938754532 +1170707830 +1568800236 +9463251 +1601650130 +2020246150 +1560489263 +1350306354 +606439427 +782219705 +383667447 +891741193 +61726290 +706697228 +272961131 +2063049974 +1488244584 +399196955 +921995650 +329722177 +689936392 +1029056252 +381741523 +1728996595 +812465741 +431527089 +1524243428 +1849724368 +1676528083 +1591450895 +600932746 +467798967 +614675077 +22249334 +477262218 +68841559 +2042495484 +2037751481 +1419147914 +501451264 +672487538 +1802815361 +1393192457 +734213828 +362028941 +1666153588 +649780154 +1850273525 +2065350543 +1571775805 +32512054 +607803288 +453348409 +414253577 +189316235 +1265814151 +845780666 +1713559664 +968054871 +374825101 +1157526911 +1568987617 +842624068 +1772201988 +1591236952 +1319886286 +1841043548 +1486248788 +1210154119 +1112707814 +1987700052 +1882641657 +768039527 +1233408861 +469371838 +1130068468 +752078801 +1119151992 +832858345 +669945697 +543444149 +865370400 +1277748985 +996792559 +1279623977 +1467065220 +115123062 +2125404644 +1033141236 +1083177933 +352746097 +43184499 +504681903 +1195370166 +1815386488 +2095918855 +367772804 +1508946388 +1434683995 +1577926924 +474170554 +1274900400 +1313084933 +1242210081 +360825613 +1782456771 +224794901 +1112904415 +754125116 +1057653246 +1782850112 +1297569265 +1923023646 +913115449 +146878176 +1055163976 +232697021 +262001238 +1033084972 +1265838258 +1345179172 +1385831069 +1309022757 +1849861075 +433717587 +976925597 +1798296282 +801490392 +338388337 +1085496629 +231933668 +812558891 +212913381 +1545018601 +2054768972 +573738995 +1179991725 +132080225 +1686643410 +1934116841 +1189733472 +1322009874 +1084202458 +965273470 +87641675 +1231080635 +2020437446 +320338696 +1493081873 +906038770 +1586176954 +690777397 +144386192 +747716064 +393154824 +578103779 +1724641661 +43967458 +1379594171 +2063029999 +1129464088 +1611527839 +728105242 +1342377469 +1009062793 +635390567 +1916116464 +41570870 +767470792 +1455276226 +1975687711 +1957204264 +629802452 +912406521 +774994087 +717444127 +2143487156 +647947885 +1037782824 +1489085382 +1553986656 +476476130 +32379131 +1698372848 +1224192194 +425533956 +128992979 +801350208 +469501414 +1508587151 +716896559 +1598965502 +972631342 +1445001801 +793859324 +1981694135 +2080392368 +562492140 +2023265005 +700379513 +2017768367 +1851469068 +510100129 +500087171 +616391942 +1285094216 +1217531299 +612395450 +1933042102 +107830475 +2101480832 +1339545110 +584306605 +2133859964 +890434310 +1808498800 +411910272 +1019427289 +462365360 +881411686 +380530792 +1179261919 +332893541 +1353162135 +476780072 +1126752865 +1187372622 +409688793 +1689245005 +1063153980 +1110068306 +1559529724 +767139400 +1620168435 +2059616896 +1383531342 +757779004 +1129664547 +1995926793 +543337458 +1237495022 +1949923977 +1882882568 +1821801627 +1936300293 +625833230 +1482816779 +200726917 +1645260519 +1945182139 +1082138604 +2025791312 +976960410 +1415032145 +1231469799 +1453740483 +394301362 +271358773 +1863429276 +2083546367 +1334512753 +826013934 +1495592444 +2101652154 +298698721 +1407725692 +1337699848 +1056477725 +389906591 +1186142993 +1599815183 +1627401613 +988583323 +1335214103 +1301719592 +777399968 +1961047333 +637052724 +978126886 +1458824205 +434751215 +2060265490 +1337131869 +1411711626 +1327813987 +421118020 +717968461 +1722115349 +692476793 +433914089 +1658178068 +2026989547 +1259928023 +1006286864 +1981158053 +1558626744 +266528908 +1171374253 +467620822 +656435499 +210033599 +2067436005 +136353464 +1198616922 +1255166461 +1438073057 +1976016890 +1068730146 +2075125781 +806660128 +380070703 +362393348 +719441970 +1717202572 +1774104974 +2047255957 +2138320592 +344589787 +1621887658 +683313738 +778503876 +1132582079 +562819637 +2038431899 +2138868943 +396494042 +1449574996 +257914204 +1567868295 +1917195818 +914349703 +1777901894 +1837148175 +1050703168 +829035168 +944830988 +341292577 +657568411 +2013561135 +268934710 +1464228539 +246148190 +631328058 +36186862 +1963350763 +257949385 +2083442819 +1954187707 +602539172 +1557846830 +490017797 +1381043049 +542945261 +1052837434 +1271991300 +534330556 +1449331476 +574082648 +792244760 +869716124 +343794818 +1706594464 +500134370 +33459346 +609813984 +1329169539 +978290334 +951106561 +1986737950 +844367821 +1220041271 +1303482841 +1090516012 +1851369329 +1339669703 +906383127 +2109318714 +1275628875 +713087186 +564374239 +685992057 +1203104984 +1945417288 +1228937318 +108458770 +1069924940 +1763267874 +1557790247 +1644007589 +408028987 +280022723 +1987802407 +2114623451 +780157093 +2021261753 +576953787 +2109326632 +852068440 +1528060348 +1948580934 +1696436261 +600617971 +1104580128 +639468625 +304503652 +296766183 +1545851752 +266338719 +1572395058 +111455291 +830712958 +110903467 +1314560275 +628646598 +1339840785 +1423019045 +1698571538 +955625012 +833325644 +1195095479 +1363653999 +1113348367 +1035414239 +1330793802 +1893505461 +909192344 +1907747589 +1855348445 +1761260784 +1288324289 +1656445732 +1310213398 +1888942260 +613542212 +1949682023 +45962264 +910308395 +1348050128 +312300983 +335219806 +1459505419 +1143013941 +446123273 +626582046 +1771660539 +1785964059 +2049601091 +1322748430 +594105423 +735443088 +370360261 +1957759422 +1848791455 +1405774500 +1141069576 +1594813268 +167483197 +901333517 +1302678066 +1928743981 +42174158 +811640150 +1091473731 +1931116418 +1425182362 +893672107 +1977078682 +188007109 +94238587 +141896018 +523226915 +1553744006 +1284909959 +969350189 +32842404 +909086851 +607830600 +2082443495 +84351633 +1201936023 +670402935 +454711894 +1012211797 +371710743 +1860486395 +5797725 +1966524011 +2027969592 +907131242 +1121718429 +1809229925 +949305400 +1933358579 +753220009 +732938170 +1211057293 +1646892116 +562533204 +1399064403 +1741130703 +704429222 +1922291318 +1147391061 +1989339182 +744157859 +1180233465 +750942385 +1351988459 +1115193312 +835294018 +406440834 +1785596248 +1290005912 +1418652631 +9823343 +1003008659 +1424450356 +1976347354 +883494603 +184097950 +950582136 +545240881 +1133403350 +736457067 +1298460890 +1866341520 +1947514361 +797869358 +281391077 +1199095116 +391516413 +985820299 +973902786 +1538907474 +827675833 +1718060646 +571657291 +1578618218 +922565457 +1686850603 +266428588 +1329006292 +1324963203 +1556434501 +600175275 +1334786546 +411959512 +2024625632 +1163650253 +1295454116 +61239934 +2114232389 +1840694997 +1194643285 +703205808 +991672239 +913501157 +503236521 +1789541597 +1194892234 +1702331637 +33574362 +33228886 +528750776 +1572481836 +860904719 +99327774 +2144139127 +292039290 +1021893231 +1683506082 +558467878 +203415875 +860985638 +2114902379 +803591151 +48288536 +379378244 +680733135 +1211938789 +1674832360 +741973069 +1178687530 +1368043709 +1936616354 +1881893339 +212232300 +702633864 +237646212 +2001773897 +1897526098 +1939977850 +2035348259 +1930754984 +321244978 +1460346447 +644176056 +420572752 +1457001926 +936215346 +1442465983 +993024360 +1494683224 +1645881859 +1854009998 +1462101956 +301989362 +1902298535 +1841480200 +982722497 +966753676 +1368828912 +1724695566 +2145441207 +589388973 +1513828273 +1879850898 +801621273 +68978489 +2117497110 +655911522 +1966504587 +1909991312 +543776133 +1749775924 +83752642 +2004122580 +246468332 +504325394 +1313640858 +1182683678 +1946791378 +159181570 +529883254 +1445189589 +2013191569 +1991985210 +1747178951 +1768006456 +1685981762 +582417800 +587276484 +907327026 +159629718 +585234043 +1496715999 +1673457991 +317601293 +150853624 +1742436480 +287614756 +806765146 +1561457420 +50122420 +1350541279 +1163749696 +133875063 +1207180211 +1410218028 +638200457 +373337421 +445418058 +437508187 +532518992 +975301312 +1882697776 +398226913 +819802875 +1482393079 +18749721 +358300989 +2064810879 +606026205 +1265628016 +76956950 +1191260249 +614860367 +1750414941 +1508861542 +765713992 +1345367774 +1796476298 +1572479138 +759341546 +1846598719 +775536770 +1923091242 +1980473782 +1982716981 +1185825622 +471190591 +208570755 +1631243680 +908698779 +741089747 +459061344 +643912907 +1139316660 +1278864219 +2126305987 +1158066381 +1637165209 +2043633218 +1764092586 +755309577 +2120590168 +807869187 +1370169944 +1723521462 +169247082 +2135883936 +921405588 +1965723380 +1560879427 +1680747134 +1664838451 +188932549 +1456354728 +1497828585 +24165882 +494696702 +1969019177 +232736637 +2125940382 +730234308 +973826384 +437518078 +1374147215 +2113143044 +1716382298 +1352969554 +1123725777 +1206063859 +1249119125 +740334716 +1961373436 +1222225645 +1548203903 +1184059732 +798263459 +1717450985 +1172460021 +1719669047 +1535690718 +585855800 +1252932533 +1053045521 +774788349 +561803613 +403390459 +798954231 +1056500315 +224925988 +1031690869 +1034957049 +955160296 +2005517253 +1472475128 +181823863 +1971176650 +1041373778 +1534793418 +947418779 +99953989 +636428895 +1687753495 +2061327425 +1858654540 +1088473751 +1097903509 +509434352 +658441088 +122879882 +81619751 +46648158 +708735682 +1334552285 +1099693680 +1483524031 +1896355898 +1503084139 +134994615 +805372566 +1728010127 +1166685484 +1840329615 +535686775 +1024719089 +1165321095 +717510638 +848412091 +59211225 +104820408 +1795830871 +159165214 +741249303 +1336100718 +73008991 +452420196 +277090821 +1170912501 +961854548 +935531910 +1293792383 +1043474299 +982180068 +2002528066 +230542936 +2081873748 +1338568449 +2126898835 +1437474239 +1473563064 +784787753 +1018000718 +492764900 +477633720 +1553687493 +1517483990 +1642954816 +123714484 +218412433 +1702166041 +228534892 +2014243304 +1861331256 +969784196 +1202860375 +1934340247 +1422204392 +1479951196 +957769100 +236575292 +267999458 +104077836 +1280049591 +1250179527 +2106605902 +1510592528 +1184569627 +1297690703 +1490007715 +474560219 +623770120 +127311820 +1492560937 +1116535020 +604945540 +898764783 +486535362 +100416708 +1022479267 +704947796 +1802582750 +1251014159 +571707452 +1516430358 +73314707 +1774567827 +1303286957 +1495519099 +1107035376 +113572410 +1732094391 +1375034834 +217650246 +864660335 +477730713 +176772500 +227769215 +1662300341 +1474463203 +1717776930 +2136860560 +2098233323 +1845088750 +1481937849 +1067284696 +302550642 +233218984 +1553820058 +402967351 +1255698251 +111284206 +58066453 +359228763 +682991659 +1574496811 +432543470 +310075838 +730300120 +1928062570 +1417111214 +843872530 +1512673313 +644662401 +1061522776 +229850000 +1122393114 +1238295276 +457619215 +637209807 +565274832 +27912497 +626586719 +516024507 +1873001247 +2108524569 +1583309203 +28068242 +194259905 +989645614 +431035593 +1449958157 +1100929820 +489102046 +1809186920 +1783921479 +2063598857 +94246742 +2093997318 +646415329 +2022309312 +1363624884 +1490287860 +1387498978 +2008287285 +404326988 +1617348978 +983196752 +1642622265 +2074968194 +1620406559 +60413449 +2102880691 +99509631 +576437956 +1828398291 +60550552 +12263512 +1856466533 +254810457 +1001909126 +140018478 +1704768614 +2102838946 +629120524 +1366471886 +1739276778 +545235733 +1460718629 +1685790448 +1191651062 +1335544293 +901931684 +534455274 +575559623 +762735322 +938782263 +45424954 +1745932074 +433920880 +2120393148 +1218854985 +494334329 +2075790191 +1318364616 +1070772285 +1756704834 +1378915168 +1083035797 +1465687719 +1633725626 +2084944923 +1605706197 +1191010592 +2040300222 +87343073 +409998831 +1632093352 +632578806 +1870717460 +1170400152 +1824229869 +1058778105 +2072331836 +211201495 +1634337729 +687583510 +1149983758 +1679762683 +286031936 +1583904638 +1652672183 +1504886922 +2078238967 +1580978726 +675767890 +1001527605 +1190199913 +2054683059 +2084563402 +508403984 +1540925037 +2022024678 +2114110182 +584451981 +1914841252 +53969607 +994450812 +1399450956 +686548414 +717684624 +422367460 +363294635 +1776462730 +347215648 +574496130 +1263316811 +1034799159 +1724479889 +795595846 +1320831095 +1160900879 +300784381 +678234369 +1091656199 +1881763107 +1354002260 +2093183804 +924479372 +1261201671 +2030263558 +1432883357 +654643060 +1904804588 +1399509891 +1239095041 +1672162192 +1453479498 +86062206 +924129500 +2140027912 +803746830 +1346496960 +355838899 +432725912 +1693712609 +930335030 +1696042723 +581028120 +507331271 +344154921 +1901859215 +1668232150 +644939302 +432609937 +612404701 +379218762 +1786612197 +558104857 +1303698134 +900330220 +440884768 +589097843 +1554973280 +198205708 +1988607734 +646584673 +1870367901 +1294603585 +732646879 +647013753 +1287147849 +1536393710 +1993510714 +1642986749 +1969119622 +1539739675 +425838131 +1517678698 +2120767795 +933169402 +1861833619 +1875143362 +453917904 +359289274 +160269651 +1066322606 +738508036 +1946881848 +1624427463 +2042206170 +699728420 +2065312231 +483820366 +107218052 +116034292 +324944452 +753802726 +1986402193 +1619548037 +1486449605 +485932298 +759212239 +875359667 +331959364 +254715340 +696995642 +1871699039 +680553471 +67190692 +1844983186 +1613722873 +1929024311 +1572642901 +2067640777 +140829937 +1732912552 +986479735 +879337973 +1532310753 +463423551 +774060496 +84555525 +381252134 +1257880862 +191773578 +497286426 +1582825314 +945576304 +336204971 +1054889704 +284542261 +822137270 +1814101943 +1159901929 +1154096634 +2068817283 +1856897571 +878312026 +601887106 +1924088263 +575811564 +68126331 +1705628926 +970817 +2135767108 +1846458864 +1733883370 +974763196 +578313189 +1118710475 +1438186747 +1352373685 +1203266000 +1819438881 +462770899 +1395039578 +169241660 +2045596214 +193132234 +505446631 +953002270 +477674496 +1327583901 +619620565 +1637576425 +334196888 +540954200 +1346990348 +1212508914 +1142841306 +1123594963 +1788320478 +1210967637 +681740241 +1789291296 +1199251097 +380715457 +1375691018 +26530645 +959028647 +346917845 +1464717392 +163918684 +1550183845 +1136672626 +626689584 +797739776 +1305914286 +524802150 +990872010 +1811360917 +1477804420 +1468546506 +991461171 +2097424985 +958639283 +1325658059 +490895537 +158145983 +390683325 +1633736843 +1281740946 +31520155 +697220832 +1963481188 +1820811451 +1896471929 +196712997 +1049018821 +1923002575 +1155741644 +1395936666 +1240236319 +1319660329 +798636864 +229425297 +1946349913 +1596376640 +1535339583 +323668415 +439765002 +1199216853 +1801472835 +1908311509 +43194376 +1751414172 +719467144 +1368852435 +94826061 +877613128 +1759535760 +1728562904 +11870426 +1791055915 +278300088 +1975351614 +1464383719 +27288369 +24580964 +365918892 +1950290944 +1180322608 +1761855559 +1043043616 +352499289 +413008775 +1272468913 +151365554 +2009385415 +660324849 +475033969 +301666769 +1859541702 +129023156 +62494630 +1902736078 +1880437328 +781961775 +1124104865 +1975263389 +1659574903 +736156977 +1556342645 +1671445329 +379729244 +1834642733 +1499313296 +1844112963 +1861931103 +1523894260 +62548208 +1664738399 +556733220 +1824403767 +560298367 +909232510 +89928894 +1832767281 +1060598064 +2099314309 +345608482 +1535632034 +253497430 +57666536 +1664655190 +315992061 +1960402614 +1397608871 +1097953836 +937023831 +1225388612 +610045091 +1673180808 +634247610 +134006772 +2052910052 +321406695 +1633320068 +1749539368 +35854150 +1009730680 +1812087576 +1700592550 +1566463901 +1489007695 +113407269 +328212763 +1578936589 +1946174550 +1388810827 +1530767250 +144299384 +776959213 +1784264680 +201965920 +294130756 +2100256741 +14884886 +1691739627 +1050726929 +951908717 +769644591 +1660772020 +477605877 +1403892201 +1794778793 +383032282 +1725298897 +1280615213 +2132571650 +1761153047 +142862246 +1797175578 +1314261949 +1709326147 +1138699625 +1427669219 +2037538910 +570152566 +1226360121 +1278866089 +2100919816 +1370659506 +2055825303 +1737700848 +1572625426 +202472411 +1690473942 +1587510313 +1894212038 +593717223 +391935382 +516372981 +107005596 +869541260 +1920265183 +1901784389 +1252573542 +1498080432 +1034915954 +1237661544 +1111749831 +1177778200 +887353474 +278528133 +739620699 +2026053099 +1706197352 +629675961 +448722017 +785073825 +1908542051 +402158185 +8249683 +1816883706 +2139859033 +1580875110 +2019356117 +1682849327 +1020901775 +1766084507 +129082903 +1412837157 +134973840 +236088499 +134894769 +2055239023 +2137872888 +1387468311 +1405835807 +1025305194 +477646207 +370101991 +55599747 +1364999681 +648630124 +795220446 +1243569132 +207343828 +1424896408 +1692291149 +992417653 +1185954811 +2094449334 +1000667337 +855354869 +2086824720 +434058799 +727227338 +1622190399 +1454960574 +345828197 +1751273302 +720314083 +480802037 +1987361801 +855208853 +388557413 +1977751041 +95193516 +1794393220 +855572588 +572839724 +17011563 +911172335 +1937839405 +665641687 +1706392781 +1033924890 +872985515 +983805541 +578732391 +1865403169 +22276704 +525698078 +718586858 +877631573 +465039150 +1152645657 +1604858911 +2087229549 +460122583 +1950687108 +1691019204 +1180436666 +284005498 +1530897357 +2035645519 +672562911 +1361164751 +2130839036 +319472483 +69253691 +556195112 +336484047 +980426026 +346550869 +1002125734 +539335159 +1380475759 +1875111250 +1523140701 +1959208151 +1593030771 +1545417405 +337422581 +164133981 +275565331 +802461731 +1316779638 +1880424242 +742207632 +1776902221 +1683627703 +285743188 +809855239 +1967633201 +1816640546 +698017111 +492712464 +1030321649 +681372499 +812184947 +1099575340 +1237567611 +1148668994 +2080001366 +1584118480 +3311081 +471852877 +817110592 +1878422331 +1994993578 +628835095 +1323969454 +1392927336 +966257676 +1488103435 +1668492667 +1768719407 +657399425 +1401433261 +363443391 +286817998 +937577316 +649186580 +1096673237 +757726869 +318343478 +1794690348 +1250439333 +1348665127 +328579199 +2062624281 +300756819 +1566146810 +1063809627 +233274537 +1002781643 +1067120708 +705127414 +1819892235 +798059391 +552637345 +301243682 +2122028845 +1945564681 +1267501358 +1462648632 +1466573700 +888737117 +2120048057 +720523313 +1252180508 +259382407 +1658100630 +1901367088 +1356055645 +268343851 +72226918 +1003262345 +1518783185 +1420892045 +1331841545 +1433923818 +1721648864 +750504707 +350249797 +1954923401 +1753286350 +1417370506 +512567168 +1425694937 +67946249 +1065204513 +1726938619 +42491447 +863285546 +846956329 +1505140079 +182375598 +1735693446 +1477704489 +902898911 +840390307 +1737086896 +413515893 +594273747 +945658893 +681859745 +666500666 +1948921239 +53159282 +2087392711 +1133279136 +1487083100 +1661557928 +1883783843 +1837332897 +1468997681 +1489586546 +1107219755 +1981564849 +767797835 +1175166005 +899285714 +347252807 +1217657452 +1762571260 +1194209136 +575313883 +1944946858 +782418935 +2053018372 +700362122 +1622809242 +1642621621 +1113878015 +69599341 +440796866 +1795737760 +736100007 +242234457 +1848897042 +676009071 +1375513593 +1188496494 +190083351 +1111813789 +878345744 +1659081032 +453916687 +1985565499 +1493162234 +1221714522 +1013247856 +244964300 +1568967329 +83421660 +2007535561 +615692818 +658735544 +1804998771 +1398111753 +564270268 +357877245 +873437347 +59408241 +1471755261 +943036688 +500205108 +1120009373 +1679136696 +742439565 +821422768 +207662119 +2117953159 +2009919262 +397745470 +1082283300 +740781358 +2056826502 +1536199987 +578863210 +1402505088 +610430861 +1592111066 +1647469389 +31914543 +1675532727 +1507521302 +647607361 +186784623 +1165036425 +2045719114 +751054891 +1522913671 +771672813 +810463133 +847185284 +1714709501 +1310668241 +1967194657 +1246362549 +2053107806 +641133777 +1454024668 +2023577317 +503569392 +1851770138 +958376969 +1244350750 +1761112993 +347093308 +1823213960 +1016134433 +957524170 +1267841379 +516120174 +989438713 +795890458 +2023641476 +1637046074 +982675081 +1041194254 +1535281540 +1733729972 +416624277 +159470705 +396709457 +1263809561 +1874180206 +1707377698 +1083520570 +973059108 +1613001857 +1724654348 +279600128 +1489095526 +80740092 +2131370267 +299988848 +1325090842 +1744999612 +647082156 +1000821155 +613650397 +1604606326 +121178886 +1129770572 +446561391 +917069344 +1005928400 +2083607465 +1899744425 +2047122654 +1471405357 +1485990749 +316263283 +1630876062 +1882700207 +1580072844 +1357572621 +1442594257 +516109767 +183148081 +908112466 +93280467 +462748209 +249724345 +174020559 +446634828 +549713193 +1499111401 +44150792 +1196795349 +352448908 +657801190 +653918028 +473627794 +1787571762 +1100479419 +1390697138 +646016514 +1036603237 +1142957915 +545655521 +360524946 +481465017 +861918804 +1991401009 +216681576 +294508001 +1201489982 +1659275833 +810617768 +1384638063 +419904652 +903898235 +1847386272 +669628997 +1077918794 +146537453 +1219342190 +429546547 +190688245 +268653891 +781995456 +848489435 +922571919 +1255623250 +488577549 +2023051339 +498836741 +1134594064 +912170928 +1641794656 +1680249585 +1272695874 +2123259673 +394684741 +1116613235 +192457601 +689192742 +170619569 +1851733435 +1499810510 +1555257632 +124154439 +256225097 +1255160257 +793783436 +1334143891 +1401697710 +2013125626 +1763690439 +1592385955 +134295869 +398202247 +293391743 +1056867789 +1653825497 +781969292 +932435480 +5178590 +1916563356 +1844606408 +1646973247 +1449329293 +969818634 +1622749272 +1844014035 +2086431870 +1815206874 +385723129 +109567791 +1519456661 +1885533640 +1664825424 +1643611100 +2141758737 +772502033 +289910888 +1328418981 +26716095 +155552866 +944625772 +1619102050 +289848735 +1342828019 +1912493793 +1346716524 +849169868 +546979438 +131668356 +854348459 +316059146 +1976274764 +353838058 +1765388440 +798609751 +1976587330 +1461918827 +737557973 +1644310556 +1847641956 +847125764 +1016283569 +1585691948 +364467540 +512411021 +1579967038 +1136969573 +802321909 +760902371 +1163685668 +957874775 +1705528143 +635304071 +1247723511 +900872514 +400314216 +446956387 +1750042382 +947293654 +578624744 +456907193 +1263352801 +407415860 +810745251 +881257593 +1206025611 +639848934 +195692772 +1943583584 +136675842 +2043334728 +643225701 +1152959412 +1481543029 +1007693241 +1665370433 +914026419 +2144662815 +320208695 +1674928790 +1160864835 +1278083470 +1232973285 +1796168906 +378323333 +2133845799 +48999475 +825279721 +1736404533 +996293129 +1403904465 +45828079 +112162282 +1811320325 +856573330 +993419875 +869862289 +1496422264 +1189112647 +665962225 +1633098107 +1084963728 +1309187926 +638573871 +419023109 +169397520 +156460656 +1333049528 +166576687 +476669351 +860494670 +1327441522 +1754752822 +2093467955 +976126781 +2133076155 +2079830106 +1025126256 +810872228 +1668750991 +2021419385 +67293045 +1714579070 +2133581668 +1878613371 +423668753 +979517895 +600992012 +1920091017 +21146895 +1266954237 +1405705476 +1106110623 +428658516 +2044279347 +1525133732 +598056036 +53256356 +710699612 +764632723 +529925707 +1571194282 +2092074245 +137194881 +1517178589 +920717378 +122787389 +1449525047 +1945843634 +933659617 +970792390 +1819779372 +1000952663 +537887813 +1805877392 +732082386 +961556566 +637911639 +1333074398 +734163935 +659058534 +452544987 +2139869412 +1765169157 +881203503 +2036665111 +1142819241 +1479259539 +2089921467 +1853518853 +96408614 +472363527 +1277229487 +40999212 +609558408 +646924428 +961716590 +732345797 +2096449475 +760076577 +1666005415 +919758218 +432372301 +519474430 +1457646031 +90766045 +1251556816 +271718949 +728677684 +437147566 +1005882884 +1387736219 +889692553 +998268648 +1005421728 +1770896057 +887450112 +757322 +1102671948 +829887931 +1854276175 +1199080563 +1302251458 +984022015 +1240079775 +1911809867 +1630946443 +54312717 +496672016 +1579912271 +814389294 +15193783 +352186841 +1246761595 +534668213 +1809832872 +1337527640 +1786225029 +2081551821 +2066205325 +75888947 +939951057 +1306457896 +965581501 +1938219706 +164395976 +588993910 +678186170 +165153298 +1691665858 +1508074101 +2019429474 +743262773 +662841912 +855967841 +1983342548 +427168131 +339430636 +2037655266 +923840147 +1919342907 +704560912 +939033931 +124046100 +1951322508 +1473702144 +1933878972 +1141366500 +1112443526 +1867947145 +1060088177 +1188332473 +660414555 +219062425 +6430326 +451150613 +383458402 +595424236 +1129336783 +548611700 +139606447 +489927236 +420557526 +882869220 +1152769148 +1276525367 +718728121 +1579937279 +1615956004 +608899739 +356293779 +1387815263 +1313460651 +1295327710 +1511861364 +1117299511 +621546206 +1298256688 +111182364 +1733989732 +1018720186 +1171270541 +774838558 +1679134741 +1390332967 +781268884 +2130285354 +1773791369 +1376693121 +1112138489 +174919421 +1516299568 +1602065725 +595476948 +251685140 +607351226 +1872002315 +970413261 +39804857 +1340474671 +1579313000 +396098636 +580806287 +745290004 +1691426346 +2092667651 +1862589515 +165488905 +1243440691 +1973771879 +1899478637 +114677229 +997558773 +526833547 +1793811970 +240408092 +1308102432 +1776613676 +2014199461 +537311905 +741268517 +41635234 +2053611473 +195850595 +637112182 +157812965 +803201821 +361630850 +1128226227 +843006678 +1702105521 +560055579 +1239105315 +135428160 +1305345583 +783048013 +80612163 +1020451451 +948536918 +1324052855 +846739682 +700531908 +1438730084 +1844298455 +1227365455 +1085058407 +2084706547 +387984239 +714188435 +1951422360 +925296144 +1455456953 +1993057595 +831423969 +1651307548 +482686129 +989236935 +307025721 +844316979 +2117463162 +1150032399 +398938853 +530035093 +241654066 +534367013 +1835380677 +1024702080 +614979177 +708348480 +1973238998 +1939032032 +1555088162 +526287258 +1230278468 +1251902970 +1753652714 +167853227 +1189125869 +2141636953 +882041663 +993064582 +919449450 +190014968 +838638529 +1750873419 +1841322516 +1321324658 +592626706 +864589 +18157990 +562606220 +1150896988 +417096843 +1092641314 +1392551055 +951463856 +780538343 +269769487 +1566443033 +1488886823 +95524837 +1357991417 +896491337 +621812096 +440786238 +910659 +227981162 +608639465 +1190036529 +222134467 +1490681128 +35617463 +1141583917 +1680696096 +874255992 +744973689 +1374534964 +48097002 +1337600395 +1375399553 +66254992 +1900206616 +378812894 +483351835 +845364282 +1771363949 +1434815692 +1625902625 +2041133436 +853775077 +967305800 +2136658273 +64282847 +1863797137 +610986721 +505069085 +1864707797 +838967883 +1113708550 +907260678 +1061102351 +456906031 +942878141 +55202620 +2137602127 +1817134133 +800176309 +1364653444 +1865231135 +2137776705 +592569349 +1931486128 +1890499673 +971382243 +267354315 +588380307 +595262544 +1702170007 +66799284 +488912332 +408461437 +1034105084 +478086958 +472744284 +750418573 +1089073679 +977813369 +467642722 +1928041563 +2091521919 +1374903400 +841660266 +400944302 +170297893 +896862886 +391062782 +1987432026 +1697039196 +1755716226 +1705179514 +1687332253 +200801927 +1489181994 +1430348278 +1172184171 +1756536309 +2018728585 +1767446715 +1311222669 +2085527869 +108875400 +1719684106 +972149305 +586962358 +44944742 +1722567878 +1676036037 +1022758111 +42726953 +1456593952 +966796382 +1417630353 +150770570 +1367740685 +1587928247 +1047633457 +1758803467 +1427876625 +597189005 +1367036045 +985572491 +137037610 +1567837972 +327270837 +1567385888 +592538495 +2083807147 +1438630825 +212501563 +1247546168 +1376675046 +321376963 +819746626 +201340703 +908339321 +864691368 +1923908581 +436891710 +1887449479 +1966635534 +1893485663 +706762213 +1236782240 +2044256233 +2074502898 +677226839 +944406042 +1685822717 +2105103464 +1541595047 +905375114 +943192308 +1678632657 +325729439 +1270463145 +1098534897 +918267934 +1206786644 +389682074 +1130769497 +306849164 +1766357120 +1452146460 +1126595790 +1967697823 +213002133 +1991287158 +1744122757 +649893844 +1731252989 +1563274643 +395895859 +290531555 +652573235 +292668444 +217550805 +1329800074 +1237074487 +1903373523 +1287419891 +631185886 +661264989 +83128551 +162334896 +986994428 +1353591696 +1260869793 +1905262363 +412894693 +1650551868 +888548212 +719743857 +1269425340 +193211025 +1846339648 +1089639516 +406213158 +1690143158 +686278625 +1056107002 +1273912500 +102069620 +1452002861 +1564444055 +754642856 +1744671306 +1781994860 +2084442930 +834262145 +1537884735 +1224379173 +1465448031 +51666077 +1307507724 +1627782927 +1038660505 +513615773 +741169073 +796439220 +926510466 +244237293 +1684987433 +1646254323 +1513662633 +1878198458 +1345110323 +455818501 +136927968 +887769834 +1142097126 +1193034971 +14198686 +1244166747 +497554184 +1578642741 +1998809603 +94741842 +1213153953 +1935768885 +929003987 +603555041 +1012664411 +246968371 +655221118 +172688487 +1874751298 +1693881623 +686304260 +468436723 +342837196 +1612814726 +712674016 +2027824629 +1111585402 +78853002 +1758539439 +309212077 +534671503 +1895467407 +1196981911 +1676768630 +941018730 +1211180597 +773451729 +1438572915 +642339690 +624777684 +1533314757 +1855493644 +413062921 +314835097 +311565037 +1425727332 +561803468 +966786155 +1598415820 +289071118 +513184130 +137236432 +757507842 +856021326 +1750051159 +1470181858 +736362307 +714152913 +1549034860 +347418098 +1023364990 +2083706364 +95401858 +72863254 +1612991346 +1036420588 +1284043851 +238959427 +327509855 +1926383542 +863737111 +1860824613 +1634393538 +1276800032 +28176062 +1945958575 +555043717 +589979530 +765261082 +5975889 +879050648 +1278445212 +143212321 +1636558490 +2134466539 +1893263480 +959256701 +723345198 +459932745 +360807913 +1070763297 +1483297736 +297030629 +1166165155 +1556160990 +1910021975 +55102095 +692721193 +1497754 +382611951 +471621087 +865234865 +95952916 +2106014625 +2142034898 +124128978 +1904489552 +549594967 +714108508 +522266986 +555570856 +1593159156 +1800712199 +698783177 +1082233999 +1787695090 +444563010 +2041490700 +363556640 +904495755 +254814965 +1434319937 +240309843 +551845595 +453001444 +1796470833 +314383922 +508103540 +341708379 +315881677 +890715491 +813329466 +1181116542 +986668407 +771860444 +1175667792 +1110797385 +528866348 +1725262759 +1824905893 +1051133335 +133349967 +1270581401 +704361886 +832133145 +205331752 +344573328 +1276696155 +99338804 +708129968 +33708262 +354153770 +2142449906 +274018106 +905999365 +447967702 +2070488939 +1220383287 +956071242 +264713670 +1536264964 +1846786733 +1078043137 +569897859 +685971492 +1849903581 +1745565651 +1796768877 +231286281 +1323344763 +1474191122 +1282419616 +1456694730 +597288876 +1986781502 +141344227 +802620628 +183871182 +1418040382 +901959433 +892001151 +1451748645 +1256113203 +886967409 +1725766751 +14628920 +1334935111 +1648772042 +1235012207 +143522706 +1913485713 +623793524 +1990309439 +844045202 +1193691383 +528797284 +546465135 +791773386 +178082513 +777751416 +2115118149 +1652273636 +2060171033 +1424329232 +102078864 +1899468887 +1565673459 +904699492 +2083340070 +836230194 +1806658925 +827857573 +140495191 +915288480 +1714824982 +1866261942 +929917400 +902276445 +1367550336 +17445960 +1045799151 +1133552401 +641239484 +888624943 +1977597603 +1834930867 +1417422227 +376579090 +479220605 +1595504740 +1154330507 +446855107 +1100294728 +1067017892 +1871184339 +1202373592 +819003131 +1289374150 +2107073085 +754859553 +2125604344 +1766248362 +1582717126 +118615887 +534053195 +1150058460 +1984877829 +1463970595 +2052334906 +1204944518 +1481416555 +950650409 +191013271 +2122656039 +1839275352 +21127227 +1810103258 +1109213931 +397706317 +141840216 +557235024 +1552036824 +588695323 +1657529752 +471571068 +312396014 +712419697 +1290574200 +1601770164 +672009134 +2045433753 +1579890861 +290773848 +1480667232 +1698506748 +824827043 +483242044 +1535900930 +141313991 +388093302 +593361800 +1622730546 +1338743712 +784375071 +1597902938 +1030535416 +805502298 +1260522548 +2139749348 +1203208616 +1402362764 +549500724 +607761792 +1991058087 +59546828 +1079332861 +155970453 +771966525 +222423413 +1757740618 +1443975659 +120373518 +1190147831 +1734749508 +1601040750 +741170931 +412092903 +2084282795 +129588213 +553406894 +324892449 +722950013 +28653793 +1663636161 +1507325085 +1626556731 +546687930 +165343735 +739595631 +538953630 +1368552351 +2141958396 +1088454354 +1976314144 +1985532835 +1148001182 +908163357 +2141503289 +1919967708 +1130586770 +1751760259 +1216459719 +1250960288 +794424442 +803725579 +704517391 +1535595373 +1215818483 +641316538 +1665183587 +1769225377 +966208987 +240649952 +1797879170 +482361501 +1747975037 +1276952253 +1029049431 +1913318773 +2016547885 +1568003061 +1134387476 +2011022633 +508973767 +963217972 +1849071820 +1656974949 +1871381329 +1843091461 +1429459009 +854484451 +1447368072 +498435081 +2105444740 +94308866 +1302160660 +662478483 +1629904240 +370495495 +1303795021 +1147604179 +2139720873 +122520360 +1388254131 +1790116395 +604881861 +988745521 +919585001 +1633931292 +754580646 +788649238 +1054450705 +1888968122 +652188223 +1563424472 +704702447 +353776395 +1072915774 +428600128 +49384209 +354891135 +1283084580 +1496752281 +853326216 +1241045672 +1591061148 +8003229 +1903524155 +1073481740 +378498724 +1059835528 +73602271 +370735949 +1182355888 +1461856402 +13368697 +1787237750 +303118275 +932953698 +1273685394 +1057698921 +1721602936 +180652452 +799183396 +226307511 +1744076924 +1503885843 +580083906 +669509050 +1932485971 +629468115 +1024400186 +1068086903 +2126220397 +1877726402 +161648927 +1569797897 +1885729631 +2065173082 +495795989 +116744708 +977524962 +569398260 +487480657 +12397203 +2031254662 +500849354 +1799634953 +186889290 +1433803052 +925836699 +1244588211 +1007922340 +1106489151 +2043771607 +1234229851 +703082428 +1400173802 +1814313758 +1372591478 +1185176126 +296298225 +249508016 +105779381 +275034974 +2127234419 +267428309 +1844832871 +1865480402 +185117743 +193145212 +1982225110 +1162642706 +762543472 +322222120 +1175039909 +646314487 +823071474 +827191214 +833203777 +109390879 +1753027913 +2077791988 +1117313219 +712033417 +1974079948 +204059423 +1415115845 +1226770102 +2018373181 +640223675 +264462580 +167187758 +889731692 +370241962 +442222733 +869482463 +637670271 +139571956 +587479217 +822788014 +332717169 +422220680 +1985430720 +1095260641 +744442800 +1012986981 +1741575128 +1567514274 +1840178195 +427295257 +1676905153 +1445722461 +357603598 +646734725 +10272230 +184199898 +850794148 +1425388075 +1410970000 +721683681 +2065611750 +1675432581 +888871439 +807859794 +2045674543 +1331094172 +1677342257 +535861166 +1470666129 +117337827 +1358649180 +1803383298 +539558507 +1196596253 +751160291 +1284001307 +62099586 +345251772 +704031933 +1902277782 +772547029 +233453439 +1200516595 +1130150627 +880188164 +1210788825 +1314350525 +1730982312 +488693252 +577836878 +305182345 +406821354 +105785811 +1194053784 +1214681149 +3976706 +377664309 +744539758 +539837872 +1848330438 +861877585 +1898487052 +1504230088 +1401436092 +947599657 +107906731 +537953751 +1009699244 +453158503 +1241985685 +764493378 +1225705533 +1475439124 +1965009973 +208372512 +208143640 +1028315150 +1522723038 +1939125952 +1517008402 +2100559916 +96824649 +1923829756 +58862079 +1290878433 +991027257 +62838785 +1668542742 +1735567016 +602676657 +1369389532 +449960953 +353680061 +726135972 +1851397046 +1301279719 +834042704 +241867149 +163495315 +1287201207 +1483852834 +927988693 +365423092 +811808310 +745515018 +573795605 +1019951950 +1773830168 +2096518643 +811594254 +1143354922 +2049594911 +908418903 +919701030 +2108456990 +51813689 +1910728288 +23812127 +1720356431 +1498811656 +626488784 +942262316 +1948772609 +980168845 +1668398288 +1652686007 +133964916 +354957344 +1894553157 +297460231 +1642158552 +1230922343 +1225448924 +2007581644 +2042730654 +1970963942 +433893601 +915198956 +1597310462 +382928596 +1726793211 +593181736 +285039859 +487728466 +1512882767 +246013201 +539542155 +1276127407 +269825328 +112414939 +627455415 +896314112 +1054677255 +428744376 +1876482958 +575591895 +2081430384 +2010447874 +930549240 +1828499893 +160424458 +425224144 +911938588 +1385873382 +285322140 +807185594 +1209353677 +719215742 +1722384551 +659180491 +1102144338 +1301694114 +1252362228 +1387184198 +1789422580 +617761347 +1633197399 +181481088 +1893888754 +1903022728 +293896027 +373860521 +651853192 +1348573282 +802604897 +380852502 +1924165177 +736551633 +243816729 +707230769 +417567878 +404241187 +1132454913 +1329506467 +1790114569 +1417777054 +2136692061 +851984598 +2136992796 +1711592964 +1511165090 +1091653486 +865803430 +616043670 +331354036 +507742363 +1233805017 +1964551436 +689223451 +980210123 +1720090516 +983119478 +1354070644 +224460060 +184209112 +9191893 +605312563 +2108374289 +745743527 +849129292 +668121411 +1163311405 +1253370479 +1800576324 +345334224 +896001400 +1070869730 +334542638 +1747985999 +1060378878 +2046135602 +1111667441 +4548717 +764455385 +1727711111 +335902753 +1272197748 +814032480 +152970541 +1961421199 +1794242603 +1873061057 +797057029 +1000829599 +2097521118 +981266141 +1010021492 +555350033 +942156782 +1755765019 +1404479325 +1610278193 +771592777 +510366156 +1263370870 +1116927001 +1406367556 +186756952 +1451469639 +1006869907 +1247135831 +1350121594 +2118537348 +1251684548 +2114576979 +1698764811 +1587587301 +1239291079 +365313643 +1740557843 +1053228630 +12072598 +1466135252 +1850285659 +1012902197 +1416172722 +684068152 +2022923690 +1971522755 +1626224934 +1631205061 +1228518432 +1089019480 +255314190 +1738884588 +204906702 +1372241192 +997768497 +391663654 +676227183 +2004638404 +1638799485 +2026348777 +1975692105 +743000385 +1993442108 +1526973268 +183104039 +1085249539 +1892286912 +1923661882 +2138478169 +1904359510 +1242313486 +1841280180 +769778060 +511002561 +377864684 +645218102 +335041668 +2004089619 +128939515 +1563560101 +945625451 +384253706 +1154961041 +1150532153 +1756494898 +5245890 +1542195807 +285238433 +2009884295 +1033511645 +164103563 +1838092752 +1776512030 +10062023 +1217582372 +1959616069 +1095311563 +962385636 +1735794303 +1086306084 +719261499 +830624142 +780102617 +1489039559 +1341626703 +1157967301 +2134257661 +1676668371 +1014573272 +115713528 +1092744824 +1960198723 +499967234 +100222218 +963247228 +108978484 +105468108 +357959388 +394216918 +2115352403 +1391471033 +558320481 +1805961507 +1020499415 +568382504 +876060232 +832631837 +1663694067 +1838445868 +420942492 +602516504 +410223719 +1251566634 +1382619121 +1899263278 +445709689 +393102774 +1886037291 +2122378061 +1407676047 +2001750820 +1067639237 +1220391122 +354234406 +1167861455 +36154703 +463212891 +1273329564 +394114091 +857429809 +1241198319 +1785585124 +1415750290 +899676179 +658600891 +1984132794 +1775736411 +1491232728 +1500343214 +1466698631 +1912175221 +2102859718 +1876922351 +1016258207 +1337995191 +1628701981 +1461967897 +1731097965 +1367255625 +1436862310 +991290364 +1221522797 +357017899 +64197839 +1575757203 +1524879355 +100352542 +2038970094 +650725271 +494466633 +748916255 +1891923590 +132568109 +17182897 +644116121 +791169000 +2001315692 +272368884 +134918081 +1354175258 +1739067516 +2047093302 +1309551328 +1468506219 +915867861 +500062871 +949724552 +230352110 +83677188 +169496529 +1667214420 +1074967553 +1391019326 +2024232320 +1139165392 +819292882 +1401628027 +1239517934 +710779328 +2052353298 +1733984567 +1459695584 +1796793240 +1866552676 +1476878481 +293425714 +510238028 +1330710525 +565794598 +645156109 +537402135 +157378466 +544765763 +1846953463 +1625884685 +1460633625 +199532686 +428125590 +1690985735 +283209875 +597622119 +1210716508 +1358177428 +1988641446 +1087465180 +349859172 +660450680 +341609559 +1589377106 +1371230008 +246479209 +1175878025 +683441944 +2043272449 +894947053 +12836778 +189214515 +1405185081 +1343547303 +755009114 +2050341191 +1880949439 +912387580 +447623306 +1580419254 +390788618 +1908256931 +1779951941 +818914208 +1451759019 +2063161816 +1416536327 +514991879 +1273855596 +1257694125 +1602457059 +1623714768 +1918144805 +1944066618 +1065608226 +1141891166 +43062179 +94002603 +1825333110 +2086334628 +988949656 +1838169888 +128065496 +246651089 +1034233544 +883074610 +149508632 +767699335 +1795462190 +597131939 +200634941 +38767160 +357905222 +1980586882 +857681368 +1809664241 +1896265050 +126734048 +177172472 +1022636998 +1384428173 +1779629531 +498868118 +1155089331 +1576212501 +1564476344 +149496849 +1619274680 +1658478947 +1974829959 +1558125661 +499944955 +1665516200 +1686191157 +746596045 +552266096 +421782119 +896104677 +1319965431 +69760661 +1493236616 +1520600372 +108527822 +1851141839 +1353703607 +966209190 +1513322432 +1102485009 +1092943238 +1690494905 +2125122008 +329887764 +1322640788 +476506478 +1484977095 +751369642 +2040982823 +1634473944 +223160674 +1551978122 +1461820255 +1781286335 +2051923078 +979852807 +1319993844 +651035475 +1532118903 +1741775963 +1547140152 +704600686 +1811536625 +892893121 +77717411 +1920064447 +596551312 +1431421018 +738789989 +2109873744 +386422379 +1831733228 +1652885001 +364060739 +14137344 +828042142 +840567218 +1499114439 +1579411784 +734066393 +986104735 +1802572458 +138560867 +300441342 +1436375146 +43000297 +1280294150 +608885342 +694035772 +664929405 +203177658 +93692277 +1369530092 +2014714283 +986585398 +1447247503 +1787295082 +1583136710 +731184873 +378601423 +1545526806 +1117607252 +62851003 +1050928160 +1481667992 +76988347 +1878970302 +174751562 +1576102786 +1310898438 +908817955 +414723873 +965987248 +1047378822 +715165216 +254878746 +1090379120 +1995459366 +863764089 +1784414892 +512905123 +1066941747 +1878107169 +1882435215 +934172382 +717208919 +1182199070 +573983816 +152861981 +1913383943 +952585239 +1698388788 +883507548 +1015436243 +601833300 +217691892 +1092424590 +333319954 +392443454 +521043729 +1644218392 +1301261409 +935767602 +462721992 +201156583 +1650932818 +717600739 +1291535703 +1498908536 +1581364828 +928466948 +2011813660 +500822927 +659090469 +1746765227 +1434995309 +1376299389 +781480650 +2008979125 +1529161370 +547380945 +814080716 +1080066510 +1430888493 +1829516959 +1681899810 +1648580385 +774457902 +2015219764 +2041023839 +1295501631 +1511954508 +1194801600 +83785585 +1974676501 +1395958184 +1734718404 +544793592 +540010239 +1086143292 +2126158420 +1468477187 +950473304 +479497699 +2127567657 +549754884 +1914493008 +1356383398 +1331235534 +1775988485 +738061120 +1878616479 +442585553 +1818127631 +1162021325 +124618865 +1352543793 +663118062 +899076767 +1220279910 +556658254 +47094750 +584750770 +1751459854 +130880335 +411943623 +999934390 +1865598739 +956737215 +1539944630 +804258384 +935411987 +860938169 +1754731688 +1414909686 +841022178 +157002924 +1181919046 +49921928 +1488238458 +810423883 +787983049 +1219371290 +1253009437 +458627032 +233908967 +1377628302 +1811170825 +897027029 +129221421 +883967087 +1453685283 +176316171 +1468717858 +1057661490 +307196506 +1880661481 +2057595880 +25311598 +689915049 +1450056862 +829569982 +1625327036 +163511384 +436818022 +892753075 +1004533562 +593820947 +2074672121 +1054455491 +2082059405 +737612357 +1842438540 +1153947047 +1990621794 +153581924 +1387856014 +1220766448 +1964752749 +137399396 +1349987869 +701236189 +1591084679 +1526304040 +22470399 +501262521 +1833500546 +1903131880 +411374754 +1858812144 +445563281 +1861431616 +540898478 +2070890318 +2024943000 +977716501 +816159745 +881992915 +1571537448 +743348218 +1936448406 +1506113205 +1480960575 +1631403298 +512576605 +1324098721 +1784985222 +1900432619 +397381521 +1602254323 +2037832015 +1747369390 +156006864 +1481433047 +1126189782 +178477263 +1982695568 +812206681 +2081609144 +246586674 +523535177 +379688777 +2108018291 +1064433656 +303095447 +1985477643 +2042150157 +1119255192 +719986910 +1466203957 +1862603411 +508951668 +824833514 +1196080338 +2140354966 +1337410119 +372695412 +1777856540 +1090359091 +770076933 +1232627216 +980707458 +369962676 +1388634080 +314656857 +1496152458 +1567111344 +149868778 +160875491 +1501236840 +396455452 +684410669 +1880925617 +356990095 +1748844325 +36537417 +194984091 +1643510834 +1155792609 +914971001 +962231143 +870912372 +1423922670 +1787064657 +2066992711 +1416793988 +976991129 +292204475 +1047166881 +2067350220 +1062281408 +132310449 +900574030 +1432244084 +1520944529 +1215230888 +780912895 +940572225 +1365099666 +941788386 +294325417 +1761555118 +1626199055 +27767387 +2118545214 +1227559732 +64304804 +166045657 +723586918 +1220097413 +1081016658 +1685818061 +2091009786 +357455680 +1325399071 +2010518849 +1774249669 +154906552 +155239676 +673932902 +74773124 +1217521084 +806243351 +975347154 +502281521 +179704232 +43094394 +1283194416 +1120276458 +1408194060 +77499154 +1414601875 +1022265531 +1703698210 +1442369262 +993327097 +783774294 +1506674066 +1159372754 +1507361213 +579287832 +92905764 +1045695626 +522813970 +450361445 +223611049 +385849171 +77127466 +378517601 +541088847 +751060368 +453290725 +1758609931 +1557303719 +1428637880 +113407804 +1737007951 +1471732274 +1396602220 +709800761 +732442687 +1474101375 +2124402637 +1754708218 +1030315937 +1419288251 +600551667 +1814090231 +778478670 +1759924421 +1173967796 +1357766502 +1852830185 +72179775 +1880580472 +155707982 +295790824 +118945995 +232835448 +674308426 +660034842 +983895816 +1127599151 +271161125 +393715887 +408753383 +384568930 +2130723839 +1880485658 +1781171150 +693040952 +465444697 +1107788877 +669959941 +72669267 +2138104814 +2089248193 +673220934 +1804711398 +720243215 +285661707 +831195546 +2078009717 +2138491892 +903375321 +1811106541 +146716227 +1199166146 +1930052536 +379551675 +1873474572 +442603730 +1363447492 +853590075 +713764855 +1757163379 +1262343459 +1098333785 +1740403570 +995345469 +732021288 +285960875 +1460790166 +1839810165 +955920816 +1533459433 +1830431332 +897685361 +59196719 +1487659082 +1617928576 +344858426 +171370980 +1548454645 +335866670 +1074746302 +1212077538 +482582897 +126428800 +994646426 +862134573 +1999903372 +1437250156 +78098417 +706009799 +3531364 +1835261796 +1968353258 +1101865149 +1428181719 +816215079 +1833886437 +1714142594 +129521597 +1526212955 +522579762 +1662981030 +1209160639 +1420265124 +1722177749 +549336073 +890710052 +2067036175 +720707053 +291681050 +255419198 +1795453355 +1503758588 +738002095 +1921882155 +350921367 +1600136668 +1774301879 +1788171523 +1678235085 +332828031 +1791702887 +1366013234 +153697641 +746084389 +646711305 +969912721 +432487178 +213370251 +1099434318 +1958700133 +735950013 +614931701 +1020377124 +8731489 +189625802 +1569713197 +899441542 +109178330 +142936603 +1191122592 +364597528 +1938389958 +547397532 +1102599623 +1712788466 +898318899 +555252644 +1339606697 +539006775 +86004081 +1672434728 +183226014 +1452017315 +1826132370 +929310403 +2098728620 +648561443 +1361797582 +164615223 +1747995761 +1173014067 +900565237 +215443814 +45907544 +909296726 +405069617 +1615620741 +1808738268 +514247947 +1758557344 +852377212 +878845475 +1549463655 +1399774745 +1981445098 +1114768473 +150609996 +389214094 +306891522 +689616771 +475218176 +1979326251 +872842786 +1927235491 +1657974973 +1802153189 +1878480464 +159052768 +1016467123 +2043095687 +1907048529 +41997543 +796177276 +2122492344 +87905087 +1705474003 +380078313 +1703525828 +1366728623 +894326260 +1314599525 +71622188 +1773171735 +716579532 +1471396933 +1607133185 +1831348005 +1622006929 +1996347280 +2138239527 +164140053 +324081808 +1970082130 +1036982839 +103833651 +1480573455 +691652380 +1982314115 +1639626223 +1708119504 +1877926155 +1399191105 +1750117047 +526619783 +1374199801 +1838022134 +84610138 +1754278114 +1394064314 +1451338762 +501120726 +561180191 +1522960950 +126808813 +1277759723 +846874235 +1733941998 +961624080 +321397516 +1582805630 +952379960 +485537569 +1906887438 +774978442 +1522520408 +2010721090 +108068250 +66689141 +1845551557 +1747694473 +1774808645 +1575994064 +999401930 +1377442044 +2102613848 +226118083 +1067980530 +39740338 +1980396197 +314561196 +1491079100 +334033275 +875741388 +866556402 +460842088 +6017463 +1713430637 +47300439 +967641544 +2034828154 +1630106069 +1920021504 +372882075 +1389509860 +547516298 +1895402484 +1252747302 +655584548 +1962091625 +950815211 +255795374 +1589416622 +379325628 +1255197304 +819375018 +334455828 +1481315388 +1887355548 +374196166 +1314227937 +54433096 +1865275267 +1648261213 +930174484 +584348021 +2109103301 +936191948 +150295011 +8920092 +1903833492 +37639517 +1639026162 +1676371348 +410521592 +881052374 +76403998 +158440428 +2133799676 +731988547 +2120532053 +937131239 +987783921 +1562465027 +1316456867 +95497577 +234356397 +1650912695 +1576812965 +2121711945 +2025108862 +743557255 +28661394 +1742900481 +244334820 +958835878 +179764854 +205954473 +1895027826 +330059865 +214874566 +1651377670 +367699382 +1853900728 +1180265370 +778220975 +587469454 +1256669369 +936661403 +573785482 +1988657916 +909709809 +1510916721 +828958189 +324691188 +679889941 +924455766 +559047586 +183318988 +353785084 +533275883 +60944202 +1097342339 +561937277 +1803844683 +1341677159 +1520773156 +1983609538 +1547631632 +1268317334 +166185755 +1762506198 +772211357 +533885138 +1468923278 +1952476727 +1312106113 +2056392732 +1061662448 +101283868 +482694566 +902836716 +1010993677 +1993611288 +1731794905 +1335684866 +526017581 +508767024 +1894732452 +709336569 +862552108 +280524687 +770280772 +1959894447 +842461965 +426641807 +1154087958 +215751473 +262767697 +554235942 +1484068807 +428953453 +169258493 +108796516 +962838591 +1638181771 +2061273244 +127461056 +1547090856 +975452044 +228744924 +2029785422 +1878288761 +1239738602 +1875913062 +1462600018 +427939820 +254446995 +1971367042 +175188624 +963783565 +686435502 +455713311 +1734064337 +498846301 +1298175276 +13222496 +1652934259 +1513926749 +275990194 +59686554 +850511909 +704943647 +228945047 +959308425 +1667782238 +1867126818 +873098021 +1795243294 +1266734026 +1848550066 +2023988218 +1149035801 +1579355179 +1116243172 +877465215 +894471549 +1544182992 +1131912211 +718354944 +1719371616 +2095695776 +1404790446 +27601280 +1682276465 +1903636748 +1325776556 +1695498961 +1409087359 +692219658 +1971489155 +1468773913 +1542731567 +528949154 +1697718960 +354556344 +49247744 +1417362131 +1227654366 +1844491038 +536612509 +928720784 +1720995609 +1685648310 +360592315 +689755133 +415629878 +1255063864 +86454478 +1547542089 +1973418808 +1805826094 +1495754217 +1230725607 +1833427374 +1030547034 +986878707 +1011720283 +578562347 +248482418 +1703939941 +402567855 +1717256332 +1099187860 +931517009 +1267491644 +1453744204 +980764754 +537370127 +533914922 +677772144 +1073982637 +1462635706 +251284105 +612147299 +1823228021 +941039239 +1027777177 +930808238 +1027493717 +427835618 +756743398 +685836163 +1923589835 +1987469005 +371779890 +806653221 +826864064 +1383500173 +1385215569 +1075346483 +939956466 +1787783424 +645119167 +2039144326 +571816785 +1912610811 +1345404882 +1552581539 +302497291 +1879319805 +82870036 +1376479928 +1194471863 +334154141 +1988627227 +870216237 +1275193380 +868920757 +1801024475 +155203449 +1296756375 +410284225 +841039613 +1072862563 +250269583 +1212819503 +1879515784 +1077133647 +448836028 +1117247705 +4996482 +1388792494 +757547481 +650115649 +1280453172 +1329364267 +415242813 +478374406 +734462158 +717740104 +210210563 +817332194 +2094220032 +1404682427 +1151486336 +1935363611 +127415016 +279196068 +656800720 +1928439491 +434399518 +1953557096 +191240068 +1275439131 +878936011 +441509651 +340774986 +610968147 +1518643299 +789611014 +1728215853 +1523639781 +30919860 +338279686 +26271783 +1311373032 +1667643953 +441514596 +1789747438 +254622464 +1159254700 +1999958002 +1071954658 +1105991084 +1257156781 +75957346 +893871047 +1384571797 +355153415 +1550671768 +1165527640 +789552933 +1356745216 +1356767708 +2064992064 +88197579 +1798277360 +258283402 +699165726 +1169437011 +1047894416 +279897931 +545593144 +1078814276 +618177618 +571864927 +242703660 +138337923 +1013379523 +2032451098 +392960387 +25150575 +1884925452 +1464915046 +1131141659 +994598585 +1540872392 +2025012707 +231686734 +1896025807 +1428200827 +1397214374 +538095092 +637462395 +606498435 +455603508 +725659974 +257292147 +713886910 +1424825700 +1426729158 +1761781326 +1704723632 +1972322302 +693111954 +175417602 +396703582 +935815614 +313755525 +1410083105 +820783065 +706715913 +1435233681 +558224869 +24147311 +418891692 +1552823455 +1565019703 +296420751 +1784510189 +1313561863 +1724621578 +1034240916 +1851656955 +214600325 +1640739351 +159776816 +940260299 +1898031498 +873663726 +217602352 +1177277008 +487961405 +1922325984 +1002115662 +1181073359 +2097743586 +1398819244 +2116888974 +264015463 +661418702 +790188391 +970731376 +2096652383 +1348413260 +994878687 +368060427 +753753067 +412414743 +664481179 +390779609 +1725976606 +241619109 +1425020525 +1430149913 +456219435 +918276228 +1589926729 +1396479734 +668824078 +316106808 +1614082086 +1846101086 +804068213 +1388924422 +700733100 +1985141572 +1339184360 +2099552345 +1954546898 +1603199824 +613487399 +597251641 +426447552 +562656134 +1945664902 +1421326240 +930716561 +551934321 +1833740983 +1595197740 +942713930 +1412233941 +1836816850 +220250807 +694900206 +145552637 +1138527035 +137343288 +1542032371 +1807351113 +453450096 +1008630810 +1505968551 +1257518309 +250071584 +59218004 +1095176233 +1589255945 +11286701 +902239484 +1044972121 +624774100 +1499491125 +1471419673 +1187430234 +1297672379 +745262265 +2118146795 +1849606701 +431519600 +1565860888 +644836983 +1843753541 +1255194090 +865087791 +391170100 +1400746727 +2003614826 +528513388 +795295450 +1663482292 +981963484 +1803926260 +1021967195 +91998145 +2053997845 +1081185199 +1187174378 +1495770142 +1092471900 +2089413862 +393258615 +1717246000 +1441421340 +1864678288 +757192586 +591610071 +462456906 +727855734 +293733124 +893976506 +146232974 +938570108 +590246400 +1401427064 +1803657899 +981416500 +654690143 +1659789077 +1509929888 +1449985593 +1175787721 +344409724 +1106428206 +50271269 +436407869 +1012942403 +1131456468 +1623582247 +361228897 +76444721 +1565512462 +754487512 +1793690721 +859450154 +471682152 +403399660 +1451060225 +934139058 +1131255394 +1744793350 +1828115565 +1277488368 +535879810 +270878317 +531431784 +192054061 +1252294817 +1186121927 +1851843138 +614741057 +488623872 +880147212 +959150781 +1595052078 +930418481 +1395558650 +460510833 +2061874949 +871657249 +821739730 +2138319670 +289686063 +1576227242 +1784526744 +1149136217 +2047909395 +40442756 +452712795 +834564805 +1171698150 +50022497 +515196722 +301702870 +585902307 +786075039 +833134654 +777956368 +2038369856 +2019256581 +482315858 +505627265 +360396805 +1362463070 +1464778046 +1955448884 +145397903 +712853048 +268476069 +59789205 +1584510298 +1090215800 +50625227 +1874196361 +518959394 +1835151971 +875848931 +419385141 +1875594727 +1328561726 +1253949947 +899809229 +1378584223 +1769146669 +1201512099 +1964486530 +407738061 +2034646753 +594959250 +298624269 +1906419686 +1077275108 +804251535 +119332844 +292254531 +121545933 +2074781728 +437652434 +834398982 +195774149 +497441639 +271425632 +1285989949 +548066867 +2145621993 +1804949344 +235735190 +873987276 +76850837 +2111329918 +55065354 +1330800784 +863655499 +1433649577 +952463806 +2065167599 +1250652459 +1360201867 +1952330704 +1845611709 +1658826136 +1711266743 +775403170 +315594023 +1830599587 +1067657701 +437139957 +1757897667 +1505310135 +1271538939 +1953671816 +2002751775 +1542964571 +1092178118 +403334994 +1541102916 +749643814 +639070184 +267606545 +826494651 +602916454 +322671899 +9811788 +1466571954 +1756321477 +962275594 +1384255905 +859490288 +174993813 +1189102961 +557618350 +1833819949 +752886056 +1333021520 +1930325 +436001995 +253195573 +439070282 +46416014 +1758505708 +1710609221 +2000087831 +1613773835 +1106090144 +944782301 +2017108829 +499709412 +1694426115 +508695366 +767315957 +373437118 +1111611820 +1089987857 +383248906 +430700126 +698825686 +1345524500 +1814956031 +1558315974 +1520518313 +856575345 +2115934324 +1206854615 +1609461401 +1301472196 +1208784940 +2045463397 +1554667769 +1647855222 +2091879411 +1165689830 +1210980795 +1944483594 +631980017 +169587291 +741782247 +501605199 +669296703 +288724714 +1010300565 +1436612661 +662161833 +2121912385 +379116870 +1045410739 +405128864 +1077942556 +243451592 +72601247 +488774882 +1763969905 +929176592 +457225559 +823340872 +391154346 +1758697755 +2032125812 +289134095 +1165881877 +1532497386 +233529858 +184088059 +595994533 +30529805 +816068076 +765581824 +772312052 +1317673275 +1434878528 +1061036767 +180490192 +724007541 +1723198600 +154918930 +1103124411 +621125691 +560047794 +33583319 +864577283 +632649041 +522358201 +481063541 +1561825634 +979583760 +1304404413 +1952979980 +590797868 +1189046578 +94630427 +1756679745 +574060316 +328160285 +1940767804 +1170054850 +358690090 +609352232 +1935636674 +1131002143 +1927025508 +1223031554 +44555262 +2107515700 +1947039095 +1767753862 +114950982 +902679858 +241395905 +674998776 +936263177 +1105973189 +1307647818 +1458621379 +1587036730 +721989804 +290721491 +743957495 +527486136 +881519359 +1933004073 +622116563 +490715456 +359580742 +950276848 +283999612 +1529635592 +1308966939 +893351845 +1317788618 +292485434 +672893705 +393336525 +337040696 +632925757 +192891972 +2104794558 +747876740 +1095571831 +198706815 +1422875516 +2031835008 +1304680004 +583039686 +1342972739 +744233086 +1305029490 +1633694231 +1488190582 +1832515626 +367729942 +1273711007 +307148541 +858445399 +1633291749 +1257425390 +1142445011 +1015443693 +418908681 +2035796856 +185748664 +711394115 +561206913 +579085189 +1048434811 +1194132671 +771977161 +1005745721 +1942009411 +1867548992 +1204452536 +1217401279 +1751900353 +361648893 +1800440966 +947389444 +1105881979 +957986808 +433600027 +446588913 +643018787 +801329970 +1720299921 +950167328 +1659775369 +1206108022 +60109070 +654736732 +74068068 +479017751 +543049941 +259816732 +1190411866 +1104256854 +838901921 +91363029 +150905877 +1610879082 +1097108750 +2092915288 +1330944427 +154077639 +1162832920 +935361132 +515726532 +815790238 +1882750576 +1621608511 +1773777046 +168866956 +2068197425 +269312185 +970196926 +1641013698 +1219479514 +482488647 +699638072 +1279588584 +1137225379 +773706140 +1758606336 +1680275320 +1033522872 +801534554 +637048527 +1872424793 +892897584 +787954404 +1335820228 +1990006334 +733386045 +519281007 +2144083973 +1896218965 +1454642139 +512326857 +564525555 +1189909067 +2133935369 +190818953 +1358776023 +2054649146 +460131139 +181489301 +1548179196 +1679610653 +663977948 +100333620 +811715589 +1801203328 +874039761 +422838277 +1333995000 +1907562633 +1224372832 +1971043527 +1632503779 +2117270416 +611514284 +820840359 +1959793102 +1344900329 +1340121366 +1956393428 +1093635646 +647279857 +321236637 +1658161201 +1837188924 +307688358 +1848980154 +1048481300 +214853856 +161627645 +1229970601 +1763033052 +1841238298 +1893948550 +1863366673 +505470240 +1547668230 +589922786 +928308517 +734179582 +350001771 +5197701 +557739462 +1982505550 +2122468117 +1169253746 +655862261 +1934777572 +366670427 +1995983627 +1743687352 +1460306073 +495779836 +2064923989 +970983626 +185485113 +225128700 +672480132 +1233966413 +439982556 +834107778 +316453366 +55531961 +527862428 +62918268 +1918898634 +1033332668 +1610586498 +361337772 +1961641186 +197282433 +711339543 +1966838887 +755021895 +546361446 +1941823357 +1924275641 +1202223707 +1729117281 +143462420 +1050723687 +1325320985 +1603768493 +1546503523 +1242761326 +427268471 +1731988636 +1467890026 +1099748603 +818471401 +1907872583 +1933856381 +1134924768 +1963404544 +314235162 +1197843036 +1734819530 +1347567830 +660945887 +2096157302 +1161725368 +858228320 +660013197 +981080608 +1613250215 +1206374643 +775420317 +1390042208 +261114703 +357053950 +1533504628 +1311838390 +1682374935 +989789473 +710858265 +777652613 +1417057944 +295363254 +98058992 +369322899 +1113834655 +2005931575 +155695633 +101275775 +1821852471 +469930795 +1299118812 +1409188353 +1817498625 +1960064699 +1357862007 +831740346 +670809371 +2017875204 +1812820954 +136575938 +1076766200 +440757623 +1526618146 +1337880903 +797811573 +912639126 +502235645 +332702860 +1902428599 +1213093910 +1110355473 +1172002895 +1508457164 +1208414465 +1541325794 +474808172 +1066862392 +1697021427 +576083947 +741231215 +19468574 +1875202759 +2935920 +1836967200 +1687783810 +1360797927 +521223898 +211109533 +1231189484 +186561204 +347685471 +160472036 +627318827 +1874303617 +1498352939 +1425130400 +639459095 +2000588584 +1757833260 +394404046 +1066198846 +720705085 +1566406941 +427172363 +1929119551 +960249088 +901980535 +848498295 +509786867 +1478064482 +1589729511 +529255442 +1205783594 +1592665431 +218738994 +746083756 +805979711 +739962892 +957193290 +2037169195 +926524096 +1304878761 +50157583 +1553842923 +1031698731 +1548510522 +831489675 +1671157826 +1401615458 +441839287 +2065561873 +320330656 +1162544372 +1484485166 +747503019 +944180275 +297250606 +1649483554 +1792678571 +807037474 +980064389 +1234924434 +1336292916 +38364335 +680106217 +1555031910 +784448091 +1486085928 +147511154 +1741641381 +1375771475 +1074035250 +899036495 +1425929058 +480394525 +1930735226 +826955932 +1311884200 +1454409404 +81087742 +1753723487 +1372487629 +401418399 +768784211 +709489148 +1148921418 +1712964487 +1006739754 +650921325 +1358159410 +1813777228 +1630985714 +445600196 +1002586496 +1669350049 +1125706413 +410134758 +306314492 +464308694 +557645912 +2047955874 +1840080169 +1631681162 +799508721 +1118525580 +2112075687 +582760299 +1945481512 +1276476239 +2037169703 +2026569255 +882716078 +1262173685 +280504006 +1651500290 +1971662833 +1429425424 +1216981129 +830918939 +2080346749 +427656891 +497212520 +1563848815 +873257087 +1499799016 +1085715216 +1998963500 +1909933775 +1392029709 +315788546 +320096039 +1292501935 +8385068 +1951777202 +2092010656 +1126910648 +1916369241 +527287307 +924908512 +1045361833 +416973362 +803994119 +1928077911 +1679147047 +1084498125 +1432094553 +1503326232 +366439902 +501592034 +186761524 +299303003 +929248925 +683974044 +1863151819 +1802506012 +36289412 +801383387 +1653985865 +1946223187 +45929448 +1969774411 +118835579 +1338431383 +1978159479 +2070612781 +1282958391 +957586479 +1839498374 +1810245698 +1882494992 +737376559 +79735413 +539005463 +517970823 +1758882460 +1623503589 +1950065376 +1114725045 +1989943491 +304173763 +1301486569 +141762846 +1233422688 +1985460613 +2004914665 +888445053 +2021750025 +658814405 +394947270 +1820489565 +704743853 +217238033 +1939325144 +2043175237 +47913865 +1862454277 +1178649980 +1005500344 +1554469003 +841412031 +740511688 +144361915 +921147444 +1279517152 +662332738 +532546256 +755537093 +464914466 +1647271301 +597996936 +769088229 +801274222 +739759782 +2002510918 +639251187 +597190800 +743472323 +513517565 +1256005205 +1138419593 +186523482 +1960749058 +1355657626 +2125848626 +1856440647 +1403571491 +1840819255 +887606980 +261588188 +1247804610 +1729019011 +1002099876 +1392166525 +502682807 +134133380 +2054499263 +1035229063 +889670473 +371930082 +535016717 +1487667409 +1141018311 +1336290939 +79943544 +996045581 +1975542127 +677134344 +1739517904 +341576044 +1933139549 +730453849 +528099526 +1746404959 +2086111476 +506464504 +1455361959 +1342199319 +199800111 +195485291 +1603787507 +1447604721 +1924504302 +458403736 +692287599 +279703461 +592537116 +599303214 +1314932524 +1482207590 +971233296 +1849949241 +822391351 +2112251608 +1038756533 +902334895 +960813541 +866815012 +1579469239 +552847798 +1208391056 +1365125140 +1283301647 +1736490582 +964046452 +1221929475 +95471438 +271924763 +416645147 +295271549 +467410054 +2020432654 +1742876270 +244430708 +331352742 +287680221 +524134169 +923889859 +886983436 +1839066693 +258613801 +1858216732 +1541532287 +1081005152 +1822984692 +432805172 +1983340048 +636314586 +1299620184 +1415325639 +1189162384 +360527592 +632967132 +324980383 +2097018174 +1597013584 +1546909859 +45005964 +1868938347 +1963555006 +340277513 +188864753 +1836504012 +2083153783 +433295461 +20373107 +223350357 +957429630 +944262966 +1110333793 +649012675 +1202876767 +821066877 +43061314 +136398271 +496567922 +475866486 +2119738319 +1132882508 +1775486670 +1387580311 +174561244 +2136014262 +2020547443 +499541627 +2085548788 +1470077379 +2046451486 +2130554752 +1191532078 +1862522844 +323348617 +1380396831 +1551543209 +259018753 +1813692292 +1571916316 +482369110 +623638274 +368695634 +1592702903 +1272650949 +1571572401 +266286132 +1315712264 +1707970672 +762854054 +1791578750 +1680225344 +1895736562 +1419581773 +920322007 +2070297806 +1408112387 +793385802 +422355786 +1346177528 +115979533 +321323624 +1329248632 +1307511611 +36362821 +1652597250 +540424794 +1587906030 +1911616003 +206633438 +1012338698 +246501465 +830271712 +1381034332 +1839204368 +2102922661 +805123085 +2105490500 +1271151277 +365610109 +720860907 +915246380 +2045835453 +469113821 +187344505 +818673812 +391927980 +1595456892 +1612059614 +814283766 +794150772 +1728039147 +1135607390 +2123399405 +888067110 +1171970211 +1628513007 +1428491904 +612392593 +1392645362 +1635125342 +1624731291 +1639146827 +317913406 +858281975 +1330867547 +273352420 +1663405060 +1288874399 +1544503697 +2029015170 +2009735306 +312266429 +1927366975 +331365480 +499610934 +598557140 +723293460 +2095067827 +63133106 +1537577226 +741734951 +1791172254 +525700968 +717650708 +531755716 +1697671180 +198680067 +1960247621 +162580125 +1591325429 +1447889315 +1787311417 +1082988608 +1765802722 +498109744 +266372507 +2039155142 +14031157 +1555246907 +1436175191 +2043046327 +1417498565 +1748441621 +1822929654 +1748864045 +100568907 +274003146 +324673857 +48153086 +337136253 +1862251083 +789888038 +2128308507 +240468404 +1507538746 +512580575 +1938139584 +1706218814 +325344548 +2100719709 +1150060595 +1773233864 +1740547478 +85565556 +1391552938 +91173575 +351938063 +1283224432 +105204732 +1907184970 +571915975 +767411 +1177199888 +172873948 +1823697065 +778580285 +273442856 +2097700212 +1103254143 +321595942 +287352817 +818021578 +1111483980 +268177676 +1058489982 +471539079 +780758251 +849145918 +30274245 +1106102800 +802381980 +1180334840 +731853016 +395445810 +1265900396 +2123405954 +486619385 +1617838460 +1259146738 +591824117 +1377539782 +1831062713 +592591528 +407256022 +2003936662 +268804946 +1185836308 +129895870 +219021510 +141606803 +451491812 +506374327 +959628381 +1562975793 +774552003 +2018118364 +2034514872 +1555310254 +719780634 +2064789117 +513929406 +1522162614 +1097640309 +1245782422 +1917608425 +216057058 +1221704728 +256744162 +1833895518 +333367818 +848568280 +1063951652 +16946884 +1441159808 +1471207675 +2020883546 +1709964754 +509560335 +3295768 +1928986264 +651167138 +454787580 +287876943 +1610795519 +2017763373 +1062428946 +1481430235 +1904794597 +470255553 +53727222 +1822100066 +984184959 +1575889836 +772256728 +82483734 +1346014613 +988313786 +1304188462 +1602758776 +674725656 +1637556281 +303843408 +1738677308 +1654503165 +1745003216 +1062401335 +1527903063 +1307484323 +1571961670 +1531198831 +1088986939 +75645160 +1985986411 +1376863883 +1686440680 +1856266137 +291809181 +1020387267 +1613577086 +762064734 +1074114489 +1288193505 +1746249694 +502520678 +2060450233 +1828733428 +1848535291 +901280371 +985438242 +1303810419 +1576006027 +475510875 +1607653827 +1167199687 +2130014040 +1205173396 +82117375 +1510433455 +365174071 +1654079045 +894148638 +1454161010 +1729724206 +732651402 +683541245 +1268681238 +441433891 +975350427 +141584857 +2055010977 +1737415161 +1215699347 +1195720834 +1336181207 +1718220025 +1108687419 +1017430987 +1419271668 +2009967790 +2002869230 +575598440 +1438490169 +330896457 +35768619 +458206209 +313426850 +1240942015 +540323584 +1823860305 +1606116086 +46918981 +570525296 +912793449 +1776643187 +1303176698 +1596334694 +897840777 +1744610589 +424201473 +1039425635 +1652137918 +14132987 +107641334 +700375105 +1350314194 +1825861359 +1809062524 +220261534 +1097649379 +1671546667 +75647116 +1673247819 +962553188 +406543573 +1709016439 +1420759397 +719970423 +802474806 +1961082981 +396347081 +261107245 +2008001963 +966872377 +1173900694 +1637161502 +122565427 +622751740 +387518632 +1867176016 +1046953214 +1426944267 +1371830286 +1061086201 +1534585601 +2072205391 +263916747 +1212963312 +1733784268 +484178281 +163129043 +1257847287 +559825397 +1836376863 +72916827 +966368971 +1397909654 +1493676225 +1686339394 +52900812 +1307275558 +2082686475 +314008057 +1167793873 +902075204 +1487908751 +657471728 +1024640631 +2110660492 +1044990360 +744332999 +1010130058 +324450979 +2116163286 +2071216259 +1859036580 +2040885029 +187649358 +924516244 +1627185649 +671827640 +1087645287 +737549288 +1231653037 +776538502 +810466116 +50538360 +26964508 +156658693 +1736877755 +79865321 +1463934251 +1672080582 +393873378 +484244477 +426672139 +1881782130 +1141716205 +1451312770 +1844958974 +39222917 +48162122 +707605384 +363673896 +16841760 +631337995 +75226828 +2057726789 +818987353 +999743072 +1537428791 +1490814993 +2087388359 +127494431 +574984383 +716443214 +937960547 +625522743 +743407722 +1094619240 +214916850 +823273043 +411069844 +1886997433 +1217146422 +895314321 +166185924 +951444904 +2037030526 +1617498694 +648920230 +2076253443 +1665660816 +1356525614 +292443691 +1682502576 +1987863609 +367670519 +1592745718 +659367314 +1367413591 +982690861 +2698660 +1307318302 +1110185292 +577683043 +2023761516 +2048145840 +1203205786 +619685591 +995281432 +1418122637 +1442958634 +1406351276 +1157636422 +512621408 +154181949 +1323822346 +1464066312 +43728827 +793837392 +2112986542 +2119982270 +312014561 +1322028508 +264942313 +1994517137 +1162408469 +632612832 +1439779207 +1821775784 +2000026423 +274986420 +1824474444 +1159861078 +1385171713 +254673839 +1036138946 +1285833905 +1457879625 +1655824537 +133631689 +728518614 +951299524 +1539982966 +1886155036 +1463920932 +1694164915 +1062493734 +780503597 +1737893743 +1856331127 +746006491 +1710392365 +20862040 +2068035000 +1975334679 +2015379177 +1082959821 +460463863 +1307674737 +757251957 +313006639 +1582661157 +434242753 +1472867717 +820349222 +688916592 +361523015 +2106183127 +2146796218 +2017347553 +92331169 +727831184 +821163429 +1632314135 +466502573 +137600713 +1178995402 +1528996307 +918104310 +769405497 +1237843786 +1664110802 +332314215 +1258705826 +1584662154 +160165246 +1126601356 +520138327 +620629109 +286792445 +1277390285 +933635748 +1869453602 +1711633038 +259019817 +542319177 +253065983 +620542833 +501018656 +252378553 +490406738 +593349825 +980209737 +1311570167 +78180312 +1446712310 +1449170880 +1257175715 +828224970 +219791543 +2026581212 +2066068756 +1883902345 +211411779 +1177290935 +1321080851 +371577025 +156408643 +1841219178 +992206135 +443201088 +971125815 +1925841883 +165171042 +535275206 +37378053 +707490219 +788341189 +657920886 +1208508876 +1040719742 +1148327624 +1801858701 +2020929479 +312414143 +1880039014 +1320158142 +1761585023 +989731081 +899464 +1981376566 +868828645 +2066968220 +1717795263 +1080240425 +1096775507 +891392466 +1451817450 +1253184150 +585127997 +296539937 +1696385238 +1556253812 +74898173 +1861556281 +2091529018 +112276226 +421562852 +732386559 +770197112 +1630071728 +1773106301 +1918524736 +1284446782 +1646552133 +83455231 +1017002148 +819226627 +1845040254 +2006733229 +820126091 +1678933173 +728078226 +739610663 +1249244788 +1808318651 +1836386171 +2140637255 +1112652454 +942086673 +578281604 +1409192391 +490988264 +2134535416 +1484090564 +205060897 +2078580787 +1596366790 +626623749 +663483698 +219080254 +109211830 +289106352 +2137604990 +1393658612 +1935658485 +73576573 +263177112 +607401464 +1918616828 +122426693 +1427527555 +1450066353 +850504919 +19654570 +551827493 +511339923 +1856040741 +544981100 +1623992377 +650643767 +1123262704 +885701120 +1141632031 +1110314473 +222308037 +1346692928 +1041411612 +1818674827 +1973316677 +1704895310 +2037755082 +2082528507 +1994001662 +2027876424 +1328703471 +1782176499 +2101452998 +1591880583 +242094315 +1872586178 +1714307276 +1669621870 +1175168883 +417328548 +1689276441 +1726996376 +928668471 +1397833534 +124493829 +405177200 +2048477301 +1247756533 +1290878320 +1042625684 +210587358 +1513186357 +241834964 +1251998970 +1184377537 +67667994 +809410633 +1074648971 +2712853 +655928647 +955041747 +1331416325 +290621499 +909011097 +775813260 +532715814 +634113627 +342636889 +54854037 +1809282510 +759965437 +1744130478 +1388795239 +1688633908 +994480364 +1513289068 +2093811108 +895474018 +613561953 +1237205780 +1938099702 +824149312 +602908490 +32451019 +2076148282 +1787286027 +100119013 +738075267 +714451350 +102831866 +1394003915 +1669493097 +1434248191 +1684625414 +431020547 +62577804 +69857580 +1065134174 +405214693 +124711617 +726933037 +1165180130 +1868842095 +2115728276 +706330390 +715838812 +1481533696 +652657850 +1611312830 +2095095649 +1889863630 +1401928884 +771761313 +345288472 +1434379903 +700425948 +2132574499 +1534498916 +1438501215 +699542201 +1637330783 +685021482 +221551651 +924095326 +222163248 +652572198 +986673130 +292020829 +1717706372 +1391887823 +416732446 +297155761 +409584305 +138090894 +265400389 +1115914695 +853929706 +1746934085 +1768572545 +317758888 +1694546087 +1510952528 +1719687772 +318823752 +1856241000 +1006584028 +1019249700 +1841331852 +393599296 +310267268 +393390405 +2030930079 +995288750 +614942056 +807541758 +1217451999 +1267514254 +1794214888 +1509472828 +837736979 +1038619064 +1926205274 +1134892740 +1448203369 +2064296168 +1400293130 +416634417 +770742226 +999743567 +37723314 +1088501114 +546806006 +1548675842 +660705239 +865629759 +1257433195 +1667289267 +1884879459 +951281399 +2060888563 +47663079 +1344671804 +1944334995 +1042951830 +1959613861 +604393105 +112920181 +1079644467 +251124345 +1622393009 +1917381446 +1289743409 +1401114635 +904790539 +590463131 +1317927156 +157600021 +1007097548 +2088669382 +1157343588 +1044820862 +1029686849 +1704149595 +446013057 +1690392088 +422295706 +1703446252 +1210197707 +159691517 +507244003 +1123602622 +207354597 +1851915807 +920453969 +1250306427 +1664046020 +1524847074 +1363226608 +596206840 +1775971420 +838135969 +366104638 +918231181 +91766956 +1270895177 +1508694312 +1409694112 +1428495198 +368308212 +1350879847 +438355139 +1413129075 +233083048 +2142504734 +1859142132 +1923475136 +417316792 +1415104736 +986189195 +577008309 +1922348739 +2109791817 +784362906 +1626780898 +882762139 +2034669333 +1143343271 +260125565 +1250412293 +1739550111 +2036096985 +2088548262 +2105654749 +806844519 +32831571 +1229066279 +168055183 +1442525683 +510077829 +536363396 +645921882 +948432968 +1949492471 +879004930 +943454054 +1661150955 +654996418 +1360770846 +928772043 +1641185613 +1937779156 +703637134 +1603493783 +574658414 +182934384 +338772274 +461844100 +1326277655 +598897839 +1712256393 +918344118 +487511177 +1653321008 +876515220 +1294355696 +1686152579 +2105581499 +1462410879 +981194614 +468175680 +1998774275 +1627116497 +1416608649 +1800783098 +358637779 +212579055 +1314450405 +1013634198 +1573349902 +95738800 +507336163 +1363645410 +799375934 +2110829946 +1938303824 +982310319 +302118572 +252664276 +161104326 +901016412 +1964920670 +1079448445 +1388527589 +1470758030 +1955963665 +535399637 +1009426961 +1914061516 +1997810516 +1990621575 +234753548 +1849101144 +1470254424 +1651362197 +1502400594 +1828892204 +1863941253 +669367352 +695042754 +1289807507 +765106152 +1202378917 +505969269 +1564482087 +1165725216 +296789445 +399308758 +1467843788 +549453722 +560413084 +221376552 +366890744 +1639861529 +1609904141 +1837648774 +1448341546 +2145303778 +699592087 +1214919414 +1995630647 +542730014 +1449672963 +1697248143 +2012984439 +953551512 +1052165089 +1694392995 +670009117 +1721532441 +241952101 +1959816624 +339154946 +1444331018 +318302245 +1903637033 +462572586 +615091691 +155462143 +1930416375 +1164545413 +715875227 +4309279 +1531436157 +208253109 +1614213421 +1221601283 +1656594655 +1612033551 +1921193370 +724030422 +1460180550 +316439736 +26219737 +1009945045 +181940527 +979771249 +2062110135 +1876333522 +1649780367 +1636158928 +2118285623 +1462113343 +1975313874 +1415132994 +1780415589 +1731467259 +1877705580 +248023632 +1886929402 +1660638307 +1412569045 +455320982 +1664947587 +796521554 +663574091 +1131677360 +2018122837 +172685098 +596227263 +1791832559 +896715520 +2056407814 +2108272295 +922935257 +918869211 +142729175 +1902706507 +833495698 +2019062697 +1405003226 +322170979 +1989864673 +719632921 +150001205 +1257514019 +352564862 +1881468465 +987735951 +600588494 +1620914219 +500890611 +2013157539 +2076235201 +18354550 +662195445 +592325644 +1150031910 +532834634 +765010743 +1746259173 +177183545 +1661726263 +1655183339 +137972193 +437177873 +426568903 +280701368 +192400732 +1260064601 +152280417 +1597403958 +1582235580 +2142145090 +169553231 +1732236786 +1252175461 +522118094 +1466221603 +92427765 +1122706588 +939652174 +593318376 +988380480 +868403728 +611672926 +1650575925 +1460729372 +1761704836 +35926912 +78256467 +1360480361 +213110457 +1739982731 +868180053 +351082650 +29676956 +1294748956 +631784018 +222077688 +407329909 +784064436 +1819481646 +1989565490 +778725878 +1989034877 +1574318628 +2030901340 +363669323 +893056583 +2123329105 +1486375912 +1832708757 +569163833 +327272744 +553628837 +1180836759 +1977848669 +2014358210 +795057947 +2013775581 +2092614677 +8054660 +79402391 +1685113760 +876234713 +430485041 +1714790716 +23500021 +1062269060 +1936868404 +430829931 +1846333496 +1608866402 +272911773 +477575726 +1450417632 +1847230401 +360993418 +1814086955 +592803336 +336838875 +1152979219 +278028445 +906002708 +1480251963 +831657283 +2086839467 +1310616985 +698531845 +734413766 +1176908918 +643662874 +742468427 +1256311309 +181292987 +1618703140 +1686796351 +1896083703 +1642203162 +601581763 +1685468460 +2073033093 +300431611 +1146851214 +198461218 +778007337 +449785198 +2045691619 +1139000756 +116388506 +491011307 +1475839631 +1269367725 +769039752 +234358692 +602136041 +1600697035 +173714511 +1912753026 +151745232 +908128278 +942178296 +795408107 +1650596705 +51005958 +976701094 +1121816197 +1737802309 +725301149 +616535711 +191900424 +263285961 +542085156 +492332035 +1410137176 +740546374 +1270339372 +1859922374 +638754345 +261856480 +1976310880 +1129765652 +1737696112 +1098194958 +1898805405 +1972054804 +1700330999 +1352018792 +2145769315 +1465600377 +1503764025 +906413945 +260295025 +151688484 +409527002 +311300983 +1128389578 +1531343200 +2049103292 +1853690727 +395263 +93520068 +2116976689 +542480420 +585852103 +1379630217 +1283026794 +1856191476 +1092068943 +1921781140 +2118047956 +920896176 +904063144 +1708260420 +2019091134 +655384901 +1532831576 +1571938485 +2007403694 +1531117244 +890055214 +1363684071 +290047541 +1150350239 +1515372555 +699574544 +1461651223 +496278485 +83434096 +1363270867 +202485564 +83829359 +1456790936 +171978605 +626309779 +2042643039 +1551608822 +1909336574 +1751350867 +496194118 +1683634066 +1721915176 +1417090294 +440213562 +1282691948 +1288697780 +1095598464 +668039877 +713152617 +955518510 +51673473 +1603207831 +171718933 +341721014 +606074422 +1687091488 +1041295558 +2067725645 +35886325 +1124729654 +1283512865 +238371889 +1208559014 +592820153 +410350495 +1834868793 +487979544 +1961959317 +1596721719 +91846764 +310669787 +1132872137 +1813761940 +1727760081 +1573085700 +948970240 +868974213 +521200516 +1617010117 +1582126830 +1476719026 +1668683590 +1037851013 +1648437959 +2010404605 +1643925436 +1188045799 +904216515 +1564167433 +1223932124 +2028946170 +700196650 +1462304013 +1090021536 +1293016803 +1872654508 +777406681 +1780996348 +1687130178 +226644753 +1872843112 +1997799965 +1359516890 +1539121404 +1578076399 +785118942 +340607996 +299566964 +1306319458 +1957618114 +1881693795 +635554836 +1478818056 +772061160 +136509147 +1341739013 +268502948 +1324554946 +98471881 +1832670382 +401003422 +2127418051 +385383384 +1863307436 +1069955939 +1678400188 +1588478296 +1847362620 +1311912888 +1128124826 +2074007373 +1037272352 +978441144 +1286040616 +428910108 +409033895 +2071159558 +769518104 +708600859 +1229995369 +579652570 +442811006 +1865550205 +2058470627 +1214872167 +2002059353 +1252725992 +1483375115 +1179130651 +1351197873 +1168561849 +1580134074 +1331132276 +1553945234 +1295957862 +253604567 +1084861774 +736952510 +2100967188 +249291014 +1865077337 +2027490913 +1286563366 +696034833 +1166047881 +1715473474 +1105068728 +1089723792 +337507930 +1813669587 +172235513 +917160501 +108996946 +2037785718 +828147480 +1323869113 +1892361423 +2080873472 +659760580 +924008427 +1284587698 +1828322430 +356658853 +468236326 +1234784016 +1652616715 +721840894 +172162142 +242085577 +675324434 +421453156 +2107162914 +555331699 +1708016522 +655714099 +1721379581 +1276006348 +1760782827 +663619725 +1613514278 +1426968767 +835855238 +383191131 +1535965713 +726157308 +1211338611 +712351178 +471035084 +1144728436 +1372111758 +1395043511 +281832486 +1052950540 +1751702364 +750068812 +140250908 +1256835431 +1471909706 +312413050 +1498921008 +2147234140 +733866206 +1458600275 +555082192 +294399080 +2114314374 +128978125 +1570405428 +1727613554 +792597850 +1036436059 +1007098673 +1628453088 +1419627190 +395580738 +207126748 +483482154 +1107931916 +678161832 +1628210590 +332560026 +2073205343 +1910043076 +1385510567 +1677424059 +512628240 +1525761475 +786775842 +1984537947 +1838174526 +138213203 +1984288439 +424557084 +1596813478 +391886983 +718956165 +1563644204 +520865108 +141877945 +1143774110 +1313462958 +1178314004 +3389135 +794432398 +450457547 +398969873 +1001559147 +933939701 +1506901789 +1679720979 +414666643 +1839461816 +1605442675 +177226071 +1077488735 +1135383086 +689854311 +455766562 +1922158929 +526908610 +146457440 +2060372132 +363713402 +571014525 +1509701962 +755600385 +1289970690 +925862518 +1276465494 +1431848635 +2069636629 +442444804 +462678992 +2073025764 +1236877203 +913136539 +324511990 +90952702 +1847076240 +1831413779 +1770673681 +114259235 +1523391947 +1228632708 +291485306 +453397034 +216532147 +981339617 +909163597 +2138691076 +1508248228 +1055621037 +2051579560 +1871961630 +1626635562 +1413797874 +480078367 +769122604 +192176744 +1756543861 +53487592 +114329725 +51505018 +516166584 +39871842 +1288382221 +1429303123 +364383832 +1379334923 +1128895715 +48313963 +1002524956 +1243154950 +1571705911 +83674017 +1534640256 +2025102945 +300206164 +368496225 +786782894 +291413592 +1876744453 +1842403932 +195509504 +1601222435 +1321555846 +1609307378 +2081300803 +2090678451 +1801484122 +1690361016 +2144166043 +1915813848 +1741866034 +512848979 +1955685690 +882764607 +1942152102 +172585874 +114615882 +923564169 +220899837 +1117140839 +19235471 +1792605748 +1200814856 +1553875727 +1670225046 +1501021020 +1922371952 +309524292 +1792434612 +1651632758 +4444576 +1987944116 +1105371545 +1326000423 +1449767846 +1039188700 +1269195226 +1103768320 +582066069 +1265877621 +872098520 +176448455 +1778726600 +680300562 +1059213063 +1573395054 +852886436 +1173828945 +349475575 +1073786274 +143486136 +368711046 +718908374 +1344300992 +1922586773 +241649772 +697838364 +1697475077 +551174065 +342789328 +1201624187 +555618641 +183249796 +159512085 +1881619064 +1633017642 +1198700785 +1003330642 +589302315 +1780766854 +121724615 +1461400835 +1957215310 +1900451215 +2141701398 +868944725 +1326362621 +847104186 +2042773670 +1675838196 +1920890460 +38776159 +2044549242 +492315187 +1383077151 +1819652367 +733964959 +2080915516 +1369643797 +1285139024 +276221196 +423784336 +1840757666 +459470993 +583296421 +1574893082 +2092488635 +1781997207 +430740077 +534307302 +1415280413 +552464692 +1995708138 +1225012075 +305432260 +1989925888 +2093956800 +1631794881 +689546426 +1989246823 +1160149430 +462953239 +2028022982 +1057215024 +955268426 +1263616485 +729383744 +1689233385 +1197048353 +2099027541 +826888762 +1473269550 +375328229 +520162780 +1932740543 +958624651 +2095055862 +1877745530 +593138210 +378312291 +264569185 +2008418623 +930776984 +112793675 +1085947051 +1236209244 +2102719563 +1032420203 +720520477 +644782341 +874183378 +1880669907 +1107735580 +754722712 +790401284 +2063004006 +2018339198 +1519785028 +1604753744 +1067903903 +1471328921 +284158858 +393689805 +1846657150 +804321638 +178946700 +657798153 +751893852 +2056692231 +1250936363 +1130206144 +173777768 +1111871339 +2060983128 +286571443 +50334742 +1149708724 +241807358 +1082754945 +1870229201 +886589699 +1956938324 +1603415461 +1994325280 +564177388 +246333097 +1909845638 +435032938 +1766118125 +1367115734 +1502936842 +1089963398 +1651274592 +1896626647 +789136900 +308112582 +2075573348 +1446935054 +1060006435 +1984781931 +550387769 +42728931 +11076051 +1662259108 +2103712059 +297647494 +1712593850 +1105937135 +539454852 +647865148 +828682688 +1426044551 +457319824 +284614501 +1272886183 +1021497212 +530947598 +1035248174 +1456530151 +149582075 +254880260 +811983345 +1239545473 +1906154853 +561126344 +2028682374 +66783787 +489216044 +1328133780 +1126790222 +326514327 +1878521549 +1169519153 +337590378 +1393297010 +1125747564 +635237872 +958407212 +84201051 +1174692724 +1606272360 +912883740 +453253628 +2063592184 +1197498241 +1726139811 +937605749 +1728445840 +613904337 +246652252 +1878027915 +868784598 +1058635597 +970089741 +627455803 +1619761941 +851288467 +694239590 +2108977986 +31938599 +1821029813 +288008665 +1910460148 +843065318 +625599044 +1156273510 +1968812883 +1260836916 +2114680723 +2053013934 +288045993 +1573469435 +818414026 +741299621 +1489577972 +2015912268 +319955784 +279700073 +1596874460 +933860122 +526352325 +1327418727 +1802644720 +1584987922 +150024820 +282616875 +1057266215 +1001313287 +976856465 +1018760553 +1033251886 +650402630 +1306769219 +796228387 +1493467949 +1932368263 +1952501897 +1314797184 +1045721531 +1919698972 +1220327470 +1333767524 +1345684760 +2038741497 +2075067145 +687779084 +1907170117 +247539282 +967479157 +1356560929 +1181399404 +1493831482 +536496008 +836560476 +931335756 +686520829 +1119177351 +1988601971 +1687834116 +2096033816 +859878877 +573602355 +598952799 +19164448 +1369830742 +2092420748 +1951532711 +1174848991 +1259734284 +849770594 +947064316 +332578106 +36054471 +145265428 +223835955 +2111121616 +833044512 +2131006072 +211177250 +1800523669 +1340083353 +1392576654 +1146871503 +1876579362 +81653482 +2078207259 +415616543 +1200830833 +1919325582 +2103450659 +1149381002 +631720811 +529569366 +1748333801 +650885259 +1899400108 +1693270901 +454934322 +926765452 +805521537 +1304704917 +1873829768 +1138099643 +1340759388 +2019095196 +1361935599 +1304397356 +704656060 +1345458023 +1515574607 +357696081 +538057729 +760667613 +1504567584 +267153443 +842321096 +1435291195 +682769986 +2043151929 +1207133129 +638736997 +1045049283 +1838853941 +1168306364 +645899436 +342255552 +920222824 +191686689 +797189875 +1846988276 +997208226 +2101894792 +1573334396 +2135307870 +1295170532 +1444945944 +1349759821 +452084240 +2118356 +547734196 +1967658847 +359814437 +1085791925 +580842813 +1864382021 +1352945368 +1423163909 +1152189568 +2035715354 +1318832190 +211839050 +526968704 +216397826 +2050692991 +1695275068 +862297262 +245464895 +468014244 +1053983952 +1042654770 +167518873 +2051192178 +997065914 +1740853269 +2039016400 +144752798 +1038315566 +1241292573 +596837039 +1040433922 +1789026770 +417012238 +1400248360 +727335047 +997855051 +1117146733 +2080280416 +273535312 +121852654 +1968512122 +1592367503 +333691704 +347997178 +1808765329 +236901047 +2043272246 +523578943 +482365942 +363802843 +1577562895 +1525020713 +531321716 +1481271426 +374602979 +124691337 +1372804178 +519355778 +1163006903 +466613104 +1116192817 +55957178 +108156226 +1533205055 +1456205538 +835491273 +383576459 +425868623 +768288041 +657111771 +547721277 +589316516 +101995626 +881412981 +937313694 +1910760955 +1118314028 +833102293 +286856251 +1600679971 +1196905136 +1864419146 +978217036 +1728226852 +1198206924 +1352820015 +1852918189 +423527455 +1872175793 +868441445 +890140559 +840884962 +924398623 +998296785 +226606370 +233120513 +1833788058 +610182829 +658989136 +454592452 +1267294600 +1206710414 +1043908968 +1369290227 +2088123395 +1981222662 +1132567534 +1058953776 +666841307 +1419423785 +512150099 +1863746443 +1136359284 +1490367135 +1444489647 +187082560 +695703502 +1149924189 +610610015 +420395648 +2018365634 +1500750574 +1261280610 +795280609 +351563711 +1487886980 +1028401122 +37868122 +2098069809 +1687390258 +492460574 +1217880762 +746617024 +1536369542 +439687341 +687256772 +1370108556 +1572254875 +1746210548 +2036949864 +844195013 +110876999 +1753212659 +1980554297 +1601244134 +1050218659 +20153209 +149463988 +52659200 +630763225 +569859636 +2071024834 +2131513799 +1831140247 +718821795 +335593863 +1171543579 +1747222917 +373461985 +1122129741 +1287129527 +865922559 +192526855 +2033746552 +254808453 +632214196 +573519676 +1624917009 +56985423 +172246576 +1514383225 +901180436 +283123575 +1120112237 +734251085 +1884367709 +22847248 +754404295 +2033831697 +75506448 +1385167520 +456207686 +2146531282 +1369197671 +139864285 +717869429 +1704791534 +1311407864 +317608698 +2078253519 +286053957 +1604738225 +796692430 +478580812 +1491001129 +1051500883 +1110795008 +2064520805 +528934245 +1167780432 +89283733 +2043317470 +2068960868 +372407308 +1015946059 +655728306 +109291369 +1038793307 +1410132601 +2143123067 +1114299755 +647816473 +451847105 +1113347389 +2017014144 +591711390 +1831216818 +1574322031 +1903119254 +1341868 +1505091902 +41689564 +1606080094 +154300685 +520270376 +949597575 +1205801568 +1631065385 +866634733 +1734735813 +651362169 +955918466 +1630569636 +572839389 +1328325775 +499032047 +1228567695 +1437617144 +1537825355 +491216648 +1433256563 +504641462 +1139033121 +1885103668 +1617988852 +1008563618 +329331410 +1301722022 +435402001 +84967017 +1303063891 +1940493903 +126656581 +761660337 +2094794588 +646926957 +1711257912 +1153112509 +130508694 +430408997 +740364674 +781870863 +1386327464 +223450662 +1354710253 +567169591 +722482710 +435794300 +2004786735 +112824417 +927010949 +1290559651 +617465879 +2066044070 +1028179671 +87971083 +927124040 +1357511082 +1389693106 +1362526041 +1442478099 +545273349 +1155536297 +1569134680 +1306933686 +1102847237 +68577989 +870707950 +108476098 +199086684 +1301116948 +848840773 +980957547 +539960764 +1072291435 +188184152 +1107130355 +1794774145 +623978453 +964433442 +1907598562 +1550989402 +107509445 +377580794 +1469549824 +1135689117 +465551877 +249190217 +345716551 +1855244983 +1611716258 +1788194650 +253034684 +619768907 +1209845682 +1559968370 +1722616145 +1278423671 +283192673 +1831092243 +1477510355 +1584309621 +532449368 +310984255 +2124270385 +1604740804 +499168407 +1083917092 +1252031301 +1123146860 +2048350534 +1012146216 +526652614 +8376332 +1389727010 +1996202439 +1144065449 +1855278887 +97909008 +1489782000 +1563040223 +1709625266 +1130493002 +1816074907 +181910526 +192855036 +1228559630 +1904526671 +1471278707 +1511752303 +1588135266 +801305415 +948578276 +2120584635 +1112289670 +925365013 +1577841791 +1611458077 +2009282105 +682389444 +587121290 +1910148991 +1694535660 +1113773904 +1918525323 +936779022 +962492695 +915107124 +644574262 +1060401703 +257405476 +60130837 +622543322 +1387898478 +1876205744 +804453848 +1580753514 +957281726 +561496871 +904548574 +321550381 +2148489 +1705853989 +1270128657 +2122733124 +670660011 +48010022 +1553091267 +134634440 +2057292127 +87997064 +721755730 +1819957471 +1782532724 +1835529635 +1590999146 +571828099 +650538682 +358622623 +1216402361 +1710940386 +616028099 +1276533198 +186000060 +2003926578 +1005255294 +990453908 +1437196444 +1962537021 +1551950779 +194261370 +136603754 +1554099268 +1900115359 +1406732412 +1529348745 +423291722 +1454742434 +934956364 +557926163 +1364550914 +1022953428 +1279681893 +1037024737 +658002505 +967727880 +480540235 +1229830604 +1618266563 +839162858 +298749317 +1181723301 +1455190958 +1575282515 +1367723361 +1311633888 +433054161 +210693621 +601346684 +248107534 +1762644400 +795608055 +384711289 +1169260020 +548239766 +1791443701 +551125117 +971531489 +1098702487 +1486081482 +1529457652 +315769753 +361551262 +661655897 +1352794490 +1019553767 +1629383778 +1833334726 +101900723 +1100166693 +525013936 +400650040 +134406346 +1980204894 +1975932555 +1502129707 +1144355134 +261503069 +1712823328 +1745701819 +509610603 +1327984080 +393826226 +894321892 +349760452 +942065992 +538281945 +900885570 +1913597481 +1636984433 +239483404 +1295571485 +1952754186 +601034666 +1957227383 +1158065029 +1620588434 +1439127513 +843916107 +1722489157 +391810558 +1368930043 +2123139198 +526216904 +1201651290 +1951588105 +2028346611 +198522776 +65607526 +1593686291 +1944224595 +575218130 +774186723 +190567173 +1469540022 +1123947175 +1132633166 +2007821968 +2024832745 +898746999 +1497322753 +116832501 +46834837 +1302593291 +717867168 +2004062220 +313174672 +190971954 +1295706085 +1157090779 +1913461111 +1687516643 +378537175 +1889116661 +66249899 +1580188465 +1693221119 +2094596510 +1778711241 +1758828645 +1540799153 +1575452189 +186563127 +167502228 +1766019362 +1656103150 +1291449403 +751168880 +1516441470 +1168798501 +1649915880 +866280575 +1285631002 +1696750717 +21390218 +2003498170 +1553329289 +334564891 +46986476 +701551726 +1491655670 +1960447588 +241584721 +1870192845 +1702080601 +307834620 +1302897662 +1247818072 +254947482 +934125256 +859163070 +1795746635 +362093797 +1045726197 +1963248863 +2128113159 +554345699 +1107214618 +731798392 +2070787169 +128529471 +234230624 +789584096 +1414160474 +1930981341 +810974315 +1270174996 +1336826982 +1145539206 +1317161473 +2038378708 +489711228 +1130125413 +132479781 +212420426 +684722366 +440314401 +1515318088 +1932540439 +695261883 +301959696 +644219861 +343524870 +664053493 +1689946058 +159290085 +644683005 +96808110 +1266504703 +1376481397 +20111631 +1395034175 +1610712021 +809695728 +661711001 +1394209714 +1620670043 +1931885997 +583553048 +618725601 +1101563822 +474448108 +1108436829 +84205587 +606927889 +1320857255 +768927954 +1047242290 +688691696 +553984745 +1742504173 +990651392 +1198204606 +2086029043 +1654704886 +740667016 +97835480 +151904243 +837475126 +1364340183 +1528385640 +857586758 +611890710 +991614013 +1667282486 +1273601711 +238340079 +1140468881 +1058004061 +821893127 +1759194482 +12084235 +1296341235 +720147663 +96289823 +1903269124 +2041004919 +865217777 +803027766 +582212967 +1419202522 +398048291 +1572864359 +469923480 +336593686 +1080085597 +1210590496 +434429166 +1231989840 +2048065623 +1798769349 +612891832 +758168733 +263176412 +1604505845 +277967571 +1536778123 +1842845924 +1418436452 +447298536 +517255403 +1030147286 +459382772 +1813596638 +1750294949 +555672595 +1569382114 +1643816220 +1420890372 +224926232 +78545539 +692609246 +622974523 +1651409899 +1162532726 +959568209 +584011848 +225639574 +1393997375 +1816001689 +126221549 +1045283077 +281409873 +884390282 +1308459489 +1885915719 +1162357853 +697753964 +1581277995 +433310657 +1145052501 +2098533399 +1463457943 +1604435273 +1764646389 +1066269245 +12624220 +1186544856 +562601817 +1433514592 +1411471088 +641147357 +2126123838 +2034445612 +145073608 +1141172916 +846530173 +729085456 +1366812490 +93043901 +397603497 +1493034040 +1138326978 +679013371 +229940674 +299302819 +417445442 +1392298528 +997056783 +1998723437 +1825609185 +2142109284 +1949773188 +1141583481 +1599060909 +1566935930 +60369078 +1611685129 +605997138 +622970895 +897716073 +2017468226 +1264118252 +876356263 +1904430190 +1409191860 +2017529179 +603476716 +2138277317 +1236858022 +696520617 +388397166 +582408414 +1834847595 +1067410537 +812349088 +2134150414 +1484855979 +57163968 +983723549 +1336095769 +1882773154 +978349186 +1138385309 +876872987 +429926447 +557837591 +937242065 +2041611577 +1163834729 +1560212960 +791844002 +1033819308 +676847565 +1668200266 +790765850 +2086039425 +1538245797 +1394242566 +2076833094 +627620171 +2090763183 +317746613 +1210028585 +1778127130 +1385157150 +2022377674 +1764793896 +722529482 +2079541642 +601033798 +2058625251 +1814831148 +1579382984 +1049526912 +544220487 +2009309431 +1607364504 +1481462552 +1903437360 +623715585 +894191865 +547797715 +1657534893 +1571039430 +68514333 +300817096 +1509595207 +1606760130 +1695059662 +1438944654 +86896654 +1638339198 +1756691267 +1296925239 +1268982680 +994364769 +1171819265 +886292929 +1716894251 +1103877260 +1487326727 +1628035854 +771224760 +919226063 +530079119 +1315445248 +781051846 +2137443623 +649424152 +537005559 +613675560 +1543616017 +1084803274 +123726806 +967171799 +1153317607 +424543902 +329283359 +612594089 +2119603564 +1768228013 +699490743 +1610459114 +1377435632 +1996415983 +731958147 +224316753 +1020751600 +1618251076 +1941211005 +2124628860 +958094155 +1421763211 +748369973 +1877320218 +1951842330 +2063815221 +510888416 +1941802305 +565755725 +1047893975 +407994218 +2109371743 +2132697249 +531721024 +929059894 +1138531208 +956264926 +1258343253 +1751125298 +928384842 +879087618 +303132393 +391360309 +109039602 +152064728 +1123318456 +333356356 +1172816329 +594085884 +127083713 +1149961541 +1552180039 +1548846924 +1898331514 +1282016609 +1353205607 +1814663087 +1792905025 +1147524264 +232935165 +693315353 +1555518482 +194823260 +678528954 +2087239506 +1123883154 +1817060163 +896020784 +234742760 +1420701813 +1824405627 +1113830378 +1723834206 +68282288 +1222869981 +1875898935 +1191600744 +1556226337 +901231616 +1785686628 +1683310050 +2051193157 +1190383019 +1084673326 +1802041024 +324915980 +290395285 +1469220463 +2117821005 +1437919550 +1702155628 +663652710 +845954384 +1896978888 +1342181665 +785710243 +873378395 +1011758180 +1681731027 +1108121155 +284976345 +1358653006 +74467885 +2008810551 +1426935294 +1297337866 +1737225838 +471052390 +706080555 +490973806 +109255370 +241906957 +394683316 +1299638389 +1326580284 +49240692 +1624554369 +1616975569 +1518461155 +1594891727 +907411471 +1073133136 +111060789 +1753365856 +822628376 +1453242454 +391592451 +1696006771 +317516986 +2073323478 +656644278 +602493331 +1284492837 +731112164 +463820235 +563944483 +2028450030 +53562425 +1034996874 +587046938 +544536232 +1144252244 +828953895 +939219548 +296406986 +8050531 +988460240 +1920961355 +1625026101 +359437747 +1368369434 +384953924 +1432570883 +1479430224 +2138319780 +107715612 +785189030 +382428583 +1803722383 +1102706017 +308268414 +312883014 +1705199348 +1592761251 +1043995178 +21535935 +9222086 +924961560 +75098361 +1044218960 +1512008498 +619634593 +40987557 +193478746 +1558854141 +337394543 +201529277 +399830733 +110872250 +1826555378 +759268480 +1479241685 +64025655 +44355716 +811188261 +54861787 +152071328 +1596377291 +437290371 +1955793711 +551599660 +745558785 +121193077 +109315361 +190836388 +1165188255 +130851296 +200058474 +2090149816 +205949657 +1244277435 +1454674666 +825584250 +1285264992 +1648153412 +236954743 +1622659535 +1849682690 +636785476 +1733531785 +1528754420 +1396053957 +1065289822 +1592780075 +1440409673 +1876478083 +1647641863 +1592481001 +1325371727 +2084932234 +1400791064 +1876971387 +683007371 +1521984142 +1986286748 +873843759 +539688749 +2117138045 +1073902233 +482354917 +175604054 +170696020 +1937029584 +1001188305 +1455961012 +1437699348 +1238143048 +931136899 +1139898390 +1874928525 +517185037 +521169163 +1123498834 +1582474859 +2113949238 +416424859 +1311469295 +1614107453 +2008905860 +489357374 +1551556039 +1262213276 +218845113 +87079762 +636713770 +57648214 +960923521 +1176402520 +27302611 +2034825755 +1658757437 +202906665 +58038127 +1448303373 +1204094970 +1513999140 +738519074 +294754371 +297652391 +1878417464 +22199248 +814837428 +252102979 +1145698082 +249828640 +218568570 +1562122941 +1561297935 +1832676023 +1423545153 +2050655309 +1236748415 +538274781 +122016774 +1323828177 +1174988552 +179664988 +137268051 +203907424 +206967599 +24610158 +1862664861 +409874265 +82648285 +1163484587 +1613969235 +1596647425 +1902003661 +1908723606 +1894299817 +1632937477 +1930922854 +561653597 +1885040457 +929137288 +811482237 +2103609027 +343776581 +225296524 +1788801402 +1767321734 +128468185 +878066169 +158112868 +250484960 +54410699 +1333101420 +430149948 +191678750 +1537008844 +637117548 +216288908 +1252190057 +1046991813 +298937193 +268190996 +513477400 +1895584619 +22711009 +274717359 +1642400788 +1655648487 +58156565 +56570737 +1393205296 +987293854 +868052975 +1349330675 +1331070435 +1093349499 +990648429 +950908522 +1221817685 +1868714599 +1109021390 +1472302645 +1923125298 +294639162 +1902452593 +2114804048 +1831648006 +392086493 +183609308 +936354415 +1439078306 +482546501 +1204545412 +1952555707 +230647472 +1227256421 +79789418 +1873048260 +735421260 +137945983 +1929618998 +2128626556 +1125239837 +650188325 +1330473583 +308826625 +1743537824 +173638365 +1259735147 +817871861 +2042352964 +221272889 +142690858 +1817994614 +515912051 +2045143452 +1785315014 +200076409 +289746297 +1968924322 +1136430824 +1728824604 +303987175 +193492588 +1533896663 +534634648 +1420749010 +1613686081 +260199260 +8686622 +1751632064 +42334610 +2137313179 +729388254 +692522935 +1320303114 +1038214879 +288577112 +1493941479 +150466378 +1106448973 +1388810795 +371739267 +1249139832 +1059321761 +887651318 +1146799636 +697153127 +1087727727 +1436545933 +518593801 +76674903 +1017886889 +822580977 +270167492 +404299904 +1357215625 +1690916502 +2017985985 +1617414885 +1699603124 +1622134402 +1659749496 +1689432655 +204039008 +204788783 +862252122 +1242253887 +493365895 +208709953 +1392720265 +1599814869 +1597520749 +1764459532 +701471053 +509358862 +504627202 +1848270689 +1206511990 +1592354929 +1137332974 +1725105791 +1669029832 +7736216 +400203120 +1939197324 +412036120 +1757418745 +1482630178 +282538458 +1227349983 +1034749655 +1904672860 +739615831 +576698662 +2108711868 +944404614 +1438950784 +1203482107 +1437770510 +1647660738 +448718724 +890101731 +1097697839 +65694608 +1591572784 +1607056701 +570321810 +1292359825 +666085043 +15193091 +282209151 +243707187 +1684222923 +289945367 +643910307 +1475936600 +701981488 +253845405 +811083130 +984519946 +1481195388 +1845832785 +741709158 +73327571 +275047800 +702937378 +1017732185 +1713998584 +1906419485 +308019047 +1214175674 +207654561 +1198120778 +164389865 +273349169 +642209914 +1771446567 +843670979 +1934569739 +290047962 +858864070 +69295243 +533755149 +395603345 +359240610 +1177665457 +1871539945 +1061222098 +1431510862 +535139428 +2045742044 +765222602 +233488565 +639967554 +838550173 +508536365 +1342904932 +1856282358 +75051302 +1101840769 +16817758 +1289226976 +1309495330 +1214938536 +1453616842 +1582844499 +1857148451 +1077579761 +279031830 +1644234542 +1367627723 +1137895900 +1713529785 +1901382873 +1533499246 +2072770396 +931564682 +1257555543 +986508846 +215591896 +1792694971 +884767243 +980814498 +2026183537 +1524734797 +1819364671 +387236254 +720156082 +1528163381 +462287556 +1821996851 +1544981139 +1751514533 +984008534 +612436028 +1057647727 +419369385 +322100831 +2135227488 +698401216 +1966335373 +1355371563 +1836297116 +1532381511 +1109270788 +1222312714 +1457668259 +2040835470 +332384610 +296693457 +108943718 +2125079581 +1181460700 +1089758216 +2003779470 +558711850 +761639239 +243532077 +1278867932 +142318973 +705819633 +953381135 +1687300112 +309850518 +1937389669 +152252492 +1367498245 +209275407 +474353323 +1355242085 +907676623 +293205049 +563130001 +596490091 +1825586560 +1672400789 +1818802806 +1135771171 +1565752612 +3703768 +1432464628 +1674696330 +2128783349 +466441681 +616970899 +1985079172 +1025153531 +1378610138 +81127601 +156537815 +1520929111 +786947234 +1109918950 +1060745576 +1096797753 +899824972 +1212998068 +316812350 +1109100379 +1687351392 +1672054436 +2016777002 +1980556441 +87700789 +465783445 +1658659353 +1760101578 +137102603 +646946876 +1178370542 +140806371 +2079411504 +705583225 +122106073 +398369537 +1322554124 +2107185245 +1423523068 +553680614 +40829198 +1580060883 +2074609726 +827776432 +542496186 +987871654 +1924574185 +1442321158 +53386074 +93902888 +403937889 +1740737466 +1765957324 +273231243 +1573810259 +1853658113 +739014688 +1084985964 +1466276043 +876117292 +1731932840 +497162938 +1016923663 +1663860697 +1202746163 +1139029736 +2062230234 +377816639 +1098731333 +1338269655 +931497253 +1139560531 +770846890 +858623331 +1967336964 +1313343076 +1846494985 +1744427501 +608180586 +1899881060 +1838330389 +1012118475 +1493134878 +1456804065 +1285349718 +919461490 +1162978530 +2024364407 +2004447454 +481770926 +752998051 +1588896647 +978933864 +1769921714 +1105273696 +34196379 +761467803 +1020020282 +412013018 +1860199136 +210806289 +1343510271 +852276020 +981653180 +54649955 +672129336 +147512608 +1901144940 +269073189 +755693195 +1653542352 +2107403579 +1767811670 +999193583 +1416723996 +905677741 +1918655073 +432218879 +782558500 +1775618879 +913989805 +1535556551 +1217031878 +1892923669 +1157994617 +174821926 +1927120048 +1919462420 +1194842209 +191649418 +1632177909 +1405648498 +1535159689 +336970281 +239818030 +1589809644 +1009099617 +387330639 +1343470937 +1278172806 +1143023834 +849529641 +1238092737 +763351856 +1848723224 +507333086 +1669029597 +1619894649 +939551965 +304104449 +1248029881 +1853541770 +1839661000 +317578111 +1598981791 +850171970 +492400038 +1378618191 +622150742 +1687242247 +1570267609 +106845003 +945407097 +957943650 +443815284 +1185225128 +400269647 +1452914901 +1572555767 +1743740584 +583604060 +568095953 +445786577 +1821696797 +1331447809 +147026154 +181546235 +852993759 +1766920803 +1121098200 +1157098208 +867467036 +827156322 +849275561 +1185045148 +278654465 +1699447531 +1677445186 +1657272656 +174114625 +1217203785 +1080056617 +280959629 +15127234 +2038000268 +724774913 +1200352362 +290786267 +30206167 +625424481 +2034526851 +613810227 +1193520434 +332829780 +288023376 +377484596 +479855934 +469569612 +1230478355 +99293090 +1590667812 +240092915 +966760126 +270340487 +1089368476 +4321626 +548994952 +641332359 +1681766812 +58783961 +815446985 +751486949 +1138840578 +1096406614 +766614184 +1029357198 +1821181527 +1966966546 +1320143465 +1851387694 +444907380 +1207186668 +317714273 +1638427814 +1540016449 +605737650 +2015912410 +2019872383 +1075307262 +1098907117 +2119165473 +518491426 +1339000033 +938441952 +788831913 +280884861 +942763578 +1337826866 +922217221 +477046743 +1396610827 +1737664206 +1228533692 +387967757 +686587172 +1995147876 +1417324956 +360285051 +1814630775 +589984773 +64189098 +112054507 +1797171442 +381903371 +1750482321 +1189704243 +987641021 +1618911084 +1062092978 +2062948283 +570334553 +1033774804 +433956062 +1909334586 +1972216756 +1222787975 +42735800 +767496686 +413131193 +964953021 +1244543429 +1809742020 +555133579 +325593474 +50226130 +1241720751 +173257702 +1467551086 +1602005802 +1987888477 +2057535859 +1666194900 +2099942984 +1707223653 +2048098272 +1702941658 +749444248 +888255645 +1174369094 +1811537227 +803720281 +1744703647 +697828383 +1237676343 +1506554586 +522561491 +312980670 +1549290386 +1290058177 +726111864 +366759759 +387117959 +388370236 +921893338 +712711433 +438596366 +16130441 +885969135 +1906147452 +1618136243 +726373965 +1816199664 +1136847496 +678833301 +1375939669 +1037462120 +234291311 +2125383918 +1925717765 +1408660405 +1789437497 +581954398 +1005880405 +339782232 +1819630741 +364951343 +862343723 +2132611412 +1914241729 +4918252 +711239628 +133517840 +392036211 +1099609864 +1055411178 +1104747644 +1538206231 +1071541619 +1990716780 +1296870035 +542194214 +569607097 +965586051 +1679041710 +1248440398 +194042073 +569020182 +1482731710 +171942343 +347254300 +743908467 +1961379840 +929208698 +1749788872 +153678424 +601355792 +2114740215 +1016022147 +586483556 +1881498296 +1020940399 +1297723184 +2015016136 +1412976611 +249849400 +922943666 +370240607 +1788055631 +1994485285 +213473739 +937442019 +389195852 +783080836 +1903028070 +2068237562 +2031521235 +2097070143 +489774097 +1366769297 +121528838 +837028397 +2110677764 +2082908678 +1766237095 +1712982989 +89103454 +220109239 +1680239556 +1105125601 +806592795 +1414254205 +2126066001 +2104315979 +1281786693 +1391558964 +206681732 +57246712 +1761799571 +1994737363 +2051731997 +1975273311 +784695734 +293444201 +610870499 +540240157 +214198116 +494908086 +489826652 +703972213 +1861677383 +611355491 +1541000610 +1824871500 +546780521 +1159754057 +1390370841 +635883976 +1379863297 +923126749 +1741009577 +38972444 +189897306 +1719591930 +2143288424 +1471684000 +963667246 +202486508 +1528930712 +577983170 +49740223 +1433179061 +405772833 +834435958 +1726623263 +1016643332 +1374676115 +1940821379 +1511551419 +1864502767 +497309944 +1225745154 +328374610 +2038310554 +903133006 +875155132 +1050580963 +146020199 +1511039108 +282960612 +1069146949 +1104565037 +321933057 +1259044255 +676673320 +317737833 +583244607 +1640340566 +520224341 +2112175319 +70840088 +569964564 +1397870733 +476612921 +1404400522 +977010348 +1493256254 +631592989 +770348079 +857324025 +348612109 +1267658023 +2083069179 +676986719 +1158484929 +838718538 +1552141851 +61582244 +984738737 +915697311 +344542857 +2053885686 +2020262349 +666475914 +1165446294 +549452021 +984213747 +1748690901 +42308939 +1504438088 +1713382573 +113149028 +2074402652 +963769658 +589761949 +1331319527 +1940780006 +2083018203 +1962912516 +563644437 +792858580 +164040977 +1831302460 +728444112 +841027697 +842303741 +1567162650 +245685900 +903885985 +404417739 +1161383212 +1248428842 +310819778 +1034161913 +1914904756 +1476266072 +1583613934 +751634855 +1077473325 +1625922873 +108589295 +643372250 +1739071901 +35508300 +1607141908 +181350203 +1366827827 +1400438266 +116884758 +1182256695 +1964082703 +909743339 +1346297673 +1647901515 +1638187451 +39841722 +342721608 +1057866453 +285527622 +1246607594 +1462284192 +1446910834 +347552788 +1773103970 +333589099 +114973897 +1101886394 +1917203033 +866608752 +31876072 +1395642259 +975198048 +675248322 +987230512 +1010706348 +134906583 +1168580715 +230050527 +1535344849 +1285465474 +1412307222 +1351943905 +47725165 +611121247 +852361772 +1685912616 +650962969 +1195083381 +596295421 +936490592 +294207327 +2058579613 +235917778 +641760115 +1684199936 +569506878 +756734012 +638602682 +339226263 +1623342765 +670478754 +1734868522 +451057165 +1345727077 +574615387 +1461763513 +1480633660 +1743196102 +1691814040 +868494861 +881177928 +956637614 +72955118 +928903093 +1567758862 +925316891 +467332061 +71238183 +2120400272 +1063627482 +1007728775 +267123951 +974723448 +1243646554 +908884066 +511439736 +1813153432 +1665618079 +1150042418 +4896047 +1141477196 +1820521173 +1739764570 +1592534361 +1018764602 +166896309 +906814226 +351914614 +1910092411 +451144618 +1220409475 +643786692 +1407782232 +1293364594 +1572689785 +828057446 +71197837 +2040021847 +899295630 +44114461 +956165681 +1907024405 +311238412 +1930889129 +1003187311 +1220122478 +294845217 +668857095 +738256909 +1444887636 +673753143 +1879734105 +1117925161 +266034065 +1324784818 +2136689763 +432930374 +84115396 +341120729 +195539137 +535260014 +1561530204 +839325829 +1943042247 +707411150 +264531967 +623616045 +778608987 +157070166 +1522911675 +822723448 +1113235847 +1282452433 +1133961860 +896641329 +138156096 +206600691 +1191486546 +807013192 +944857600 +488890534 +1480766335 +677108058 +1606815695 +1746800400 +2001892876 +1596021810 +32247126 +2086008273 +1937142539 +227786263 +473784639 +1351189096 +1067112093 +269343238 +2058600246 +1331644060 +892959284 +689725586 +1488714226 +268387311 +1512449034 +454466425 +1550839744 +498927247 +1351107754 +1688995841 +705527938 +395110653 +348525385 +1650385538 +884001187 +1829291720 +180009948 +343333235 +1428608472 +34419177 +1939355045 +1460855598 +2120427450 +1729013937 +1688641861 +446728441 +932719385 +608270306 +716071680 +843835983 +1939914366 +1609030964 +1533561569 +1281144944 +1877418275 +898526956 +1735611370 +1280774372 +1397454203 +939235476 +822286565 +2102982141 +1334346129 +1170811950 +1605884031 +70863669 +852620022 +1785893980 +414196904 +133744846 +1820313157 +206068301 +1594600444 +1793256959 +1935082238 +1135758657 +92501752 +720317975 +1744028964 +808573432 +1564153959 +1536459682 +270120748 +950231880 +670120979 +55376 +1848758836 +258248701 +1280829748 +1098729391 +1197484177 +2103116313 +1054227884 +384346659 +1126444615 +512628268 +455210328 +1979064637 +151038600 +869407232 +2112809483 +1971351757 +1075475533 +1559926279 +1617125068 +863074124 +548201288 +1709626820 +1583392099 +144746604 +370716605 +1000062410 +1681206287 +640837353 +1950294291 +203843618 +640892729 +1651569479 +462092319 +1921722477 +602815223 +1659576496 +1877355142 +1657043107 +2043923155 +856316109 +22187727 +351649835 +687897098 +173226327 +1221057067 +653222933 +2144578084 +149048953 +65665564 +1614219504 +1012123077 +613866853 +1176362677 +448031528 +758613457 +1547079282 +1448093939 +292336096 +40432987 +1250904582 +496179714 +681325717 +754990413 +958272033 +455564546 +1357805636 +470364882 +185436041 +867365096 +366804389 +1041752150 +889552823 +718454225 +1729649249 +1062779151 +1939511292 +235388534 +1059873587 +2088560245 +301054099 +526609444 +953199674 +914920952 +1702972121 +1401231203 +1673534409 +1102567755 +701841494 +1965870506 +1143000742 +1952746076 +314566572 +1824326459 +560252841 +1272838606 +132407358 +1918058478 +1743203488 +317843399 +637939926 +2110007877 +1359595549 +1527492749 +680978454 +941761150 +442788252 +473006099 +1177149685 +1502661840 +414082696 +1478203784 +2029271284 +1367282371 +245641088 +1584759757 +621029926 +1919175497 +539843864 +1322871420 +1737562355 +1682844606 +1128133848 +2052128928 +1359687418 +1688386689 +1177483886 +1492094776 +1458961519 +773203726 +1809938175 +2096901445 +735727955 +1022050076 +1476910547 +1416706410 +1963811227 +1919698799 +1889712509 +993477264 +1274876991 +156311557 +324197400 +1156664627 +1523593928 +569838488 +593940736 +2144623854 +341530337 +1133784600 +1320011626 +2079092693 +669145559 +300661826 +1983737973 +2028832977 +1989048516 +1013738211 +1373444105 +1300526387 +1786941937 +1035898632 +1249944185 +375186244 +2057948708 +579371084 +1791892654 +1874276287 +351586235 +1534121515 +720269903 +1626463227 +1690433073 +1044467303 +635644206 +1066543353 +1614305791 +1229584943 +1063683560 +1955836129 +215885895 +236211538 +1887445174 +885031454 +536873365 +1723699499 +766380783 +378438233 +589954062 +2139824888 +1678964620 +229412351 +1028239872 +781425157 +604598595 +938704933 +1360796241 +249007602 +665497572 +1712382477 +1783129117 +1385767476 +1191362056 +1326078542 +282751131 +1827006262 +245138248 +1897056923 +909107557 +1308821808 +1705409404 +1124993453 +1545033346 +1445370930 +2010024907 +2081906711 +1021586781 +628922043 +312861296 +1611540843 +621263283 +1991825917 +1840953194 +1649503156 +625767426 +298068141 +440724441 +1986563668 +547075743 +1106222013 +1551462497 +182721213 +344505841 +595340905 +1508799755 +627256973 +274863519 +1753938003 +376830248 +1183971077 +915276163 +2082239652 +161480882 +312825862 +1380126934 +24022141 +247248925 +254230067 +652944184 +560110222 +1865770910 +1274207468 +404452491 +1559240456 +776226976 +1030219917 +1857308597 +1216951417 +869299937 +256900693 +175689782 +273278786 +439621906 +520195624 +868619691 +1948421661 +1147452597 +1143483211 +1554876017 +1524282845 +179970640 +322668532 +1459038849 +341451522 +635494394 +691682135 +365473663 +882743320 +945912202 +1018417848 +1442853542 +664199464 +145141668 +1847306033 +75956272 +921368644 +730042302 +1933264869 +2138320061 +1599342240 +42681914 +166526195 +1872621026 +482303820 +686721819 +593757070 +283241834 +1834174416 +1737240281 +1838117851 +1210973613 +1917210921 +13302735 +522528814 +111178795 +648797130 +1214210949 +476652458 +1531540450 +12639503 +1495070306 +826910344 +676838967 +1640211974 +526732729 +752795239 +414096970 +1256775031 +538576461 +404933383 +708633623 +581258375 +571459579 +433771002 +1063562196 +1258181398 +1027528072 +1346804030 +944872167 +617284705 +1037438233 +8362132 +387011978 +1050740968 +530890947 +498190773 +1699538098 +1745101896 +974843231 +1083594900 +1757741400 +322429890 +1910505244 +287096719 +1962641864 +289754325 +1039891959 +229255187 +1546529357 +1578468420 +634188570 +107679332 +12243147 +1205648149 +541450334 +1075805343 +316345900 +1568978406 +275125725 +1261218067 +38779463 +1312563958 +1269580199 +425791441 +215821279 +1800471146 +923982214 +1915359377 +1398089395 +1898825446 +851470630 +1008347147 +73771688 +614492226 +1295443866 +2036413552 +904246552 +187852177 +118185091 +303292261 +1766320597 +752373662 +410971593 +1778563745 +1958021811 +952421928 +706885440 +126884063 +373916686 +982011166 +1388102130 +412696150 +147091476 +510198682 +838487591 +362912755 +163186180 +1762469806 +130788485 +1561275575 +1513811604 +982259115 +422139074 +1587583292 +1596751341 +1717582941 +1476513196 +353514245 +1905435118 +1594698288 +656806506 +1524272068 +199588302 +1067778100 +1155352165 +10126465 +2020200028 +1862237605 +137010529 +246633066 +696765123 +1525112659 +659329216 +843856600 +2035311341 +1497816808 +1206769355 +51013874 +1112802966 +1337557840 +1612289449 +479130922 +172333307 +2034428524 +2066714214 +1769084649 +1604527817 +1395743762 +2122598894 +1362479287 +842958402 +631921753 +739267707 +1042546704 +1699699853 +1894619872 +1052673170 +1572416233 +1609373830 +1189683699 +1819049299 +158655305 +567312710 +330894868 +1002511905 +455140404 +1828711676 +61797613 +506154278 +794030994 +1399355453 +2118443727 +1273161916 +1571688761 +2005388603 +1192392482 +1193289762 +1462432772 +440652596 +1168405008 +677428412 +1283610999 +1800326761 +1416696119 +178674055 +1352542966 +1163832344 +1231347225 +777475551 +625722526 +273547276 +449041203 +784377831 +840859987 +779936071 +1786889737 +1296000391 +461164099 +1848687350 +1802154669 +1255195093 +1100559155 +1773114748 +380873361 +524764268 +1631019704 +1573265843 +1718054030 +945968828 +2013918439 +738975391 +1623397240 +1150045790 +391818504 +892609712 +1328719846 +1744361471 +2056442056 +412583423 +374353374 +534680934 +686130700 +823394577 +1319058765 +1526990687 +1603330648 +958464854 +675507430 +2064494747 +659668556 +330178451 +1172206192 +1760227712 +2103293199 +1553079553 +137508332 +1586829255 +978861748 +1855562363 +385314436 +845296540 +447054106 +2008711676 +1995342330 +838872610 +753837740 +1176578528 +435750433 +662796148 +1589161952 +810103808 +1197477082 +127809004 +1633498385 +369052200 +1654799691 +1089345386 +1327517054 +182823473 +1006356485 +1987185611 +513001924 +31079030 +1599929675 +468811475 +1584158583 +1737438007 +2055640731 +415536684 +1445516722 +293471519 +1260833224 +1892570828 +154699547 +1108691906 +583959791 +908537288 +137786787 +1019710224 +1571333436 +1726948739 +1829814032 +621326871 +1854757743 +1315828770 +990379071 +1362073786 +257690508 +170412477 +1544897259 +1264046993 +10114440 +2057899183 +1295126023 +1610044115 +379227010 +731800959 +1199998475 +287384093 +1147337643 +498031549 +580855612 +260687219 +243118730 +735555160 +1369379125 +827078521 +1644092448 +1507165912 +1846788745 +1067942236 +1086631003 +1529119130 +1689269107 +793905098 +697464252 +532164530 +8495236 +955154760 +702577008 +1553392495 +71718105 +712691448 +1463808030 +1366844129 +175251916 +1843035041 +2098645088 +1375250391 +2130419134 +1098499083 +1873281940 +563791099 +1359186302 +2116400670 +1299346259 +581081779 +795995543 +795955059 +2088247692 +495300641 +1863897295 +1027395047 +2024419771 +1405682755 +1821300146 +574400375 +1937847285 +1829795382 +1529555135 +492940645 +1235704230 +1601273240 +1205632094 +552028612 +820633721 +1380884010 +247580005 +771795161 +608650753 +230515492 +1870294244 +334449045 +794306591 +1081996898 +303366068 +2093652850 +1663078678 +1099361611 +742124261 +1603842722 +1594662252 +458537908 +483754121 +1471598375 +1864220663 +157570619 +2045998750 +1654584301 +1987366002 +1428070237 +41298 +1075586584 +881859830 +1205673392 +1627615196 +1702493551 +439073754 +1875195202 +326805065 +1047724507 +2105710694 +49615661 +1382173553 +752533637 +1131612560 +1685539621 +698702839 +647207590 +637417584 +1440827100 +103566664 +84596189 +1899365008 +587320785 +1556194564 +1616102024 +744891405 +1454709667 +1123202677 +584773759 +735296256 +1123243975 +1660360343 +1617156086 +181433720 +1140491891 +1172165990 +620507474 +868203445 +1498971055 +1668231982 +826430491 +1548586716 +902921887 +1578964128 +532715628 +440977860 +130183319 +1179923218 +1078395444 +1571010419 +1283489882 +1162991633 +1322891780 +1870810668 +571702550 +791510156 +468218425 +2026412217 +1914712833 +1052992184 +614224825 +890473160 +565868879 +83897264 +1071906880 +1706360770 +1256063254 +1692414355 +427080568 +607550661 +1213162689 +1253511059 +8653729 +2116084576 +684991540 +541369358 +409578788 +815174859 +1721292576 +1487974232 +238701631 +857298811 +503482218 +1561593411 +580625831 +1075184768 +205619919 +1048844256 +954113337 +2120332752 +2101836440 +1568338162 +863322264 +520221671 +1652235426 +1935229145 +79098793 +760815032 +1480159852 +506179361 +1368365693 +545838893 +1759690421 +1377019423 +514439821 +297198313 +1918388781 +924018609 +1112373172 +1492197709 +264509193 +1351074803 +202012872 +767991411 +765184566 +782638703 +1843176179 +970804485 +1831482959 +649805868 +943653589 +1785835751 +70660383 +1806975854 +158573774 +1722895809 +1594721351 +237672568 +336227194 +927397555 +743851929 +1704592887 +1473236448 +356058702 +934128662 +1987676269 +653257015 +705033795 +764211230 +1765630188 +49747857 +1028720423 +969221343 +251760729 +1796711835 +1734405910 +1034399433 +1492404366 +557726747 +718398744 +2142210235 +1501380337 +356750848 +65386970 +1160872543 +515324622 +1788282779 +608110246 +752997190 +2124509973 +1535507801 +1496849120 +1681619213 +861260601 +1852907822 +468264227 +701453222 +358681190 +1173298023 +1465664452 +2124311378 +1223045880 +346901227 +946049073 +1474806609 +2143613062 +532971335 +361722394 +1488533781 +1090698083 +1080121139 +1483260368 +444594772 +1436871987 +1548647338 +1605467315 +1952196609 +1189446469 +66093913 +557710152 +1166472795 +1601601714 +2054559272 +700608360 +315378667 +1759983446 +1168872587 +1016831889 +2118664636 +194686962 +335012693 +2095492366 +1417732842 +681913920 +894057792 +745055804 +678043335 +1427029127 +1106778198 +19093468 +370243562 +39415689 +1502353836 +814838334 +1476287676 +903517526 +272822001 +1281000638 +2092963995 +338915914 +1838710790 +1111953142 +1940517628 +1745786414 +1812561502 +108412647 +1358286212 +833950442 +1125244536 +1329467201 +1028637404 +1460257229 +1277475919 +298886599 +2142171150 +24050063 +1043942403 +672730837 +1451079191 +3236953 +691824305 +1821322753 +42652643 +46694493 +488677440 +1518940319 +950212019 +761499441 +652457309 +895692366 +1100415356 +343684451 +2007645509 +893449336 +2089470865 +1672723363 +1001861984 +1300273430 +359190157 +2127106520 +482256983 +1387827562 +1439880102 +1759732902 +1686714161 +1434567604 +1783782966 +583172916 +2107298441 +1087378509 +586409869 +651639098 +761217614 +629062512 +698333591 +1249895054 +519184 +1648545610 +2011394496 +652976493 +396754328 +964326204 +996660945 +256916189 +1857775540 +938648162 +1929639553 +712153876 +91437944 +141346062 +691776749 +573694927 +1529173624 +2131656851 +185944182 +1068404137 +1418740807 +1969727148 +1651577053 +1378555600 +909622009 +90503275 +2030194698 +1670839623 +719565787 +581044641 +773251030 +720084971 +82106603 +637161878 +1373061465 +478860931 +1601488082 +222238762 +735777121 +1311779974 +1160886924 +517933026 +2023933851 +1252324869 +659279088 +568226952 +1826019796 +40969065 +552400155 +2011963978 +1109373202 +1971140962 +1834207478 +613466608 +1202212914 +596345839 +703969883 +1084923964 +119701815 +1423535670 +1665968605 +892952845 +2143620642 +1748075208 +1530114723 +1369198459 +79452491 +984119157 +1591437221 +815229612 +148415483 +604840497 +1333162638 +24865686 +1857165366 +1992441727 +593092638 +1535701515 +2033410792 +1145492793 +1400181845 +995300346 +969150107 +1086905676 +1608766954 +23879373 +1683251515 +165253189 +1108803337 +1802953330 +1588788860 +627288294 +548422527 +1584925854 +227879854 +2078537250 +806640665 +307332346 +915172759 +250594238 +1122561958 +1063588243 +855434735 +308240949 +1088453929 +565116454 +153199028 +1681546568 +2100817969 +39126172 +679555713 +1353516166 +1034426518 +1648705821 +292938194 +495709825 +1672585194 +1976189710 +660963014 +633904884 +1631659392 +102268226 +1261193178 +32598272 +1687194080 +1489073033 +2111135522 +346351097 +1796405379 +878824634 +596945335 +771483689 +1942412877 +1452380071 +1079724638 +883383158 +2017496525 +1232923666 +417446078 +1970830846 +1272049838 +1097001792 +1176863364 +158992709 +598223965 +1469801559 +654702534 +123325511 +1298507621 +1315665548 +757230395 +782683365 +1417933775 +2018423574 +815281637 +957644207 +1360012959 +778933512 +1303995305 +1008934690 +1657758146 +1900940640 +1780418379 +1452687375 +1205837063 +712659370 +188586885 +1075849940 +1945583036 +606032964 +899197138 +1070149227 +1703034756 +2076060503 +1229141936 +153775073 +1398378414 +1883844470 +277100584 +549402387 +1052026370 +1034330980 +1332085752 +322476497 +905270906 +2147367390 +1280120705 +117800217 +778817254 +436632362 +1126734907 +289091752 +190089354 +759669638 +1741779127 +1395926418 +1472329008 +1930366012 +324292710 +1270428397 +388915328 +1223489849 +193093976 +2091950084 +1152066704 +1422235912 +98241509 +402961470 +1158596734 +375342094 +952363857 +63139456 +1409673074 +136965961 +385615954 +167460332 +136849703 +1665736659 +285260549 +915666957 +2102369021 +1411995456 +1204758709 +144974727 +24181446 +799054188 +1540901145 +1496510455 +581936553 +1865193856 +619455204 +970851881 +941200057 +812549180 +915318318 +2093266761 +87301444 +1013559827 +348744583 +1245898178 +1388901921 +1301108440 +1309037634 +651091347 +1438074401 +1694653588 +818551679 +1574924105 +1212906599 +1103812228 +343107414 +1167791972 +368324036 +1547866124 +1312766700 +392505483 +199436664 +706184197 +1889015938 +781373217 +423894405 +360987494 +1752225099 +1365094462 +1173536674 +520059769 +1310877575 +1260838118 +1533619596 +1659622158 +359252648 +775037870 +813246950 +1668290282 +1426129217 +103837704 +1215460223 +97197249 +1678761809 +280883174 +1201009477 +2021869223 +1448675147 +1569333514 +1422251699 +613958199 +1961838997 +1621688364 +1320142396 +1703371287 +255577933 +1744036802 +2064358781 +2007803032 +961647616 +1090411807 +380379153 +125041544 +203766277 +1913998750 +1784663702 +563018925 +541552972 +450427005 +83825559 +1967682189 +554264709 +1299285782 +2064879438 +85542870 +1580168957 +1118405268 +2107412093 +881360456 +540255134 +1382180145 +1495318655 +354610483 +856384861 +667977403 +2057981770 +1111962794 +264530557 +1974856903 +972282179 +1226178174 +917785062 +1352661332 +1351219718 +1121551339 +1119176434 +988399772 +1684570264 +1660729406 +1438826777 +1768395823 +1480927948 +1993091486 +920197958 +1398323738 +2078634356 +352883267 +369245358 +2038562802 +1234243723 +909500492 +1273259299 +582078730 +1264110975 +2129644160 +1250056133 +1174609097 +1094123306 +1514586691 +1001982352 +2066405485 +593281217 +1919767414 +1271583170 +1944500935 +893835105 +243275956 +785417059 +430921721 +1904005363 +76760189 +51833897 +1237449663 +2069851675 +972031855 +488289753 +2001002384 +1324915122 +857535112 +1892081538 +411675197 +1767035604 +1017857189 +993753927 +883662932 +1000017701 +96326412 +2058272029 +2094141007 +1610913103 +912770734 +2013062845 +56710672 +685054500 +1137162367 +2001211607 +1578889606 +1380438323 +639145019 +2009811327 +1136960038 +715905208 +2061645224 +226926053 +638273235 +886193431 +715215807 +491791971 +63624905 +1572750919 +236389861 +475300102 +1192302875 +1254247050 +1469054029 +2075965807 +106781103 +1565380442 +1986754189 +53438463 +1028809897 +752041275 +2066501308 +1085520570 +1437095775 +1056180027 +939248529 +868501733 +289134702 +1578393548 +730829413 +1426094741 +146815108 +644990989 +1653020794 +785088344 +1531184421 +220752953 +1276880315 +1594809326 +1793503872 +1513270177 +2070109429 +838323100 +620033579 +1391679810 +766805259 +726814683 +809576604 +606075800 +780253146 +1838386502 +1358117075 +699270806 +776423424 +647729203 +1755450833 +1715671953 +1516230936 +2044585535 +1146581854 +99576701 +1323196628 +1293396962 +744567691 +828733775 +2078485306 +128268464 +1049486728 +1207881974 +1723077790 +695506953 +573668503 +1645703571 +1533830053 +1193702082 +889899734 +153151664 +1920516765 +1699476338 +759227465 +553286263 +1390379192 +2117344540 +1252557069 +19318968 +617590095 +860524254 +1734990922 +2133821032 +757626142 +734089128 +85914085 +2080822770 +2027486090 +830481776 +762072897 +1958487749 +958750240 +1811559626 +1018886075 +534344383 +359582931 +1592554578 +32564306 +1893412984 +638773012 +922464040 +2046564648 +411806130 +474456731 +658308465 +965092393 +1864835923 +628169358 +70165815 +1884154892 +1245759453 +930690069 +1471662166 +1232096837 +1688316211 +58267646 +1318010923 +1621655334 +2085753736 +1009051 +236244583 +1896757837 +959759292 +2047804209 +768160264 +1494103675 +259903492 +213231194 +1526667981 +5832828 +852004207 +301648374 +2052397477 +1263810337 +776105105 +563222294 +81419082 +493457380 +1191391652 +151584897 +230128624 +289667458 +1082274967 +1701790790 +1521764295 +623107530 +1760058436 +692291570 +97279216 +1698328525 +693300622 +333523800 +1447602714 +1653059914 +233844361 +68279331 +999679941 +493747854 +281510525 +378864274 +499580682 +1133514732 +680512648 +404494511 +249841421 +1456617753 +967716806 +331260504 +1950075134 +11624810 +482845401 +32720110 +301292268 +1565120368 +1734510901 +1823056564 +40744251 +1347085689 +367864486 +138023467 +897930566 +1061165108 +471547267 +198049633 +566741374 +705391629 +266328964 +1566421315 +1199139483 +547839489 +1945285590 +1698720165 +1681354222 +478314590 +2103214677 +1931195643 +1934932344 +923447835 +114972499 +1737523830 +935072645 +597817901 +1770243940 +1236364914 +15454621 +1357271193 +911937830 +56198872 +556873235 +1279802316 +194222340 +1454803801 +193483777 +665769607 +1652853434 +760225151 +1371161236 +1919182398 +179162819 +422817071 +319538240 +2124448409 +2121537237 +2000892462 +455279351 +2077268266 +1784604457 +242728047 +853232453 +1899576957 +1980251877 +1788305098 +349911210 +1603012170 +877186364 +365365831 +812799715 +1789124194 +421564704 +1369672950 +921442863 +615787044 +676993104 +1114926640 +1281556651 +182362890 +1875151791 +505234240 +2101545289 +2054314610 +928051311 +273599881 +2031279371 +902104900 +127008695 +339075075 +831889518 +1911613152 +581803122 +1685121971 +1663706461 +414571352 +1325943422 +2013617671 +2017583522 +55646138 +231499855 +682899589 +1844770333 +653064559 +2052572540 +618729548 +1268851603 +582081996 +1733656188 +402924606 +764444886 +1461324331 +908158846 +718506527 +1368155294 +1836210158 +992106408 +1251951017 +590831410 +1119115103 +1591026092 +1422720929 +883244608 +25345567 +960359252 +399467421 +439916919 +138819026 +265601445 +310016793 +194465165 +497101300 +992916382 +2039235498 +1150165859 +898005274 +510481398 +271533814 +1480087270 +96653938 +674458420 +97048509 +1557978269 +1582617267 +815555036 +778649915 +1271343777 +1807661445 +2030600933 +1862175187 +779292900 +1474143377 +1137412468 +1662537508 +1499488944 +2097771721 +2062004930 +1939405863 +89107099 +180122727 +101939008 +283572264 +677224027 +1094855391 +175324114 +1827389886 +1992860665 +685805512 +2098923700 +1325464288 +782459450 +625898472 +1422512797 +192954072 +61032091 +90584185 +971603987 +1332375868 +1898245630 +854721272 +1047067408 +530054883 +181381002 +36996228 +45108743 +1680869946 +2134767949 +2107113673 +1472792162 +76391401 +139752752 +1574731170 +359963665 +816976779 +522102913 +535287780 +496883017 +367479931 +1221093292 +448323069 +1692944219 +2003552743 +1074221542 +967973368 +49023167 +1135253633 +1058557553 +1020627154 +320145854 +809319536 +1875348427 +1367213262 +1339374419 +2056729429 +1404209490 +1384483162 +1590115727 +1391493792 +1344113188 +915424241 +1467885193 +1483865940 +342671764 +1827848858 +153359072 +864774677 +215652990 +650242089 +1232254608 +1436746283 +1098565159 +777715179 +1292815378 +25303053 +1745688547 +1341838545 +1160556686 +656762453 +214982051 +1480702540 +1466081989 +2090330478 +700432154 +657972760 +1999576259 +2104641645 +2042455922 +1442208339 +1348651789 +1239085462 +210148932 +669053334 +575467755 +552820696 +349418544 +728826827 +1417595374 +565071535 +1379068916 +502366334 +2001817818 +330150427 +1280081514 +1147149548 +355453480 +878286413 +341504445 +1516010167 +1535048866 +556486496 +849229059 +853647207 +499333327 +1549661214 +1511619967 +351425938 +1506819211 +1406592242 +1793634277 +707987352 +498194056 +2003783210 +1377040686 +1073661811 +409120258 +1726459230 +1802488638 +1826715632 +144047117 +1034073907 +181598319 +2145864935 +1364224334 +1461679833 +1145530835 +1719677815 +192482598 +1487035280 +1088204334 +1727531465 +2043521777 +1937433393 +433695024 +395371456 +1339610959 +1945314992 +746797394 +698946522 +1204423586 +392948024 +1406933874 +1702617642 +249247586 +636490912 +628795806 +658367844 +215466495 +283800796 +337599829 +359513612 +1317874703 +519198148 +357894900 +534615390 +1980877981 +1503425735 +106809557 +25876931 +842977368 +1195013891 +1753408396 +739015497 +984963636 +39619773 +1134386953 +177090948 +1984934765 +1881184347 +876037470 +1041874703 +126648723 +135487697 +597008697 +375896309 +771978609 +1225804503 +1034264154 +987445104 +1509605300 +1371863983 +1346958717 +679996355 +1891062131 +1704853617 +1214611745 +1724456464 +1060795704 +1321421302 +1750333395 +1903773072 +368951545 +1356258144 +495304921 +1353915182 +1395877917 +1629691874 +1531006130 +1233329034 +1363392574 +259559952 +127720089 +1490041297 +395047649 +724728786 +1865937607 +1167026259 +1950533290 +752718113 +6987715 +1312654942 +2124582096 +1353946432 +1992651297 +1868160579 +911316401 +1059779395 +1445133395 +1972112106 +233717049 +1047983142 +1728401530 +602668595 +256757638 +76222804 +1956583777 +1652635555 +1705914678 +1340106259 +738480941 +921823604 +1599666211 +866201030 +264381254 +1994713861 +1590929817 +2130318861 +1014256472 +1393979459 +735553326 +1021244187 +559150753 +712651774 +227706972 +404318402 +433328705 +1139023373 +1464097797 +1878462100 +963651831 +1697814847 +778961594 +544569714 +152999794 +1035719233 +620792518 +2109583571 +540871140 +179223548 +1302206182 +1279352082 +1101047153 +754388745 +2145553112 +1365428407 +601618958 +1588999281 +1348263620 +1615875430 +835495092 +2083816946 +489635970 +1394645845 +648985072 +717342942 +1798964248 +1082313777 +1856366315 +1115578397 +813292229 +672534499 +665909596 +1592253823 +1217104213 +818909390 +480489408 +1837896731 +781009313 +1021360549 +2017120279 +2083215495 +153228983 +970683784 +690120593 +151298447 +188628543 +1291739551 +1740297729 +1536892163 +760131334 +428309173 +1473225461 +1249767304 +1822955019 +2122210533 +1967110246 +1474435619 +1057040662 +1675992913 +442530368 +1870332891 +201043764 +1108439965 +1315103067 +1418147977 +1927349355 +1795592475 +1108561060 +560875021 +669469376 +978197692 +496606868 +822698359 +1948881476 +1186727461 +973996807 +2137510020 +330983365 +566810888 +1526918535 +1091114699 +995120061 +852660349 +193398355 +670591432 +827387234 +13024953 +2145027051 +1884427897 +1689017866 +440073772 +1607277140 +1890061631 +1548513737 +774896559 +1160725960 +1328379444 +423005387 +121803373 +1889254465 +1092474763 +1100001065 +238377686 +1915173123 +901398893 +1425105147 +741686282 +891425265 +1756088512 +1308497170 +270860153 +699719563 +156133583 +1123520502 +893117918 +826725016 +1950907736 +906142871 +824268419 +1687851985 +447677090 +1264342191 +1147645478 +190255073 +665372280 +1922542037 +1350981033 +1993751725 +198063776 +1472784406 +1735522542 +1290538540 +425301823 +1973900228 +1058228015 +1326700717 +1251521728 +1799914297 +70642334 +860126592 +960927819 +341502487 +1559846156 +1117061402 +1465022989 +305480426 +1943786418 +1268447078 +1211623298 +620571190 +808815415 +1659300388 +1884913381 +1956460893 +1849555461 +402802014 +1731519283 +1053052846 +249070091 +1929583059 +378353605 +1984592633 +1072637951 +803655428 +1811009214 +2130865966 +2130356145 +915047294 +1783296615 +53514832 +1775173886 +596740786 +395017319 +1187536394 +1713802189 +1860040309 +1493016821 +1510104959 +981003739 +557156471 +2130676149 +1789819154 +68973211 +1868105883 +1598796400 +1918528672 +123424249 +1182832035 +824097870 +372494340 +964931446 +1202451475 +209603325 +2037569398 +2006106904 +2020612539 +2020951716 +1988979401 +788176185 +1656764684 +2042494233 +415866424 +106021822 +290027905 +1603402818 +1819824011 +2584566 +948935991 +1182445323 +983588305 +1506092462 +1165637824 +625923811 +1575065673 +886260059 +77236563 +1346110697 +1009684308 +1260068598 +22724920 +1382178648 +77516397 +1225176395 +1591781974 +2115085795 +1083799651 +1464910865 +1988553863 +925295405 +105603403 +1497834899 +820305990 +521469827 +1603856722 +1110333895 +2124872645 +1276197085 +1112918461 +926324989 +311158760 +2096506766 +284933803 +1476796585 +574946930 +1859999477 +215572996 +652183493 +1058626526 +1225257305 +1912252092 +1081351446 +459952305 +1989768489 +159044194 +2051734279 +1957370636 +1242843845 +1369161497 +1798440851 +20655602 +1474764900 +1148792103 +840961593 +1996234727 +605165177 +1951295488 +1973623724 +1881362262 +916730302 +752465065 +45037375 +865753420 +1037398869 +1521833960 +1440700350 +749914698 +1737406956 +2092883844 +1808541224 +815180613 +1857652288 +742409023 +1275132919 +1699937129 +901453217 +1179383550 +1509824117 +2144297062 +401061399 +1160781320 +17469017 +1875826299 +162089775 +858430610 +1724577378 +767254952 +662242450 +1550717455 +501133567 +1578972752 +155698872 +546170942 +297242525 +1193097741 +2068004902 +1737942875 +1943012439 +1657928210 +1683343071 +1604070016 +325625176 +1393511711 +198995391 +1600758095 +945965192 +1100448608 +632657997 +308305661 +1097262022 +1033719397 +1469086982 +1114731039 +762062048 +1631176757 +1973161649 +339155779 +250948062 +487920452 +1889873234 +752081629 +2066893204 +2045572106 +1298252571 +216652081 +1091186200 +1218773825 +1954594957 +886714991 +729218387 +1490454380 +343301359 +1054843563 +736482444 +542296750 +508118010 +1682447636 +1642745358 +1140776008 +1990753298 +592523733 +27011757 +1312356632 +1707254772 +789073805 +796049741 +1532932774 +1128229584 +1046997803 +2020853226 +870619170 +1799079432 +1940262782 +768707629 +949848355 +9431216 +1859893829 +21138532 +1964026173 +599125172 +750356920 +1306996905 +942426532 +1805200483 +2043479349 +1484723282 +165834846 +1578443338 +979984993 +1306610854 +1421712988 +1572508726 +1333622611 +586585972 +1132279850 +2122696416 +1382635713 +517728976 +1103442353 +282149869 +391098554 +1974061523 +2081229301 +183877689 +595285504 +883594009 +193308905 +307695685 +904732541 +9851430 +906820858 +1655089461 +1316848335 +1849247390 +1312806297 +1212844037 +1186487024 +1478641143 +643803727 +18988369 +637768349 +2065516715 +1591497095 +1971390960 +504619039 +576293298 +1946603728 +1887254752 +1094022274 +902562433 +21920973 +1485120829 +729140309 +2103150275 +1668998518 +1324425813 +839260636 +1862307423 +1632121499 +1743993177 +1872158853 +391458709 +1251598991 +1041523540 +93222451 +416921640 +106883929 +1279709475 +1895562783 +750687656 +1298697845 +385847484 +668720723 +742711292 +209754796 +1173339762 +1319004590 +8874876 +913110867 +265543217 +911437310 +935031840 +1750664046 +1640577619 +890698467 +1272178916 +817519784 +1729959103 +987002691 +302157635 +1326468633 +711677896 +693616344 +430583976 +1753201436 +786838795 +847505616 +1860085366 +2066548271 +595584751 +463289374 +1217762468 +981432235 +1132010098 +1960473760 +1191187031 +157866212 +1131994703 +1200061907 +1070977079 +1397537920 +2111499217 +2006008920 +1000718318 +1604593188 +749223739 +125413586 +274629325 +331699195 +1112416277 +576786960 +1658167828 +1824094173 +1270403305 +2088751804 +1429811961 +2057242100 +788773772 +1142413679 +1976306723 +1384358523 +1605703054 +1046585543 +218307110 +590229504 +859575656 +1409494141 +748095716 +1991570359 +462072400 +1819072796 +1241624631 +426087970 +1677598068 +94859301 +2030681158 +279338159 +220272887 +157826835 +611037354 +1332689164 +734613796 +121721534 +1009299689 +2005017101 +62989690 +291628002 +1914775553 +851763462 +1434041682 +1743598629 +88638337 +892261088 +642700524 +306945447 +1482490592 +1502276180 +1716439588 +83102660 +1346362891 +31028341 +1902175456 +440503874 +457116311 +1432289876 +535363175 +340313821 +1711628036 +755636062 +498140657 +175181742 +2088325226 +1232754453 +296903277 +950141267 +1090287906 +359892967 +1241769270 +857579811 +1211656430 +528327304 +453694792 +1300294767 +1420588392 +1096395317 +1607240215 +755595336 +451187849 +1176196155 +838697996 +1797550741 +1207224496 +593389805 +90570967 +1664340807 +2025679681 +625934143 +2004654629 +1589824069 +1381570205 +355311638 +1765005812 +1322411784 +1588066091 +2061909089 +125069403 +530870349 +274318408 +1366838673 +1388450160 +1485974838 +1895165977 +1842144953 +638785958 +1168270721 +791056622 +98542525 +1923866057 +1242244471 +1274738680 +615080406 +892311564 +334479529 +1208470211 +982882532 +1998820336 +1086666244 +1608816675 +1855991317 +529006666 +842903232 +63819307 +146528830 +17831368 +1651885398 +60954271 +142900772 +35272099 +335272679 +1509739445 +1423722260 +1821247518 +1257421775 +1118383565 +312549828 +278208848 +1909440187 +411092353 +54591258 +1004201010 +1685831033 +669671664 +1896512575 +2020310562 +1878141875 +731911459 +1871647251 +817324471 +193244486 +1580154920 +1346331137 +1036147718 +1643974228 +1492859967 +1053979087 +1148375978 +1553814238 +1196879859 +1183648078 +1889086918 +559135656 +459886690 +1562850788 +1816557431 +1578270255 +1875400616 +2094766280 +1340226794 +139009321 +1873890 +196944156 +1824840354 +671545554 +2093456731 +1697667269 +402203781 +677884542 +1421830872 +1219528252 +871129028 +854502144 +418375742 +1907276747 +350992724 +1911235709 +813772186 +1499368703 +1317566300 +2010652045 +535533133 +1059169570 +422304053 +995419823 +474536710 +91377837 +426206430 +202453678 +38660469 +1766433224 +341462999 +40534359 +1963377380 +18819705 +712079913 +1909350464 +1716486974 +1114283694 +439751358 +990834198 +186328298 +1310880387 +1845336343 +604704040 +1070673486 +48845419 +368456102 +1884445672 +1548214122 +1686022402 +1747614069 +2083747255 +597708324 +22434474 +931683430 +1072245034 +113812311 +1357889860 +1274698712 +152472780 +976839436 +1616161711 +193007139 +792733169 +1634981416 +905087052 +554599985 +1203984743 +2019370746 +994351343 +47335293 +58215397 +157748082 +1892671636 +662919437 +1228421568 +1941517056 +1031375539 +965383592 +1342247530 +569914293 +565514013 +1278511138 +1167622617 +587948488 +62710920 +92384003 +701760799 +1420600781 +1367082715 +854233580 +249956569 +835760778 +1047240719 +1042689738 +323258547 +1952327772 +1597289723 +1527243290 +1824214870 +444157419 +1574578583 +1882430267 +601905501 +1319766572 +397866057 +1830327070 +1113799980 +1429241596 +648227014 +308563862 +1999155890 +1213741028 +1587075000 +1019294859 +1801689516 +1649785921 +1111678863 +355966667 +922903054 +331277930 +1210200247 +1172859623 +1167038709 +109957319 +68065714 +1490297256 +2062285091 +1665355437 +870056898 +1739016313 +2109512856 +297151833 +1473962933 +563934710 +1616918405 +1871828990 +246778132 +583234737 +1153586938 +895005146 +891798600 +1005259180 +2108746174 +331389952 +2024554040 +1762952042 +1981175873 +988749255 +2118918710 +756595279 +1320027185 +1181635309 +1929454903 +339582246 +1291592628 +1997520617 +1829879502 +1206394071 +1515392406 +552452752 +797926737 +1477421615 +849604586 +124406022 +2041356325 +319039343 +1996235012 +140650809 +902274081 +1002338302 +1035655955 +1794072681 +2007597483 +996918482 +2125462633 +1884667875 +612386876 +1959154859 +725933482 +583821938 +568266490 +2045960667 +1765457248 +350237745 +238059266 +909566228 +200274714 +2067938768 +2115960300 +1715667121 +472907873 +766403389 +1045605088 +1322512459 +890809411 +939477765 +1641551802 +739560775 +1080128574 +396342235 +1741899077 +2115784529 +42931268 +1602012912 +965219363 +20910254 +1339197139 +1577606240 +1980065113 +2065130621 +13944530 +400847955 +1963607641 +1779401778 +751085701 +54183259 +541484359 +951360415 +2122122027 +509961011 +519543888 +447546252 +1276364400 +1565148976 +1770058711 +19690163 +357143093 +1264126866 +759250938 +1437271667 +1660469101 +353666367 +1405572549 +1703400370 +1955679280 +223308264 +1724310624 +1147392771 +1800914504 +1556892089 +1065039745 +1814859035 +1957740044 +881163738 +1446777165 +561342097 +935346997 +1988261524 +1512702513 +909985376 +350738887 +2032246401 +1357531629 +1627103287 +1449911730 +980106692 +1646793450 +1807054823 +96749910 +258560740 +1096842843 +1757219012 +612227108 +354931744 +1313135734 +420422740 +578240008 +889962710 +1567815511 +231670865 +299371151 +485371608 +2046529900 +109627547 +1366535346 +1345823417 +670969645 +154398695 +1186601294 +36188510 +1064384072 +1537340181 +2068434911 +274432053 +1016959821 +1370862993 +1254538745 +516269623 +1030434169 +1351288656 +774830364 +2127277012 +961024020 +1387057472 +334725108 +126676106 +1807480212 +912965116 +1016638816 +1227812075 +1144635981 +1316009967 +1713183684 +1043682233 +1425637514 +932235382 +242022003 +2096607159 +1086634078 +1428623297 +2132795669 +3534502 +818479830 +2053746933 +277966555 +1835439651 +1277126278 +1532505300 +204225627 +160076799 +736310308 +979055991 +139870163 +1697334328 +218629815 +474595271 +1824010434 +2026110027 +1387560388 +693165602 +1106438454 +384712721 +2009175569 +672138490 +1428394955 +1287329436 +1604373873 +1670416958 +1236452947 +543524303 +951556607 +1221764969 +547058805 +1770036437 +1128028254 +825025360 +1457992441 +257670884 +210047012 +1662218068 +417747684 +946357321 +493790411 +557617847 +496208001 +712420226 +1032213119 +172734788 +591046605 +272289859 +865900390 +1697485059 +657002580 +727592312 +222139902 +2085397535 +2014921748 +1826513775 +1608330845 +1103891047 +222554430 +412403804 +178172368 +769613235 +34956594 +1306200622 +1594638595 +1492949035 +1563871507 +1804685607 +1007683455 +1981619191 +603559280 +1501473866 +391753390 +1099767282 +66410444 +1423966509 +1272502070 +657457049 +1696256368 +2138402460 +207458460 +205775301 +718511124 +429598362 +143689188 +585949224 +108628489 +1752020034 +1689840272 +331182919 +16940190 +1868012640 +1100796154 +51896784 +1026729615 +547951101 +1544845819 +443117474 +205153061 +405045626 +277253017 +808712341 +1906519492 +669006407 +1908479623 +1972929936 +2092972917 +1033498045 +482903337 +1641745637 +1024416858 +690361798 +1847520938 +1742927982 +1119960160 +1991210127 +181393559 +1228588650 +1595746513 +1871233831 +1559771569 +1612686703 +1591762823 +513084076 +1664583488 +471008790 +1061035177 +1061945659 +914126264 +1266188238 +1466991286 +1191379281 +2074900580 +1226027130 +1860385689 +1835896555 +1051473419 +1805874958 +721910953 +1534376756 +1300136947 +1746327811 +77254906 +1000174238 +1341772145 +1197215067 +843900717 +1523165704 +278320069 +292163582 +1246915887 +1838091638 +1904850285 +691195063 +203692066 +1421950125 +1162203853 +1264727244 +336412137 +2076330118 +383431834 +1803403423 +1120225751 +310848766 +881946905 +833127792 +2146745322 +1933420324 +491519102 +721172627 +1320313433 +1791656050 +320016790 +1397568339 +644346640 +1661788935 +447299758 +1488247357 +1037470992 +725619827 +1780410939 +136903231 +416227818 +1537777576 +828098294 +619919884 +812244054 +1990302148 +1884647128 +1148656191 +1919148618 +120595315 +804575966 +891890721 +431444081 +1686522871 +1725018514 +430705755 +1472459548 +69053968 +1151878382 +645289333 +1860710018 +1471895172 +2042857672 +357573010 +986200460 +342673783 +1845820367 +2023671452 +1068293610 +1478747658 +13091035 +1484521428 +869041587 +841189330 +2104441313 +1681285641 +684007830 +1841604793 +682458184 +455672800 +1962200108 +1487034150 +1347563521 +246160542 +1026073373 +925098387 +676866297 +351049273 +994152356 +1828744680 +996338606 +707378726 +1153156204 +891712631 +1064951737 +2139356664 +1234386414 +763288456 +2015544468 +155196376 +94552467 +2028635504 +1639717805 +963594054 +722341186 +1596675470 +497396047 +1406349016 +1290796615 +1179854231 +1862021816 +1105513076 +519404733 +1062101689 +1351673618 +1545478106 +1987200077 +2028539915 +1896527380 +833868785 +1709800947 +745382338 +1541247511 +715473504 +1637094969 +458715600 +707346520 +723997735 +1222004057 +575407341 +879194112 +1316556524 +456559197 +371428269 +132666930 +1178900383 +1968103739 +630062977 +437765751 +1111416706 +1809917208 +152303919 +69446134 +181838293 +1214405608 +1421119752 +1727316399 +1054122037 +1302176020 +1476360131 +1887990822 +864493319 +74258822 +1281754686 +1579966823 +1711353791 +1740470286 +139829696 +287867879 +814990695 +715237037 +1167061991 +2131547219 +1171796234 +1538490260 +116730501 +203212969 +1359110351 +746793478 +640978720 +323043409 +409227038 +793282639 +392489544 +591065331 +2007688247 +1813609296 +170898083 +914326637 +968301668 +1647258214 +654833811 +1832794988 +1721517036 +1936588497 +1265278163 +1285387180 +1529575136 +1405107859 +1573255059 +197082183 +2120344896 +592833402 +181145755 +1144657482 +2131323662 +297876256 +1347870451 +1342950365 +1044669735 +1988849171 +1665993774 +1453896773 +634648162 +2058483318 +2044962105 +494852762 +1724608967 +68376540 +1409179399 +545426987 +1715634754 +2064013210 +230738327 +1289668143 +1853118060 +1496016491 +427571675 +1235209548 +753640702 +2000826734 +1432291731 +726501951 +446176488 +1613437486 +1871159433 +430016502 +1911313743 +1071546237 +1772966867 +808499830 +912911760 +1291476993 +114912955 +1547559923 +1202476664 +12391412 +2042412685 +779601983 +80767952 +1304108436 +1325028970 +1796402707 +1220637998 +1555767298 +938587202 +926272410 +904300141 +1366158877 +13998310 +1657940843 +1219501963 +1446290042 +236959146 +1665678451 +912243880 +2108118580 +2095694953 +676073975 +1032181169 +1721178172 +1484573805 +1945092929 +865171517 +1599486761 +1345169204 +2067648181 +1611878173 +1240098241 +699766516 +1692646126 +396723029 +2024795487 +1341565185 +1617361028 +1433079137 +132668739 +396149790 +189895630 +1498827616 +410148101 +1847836473 +570845931 +1856438143 +2084795620 +89040734 +621198375 +2045430552 +37252039 +1297272351 +930128073 +1758430211 +634362508 +727737354 +476118080 +86365621 +2072906559 +396282614 +1698243795 +1165521152 +1096049130 +1243406273 +1562244182 +973360969 +437487810 +1032121562 +258956458 +570156549 +1428271352 +448852088 +2068984165 +1838419453 +149204914 +492346448 +1547373948 +86516886 +581387182 +21088676 +2131947438 +618639221 +1318361027 +914591863 +229585784 +1952723535 +1642329217 +705703864 +2039089157 +1567752128 +1101986478 +1589849304 +585789633 +50551961 +685771929 +550167 +1023912930 +1123259739 +1032671729 +1282869389 +1693416288 +313459433 +1731721477 +1614916805 +4395239 +1880926391 +2107263253 +1551769187 +1967443277 +541166787 +1572857863 +1951907067 +1159806008 +743735242 +719015282 +1389391792 +548975130 +213860852 +2095095656 +440580639 +1781612980 +1049598487 +2030429943 +219918965 +1100150448 +568718224 +220469132 +2124063378 +1691977963 +1253140861 +1259449119 +1237910603 +1566600295 +843686949 +705343760 +1570995534 +577129692 +665123365 +975281073 +397089322 +1206290152 +400655289 +201512741 +218612512 +1144390531 +920528024 +1608004304 +1693365661 +1134388876 +1555616312 +2133946300 +768518208 +457731151 +2016892595 +988437174 +1557881599 +438127171 +1208906306 +1534461330 +2130105134 +314563520 +646426801 +1220532089 +1881163815 +1490113750 +1925875849 +1304675701 +2067243443 +443515566 +132473126 +316849117 +1649805718 +533128415 +518361858 +1868418230 +1677518947 +1438889882 +1328938886 +1223400960 +425795110 +737071551 +1209863613 +1194313319 +1194802702 +1079272560 +35266845 +605200654 +1517399732 +1244173151 +2139661984 +1500021218 +1558736671 +638605137 +573069660 +1292416838 +2128718888 +351461861 +449608891 +2048478683 +794977428 +582082018 +217844152 +297299498 +1115210433 +736206010 +18234081 +645245732 +27612245 +1347172967 +1868646693 +453407355 +2084244518 +931026658 +1647720674 +1131563573 +2010299218 +1682987519 +1736764227 +1380215302 +779677023 +1728942563 +732752873 +190930046 +220064052 +1305822533 +1483346885 +201299292 +1657284394 +1932955776 +102294327 +304778174 +367554146 +320138479 +602077673 +1482764580 +1056344490 +620311754 +2128010312 +1083956735 +1967484721 +1849173357 +1537364090 +1904245592 +632716367 +1037601117 +888325517 +495531938 +573104988 +477606096 +1875747240 +1352782011 +59065011 +461016465 +1543712058 +279129063 +1766838998 +879575295 +480428356 +1276639745 +665047423 +582722683 +1581417919 +1032601570 +902861163 +36011944 +367882502 +1959205653 +656323698 +348409166 +895678740 +476324772 +50098876 +285559182 +233086716 +682815243 +1323160299 +1121412233 +1178347181 +1896265288 +1599018329 +906610774 +1101563651 +1658083340 +1367627239 +497792061 +1937212403 +986982590 +1377367356 +270157111 +116138687 +2042414780 +852879795 +1697556606 +927532702 +1755740958 +1733568551 +1295415204 +1567462963 +242408601 +1643824370 +315658055 +718733373 +1693923246 +601217237 +951820089 +229254842 +1924377537 +2073232322 +1407602023 +1673159177 +1524767003 +166729149 +627239180 +1035366695 +1534356389 +1125031242 +825095451 +373855331 +354914950 +1095252562 +489994018 +249846082 +1948132357 +40066976 +1177378784 +1556389667 +1773635527 +325310340 +976368982 +2016044129 +1969134711 +1292027037 +587293854 +1515574309 +1893244275 +1539113944 +1744829151 +1670138164 +1464862618 +1004947527 +1195813693 +842145974 +1171676676 +1823052873 +1877512669 +558549417 +800600467 +555124472 +932404748 +1155515418 +1650377035 +1422398766 +1405361500 +1451025744 +1462465743 +435256637 +859931764 +1088617622 +760566977 +1836300746 +957178103 +582218040 +980844136 +1544471958 +2097792350 +726604763 +936102254 +1695137853 +249259279 +253481224 +552601732 +1445072972 +1095627198 +1724278409 +1120642197 +825656220 +135344178 +1921242665 +1380780692 +1067748927 +929274435 +883674079 +342664045 +187152287 +187216176 +1805129788 +622408924 +1047147940 +746263763 +1382975902 +735965038 +1703441866 +1965193942 +1716809174 +1100430176 +1915502644 +295930289 +2036532430 +1463156850 +545189568 +142530007 +2015758582 +1990262540 +1238157205 +1592553343 +963421090 +2063813425 +1727897522 +737180107 +1297110470 +648162801 +1666454542 +33300901 +990826846 +1853606829 +220517077 +648472987 +328532106 +1267665017 +1394736750 +1711508008 +2003630056 +950694968 +1529218302 +1572955582 +2051125145 +1297237299 +1868885872 +1940173927 +612910501 +266591792 +2082703934 +481185435 +109370685 +1173377492 +2073738779 +1072791775 +1089707269 +1654152653 +1809971882 +239334091 +154831806 +1328942776 +272634993 +1145658652 +1035065957 +493152070 +1794131639 +1363598063 +1760817088 +1041384741 +927622423 +1616963496 +1992079710 +309357078 +1042435430 +1895721207 +1606594377 +763837654 +1688411486 +72021230 +1030429447 +1623631773 +553206665 +1139800132 +649525617 +479461796 +65108259 +1739232886 +2133614449 +1875080141 +1978566978 +140962607 +1056539269 +103718323 +1286621260 +2091605226 +596870393 +933269251 +1307719642 +210203833 +1974653993 +87858417 +1827167329 +1819250055 +397215495 +722119112 +1567487614 +2003809872 +1485956766 +1108415452 +2075831102 +368902565 +584563577 +481554120 +1508702697 +1234089194 +961015916 +1573810956 +825838433 +947146718 +1301407449 +656921763 +1088109325 +210463070 +760640086 +227246937 +154584649 +1357510479 +1160516189 +1462304291 +1567714313 +987686534 +1550162708 +1247397994 +659452941 +1947378204 +1969517106 +79456907 +1803704428 +1307990225 +1187872359 +1732051883 +1676892790 +1772435937 +66122355 +1038111840 +859041483 +1027138271 +464439148 +1684879916 +1974284989 +1765846598 +194318031 +914910667 +1976309668 +954958117 +1142157604 +2130894317 +164984949 +155190145 +1445714960 +1732699262 +1142876679 +848394021 +832613608 +1802329620 +648288577 +654647067 +1881786527 +304509357 +1962637292 +922175239 +2036561240 +1492046434 +547127528 +2102683595 +382674626 +1406169011 +982338219 +847113775 +943565280 +809139560 +465476725 +1137883311 +1724050227 +294302745 +2092841429 +718724184 +277713415 +110342730 +873914329 +1723428375 +1843041992 +2016791009 +424338748 +528171952 +1671636981 +1072627325 +1182819019 +1405939861 +1377136683 +997972663 +180631452 +1266214275 +342535450 +727758980 +1221414223 +725210076 +2133927991 +56268794 +1572323851 +930009623 +865408354 +2037800576 +2067892935 +441974934 +184619674 +2013250716 +1160699118 +462333089 +2123593446 +2034613447 +38277816 +1819151790 +1903920808 +462616565 +199840094 +1428074142 +1535243890 +1382659114 +686530355 +764896925 +233148129 +867161807 +2031111201 +575683579 +1594920787 +1105041776 +1300893656 +1581365130 +1161310570 +725733859 +363891106 +2026718924 +616050788 +284300393 +321210210 +800670462 +150067461 +1481909328 +1263003551 +126177259 +1369039128 +1301281367 +1945329049 +1125476288 +1763897932 +2145169143 +406066782 +1151658175 +1380344609 +1092597137 +1916555100 +1613492739 +1959758944 +1800182653 +41692670 +1407196083 +757740781 +1342586326 +841077566 +1919051351 +2068320186 +1204968672 +1798286628 +536887326 +1489269065 +2119496838 +1337557788 +1639336526 +1453922519 +453077691 +1765513785 +675477999 +1754359058 +1563359186 +1800954287 +1370773343 +1561044681 +59537422 +374947870 +793905643 +1152134559 +144019322 +259914734 +964409856 +1944201976 +301607404 +224122291 +554459109 +1644193731 +1065199857 +326026813 +1565030269 +122684881 +2124313441 +2101917595 +1611953946 +2096326631 +1291991735 +1103806824 +1402765502 +1745069426 +721836961 +2078243501 +1351944836 +137712499 +1731714141 +575234531 +1698757181 +1791251563 +950182401 +345179176 +795902474 +1094201724 +605093910 +1760312330 +890920052 +906701314 +1984434622 +1445379161 +403411397 +902150831 +1771405974 +1968441666 +1024835713 +1748235767 +1922875613 +489306011 +1697078751 +1067383700 +1593112836 +952360605 +664969478 +167466149 +883120459 +2016914315 +305178649 +467350952 +444665198 +2003935830 +111118867 +1394847600 +201631358 +907021341 +341565676 +806725268 +519850024 +1232485728 +1713426582 +356800998 +530381241 +2116837980 +1258951829 +154303568 +1937795998 +136303894 +1902539335 +1713187964 +625609906 +1452134438 +633088016 +71239094 +257011396 +1298057495 +238705243 +1140131855 +1167488162 +543883892 +1607482807 +1612153360 +400336074 +1718601674 +859517312 +601967432 +478139367 +1201082988 +1408692700 +997989391 +286085068 +974635635 +1354790389 +816466310 +943989967 +466258571 +970769878 +734302317 +602562465 +725825565 +300006633 +1228172371 +30476356 +933094650 +1299411465 +287487752 +83668497 +1538116709 +1427619607 +1251156659 +2082000601 +887618766 +715826371 +334853028 +458736792 +1575343684 +936820460 +936876159 +628943024 +198029513 +1934865551 +915028093 +1172665148 +1142172292 +1731494403 +2116655115 +1608430863 +554780633 +703473784 +63509681 +1280606198 +1003480418 +1291682052 +1311082554 +1936575068 +443609870 +1598570306 +2020243565 +1981726579 +878706265 +1123916576 +1916243532 +1766325031 +1839742947 +103612912 +77578175 +1267602983 +1040433373 +1014454335 +1896546008 +1238462886 +801836238 +664090453 +263644386 +1944008530 +248101208 +232815853 +1404955746 +802881841 +936289637 +1468465427 +2083488039 +1939770055 +612663831 +1247086946 +1728861475 +1056273701 +698173604 +1601621392 +890516632 +1576879870 +578054320 +659276517 +1195721253 +270313620 +762889429 +1273299429 +1537916603 +1803322802 +140270116 +1286978963 +894302040 +942106354 +1951069416 +1157946426 +738631236 +51686976 +1390762279 +2143586982 +854568817 +179568269 +1464568761 +790573209 +2119338324 +2077232593 +2037660155 +1700716152 +986022646 +588350111 +1154853896 +1876539279 +17746333 +1732908217 +388332148 +1213467587 +2003221837 +1151221577 +339283368 +1393654792 +807060732 +479553484 +533150108 +1701362772 +1421659838 +336735876 +711825551 +12807426 +388422853 +2102587830 +8910761 +1242991670 +134672451 +1473479522 +2033564879 +106527128 +1403228467 +1923741386 +1807243280 +241767466 +364607850 +814613528 +2118306745 +382354183 +400038097 +359155245 +1595821770 +255776286 +1510376822 +1935105138 +1649431079 +169953906 +267174974 +35097539 +1871316679 +1688834812 +371833415 +435658582 +1701642239 +760256268 +390762764 +1710553000 +2003247939 +525435216 +1036548874 +1889329170 +631962344 +292293694 +1665586909 +291721976 +534061160 +2030194759 +1106335504 +504884257 +265065294 +1506373602 +864039502 +1860887065 +1762149888 +226932676 +1648508555 +1264097319 +396886583 +1915683530 +1299194858 +120719614 +1457034694 +1671028274 +556378196 +1011193285 +283800894 +947140960 +574262637 +139565185 +1472576176 +1610811512 +2028894356 +2104538520 +1903105206 +1546997617 +248776848 +289682718 +1429708728 +1355112353 +794566975 +1694774022 +714002307 +1658606477 +1408177439 +328668547 +1885539153 +909202347 +1592765867 +134942088 +677402229 +744477077 +255661702 +2134436923 +268021703 +812039898 +998146561 +551822598 +1759180859 +1572409198 +691387783 +1084273387 +1035737062 +572798491 +1041328260 +791358620 +2119796108 +1290105108 +1081041338 +1402021188 +497733813 +1875608313 +949311563 +1211736120 +1386731142 +210005354 +1540404668 +1124786648 +1119207701 +985686887 +1259728736 +1796609930 +1730163964 +1515390439 +1783563206 +1998185668 +179946689 +634226119 +402524618 +1939127548 +59151669 +1093912401 +875917288 +1094888732 +1666710893 +1917245548 +1886247352 +1639023353 +1059867008 +819805043 +893560894 +1557600822 +547929708 +1842872457 +621853294 +1934660851 +2052877811 +14774314 +911963851 +1024601865 +1000461201 +24208939 +673728147 +583141518 +1539599378 +309807705 +433843538 +1719546068 +944033824 +836368156 +1511189968 +1003185494 +1930280557 +239623608 +2098074226 +1449507802 +9385508 +1836837930 +941047508 +1069252517 +509159325 +1834608402 +479369691 +1057089034 +1529997211 +1101222985 +844266237 +1435391374 +1115997300 +1756230088 +312509591 +2116458501 +1780439027 +986237739 +552116371 +1172554758 +1296045444 +985959909 +744617178 +92595621 +1822328065 +108323498 +1095781115 +1605124975 +347947107 +1046371693 +907149129 +357332615 +735725975 +1848196637 +1426585132 +1244885301 +1535321391 +1905954823 +154490687 +917834954 +859694161 +998756924 +205742681 +1975691461 +607503364 +518252272 +1944666314 +240458743 +1504490011 +349299038 +1413013501 +653051808 +1335258947 +10147031 +745647429 +1010103365 +118470530 +1841428544 +467744692 +466417637 +740316589 +1374893821 +823750252 +1476042564 +1075606811 +102851737 +573444217 +463444554 +2008806560 +727934904 +1381279509 +721017073 +1726691828 +1587022190 +549224886 +186711544 +2105274462 +346407553 +427170288 +1462280826 +695706591 +1840183789 +2115332634 +2030965538 +1850330821 +713496415 +893585255 +1968801351 +407441311 +1361329947 +287735340 +1147757900 +588740121 +1111485592 +476316816 +1664346932 +1214337329 +1049761034 +2127791486 +1075660242 +1777695938 +1361587347 +1796677315 +1356904119 +801125889 +198418554 +1543615663 +758916704 +544826107 +1970785951 +73713882 +1240532698 +1663486093 +41562868 +1124014588 +1366333266 +755059283 +2017599844 +1187650969 +1162500594 +1231446143 +1475386309 +162774846 +1820186264 +439388253 +639091662 +1337049548 +1653725583 +1688852696 +1317357387 +581902177 +1319064987 +531461086 +231095844 +528485458 +1332586976 +429514398 +2072101121 +2091503680 +974340505 +1895403425 +17733914 +67389555 +1411405870 +59296782 +1191404144 +630255488 +814356065 +1061520340 +1817906457 +1976856659 +145482835 +1145809118 +2139631505 +1965669100 +1585197371 +631239519 +1155235000 +1091439306 +172608568 +325108739 +1673341483 +1491673555 +856569826 +1904437328 +2020159013 +41673154 +186468078 +1944776486 +2133176834 +1160808584 +1692696263 +3427100 +1228198139 +956618485 +62723882 +272118635 +1586873973 +877079947 +1333638975 +1257296782 +706452958 +1479121811 +255622252 +698600815 +1297307263 +1840819624 +1329840334 +305058615 +784775282 +1502448902 +630167355 +310633118 +846638809 +1486737181 +67586798 +719314174 +1528410335 +254054876 +516607013 +1514103521 +1414863460 +61819628 +1517530621 +495577952 +1018438114 +1580254503 +767696587 +457828439 +309850802 +2101335563 +1715125222 +1016303760 +1432973726 +1970747474 +1714904575 +582797341 +1664083450 +897261261 +887855956 +301375085 +252226516 +1518023311 +612008203 +1098865325 +857276844 +679595001 +1818179500 +238203531 +933649877 +187302865 +1752307052 +201029690 +249122493 +1122354025 +696607642 +1267560607 +555124880 +1464304229 +1725389047 +864975682 +1418156144 +1293030621 +1881279442 +703646222 +1116294447 +1448700369 +1286443563 +632894250 +198477983 +26815872 +934269335 +450704499 +1544839183 +1546277538 +1549569824 +254632380 +78388891 +1220265676 +492835911 +1012038768 +1407568541 +97659316 +1213068458 +1656691035 +1220013341 +1909676100 +776767994 +1775138222 +1226496682 +354673393 +492630256 +497169178 +1647704014 +226426051 +1200815401 +616514814 +1675126420 +339775316 +1249409064 +1873604403 +366591188 +36194751 +176825254 +1911430372 +1582472289 +1726395079 +18579104 +1660861180 +799177107 +511415015 +525416300 +59262001 +609074331 +1738484759 +1715953036 +1829087673 +1500677211 +345237382 +1456742247 +579690245 +699910776 +1949372503 +1076859424 +200131142 +28314906 +130191177 +816645956 +1703441327 +469966493 +2066055020 +1429562082 +836557682 +2102249771 +1606387337 +600504406 +1537238412 +1185298768 +619083510 +1050615944 +1984475875 +1130498525 +1576032245 +2043737876 +1739572857 +1167033356 +1612207264 +1421176882 +520226919 +1957444647 +730435481 +1099917165 +509871775 +532324336 +29292941 +710002917 +560639243 +159484118 +1526648874 +116596922 +629450611 +1445220246 +1546159004 +1466008293 +1399986370 +1005062693 +2066512699 +789741134 +42877813 +538112561 +1840357079 +2027353689 +1668611087 +1268905676 +1923607917 +1260700296 +288455384 +1388331534 +534393530 +808682303 +1198292533 +1264829011 +1908599468 +1708164308 +1797153347 +1937892409 +270683577 +210308942 +2097376527 +1797332451 +326905864 +579343491 +1095069050 +1873064869 +2045351784 +347571772 +730643914 +1964380836 +1137312906 +773521728 +355009749 +830186337 +653391769 +2023620836 +2099092013 +429516038 +1136837484 +240063749 +1817847572 +1671231014 +1048746053 +868656457 +788576377 +809861873 +429337117 +438246077 +600270635 +700020695 +648555019 +550163514 +349869498 +975460884 +1129507005 +1444938548 +701042105 +1027375142 +1792510320 +1431686019 +844272330 +782339579 +57724099 +1199282079 +1612525916 +711115868 +1075419268 +1564134282 +1140631907 +64773104 +1804198031 +810995831 +1736004119 +705460436 +1679652289 +377096848 +1515322310 +2108989406 +815342925 +2115592945 +661526453 +1463897945 +518272811 +1011395952 +291875181 +1647779817 +308850852 +992917286 +527671311 +2101361173 +277119657 +1371943641 +736217104 +334843757 +423742072 +201259372 +1045959625 +1499161340 +1765393654 +39107884 +1563934445 +1422108038 +850103716 +1152454916 +2127568474 +382272357 +1529551764 +1495407136 +343778115 +197411042 +1463516433 +1005304569 +1661308987 +1981789245 +2016700521 +1953184168 +1482085414 +178067725 +798617806 +2009756725 +131945250 +1075737463 +1234216718 +868162354 +1410581220 +1657958790 +1069421727 +309057198 +1009636483 +687331733 +348165082 +426087280 +2109439771 +1198268798 +1578542196 +2089524598 +1580541155 +960610312 +1437448086 +1924319271 +1158021354 +753480872 +782140192 +671846693 +587786469 +651357065 +477547213 +2069871883 +829424790 +1276165019 +1932144960 +961370041 +204418835 +1018878030 +1829532395 +1615000055 +529353172 +751470474 +1924057253 +1538989655 +1438802208 +124738688 +1965076935 +1400758331 +1323007486 +1396135483 +1342799281 +756064994 +209262148 +632763720 +532900617 +1367283502 +1386244592 +1315040809 +2039130196 +1974031061 +1966397874 +369193761 +1896419296 +648339016 +1645358781 +1681080608 +1609709057 +1849777616 +552474990 +1291757805 +1317294023 +1081828162 +2043228279 +1093867629 +473334170 +1334546839 +1218606317 +290927457 +587821523 +394130155 +1687062941 +1930620804 +1150195149 +1896325089 +415900876 +1683095766 +1116124943 +1802145468 +850652927 +1007771491 +1628692881 +669567153 +1376965253 +1377628529 +1317906170 +874840386 +911225489 +780131579 +577134354 +1463700479 +2071889384 +1894428377 +398044994 +1967634016 +840812358 +871379164 +1154697207 +2059418675 +1162306621 +1742518730 +306065183 +701885914 +1525655887 +1456260332 +450727355 +1941556763 +991872451 +1566852299 +1596218584 +1842525378 +427140142 +1077427817 +364608884 +1804105395 +307572699 +1682515054 +531462133 +1218798188 +315162985 +1108596487 +535015020 +239568722 +855541217 +933060014 +59719090 +1696353575 +1804439178 +1214416297 +1608288603 +819262151 +809451380 +1914353786 +1521148066 +187623619 +1223130470 +1971875421 +2129180382 +67519273 +1391244072 +1577915318 +1910044652 +1818384215 +507859488 +127169888 +1475005962 +815432187 +1809684942 +2006468096 +2034230375 +2124847927 +967580935 +421761747 +216933001 +1823122152 +1354821761 +276652091 +1371992080 +1011777291 +1491068389 +832797035 +1831039443 +153036121 +599667173 +1204703861 +340659740 +1822797643 +1029095634 +322356474 +1890316917 +272856059 +1900271793 +1652877921 +2091240274 +260647633 +1780047809 +1418762588 +1076079820 +1442249103 +1277747036 +962826547 +1419613382 +97844324 +1384588295 +1636546384 +1920966476 +591926408 +1913198475 +1145474908 +1603703700 +1256783216 +1978271943 +1287259495 +1409819337 +430455468 +344479708 +1750479077 +105769464 +1373575342 +2072835552 +1996086381 +1646431401 +1825623697 +1501480654 +1590188027 +2086271330 +1134044815 +861466968 +1014867502 +428810270 +2139214004 +1977694049 +1848423652 +89574680 +1214798696 +1337486388 +2010541157 +1806725105 +1103201216 +1008532417 +1262945157 +212500784 +839320713 +402721004 +1622320122 +1269776181 +747200712 +1225315551 +1375545645 +2120776054 +1150667455 +1224148378 +1619723808 +828807504 +578145384 +1062428187 +767595186 +1712190199 +1923895155 +1782462688 +2141000469 +1915625512 +1612673090 +1841940474 +2005200192 +679988138 +1031943214 +1868257701 +339229595 +2135144430 +729306471 +1602174752 +200161567 +1568627184 +2004895756 +1822481689 +690919717 +604612820 +900313592 +2066465363 +577905227 +2050981048 +1143130093 +50145387 +732304904 +1721275478 +1112573574 +1499900091 +1285982029 +888985082 +1134879131 +1279498851 +657126946 +600068573 +973955677 +514843490 +1280056712 +2005898891 +235617544 +1619286307 +1993559674 +964924015 +1073977412 +46237593 +386067551 +931389520 +1868719282 +1076987268 +1536002341 +621549226 +995968983 +2113907568 +525046626 +2139099077 +16569307 +1257351531 +1712890907 +1129142881 +609767974 +851389288 +2018127963 +1744647105 +2130888139 +527771261 +197232031 +957360168 +1042614752 +1477288743 +815775412 +1278232296 +949091402 +661851438 +95672663 +2023068814 +708089031 +481740214 +806974687 +429324665 +1558727482 +195493380 +1050873891 +407212818 +161917300 +1575920518 +398828247 +178486607 +685788401 +2111719154 +1307629488 +1295556375 +815624794 +1178273804 +892719832 +799029286 +1706045065 +1089951863 +1756389454 +601176169 +419756958 +424681218 +1879408465 +1368848361 +1086532656 +1975081128 +1244433527 +1794621687 +309337694 +2051408214 +76462704 +1868065177 +99417946 +1127336596 +127794347 +261335246 +555773466 +526622594 +439821853 +1241561867 +490858100 +1747451342 +389634594 +1306482894 +778241498 +1282354426 +2105512180 +336802915 +224822642 +1714417987 +937979085 +644579600 +2139099205 +669903902 +2013427961 +1078148214 +497501383 +1110377841 +725286253 +806839077 +1014302407 +801748958 +527420606 +1113720354 +1929085554 +655214953 +1375055600 +337375372 +1181837547 +1814877454 +1578937239 +1672695647 +1414845148 +1968571833 +831694894 +45602998 +1103442611 +789723426 +382405913 +1328265253 +356657765 +1320384998 +1972844854 +348273323 +1990288901 +1838789167 +1426421537 +340306636 +801683360 +4224142 +1147145713 +1815985768 +805973100 +1674566320 +782222474 +587575006 +182297625 +9794426 +924950378 +1364135173 +1824671880 +356403969 +889347172 +1092033380 +177492154 +1721042066 +1137636378 +1280934766 +363281845 +1520042292 +461716371 +719939610 +692943642 +287077577 +1068212933 +535748895 +2125866745 +347150822 +876055531 +780066457 +351374965 +2023201245 +448568577 +1157348065 +1550283917 +1230791051 +1744923072 +1732581542 +1240585478 +522389802 +949233067 +917773710 +878793772 +1838580240 +2009807091 +1056285926 +1412138658 +999959821 +189737044 +1775420503 +372518465 +651453416 +347876466 +1065462108 +938530993 +1416089399 +1601211003 +916914090 +1763240222 +329782887 +1696980548 +2114615187 +205500484 +2145549125 +1124479604 +1755784401 +1228856529 +721919028 +1340882295 +321958359 +1244308831 +142631715 +1239732069 +2123102603 +1981211955 +1102055512 +1031904881 +1245866965 +2102015334 +1221641926 +873803821 +327050151 +1873095342 +1221680287 +1392512259 +664142687 +490286038 +846239615 +1581056778 +106042612 +1176022502 +1130553678 +73174151 +1381522986 +1128619155 +1197653756 +989823739 +209992036 +1919572784 +183222386 +531950395 +1016397967 +325854101 +1771682465 +992016922 +159582408 +726254329 +2023921804 +1405449374 +680786015 +1098080082 +131769547 +1007836167 +823691776 +1353449834 +252864778 +1487834463 +1843735872 +1099104393 +921407593 +1949778485 +127643247 +2051961271 +2022952636 +1509166233 +1033096779 +1073122744 +351506324 +1243088815 +845211881 +534728711 +1775039211 +1861609848 +860582812 +1399238028 +706143123 +1020165221 +2125492357 +582581279 +278130947 +658794725 +1680661361 +409900494 +1666630892 +356869489 +1763350328 +1919495670 +1844703952 +1459602552 +871116416 +618627898 +1261897389 +998759663 +523105521 +1137366378 +360442249 +1556202300 +63005474 +711948573 +651807468 +908217355 +1246677284 +279363031 +622343556 +2107260097 +1678601059 +1328486679 +979941670 +1656609768 +1911067958 +1258072617 +167920845 +1444245671 +1667973111 +1834551737 +1801115160 +1283839791 +1606563760 +1498335464 +595958695 +330196528 +2116963362 +1857856085 +1328956191 +492585236 +847738815 +1689398440 +2048787536 +910744289 +253863366 +553111356 +1818961645 +1500540650 +832474387 +293821553 +1460317099 +363591798 +1622308232 +292775121 +2020201567 +1385892542 +1550847738 +40638764 +682654565 +1071337201 +1875190502 +336286077 +207693344 +1334270614 +1834621541 +803652040 +1664467142 +1804101256 +514024477 +845939685 +149202844 +1361763292 +387854478 +50506732 +125023933 +641717844 +603618089 +1943985578 +2142258494 +1436092476 +90323483 +1455091946 +1799684275 +1712631715 +1747867067 +1672402194 +951040609 +1151231158 +1713040958 +1633695174 +75084711 +1440747812 +1969981251 +282778056 +627534778 +1657119145 +1086430096 +144518272 +1313736753 +1600454573 +990457958 +1462939597 +814734217 +1378312436 +1513446329 +939758150 +2020030280 +2117064418 +736260081 +2014805126 +1405673247 +826583564 +1322413424 +1057873874 +391731632 +922796844 +582792420 +1342772241 +2074028002 +148349730 +828983768 +1629065 +1589097543 +651481371 +284407121 +69148673 +161116868 +1370837217 +213666946 +1474853621 +823808142 +1204124904 +790309570 +1638542359 +434953692 +156272252 +430816862 +307500324 +125853022 +1167076943 +174821802 +1531526269 +1993660507 +1497235227 +441916495 +237908491 +272548423 +1024708915 +1580680733 +199092777 +1173058646 +262180853 +200721842 +614672541 +913662224 +485128964 +683821214 +1074779093 +1855966181 +897488160 +402149066 +532290676 +2101613064 +1192458637 +23349387 +389083108 +1348730889 +454166249 +696583432 +1474583911 +1621243192 +871405235 +858626533 +1467420052 +221156814 +1300543028 +1705328543 +493705237 +177768296 +1138525628 +692798014 +1350826942 +1400706481 +893519856 +1965499483 +166885058 +1378648820 +501837049 +1241664151 +1087131354 +1399325210 +1643813217 +1619422030 +1353454626 +688788206 +1642771417 +1742537735 +2037519095 +2096937667 +291637519 +1364619359 +1570697211 +1163042754 +75762244 +890633615 +1384199568 +1376305272 +448478511 +1877904805 +1554073568 +1587004139 +423219171 +757416862 +840226973 +1316739028 +575432697 +1007112031 +547904200 +1077269747 +101292534 +1635035554 +329111309 +1745105751 +1106973936 +1682565935 +286410310 +602261706 +1277620022 +176445757 +551715725 +1569257542 +1541065116 +2122412936 +584816648 +1616827360 +865562904 +1969016217 +845648985 +1314041415 +1699437374 +252238905 +753561906 +2122656546 +1009655768 +1593788879 +1291911926 +1585088465 +453417262 +1839816126 +514874564 +554709796 +1327368033 +843985873 +152331900 +286858321 +379068161 +438742210 +889120027 +1656688183 +615187967 +1440835752 +1078462077 +8769436 +1415765041 +1663278726 +1625596796 +133844297 +1484811295 +323762133 +1447885712 +1036765021 +576001039 +53963970 +1011937919 +1585656807 +1647752850 +156366197 +1023261624 +2101170112 +1996182324 +1538136189 +508396261 +1176066709 +234638414 +660728161 +1462925030 +613706575 +1099470371 +204561410 +122911111 +1714658338 +1645397162 +1201373188 +1723427774 +913678555 +717168266 +1201540923 +1047522852 +54495913 +1525303056 +347924916 +1091260935 +2101304095 +401888887 +2103198854 +1539477254 +2049641737 +112081404 +415255231 +2003328201 +2108263728 +1953391420 +364240814 +1136846789 +40546186 +1024968975 +452288171 +654252762 +2124439346 +656849581 +777163873 +1691614037 +154763096 +1978537061 +1267558163 +1068441651 +548221680 +321615438 +2115964504 +602717593 +1846918495 +316405772 +1693978528 +1800738942 +718294659 +1649693735 +1192732549 +620452748 +1761775139 +1607987780 +476297302 +1722555219 +1413895552 +840538116 +711918360 +1454441738 +1865507092 +1164206531 +2108694500 +1842462790 +1821056113 +738374725 +1386593179 +1975819209 +569428139 +506667695 +896777212 +1117649819 +828283133 +865258068 +1720367412 +527717980 +1181663841 +1266862293 +180973275 +1899958500 +769072380 +1373705824 +372927601 +383363871 +834209956 +849224903 +2105919090 +100621860 +1689763019 +670353802 +1555063598 +1407786463 +1834560333 +1516274451 +1102765606 +1508132798 +107165528 +341875137 +1336468359 +676593667 +848542832 +85761924 +1794243486 +1676825966 +951019992 +1367127251 +57060298 +2132683833 +486505896 +238033573 +1885158686 +1255578276 +1611739397 +110602639 +1638942147 +298465705 +959827542 +1597377589 +399087565 +502106913 +120247743 +1954151164 +1909893377 +1954808076 +1322941967 +865175335 +1315457227 +1430107495 +1207050472 +504441938 +2106701163 +2055593305 +590203862 +1753461001 +1584935623 +1541223855 +973104604 +1641995921 +1526424040 +1459610500 +1880029495 +1264099078 +567705128 +1344285244 +1374701717 +59163627 +1642750950 +187045611 +1656541216 +2041838515 +689152525 +1776788959 +1848506031 +451562254 +1584113388 +1023964350 +1316737589 +752086967 +306588198 +376304413 +1256528905 +265805713 +284414070 +1846732768 +2019266714 +1869349693 +1240472975 +844887671 +1363861967 +619413367 +157014523 +1096407814 +1883512446 +724719652 +293209410 +1110730515 +783883279 +1935960360 +1297776127 +292940848 +1830315228 +1986928652 +2069729807 +1531337611 +291007258 +1506359547 +407818314 +1607744847 +110962866 +714406512 +1984049260 +1367491772 +980212225 +120979683 +1066740892 +851995291 +1990329376 +159730219 +1696882962 +1206707695 +779143586 +1853897486 +155631861 +515172384 +431133490 +448841272 +1625902900 +1215016769 +237317984 +776195379 +1507957617 +2067633212 +615640383 +1430203777 +1451487176 +906647641 +789079676 +1859305490 +366908840 +900042543 +426228354 +203474452 +120050667 +1406440579 +324454135 +1186791559 +110952222 +167299864 +1346521778 +1807835185 +1374007559 +2125665364 +1514249023 +1529639421 +493354101 +1945382513 +1978480693 +2119257001 +1012915634 +68315029 +747968732 +373389604 +2135948242 +1363609115 +1803593381 +1439951770 +122773108 +445189409 +1151773612 +489681948 +1345231952 +1578001966 +693156400 +1465282619 +836958897 +1017610536 +504590530 +947911119 +1184910400 +1851112308 +608262656 +411434311 +1829294025 +2122511679 +1941073732 +175164478 +1920410544 +1772070777 +146937831 +785842531 +1840385807 +894906563 +1159232135 +1828850401 +111032030 +815341868 +1121318523 +233805138 +1260531277 +125608487 +723487086 +458279582 +1703610453 +1416643486 +1923562201 +393085702 +286770374 +280669084 +1340996821 +1471680774 +2131781392 +1949259478 +1883115086 +1813591769 +1924287509 +1676705170 +1988756247 +1697214406 +1301292300 +2135694078 +335573289 +994194459 +883116993 +1494805424 +675561212 +994149023 +162663644 +1796879735 +1227954161 +1423194921 +1922488222 +1951441247 +1881474503 +1478615027 +1220601086 +1657553057 +1871700729 +1507371460 +1938222141 +1065213902 +831568587 +1922519885 +866989732 +567200025 +1588628007 +643793594 +96421547 +1429900606 +193524352 +1397713847 +1418111037 +529097641 +244424658 +153744382 +2023903065 +919985870 +1147893406 +39083061 +569381957 +228363919 +1462277982 +344386531 +32321519 +1196268838 +1823001558 +1252922605 +706338247 +1547218639 +612810417 +497076740 +464948894 +1444379004 +272112977 +1331938626 +2011579029 +1860740984 +1975732220 +2108000577 +1143157943 +21772924 +1358230776 +413785332 +550870565 +1602655435 +567529714 +427289982 +375157657 +1715423120 +466373043 +944539615 +1943787040 +1928651026 +1288926146 +1976108559 +977436216 +964444057 +1081547516 +1683774463 +364179048 +1694357933 +33367555 +829127942 +991253290 +305480532 +13582921 +855348671 +18737869 +1989315141 +815865600 +1161895812 +2011088066 +26612729 +1575681144 +414474983 +1629268164 +2143210858 +841764966 +2004425821 +1711150331 +1308138009 +801481788 +1507453723 +1089305387 +2090407935 +1336078634 +2066741603 +907368344 +270142502 +1603032418 +1271547392 +1964500435 +1636399973 +2100675335 +808270077 +1941880506 +2114258256 +1663618749 +1960618375 +1956089749 +332000701 +975030539 +1819694167 +358613430 +403228035 +86685503 +1987881594 +398955245 +928450469 +1844823768 +2110105576 +89104830 +498821908 +1470075651 +1178410218 +441746195 +658670637 +1097668173 +1349114539 +928813139 +553216944 +473178284 +745829927 +42133269 +426369971 +1554100004 +1984013775 +393144579 +1070235105 +1797148502 +201750680 +1402235807 +624695393 +2021444848 +1760849237 +1027923428 +2108130351 +1601247184 +1426878674 +889097172 +1298587304 +1389500602 +978202002 +1797409212 +712092606 +9128572 +91671760 +1370763243 +1106796746 +1440786299 +152092735 +1660013690 +1913964583 +897922662 +1702146959 +192850906 +304539018 +1538677087 +585995485 +1374774124 +1188341941 +787746166 +629526283 +1813037335 +661707366 +242891872 +693477115 +622354069 +1844139056 +2120355789 +1511451241 +995242712 +1362372744 +342169595 +645168277 +2074465350 +351298168 +736840037 +1297744945 +1458094914 +30142688 +1449837680 +970624956 +1944107272 +200276694 +525288267 +2136958178 +504815713 +2063965354 +575470016 +1879589837 +1104823648 +1363216182 +361632472 +770377335 +2024923548 +604524344 +1463854450 +499793969 +301179753 +1436726592 +2011245210 +1296422465 +651615688 +205931157 +1941590742 +578597390 +557229325 +530947131 +1876342335 +2015324239 +561089820 +1178696368 +838465547 +357713444 +1378973062 +1363753815 +347187974 +1883788775 +1280235521 +922657990 +1615894964 +237575521 +138390524 +1977527436 +1007952856 +15830424 +434568133 +324323659 +515624393 +735747886 +1761050251 +379385955 +2032170351 +265182291 +585317113 +1826277446 +843779681 +1142546438 +209740929 +572638368 +1010387030 +770830749 +1751334736 +1848852577 +1128544193 +982824151 +1065122744 +1475732168 +719129278 +197874618 +250906510 +187540595 +435450139 +389297035 +17584383 +1443402996 +405127459 +452152516 +1767726655 +920751853 +1187900402 +1381293258 +1300137808 +1072587106 +1646475549 +1885454921 +751380904 +342771582 +880517712 +961121833 +915409950 +1890904742 +1731952583 +519261039 +1592273671 +713013128 +1502085190 +509912768 +41261648 +73730820 +707787386 +292168159 +261271415 +1143237525 +681465194 +278855799 +439156873 +1086592653 +731008315 +59399880 +2007344506 +1918908718 +1440693138 +1159998667 +844012176 +939685039 +897969940 +1595393080 +1282456621 +1778487652 +409031265 +50382924 +1521908746 +2140983848 +569643963 +966698770 +706513329 +2071729153 +1476611538 +747774977 +2145459973 +36915276 +1039943136 +259247741 +1180152801 +1721408330 +538103540 +1619309675 +660517336 +1269111855 +1678709555 +520378194 +1040536925 +971919046 +1680376861 +1884549101 +1911604085 +430863154 +1332458533 +1046577059 +61867158 +1741489799 +1096959983 +1583775905 +1734989999 +1666603946 +402991027 +294019680 +1590849451 +1879602565 +1041794658 +1588825776 +1916517841 +2081737794 +1848073517 +949186994 +1655662477 +238693409 +421013021 +168696165 +1507805265 +2099722577 +689074359 +400858542 +924157975 +221967573 +137923996 +688278412 +652830727 +1470382529 +1734855471 +714697885 +1064388680 +684331806 +150990142 +651895032 +203452104 +553981169 +945914712 +1794301555 +286100086 +1987709370 +1235643684 +55134279 +1921963517 +936233553 +1004321274 +1430142346 +1174926963 +1425334295 +1598838511 +535248580 +1377573224 +140429222 +936107122 +154247551 +362396795 +1074031118 +842525964 +1015227522 +396930000 +429897787 +1729925408 +1461318680 +1114229594 +1880915550 +2113213712 +1317681698 +287413072 +911644777 +964499606 +573513158 +751870499 +52659642 +628647438 +526350368 +988893195 +1632968712 +1956492714 +16336510 +910819359 +1407847577 +551585090 +140908936 +1548276800 +1487692213 +295156487 +1910673595 +414239683 +1137682451 +778417470 +811169683 +1567580239 +360859230 +125004716 +534326185 +94291132 +90734780 +1852007883 +381704204 +1002379557 +669023841 +955217363 +1754250057 +721683483 +1583864801 +133116777 +1710576679 +1069349865 +2089609492 +1726913189 +1980169224 +1349973421 +131014632 +2121078160 +750766573 +1618706845 +268751000 +513956521 +2032946528 +1406433451 +1292373991 +696632564 +826530042 +1653233221 +821637280 +1360856227 +1747524353 +912372060 +1065380463 +2129228558 +1914751618 +1734404304 +936962273 +1521518027 +308604140 +373343426 +1654634804 +2019180819 +1442693291 +1596760648 +1598610360 +1275378867 +799250422 +1729624992 +1248973380 +1550016995 +1200848189 +1517724380 +2063973516 +1086311070 +776674183 +1208863859 +1782943634 +1603204226 +714613432 +457097266 +816576805 +314654138 +1369469326 +1881957268 +296399048 +1136737296 +1468877925 +1233361321 +510771675 +1777482065 +1606704747 +17922832 +1649179236 +901914390 +1614683480 +1100305948 +29809609 +266450254 +682447293 +1278782989 +1816467250 +1883295482 +649023721 +1732957118 +822122904 +1425697905 +794337330 +457582890 +881418483 +1508950762 +914680156 +1697995288 +1823604900 +136665835 +1432468909 +2120003948 +1273403131 +753863186 +1205881621 +1784174807 +383861603 +665102720 +1802097639 +2033040839 +1567017110 +1269297471 +985863139 +1596826720 +1535747726 +1668310432 +728126061 +1204731328 +1404122267 +1377149783 +790204798 +78761523 +655364040 +1584542128 +536344414 +1536782523 +946009243 +1451024570 +1087294163 +622130495 +1587690405 +372279424 +594650796 +713609889 +1126142610 +1800532417 +350301048 +1510004213 +318151490 +4915039 +1395561404 +1885168600 +1274212510 +233940896 +1334511672 +662476588 +1902251328 +2062637734 +1867207916 +1158889947 +1292303869 +509929067 +1237651471 +1947667909 +2094471195 +1773995885 +1336966784 +892996790 +1077536807 +276777299 +1515127286 +517743565 +649056724 +2109778082 +1231353454 +1775199334 +1762826851 +1581654502 +1137719900 +2080978341 +1586569541 +385797656 +1818663294 +713298403 +619738552 +1005691318 +1375774992 +374506233 +920845404 +1095499260 +1533396180 +65665625 +1605428327 +623564003 +2013333534 +1552415875 +250076240 +1202816670 +297929017 +1327613048 +1479593970 +1813056303 +1845356613 +2128650694 +1775350737 +929226419 +1756366380 +1390693941 +363397273 +746602632 +1324188634 +1949966814 +1132400289 +995368280 +515781569 +1752138841 +2001059599 +1891556561 +2126645074 +774421355 +839572174 +1512557607 +840086981 +297516853 +2136121610 +705936867 +1849932728 +238714203 +1908753538 +378098 +1566327251 +1240863860 +1813434401 +1264200216 +1222030906 +1441301491 +45942987 +830913638 +684511784 +409340260 +1577516271 +2008700418 +211823426 +562432912 +856585051 +727604995 +167088105 +710161002 +471677909 +146249532 +1484582357 +1311250083 +1658807139 +177185690 +1608766936 +1647445101 +883122558 +1311216017 +1886159304 +644392448 +1311594115 +1305002907 +1885256308 +977544868 +421719475 +959803566 +271362711 +467662462 +1790717204 +955874495 +877002722 +1220749827 +817091266 +1088826148 +1783182739 +1673676317 +1816431144 +1950270845 +236353671 +140625405 +2096520377 +1720936028 +1451875488 +1607843868 +1898121719 +913158776 +1107805321 +633760629 +76891145 +846480978 +1278153077 +1388485260 +4000237 +1015925737 +218546481 +425719713 +1975729303 +489909192 +893382175 +1618962859 +1445783688 +1770384898 +692229039 +115391306 +711727398 +327928130 +1789067623 +380674894 +130715327 +2025421294 +521300299 +79752056 +1598873674 +1973175787 +1687595924 +1349511745 +738850916 +647917598 +1983272374 +815742061 +1494398576 +1113941803 +56743674 +1498398813 +2129867540 +275290155 +1924118526 +1958113195 +765199347 +670017054 +1429592407 +63499387 +292918304 +2121821446 +178890693 +1004645702 +302265928 +1967958316 +1385320597 +432981256 +1845895962 +1906620896 +512733312 +1297285989 +1732313036 +52845589 +499314086 +323680304 +700763187 +335102813 +1139422365 +47678115 +1449044616 +1196166039 +1546076928 +1431428509 +1471456194 +1322711807 +1242058056 +89171894 +1992728861 +524166815 +152671281 +138163517 +498504613 +331561975 +1142809219 +800770542 +152036643 +380646168 +1233751798 +1997932606 +139783417 +1746485110 +1147734947 +1872096453 +1799330699 +1647049033 +48293109 +352610238 +1982151846 +1187715474 +400288353 +1283712815 +236397866 +1946365282 +567657676 +1707854060 +1121593441 +1809715732 +1797025954 +966838654 +186398900 +1949697236 +1105002171 +684903513 +133775563 +100327742 +1485674055 +285812206 +480973911 +571942205 +136261164 +620757328 +170943668 +1283996111 +345370133 +1970274367 +783561497 +393663242 +175400958 +618229695 +1581378716 +575689311 +1901942510 +1817776582 +374570945 +322116538 +1378146995 +1496164386 +2131832271 +1027689301 +315519392 +170747523 +829902889 +1420521563 +855651036 +963678452 +1520849306 +193841444 +1249490659 +2001823217 +765783649 +1385751823 +475096897 +936727317 +522264287 +820467030 +759518037 +1305825784 +1214130272 +934918995 +1924055479 +648025340 +1510608306 +1678514342 +318318275 +1885179252 +2000630880 +1696465270 +1233859990 +1984979503 +576670923 +1549379383 +8243378 +1406573813 +822417298 +863894415 +222768617 +195782956 +1057735859 +1472259276 +50122525 +1823519508 +710527452 +525219422 +612763178 +1232791739 +1345686452 +1372281215 +391133875 +412333076 +159716562 +167705706 +1060358417 +1670324868 +1846220048 +1378676692 +1408020472 +1699367281 +927658314 +494396815 +1536863136 +1504329237 +2043776198 +1545106515 +763419402 +718709848 +261517282 +986188020 +914492805 +1319253141 +310963648 +964615330 +995289001 +1021491100 +1489834753 +1608052179 +106799191 +688037557 +832849746 +497933066 +1100370634 +992566308 +665638773 +13245403 +515407529 +364375173 +1391922095 +1923428001 +2063742454 +172096761 +270341168 +1453121943 +1676425998 +166633718 +850744810 +292361753 +885343567 +1112262092 +1278549773 +1799836372 +284031585 +1589513421 +616968054 +1279320586 +463520874 +2106802807 +739889118 +570320065 +647356717 +1572738864 +1068253132 +1747727351 +417821525 +1733891905 +1760972754 +933229054 +2098267078 +1005411201 +709173407 +2014525885 +1177507962 +979514576 +1320164180 +706450312 +1146148294 +23425342 +998812065 +2031491861 +1135687434 +129878190 +1683844585 +1419719019 +1719391612 +153328992 +551555957 +35428838 +112648151 +1291445075 +605748903 +760004868 +716700292 +1674002035 +360248571 +1134521817 +1260410292 +2121221325 +2067750871 +1211193723 +979148878 +629440630 +1078235960 +9173192 +1608955206 +250916492 +715623505 +607619853 +274341834 +1714435570 +491628066 +1410029268 +1844313761 +27989004 +682264639 +1416221725 +181317996 +1233820596 +1451650563 +293966147 +377782024 +2057399466 +1053971016 +1094482316 +1583917854 +1414219587 +81520485 +696844498 +1387957265 +1787708 +1908038221 +219622495 +631228338 +838790533 +228795688 +92699897 +1089707025 +944419193 +700319750 +1364048859 +511371115 +1191947816 +626594479 +208201228 +1219936820 +1308859118 +1624422953 +1401254816 +395196067 +928589868 +1695220964 +772978091 +838505687 +601708332 +1867460407 +274939893 +2015927919 +1948980892 +971784391 +1256401536 +1950768600 +732338965 +1476024032 +434513290 +1571129498 +1704819720 +527213187 +513352876 +501755265 +1227532937 +1877401735 +1013126380 +271997106 +356512567 +1221327609 +1491933926 +1665371685 +698266914 +745705095 +2060567752 +1626856783 +293442411 +686062195 +317878822 +895150743 +406038954 +592818715 +763595014 +207536198 +1564603106 +2019996551 +10821150 +149458423 +1348536935 +445334441 +1720587922 +905873007 +972547628 +86457150 +1407628272 +52596918 +1963858885 +273271004 +324594024 +172887804 +1494598613 +1816527950 +1838259490 +45381880 +414749397 +1751343594 +1672238663 +708191808 +289922142 +1990117485 +1603342551 +695961096 +435452552 +219453918 +903497295 +2000055658 +91966821 +914318445 +2030434 +1440503756 +1359652886 +1722618356 +198893115 +184716867 +1809075506 +1606521387 +237313785 +1625450743 +1879792391 +561907809 +1798338548 +1226907357 +230952111 +1489114390 +1272289237 +645701509 +1092974336 +797044252 +1353893317 +1382896478 +639678089 +809752221 +2078857575 +1075130641 +1029206139 +834871222 +927702651 +1121172960 +1749189667 +929733085 +414193068 +961358906 +504867793 +613086183 +1146075773 +166459651 +72123922 +1383389558 +1791910395 +1951916313 +1945297367 +1442765295 +1031340022 +28765830 +784396037 +156145611 +674467339 +1877370373 +953189863 +2028360657 +1112783204 +1592867952 +690629230 +1044157131 +520514945 +1719835369 +1879028353 +1448217597 +693524681 +1480734372 +230467034 +1107717749 +294609630 +735334828 +1720803932 +1440685403 +901794479 +1792927854 +676591313 +546221226 +1597360519 +474405032 +1988986521 +481216894 +503170863 +625898910 +637362505 +1177638202 +355785636 +1590552369 +1058515211 +1468568840 +1035936673 +1749144441 +365242323 +1556451619 +1321496162 +96787028 +857185568 +2015020843 +1577521400 +1087652602 +975254944 +1872131031 +1822987430 +548575228 +1165332786 +577298262 +194019434 +1841924100 +1123519488 +1791379954 +168845484 +965022362 +125113200 +672016347 +1590921272 +762475705 +1849654550 +1946706908 +205544426 +760686113 +1267792100 +1241481100 +362346907 +1633034423 +650449071 +1683843069 +1729821451 +1507634639 +1551380265 +1159859204 +447803593 +379151561 +884506587 +123307376 +927726790 +2049839373 +700605638 +1121746224 +1744279825 +1824125126 +765642530 +1913125310 +641663840 +890755730 +437658009 +85101465 +1653231436 +139828911 +2031808373 +1858775862 +900515025 +1152116826 +952773314 +1262861932 +637667601 +1603222385 +799221353 +220005405 +963373376 +203117970 +1379864609 +1411176970 +582269532 +116887548 +1534484346 +1509996322 +19243273 +87606336 +484258898 +1763523099 +1911731462 +1249901429 +1529164761 +405911655 +2140657159 +1966822770 +491013120 +1646404947 +2106651682 +375337845 +1357697162 +859683059 +1527454671 +162986828 +2122544991 +17638625 +1766209214 +774282696 +237644030 +582098942 +977400667 +1617508639 +1993275912 +1559670199 +1734396187 +1380276610 +922182873 +1753639460 +1467882946 +1406441771 +1369678911 +1232130761 +508859552 +751360024 +1638042416 +502033064 +570699147 +2129055536 +954363 +529867181 +356909733 +1358651525 +1389550240 +1884364405 +1521638354 +1364611583 +1902003030 +1140363920 +2138894279 +2139647060 +1722462862 +968811298 +1609672051 +1568255127 +380997849 +1196584590 +801048089 +1303180722 +802740402 +121447388 +562138846 +24935666 +1353578149 +1070998398 +776295690 +844136917 +1573031462 +1346994837 +825708805 +1573985826 +1876862018 +1182618538 +785153703 +1118928610 +919499295 +159308409 +336056545 +674018677 +1299672329 +327467177 +666182089 +874651544 +1296278475 +128370492 +295423023 +1677276325 +1324955082 +1096471112 +832973399 +2127695485 +1217918500 +1395112245 +5147503 +424013001 +318626996 +781443193 +1268149918 +1891658458 +2128438031 +2093858723 +1318160636 +1857816401 +1128993614 +2103314340 +829261364 +2048492909 +115139101 +1165317909 +575027939 +1414811431 +1492785086 +1241210028 +141979327 +641579914 +1369580521 +437402350 +171372591 +547051955 +1533873462 +1004345990 +527263792 +604308315 +251974588 +532411295 +1028321316 +570601584 +1313854489 +148987587 +314776394 +1294808872 +95362662 +1632937031 +1005141625 +1224356276 +1588767723 +1834402989 +1125365538 +1703906824 +852237251 +1700393477 +971234607 +197538689 +794119857 +1113213934 +839118603 +16216730 +1550616284 +1010491194 +563268686 +937006099 +2014837185 +1090532478 +1541314414 +119328125 +1622943774 +422152082 +689929709 +789314615 +571139669 +1004706103 +2084123487 +666502332 +490159486 +941781464 +1890858608 +2078927209 +628700806 +868740498 +1635350386 +1480938057 +421650327 +459101345 +1678476746 +1215770185 +1572315280 +370111702 +1231986915 +975447916 +1380602896 +1795255601 +1912454015 +1247956433 +738304432 +1306284781 +1367284558 +213764558 +1728436864 +2057214267 +1003079173 +152092885 +914436723 +939719012 +818595217 +1404596209 +1881500476 +561970178 +1336039771 +362717634 +1430710676 +823906509 +1843655691 +1852361004 +1283007854 +1374648790 +920647541 +707839486 +1744760492 +5150808 +1683287403 +977879740 +1800406410 +1448257770 +78352526 +391227194 +607058904 +1445637084 +604991752 +188012120 +1355367704 +1608070925 +340105005 +122320779 +400306289 +1158700223 +1526916988 +134323117 +1720670401 +715473111 +497040752 +1003897429 +1539379620 +193212795 +708774785 +674903827 +1567861585 +1629422326 +1382743313 +1165138429 +1634573135 +918547068 +2143018170 +1287495897 +219321191 +73887048 +1678723091 +826380095 +1519524132 +136231195 +1014392215 +727408188 +1744302120 +1354497220 +849728967 +2144608409 +365713795 +229162308 +131447878 +2086384196 +944635419 +628488630 +942797978 +336531392 +821701426 +1651572763 +1011435219 +242079363 +1133511442 +246694884 +1407217793 +620600929 +1165241953 +1402752315 +1908096826 +1384563144 +1476639363 +1439336269 +63459591 +848679847 +1575567464 +1077851806 +1576088036 +1172385936 +284865378 +278333355 +1169510697 +650579174 +507495663 +1300958575 +589479722 +1452131083 +1929447206 +1532277700 +1788662475 +603664984 +1036366816 +652614046 +845744347 +22394610 +899308930 +105478492 +642995539 +2064550883 +1508230807 +403608717 +1301630379 +837386522 +1842944986 +1365089970 +1686066370 +1271028802 +295458128 +1114670758 +295931090 +580323507 +1393004113 +1465441787 +1230902681 +1900499777 +618916714 +1820382403 +1205147212 +400880272 +1205176456 +846326039 +1004545256 +94059624 +1498940085 +1850289604 +116454234 +250765367 +1955768096 +759449773 +167832603 +1316515256 +1163058490 +1469462982 +6418130 +858519828 +687069305 +1692484500 +2129548630 +982527433 +659671610 +277996072 +1562850940 +2052675724 +1743437859 +646269973 +1805691853 +214870925 +319168729 +863355417 +615751198 +1524345185 +1709681456 +1620296454 +1618404809 +1061137893 +1323102410 +1734859043 +1311903260 +1131386859 +346825168 +1479735863 +300418467 +1509883658 +801715198 +306836597 +220919838 +1488784503 +1999321098 +202984820 +323828288 +511509060 +480980892 +1886679229 +416701136 +76935103 +385465554 +74909341 +291806028 +704634283 +938264758 +907557226 +81495820 +500462566 +380370033 +1699900629 +1561600459 +1703472443 +1287276024 +726020072 +687375654 +1634101192 +58272287 +987794121 +996501202 +859987485 +1294630719 +1217421040 +201288340 +1146468169 +1420405860 +525116629 +1657977229 +1901386752 +264312210 +2074678366 +1978321855 +649777764 +2104059 +122644236 +1354412048 +940368818 +1030201462 +1435907868 +1440831384 +1410571495 +988324850 +854948196 +966560291 +128117226 +1580968268 +1653935945 +1762218419 +1639240555 +494246419 +611235973 +351744393 +1788877138 +1828657014 +553032733 +787861659 +1101579226 +1078149362 +298355240 +855482331 +1342461572 +225549958 +686320538 +1992239337 +227654018 +808964774 +1199167737 +1168022836 +1839166237 +487591957 +461370572 +1102254084 +1475916807 +1316318768 +2068814375 +1604034034 +749803388 +1575266673 +1218768805 +241560296 +2069513092 +1830004778 +593304689 +1710906582 +1511178144 +1146337422 +351284593 +465273723 +77003137 +649639833 +1320756054 +1419464709 +875189792 +2007076592 +1264220398 +1102843810 +668557719 +315904487 +123382998 +360240308 +803496445 +584753570 +1462494392 +131929604 +1901072339 +1383825120 +1735963638 +503392079 +811608145 +807248795 +744952375 +733637589 +489769926 +1338257064 +297060523 +2000948070 +337110839 +648345116 +318738145 +414113976 +1297984949 +1639494199 +1833578685 +25691093 +1499087144 +950315436 +1128534903 +20161215 +1266219923 +1251917901 +380401523 +2069716368 +1836671472 +1842895915 +54162325 +1590260163 +1079237387 +1790125963 +2093652242 +1890845532 +449891111 +691120970 +476999473 +939661037 +2029378034 +774059996 +793125459 +219005225 +1422405112 +1111863605 +633119201 +572906414 +603874156 +319214239 +598597507 +2102961300 +1269529675 +1727132411 +2123122515 +388265950 +831566664 +356040390 +310498671 +520754488 +51452658 +364660996 +2111014651 +1130690045 +7303311 +2057183246 +874051930 +457194422 +600820568 +1351051403 +1396855459 +482714954 +2125111400 +42497271 +701720180 +1400032864 +1154360876 +1334839381 +1972939278 +1758235032 +1654053620 +424053138 +1713712685 +776099647 +3701901 +1689351552 +1164365598 +835268565 +2045391943 +1474864269 +1356023054 +2096844601 +1839525265 +1319554057 +1080050998 +1846828576 +1229253655 +1954102928 +156539351 +1830074223 +1157670684 +1553394810 +165305530 +1135298436 +1595892081 +867025710 +387847652 +602769309 +54381443 +213303283 +213520694 +1708435064 +637356421 +1927233379 +337051063 +641058322 +1469101283 +1501416661 +1476326887 +1367009578 +828797282 +684866293 +1316370531 +520838899 +2004420351 +248937882 +220183828 +1086190358 +55557162 +376723179 +768780934 +1213227846 +1930117989 +934086464 +201042634 +1378526423 +1801112174 +588890287 +1981295732 +1855493617 +802193570 +47332778 +1416445033 +1439549991 +1974566157 +1753496097 +2080608313 +1296183793 +1107429110 +1409451552 +515709723 +1936226393 +2094317846 +1832080255 +309581644 +1951254549 +2081018137 +529765472 +889961259 +2136575299 +906488651 +1658742193 +1202319498 +689122993 +445345009 +1403362132 +2067649416 +98973535 +1992252419 +1901461500 +1954467153 +646962341 +1948794279 +1223428538 +2086512332 +1775876788 +829440987 +2019636997 +924576933 +1936870098 +1281604902 +1440286657 +1725612843 +1228439100 +1124883264 +2035194487 +1032210001 +1058417753 +417476312 +1922171260 +1047509404 +1323964963 +1433429806 +102345254 +2013087956 +1878774815 +1505707387 +1933253724 +1977748351 +1350476158 +1687231577 +1784731856 +1997438500 +1488542208 +860676746 +1936467184 +1116935348 +1690117734 +1808620534 +2041512282 +1479504184 +942741788 +1334315291 +1057633379 +23697240 +311714907 +945344218 +1055907241 +1370132660 +1362820530 +830594853 +270158416 +539301846 +116541011 +372503671 +404906154 +1995315827 +1878211058 +190676231 +1825580530 +1081203568 +1877907808 +1462828738 +931158420 +1218966368 +176021836 +720141957 +188418068 +1866139570 +381278843 +82446702 +1198160106 +1324020631 +1416761993 +108309837 +1347717871 +1728476900 +1053654056 +256141464 +951125912 +268990938 +1086736317 +1221284329 +808292784 +1203277329 +1593788000 +1213198939 +1051109508 +1324515410 +1403875170 +729206390 +258235330 +1134299330 +44551480 +1189393751 +205782050 +220573316 +1909535708 +394200118 +2086712887 +143330903 +476646821 +1137389345 +1467351534 +1893408814 +1245699183 +667585757 +1474402067 +151869591 +923727221 +278044331 +420860529 +2010463538 +1499328660 +1229153314 +1066257219 +945633012 +294868605 +2117366727 +122664774 +1698743775 +699089469 +380900105 +685559457 +743640949 +1570293856 +891341507 +964214266 +1332345916 +1285541625 +903443505 +1475676819 +1762188446 +2040832850 +795544705 +1508113613 +1139048385 +1463130462 +835032032 +1290917976 +239374035 +1113076363 +1711778506 +102353925 +464921376 +793448172 +1168611145 +1410554388 +1088316777 +1138494224 +1533219163 +639576904 +1837583694 +1914119268 +1325136361 +433740995 +1336929476 +68994220 +1397955261 +521791744 +1354535845 +153915118 +1997468563 +969240644 +47264321 +645529620 +329870609 +1186312706 +2108660082 +1164902641 +329747035 +200550469 +130495356 +2041525541 +302904394 +595416732 +687490065 +1471515539 +2005971121 +1775806842 +462526116 +1391706636 +267900098 +152626162 +1158342256 +1593036459 +586367157 +347788084 +1662030679 +1984322419 +869579828 +869082876 +2138237537 +719564743 +1838323520 +38018210 +1365094363 +20710481 +1224330917 +1326270797 +1185613122 +1554077952 +1526821266 +1316108479 +1448119845 +1829725660 +1911525211 +2135609910 +1153757552 +1770012684 +1763933104 +1616283668 +1014235672 +2031833202 +1768909830 +25094280 +1477386013 +207793339 +372882364 +991933044 +44632110 +1242462192 +1861015920 +35386000 +1962026935 +1551855793 +73404210 +1179637650 +1572566274 +1297735127 +358424799 +610695749 +704329431 +1885246065 +1926804228 +4965628 +1567488078 +1690845791 +2140575538 +573761982 +1313374828 +1757024994 +42562002 +180126852 +1641374548 +1811471832 +205221133 +971276913 +2019265171 +578103497 +1963209957 +2063897282 +1820565690 +1676742230 +2099283282 +1635108977 +1081114375 +25203844 +667262980 +506197001 +1322938972 +1025687779 +1116892750 +2027268403 +763450197 +896213330 +2032234032 +183454627 +439575474 +2025325922 +757216609 +1752950302 +1634867269 +799778611 +1933077154 +1128758169 +463766795 +2138298287 +2100035083 +335548318 +568918137 +1915761392 +251961952 +242000179 +1445019974 +203761586 +1877109156 +378650701 +228965431 +396888488 +884847703 +1551904403 +1422576268 +2001740453 +1431689158 +38542817 +750470136 +1316439542 +221997444 +1190045610 +1194281817 +979214053 +795512264 +681665438 +1778992664 +581105770 +1810423607 +95275811 +571920410 +1762975042 +430824129 +1140838547 +1531252787 +682786082 +1382838726 +828789113 +886547668 +1112464234 +1207439815 +1115513099 +1509352723 +2092287518 +519933854 +784445343 +1946544323 +1951623013 +822988160 +549530811 +1120578907 +1044985604 +1739576421 +167377076 +2024199657 +387605037 +849042514 +1655708673 +968710808 +511982474 +1750984484 +1540631218 +127473868 +34324965 +533986117 +1658726655 +717111047 +1916824843 +340032121 +1603658716 +881805429 +1547471936 +571688167 +243674504 +1492275806 +1091622022 +1028119847 +1291336481 +895761387 +1851108007 +1840867293 +2016340294 +748609963 +1432960066 +36233723 +625325972 +1820565104 +885276237 +133550997 +641792264 +1397258711 +1884535481 +34939834 +1524732580 +1918860447 +568925951 +1035975587 +488487846 +338267146 +1376007708 +2092146562 +1220072575 +775995996 +516351082 +1463747080 +120788154 +1607973104 +344383279 +1412124636 +356250843 +48007639 +1105508281 +225107489 +796617602 +390984699 +261341212 +1421943575 +64066155 +1146617450 +1555494572 +705858419 +396392513 +1292546406 +740798253 +1921125093 +1063923205 +1309724204 +809617033 +1552411051 +1647991350 +38141093 +1497073966 +720580278 +814137090 +2013425048 +36843710 +934925244 +1473914504 +381226989 +199566232 +1830165347 +429234628 +1305074513 +2055272836 +1225852231 +1696059213 +169130401 +500312158 +1760125368 +1315747851 +2055806730 +318500140 +1712140364 +1200869488 +1059298393 +1485781810 +117309045 +221538950 +147915195 +1669720097 +1869530300 +186056288 +1019310415 +442626930 +1000193378 +885251815 +479470640 +1935118623 +211682671 +860697630 +2134684855 +2041848018 +1289932258 +1292275721 +1949637206 +368300841 +840851286 +2118767607 +868612999 +453493006 +1287031810 +776936082 +771993146 +851688527 +1977805570 +1831291540 +189986689 +2095114616 +2052830490 +337901884 +1617351065 +1774877142 +523958172 +489177832 +70020425 +1524151551 +1374429647 +549491065 +1311786526 +1586112318 +1410188695 +1298987733 +1480476688 +552637306 +443779806 +1282630246 +920938147 +1284631092 +1253914206 +1789551147 +1738124099 +393462368 +419003581 +362633597 +1245150895 +249325503 +46441489 +1435137584 +196956471 +2099271979 +1773039468 +1814307536 +1726665474 +149513993 +156001720 +1796685899 +1673665544 +1530431367 +198693316 +837968422 +969060037 +1608882012 +2136956155 +302053077 +14035670 +433252314 +1584683324 +934973817 +1717883406 +691113882 +577041316 +1308523857 +1084576250 +996044897 +1671157455 +182243498 +1245370401 +1717598944 +1617381082 +1442326872 +1669387276 +1242936903 +1109150761 +1248569102 +1392450896 +1265152481 +897771353 +918632792 +648100201 +1096464669 +1756601214 +1617160238 +557863033 +1746073721 +1919213316 +571898703 +31842387 +1356412992 +1506872521 +1749725794 +2047526874 +2083913837 +910766003 +984619476 +932475087 +434439810 +1166862974 +30361840 +4555107 +636760409 +1472688712 +1673942383 +1879697312 +434355825 +775027837 +1124664560 +1699508307 +1672799190 +2043297352 +200124860 +621780211 +1652414918 +1817285098 +1179643245 +1251004991 +1589014766 +1751541948 +1282847379 +797944110 +1110930821 +885089525 +697987336 +1047361011 +1795855528 +1682606813 +1979836098 +82811691 +701986139 +2010197938 +87366798 +1338746548 +1335403002 +1761309181 +1070960212 +1769758828 +388853370 +48141124 +1321783487 +2061652560 +2091438476 +1521908347 +535949123 +1596369746 +1191709797 +1715592368 +699891090 +633240916 +1319650669 +1982738469 +1431185026 +283097842 +720344346 +2129172363 +1330458853 +368716226 +1664295528 +1162811303 +451527917 +218798019 +1025525593 +538894715 +1557544568 +213444948 +152720248 +481021132 +1983203776 +541573618 +529162257 +1157503615 +455742530 +473117085 +531928314 +991691654 +2069486832 +1723638111 +559800374 +621894274 +209395379 +1879451043 +457149095 +1640580406 +15065238 +1177493441 +1622269121 +1345524091 +1546209667 +1139081001 +360851747 +1997737585 +1357879020 +1386377340 +389148652 +767939940 +1599822288 +541868901 +1248961073 +1435542416 +1083442519 +1778123330 +445562383 +1539185050 +103756767 +977490697 +383393056 +25759951 +553645161 +943193430 +647654225 +763040540 +675160826 +1104803320 +256137298 +690226064 +134813113 +1878406419 +2035750155 +1681022781 +870003772 +249118254 +1531276718 +80399145 +1635495595 +1920425370 +848339085 +1087834235 +314810623 +2097300158 +375893004 +1398253143 +1727939840 +821455387 +789954545 +1831696608 +1798946085 +1173347601 +1857456559 +205107598 +2116541031 +357627137 +968148138 +644218209 +1462430457 +1224285437 +1334444273 +1597243571 +955208208 +1222710781 +1130782704 +1825211981 +1471829035 +514575774 +1905611126 +959840982 +287517496 +606466563 +2047675218 +602328120 +556283074 +276084574 +2000581263 +136739266 +1097539961 +643052160 +1968435874 +749002398 +1816399761 +1678408786 +954109996 +1785457144 +2036035923 +1922258135 +282191706 +1350982732 +999059924 +1616635979 +800742655 +1954268132 +691863112 +1931525359 +1631996465 +16208500 +298617485 +1390123943 +976049482 +586134982 +1996590507 +876241052 +1188463102 +405389933 +1152325626 +1041560717 +542129199 +102381940 +1684612877 +363081426 +851384338 +1353528990 +2041490212 +1805494335 +991502486 +1930042487 +1580268822 +1273694192 +1133541571 +431845098 +742846524 +1934284227 +238629582 +1434709636 +1718325938 +1870626048 +1450918136 +2016943424 +1113266343 +279483971 +455594758 +962373202 +1155725023 +1644057860 +1367763135 +160567002 +538134929 +1909892335 +262948942 +75264158 +125490113 +1114333280 +1428793148 +19496677 +772343967 +272811986 +1949539164 +205129141 +1546506179 +935597087 +636974239 +141869055 +722397666 +875603822 +1576578691 +293239957 +598746222 +880013180 +162699733 +1712012565 +1159497151 +618294491 +526902120 +167738526 +114868703 +1894665255 +328305528 +653003632 +1657073942 +591254470 +728267790 +1782564055 +1705587751 +9577290 +1802060732 +330448070 +282389276 +1604116248 +535577212 +1828895455 +392229688 +1172551451 +1970764510 +1114627354 +2048155273 +1399859554 +1407867311 +499417847 +132389086 +1570567044 +63946765 +1291886237 +41377887 +590848885 +1459624763 +156246590 +338030492 +1787930292 +809250222 +1995104435 +231701114 +1537518012 +1630184842 +1937288865 +1547095302 +1284761927 +120253288 +1829484579 +741394527 +655830500 +1510896386 +1133624215 +1828381951 +1334177249 +100767922 +1729053577 +586553155 +1508635233 +80987776 +718942241 +931718630 +144934541 +2010828478 +973096517 +735783426 +1322969593 +1129343108 +1073813919 +963416237 +1938593330 +921434706 +1195117352 +1328627695 +404135900 +984922569 +728239349 +1688897827 +1105175857 +410240280 +282808707 +1761006357 +1921136667 +1416432922 +1441904661 +1107830268 +1517200844 +1023474590 +1694383423 +878352430 +1104462366 +265842016 +1810071060 +1249396908 +129186846 +635683929 +1985180334 +1452156439 +1765027037 +911510605 +268089029 +1556136720 +1832945311 +1463206381 +737280767 +89597564 +300645302 +1465520116 +1778495391 +1405821160 +1875760397 +2061304098 +1019343869 +1649413416 +1330253373 +313764882 +609760036 +699970569 +1337239472 +156659811 +1578322999 +294218191 +422501827 +1240910411 +1543615099 +551688673 +1876594341 +1381311785 +2003845112 +1494137730 +145338743 +124450493 +902790802 +1978284054 +1587656874 +1640071569 +2067881618 +1888302177 +958108038 +1698893362 +1146639689 +686384787 +1612713812 +18499910 +188314555 +795483537 +332264793 +798074591 +1495454107 +1669504265 +954734402 +926293458 +1963722456 +1377236229 +19720222 +1359853907 +1928924902 +1896314563 +593682045 +1785286366 +1242968645 +739020788 +1909736860 +2145759448 +569821194 +1349910086 +1638347369 +490219165 +1090728615 +448971759 +41628879 +89884656 +1135356546 +1654342691 +108384567 +1323671101 +302342581 +440649360 +2121745692 +1797796688 +2110153625 +928996446 +576606498 +1926392434 +158749027 +596326720 +1138762693 +2087673929 +345157635 +1732444738 +1725476648 +1588126281 +323981878 +1487729860 +1586402081 +893803073 +690156298 +1077265802 +1384022238 +1780884914 +1526237562 +1425651117 +1870769570 +514110460 +932510160 +1979154137 +1837781562 +1234852741 +272319849 +1812043606 +885165781 +234989827 +593556405 +1461772280 +13898613 +752305432 +2058099000 +1152661306 +692495714 +255772988 +737622397 +270488714 +1843899269 +1061604275 +1758218574 +1282817702 +1955407348 +300891224 +212599856 +1191945938 +2081776138 +1738837418 +470113407 +1805062061 +105464231 +1402623568 +1636732550 +1943245793 +489992661 +1909052400 +1607805751 +1375158443 +2144042227 +53878508 +689447075 +10457192 +806183941 +600062427 +1163118498 +1498679655 +855835415 +1900740895 +1769168369 +552251036 +814861523 +1379903295 +1835068738 +622785223 +1680794519 +2047668595 +1814731162 +1615087010 +1639022365 +137360921 +1272665423 +1744486596 +1539984489 +761914325 +1540248741 +2029977151 +523483077 +1000570845 +1257651946 +520041656 +1054449353 +1947099021 +530498848 +1860633294 +399677800 +1693617347 +1211829301 +1255513216 +1446874594 +833514022 +1807764252 +114252469 +65933669 +1495349343 +737037693 +1746728189 +1395534290 +404285207 +1214331551 +887073007 +541646128 +339513326 +484075956 +2081630618 +1101427651 +2024324697 +1964124121 +1624910729 +877411894 +1074292419 +2144952385 +1931861248 +873907792 +527967586 +1645010894 +1273585592 +74101285 +709356548 +381615160 +1520975879 +1542870570 +41895765 +1635228349 +1608804240 +1537245108 +224782394 +1208048781 +785295750 +629067601 +274896684 +1672368757 +1170713729 +614410010 +8961065 +1104860699 +1715837661 +2033285763 +921501172 +1193264742 +763214009 +1995793591 +1190733480 +547591609 +722217735 +1718701066 +45118856 +1995803328 +1792802351 +754475404 +229934840 +1166294582 +149862326 +271830605 +654039283 +1758666566 +1809075713 +878821677 +819231699 +446887815 +1507889278 +1094128383 +2119256573 +531119360 +1708538393 +2128217638 +1635980059 +1276892407 +2014019753 +409997584 +322673501 +629750115 +258307527 +1513406981 +1177341724 +980525263 +1084624399 +1222460580 +828844943 +729943102 +1976935984 +1058779783 +1896237685 +2126798311 +1330610389 +402793320 +1737981229 +992202454 +1281614998 +409729281 +1439090270 +642020628 +1503857664 +1410863195 +1173139988 +1064912410 +1391597185 +661636400 +194321169 +1258133291 +1071633984 +516994670 +1887883406 +1329941511 +2030401652 +917741482 +162983126 +967542403 +2140202063 +991828069 +1697485506 +1969654399 +2050607853 +1446239543 +1948969062 +1233734594 +1849032863 +1539466644 +78453400 +983164213 +1949195925 +1517543670 +1625184842 +1305569941 +780923217 +650841182 +222998703 +25036755 +1312477582 +417319872 +1283170046 +236627918 +934314543 +1023569804 +1566569430 +817232547 +1941311286 +1729552556 +1784774950 +1934029701 +573896978 +1334776808 +1756200453 +477021183 +633532703 +1557685867 +1710755777 +335081919 +949668863 +1789209177 +1318246132 +751381140 +1159269200 +795947326 +2056951082 +1940192417 +1446788509 +132466137 +1965229172 +611782443 +549786010 +1100915570 +848410362 +1484100553 +2124485374 +267496144 +153849452 +1918313013 +1997048700 +1938624402 +1704859066 +423462030 +1125917563 +1313575871 +900483213 +1759450266 +723778091 +463755342 +2094532185 +1673446954 +105480872 +1265294670 +277344447 +1264750072 +2061241996 +186811881 +1057458841 +1360546857 +319278018 +875204366 +1972329301 +869064028 +1976119936 +673256015 +205680933 +1953121663 +940752159 +359530385 +1723951028 +790317211 +150671140 +1281326446 +1213779242 +1276588703 +447418670 +2114262455 +888555321 +1171196761 +430534150 +835603859 +697160067 +536015022 +2100898529 +974504514 +1800765094 +2014656877 +1161316395 +710740287 +1227720087 +1480594414 +1585944653 +1052565740 +202174794 +1414580942 +1725821755 +407855728 +1220218957 +519090266 +767386113 +796686337 +1309407477 +918057253 +2078012783 +375703071 +47162308 +377947805 +342481879 +935717630 +1549144566 +773016029 +1771321489 +98820986 +1309031051 +1724736370 +1073325500 +962312497 +1591909599 +87158248 +1673052784 +672146038 +1567752662 +1111513790 +1724711778 +1769927456 +378611084 +1303049885 +30299536 +1598830041 +1822140151 +797685650 +248032730 +984063981 +1715742903 +178561865 +1359767052 +1762905212 +556509671 +1702248931 +551139194 +2105654237 +327781312 +174977035 +56991575 +1636812363 +1899713405 +1130317076 +451641212 +1344139356 +1217475324 +2124693997 +2016285395 +637744338 +1088724139 +1593513525 +260188146 +1467335223 +749079763 +290487683 +918681616 +423736266 +1088173333 +1166714346 +1407800247 +656432588 +1345276211 +620083652 +271854152 +1901785882 +174848935 +822993346 +1859956472 +502630248 +997970381 +1916948047 +2139442611 +750200138 +899781475 +443600176 +2094339495 +2117256799 +420810525 +1963141242 +607517489 +1509534664 +1409171119 +867705636 +829386239 +10767234 +1158193319 +1748067855 +434503501 +98883004 +767298553 +1842303748 +755315592 +2112574764 +314903752 +1027169745 +1866876999 +489752688 +1850163091 +1579349823 +992382936 +700649825 +1348814222 +984341899 +1450849963 +101112050 +1427942075 +1397705810 +70885201 +1848752600 +1213363404 +678402691 +1210803616 +475050876 +1546108327 +2040189855 +485818110 +556817998 +1640774062 +920321611 +655701002 +260588967 +615141712 +1411016594 +225680084 +930045464 +290702691 +2092557083 +1419798152 +2140865783 +1524423258 +264697440 +694031960 +725753832 +1249039340 +2144881923 +826865882 +529497767 +1395104086 +897751084 +230766720 +460983842 +1576153775 +1441570336 +936034718 +974778454 +1334276544 +1421852829 +1531596452 +827566958 +194690792 +39813806 +1088155926 +809832504 +1450830400 +1313836010 +1739877969 +1741533092 +1258909445 +1012192473 +1734915227 +635849055 +1276889914 +281463539 +1361602887 +378445606 +278861814 +40985122 +907943373 +1673965900 +938736206 +1138710093 +2134949743 +367406333 +432796782 +923500813 +1342184787 +1767073326 +197869994 +726297591 +447156636 +392560787 +766111397 +1535312562 +1202393291 +69458149 +701664924 +794787612 +1810991241 +1960574369 +1806980086 +1398422820 +448939776 +936386352 +1679886359 +1810542664 +1314831958 +1958748174 +1851527786 +75291683 +1485230426 +642780344 +1214001777 +1472696521 +1010186677 +1646798559 +248713687 +204887816 +1266388237 +446583681 +931185407 +1713544873 +839144468 +1697296804 +1101373788 +2041537760 +1766754953 +1803038712 +688841724 +1430262547 +1616129434 +348338162 +681201719 +2065069210 +1284724514 +213604431 +1728128226 +452072824 +24868957 +1432172364 +527364508 +1510099383 +2074952708 +1741366285 +835312257 +937655737 +1240681196 +1084025944 +1142543553 +359585785 +1530609625 +2073728960 +2073130658 +222270446 +1623542116 +1027020798 +116324558 +1242813422 +682575863 +805166282 +525592321 +151221649 +1153504445 +1206794040 +68807211 +290745311 +1420398471 +1796935438 +742818136 +1445267428 +1081624154 +1270182644 +807883164 +1009093215 +864065281 +1643195421 +1946748952 +2104746477 +579737717 +941808858 +316848614 +2110347342 +868054170 +242495624 +185134140 +344112639 +1269516423 +301458698 +1586926061 +1952092286 +1106624981 +2112518382 +2103313935 +112645778 +1171828774 +24637498 +403391089 +444743598 +1821572936 +1146209225 +1890011026 +755713443 +268908221 +550410542 +1764806658 +1132973502 +46122315 +1564071962 +1090236331 +625860032 +358397172 +1407084945 +588723727 +1226451343 +1649580570 +773857867 +1570563982 +771613345 +1075316566 +1010006395 +576221983 +34457899 +975041129 +532052270 +147103677 +2146869903 +556689768 +550494766 +444129853 +230779057 +1696703992 +186657232 +986492500 +1965612213 +737067774 +603815510 +951102068 +783190090 +20403824 +2041338399 +1409050122 +378800997 +1300939697 +1997773849 +1605252340 +803036619 +624148069 +1028332674 +1574649964 +1699464635 +2038339069 +3388299 +1733922534 +865896550 +535440569 +1881026211 +865282805 +1092130337 +284037329 +1309412659 +1322909394 +1980741321 +1496069891 +161918246 +1798869887 +85654017 +765733756 +602488307 +868844107 +786137581 +496343058 +130410582 +1164938578 +1797282755 +2128184431 +622707270 +452835726 +604848852 +1651039944 +2027485690 +156829839 +1541895365 +2030873989 +1890752373 +260308267 +418830910 +1624294936 +1125591072 +1510961248 +1908332266 +287520083 +686386994 +1741589939 +1783589974 +848305241 +1392976178 +1869243992 +1614038997 +1995464485 +590604451 +252692930 +344323896 +721015033 +1417631508 +2141606651 +701715817 +2040338778 +446958730 +1306564669 +1543895074 +326960772 +1463394509 +938306791 +210351114 +1206663234 +1198615058 +629182024 +683474523 +176722483 +2140143272 +444323141 +464242566 +679046619 +38429432 +100348893 +1527351860 +1431405611 +1969592885 +993907209 +1279386448 +412713688 +1246600140 +1623710344 +1133728722 +516748000 +1617833348 +1835444539 +409603131 +2064792078 +994525560 +1953498205 +244269202 +310436421 +744321349 +454620316 +1517099656 +1942936407 +1083802341 +53090531 +2119658890 +1076461965 +497413672 +436417809 +1755508584 +535843104 +536766702 +1135376796 +1967248715 +358875939 +2129284006 +1099151516 +771589627 +1228400498 +575378212 +1905318349 +1745148498 +45727912 +1593279240 +7267981 +2110519990 +440321153 +1960766187 +207305545 +750757574 +557603888 +661925861 +120373582 +353056647 +1745728202 +173464113 +325231890 +674706520 +670877785 +761649699 +282731456 +1206720890 +1298416401 +1418108253 +1026485957 +1657292340 +1399908611 +2125637473 +281398319 +480825461 +553532038 +39233021 +78490311 +599259950 +1632512261 +85758293 +562296293 +2072833414 +2046524480 +769601838 +676107341 +456644720 +1431527699 +796480923 +809701367 +1029772254 +969945037 +1134933257 +1704478774 +1640822822 +1896582956 +1987210230 +700060064 +1047515709 +1257834835 +1726546022 +557324401 +510259798 +1704699847 +838722721 +991085259 +110748237 +877955742 +1069575571 +710008188 +362984355 +1155333864 +1272304481 +288334122 +1054374696 +2041906319 +964441463 +1511019416 +1325950370 +1760922386 +173237135 +208238976 +583383775 +1308170393 +1912717750 +76722950 +1057269701 +1752444333 +776783014 +2104785411 +862795520 +355845388 +514626164 +1373055319 +2060545236 +1353348885 +216656930 +23809825 +83820979 +1286232501 +733818013 +446805335 +294082717 +2006122494 +735139457 +1348457413 +1900545165 +1699580920 +711993181 +1079011888 +1313019658 +885230317 +1287250864 +1896403434 +45917062 +1052484967 +1973126384 +1103186763 +657445652 +602425750 +1060488526 +1520241172 +958271139 +1575114691 +745812843 +871332727 +780979928 +962469774 +895142552 +864800908 +101218627 +1628960566 +1311606243 +395301345 +1487599412 +2046745700 +1743758758 +1240660930 +1598842972 +308268292 +172189170 +764378982 +1193498609 +1459440034 +513298768 +1239415671 +364441353 +338941504 +195118786 +1021887005 +941367255 +1255607313 +394644530 +1899638394 +683238356 +1140457373 +623487473 +1464218284 +2102927147 +1518630025 +181535544 +56662127 +1000106943 +1493141787 +451963472 +340222708 +1392403839 +48238582 +1580883638 +843763163 +356506874 +1753072808 +1608142146 +1550005483 +1065029194 +2121440914 +641937506 +1429470548 +312898771 +837056293 +303873905 +1254266026 +2092663606 +698518435 +1006420772 +628418314 +1838975809 +1629908245 +2092636598 +1794419308 +1001054622 +126688495 +1851081435 +2001161566 +1619830282 +155561259 +193900626 +864750474 +203799842 +1774784264 +1708513637 +560306716 +1380373424 +1169172135 +2110312200 +297918970 +1143129402 +604766058 +1727389518 +1456028173 +1441822351 +2031263424 +562810551 +1387002309 +582298211 +1569231323 +2015420623 +273790372 +1051655920 +1960573574 +2068209681 +2052710542 +2087262069 +1771807468 +1906388460 +1559608703 +1927368728 +2100289086 +276875529 +2131168570 +1727589702 +1985389167 +543991638 +960479478 +1007077654 +506820190 +1258398449 +2723408 +1111586249 +838304319 +1458751581 +405924952 +722084095 +2021562132 +1792927262 +1304382307 +1443309807 +1660864237 +1578172679 +347482079 +1473954163 +1498898712 +252708974 +1413732584 +1123222533 +11613786 +825857640 +903107613 +2111902873 +1102733169 +886792535 +1692008927 +940638688 +1430784173 +505004758 +1947716343 +1937604364 +1763403207 +1950439751 +901706965 +454223878 +1261707685 +1307631917 +1176307974 +1135786169 +953075531 +333206633 +431612329 +466456121 +1911379312 +779094408 +1940410284 +1262794377 +1031803382 +1206659221 +238533262 +1043417169 +2032516861 +1141640875 +1007836394 +987766382 +2028433410 +552361673 +1928405071 +1311733935 +1057366431 +1728637766 +1101854651 +673285990 +1531593869 +2003561616 +1127509869 +645817906 +1163709886 +156334195 +1781604076 +2116785417 +489540828 +65732757 +435757890 +253436492 +844827165 +228684527 +1516230869 +1876630548 +1435343748 +1754764131 +772564069 +1320376961 +748921358 +1780400463 +160659695 +629871120 +185278488 +2089064766 +1941605056 +1242644920 +1670218884 +895976059 +1915930910 +1054329106 +752054028 +895957131 +1700147012 +1915763914 +1052291326 +1334267440 +1885065683 +1541832154 +1400000197 +173339926 +1795268647 +97343715 +402024453 +1164015868 +1973974263 +1837368201 +771296352 +599054684 +1010261514 +1520217710 +231971499 +1170921209 +2605183 +417249987 +1112502328 +1944210239 +1659894907 +635237564 +692702650 +1428342170 +1689566670 +1444756678 +176815653 +1242230035 +1213036944 +1229106980 +429013827 +950618980 +623455486 +1829014025 +1123958906 +271240485 +1926357740 +1525983359 +1435256354 +1752848355 +1215867912 +59069058 +204419391 +78645778 +1579286768 +436390890 +1249566987 +1581891951 +853640877 +214585667 +1378618542 +366052137 +849823232 +2071321193 +1794394307 +391906254 +1368594223 +1971209960 +1634136289 +434147520 +1052833292 +2063150117 +1384766500 +1676288779 +1744680494 +361241758 +1947529264 +1523554586 +1887225117 +1235301970 +1128919293 +955609381 +1294371028 +1333338684 +1034255159 +726174149 +1769729574 +136338498 +160582452 +475886803 +350924166 +1539200995 +841938940 +1200747398 +1463038540 +488849599 +1592653652 +684149115 +312575912 +1079306294 +1118296635 +1365409204 +994972763 +355579487 +894214335 +592169609 +716821245 +694259952 +2115724195 +456562714 +1929561922 +1097159840 +1412172095 +1076449303 +283014876 +298943606 +1802623452 +2052744450 +435282105 +1963205904 +381147605 +786206271 +1354923251 +1223086546 +1986953669 +670478143 +1711936145 +1432123673 +1354627259 +2024512057 +363946319 +325440246 +1242437614 +1358919082 +681019734 +2136651949 +1951088691 +1397840979 +683428253 +1919329238 +1854403694 +465506528 +869005430 +1119092141 +1541955831 +1152020306 +1418035748 +1197095635 +1057281108 +1853317853 +1012817891 +1438428714 +492040476 +220257495 +514031612 +331510497 +890735638 +78484109 +1763634170 +97879249 +2102996167 +2127580490 +423319496 +1197950133 +1339015924 +1104339230 +1187118434 +1142620968 +354696561 +1870546688 +914466558 +61616607 +188569568 +1783471989 +1180708749 +1730525399 +788008647 +451260849 +780137386 +1845289756 +157095054 +1792955277 +1136234822 +649135530 +2013212772 +1650266434 +980646027 +756464763 +1728750543 +596796549 +854344012 +1684263062 +576893391 +1277663508 +734729547 +1915909316 +234519090 +1921847982 +911046636 +589215652 +1644911022 +1825513194 +650832259 +1833480590 +1461501535 +1831541008 +1416522341 +102026535 +135318209 +49176079 +1947316291 +292413263 +1842131356 +936067465 +941548793 +1707860481 +438850251 +1922194820 +316841596 +20117146 +371507722 +1171185608 +1704380209 +948401113 +301365469 +291626108 +716826781 +535884559 +65990442 +1627873417 +1125100211 +1710901464 +1305902964 +1775932471 +1396898406 +619920851 +1459989831 +665937099 +721947386 +1595308041 +715113178 +521780029 +1887721304 +409760887 +1457847494 +681786450 +2117621368 +1896697745 +456497622 +286979316 +1916814892 +828005344 +1458164924 +1473711453 +1776406458 +1759530393 +1765337561 +345749591 +147931305 +1831328004 +1973623009 +1273031516 +1394745820 +1132042325 +901480339 +644160579 +1751963176 +213986523 +1310097678 +326426915 +1809294564 +2025210857 +848206944 +1549532220 +287488096 +158570791 +83835022 +257625816 +2055268536 +540332645 +544605132 +1824599780 +1368337989 +2002770056 +1150827585 +997260799 +1614816802 +768681499 +1343010391 +1762748107 +452525855 +1169149752 +888295975 +1847271675 +153708429 +1789776315 +343948606 +1905671605 +2003762838 +1654046285 +84614872 +1665573754 +1531773494 +932821817 +1067622326 +1819261590 +1091392608 +1151457349 +2076887406 +999177496 +1691789994 +474008890 +676293629 +912644335 +329295298 +1827121214 +1909905135 +1944112100 +448319065 +1105431878 +1559376559 +900844920 +127097982 +300188887 +600632948 +280806411 +2089965202 +944581554 +38994368 +1946244392 +451144191 +123609241 +1464334498 +1982917685 +1056431058 +384473176 +1654695627 +340018 +1535930525 +1584099385 +999517514 +1080236871 +2058108275 +1675811143 +1992881207 +239919926 +1355448710 +1755302694 +36548378 +1803767775 +713250924 +1595924938 +557129048 +840348906 +1896113825 +1157761996 +1121155317 +1838595379 +2102343550 +1160149685 +1637356123 +406004094 +1283758926 +954206973 +241438131 +192706336 +1338680149 +1896133759 +193046354 +727127027 +1332749496 +1192563869 +1807363898 +1243374124 +720891364 +1652761457 +1483294050 +2076340074 +1260580503 +1519842428 +1732624202 +1973831427 +968283718 +142269602 +666696685 +716913895 +1300031598 +1787852002 +408025626 +1254891500 +800518040 +2045381749 +1660895594 +2084276966 +852105074 +1902333726 +129499655 +43301576 +1650983837 +322546009 +770428603 +836249685 +1515109878 +430308853 +2079623809 +88517595 +2083070311 +1415434211 +17374021 +1196167166 +787792992 +1749998223 +1022514946 +1756076710 +1892267825 +1689211631 +325506958 +1044815775 +1329579986 +733532584 +152223628 +2130098026 +631430686 +1813119222 +2066891344 +1483535760 +1567969300 +48907351 +1526837336 +1071469489 +371453361 +149782291 +1907719175 +1886563239 +580091145 +1839859336 +1975080834 +515677808 +1107809900 +1992454856 +1711844974 +1895602892 +1594969431 +586876272 +1504195954 +1339753609 +128604256 +1829702912 +237085736 +1458184242 +415751849 +389309364 +1440798620 +1047182535 +54944939 +1360206316 +383234647 +1622914239 +1409113668 +1910071984 +546900081 +1780567029 +2059854275 +307135608 +1519646620 +492461772 +2146994944 +1347243807 +1008139580 +1107321196 +1192215015 +572500907 +855440440 +639700798 +1159377179 +212152747 +1979454407 +1287981435 +2041855659 +69056496 +598682029 +310123860 +458365860 +2039480649 +1357306395 +513310799 +1252203318 +1740541043 +2136225039 +513833338 +1503129379 +535641472 +146916719 +1415500006 +842777080 +1666563339 +1907961779 +842288376 +866323498 +768617711 +1949609573 +2058538513 +1341118618 +657566365 +550755664 +353012150 +869719112 +382726423 +1640993585 +764091124 +451782919 +92191967 +1074214984 +910148780 +2131672616 +284037732 +1423459579 +1236392286 +2024578775 +1412200970 +1750225624 +1380224506 +1947842442 +1897142343 +648240864 +643135874 +1416222035 +408718995 +1485424251 +135061885 +1177336707 +1287550176 +46116751 +370971677 +1945116541 +596872415 +723983827 +667352006 +979598838 +217493765 +1431443130 +1431381758 +309685732 +358174466 +194046890 +293874700 +642212198 +1617506469 +1530266987 +519307325 +882223792 +1133008963 +1899531831 +682582586 +882667659 +400289048 +1325718461 +151406046 +809008043 +663659064 +286467931 +1986344750 +1951209240 +332584682 +209832780 +1748842133 +929457097 +933816607 +268710491 +1909055936 +1151310372 +1700153621 +1192954046 +1460996104 +2058328088 +1387000936 +1754870805 +553056638 +857023757 +1137654144 +1072363964 +1739247549 +123179459 +824412147 +274346488 +1005847118 +1224701195 +1600064949 +1157253164 +2033709239 +116240365 +1443721096 +1872570341 +2067449605 +1776305778 +2082403121 +1668808090 +558279228 +868736081 +1937518582 +319851516 +2020046453 +1490188555 +1512805562 +1333558910 +1401032995 +752322850 +940946067 +1954089634 +1609346607 +2078600211 +878969950 +1201110509 +54296022 +1703382097 +1475456997 +1060143141 +780599645 +928038298 +69912657 +666825236 +1044278663 +1513633753 +391911929 +964244620 +1142455884 +326831403 +485569062 +1700735112 +1195567484 +275603996 +2020586628 +1068130289 +1765792552 +1385908542 +254205551 +1019341899 +2138231392 +1195151618 +825947885 +1600094351 +1126268181 +1704917835 +653721212 +1180564204 +1260816285 +2129178209 +93223697 +2041415930 +909732859 +163136354 +560757518 +1954011522 +1676770108 +952669447 +770772494 +671742344 +1279500850 +1256341557 +224993808 +327584686 +1531945553 +98096788 +1395714976 +1150254457 +1484005330 +1649920527 +22112709 +1474753074 +697588498 +848060594 +927363777 +1823856679 +405494782 +1581084990 +856937235 +1666311067 +1562779551 +950160932 +1560243349 +325028763 +1113297287 +2121000867 +131556637 +642583747 +926186666 +902329132 +1314326091 +58203869 +11187041 +1539319899 +385788555 +1543132594 +1637416687 +1781503531 +545903404 +973938369 +1283940411 +568016113 +301207795 +1981528909 +1416076707 +1228571572 +1657901940 +1821571489 +662172914 +367355528 +1340398908 +77468818 +1317516460 +753158609 +402497581 +283330099 +726675828 +534054218 +925913846 +1652862495 +1436383350 +92756289 +1711066364 +1447570391 +1632076188 +2096854919 +843219338 +1122009227 +1730874803 +1389122742 +2095947596 +867331566 +1957138855 +249671743 +701376827 +1225731914 +1478243316 +211795119 +899819756 +2140416230 +579150647 +92735016 +70401400 +1896667108 +845893626 +472898981 +32513559 +1572569454 +1006953200 +958427406 +1077948301 +295852902 +1051183695 +641531017 +1743423294 +535776236 +590902289 +439158984 +1657785463 +174293444 +1828281726 +1606249412 +1041625010 +1637936933 +1855921155 +1743001837 +716185199 +1186680823 +1954796956 +1616004955 +1179613406 +386463956 +1708739972 +1250014806 +135647416 +407149950 +1722913788 +168160975 +1979719404 +582383340 +1126588381 +910184058 +878236242 +30288429 +1551715075 +474175888 +566064665 +2142617364 +913334872 +76366480 +169427160 +594132950 +1682615892 +1211052170 +84586235 +1391053400 +806570359 +800771435 +430250575 +613883668 +269292742 +1609863981 +1000347624 +1978032714 +712395140 +1135995040 +237699016 +287825280 +1304156015 +69934773 +870208620 +283260749 +980118831 +1748444862 +313549178 +384350258 +75137103 +879613843 +379483975 +988471975 +955980323 +548911135 +1582604926 +491112568 +1759963306 +1667191161 +1882165968 +419050017 +320478948 +164932895 +1032933685 +589771691 +1774796877 +2033281309 +420320757 +339708369 +1021792701 +658019774 +627533649 +178465069 +727954547 +1497742269 +461725818 +1708073378 +1098703483 +775274996 +2092423636 +1173840586 +1654888839 +324423963 +14828914 +463385514 +873335099 +1597433840 +954498082 +485814757 +1117141353 +689180402 +904864774 +1437620302 +854113298 +1937798460 +2027391993 +481426527 +1823596121 +300229102 +821134896 +697905175 +958248876 +1448668545 +876370244 +1686203423 +798927166 +1338096062 +1246793153 +1897630649 +2113371058 +1191733142 +923987588 +1620776249 +1516157105 +938816502 +2084161763 +242008556 +388766694 +891176198 +727823313 +1505908047 +1580356600 +1632688088 +796044701 +286986250 +1423002900 +675953046 +768412777 +1099115373 +976182149 +1589547673 +1797020548 +1934431025 +890732570 +525907144 +1473150801 +1689659736 +1864003206 +572460306 +1439806738 +1829890616 +1764193448 +216310678 +1303183217 +1132866906 +1155127180 +1239861333 +1374875462 +1543893874 +2131037531 +2102698776 +902318273 +1563910483 +1587903216 +1698362975 +1850896734 +863422468 +226832373 +471825863 +1962537841 +1203014522 +2061373537 +1612074742 +989961900 +804622459 +2137981886 +315629053 +346798548 +1854501445 +888089359 +1786605286 +1536908413 +504799160 +2002915964 +692607983 +1637666066 +1010559496 +1932469316 +865057880 +406969722 +1916023199 +820273008 +1309287995 +1332450034 +260692576 +860167322 +1035863120 +1124115044 +1086999696 +1507688984 +939169238 +142530570 +1421578873 +403760332 +1132492470 +78717684 +394258570 +1448121523 +425516232 +101276367 +188727235 +64637870 +1638184781 +693526395 +2067553834 +183309116 +183708813 +930629682 +2115778432 +1048766693 +1337599404 +1884317983 +1869039702 +499403752 +1069284369 +2129732278 +1359571074 +2105147490 +1106363675 +299087122 +1465352826 +2045532913 +441617693 +739448051 +301809597 +1574110163 +818165735 +696068167 +874748039 +1243681968 +797344535 +1063475274 +1308319838 +288045668 +1757001669 +1228390025 +471354784 +1940710482 +11536059 +439649568 +841993527 +1349135464 +176483903 +563549581 +1848539216 +1245768272 +545798212 +1060626642 +1203432114 +1652161887 +1359713765 +521301292 +1550211152 +1801331458 +1260749343 +1852020749 +1227957973 +2078915079 +400605268 +2102706012 +1175113399 +1197949803 +1018697638 +335949589 +1485995471 +628215659 +1564339614 +1957350255 +421442493 +1575875674 +249516175 +1263436021 +777527490 +426000078 +1826985602 +478583058 +1671768351 +225300166 +1539209700 +727716817 +1877462053 +751439817 +1249018110 +1280189557 +405287627 +362283805 +984726658 +1633245601 +293715236 +1385331927 +1588467965 +1468828635 +435798082 +459681956 +1804778225 +1921793554 +1087897615 +1221634191 +1731660161 +1509340109 +650026217 +1981176337 +625292482 +1427553707 +259692767 +304794436 +1906136765 +1931461118 +530094603 +1297862818 +511694288 +260073008 +2049302635 +1760712398 +1540262566 +307106615 +2122996203 +377505576 +1940352216 +269227792 +1762837503 +1381336533 +1738056427 +51151938 +1841018489 +1395351004 +1972945492 +781432457 +469501548 +1557122005 +143288918 +1119527765 +1390814694 +768581400 +399597825 +1650507462 +1073375836 +158250942 +1434484932 +1603470439 +1456113760 +1946179220 +1863543448 +1357932748 +1559407970 +1256322366 +1665039363 +1534920526 +1633827942 +1457907931 +1804148318 +1249181798 +691760816 +1394721097 +1300333736 +385295658 +642588454 +1125795580 +1166728115 +1112090002 +535433937 +1310017033 +84134119 +1926248632 +2078598433 +483731944 +1429272446 +1004490621 +641982887 +716273730 +460477413 +2098096647 +514969303 +176537213 +1308545747 +2074377273 +1432859579 +826101462 +1461814151 +919203873 +136525745 +1118478821 +20902023 +828286562 +365716271 +1321235759 +1213582220 +1008304725 +299547691 +232826687 +2120394727 +834981629 +1542843720 +57045198 +613746613 +1473958505 +540777143 +2043019059 +330965478 +1182760030 +611809141 +791442891 +1133373029 +1126778444 +967980104 +294435129 +1053672070 +253356035 +1120536591 +368002573 +1172559909 +1257062337 +1486481395 +1193461932 +2085348899 +1852197666 +367214044 +1151447471 +713018743 +666761735 +1384274158 +685929822 +1501743364 +779634230 +742975020 +2115489977 +106109087 +1283752163 +2011025388 +437074565 +319028545 +475350882 +1228517457 +1452401575 +1602129326 +49013913 +1746836704 +508317748 +302369949 +719889647 +876320322 +1474929858 +1976951984 +215318069 +520908142 +1914817235 +2067515735 +888122186 +918781058 +633050830 +1554883922 +155571568 +1318980652 +909143638 +935205798 +2061955672 +877149968 +1041314885 +1198224188 +740691708 +1478389451 +1517252733 +1216042590 +559423260 +822170660 +670688269 +608437173 +421523716 +1179006017 +910807122 +1141413364 +2055326339 +238253332 +970881700 +123160760 +759161475 +738215288 +43192847 +1647283661 +1656996346 +676243677 +1054683935 +1812567915 +1995224329 +1963827574 +600290065 +1909696354 +693493894 +1641604951 +960436894 +1434185602 +972510754 +330205979 +502744545 +1531934014 +1152376640 +1173432814 +2140371187 +1573900356 +204955183 +903694662 +567830072 +112797875 +1141947994 +1538711773 +235958635 +1901109469 +129443413 +279151483 +1400909483 +1786439759 +955395160 +308109770 +1451524026 +803135842 +124453696 +2051814092 +565348548 +817947590 +1545935395 +1525785442 +104649545 +370962501 +1855991421 +607394090 +1902896515 +860884413 +1780826904 +1895784054 +287301122 +1985782087 +651995068 +855131194 +2098579962 +1793943063 +246359319 +187054950 +1547568884 +375802732 +466206433 +800994719 +14758844 +1421601593 +1109104490 +1466282870 +77253787 +1233558186 +1370613314 +642602335 +2051505777 +769065061 +20904129 +8671674 +1140027562 +1876895551 +616065764 +895440429 +590296316 +249409020 +643740836 +877597438 +87707459 +1295735904 +1732728633 +38803774 +942195319 +1979087952 +225858724 +342280556 +207407037 +692065157 +1143275275 +222165881 +2113666750 +104896117 +1688448751 +43436890 +1338454304 +911578418 +686039225 +1242476433 +1680643479 +706943355 +1251148107 +673187394 +436355258 +1867213871 +1568627823 +1026651574 +2116622891 +64885011 +1904249013 +56846702 +1360620916 +1489493998 +95650476 +155332587 +1321098302 +321509200 +497613143 +1528505339 +1013574357 +1640888419 +1750671220 +979757460 +1745784536 +1291636324 +1023194350 +936755192 +55731094 +1709233575 +31747977 +1736374573 +268693282 +1282896084 +262078319 +705048540 +1002626307 +1830706143 +1731700115 +971765550 +1895591154 +1488465480 +1028612253 +1108728422 +830475830 +1124262729 +1264061010 +4090484 +1445771930 +1761674153 +1532595824 +311862639 +1255078924 +1135783396 +1291620099 +853379813 +279936072 +167330801 +1790135005 +335667166 +1876564377 +1821882983 +2072041740 +2145257659 +957295419 +186636411 +702822552 +1959921727 +2017342554 +287039019 +784203629 +1765450061 +1775504499 +1812815882 +726694835 +458496681 +789594964 +1990755845 +462587165 +87883246 +1604946351 +1995182989 +399745885 +712541627 +983482738 +1691365985 +1565921440 +1263418810 +1858696786 +1208572798 +1599085977 +1587777515 +882972133 +1523644069 +1585551527 +1840267552 +1710280480 +140890431 +1652705631 +1580139387 +427929450 +289425613 +1198105800 +55950301 +2102241495 +1924800635 +514446982 +744352811 +1768072833 +977034147 +832236057 +1225535536 +824733489 +1231981943 +1938077163 +1808216227 +775864280 +1356514956 +924151389 +487077418 +417604106 +375753718 +2074854934 +1300576239 +1899397787 +1512922813 +993360143 +1462194620 +1653813244 +498582127 +894850359 +2081742694 +788007740 +2092956159 +2137692995 +742765587 +1870273146 +504656329 +1487118399 +1490862331 +1481690476 +171870808 +568914219 +158940317 +1403852751 +359507735 +1967156544 +32233383 +1716022691 +743824286 +519310802 +2133626797 +1119578004 +446682088 +1286719388 +871492144 +1959604901 +132595883 +186203116 +1465934497 +631178010 +1081053475 +1400193543 +1419185750 +1026525986 +1390402890 +14467690 +749315484 +1895059219 +1501586089 +92694168 +1229266047 +1673456897 +661608387 +1388206365 +929826001 +1021116122 +1207879261 +962059384 +589655165 +1951703547 +1481370186 +575798314 +923797904 +1928052274 +1862517702 +1795290048 +1740173527 +1995113586 +1981493164 +1058624376 +478807948 +915062991 +311334271 +1897993699 +1941588977 +1701737161 +1912461389 +543420813 +1449312732 +1266563830 +636114981 +531095132 +792537079 +1297723369 +1919301497 +1722363080 +171355843 +979697110 +536938817 +761011009 +783917010 +2018309003 +1336809323 +1707714914 +1798877630 +1051843378 +1355521314 +1391567509 +899473316 +1189530830 +302708238 +1378281264 +2104593821 +614042509 +1128791315 +1898699150 +168296023 +893769056 +294636315 +1617608755 +12849238 +930751297 +1220239 +805386318 +80991018 +1920521736 +380265750 +252346861 +752735199 +917204567 +1013357870 +1536652209 +788029923 +202683546 +1096883475 +439423905 +1254526924 +304921141 +1830991414 +6516592 +1494451971 +2133699652 +1384797856 +1451562144 +600258514 +366105524 +1202777646 +768554537 +1259874580 +1497413961 +238679644 +1272723819 +280681610 +239899884 +2078110137 +361672628 +12937972 +310892239 +614019490 +765673171 +1228096807 +1627377360 +154841732 +2016126730 +1830060906 +1251725207 +308066987 +937104182 +1556646348 +2139058401 +943620774 +903614671 +2125274406 +180934983 +207693167 +578049272 +547040507 +1410470813 +1346603809 +1806915087 +760401127 +1585283453 +932155258 +1041082737 +1825183337 +862781747 +1402755366 +1838121310 +1173673987 +2016774856 +456310833 +254287146 +1496668568 +611152566 +122930228 +1179245827 +1862877773 +430997215 +2116350009 +1272040474 +422571968 +912487136 +28171497 +400362726 +1093422119 +235864665 +978411998 +1640462626 +1646335478 +177532159 +1299894065 +259252957 +1762815613 +84565676 +1300335695 +1440515302 +947347423 +555607413 +1131152964 +2121021410 +424898621 +1587463798 +227824908 +1921567189 +51132716 +350755136 +953329368 +1914010489 +781752351 +922195730 +1038567315 +1204324320 +1834682866 +1066738813 +1604687046 +780621337 +1302603478 +435615397 +273600315 +801455308 +613147556 +1573494380 +1060708266 +228479521 +1658060056 +213560313 +1668994824 +457923832 +769167726 +652664140 +431461594 +1194066347 +92644290 +659286503 +968149888 +143777006 +1010041639 +1921479257 +2057787496 +1791793991 +696191339 +948871163 +848634663 +383390557 +2015609976 +305838061 +1164011894 +1170729806 +741453458 +1437612209 +1972185115 +1354601015 +863622941 +885409733 +1583080536 +374199350 +1098970046 +1104591712 +832123182 +1868137772 +1757255853 +1263584776 +914720471 +1849900143 +1922871279 +1882870359 +1993677150 +785429271 +1656865968 +1903980998 +429739614 +205573659 +705368513 +1278374277 +588964216 +573494842 +1584212338 +1752976110 +1744224648 +178182149 +1043104671 +1568926115 +1532783164 +1906727613 +306852200 +968380052 +133443315 +1405822246 +2072971765 +965566497 +1126476370 +1682743970 +81667625 +2041196841 +1385160465 +2004538905 +1776583553 +1231353967 +642484528 +1285965873 +987851317 +1072224142 +1491539533 +1693219831 +203114771 +2080503749 +119231025 +1787327109 +1685996212 +1863455673 +1965509258 +581617235 +1284898141 +1350808774 +340861200 +1591750341 +171705179 +474304515 +850088940 +97193296 +1439871012 +1976565310 +1779937266 +1521538638 +1870278504 +1017614083 +1378593895 +1499378409 +101484403 +2021078423 +637860634 +1089335720 +945818917 +2129400167 +635071903 +1148933688 +2062420269 +754302928 +788777149 +1600932833 +470274954 +606802760 +35066420 +1755173095 +1957611534 +375927621 +1199439788 +2129316713 +850232136 +2049528728 +79026361 +142619501 +1878610391 +1858963627 +1664158139 +1601405247 +729094063 +895268386 +953300008 +830578466 +768863161 +1591160642 +1919914186 +1714682078 +1573077162 +407502442 +716132118 +1488013783 +1161805370 +1504909267 +941462968 +1632080324 +2111712027 +976529388 +1239769771 +1921839914 +1352457009 +291725912 +1903672979 +55205498 +193770992 +1982699341 +197824999 +2072381383 +1694179320 +1861983138 +1526302982 +275789735 +609767876 +332119342 +1106368201 +1378631037 +1923279985 +878798740 +945829467 +1348873499 +1286301182 +1661961585 +689403634 +300622904 +1019387204 +1630866602 +1932703229 +983615584 +459912342 +1024989352 +757971850 +1812369352 +1316715264 +514161181 +1867574850 +1510486257 +349376874 +2065399849 +1435383992 +2043556195 +1779899339 +814203327 +171862282 +242183567 +1146322669 +1278230484 +1620814604 +922119006 +9545576 +419160423 +123508857 +1295846758 +2081122008 +812912491 +1596469662 +953025564 +296295445 +1381689243 +1936641148 +756207788 +259194948 +547129350 +421093492 +1575910212 +1061290532 +141184694 +938912821 +1410667406 +59100895 +226813166 +1306739953 +1839000234 +1041016493 +1478602236 +2081183801 +39855514 +609349072 +1554514757 +961974521 +618894648 +1973675180 +1085483378 +1914741406 +1907313540 +1898395870 +1363727420 +712855456 +47207667 +597933016 +502012957 +803415455 +857127964 +1049142307 +1224508947 +285554528 +2110432839 +1365693641 +1224467350 +1373616598 +1424794536 +1451280516 +532872903 +1116311122 +344813361 +2011475139 +1050011275 +384668875 +473340563 +457042384 +1346643396 +1092235211 +283233916 +284643127 +859492969 +43063808 +35555349 +75736742 +755919265 +82763016 +673669758 +1257932222 +886178472 +1530797722 +159590881 +2110687419 +1816352250 +122540073 +1328897413 +893335952 +1496156671 +606208301 +197132820 +2029029574 +1722519424 +541946181 +1893021066 +625047051 +926615057 +218877981 +1082089436 +125774805 +1311113193 +1365323352 +410417932 +23122514 +1408387161 +445973281 +98859256 +16822778 +528736298 +772529014 +1274755000 +1414914770 +155843088 +1434345881 +1378118541 +1972195339 +1556885954 +559532306 +718047643 +905558977 +1165740608 +915180464 +787104904 +740776384 +1457126645 +532642322 +1365823435 +236258054 +751520303 +300429223 +362032860 +2062633496 +1665752576 +772450792 +2085756011 +926656089 +1218424074 +37131619 +943478867 +1747160372 +809660634 +70750219 +1014591494 +965503722 +1505096100 +245226387 +790215413 +914498407 +804758694 +1508263057 +1820057384 +1970499302 +275959873 +459678640 +563792038 +1733086518 +992320962 +1929615473 +1969344573 +1743841266 +82561049 +183893785 +1658991114 +1748313625 +956344577 +1597263477 +527486066 +27285003 +1634395097 +1470964933 +1774445375 +296572083 +1541715152 +641553221 +1262075805 +899327604 +886779609 +2052291219 +1813826011 +1691538303 +1413070628 +1486399748 +1514553957 +1689030501 +1946078388 +2078345995 +1274633371 +790915703 +1860477820 +1096494296 +387273321 +1943038869 +1280388081 +2046264435 +1543868846 +89249011 +1496044265 +2071354912 +116534014 +982955714 +1394836197 +1890979390 +1279527797 +789067701 +385048963 +394119954 +1688395306 +1271828572 +298927525 +1354737669 +815883227 +1711998153 +693653769 +182953536 +1253545006 +492248510 +113815883 +380694730 +1283164213 +1974293704 +1477189026 +1670437534 +1769848925 +610093460 +1569218321 +1166234124 +699342471 +917778938 +1090105388 +815876485 +1900734652 +337457938 +559372227 +1032778801 +1126525639 +944421191 +1426898756 +667437297 +68766115 +1725826281 +2022174967 +884649343 +1290340787 +568345088 +1067602879 +396402145 +1060593598 +1181418763 +777096875 +196274163 +1008228819 +106802254 +1866711697 +630594096 +716895714 +1288446371 +1796828220 +1416238185 +58741661 +739449961 +84631022 +1959476314 +1076907899 +644003250 +844771467 +55949890 +1588424441 +124186575 +723387188 +1657190556 +1850012857 +598078507 +394356251 +992869996 +1166423595 +1461959131 +1389272141 +79533546 +495894246 +18885369 +275807709 +1504123065 +125687623 +2142519407 +2134717161 +842583337 +1283482130 +1784061734 +111337874 +1342223791 +376028047 +195968896 +1154216457 +1452935946 +839972146 +1998987925 +1508885836 +280912939 +2123174500 +84789376 +1938103496 +1825703709 +682867883 +184976099 +671090057 +1849291479 +1646935230 +2060362199 +1928825025 +2142829476 +2079247568 +57149086 +1499468893 +57451543 +52184845 +1486702407 +900034880 +1335666975 +1123280493 +1011372754 +530407119 +1499308540 +1207341650 +1684623576 +804760838 +2047313797 +1536127853 +166163026 +180743088 +1511818706 +250952403 +2118846584 +1190038767 +933820286 +156339036 +1861128825 +635628117 +1803274266 +1774007376 +416969494 +1798620095 +1705771296 +474118581 +1150605340 +1763222839 +526303426 +489824099 +515774071 +1861970402 +1613104592 +1527146825 +244893873 +964929484 +587004827 +1929517449 +1769690322 +486834976 +1318161655 +1935853349 +667578065 +682496713 +39322104 +638941001 +1872535480 +973142390 +795280037 +1586180657 +1608770508 +451070656 +1212704385 +2025740002 +102207103 +770992033 +352374935 +1252812443 +386731224 +878678362 +1742636543 +902505295 +593165116 +1208257487 +282168472 +838058989 +25703324 +869173300 +620092790 +1795393646 +1356008276 +1938254445 +1583763347 +2023586341 +473267510 +1623085451 +515043695 +198319343 +448744194 +1310323732 +1784500000 +2057514702 +1761394388 +849720738 +1935771056 +1863601491 +1620712771 +140662344 +968930287 +2007443996 +1019340706 +564083182 +762465643 +1612505822 +1772340669 +1044634116 +303081163 +1798043993 +1913807416 +923173953 +1445953992 +1122332044 +713944751 +882233691 +998434738 +1187212261 +357835495 +1513478433 +1385531604 +806579689 +676318517 +1022547957 +716610743 +290229258 +1872268695 +504898151 +6347101 +1345497818 +645560495 +975277388 +1205458166 +1664901201 +1539360570 +1967923810 +1129923375 +1164217592 +865074278 +1433004538 +814777937 +631398046 +208694844 +113248281 +1753730090 +922639595 +995481973 +604681180 +2109851856 +1353317468 +2118159613 +1347899813 +12413509 +646994483 +222964122 +729024252 +937223741 +2095232817 +1233922403 +943570842 +1293246987 +1879482899 +1918848231 +351221506 +1396900452 +1310725153 +171661668 +379340180 +327459097 +1036735946 +1812344718 +1142237035 +1668133992 +2021039562 +1255485316 +1274380434 +796195509 +103483641 +1879061615 +758563718 +1456801109 +1849737580 +2106463531 +1469214618 +349248415 +181944005 +50755222 +1286472156 +129693174 +1284677626 +82559351 +1422940161 +1016676877 +2001407582 +1774161667 +266093681 +1164649087 +1945823335 +645433861 +1492108185 +835075633 +310294932 +486861572 +355725977 +183850846 +1742346888 +1630106412 +980046356 +1845830530 +1361684379 +1738610074 +1155147991 +1063938311 +1697589957 +476878962 +1413186727 +1879533962 +527634184 +552175235 +2009227136 +1812311810 +634734586 +1284683649 +681505039 +488658520 +911361669 +947598721 +1653307608 +709701356 +1593032582 +997932145 +1544776990 +1903327514 +1484793717 +1900502967 +2087178361 +1079656957 +1383125731 +919741069 +778003839 +597326462 +510867495 +1933151831 +1661264774 +60973804 +262547145 +926967853 +1940507766 +790181329 +1479143088 +1802251254 +455009492 +2113877675 +939451255 +1136514531 +455052547 +1850812924 +2084113252 +2108360155 +413030633 +1529662187 +958808652 +1957807623 +1285506053 +296118721 +1710826942 +1225200766 +1375775679 +946469026 +2144941835 +6295870 +1543795488 +508325682 +1939447701 +1057576614 +569299486 +54511198 +1984544467 +362323604 +844692528 +1316203908 +17091210 +1299702020 +1282597935 +956542466 +288732903 +1737650482 +659871742 +225362508 +1698526990 +1072902375 +1755024695 +509851994 +883226350 +893047100 +805970716 +446569645 +2118247867 +34262747 +1393038671 +2115706054 +40558617 +789350511 +476548089 +1980006319 +1846927126 +1045847575 +2034517517 +1683987945 +1408171180 +731726397 +852708205 +1425262390 +2031428417 +2135306140 +234321208 +172677673 +1725472975 +894192951 +398040181 +1276516317 +1967095326 +5581228 +1786368311 +702838029 +898628328 +444855379 +1149407674 +869392547 +479118126 +394962697 +837614954 +519676744 +1184313208 +1314163043 +352199415 +883756686 +212526970 +239233284 +420260984 +1620698150 +970959682 +1272969189 +898476893 +854904451 +1260791682 +1132798101 +1027582124 +838781009 +2026991052 +1425622305 +2115297326 +1846602731 +1431203533 +1754181989 +401957112 +182348214 +51553721 +1551364786 +1051740761 +530671847 +1946327483 +1889355715 +1050348591 +983157043 +1056035110 +1402548006 +1866913730 +1268562081 +1641781291 +139691066 +741776583 +465257325 +1412660255 +1640253476 +1320161776 +525968289 +625567930 +200260253 +1364749298 +505075334 +1625882558 +1332562976 +204194417 +909602444 +939261318 +606151529 +1091950658 +990815039 +10032667 +2143691419 +1521486886 +1956360150 +1885563487 +424351830 +792033546 +794114949 +1826899836 +511463628 +2062677030 +1321197479 +651154694 +656969966 +1786454804 +2063814949 +149739794 +959132933 +442299591 +775307724 +1159393186 +1807048889 +1280383059 +637792096 +992128218 +1484577476 +1547394540 +1931389536 +2090729006 +491861550 +774720927 +2100761673 +488069322 +148724165 +1909638176 +226149161 +573075995 +554188074 +1020264110 +252492184 +1065651702 +935457493 +1573689663 +1716806396 +1592427459 +1212660820 +1633137697 +1742167253 +24310105 +2075437288 +369991330 +1183703291 +1735002530 +1650374389 +1821495387 +579647100 +987468217 +1221406280 +363552988 +930713575 +1713267830 +1138273915 +883991601 +53853504 +1286998080 +646146129 +280002665 +1860074076 +1200334203 +1300266776 +2112566260 +118502257 +88240621 +1538772275 +1835308653 +1680668080 +603949447 +1320962702 +1275351685 +628259552 +1248916343 +1645343015 +1811962843 +836435225 +1148233756 +1485974583 +1416082325 +2135701974 +559897215 +1779635313 +918931901 +125681397 +770425580 +1802923502 +179534902 +2057423660 +301585983 +459537567 +1770014088 +1501920186 +1759804343 +1735096700 +1620422443 +1848044964 +1126385328 +1308247448 +1381229396 +1730334775 +481726503 +509097434 +211110680 +1730642846 +6956801 +2023073523 +419594423 +1155190558 +1361564458 +1835676748 +1143408884 +1921461673 +1467828413 +2062340785 +2047143071 +90770345 +1717780640 +79194325 +710357 +2019366623 +538731892 +1770724446 +1373803162 +151052588 +1358337498 +846741957 +1999097552 +337239178 +7505758 +1232843301 +2067573954 +489232261 +1741940735 +131200986 +72391459 +1748897536 +6790861 +491985882 +756604446 +1368355320 +180178982 +1900013330 +1142333345 +1648007395 +1814870468 +1041992768 +1738777740 +1385167460 +1121187093 +1739488097 +1257050435 +1659918986 +1362728895 +483369949 +1810971574 +573582746 +1330111907 +1662585478 +910821924 +1337617665 +747945131 +830912230 +1826849926 +342402218 +962113216 +1899241385 +2091299755 +968904078 +243743619 +700420553 +189775750 +423922601 +452950236 +1332109095 +2071929996 +120337056 +226618216 +1663224088 +1505504516 +1347805309 +1255228537 +615071303 +860240647 +470473785 +1098441253 +523728573 +1044056531 +281069512 +38830404 +1954878455 +1618687177 +786775535 +638307038 +1298053455 +1129177754 +1600420254 +1049811192 +1072993861 +421840684 +1293554811 +1773414414 +611616434 +1717477412 +78881002 +1943725530 +1641923760 +199218058 +22860098 +1157664200 +1704722574 +1370665407 +265409089 +172310230 +83422407 +735882874 +1270751483 +607150980 +1779939405 +1551820995 +645981384 +1587334213 +1023024524 +1432756920 +78157603 +173594331 +414451026 +1678577857 +1223405523 +1487444887 +2100418542 +369476686 +1113375653 +564551328 +2086954098 +1192256656 +360793210 +1581394210 +1391474714 +383653308 +591574762 +948713641 +1754318716 +856983851 +1121023871 +1837741123 +1592866726 +244291706 +297408455 +1225322483 +1796112701 +943389840 +665173048 +671653577 +228663112 +743330651 +845247908 +643114138 +274424861 +2068653431 +2130559025 +227359755 +290646469 +1096451030 +791911083 +230116919 +141224038 +1152704294 +1811511129 +1532698753 +1536357602 +255602243 +333928746 +1143192670 +1112586094 +1454952617 +833450145 +557969172 +1699244323 +1130858601 +1783291656 +1347873376 +2074248441 +300981056 +2019526953 +155427905 +1044311708 +717291213 +798542043 +1318736569 +638460996 +781617420 +1546096324 +929107465 +1878068450 +190523759 +1159224384 +2019292489 +1343228053 +823251865 +1404507594 +732102008 +1078854108 +1738436340 +1875294678 +43956554 +1045905309 +561261176 +601925727 +597665984 +1692119777 +237733735 +1945539360 +1618884570 +538714791 +1817582665 +1774312475 +1583026499 +387390230 +425370870 +754279420 +1025851226 +1206988290 +152892096 +1954958691 +937573092 +343415856 +966699427 +809381933 +1686643909 +1789951292 +66405879 +271262269 +721321752 +1804842219 +2146556948 +765278306 +703263880 +560334476 +1367204033 +1300929864 +104970605 +1604937768 +1098985576 +1723855175 +2143652560 +769084593 +1350684002 +1579195411 +1156474823 +1776054872 +185991184 +34842401 +835559514 +338883280 +1989801092 +1773132606 +682299136 +809016871 +435030892 +221459398 +451484515 +501436771 +492721667 +1172806267 +158795343 +491794967 +1938084574 +862059223 +1052129443 +1157804959 +15505440 +1157100048 +615259080 +1114491016 +733471575 +611427992 +1883575610 +2084155577 +43139755 +892566785 +1712726801 +229130939 +927409187 +400802667 +568014220 +769726631 +26451626 +1250313356 +1578743503 +461482518 +1471772754 +2030228018 +962919289 +1964494422 +1055550638 +1121714632 +308805741 +846151564 +1983773856 +1360935185 +2003956523 +1999279296 +370551585 +471731955 +966286664 +1104023161 +1083159947 +702378626 +1040695090 +1126299703 +1594945412 +605938244 +1355430642 +374870951 +1006740911 +1923444862 +1144597582 +1033192537 +1026274571 +575857437 +1494675055 +350563677 +458601808 +310110697 +167574451 +1514152446 +1431825329 +476380193 +212820362 +1268115537 +1837315378 +69293237 +1119911185 +60383315 +541025193 +2086197850 +1164406476 +1624185140 +641092828 +57617919 +603001195 +88554592 +663556163 +1958431838 +463425543 +1670297074 +1734393052 +1608023126 +556005964 +613183975 +36396915 +2050681019 +963747653 +494998723 +213308068 +1131322104 +2009151169 +1645133398 +1607702297 +74487883 +765765287 +1297534027 +143781121 +1885676473 +1357917343 +684806314 +1824390675 +374840171 +161507806 +317999855 +432458090 +764509002 +406554448 +1096014253 +575457192 +869979991 +618827680 +162366596 +330519469 +1174833644 +775550572 +366916385 +1078031015 +1739298225 +861915108 +1291339084 +723136681 +723582630 +788988834 +183355331 +798070513 +1554754121 +1480889358 +941851634 +1292946946 +691323053 +1626657948 +969853973 +1066163225 +1788165755 +1287853829 +1498621315 +405191109 +1694408277 +447151921 +980648301 +416904620 +1065979601 +1143014897 +747424090 +93329597 +1918565469 +1114340475 +1171360612 +1510380046 +1976255583 +315216048 +86033080 +552354565 +1104204882 +269388411 +1350425079 +511475356 +1750277769 +144793065 +1804422302 +294117175 +1771451014 +626792628 +1360280400 +1412133121 +1914646457 +711418067 +1817324230 +1461571086 +1158569988 +650488883 +1878475706 +77065941 +1793503780 +478416148 +170395538 +1564585602 +1592756623 +1341756151 +927482000 +1421528559 +1656972199 +1013515080 +1973883124 +613693434 +1282903491 +1176824555 +1125168790 +885697613 +1321617621 +782107444 +1179814788 +945584987 +1408900072 +392611540 +210234460 +1176062881 +1104029607 +2027558690 +490150319 +115115948 +530563925 +221142378 +192181889 +176584057 +699558526 +362577428 +1741169659 +144831502 +1704333579 +521168012 +1566360061 +1213822130 +1534683092 +1392759537 +1827515564 +670102936 +422100445 +805200706 +1555800549 +1743718066 +1587308151 +588131689 +541819405 +848724575 +980743229 +752053865 +2024787457 +2084772836 +632128907 +367454128 +52405136 +1162692832 +588596506 +244587026 +1339276889 +1288155033 +607164454 +932962901 +1432986535 +164014385 +1454130913 +851862948 +1377836515 +841330357 +97138837 +1057868432 +1511433293 +519239282 +1863069138 +919750194 +115473700 +1302893641 +1507881883 +657293105 +4134569 +341141464 +1409346970 +2028922026 +278430653 +2041475877 +248892506 +330835789 +1056685061 +837489013 +575422815 +248478303 +2125644046 +1182587269 +1181441204 +1411146933 +1346601654 +488088469 +115526233 +576954522 +1329418826 +212665070 +1634822954 +693368472 +731904353 +1350408444 +1613118666 +847378053 +505818438 +973516902 +1504671159 +509953007 +1314658366 +766534481 +391391385 +1593089019 +660526711 +640283891 +1923924809 +1717211772 +1477772904 +351863976 +1965690075 +1455933302 +1534451246 +999647631 +719596587 +733569252 +1487736100 +835122820 +1310523774 +669671279 +1047787891 +797863080 +1363039751 +1779692244 +787877 +828674769 +479586649 +506606315 +1802191671 +1984257808 +1016559322 +969366390 +603308642 +1407950707 +414971761 +1263835353 +2048234598 +191412922 +833563477 +1378523855 +543276899 +651769905 +686973509 +2077728145 +1651417536 +1406570097 +663813749 +991669989 +94209269 +1974337524 +1661341268 +1141997160 +624716956 +876897371 +774205756 +625504833 +1705572140 +1253792406 +1132111148 +1360280164 +1090566566 +1186822 +182162906 +1693875208 +1409137529 +597134667 +810226913 +1309888480 +788547590 +1643790391 +540928687 +1331824489 +148076648 +1227902196 +1262068986 +1799494184 +486988645 +1925882735 +643680525 +581197915 +1752736611 +157538145 +1723195075 +229969920 +1034435516 +349917184 +855474753 +592524009 +1603709590 +1987585902 +1952804173 +546792508 +1988772724 +2134967079 +93184069 +1250426606 +584618098 +903410982 +412831438 +1373165688 +399717725 +953760125 +557506529 +547794373 +34178673 +1819575515 +199804910 +521167319 +1597974603 +843485435 +1102365234 +1203227566 +1001023581 +678076661 +1433197486 +2035459097 +1027993845 +141188592 +480499458 +484219787 +2128774494 +285819983 +1031012296 +1970063570 +273303414 +1124196365 +1073006528 +857921513 +2027607347 +1485837966 +83603553 +279841425 +292114443 +641110083 +827635798 +326293117 +313201950 +1027440708 +847460436 +1911176553 +1870926144 +1949825670 +966920472 +724466077 +480418683 +252634310 +612441526 +1508412529 +393822902 +1092940985 +1992632316 +375113748 +1378760968 +876160964 +197693671 +1652064383 +2000357329 +1270700199 +362502248 +1880481029 +609054518 +446105801 +12838806 +901168961 +1087215884 +840474604 +1227462078 +1400417835 +1867915313 +2074922514 +1164110740 +1591357809 +1877264536 +2131031212 +168340238 +210199572 +236181875 +780781764 +1718612101 +630004777 +1873722749 +1563760769 +1005118526 +1105000070 +292438086 +1202812197 +609580805 +145311767 +326028748 +972083053 +2025792796 +935083266 +1418188854 +2038631602 +1836252228 +357921091 +731622559 +916230658 +1758338926 +452054224 +843669525 +774966018 +2043412033 +573450413 +758513583 +64268623 +783649985 +994695458 +845050387 +354778438 +1624700235 +571289489 +1918539208 +482335113 +1676289559 +63493646 +1685147310 +138386716 +208805413 +2011176059 +1110469769 +87114562 +798775677 +381174975 +2125746164 +487544257 +739096066 +709885075 +1403774916 +349951344 +1161939299 +99960793 +1124917363 +1057867684 +673411206 +1883430946 +1122136307 +1457061192 +730642756 +1967186695 +1811839630 +207859343 +390992536 +1582895190 +690194457 +2067282095 +1646388836 +227858119 +58185163 +1855194250 +91550530 +1168654932 +1942308812 +890326208 +1549829907 +1920571328 +1377870465 +141442326 +482972756 +634161733 +491393670 +1644912055 +734122526 +1616311033 +555296092 +1407533733 +1352258331 +1677432399 +717111277 +2082901087 +1497135446 +381467259 +143276783 +1888127982 +1964362450 +833471240 +1807926429 +1463267638 +1061329359 +1866111592 +1170978240 +1152879890 +887282876 +965803404 +2043206098 +289629136 +738891085 +1273592915 +431071462 +1221863841 +1907754649 +922465132 +719292248 +494393527 +391292518 +1274588340 +1901927260 +1743550849 +804537092 +471554889 +1678968289 +154188890 +853022149 +1822245072 +2042316873 +669900951 +508232664 +1702759654 +2133168589 +1569562023 +1421387599 +1156663182 +574958265 +161186827 +2122466586 +470680715 +450815963 +713874023 +1744273631 +881887425 +1935737864 +1504544632 +1804352558 +507546465 +1998938159 +48161428 +1782134805 +1753381772 +1791712277 +439188249 +77453013 +1323196918 +593377140 +930475162 +997958342 +488210365 +1600376113 +1506191006 +43486371 +1586061055 +928269382 +1464873970 +595240589 +1503227647 +1626060798 +570223527 +1973908363 +2076876761 +1284097551 +1570698346 +811280539 +1072351767 +927759330 +468149449 +1579898232 +779213841 +516310877 +1214549390 +385111965 +160539506 +1653737639 +462564979 +1483736425 +99631131 +1393040141 +334211119 +587841496 +845932607 +1840402126 +631327868 +284510014 +621187860 +2096201838 +879750603 +2124415507 +1574778988 +1449974130 +1950840222 +1504172102 +586588033 +1374054920 +167968993 +1658939801 +154330602 +636118442 +1091354385 +933544444 +1152429319 +158420127 +1318656409 +1312968825 +1812157767 +1781221388 +649221602 +1911788898 +1026777882 +983432722 +352146747 +1872710489 +676351200 +983474615 +9736855 +1297539060 +932192805 +889487458 +1274470919 +359488146 +191977940 +1077827494 +1863660248 +778565974 +304398766 +2031629241 +290022127 +458729369 +520264035 +1381376512 +1392273813 +1672693354 +1539796640 +563446574 +838178531 +1204470759 +197184315 +1487400134 +968776009 +1223962197 +323349208 +1320922756 +949189038 +999700408 +156913723 +958925893 +149755820 +1089106529 +1848413351 +1424226739 +1448594675 +2040391291 +354570585 +1164771275 +671473617 +658969352 +1048916868 +961495744 +1117698721 +1569180903 +195388609 +362488886 +1094390609 +1735185249 +925935460 +1932569140 +792172360 +1123119775 +1272485626 +1760948369 +199598324 +1595834834 +934387478 +1148787362 +448051594 +1091301201 +2107713255 +597807414 +32924082 +1808642958 +2022034154 +1481518757 +1701550602 +229121091 +498806384 +225540571 +888090443 +1547723252 +1187036316 +2005789164 +969420507 +1382424925 +220794402 +2063811116 +970126526 +1146729863 +1848896609 +1762298886 +122365990 +973898587 +1375763607 +321964315 +422249774 +162667437 +1470751677 +870301368 +1253968639 +1430981285 +1468108783 +1286892721 +1092140595 +1342659289 +620927831 +646207549 +1571780380 +1119734215 +871748121 +312387176 +519973820 +2058784437 +170692692 +1489394327 +1293725714 +391487095 +1405721796 +116368592 +1538216958 +1107134757 +1878667478 +1660582948 +2081033344 +1106947437 +1982547263 +355799470 +1269614875 +1305815293 +1226100839 +376099866 +589312930 +546725974 +1662992587 +1681453525 +1889385263 +136436770 +180177427 +1313681995 +1256170986 +1051925548 +1626069171 +1776144806 +963226337 +1796761864 +1118055485 +109468403 +40765311 +376293633 +225836995 +1578982269 +1483428390 +2104504473 +1092081569 +1416978087 +1063968262 +927145185 +1772777557 +186099489 +85476830 +851394748 +562199355 +674789760 +1398120722 +77708295 +208759637 +1140022337 +214145065 +388937064 +306220685 +1470316051 +1440862612 +1932289856 +1098977209 +256605301 +1581568072 +69549047 +366073704 +1622333383 +445842680 +591910699 +1053832004 +1929271071 +548931524 +2145913574 +1198765510 +1612899787 +925575111 +824059419 +1798999276 +1011051941 +1675454168 +213714984 +1685841701 +926091242 +291423279 +1894601338 +2066113580 +505568344 +136054755 +224850617 +1975884396 +1576917367 +9656825 +927377957 +1833522669 +1591224898 +996927004 +52112725 +1066074633 +1442769685 +644023425 +2119906638 +1224557108 +1192954949 +2118336564 +275838970 +658371088 +896428027 +1099898389 +309886717 +1907479968 +627868909 +523601701 +1445838021 +1553960152 +815024980 +1192955711 +1472590084 +1320593324 +1329010466 +1697440701 +1148994072 +758444186 +1707097526 +2076372030 +444483207 +1150838776 +925815386 +496595932 +69429762 +221101423 +1140619357 +41852752 +1445658531 +186090659 +12705668 +1721497501 +844461747 +909133695 +673912243 +1154348464 +669130015 +1301781152 +1677950165 +2114968036 +708257656 +345491497 +1160440099 +33364092 +1666084822 +341966918 +1730804793 +667595246 +1100411104 +1290418672 +596483628 +1544894311 +293773800 +1522299015 +2041490243 +363203562 +1743400438 +1034625953 +405056314 +1041575322 +1220716612 +417761982 +615589175 +2065178359 +1326895677 +1289501418 +1072043176 +1996025692 +443798923 +602509693 +1963510080 +1152056579 +948001191 +976466532 +1185420672 +466602365 +1318433450 +768741817 +1134197611 +271360906 +2059160489 +1730681240 +1816255217 +205450642 +1105496607 +1710261812 +568654204 +701413397 +597404117 +973710519 +1742988719 +1818120729 +1391472501 +211094247 +1735815441 +570884531 +1500595665 +660374969 +419426575 +1944394588 +1262884662 +235453008 +948967520 +63402205 +1211919540 +2134388192 +530004570 +382869342 +755646361 +1664202182 +654230248 +667323203 +1247399774 +323001817 +872773845 +205412733 +2033263629 +1441428049 +906826130 +483184099 +267654920 +502331202 +153821180 +1659127422 +713425449 +1889636621 +82528305 +66537466 +402527942 +501954880 +2010932055 +1665412605 +737407888 +812415927 +1728814810 +1949327428 +799320471 +111335733 +184713122 +1554966832 +1775537915 +838943370 +74806387 +875454041 +1161945187 +947580232 +1080866774 +1047725169 +241524634 +1987692904 +1530909268 +509179554 +342540458 +1684730448 +20823328 +1055965907 +1426883422 +103351633 +1122503374 +1829411364 +605306514 +985951781 +1347340321 +1342714402 +1798367708 +928671484 +1144558183 +450204531 +1040007217 +1329271305 +2005171363 +668061484 +20731028 +2079977751 +1543515525 +1182676215 +880074335 +476898651 +82917736 +1121598969 +317107907 +1613827004 +1630778524 +659648366 +1151073805 +1651601852 +1715614273 +430473579 +1754953486 +690633999 +112401295 +212776352 +1676585780 +1459741617 +1555490754 +1327469840 +240929453 +552565289 +1777674371 +1280936670 +1881836595 +1635362087 +1948998154 +1902567623 +1567856190 +1345030031 +937760190 +300446877 +1821928682 +1020677927 +1422045847 +2139036589 +487021283 +905340723 +651201307 +1638095088 +409458927 +219331933 +2068568667 +16928765 +909965932 +33486315 +229705117 +439068065 +1493227932 +1785195872 +1766537905 +1734157385 +190277513 +1396728629 +867610407 +2072114108 +884607068 +669124913 +1827198083 +304979610 +2014154944 +617474626 +605426487 +1688599978 +1638152553 +2027472334 +1680152919 +2125173836 +785329409 +183870579 +1615785277 +1194788337 +403202512 +1536870296 +1211717102 +1313168444 +1570356611 +1441422220 +1752236509 +916100895 +1079134444 +1371290767 +502774632 +1269411957 +620535748 +1370385039 +1194042418 +1505142816 +2039509952 +873756853 +1810122426 +1906181248 +1491231479 +268065265 +1447297578 +981900384 +148053952 +979966850 +959590573 +933383361 +1163837429 +427892202 +2128171698 +1567039941 +1964762498 +1192405153 +732724737 +1387635462 +486343725 +337477599 +156252709 +1565478169 +1708768366 +659027342 +687406478 +181820466 +2029412381 +1881448896 +1686963282 +1921438686 +607722102 +1349602060 +1680136286 +2098953581 +1617667325 +979950217 +933370318 +1765721277 +1959917067 +1892960891 +551620991 +976270848 +173369445 +532309041 +395827141 +2138131943 +1724714194 +1128551878 +1378283757 +63574271 +1466029477 +1534536467 +1629052440 +1027314195 +46080161 +168975271 +1209134661 +2075492542 +2050424167 +748614295 +1849447580 +510662621 +2098216355 +1382100219 +462132555 +1568400033 +214566788 +1395502873 +1186637662 +27000207 +1140980116 +1738258653 +1003271055 +1314349561 +123084047 +1399098196 +1304997856 +1847798241 +380166426 +535797966 +1911372513 +1846195904 +2070334433 +1392941305 +726026451 +2116414594 +1561916576 +1935161113 +2044423488 +1464857096 +536291760 +1746387421 +1975519717 +487024468 +981003992 +290168624 +2055424501 +1195570780 +1685671497 +1094578515 +1222570987 +679167965 +685353521 +78358394 +1993517526 +808437568 +1477456590 +1151031735 +508752161 +1857623016 +1686829701 +272641026 +1556335272 +1609680486 +1665582332 +134878076 +1578611432 +1080015260 +2070039189 +1475551272 +397388708 +458847301 +1074455045 +225424778 +945871769 +2055459037 +515593402 +853812622 +1103546169 +53781252 +1948391138 +178633508 +732949217 +486261011 +256991902 +578983096 +1294698579 +1734448492 +1730014831 +1803450740 +1444587861 +1269360884 +2076091767 +853439485 +731557722 +1594190451 +988317561 +162685506 +526722063 +910873102 +1638236778 +924110772 +1369720404 +565208176 +1149535550 +168108525 +473183565 +1665128952 +1021921148 +1576729735 +1718910204 +822828638 +1755363243 +304375774 +1309089649 +2012355146 +883358870 +456304580 +1599319990 +465890053 +112271672 +896424203 +1735250937 +40879791 +1749863689 +319325011 +1635070242 +590697602 +482010517 +14308658 +1501570705 +2120247295 +938419430 +723807461 +537971823 +2087954980 +891915986 +1011155389 +1605600284 +1913837134 +440401476 +1177026841 +589182124 +48281071 +1481402615 +1898271773 +2060636217 +217277837 +207092705 +1512472560 +683167890 +319364378 +261413115 +270935179 +360244169 +2011276804 +590260190 +1995314412 +454490759 +1072270707 +2009623070 +1956061464 +1045034354 +800558852 +532385277 +1583006178 +741030184 +1424301263 +446677919 +199146820 +1190654750 +887079395 +1376173661 +1779836874 +935360466 +710092628 +1530625000 +848513036 +927370465 +1737717705 +213501948 +1610538355 +2057082083 +474915063 +1881473534 +269842605 +338708220 +324250076 +117673369 +793198979 +1396520783 +2127296439 +601776795 +294071490 +780371643 +1134162072 +1877077668 +1521401827 +410979687 +176271939 +1720548647 +1601634437 +1063351334 +949238661 +1233987664 +1998711800 +1659331289 +617129016 +699741188 +439218107 +207363073 +913243136 +2049756462 +116961509 +1388158200 +1783746349 +386804114 +1726866420 +2107996425 +504477483 +372581751 +1357033561 +484290274 +974358546 +1651105051 +1264661917 +2108520618 +1380699071 +638580096 +372016657 +1556971010 +211645095 +1973651095 +472838696 +1160883756 +1060155111 +324066848 +672731398 +1677284127 +1023808037 +1111949505 +1884647200 +1937051173 +1014222319 +2001608709 +1177725725 +650485020 +240929175 +757108497 +610997798 +745406658 +1129690248 +1968031359 +1229696932 +2104048794 +1471652762 +346875201 +2065085764 +704868185 +985455297 +289618774 +114355547 +1197100393 +115786221 +587194243 +210500501 +1175941332 +911261091 +883231899 +705741811 +1935069128 +1995181404 +442905363 +1724636654 +861920076 +297030425 +754878731 +1512405096 +537959600 +1511987229 +2123402894 +1283366259 +494193829 +1943950605 +365579543 +450758976 +1268119719 +712454745 +368361092 +1972987904 +1697910042 +657979866 +2087343451 +747526787 +773766087 +527054046 +958027289 +1949707419 +1438315138 +1841259188 +507965582 +1225900618 +1688956945 +950870946 +803053624 +403393373 +1247901371 +1557932356 +1915798469 +1785860971 +922435937 +1891717716 +921743582 +1416629766 +1688184673 +1287323126 +1867388742 +808820745 +1999777871 +88266187 +634325001 +1550204265 +746246053 +574184805 +150247405 +1520012141 +1101238851 +1108274694 +1322235912 +392070341 +802050234 +1830201495 +1617970960 +343523531 +633588793 +273540936 +746916904 +1881490164 +1831473292 +515231726 +1519867487 +606425581 +259465794 +294127422 +2023055348 +1947650467 +1581450548 +1742960442 +608987564 +1433744771 +1831226629 +1243312566 +836465388 +429989035 +1817497371 +986712793 +1950001176 +771252574 +2094987487 +1124753440 +1163322916 +749554074 +807471287 +633810228 +1093077605 +1441060080 +907351164 +1839994510 +1175066596 +591340809 +207742588 +547450436 +1197766390 +467208382 +841577858 +1073338090 +267375201 +275544758 +668814885 +876362766 +1709289529 +352557866 +2119675332 +398271269 +782546901 +1789689055 +1384984063 +585064429 +413457981 +1332487902 +1709817870 +1576780897 +2082041976 +369805509 +63107477 +1027635934 +1810865590 +970458642 +720146796 +838448538 +1561799451 +927889384 +1385898974 +612082193 +1395097766 +79993184 +1685420284 +1662472967 +355537942 +206751521 +391352085 +2064827471 +559309387 +363543769 +315615093 +1341856289 +5749176 +1700599156 +1926920718 +419207158 +885603410 +1489254940 +1995988055 +820161739 +1859060450 +2059095533 +1847797673 +1522442392 +882070527 +420460821 +213407282 +296386330 +1348350205 +1599306257 +908468523 +595964323 +1679299441 +446405159 +110953642 +2034837384 +653156680 +502305728 +1952181207 +1212466068 +865849497 +120312652 +406838709 +871598674 +1820911808 +186275779 +1290805832 +559031571 +1675530720 +1139310239 +1379193310 +1387107522 +1050922124 +1079507335 +762066266 +1932992651 +1499968156 +975473548 +81895333 +700834713 +427296157 +990363857 +1296799036 +2106595599 +1436769016 +1407752678 +1993949335 +2089925697 +1910058406 +1798646894 +1154908117 +628424256 +1918959547 +1561746826 +1500022930 +1592387707 +1748022605 +643345114 +3935630 +1276069677 +1782655353 +1383128940 +515693551 +686093830 +315152627 +1277759817 +471602833 +1815120783 +105749718 +553498167 +368471848 +533045875 +1543862024 +1665270884 +492157826 +833147392 +925539915 +338623513 +775589441 +688114673 +2137270408 +1930497558 +1316538929 +1908746307 +1344760736 +669078211 +1353650366 +945299694 +1312423325 +1357585997 +73885723 +947595031 +593231289 +589579275 +1633688861 +908383917 +1867339092 +2105291694 +576021052 +1973088810 +511306213 +944492901 +358651038 +2055168237 +462280137 +850808864 +740831982 +1387820052 +1189432378 +1516421423 +2075934726 +1179219138 +1299435334 +1244990007 +940481797 +496712422 +1914068219 +146648515 +1442012116 +1079007896 +1504234512 +1515897840 +2026602927 +2097465802 +2105477115 +1512808140 +858366071 +1825332559 +1470616187 +1434387123 +1650937722 +1981922400 +231396376 +2009588760 +1889606990 +693676514 +712913976 +482955324 +2081496566 +1902346354 +1999376747 +2009947644 +934081844 +1151328433 +1107454004 +1874563641 +1648040856 +874038575 +2021212157 +942569324 +1953046471 +1377963021 +310983516 +1832165751 +1327945175 +268976983 +1197490243 +38827598 +2094309543 +520622782 +1473214722 +1597763617 +355061535 +1704611098 +1459868729 +97184877 +250803964 +25299057 +580140201 +184816883 +1927645412 +432033300 +47280879 +714243608 +1583361734 +1154734883 +441323602 +1083918942 +2028773458 +315052111 +2026488266 +1834336282 +1693015132 +189988135 +1519018385 +873476660 +458965118 +569024980 +912304258 +405791013 +1089647763 +238035332 +2003554630 +1444709298 +1942646431 +1315939711 +1541894175 +45966747 +1341238769 +2122034376 +230783630 +1121400533 +406584028 +278064510 +1835644141 +1989945762 +1432799393 +129484095 +926381056 +1314089204 +444536206 +805385675 +1000941838 +2137551339 +995373810 +372476575 +863544351 +1454338928 +941501555 +1775848609 +1860129942 +2031149318 +2013883942 +1716200924 +1328374968 +1809046725 +884656988 +722785495 +1855013472 +78412109 +697336223 +2085797103 +1199812642 +1103920252 +216377965 +887973135 +946382366 +1649177358 +1017457231 +1872763423 +815782914 +1461993437 +530665450 +1816724752 +1452061128 +1526039260 +41717679 +168121831 +832894540 +983219235 +1943970441 +545540834 +866884905 +1810370735 +114258111 +47776226 +1471933812 +998915099 +770561721 +1179463636 +1077327208 +1467897945 +1117777091 +129656202 +424334549 +1334155056 +1017629337 +1370716915 +835848767 +2035086568 +1095996690 +1651631681 +1349596358 +1626662140 +1320872786 +654173838 +1005217752 +1362590465 +822295670 +1838112293 +198326052 +618782463 +236169479 +1065210958 +281669550 +350427590 +1112987184 +1753603362 +1349342689 +1883548905 +785583350 +279186249 +1203963202 +1903360442 +408842451 +1628297751 +1090031850 +1426471789 +851531019 +1925880617 +1314074709 +1947527709 +1430028651 +516187419 +1426706202 +603417789 +1170361258 +284440306 +1966008254 +1992656928 +2122552599 +16850659 +463955743 +211238431 +1082061617 +745625293 +561666021 +47565153 +351745007 +1911008711 +1931114058 +1137328357 +42711312 +987593613 +893205151 +451553764 +468407716 +1983237002 +1878025553 +1319938735 +1761633971 +1044616614 +1119982797 +1044178974 +1560804034 +399205351 +1647596763 +583681644 +683645657 +1466121370 +428854924 +658714609 +1482972029 +892810667 +869953040 +417549998 +1638435960 +1431619061 +465115151 +1990180967 +1195144124 +248745561 +980025676 +1237855437 +1236339174 +1873230828 +1689409201 +1704746891 +1708984182 +1419951106 +877201978 +1323134505 +317084072 +1997184775 +219829832 +1877888106 +248906478 +1867426595 +314086102 +932552136 +1186064317 +742941026 +1591266745 +521552698 +1635751693 +313736137 +939102696 +1126704005 +1745355198 +1404217847 +969401324 +793015675 +1652963409 +1949427001 +2030871112 +741818935 +1675174181 +1572796665 +299082178 +1236674715 +845264123 +1176284157 +412325572 +1162348195 +1025985284 +632155404 +892752654 +1274891763 +352098352 +1206838756 +59960251 +1538162669 +1949779783 +1651226996 +2059715368 +1438047828 +1964963133 +851334416 +417268186 +1562834683 +108068616 +1386669510 +208366710 +1761032025 +1188612863 +91754174 +355367312 +716303396 +1664550839 +654449491 +1952978111 +362331314 +1830733648 +217820036 +1524679510 +709235284 +849975440 +269948516 +1984127047 +1202073792 +1476787272 +2044087298 +592752814 +1279083407 +1547830646 +504984534 +569647588 +1365310131 +1356318950 +986915774 +780661167 +1464387566 +226101636 +989027877 +1077935943 +1414714500 +1080782052 +1433303256 +2131017896 +597849243 +2087752747 +1936512360 +960180558 +1771002747 +6848748 +337376420 +332754383 +856824188 +607324936 +169397783 +2058897981 +2084112208 +66001433 +504167147 +1215711968 +1613832080 +1009151681 +1785359556 +831658563 +217986983 +624791682 +1612319730 +1682374550 +850893318 +453863960 +612826845 +118124170 +1534646012 +2046130101 +101658419 +2132495255 +1986399200 +2038170779 +945192165 +1609918299 +2045019527 +1282568585 +1942672683 +754360067 +1889893521 +2112070466 +665774400 +1826522082 +30588251 +1169941547 +894750402 +1644420331 +31609580 +532626310 +328595247 +249596564 +1157417992 +1940914977 +1931971114 +2008311310 +247295289 +397314311 +2126435481 +1781941301 +295960765 +80610252 +1766952909 +134876317 +2118781031 +564661426 +1744794617 +2016316910 +1847230012 +1539983652 +623193329 +1589639885 +1504570470 +1288967730 +1268678319 +1535158721 +311425629 +15945073 +1032095405 +343035210 +548571383 +1360690652 +592631774 +1705989375 +1154121981 +377119240 +1566817038 +1401417271 +774433551 +1545768871 +1035874924 +1070394316 +1626379123 +655344185 +1205270634 +1597676506 +1220005612 +802581603 +1466509768 +919751976 +195081607 +2089703097 +361908213 +1699652077 +1231187179 +1630586533 +1087327150 +1542612809 +1646531606 +2119422555 +1885648019 +47619342 +1332629559 +330796145 +1753608717 +339267893 +707915385 +1172942107 +1740685164 +1482348936 +571227330 +629076440 +405259605 +50122805 +1284420626 +1610530239 +1647799311 +356942590 +265628194 +966825431 +1276694566 +460709801 +909044881 +1638602779 +12878230 +2140232060 +1121705664 +1100205380 +1535361221 +620753623 +1072144288 +1273525592 +668372965 +257290199 +1604321737 +274498034 +596558092 +164753474 +1447440142 +189759608 +1647102411 +2018667472 +818836049 +2052362016 +2068790278 +2103256675 +1515408607 +1569105941 +312715617 +1781036801 +388447725 +1589410183 +94262954 +1297492606 +1080529314 +107141184 +1290241018 +54751331 +1207346564 +678118592 +675504954 +132007204 +1951644184 +1343877919 +389297404 +1408482274 +1618375953 +985855496 +1573235748 +918332447 +1175615105 +1072854511 +789516272 +1994451154 +977732879 +710822902 +1950224181 +345657838 +132445195 +115456150 +2126694639 +520892920 +1704866333 +73473945 +1818385526 +637911999 +180615129 +961142897 +692663330 +1387961694 +1639261489 +1368168284 +1519968898 +1443422025 +564562555 +1909266302 +704420651 +35454861 +747638151 +130172752 +953787308 +1923253256 +1203027263 +1743303580 +1770220762 +33276495 +306642834 +1572961295 +378934333 +439088030 +1688417445 +358145325 +959980950 +1245800130 +431619270 +630882829 +1883712129 +612234400 +1592025726 +428891812 +2000196094 +1083803567 +1797060096 +1372681344 +379741944 +214139004 +1134463999 +1084162596 +249593865 +1882102150 +1214335348 +1203381173 +1657871758 +269878963 +799201106 +1280608872 +303155458 +1105843940 +706086519 +682089792 +1544931970 +247020316 +1040235117 +357429273 +1492820446 +1471854387 +988312102 +1229048927 +2084088787 +432854180 +1657940739 +1936801233 +1516657747 +1307517188 +1161998930 +1896399691 +1521656192 +148979281 +833078639 +1771250057 +2031081431 +2047413987 +827147582 +1541469541 +169809303 +1626348688 +674594765 +472964761 +584708981 +1380681284 +1155054553 +2129640951 +1627701600 +47806022 +339586576 +973038398 +1519660410 +1327898678 +54603677 +1456265549 +1760752858 +1712544417 +1245583135 +1129926957 +872577957 +260098417 +878843001 +246750501 +409077698 +1711921640 +2018000558 +292675481 +1611851980 +697664492 +1834145022 +1781661283 +176529533 +361256139 +107142396 +761238514 +1741937423 +1262196950 +743395817 +1222155375 +1310002972 +1082982394 +47710125 +682179734 +263397424 +102313802 +2138445284 +2024150283 +1814858219 +1236544771 +1006593592 +539952528 +1496643188 +1885436593 +786703029 +1905720886 +1449874586 +657219939 +50912719 +914242918 +1354884432 +1885057741 +548420553 +1531413965 +98830232 +655562949 +145168831 +1840767655 +1917759899 +888564648 +915439382 +1080279224 +1971547042 +963149507 +1762458958 +87460819 +1065463309 +1753420594 +2111611102 +732837881 +842481717 +970721046 +1272790409 +191641257 +708673992 +2059493439 +2097362143 +11064930 +569229730 +791214 +925307848 +1924114162 +1885848955 +1473728401 +1308044479 +1984679187 +2129291350 +1453213310 +1677963194 +1899567602 +194294311 +445918928 +832363178 +18357705 +1409068435 +447338488 +105818524 +327048097 +53275435 +69945978 +1059885978 +895757152 +1040667025 +185192739 +1087398410 +1749341017 +97202530 +1037276905 +1760405947 +666432261 +1038068120 +538230147 +443062775 +776433427 +2011958548 +1751107255 +613628967 +1993766250 +1056836917 +144108513 +1745850204 +1251131228 +590027442 +430729734 +1269488934 +1999095877 +878068223 +1375307458 +178660326 +931343658 +1445253437 +1238546304 +1827100810 +338436814 +1423739044 +767015572 +2087777831 +1520941574 +1804292478 +1700700130 +39890187 +694876950 +91446629 +482952963 +1471310377 +2103405177 +86576570 +2084939344 +1949687779 +1143413487 +81564210 +1548054336 +247061068 +671591652 +1978784070 +1516550002 +523203881 +709368645 +744373812 +701864208 +1640712303 +42143601 +1940410512 +1320329466 +380580415 +1216665908 +2087345038 +320874598 +590123835 +1744153868 +2021574728 +630014022 +291547170 +2113021357 +1112966985 +1762857548 +2068942886 +1199543555 +1700313244 +1871147018 +195473395 +1781877454 +1271717706 +442534463 +305985458 +1103018128 +1959084465 +829189340 +1812386774 +555974629 +1531053548 +1305615429 +598118231 +1323980412 +478461247 +978698646 +393162673 +418322638 +1299573245 +983286508 +14992858 +1173664325 +1613300530 +306540029 +1139202035 +578783868 +2069397577 +1060661273 +1778327423 +1622227173 +784324643 +1973800818 +1256620980 +2056042349 +268851633 +1562606438 +1011576830 +80452450 +244312130 +676479956 +636427080 +1775365678 +1982095385 +1234545311 +951862443 +313072985 +65760309 +1345025116 +731395623 +1365333554 +180827976 +746388481 +391514232 +1794128506 +1052928510 +1530716267 +225428726 +974842439 +443893892 +2003756150 +449585965 +1228218536 +1830073320 +1706206945 +1136777237 +2098924954 +1121329735 +870419 +31893756 +1365641866 +677350375 +668320836 +993523896 +511962113 +1902866147 +1945386339 +825035098 +1968626457 +1142927807 +1556430721 +1186476363 +1323755783 +155335554 +1577990595 +970400642 +1208264065 +961223214 +1195829368 +35622856 +1405117107 +1052101870 +485208821 +485851995 +734691543 +43932118 +1622629232 +686132849 +1165261854 +1623499652 +718026605 +383420072 +153366379 +1386347442 +1376943968 +665328492 +1141729941 +1174846660 +1490363590 +962872750 +170290819 +899310663 +1865466 +1494046603 +1054646218 +1579856061 +316963597 +115426635 +393595628 +1512792965 +151049491 +1798712735 +417411188 +636258313 +137081082 +1152102731 +680190431 +1759710314 +1838235580 +1845452285 +1235726318 +408778537 +81388709 +1389092698 +1795125979 +1458332678 +2054421190 +789372273 +485695690 +1397301133 +1752245023 +655986509 +149128148 +1754110489 +2549464 +1203774366 +1186482903 +319513061 +1319201001 +1580078531 +1832306027 +1470250493 +1231307618 +102233567 +2106508806 +1368388700 +1254336298 +639215589 +980615366 +945088230 +337184227 +68858037 +1353866767 +418572936 +1457950735 +1001509099 +1876905614 +1364888277 +1790881372 +215117656 +614705762 +1395642747 +871104166 +763833911 +1002269589 +873653630 +1967608277 +41268844 +1193166692 +1139325631 +1621347375 +877989071 +462092476 +705171345 +980222638 +421117634 +2073560045 +87075288 +1060333223 +906691763 +1032163518 +1397517450 +975549800 +238546637 +1816090387 +286016887 +1240055736 +1545512353 +1650905165 +883453460 +1760630010 +118127279 +131612560 +484250528 +881961190 +1133882149 +1357904158 +702085820 +1175150993 +403587202 +1841411451 +649014720 +1281576273 +156020279 +1354186065 +114315263 +577137913 +1280262462 +201390551 +1637471136 +39470577 +1233554069 +887504939 +1015020378 +1472100707 +556111678 +1301037265 +564672795 +2101624031 +804458782 +1448126256 +1714770393 +922586062 +1579738816 +51537273 +1804547252 +566137317 +1409441432 +359149424 +1741288310 +1813028634 +53077227 +242819382 +947121260 +209097506 +1597005447 +1061436523 +786235419 +729784261 +1262827075 +276222908 +769254838 +348897496 +1163727847 +1784275216 +1820998203 +1719839525 +937828834 +238187351 +1673979908 +1742287616 +1686313607 +1241266654 +517390030 +1118568775 +1292803927 +174453635 +1684706092 +554761711 +533603059 +1278510754 +220306698 +586680287 +1521330136 +1167427958 +795777793 +970851935 +81380833 +1582013213 +1700636196 +1344207908 +1858236121 +322407386 +1693105405 +874480320 +2106682603 +1366619960 +446836197 +897027789 +1604807311 +2120816105 +491831757 +1143637270 +1214599111 +1009221788 +114722397 +359919391 +1183675423 +1799428489 +914681102 +1717278482 +930455595 +1134987800 +156475121 +304302083 +154932110 +952252915 +1275154018 +236312944 +386782480 +828306566 +1580520852 +97534953 +1150713953 +1126142609 +972015273 +1109912908 +345278922 +1418851470 +2006940697 +1950086233 +1392183927 +351288806 +946239856 +459299391 +1360510594 +1060962253 +819218782 +396702369 +712907095 +1733899884 +2113980852 +1643362690 +721404037 +122972325 +1947664774 +876336147 +1075225240 +1075335144 +1112649091 +1462007720 +1903641711 +545686296 +1559542673 +906872016 +1671828905 +384074298 +2016784924 +2017107827 +1802925768 +1876241973 +1819710413 +1047626048 +80047131 +618466621 +1506925439 +1440557726 +1679428874 +178660573 +1837260095 +244852321 +1912560457 +1803757299 +1888215012 +486480846 +1926729625 +1688396138 +1362816994 +854471217 +616247634 +327982437 +168995290 +372405697 +873668733 +1728537963 +1279277713 +398013991 +2112612262 +1148578989 +267638170 +1768054382 +877337314 +2087348583 +668196782 +957384446 +558331556 +27638573 +250458524 +90276783 +206299146 +2087718619 +335129104 +2118859604 +1743992271 +75860468 +457856802 +1523238248 +1764256606 +1820673796 +230225817 +233020593 +1172586 +399221107 +605426290 +874841319 +2127759071 +1884704004 +1272855310 +2092887685 +885799345 +1540493481 +1713458419 +1763136660 +1480358416 +234171554 +573037458 +2038689973 +261810127 +823495982 +2128966756 +468109274 +763730953 +316612212 +439485230 +360239576 +392472681 +897342032 +1883477824 +9245639 +570532181 +2113703642 +242266232 +571704767 +365441101 +847692523 +1446546086 +345716524 +584912879 +571917749 +291120561 +1470712224 +2112411230 +2004578981 +1086365236 +1445285998 +91266887 +1659402694 +1336492323 +353077014 +335415028 +1317975431 +821186288 +1099145982 +1634587644 +1260671518 +1459385558 +2027060325 +10529903 +1195379735 +2036305964 +581062084 +1161599729 +131088549 +1152766851 +1527040830 +978781072 +451829289 +1872757355 +1563693951 +1023747038 +16394268 +886922527 +988674620 +2020973249 +1973287764 +286476971 +2112240136 +1485206810 +1622969294 +317833503 +1820621839 +793461078 +1139019791 +772284173 +280565074 +252207662 +84186083 +160141751 +262737565 +1279565818 +48964067 +843799649 +293681899 +180052616 +1996566500 +1820722730 +1158833688 +300912141 +1545996437 +575043991 +1324659180 +1562390705 +1461966519 +165850152 +1435880307 +1287770635 +452327123 +1400636795 +625493797 +2075296418 +1718470298 +298631988 +721273848 +710006442 +1070916161 +1001838922 +962214104 +1155102245 +1161980673 +1224951669 +287184415 +1210944740 +2068751318 +580866315 +1390997357 +1917834170 +254105397 +402347397 +71262663 +1800101834 +977391389 +1395921843 +1215008891 +291874260 +1561771996 +503405550 +1579644895 +2014099119 +1904042346 +57655044 +1941911889 +1475028996 +356287033 +515702089 +37551790 +1427203194 +1517541011 +999765894 +434821791 +532038036 +77233915 +722006207 +1742982777 +2145985233 +1302872522 +986496486 +1916335755 +1556977919 +1388843883 +1987598419 +1209596105 +218751624 +1236036614 +277121348 +510625884 +650324962 +780526899 +2090270779 +516940434 +537085597 +442176 +311368675 +2012114593 +356729209 +827070765 +2049666384 +1783932403 +197128128 +901948630 +71270547 +729166165 +979182546 +793276754 +324665294 +977684131 +2096149276 +1311161780 +746536239 +1505643547 +552522015 +586651010 +567756004 +771273640 +1822687624 +844877352 +1281899524 +325528939 +1625404251 +1224686656 +842469373 +15006200 +1225128832 +1153838048 +2027120794 +1581858041 +1980908813 +1929303530 +1218306796 +30553294 +683768512 +1289577343 +759719459 +1662951058 +2082854097 +1084384753 +493151542 +2031519725 +248062885 +1239687781 +1389679624 +800584900 +1826338791 +1957435628 +1571858540 +1501542767 +654829333 +706274417 +1827071706 +132749936 +1930961073 +522057431 +147756137 +1008606257 +1675895480 +27393283 +442980650 +1509320645 +1956696813 +1661287446 +1539873939 +492981677 +803381142 +152109750 +8449088 +738751591 +1236494503 +501600630 +622787669 +1484557388 +1741288411 +2012467293 +137658641 +1420143554 +1822419274 +1709517181 +774202673 +329764959 +268307950 +453790732 +462514895 +51785375 +975848163 +610271032 +1060391632 +504259995 +637664315 +1503372282 +2013580641 +446877480 +1017176081 +1405970932 +939859158 +1820557223 +1558080683 +948308246 +411825166 +647091538 +1449908876 +1034612835 +2131648927 +1043713639 +899596481 +121823920 +316373545 +574532107 +1831341101 +1090576218 +904297066 +2099649052 +1544366950 +1366811961 +3950779 +372731466 +1977082994 +1064342412 +876991461 +467263661 +420231046 +743088454 +914141142 +1437407127 +1575739 +1854000300 +1110480702 +1559656422 +654824898 +1522305869 +59264312 +2104733774 +409435056 +43429591 +1000963765 +1309031537 +165253511 +1317337310 +1883563644 +1996594613 +260429880 +640377062 +1948760017 +1804796831 +2007189024 +1952710796 +30044649 +1836788370 +869569560 +907036110 +156568383 +1289800607 +1650124565 +1070709525 +579724086 +1651700304 +777226177 +1690204789 +1063873078 +1432051075 +1065027010 +1123137390 +1389301201 +1474462066 +1166566982 +242781318 +636009956 +1331820493 +1560118628 +372089952 +1180931458 +1820548509 +1012467015 +982207827 +1477861692 +872172391 +787434976 +1507906341 +561477113 +1657004536 +267458803 +718045496 +799321495 +1917583368 +1788755022 +1379045582 +1421800024 +418497551 +921766723 +338189454 +1850548627 +1986793733 +1461326845 +1092366180 +1313772151 +480410179 +1335147499 +1949782107 +1812230672 +747782479 +174388412 +845678483 +420847340 +1186855427 +1827886310 +1898709032 +2059027818 +467837638 +1259131725 +473021283 +2124842175 +1526590529 +1191066779 +776680022 +1296690249 +832338153 +8241956 +571006626 +1250835705 +930008679 +909196080 +953900684 +769318764 +223039277 +2046266864 +2083090916 +703449456 +1233930715 +1885389375 +368196481 +1981713195 +2059777787 +1213874964 +255076887 +1099149566 +894277626 +6302272 +1010693736 +1362115265 +1265433997 +1483715019 +1339473792 +644540878 +527298151 +2116153814 +1941231128 +1359636304 +2124395771 +364754106 +462988361 +906920802 +1273950186 +1416889045 +1676239567 +1496989464 +1315672262 +1611846835 +52955272 +402119329 +1349752562 +421151753 +236348876 +1262046702 +1635026717 +491425764 +213712620 +381820696 +497728036 +1224406357 +1743935961 +1763162033 +560637728 +935926105 +260219264 +1087935879 +904596271 +53966744 +300088536 +881508394 +418720850 +763076897 +1788429197 +1692671036 +32482295 +1317185116 +1042176852 +1348154557 +781548303 +1095132125 +1750273886 +2131300865 +1516283878 +1986622763 +1245863919 +1003826948 +330564879 +1459576540 +1385647644 +828292915 +536499249 +982099957 +443971300 +1097136977 +1918026062 +704190564 +37589209 +675138685 +758157308 +337677745 +1556647080 +1176878158 +1100754642 +1197592629 +722065547 +1133236937 +367294097 +1764242399 +333907846 +1148842400 +711890876 +2084181733 +1132659617 +80691107 +1923320848 +231039889 +1084518055 +106402079 +1690616429 +322682051 +934694994 +79632030 +1304782008 +1378666294 +1176769007 +1075324422 +2082856859 +1214358216 +1750463107 +693530519 +1552035961 +1159626539 +1870408678 +505306956 +209735520 +444990577 +1638543893 +577029617 +61749328 +1972451740 +1725872017 +773640205 +1909149825 +711047987 +854331312 +1684987025 +942087876 +1938849367 +1791389104 +485220657 +114047770 +578600450 +564852687 +1418829778 +1957266744 +1741621694 +346670552 +1892639955 +808496263 +2097133659 +438686827 +213048576 +1109276551 +161611857 +718355532 +1319012071 +606602434 +209415778 +1896041689 +668351762 +34383870 +1474430058 +1441991967 +1943533695 +37994397 +148839631 +1481037072 +980082273 +2087688998 +1124942528 +1465302930 +54253120 +1703542978 +2030155617 +1473082898 +1513326074 +1624293664 +1819753450 +1258482382 +285306279 +1769403462 +1697169209 +498354855 +731196365 +1858781066 +1216710388 +2050208436 +317899852 +1426126166 +1798766477 +986251614 +1460510036 +1125712888 +280759934 +1256560083 +1163707285 +429599565 +590113507 +2143789559 +369804916 +1715056035 +1461608841 +424058036 +1271115365 +1344280811 +1897140935 +636957791 +821090827 +1569410737 +1895440173 +1106397106 +1191330551 +1445125734 +1604751961 +1922526916 +1156423152 +673978701 +1825251705 +1474323004 +2100104867 +1476534534 +313090971 +1413131255 +454763774 +593850905 +522207690 +1618471060 +1023450470 +1112321197 +1614776971 +1393255386 +679893584 +928902164 +1817313423 +1951008949 +125699327 +1566970710 +440483093 +946790154 +988897799 +188439618 +2053187260 +32744703 +1633565353 +1510455574 +1955271619 +642504857 +36950627 +1633039676 +2116827862 +2137055495 +962090563 +282435185 +1402703102 +1416854337 +876286090 +1924910793 +887841749 +1899736560 +889748342 +355135072 +1145508299 +1569641927 +1284037237 +815338074 +1373167228 +1409736564 +234825136 +1813650321 +209043071 +1223722935 +2002089940 +114746683 +1256467638 +1488171645 +1625202257 +1064255610 +2130676502 +1662152885 +549811638 +2100020716 +1651724732 +1511902201 +234972253 +906944186 +781272891 +1111258343 +684371331 +1669114640 +863511256 +1574119674 +2024249713 +2009019555 +996277953 +1160803302 +676873981 +221961533 +423056218 +911699117 +2035611855 +632099289 +2135422052 +1890218147 +746845973 +1244406043 +1230906144 +224564582 +161178005 +1214098998 +1886717467 +710989643 +1166636067 +1390958551 +75408197 +1401608320 +150419090 +856681088 +365383016 +834790421 +378312080 +1228894272 +261426447 +255078145 +1090430179 +1257704400 +1415881447 +1767304160 +1479665934 +1838937666 +531519629 +1367794141 +323553307 +519458033 +1110528640 +1070399280 +1763864076 +193951136 +1294963863 +1925042081 +1408050134 +1034197682 +488548077 +427202553 +277672586 +563956274 +1828810874 +428091676 +1420637362 +46710242 +1262882097 +1798949442 +1275604514 +1524308545 +2054027588 +218551045 +634529297 +1322425387 +1985855205 +2114195231 +1013879405 +369891186 +1334505724 +1337432713 +889349219 +297550716 +260348345 +505729648 +491501852 +1555312208 +283288081 +1899551987 +442026243 +771836158 +179270892 +719698829 +1335792432 +2008081766 +1147790505 +608946146 +2054792008 +263188954 +260411941 +1182912874 +1787497499 +166955881 +1401463919 +274543149 +1489381268 +1239835476 +241254732 +355777026 +1609726662 +1575760457 +1693209739 +351592234 +1873311173 +1953558084 +857321882 +217329378 +1361386645 +1140609963 +2116881365 +1803412888 +1912446122 +148668609 +375628069 +1100754906 +9266728 +1523418574 +1709701053 +2064058736 +1786607528 +1970112994 +1099487963 +1426621380 +2137068875 +353468234 +1701164529 +1478966495 +1593303711 +1942419261 +1834743521 +1055546725 +1370696070 +1380469612 +1407138959 +1096523596 +1186544049 +116977193 +1313852974 +400447046 +1257587157 +1283250691 +56376286 +1022549631 +1431919300 +432004355 +2123304537 +1441186028 +1955422929 +1685521942 +1357761117 +1594546809 +1508151288 +309765432 +873684541 +1497736515 +663233666 +427365422 +829219363 +109053729 +222301036 +516479236 +1164600455 +1592997106 +1896948849 +424255766 +542037054 +936009250 +541232960 +1855890028 +1336456296 +1798820117 +991657071 +1392832582 +673886100 +276092724 +1824836937 +649706989 +1717278752 +1632776218 +187745284 +927556221 +1079839379 +1695896572 +1237321653 +1953523921 +1046149440 +1900555320 +233405695 +1875368803 +2009609049 +455706731 +244364391 +1026725856 +2048703838 +2141313240 +1450981623 +443257244 +929838842 +1992214583 +151663625 +118811490 +1643551052 +1143320696 +1511644072 +169953504 +1419413420 +1188997361 +819660493 +989208525 +674289931 +1007405777 +1916764746 +1754129311 +555818702 +1006602752 +1560169584 +1601968142 +759674424 +1793575279 +1329853297 +621799825 +101798363 +1574217688 +1648525682 +3018553 +1568047281 +952023657 +446275797 +350402475 +796754592 +597939422 +469213966 +292821996 +1741260119 +1980858038 +462775500 +1013189891 +1022371752 +1282435993 +2002398416 +1696661683 +142358123 +1771679515 +1303307346 +698176825 +630798619 +715993282 +152661319 +1390473043 +362084914 +1482514616 +2012272868 +463883277 +909248656 +1513314902 +466901830 +329812289 +317854911 +913177627 +680214765 +1114609503 +1511117050 +1149428731 +1407431499 +1104893521 +982803121 +1870206999 +2118083412 +2005174873 +1005159345 +1972998181 +1554352909 +1147517468 +1597194048 +710176607 +1845694293 +80509019 +1426169890 +1998355612 +1470982062 +1788254804 +1333386580 +1335771282 +104654433 +95151588 +701602537 +571556263 +424963878 +1019457448 +1484733890 +1105178643 +2134066952 +848367292 +107123726 +1394014803 +1953260813 +1089926847 +1116738155 +1923860578 +947618073 +2121897500 +1749375111 +354487334 +1121931320 +1199085511 +1064663941 +820141965 +1279594530 +343350183 +671013929 +603092944 +2131604987 +2004400509 +1938864226 +88775772 +2099552097 +492983115 +660332035 +377032327 +1512440564 +2145065926 +1482210970 +1499023868 +845949570 +1589334696 +745555023 +651726736 +531777896 +1862293178 +428103666 +1479395969 +1836707030 +29995129 +1833883303 +811154702 +1229080640 +751063596 +1631296667 +361191522 +1094413780 +154826948 +964284466 +1078535119 +11743809 +755665044 +1167310892 +2111295907 +1248648160 +1827642927 +340844586 +613605076 +1825225205 +1823055557 +2112628944 +523691128 +1264906605 +710700319 +1175417864 +1796684501 +425509850 +1603521530 +1128596822 +114733232 +1633516659 +814996477 +925887935 +715113651 +1566060074 +409700954 +1076305173 +512990206 +564527903 +2040589639 +1591525325 +576271712 +648771035 +611352569 +540083971 +1897419195 +291511849 +880928558 +363540623 +2116737054 +556500467 +328685919 +492944534 +1821407072 +1039386239 +1668362398 +1470607926 +1464896089 +1124400280 +451721100 +1579629321 +610433291 +1266717578 +358033608 +1325546942 +685294004 +767734563 +254368467 +1198284210 +1332262466 +147474458 +642325887 +1908534178 +796245494 +1253678457 +301134502 +546181041 +1545190306 +1182063060 +909721665 +1514443712 +1738563527 +1238407584 +2007388247 +1412486951 +130310175 +1528266997 +735611229 +1595206264 +505183630 +1187332330 +1027351938 +1115616921 +306566260 +1385385546 +293680216 +991860264 +5636461 +548048683 +42660826 +1337898927 +695523142 +684986713 +1098949458 +1491768636 +1938665170 +1400083960 +2037949677 +1336371828 +434663372 +800187694 +703331893 +25743251 +2038595279 +563236492 +1438230202 +21421806 +2091503489 +26357784 +1616628071 +449203471 +1213690114 +496496361 +1564820393 +1520256374 +1881881907 +1858500609 +364632990 +1887518369 +259065644 +407293816 +1077933648 +954588786 +1092280529 +29399458 +298873774 +883462052 +1429483418 +189339804 +72350232 +1864146790 +989527498 +775682125 +1889890041 +880639129 +1338918617 +1180636596 +902060936 +1282938459 +1206994380 +371205359 +1732141930 +273200846 +867701720 +1149478675 +1793457220 +602099979 +860495636 +10606562 +342134700 +1119561281 +417900378 +1420068349 +2074150067 +1510180907 +1449467807 +225540194 +246159311 +731467578 +414879998 +318509544 +448130720 +1404407496 +1094191669 +190537114 +137562978 +285626639 +1371173710 +1039623914 +1568565098 +430684442 +1410829273 +1153223380 +703885288 +131047345 +155218408 +349858860 +733147324 +1015714044 +360465422 +1075282025 +2135275325 +778365800 +347866726 +2061941745 +141063059 +1797334533 +139998291 +387222371 +381318463 +554878289 +705731915 +829449184 +1959285785 +1799923584 +1019986298 +2096848763 +2085550223 +243676360 +988989029 +1506631673 +674360802 +252334654 +512371406 +1378246090 +383381999 +667589814 +1728104950 +1116529324 +1683303858 +2088570372 +44327701 +1671095536 +719452524 +392194427 +1585553633 +860515583 +42045312 +1725551924 +1247737954 +423363776 +132946565 +1953469869 +1252812960 +2092232350 +1605909806 +125315610 +2041597466 +1543976381 +368991970 +883102847 +903124407 +1043352772 +1135437502 +1415495813 +274115214 +1518819501 +2083085627 +2002220164 +487865177 +1618905837 +1943306888 +532192878 +1142517725 +515275764 +924387305 +580587710 +1375791347 +966432618 +158655986 +476045654 +1389796394 +291602551 +282031875 +495125706 +236351254 +1887941681 +620441316 +130465072 +1284434415 +989433286 +1013567919 +40075174 +2032786058 +1521773 +1455570987 +159417624 +1520341275 +1391172966 +14154140 +2008206452 +862595155 +1957461028 +392915683 +2005112881 +325253144 +1317302988 +438216943 +1701044491 +136251958 +596872930 +29606497 +1526048352 +888475481 +311638373 +2021174058 +1124826735 +52096406 +494131726 +1255291807 +1336530821 +1483565012 +121376079 +1376605995 +1368867422 +122897852 +684693334 +1528285046 +1643239127 +2075866300 +1542439186 +1503961932 +790977808 +1352416566 +1896877615 +648607041 +1677669710 +1066696955 +1086823984 +1231230554 +1202948914 +1683696914 +1260837051 +581513618 +424688748 +1572475424 +455204029 +1549515483 +1624571831 +949335755 +657323643 +813619004 +285417120 +778699722 +42741352 +1654284542 +901597574 +727434686 +1035085941 +397353054 +655817339 +430041479 +1901314986 +1446795147 +1782458046 +1650708953 +2095402188 +1312644108 +569922260 +1034742524 +396391014 +1772871174 +570955791 +1657228066 +206901145 +995644539 +1082219842 +662105174 +397676374 +559308025 +1611440929 +1055000017 +1372927030 +1896858049 +1833699739 +1415668382 +1403658944 +587813666 +2143103068 +291261237 +985166720 +651436759 +721302716 +738998058 +2098231906 +356277114 +242223363 +2046150446 +1668921223 +812145623 +933409323 +2065312237 +437533150 +1504365114 +1575056655 +644434295 +352526005 +509792850 +1306539469 +750202379 +1069100875 +770496750 +1805202397 +294544257 +519871152 +1491418488 +1710212639 +1923530096 +2079232154 +1705832060 +67307685 +916915226 +209785171 +788610401 +1655913284 +160533430 +1144887516 +1898136647 +59200228 +666325091 +562798623 +992609551 +584153680 +1000331773 +349491017 +11726688 +1644766068 +702017022 +521519538 +803821889 +1452219402 +1590620413 +1574318639 +1109938151 +1885164671 +2094189791 +453872991 +1447893662 +1870236239 +385621498 +1006242074 +1937543924 +1302536724 +1216027246 +578670678 +810966361 +1376560676 +1723558194 +561619360 +1435760904 +242399637 +1124417983 +280886808 +826553317 +2124749756 +630377825 +838280005 +1622032176 +1332394848 +1359799543 +278370417 +637130602 +802936309 +1852689057 +1747068753 +540617332 +1799395200 +53458096 +1988510994 +1522147792 +439079594 +847269421 +1312208068 +1741616319 +2063296667 +1890878746 +405099032 +1292373695 +1466953292 +966718392 +580650951 +1709352929 +2091136376 +861537759 +388422599 +2068402484 +1491915585 +1226702604 +1542951013 +676826785 +439018500 +1821321430 +1313957387 +1241954809 +1526526839 +913542492 +1782572141 +1178438392 +967000588 +1623599487 +553102536 +1406080183 +323385260 +1865310604 +1000212854 +239198279 +1608705703 +1405311886 +1531571974 +928175347 +224546630 +2112222926 +490044629 +168199358 +826277037 +878467228 +89118195 +170708974 +2105169832 +1632069208 +847535759 +396704684 +1305906990 +14009498 +1638659493 +684950182 +927551990 +1273747986 +1863388574 +1894552579 +749863826 +269007462 +1153149114 +1073249086 +2134318066 +5878320 +1312447366 +1595540121 +1411190206 +696535692 +376231821 +1635736836 +661274970 +866276450 +1803936195 +1487552008 +1744743678 +1893054390 +1658260982 +1702429862 +1377639950 +358313094 +2099134547 +536063292 +372322592 +1590310392 +1221013474 +1299874583 +716574731 +936918400 +1046943514 +1466438557 +1205925862 +52608980 +392203995 +1192760281 +58487300 +1704651361 +640816754 +1469677506 +253703406 +1017048575 +957930694 +914978376 +1883325025 +614383241 +255046736 +1480585055 +359953983 +1913307719 +1035531270 +1737593933 +124137165 +987182169 +126173578 +496459757 +430008913 +1347187052 +1796334340 +1146583644 +136621805 +695794206 +465538553 +1342547667 +748403186 +857742549 +387824300 +806890486 +414910262 +1028641055 +129084344 +668613668 +2045689630 +1087015039 +1583592045 +1781531008 +1701398280 +1838638781 +1114632415 +2061352264 +1604462852 +2680037 +1651462549 +1728600017 +989862206 +1777636127 +77576127 +1419871120 +977339532 +1873910467 +418971116 +1113961337 +422221026 +884509670 +309025356 +1170624212 +1742252219 +696849657 +1977514699 +9678833 +1725490712 +2106599043 +678292502 +1623696694 +1046130434 +114400899 +1257744054 +600045067 +1953039680 +224892822 +513913683 +1410018885 +227572859 +17892584 +991135254 +1217435066 +1795528712 +1068711381 +489822538 +625384596 +795138201 +908793654 +1739345933 +1217359227 +1793303324 +2048371289 +240499791 +1388071895 +597737298 +70530842 +1397750729 +175744362 +29646238 +2076043231 +1799441057 +1075776672 +42960482 +909701463 +1675821739 +1996000162 +1134594285 +42251774 +1258535399 +1362167145 +60144359 +102187006 +432118563 +1855673071 +1170898387 +921941101 +333574019 +1966036588 +1830734755 +2072919952 +1035912167 +1476554432 +1973807593 +1276411959 +717142679 +424061244 +1346942801 +2114893408 +599805606 +1376589039 +2043452991 +251763015 +304882064 +2086413473 +1161464479 +1980703803 +1934929988 +148575116 +2022955578 +1045981739 +1510742261 +2083099937 +1148168745 +1942860824 +1791289360 +171583485 +717318277 +2124863379 +2137620073 +400569385 +2050299683 +1026048593 +1877123817 +1876623628 +154976904 +446782848 +153201224 +1501919705 +414192609 +753006831 +731025097 +310161952 +1004769846 +1035907161 +249091778 +18750677 +869127316 +36538118 +167325794 +744599246 +1082519857 +1678068055 +680215535 +83204955 +1473445232 +324021247 +254788440 +43279861 +301400978 +244924865 +443849246 +204217013 +1270973458 +173489415 +2080840642 +1425950362 +620272264 +86558218 +780386420 +1034464873 +839565049 +1511411517 +1344626825 +1844334896 +399835030 +1593718603 +1863085573 +1268962346 +1630256721 +2030411367 +2013561593 +565292931 +1560995775 +546293480 +648497886 +886957359 +870314728 +903286326 +930237220 +1171715706 +1148211191 +1374086467 +1375932720 +271701002 +1547575882 +1309289714 +1697651364 +20364498 +1395847932 +330554136 +1054829371 +87929334 +1841965653 +251972549 +1932264230 +94317035 +1845691152 +1647866155 +1363279382 +1328464226 +1530793875 +1229357327 +1893757157 +944306002 +1775650807 +394771395 +1831263361 +498481887 +1298057721 +614016933 +1670197594 +298785264 +1988103400 +898646666 +570486266 +1388195635 +60452732 +120653983 +1408560133 +1456300664 +451208119 +315905857 +1544229998 +145690125 +567878406 +1329010580 +240007160 +266085910 +829393088 +1603286542 +1594550136 +212703315 +685160221 +1340823645 +1157009317 +313327381 +1735595040 +840789030 +811809268 +886169113 +1454805963 +334523214 +1184954378 +1295425716 +1233169880 +1755440644 +536137703 +1293622612 +1876094627 +1944697836 +602439629 +179819099 +113120045 +2146669627 +325509224 +680998451 +1328196560 +565516384 +947084362 +10106000 +21319279 +394150850 +222809315 +706479500 +1734974496 +1379818632 +1019806881 +1323085888 +73124014 +1831616150 +61771354 +1527929977 +18655716 +1246725732 +675872045 +1251825597 +854682728 +1212009748 +397964561 +583293708 +1009223937 +1000404190 +763112807 +1122343982 +999590170 +1088622031 +1803342434 +180303082 +1654138415 +602943148 +190409082 +1675457694 +997093998 +413218397 +234453547 +584584846 +1793037029 +1254260428 +1907670735 +1866161043 +938392930 +1969442089 +1246607372 +957048647 +1068684173 +1922479418 +61390596 +1923366901 +987005518 +459355157 +359176961 +1996229455 +1459759348 +1122289768 +971089790 +311865870 +63428151 +626948576 +492168952 +1717566567 +1229891724 +682578034 +1245540613 +79502074 +1095796431 +1479994160 +664086921 +741349812 +586770941 +424274008 +460027207 +1525163871 +246232449 +1706634579 +334728870 +1314916622 +1481630349 +396119466 +1090799875 +321152220 +855474624 +1449976837 +169898027 +167750324 +424782957 +1140987817 +479616194 +488211109 +1767936393 +971785146 +58294028 +850344469 +1654363180 +1303834641 +929846544 +602675963 +636345154 +1593933465 +1344025775 +1223116095 +2018207473 +1804052982 +600796318 +116956274 +1363203913 +935525189 +1431872896 +697350615 +1331644655 +375189123 +1018502835 +39635631 +1825165960 +1188400862 +207385955 +102465270 +181905032 +687002149 +590676379 +1949841425 +1658787295 +648970407 +652702247 +1165666827 +1952805048 +1582548791 +1768342790 +441666554 +1028998608 +964884917 +1664782649 +899722433 +621454251 +118095320 +1016678707 +1984658165 +1053620509 +301067955 +534525132 +237781516 +676257078 +1553027967 +277417148 +353939391 +593945181 +484803103 +456404661 +775850213 +1171805253 +1047081040 +578207991 +683108900 +1696051447 +1230910238 +1848775728 +1501372847 +665975381 +1469634870 +1943039402 +1694973989 +287036140 +1460338403 +447212774 +908490391 +1578433723 +1463891481 +745664908 +484570584 +1764959436 +1280190040 +722352101 +293732866 +685734359 +999769249 +647672257 +1279679541 +1484572352 +1104076918 +2055529754 +508893957 +3674310 +486254097 +1192002858 +1699725757 +1717164335 +893294938 +1053614957 +235656068 +215446160 +849170711 +1930630057 +502482300 +162025466 +230359183 +1410972692 +1740459190 +1694250664 +9153952 +77546126 +1311726452 +1289343993 +799898227 +1605459319 +1975078352 +1799667476 +105647928 +1107274245 +1136756181 +1209724847 +1015320352 +1645650138 +1213399157 +1501574449 +690169348 +765641267 +1071255137 +1583464286 +1819256224 +1306911205 +1798910447 +520943287 +1090057615 +153909099 +682968753 +1320416798 +1564881791 +275944295 +867183815 +1574035744 +353490422 +31426619 +715896089 +1153388649 +1636885938 +543490793 +805572478 +1742533867 +1650765039 +1942328659 +804775066 +518601743 +1440495149 +2018174223 +2020176192 +2130664498 +636331842 +943947681 +1566645136 +308104418 +103375239 +1218071935 +829047705 +1193432854 +1371981035 +1512016459 +366366004 +789379178 +1787960754 +1233549819 +215931274 +2141451176 +1264976439 +931827363 +1147356178 +754378729 +1475318157 +1952928656 +349428948 +978599548 +1747773667 +1154204014 +1497201291 +1040785168 +1024894590 +1369893835 +1023966018 +1661226432 +166357869 +443127507 +1969330851 +269733108 +1661199442 +650894908 +1463165962 +885696829 +15427719 +1829531966 +1675076008 +1803388474 +915598138 +1891007282 +1797356002 +33090929 +675350998 +797228532 +787469658 +3185507 +602673540 +1136898607 +981785055 +202963559 +143618973 +331502698 +1243748728 +1168513563 +1701396533 +120231098 +682256348 +1867754402 +563358605 +504103551 +2137487510 +77074400 +1154998459 +1453169824 +962771229 +1170426179 +1135218143 +490363589 +826331005 +2050816281 +233887224 +476203359 +2083907210 +909238222 +1273431892 +723893220 +912423729 +1876105432 +1860791827 +1894208784 +2079068992 +2004410801 +78227834 +1175334072 +1025440716 +1779624367 +1295565170 +1707697064 +1499895122 +1858923776 +64316967 +1489898984 +1935998176 +1219315427 +795585161 +751285757 +242257958 +1930803304 +1241649347 +1068588963 +1834135937 +1475536571 +1544792322 +1770559499 +237291145 +670740566 +346969071 +1149714874 +399362351 +60277251 +896440010 +330947695 +2064688052 +974667844 +1506281767 +942645120 +606808563 +654363289 +502858537 +2106703685 +365803417 +567175504 +1449119022 +154317945 +1786490931 +97220535 +905603703 +2028748889 +2028023839 +2147253050 +949854204 +1714676128 +1475305973 +347162879 +1337751979 +1712597118 +1017903445 +1684721050 +714828344 +1417265796 +1744998301 +1611268354 +1748213491 +1662202705 +438452550 +1107011610 +457364178 +1045261113 +1761374900 +960222715 +1004481151 +2127178317 +1527398219 +306116525 +134012615 +1166405503 +403337060 +1039616318 +1047670744 +283877251 +1039385720 +1997524949 +1998553379 +367208045 +197204180 +1188821710 +2079805163 +1215107625 +726059112 +647149859 +484889774 +323573766 +110934565 +85619617 +1985776471 +549387115 +1192631228 +295657001 +1594648228 +806522480 +1255879716 +451645731 +786217149 +635794288 +757762256 +920229764 +1802199791 +1161099316 +1959846082 +702386887 +1444976567 +851748154 +552428188 +1296046298 +1218956199 +749632368 +337384360 +1151277714 +1964739994 +1063443473 +1798427573 +302146120 +1387017239 +1909362138 +387765737 +1225310062 +311265605 +1580396965 +1520967064 +1905913834 +239435797 +629363132 +210075917 +1025652947 +1265157420 +967838174 +1945882711 +919873563 +2128937490 +1758245146 +1622260451 +1426430410 +462509652 +27204991 +574993060 +1681465852 +776837360 +912377421 +685259918 +594093706 +1975820894 +336203844 +896239826 +1215354485 +98082334 +1284005563 +293180899 +409347940 +716918881 +1814147963 +167778126 +956354678 +296027448 +377854043 +1982007625 +1561184868 +1345692217 +1780406689 +333574784 +1327146060 +1391168187 +1955835235 +606092822 +1853677839 +1983040226 +1181085882 +1387660043 +612393938 +2093463303 +2072919962 +1206487644 +1921800549 +261640158 +2102727470 +989671386 +359722492 +1239249386 +1282852286 +769070432 +1956168267 +949516601 +936848558 +765039297 +1245544049 +1314702602 +599563275 +659245270 +512911171 +232486316 +992820054 +1840057231 +1623654503 +801171641 +298666405 +1329848694 +636728219 +1479752288 +570025090 +1249122158 +1425731943 +495461404 +308126154 +1200048845 +757101562 +263369977 +42236583 +1116824054 +1502619363 +1325088869 +1885894487 +1311303982 +127121823 +675259397 +2076343279 +1372665872 +1989961999 +528422906 +2031911142 +355389523 +760909222 +877247548 +47963106 +237080077 +1678419189 +346629512 +1566928772 +167663761 +1826381800 +2136953862 +1416785919 +1104630095 +484931618 +1724912073 +157195292 +1242033180 +1988282050 +199431876 +211373586 +1343417765 +1524520745 +2097268073 +507238099 +1651642568 +625043823 +436097731 +876824793 +467522174 +964520637 +761252287 +822911697 +1725429860 +1638499836 +870874804 +1962509937 +1169435377 +1217504316 +1381955061 +1337099138 +896402468 +1371425275 +606401409 +2001032563 +1856356893 +183829835 +10744208 +950906425 +24628237 +210176084 +1162280012 +1368046003 +1734696829 +1112064437 +1875284102 +1238855750 +1737108260 +163898185 +2115680543 +57146787 +1128418823 +729449182 +880058484 +706365035 +220465370 +1750933288 +521391324 +1389900748 +820953956 +1903346386 +579516238 +1717356424 +1127288013 +1185917648 +1570905340 +836161259 +1369747483 +1581649548 +1787067684 +1394375720 +1791825632 +801864048 +614938075 +1379038813 +1913928486 +342738530 +470410915 +1503553098 +506636715 +438607810 +1560699885 +1635055538 +1168056993 +293274722 +193936925 +1388522363 +2044208010 +715328250 +630939463 +717678319 +471190988 +1210455702 +287551095 +1598479001 +248889702 +1858456435 +287156612 +1618637185 +1292622335 +2074224297 +865529257 +936964319 +728604697 +1480467333 +168519485 +495049535 +1823205863 +638930400 +1998602634 +182358930 +1077538211 +1411818871 +1817414469 +98111556 +1705093593 +2011351394 +1486633919 +1601817956 +579195996 +2117573383 +172012627 +1050386984 +1180545437 +459563722 +501382338 +1429435139 +170536510 +788538950 +900588676 +1463158845 +715279599 +1766117933 +252639517 +1443884297 +1099101618 +421159002 +1938933832 +774823833 +1060089402 +1790052818 +957182764 +2137627613 +1054388042 +627113585 +88255521 +611997987 +490981331 +1574889441 +66332295 +1070177328 +1544979176 +238344922 +2120564312 +578040965 +697908645 +474463002 +2007476104 +868445155 +1263001953 +760581132 +184120352 +1978281552 +379215417 +436759869 +1274682201 +1478317036 +857918871 +1066132386 +105657221 +1918008274 +708701556 +1062839985 +1908152239 +1763089598 +1689953570 +1996407761 +227603938 +33451254 +1423813554 +293936233 +1103628582 +821309082 +532281156 +1076709246 +1399350047 +1230189801 +1551172249 +1259342503 +2098634956 +666690554 +2019923635 +135271660 +497488458 +251655404 +572031530 +1772170660 +1729972440 +1429950401 +690819398 +1835629662 +1200475027 +1399520954 +750985999 +961143619 +1015126905 +293455922 +810067732 +1242730843 +326907176 +86397638 +1536667076 +1430535758 +907706720 +2068948232 +359761356 +159573119 +1151654385 +1910933605 +1418915622 +1102805693 +430140511 +1291355609 +1238077354 +927628970 +1543011013 +1810108884 +552315982 +1125499806 +1092575637 +1243135380 +813645820 +145567017 +495172686 +1564631819 +1106710636 +1510299591 +1858087741 +1916778368 +605546786 +37511269 +2003176006 +2142213863 +1468047027 +763399078 +2063678447 +1827808384 +922972197 +1067849185 +1591258341 +194404171 +23171230 +2021398853 +1485759780 +1261248584 +801544175 +881287145 +923873820 +1353860157 +2006786951 +2016449458 +449511889 +672949123 +14532827 +944684575 +90097295 +1121243463 +307500519 +1948185036 +890538183 +913047305 +1985696306 +746230541 +907777520 +1306259685 +1509629619 +823972320 +986584421 +285118168 +1891821505 +430359115 +479522339 +1914992735 +304274320 +1965282119 +1028757672 +1105818495 +699085616 +1952631492 +312195004 +558388920 +1821597302 +761706893 +1231338043 +1836130129 +1706391468 +1321435338 +809889944 +2013891987 +1122136727 +1700428127 +779455645 +960349385 +299175020 +1687233165 +119125422 +1808804639 +363721837 +1105709844 +2093922807 +108059694 +1536068959 +425961498 +2023052430 +1840343279 +243759969 +904326454 +798678126 +942845586 +709474298 +1110873130 +1501234506 +383587953 +1872580023 +585088901 +72234434 +1431487843 +1906524240 +882124379 +1297896183 +881177319 +435068858 +2077351828 +1841526704 +734243879 +1617101345 +1960652126 +395564870 +1980823183 +918878322 +342004030 +2088882877 +307463633 +767965528 +1964451659 +323264 +1011725498 +721294465 +799001390 +1954571084 +1430768764 +1909874520 +1308321942 +1814356717 +1634970895 +1893410843 +1886591151 +918975091 +1652451435 +621231882 +69387626 +386145106 +1056300741 +2146739454 +80188162 +1790544620 +1616357151 +2040840289 +38625842 +1449696686 +812234963 +380629872 +1391095916 +1119698597 +1148595401 +1208063927 +1120021861 +12837251 +1929358393 +1919023252 +1967408335 +1212643509 +1681414124 +1128246629 +879516578 +1168901372 +874173824 +618624081 +2087876463 +379141612 +1239855964 +9780441 +765286718 +148673057 +9036247 +845474881 +1939217677 +1625393398 +738831522 +1977843519 +927606437 +1551066485 +210989744 +171218705 +523281434 +1359585145 +1379282632 +1643303296 +1372422396 +1161157377 +1414842900 +1192347083 +226317238 +948773376 +173110064 +1105833816 +2117674748 +1047283888 +1724457898 +2058067563 +1426425500 +816830214 +2067848004 +44228571 +965503271 +2076884251 +889703452 +757237300 +1554794002 +1628534974 +587597171 +334916791 +1032117811 +798586915 +506135496 +1555399246 +10688412 +1885418128 +1051218894 +1383110808 +899091858 +318578146 +427974243 +1125409096 +1267351522 +601084307 +83759265 +1237542623 +1648368196 +1808217163 +1148126538 +927310048 +477563729 +1068490895 +971538619 +1443067000 +997891498 +1861242071 +52820652 +405201852 +1342293397 +640417823 +740118643 +226927561 +1439004739 +1246254139 +1782326807 +1449693151 +984188620 +686062053 +685320312 +1883280478 +1004640199 +1113294555 +861205926 +124508073 +1714378863 +944965191 +1362050696 +1215263411 +605698706 +362693587 +2142573459 +1083262435 +1431184482 +966628431 +378845787 +281592332 +680386854 +431666439 +686794185 +2022680252 +1072084263 +1426912828 +102124165 +363605354 +525683320 +1884450972 +1813298505 +1509871940 +423029377 +351135169 +1245668770 +1427669576 +1464429725 +2106874696 +1552177649 +1031324940 +904356240 +766744698 +99104703 +1510054946 +1129438285 +94194514 +445833734 +413139119 +1060822945 +824679521 +694731451 +1741209800 +1256345961 +1381525636 +1616406404 +180946576 +660954817 +1718530569 +544551930 +1186638137 +1455497893 +210366787 +549026429 +1878527270 +561501957 +1794695199 +1158713198 +2025931682 +1754086247 +563407199 +909772974 +510958839 +1330151897 +1008877677 +2021013786 +312106534 +1103072191 +319363872 +725245653 +16411489 +1144043393 +1419977105 +1757621289 +252905706 +654019093 +1226544045 +433852282 +1314973910 +797590966 +978404212 +354128399 +105605211 +1188771000 +903154828 +1984132481 +1750272957 +550366379 +995362031 +1628720991 +156968979 +1558769230 +391010317 +667927818 +741437480 +1399887994 +541457956 +1053544014 +355476537 +860821828 +1778789668 +371888026 +2004865222 +1051283125 +2129509315 +110287280 +1705302218 +1208569712 +544139563 +872792481 +2006160678 +1522543775 +1226920880 +2111765889 +563831127 +2130075709 +1948414722 +166620436 +532958440 +796293105 +1795341427 +689927419 +207578688 +38868096 +1357855238 +949016168 +1438756090 +1899313194 +2002560182 +1794232628 +612651375 +1633866202 +18637006 +470032949 +537665679 +662674 +580320229 +95484250 +1209232386 +1124459792 +968276731 +1067909417 +499519920 +47713963 +1032191658 +1063351047 +30306024 +833122733 +1229971484 +563264465 +1629415838 +877829263 +1253191884 +1836994526 +916697360 +463563474 +638527046 +207969802 +215393021 +493603581 +2002202430 +828044396 +2127469783 +2020839437 +1298077345 +517651815 +2021502111 +1878397574 +613136065 +1083250849 +855373719 +1581412796 +3676618 +1354893639 +1629126759 +1035868277 +270761038 +1659432784 +1868991010 +1500732522 +75213601 +1350923200 +231078138 +1328405485 +1040434079 +1147775498 +1791968960 +1678961125 +1355745300 +2007361981 +25081058 +1210464083 +687922729 +5067194 +1083819872 +1986000074 +522719009 +957838335 +1716914000 +1135855074 +2041089184 +424804071 +569784222 +2044765803 +1779697710 +51427333 +933150432 +2050458749 +1710860117 +654657794 +1403707623 +1786073718 +2005580994 +1634785761 +966995556 +898531425 +635077611 +611480868 +430008903 +1990822912 +471359201 +455089961 +1053803347 +1159281930 +460157155 +2137623219 +997798356 +982876164 +947977906 +567228708 +2118731238 +841583442 +992032780 +541031812 +738865597 +624246842 +592459146 +1672016029 +527221943 +155835615 +179190175 +1930929567 +1941909334 +37287522 +1418231680 +761421242 +935818947 +2053309292 +1372902110 +1365827850 +1896648556 +1844261311 +1820917812 +802968255 +856059593 +133591319 +793107826 +1853857949 +1116467484 +1741085732 +273603009 +1087715074 +435185526 +1265635789 +1628746887 +1174051124 +1889882632 +73722385 +698583505 +269620927 +229558000 +877773681 +53066846 +23983686 +915061203 +1471298527 +785404928 +1850880150 +1377124171 +10823390 +1069224353 +1126289079 +1855084701 +742658517 +1929257334 +563660646 +876249836 +574881512 +270034947 +1992717320 +168483596 +543637957 +932948747 +603669122 +1809273746 +414211986 +1777720246 +1551672730 +487934371 +328820104 +1821293658 +717492371 +1206593785 +1874360504 +741476058 +2121654988 +1198175383 +1526880986 +1825051490 +427815906 +1537704377 +746792195 +1554104985 +1245305430 +1489450712 +1335878671 +1808966077 +218216901 +1910760183 +2079001024 +63450573 +2079243779 +475155333 +996399320 +535429254 +136945432 +1410611306 +165665852 +1688618162 +1898545677 +494485956 +1362428172 +468554401 +1701079741 +1089305029 +1210030459 +1675251081 +139996764 +589427797 +1352818924 +567812671 +2127132174 +2099611119 +2121917656 +1224953957 +1441578184 +1310312680 +886436386 +1659795085 +1073589215 +817953762 +1723245658 +1005349347 +1293109096 +572161331 +1540778601 +1430054528 +1982772637 +1706444453 +971189042 +1733834667 +53446762 +186133567 +54905420 +1754526503 +1275438596 +1264935879 +1282293937 +1415435360 +1854363676 +487629213 +1983248031 +1834012203 +439756684 +1957682040 +911482512 +1881334868 +1120511072 +1797918898 +1393646305 +46616639 +468389012 +969408316 +1051965986 +1761498108 +1541569647 +445260939 +1044068988 +1376858636 +4221745 +2015258031 +963209655 +57668507 +53907950 +1018115075 +1812195010 +1329346546 +135567306 +947005299 +597298258 +1989930983 +1434634512 +433062642 +1676459538 +1874391197 +243261034 +440458402 +1608242417 +1363772106 +90893652 +854405075 +1410388745 +559282664 +1823813391 +314871084 +173297125 +1217899390 +760132023 +1217366113 +447274378 +764353768 +1085140496 +1410484034 +822022275 +1139048446 +281115461 +486733638 +320911344 +416682768 +1433738937 +918209603 +259130103 +720889802 +1351272245 +1935589641 +447797351 +1594533279 +228564395 +2056039768 +810821737 +319458047 +762961195 +73726834 +878740711 +439290938 +388597918 +1052037836 +1657190328 +1148729942 +121920302 +2104464707 +1913083710 +1207060798 +1367465093 +587622338 +198625597 +1648580554 +1074355976 +519536941 +2065263322 +360611265 +1437746544 +176909777 +1081501067 +641535141 +2112499418 +1529298418 +88584772 +193580165 +1437854539 +899406509 +513038212 +53332086 +973133344 +1391778924 +492623025 +1361731262 +296333112 +2329705 +362977556 +418253414 +2106794412 +128577619 +1625314213 +1326775857 +716199957 +1823939810 +827872764 +1790555933 +195993103 +745652438 +3683550 +1633739648 +922562216 +1085184618 +127791141 +887577986 +466999388 +216375914 +1081158152 +1904853927 +1115782423 +1594196364 +1958186014 +2088915767 +838491640 +303325391 +1303163382 +1134824753 +305655096 +1666140938 +1553078167 +264965861 +1794718557 +1030908732 +1591741718 +363434866 +707364894 +272130834 +6507151 +903357998 +1017783273 +10190702 +389613998 +1940345489 +1095375320 +517405139 +680439827 +1562374708 +733781053 +1761597979 +1319744988 +1849563477 +1208310696 +1130447354 +1790995596 +2046802336 +1433772745 +946675330 +1034143441 +1739427841 +465332621 +439737961 +2004393702 +112567530 +1470646693 +1448651773 +476002397 +30527940 +1720782607 +482509548 +933885938 +591082232 +492700250 +1323499936 +383944073 +1588075570 +1840905075 +1064383901 +1002966631 +427202481 +678498232 +175227971 +129282310 +1886808928 +1305675325 +1920277906 +1786127617 +591964422 +719469589 +672787410 +183908615 +1184802210 +1112525371 +40818670 +1297369740 +435688417 +1489470443 +1773372137 +466216357 +1062769402 +108398038 +1400102295 +1653851635 +601098288 +576118583 +2037795708 +41690211 +269540010 +954695961 +1044656842 +696742491 +1633194194 +1219884813 +826024801 +1372519474 +378076490 +598819060 +1011163443 +970040912 +1318288649 +1683950854 +1153949527 +355607211 +648992577 +1194768197 +1652976951 +1084680994 +536754992 +1278865441 +1550897351 +1599524395 +1387263479 +803515998 +1105892382 +1988361767 +1379634581 +996204442 +2030051978 +1649174592 +1950900404 +927225172 +198433435 +1436610950 +2147109985 +1024458237 +661646776 +377702827 +1623277297 +1672810220 +1347743739 +794082298 +1209277426 +354209619 +1149689509 +1858270003 +1548977816 +655182812 +795467350 +2085732809 +1934048253 +198881053 +1537773556 +1173828084 +1002397052 +496182290 +1014706204 +234547985 +1492386732 +897274534 +1883722577 +1295803488 +1824499707 +2082156013 +584930790 +1824126044 +959130602 +1246577567 +54345224 +434924251 +771904139 +1402088963 +1229006549 +1981181565 +1756298582 +231212410 +1691967920 +1157792751 +886395222 +339951622 +1096041912 +672959828 +538832676 +486331820 +1846787912 +1541229728 +982514110 +714010468 +1775777713 +327417194 +1611285003 +1512016643 +1623220683 +1288301062 +1446689008 +60667825 +964943458 +258335962 +1307245392 +1019288682 +693260213 +2079149531 +273893998 +1922266762 +1912847448 +2030192580 +5995524 +1457331721 +1040501683 +892390746 +1797283343 +2136543595 +1565350574 +188632371 +475391767 +1264654839 +1729862099 +1457905877 +1978665307 +1358156165 +1785323072 +1442466662 +722689160 +1261060107 +583284076 +21894520 +1321727932 +1548227535 +280230482 +481489677 +420032569 +973490695 +413155560 +693926567 +748273809 +178519361 +576635500 +754269333 +1635851082 +1617137183 +1646660079 +1285650777 +1606197131 +1064527006 +1474283149 +2081588898 +181698197 +1056661600 +1392011128 +12879856 +267334117 +1029850552 +1455346519 +990023277 +143427011 +2038630595 +1011917797 +1465154943 +1439374482 +1292148279 +1946644620 +1859407052 +118155326 +212316533 +405849971 +866429135 +390835894 +982485471 +1620698468 +2026686976 +452139007 +1119874900 +1164854105 +2058336138 +36918258 +491653606 +1992441388 +218616455 +1548315207 +1236968868 +231496311 +1815649324 +119335772 +1686842830 +658188954 +262762783 +1577989778 +1670106751 +1727917727 +869880612 +814771383 +1527078699 +581804016 +932926709 +1739395232 +987653988 +1799355845 +2130231126 +1970139459 +1272570665 +2009434454 +274794818 +244961917 +1026804912 +185647308 +281880175 +1518458518 +30605049 +500496630 +919290077 +1267573917 +731992942 +587455754 +1386909690 +271352124 +1245644708 +1649672473 +1849341902 +768267811 +1230106552 +571738867 +1583039194 +609701604 +1153542883 +368482256 +201613188 +2141196871 +20354453 +184360667 +1963852683 +1292925118 +46311473 +91163853 +1537887036 +1073116385 +276811162 +1819767211 +444091256 +307416211 +172780194 +1363381333 +1574990128 +904773136 +1950837087 +814416170 +1176125260 +1048998147 +316604996 +877983515 +1817265959 +1546711548 +1449722382 +1252821505 +8929504 +455781617 +1621303761 +210542693 +449494841 +1641658214 +394903360 +265863876 +787099685 +441214833 +357027729 +177503073 +1514331219 +633838891 +1997270284 +1958422475 +941255102 +22566830 +1174320160 +368761583 +927339966 +977673600 +1183177753 +2103465227 +2026671747 +1499782749 +833965094 +1696454058 +899010650 +136203828 +801791916 +907940154 +591985445 +275612029 +1118482847 +1041480286 +1917270244 +1513386207 +1307344162 +556886281 +1954601041 +1664371892 +734389354 +1321448612 +150727135 +584175990 +1132387439 +1091982238 +606742821 +159223951 +1460743821 +1534082787 +1136897551 +496437926 +1490064366 +1016085651 +1996220676 +176545812 +565056061 +747747678 +312749640 +1366847977 +1655687832 +904735086 +1642460007 +626687032 +1946215372 +1412246603 +2140073239 +1106075887 +1969132884 +1947190632 +622964131 +556038590 +1121155596 +773691266 +1140214580 +106059387 +1865673504 +1746957401 +265283339 +1178933677 +1133556541 +1402180890 +1675371604 +476137259 +270782893 +1524108632 +652683072 +835838955 +124372662 +965432712 +55203284 +1780060494 +1870167798 +1697663291 +259263878 +1668899523 +962426246 +251853470 +627491762 +784075482 +51560454 +1250455893 +1340114072 +1172716051 +2024147159 +332845005 +1278775438 +1742337016 +2079802406 +1544058777 +773787045 +1065875299 +798756020 +301675001 +1542012559 +1069538913 +1825783633 +47211983 +1905377868 +1950156295 +1012644695 +1960581153 +1582733142 +735328846 +1510760796 +1841997020 +256744721 +325703395 +2093850490 +884236483 +1109778877 +2145410945 +2134692376 +302409302 +1170643348 +2011355887 +635254307 +301935138 +1606209255 +567573065 +1845993916 +232512653 +1633448365 +497266288 +534187654 +1027977276 +1566805201 +212487640 +1075189259 +1324699422 +15160287 +2087833954 +1137796927 +1597893429 +675679152 +501074075 +1292406802 +932423873 +826777470 +1238773644 +1816660356 +1936556348 +1236700941 +1803869084 +91482002 +259860641 +1667741324 +726736309 +561795780 +1126466931 +1294309374 +260306048 +1358979584 +780274091 +757572336 +1893167239 +1808251367 +176893889 +2105654879 +735956978 +1501593311 +2120815166 +676307285 +491906590 +1571224948 +1351986437 +992980666 +716148102 +136926663 +1819758136 +1954921746 +1953587019 +1608830836 +1044139040 +1609972456 +1700312838 +1303999681 +1130230132 +279565499 +1865795461 +109213415 +1573874874 +2126101509 +1468193000 +206665317 +736190197 +1213876591 +2014916685 +913084087 +1172047822 +603390015 +267193750 +1145379340 +1279697300 +759100341 +569120640 +484200090 +1752081007 +1285268742 +621126753 +1424355495 +1092706841 +427230124 +885702684 +2136845881 +2037202580 +438531874 +1293361914 +1019949064 +718097374 +1011673728 +1129162480 +144488600 +990291589 +449871832 +351153917 +1726481787 +1663748423 +218586954 +492082226 +688312597 +821976970 +759275976 +1833691937 +2101674270 +1518376317 +255328930 +438390712 +1122973676 +1540597672 +1059517465 +399845524 +485820865 +1486747590 +1285548208 +475183098 +1376466522 +1724080082 +1768545013 +248931939 +294693808 +632735093 +1378094419 +439182408 +1623026682 +1827966251 +790336326 +1202024821 +1344231026 +1008923280 +1694107047 +2032543623 +1830900250 +305899376 +1718751912 +1785090873 +1824275693 +1974080842 +75997937 +799765722 +1367194867 +1135515403 +1199611246 +1853015732 +474779345 +337675806 +180715183 +1851245867 +2061755888 +1949260196 +2100177806 +208966049 +434511641 +1330788577 +648148457 +2057538323 +1011271180 +1438484783 +1112079497 +208018558 +299924416 +658702896 +93078533 +2130824666 +964602272 +1811830446 +1768431891 +641394318 +1638427640 +1844429829 +1441160040 +858138859 +832461584 +493287638 +563670944 +1307240929 +830963444 +744386127 +1011003148 +745235684 +546162675 +963697307 +954201733 +980674316 +147002236 +1602350191 +890728991 +1158273417 +893351326 +2002808488 +1366291975 +1193275742 +514027737 +1459370509 +1176616761 +1478630009 +1123717307 +797565004 +2120024327 +614661299 +494511185 +1413700719 +1472800159 +1326972769 +1906988357 +2036471103 +486730050 +590468153 +633373582 +1497733199 +1335703838 +1179536257 +313946858 +142421923 +12726925 +460949094 +1744772114 +903455916 +1619222511 +490639793 +758780757 +838030839 +1683915535 +1272808494 +149917700 +713048648 +603954855 +1273635007 +1510613653 +576495535 +1888296306 +2005124838 +1990196254 +1213612817 +1184613960 +1749700964 +1102600272 +1671344010 +192685469 +1735973854 +1021593561 +1528389307 +768026463 +1335540419 +1670811231 +780753388 +1796489514 +1268099697 +1684209305 +1268228377 +1758739490 +295506414 +2106259216 +1295171378 +1568314908 +108693268 +2008220026 +24786115 +1382328275 +1371350031 +601281650 +1123140934 +1228991222 +443994257 +189270103 +266121534 +46211573 +1291870376 +1937465544 +238897042 +880360582 +811575458 +1767286350 +1648387046 +2147115877 +1290613933 +281656786 +1796121743 +411229982 +1965866091 +916866473 +22485825 +113888857 +875642041 +1317657203 +1682203765 +984335310 +1178393581 +1706989881 +219179937 +402259965 +160787883 +1342320871 +1631251187 +604782140 +1531590975 +1897372721 +650993713 +675977703 +1687354617 +889890756 +1556338285 +351446427 +509693458 +1057241683 +351078657 +1800307391 +1338898470 +2147200400 +64053725 +1157280913 +916583225 +86539550 +1271169771 +1792225267 +1404196753 +805889888 +629076929 +435106687 +365396121 +848256866 +837366652 +526184005 +43094090 +321134191 +1130966145 +1574685065 +71023264 +1781959859 +103179120 +1758377881 +524366967 +1659517405 +2109824309 +1034060425 +569275441 +313419318 +686884168 +1908173911 +313136070 +750937893 +917971176 +1229719296 +837477444 +41657299 +874460915 +94190549 +847547188 +1503537844 +529297236 +1212943309 +204311062 +1366663888 +1739127314 +247405152 +1687798079 +722609812 +1822090217 +1758821343 +357086023 +1925269337 +1369715577 +881452990 +1437303095 +1332056238 +1915513415 +2006578536 +1645475556 +454913935 +1767268799 +1958611626 +1205851828 +537756327 +1040847274 +2043329272 +579413627 +1915308189 +2137519822 +1426960815 +1271362385 +519333410 +492420476 +1475673448 +1885997299 +84064143 +1723078600 +1426311730 +806673955 +1397685170 +1037649426 +1163759978 +1175470859 +259881355 +2045212968 +465290306 +1591937593 +1813242735 +324385194 +1089929501 +120673022 +2091653993 +901057479 +1326524850 +481926673 +1941904754 +1222370475 +1061340300 +1709729295 +1212406649 +340817467 +833608033 +1731740059 +833237943 +161797833 +1470253710 +917302086 +1884876433 +749081793 +1723976041 +1135077955 +1786731219 +740252371 +163065167 +2046612574 +637981691 +628355473 +1491066519 +303740778 +952740668 +433512372 +424413800 +896911013 +1334569851 +1750938651 +1378837686 +1128990957 +825825478 +292694338 +691236605 +2038232127 +633511805 +1524844638 +1622488538 +1466749749 +1686642471 +945258601 +236568187 +1424035256 +1694340394 +1960544229 +411629564 +1333587965 +553312952 +574694731 +1232716891 +1191294644 +1203050204 +576299762 +1495035422 +8307224 +1009812134 +1919449223 +905218238 +196898337 +1522904226 +136572276 +1325889295 +201246056 +429266615 +2017125900 +91994535 +1062778420 +1394486890 +1714483073 +382044521 +933645713 +512258026 +618612709 +210197321 +59114772 +431673290 +621826885 +1392702737 +984986242 +1196521616 +477935980 +28797238 +252088173 +1054235742 +1523832661 +260395397 +2064047876 +1295798236 +1165613635 +113462566 +671218814 +1302185912 +1439351861 +872464870 +1731452527 +1308994113 +964459405 +646747299 +555997355 +531458830 +1028791821 +1489643068 +1043716857 +1647404530 +1699840389 +1102831629 +2079077820 +174183627 +348050719 +916580414 +1370705243 +825986699 +945377653 +1622793416 +1880222442 +321726666 +1883188814 +1796786670 +1617524902 +901318801 +1910249236 +141260068 +56021065 +1202117449 +1013724938 +1787473592 +363627914 +1978184343 +286737244 +919625269 +362159525 +1315529065 +261784689 +1405876382 +815449947 +1961625079 +361224364 +747044119 +2135808706 +709275083 +1663624533 +1359030301 +1535261782 +461518538 +834340070 +1268000576 +783245204 +570045236 +917303599 +253286458 +1471364037 +680069187 +394546526 +1527385103 +1882186637 +1408271464 +1167375047 +98330903 +1238972159 +1454112291 +1017956173 +1601131685 +622157708 +1279740862 +859524419 +1437607655 +1093882293 +1220748783 +37168126 +1082207351 +1930023866 +1700792660 +293754005 +1317802001 +14827550 +1128094075 +438318929 +798072755 +1698139311 +1355622528 +1051359213 +1022019700 +2035691716 +1445905740 +401921155 +1770394705 +706693556 +1569296203 +1868725608 +1945665716 +875924846 +739198133 +1399313753 +1498082555 +2018938996 +111354524 +788206562 +965337641 +1332103308 +825374689 +2047544993 +1114643526 +378683701 +193815350 +284961879 +393511251 +1321909425 +723280809 +1191584006 +872565088 +2078903337 +95459572 +1894584788 +1967111405 +1541365312 +149022296 +1590022462 +100575220 +1718318499 +1311264423 +2046240936 +446759697 +2050462556 +1298071041 +1944842252 +1921917904 +1409425566 +585565167 +739771898 +594045226 +1410939856 +639833243 +1708688752 +1789623557 +833648593 +1993650632 +35651160 +8074370 +569447793 +1227235167 +880639458 +500867482 +1322694739 +627740598 +320495240 +716576403 +776762894 +1910517702 +817151623 +347597745 +1074298477 +715908912 +794357443 +977277386 +2013979953 +591716047 +751711642 +1275921871 +1177281214 +1491483540 +1869967097 +440737422 +2131316783 +1431172202 +82877331 +817481728 +1277339186 +118528492 +825556098 +1846786979 +1345763659 +1706195556 +200170813 +520974750 +186452507 +520666053 +1237551153 +963215401 +283700108 +2054702776 +1310813147 +1357998585 +623128040 +2105170590 +187792323 +489624346 +549402989 +939503966 +1765546217 +1726684204 +283503858 +1488029667 +19937978 +267336994 +771718221 +102815310 +1084818722 +2049057407 +221343802 +1910374821 +1748360738 +1567107461 +1469086729 +1948531551 +2088082211 +1655539236 +321713957 +1178149716 +471270990 +605414065 +1085368844 +1782084137 +1963412650 +1708496885 +1739771079 +3721326 +50637583 +141690420 +943225292 +1816183800 +1868374624 +1226729150 +1156729819 +1888312603 +1494066144 +1928448040 +1991127913 +431401219 +1830021799 +64988067 +194292392 +1430898889 +1632095528 +1663379121 +1231946793 +1572694091 +1171434710 +1553660750 +603360159 +1642705700 +11591167 +1688729003 +1277306189 +1975003817 +1249742240 +869593620 +1978725143 +1300379823 +1011284040 +774466787 +969079976 +732175017 +2001195938 +2125809795 +473003972 +1347778434 +1906774188 +316648237 +1779179653 +1589312339 +381636304 +1973472045 +872727581 +2013731832 +1489367519 +2104674374 +1438942275 +513318581 +1510851476 +2042302434 +8540633 +1522442643 +1583547789 +1285846822 +1349962812 +685806382 +7956794 +1181204308 +1986186205 +1019240834 +1955671095 +807782533 +1751415851 +1809383385 +786108681 +76936175 +1009678172 +545399221 +393584412 +641374177 +2134711560 +775220716 +467362575 +859955493 +641468900 +1956730094 +817146219 +2080411175 +322565027 +180514047 +1975229961 +331105660 +1702956690 +1411294103 +1616952482 +905435855 +2097100485 +1624909276 +2086640163 +1935803042 +496666462 +1894827610 +596101928 +100598666 +1556727348 +1382210609 +177534841 +418921872 +1927609830 +571119254 +1060296049 +1914837742 +1346339970 +1527658624 +627309588 +1987808871 +1336905070 +1444455807 +1920736398 +1659470097 +1624969855 +1748482712 +1990575757 +1180442897 +1012293167 +1460044591 +2085878752 +961910004 +937470219 +2025035267 +750229398 +1434136682 +1772379230 +1346331326 +1534735348 +1181622930 +581058287 +1712270189 +1600544802 +361184469 +135905795 +513357203 +128538564 +1482245766 +2041015828 +755848152 +1322570989 +1230437250 +52820311 +1095823739 +742423700 +1677790166 +696822803 +585515809 +710749416 +1709115970 +2045560401 +649144520 +523542326 +835546972 +526696140 +1273771725 +122200006 +151591722 +472619403 +1656935354 +1333214652 +1053677691 +1221721896 +786275806 +1414862160 +1357627691 +1299633009 +1543400724 +692389809 +1193165189 +151765228 +2014960798 +276118792 +204585540 +963300890 +1018542492 +1882375706 +1660123693 +1604058301 +445641474 +1221756016 +1502135054 +1094785995 +1745298342 +190198379 +1621482135 +871586419 +312398385 +1773073857 +1344205823 +1969333740 +958804861 +250399866 +1043571988 +1745080667 +1665262026 +253716031 +897230028 +1061179103 +946105841 +2090395218 +1212944331 +813582991 +219030362 +1417529871 +1776883881 +1237572854 +1152421930 +1289523927 +694147507 +1598063404 +363796295 +48798914 +545365751 +2109094637 +238997293 +19364238 +833197409 +551395678 +1792438095 +29919584 +373245770 +603759308 +280319450 +1416817758 +201356327 +1945581476 +1670533790 +1098586356 +859276931 +469155983 +1041497926 +2072221263 +1282738974 +1260528288 +1342267486 +912139208 +350617494 +347205768 +54179487 +1044765001 +1945269173 +417975782 +1093563915 +343151276 +379586771 +1332561208 +362515515 +1212784180 +1883956887 +7469962 +1242703764 +109719009 +611229271 +1523023214 +1526536768 +812585598 +1321121043 +1049586910 +1911171954 +32914326 +1518742893 +805186232 +2105135589 +653998219 +2065714520 +1299919428 +1566137427 +268848366 +1647125196 +1620316914 +1313613368 +1444910721 +2038292696 +259693635 +1788061998 +270395820 +1592254844 +3093865 +1483180000 +1328728083 +10563827 +578400117 +1438447092 +621793098 +2101423331 +817500212 +1434378697 +1275060726 +1867087122 +1198067003 +1307975053 +1238346367 +2003253236 +1265626994 +1892344587 +1921484108 +418062774 +1310998366 +42848827 +2065187971 +783831633 +1356462195 +1362615044 +674640681 +1616155830 +1003193394 +945036501 +1060927026 +1006287259 +280732854 +242171461 +1016851087 +859132971 +1680618554 +1638644185 +813072654 +350635118 +925539234 +2088133381 +70238593 +2123606238 +1248624786 +1308584960 +1979375826 +366768132 +1053445899 +1753376286 +784830907 +216960618 +1796225113 +702535230 +1000792251 +1005203660 +2065150274 +1675432932 +473875843 +920860021 +472985786 +1534802869 +1927147280 +753718640 +1776974331 +796514719 +1612851611 +1310109237 +287675257 +278440617 +1660744355 +1213214491 +219090350 +1730982948 +1189337081 +1467715136 +892084261 +1021229259 +1834483269 +1945530160 +627121898 +471830528 +15007130 +275863363 +1174365758 +1015799381 +1281067024 +1092032384 +543748666 +1754942867 +2012892405 +1016734452 +1142262088 +1792556038 +1770453092 +771752771 +441587109 +1235821055 +2081862008 +729262366 +1514261672 +1595122716 +1942476858 +1733352023 +1178622016 +984330291 +1053583511 +2070706277 +2005559551 +740583132 +1868752790 +485197801 +1212413660 +1883759920 +761061164 +239295770 +752075654 +2042128188 +1331328155 +1295824320 +1649587407 +1196736912 +165075124 +644365848 +841809302 +1935528216 +1416118619 +1283396412 +1023865623 +1350496980 +2012658778 +390643647 +798136048 +1807651988 +2123995670 +1976758064 +644498632 +1030095534 +1899980694 +502574535 +1770678666 +1621249836 +987772336 +835608679 +1357526108 +1748833500 +1074904449 +2109601762 +1643478041 +258748956 +1257942434 +1145581800 +1455485869 +1423017558 +1789947648 +149811523 +1211062126 +1058582620 +1433207935 +87444101 +261595952 +1298383066 +478087749 +1059732000 +958551406 +454599771 +889006416 +1603050038 +1484695305 +641503462 +2105624573 +1107890324 +115269650 +945913261 +1943499003 +1472795759 +547263114 +870919804 +1434913873 +43257507 +1129668761 +545372660 +1188839307 +437670982 +1968390218 +831303308 +587482505 +1031968697 +1889885928 +2020690441 +1119412798 +3998232 +1171589859 +1597500547 +1063730232 +2130141265 +2052100319 +1952736648 +1585707656 +1389311976 +446756463 +1543848581 +349718652 +562026113 +342278195 +145734007 +2034821872 +889541309 +1016653812 +1322252098 +932798816 +2146322573 +1867624758 +2121638123 +436509907 +1688531328 +805457783 +1023992412 +573016377 +547860063 +897199205 +1692429176 +551858295 +2068789064 +1142446075 +1615588527 +2051446682 +1047062746 +1420841528 +1489670690 +288891075 +1867597991 +886035623 +638609727 +282140456 +1228313818 +784343735 +169478681 +2117855127 +1800997547 +1491730779 +903170295 +1799836472 +1211871889 +877324771 +88862731 +752919569 +1682782554 +1112855143 +1325935947 +83158970 +2010054349 +870881475 +635017265 +1931359765 +2013327550 +103122145 +1835322799 +912906649 +1523963673 +1177509841 +1201797724 +1244078016 +2063545465 +1840407451 +1526218472 +1144375635 +477267538 +1695697153 +1114747115 +130781437 +1039944284 +2017917410 +1930617909 +104332525 +747758533 +2019480640 +857252095 +283057440 +984852136 +35704394 +366216410 +847422837 +906585869 +1001233675 +631298954 +772429771 +1104355820 +319138106 +1685336420 +480835845 +1496647947 +739650496 +1724913861 +1412709764 +432574300 +1103648686 +409601752 +909841838 +651862191 +1524348867 +1040623276 +1691806476 +1394782629 +823757537 +1796139001 +2142541163 +695754530 +505907448 +278114955 +1680606666 +541611842 +644331365 +380545855 +1448197711 +1645565040 +1011844809 +73143835 +602437213 +1330982915 +1758480255 +1083273058 +680147215 +350647104 +660703272 +2092856979 +783221404 +1764351958 +354975083 +1693063242 +268730501 +1879323950 +586202870 +1960536977 +1126622932 +1409960408 +1609192331 +1121680447 +2105714938 +2115099779 +1399795402 +1638837956 +509227974 +2044126767 +2019383811 +1957425685 +1542208159 +883744972 +2030569520 +2144645372 +67244240 +1641566128 +1080434783 +747391455 +1992213232 +1741138055 +692764786 +627950988 +1358006365 +1047739870 +173530582 +1626736866 +779580172 +759733453 +1439790196 +1906203104 +22210213 +901498879 +880399903 +2127925151 +869115010 +132711657 +1619279459 +1378342984 +29354776 +1491179622 +1188285022 +1571562936 +227440946 +1071370894 +1568724660 +294685186 +565453374 +501675795 +1042076641 +410182958 +95330202 +1734841428 +1038133946 +1453336567 +635097650 +1211664529 +932589786 +1414677822 +1971397982 +224896334 +1173397279 +1993608195 +1126395213 +2053797182 +1974049698 +1995510223 +39025192 +1445845509 +1226369560 +68379968 +789541483 +267170934 +1639942904 +1016982429 +1338541828 +1061183917 +1311667616 +1903995203 +1562859712 +206260609 +166694513 +1658189915 +1941102037 +1204828460 +964042834 +428716039 +269009341 +1896632620 +1843393862 +92923675 +2121528954 +869307493 +2086531870 +1100440519 +775621027 +1913097920 +948467095 +814646219 +1211459781 +27353007 +883026188 +2001001264 +294523941 +375485444 +870500045 +1633065769 +1436669361 +34684013 +1389577324 +852045426 +240944623 +1556271838 +362751693 +34563012 +613616650 +1326794527 +463279052 +882625991 +1075943500 +159189266 +975549666 +1049988806 +1028496759 +914597888 +2945678 +1804117786 +680212160 +951412773 +471280358 +1891671941 +978765780 +1354306546 +1745189557 +1273289721 +1729791990 +468205954 +758871842 +1018977704 +502889968 +965519 +1871023130 +743834591 +1557237357 +86291175 +778397603 +23370359 +1413085702 +1241676655 +905996350 +341545554 +1400865921 +1881546016 +1391534361 +281879032 +648660256 +1394480039 +2085996819 +1328872416 +198409164 +409793529 +1073060709 +1177174944 +1764100075 +670766618 +302981017 +1346408417 +1138972572 +1061852859 +217902473 +1641862540 +1062818378 +2088925603 +238213483 +472572087 +27733130 +1016611087 +495942446 +1440818833 +110804094 +1401938796 +1782364387 +1511670016 +1136001164 +1026415100 +1793549048 +1784661420 +273411491 +1732062219 +966050188 +471820655 +2141855748 +2039110897 +1648995599 +1758472175 +562393867 +1951976616 +957396945 +1701366440 +866345828 +1175299418 +1195745332 +1929164206 +1116741374 +1433958816 +254252646 +1144474504 +303086255 +750195092 +437809689 +413890349 +4650241 +72690429 +1925560365 +1140651405 +1099105529 +1571625766 +777829178 +1372517021 +1156204337 +1743879366 +1844337676 +1150576438 +1635506616 +1345849628 +761564965 +50416835 +1150342596 +1718961910 +1751783275 +2016688424 +746777681 +800044960 +1798368983 +1863519055 +86520128 +2052621629 +860509911 +389606383 +655333073 +1298319601 +803496732 +659983314 +1371010030 +581573450 +1800634720 +322631911 +5715568 +430980250 +1695148932 +1161919905 +27375968 +1392002961 +165012695 +1662882584 +590368941 +926577661 +1713299420 +1740711537 +498055923 +1317599047 +1609916314 +1244833604 +2117644007 +1260801649 +960869011 +56680487 +1165939630 +1821378923 +446286870 +1821272703 +972214876 +1249783603 +333772370 +195741258 +1831357053 +2134407090 +518373169 +1837072621 +417903692 +66038454 +851508878 +445279660 +1458041415 +1016521574 +2108162245 +2048410356 +1943099235 +1673978017 +1641638245 +293671510 +844093416 +1104070911 +1538505115 +814253776 +217388912 +351890478 +870934263 +1383328542 +25785753 +1317221134 +1057117598 +998000629 +419521089 +1390889968 +1193741887 +103394494 +1377813410 +1712115057 +1940467115 +1795717102 +1778153511 +644492345 +93513114 +1088711278 +1661013919 +54191711 +989637986 +1456629506 +1728169728 +483792583 +1750301017 +424779497 +1587863495 +1141322484 +1239033273 +1805252407 +1493212962 +2109967536 +1041097302 +1518998716 +1279705022 +2098214900 +369515697 +1699226111 +1341621220 +1563257585 +1802620605 +571950982 +1127888994 +1595604072 +220184436 +758558857 +92612770 +313697550 +1847270135 +1753626689 +367889262 +689424473 +1062772548 +2096058990 +1173217056 +665589917 +373354839 +613596903 +1806912401 +1612388112 +271365663 +1152641715 +1574872001 +1312462965 +524156783 +707093375 +1263194217 +893672481 +258835839 +457331789 +309446418 +2061456444 +1029282771 +1437335412 +1509576869 +1249467207 +48410621 +1602189639 +1563164757 +1895680756 +1208332680 +1931054019 +437621581 +123621580 +1879629362 +1610838637 +789211497 +105500553 +76951893 +448640250 +1717888666 +348317556 +1601281966 +1145277019 +1660780521 +2125438749 +1852370394 +776491090 +871627582 +2111206233 +1233822879 +1181074000 +2025179030 +115622002 +470925764 +1387272251 +1365089209 +519336385 +841978242 +780770318 +267533493 +2050310922 +564340690 +705155074 +26448855 +296486404 +168510064 +815660352 +401986957 +245461957 +1264300603 +2119875623 +593779513 +718098921 +1117668994 +107076386 +696054022 +822555741 +883567476 +1567681605 +786278326 +2117390355 +601271957 +663973708 +85528709 +1072197722 +2051245959 +1450617918 +1591534107 +745740553 +83904588 +1859067601 +648567828 +648245278 +416739027 +675016683 +944731682 +585249091 +1490677035 +1346718640 +830711048 +607493990 +1319110615 +1424490561 +1325592911 +289295962 +1531566947 +2021646934 +1111851703 +267650775 +1441844891 +1898130029 +237557482 +2043116848 +414620090 +323086191 +967830922 +318382401 +1773704109 +411881382 +1064122955 +1857608698 +123465335 +1712690783 +358370328 +540204362 +240223818 +1303102011 +1125453454 +1730900853 +502337003 +1956164502 +190911196 +1821447618 +1233171416 +1516504107 +2110743580 +617254715 +1390667393 +1075111635 +884905491 +685028636 +825758017 +1122462973 +580661837 +1240378107 +1445549165 +1548492759 +1558760508 +1071769626 +1960374141 +475399815 +781894676 +2083839476 +40606950 +1140265005 +476560191 +280830768 +295883368 +1602013645 +2011731622 +798220371 +1410694499 +55159170 +472184341 +496382267 +1571663277 +435444274 +1113636983 +814847023 +1510555909 +1998542474 +1499875659 +188830278 +973521799 +2080537496 +1429208385 +271587316 +1481546608 +840485246 +1343356943 +1294437101 +1315885061 +2125251619 +1230792930 +1356492012 +1118032976 +1707353121 +1637322780 +1413916344 +1161883118 +1501570754 +64653067 +425093969 +1556729924 +536837409 +921476237 +980909554 +972281683 +2035113220 +1795756577 +335353944 +1886172046 +1148148588 +524184223 +712210197 +1081202437 +1953392608 +983797514 +415265397 +646394206 +179670809 +1709702498 +1962279268 +157438780 +793011780 +1171287632 +1275471757 +352881253 +661126764 +541904453 +1514764371 +15213871 +606557521 +1939858341 +1571943795 +1143394930 +713850930 +405369701 +2115676613 +601480502 +53642630 +303546909 +340168900 +1201791219 +827731132 +1052379097 +135510008 +633640093 +2036176611 +550775405 +1280034299 +68363772 +112994255 +1094829919 +225802553 +906006036 +118633903 +1501274310 +1258887289 +779760668 +2043178763 +626168013 +794974539 +502252636 +418542706 +219434686 +1645647566 +1132393636 +624804388 +1613840531 +1733874138 +678447018 +1917387441 +2074043038 +1880238237 +597634925 +978938487 +2015748245 +1231275018 +867631451 +419040002 +363825670 +935995223 +532034258 +1458655589 +1161797776 +1438040294 +1577289493 +515588438 +549443935 +209566513 +411283554 +1175611948 +1004541052 +913536190 +1594154654 +1223975738 +411700109 +579064642 +1848780126 +2025540640 +165455132 +379743497 +1795444433 +92014522 +112498086 +245595711 +1070953010 +2128246332 +1476870729 +1938584461 +399802686 +1840696399 +727096036 +931836944 +1151868341 +1888893813 +222393590 +581674186 +256998603 +771837526 +791240699 +668282157 +1947449474 +1795781751 +1581818348 +1394120481 +872273841 +1993518457 +1973185123 +573570320 +1871575449 +2138640256 +953313817 +1519536235 +83171130 +1065811903 +1765131946 +1154124140 +1046574587 +1094519027 +945224953 +1446377274 +787731779 +1672320990 +230730570 +1939600120 +1413731155 +453124161 +373790658 +1670729758 +1224961687 +1165031357 +191528268 +1024927513 +813329460 +1773346616 +271564346 +1685603301 +1619381425 +97265822 +111689973 +1343473226 +88422430 +1065003790 +715525813 +171593560 +2130815694 +333174111 +1325717701 +1029906633 +1427693139 +123459006 +328800259 +67941270 +1795779996 +559530830 +2007541390 +1062027503 +1012654991 +233848400 +585273614 +90133030 +1398879757 +776801882 +1115060543 +64725569 +402664850 +1386624890 +1750328870 +2022046275 +1483890712 +1862018844 +1218035853 +1572313142 +779538986 +1933561667 +1743906702 +762871032 +119252130 +922140755 +1792777666 +1546945269 +1045599762 +2121577925 +1614886539 +693896110 +533625107 +1474944281 +1755923614 +1546280098 +1708792681 +193713580 +1636413128 +960188790 +970515462 +603990024 +1024914359 +1373180312 +1990614914 +627759582 +1247742939 +1327021978 +342294778 +318295144 +751851472 +1121833764 +104373163 +348274526 +1884704797 +223625294 +1270415282 +1529998815 +1770570563 +168531396 +1504093092 +1237973455 +862427506 +2037718200 +565434088 +470867472 +1436514650 +126743122 +664581052 +925444131 +1086931912 +1635096514 +1529434155 +2111846272 +860793178 +1372565421 +592122206 +2108536117 +552103751 +934416984 +279347614 +1303955223 +2056250748 +383720777 +1652229749 +1793471897 +607346071 +775161383 +1175987064 +230432987 +943692779 +532596509 +1468406442 +1806120286 +422831061 +2033840530 +129504110 +1859345711 +13100004 +794085163 +637306194 +1100031917 +281698029 +19256701 +1064394541 +1142491208 +1391822122 +1656516747 +1103543677 +1943925873 +443450083 +1382891291 +1100397448 +352217183 +1766612069 +605143550 +2145689081 +226474492 +1380304933 +1174192497 +456907479 +176514065 +1706789006 +1925313921 +1982634351 +2129620067 +1811670804 +2112138461 +1841482131 +1824770808 +758739976 +331304677 +777319077 +1040438006 +350561379 +1841713618 +35445566 +1742383501 +1350746717 +1138989243 +1538825727 +1794196800 +374396887 +491739527 +2146413984 +2141008956 +1096883077 +2144619417 +219999800 +329704363 +1171328266 +676907280 +506218428 +730633625 +454737553 +341369131 +712770044 +118924709 +306023944 +406768527 +1943695518 +1064763921 +738073205 +573530947 +2105201927 +1088634584 +267760918 +2140647493 +683534437 +1618507635 +1132153088 +74876516 +1265220788 +1506549975 +566616044 +1264151124 +1500075283 +1663499121 +1261286893 +1720075084 +1993203484 +285131511 +249498716 +351938264 +1015765136 +704236269 +693307395 +1728535181 +823160979 +999331340 +2135303708 +619372849 +2064095261 +725893265 +1192903796 +2021813540 +1814527849 +1460664714 +2014977385 +350578639 +931688702 +999646825 +425455155 +49425842 +358713153 +992071199 +1313576966 +1858788436 +508086673 +427380211 +1431379872 +353806509 +712511722 +1680878588 +705744774 +1728276859 +237631210 +1399052169 +1309328392 +1060792189 +250899861 +1297148452 +1680165038 +167511474 +2023041718 +725585186 +41841366 +1690085919 +38766253 +2056818751 +2040664558 +970454955 +908981929 +318636066 +1019880797 +1267695082 +1310707265 +185974115 +978999870 +1818793938 +613354326 +262896095 +25116800 +1325866048 +1943774683 +730861574 +906659259 +33922245 +2129913743 +68504003 +1094714434 +233329957 +1365652456 +627395824 +400841431 +1241210526 +1352981011 +442682798 +783812797 +1391747264 +352017901 +676993708 +214718571 +1260999830 +995629774 +1234599368 +381211264 +158853391 +1420573483 +1360211135 +1977647330 +2033927809 +1623107230 +2002764130 +1212310209 +1419398265 +586142056 +2118969469 +1453320511 +568572151 +39989824 +400551297 +801902108 +1405642280 +1027947122 +1202743540 +499369158 +233444485 +1645426338 +1283181956 +1625191749 +1997444239 +1960175664 +1839910320 +1110960422 +808321790 +927026040 +1492171686 +967175181 +200115875 +704899173 +797338863 +86560036 +180522755 +652619345 +1298870245 +1599921021 +1238761401 +1270356066 +905757884 +1807333553 +1310345891 +1306309181 +461752013 +568504523 +186772655 +1664495553 +1067873682 +420217140 +1162438243 +203571990 +2045408889 +1012398835 +16264006 +1737835561 +2123359257 +824585796 +517377953 +1468047295 +1791760977 +717493828 +25462821 +441616193 +804053864 +205985576 +1094235538 +2102924110 +1805906597 +185513292 +1225796528 +564180833 +1992846845 +388658771 +1870490015 +307115210 +957163295 +2057262670 +1971610764 +2025036977 +329996163 +986565359 +81125319 +227921404 +1998964194 +97389325 +1965756966 +1974839803 +921975121 +335651271 +1295403451 +566252450 +1053145100 +1320866272 +1007868643 +1857198964 +1526851848 +2102104182 +1812639426 +1185274798 +140133826 +890952307 +1749455631 +2132980671 +1279611078 +1472461998 +292612233 +89290725 +1382241021 +116739349 +2114327702 +1712237184 +1103304709 +47969373 +1940158588 +954785255 +145358698 +1758431906 +782141411 +1067333819 +2094083178 +2077544862 +1633586270 +999744630 +1250927486 +493971265 +709459946 +630295686 +448591799 +374615725 +1815570484 +588725625 +1265568032 +1417542468 +574222648 +397695462 +742520818 +866834882 +486986188 +2124761839 +983574231 +453830242 +1689515375 +2086878940 +501799616 +1482190316 +894180548 +647158314 +1093138574 +1676321959 +1714492134 +1039738104 +1606383173 +1200594756 +2039482734 +709827011 +1694566021 +601459033 +1340122697 +2143157821 +976074758 +1008209534 +584399798 +94159142 +278268354 +1158622447 +491854604 +1020789172 +2025457329 +978840792 +998067364 +861547912 +1432671035 +540099091 +800943205 +1934470651 +2022289407 +1695123753 +434145317 +967944334 +1223962064 +1153803 +2007682438 +682861589 +1201748559 +1899681525 +1392688600 +748830933 +353656910 +585327649 +744505106 +1329731668 +1593537183 +1328904904 +1423890810 +1871805537 +340043703 +1915745414 +745111062 +218017384 +747102559 +1743178426 +1079565297 +32289946 +135793869 +1880508502 +1966760597 +10599629 +1428148607 +253422266 +978543963 +504627023 +254576070 +838742753 +1187488612 +1456324629 +590940630 +432693564 +57671914 +944597540 +1018021213 +802177020 +126845560 +464074749 +2131081925 +1550736370 +188396638 +323641980 +1318998137 +933507700 +541659365 +2066100696 +529202478 +1621224662 +2098390642 +664996348 +1354249516 +1917667591 +675595977 +634914475 +23606209 +1654139940 +1139541498 +278182279 +345399045 +179546462 +1734506909 +936339676 +612240026 +1792178823 +1880937216 +1630261239 +446872196 +2007782777 +2094335988 +430470473 +1411035499 +135248979 +754112453 +582549988 +1068756679 +1295771818 +501167036 +1597959158 +769512832 +452074030 +115471858 +2123762348 +222257973 +791067835 +611193175 +245864183 +297724127 +1750734673 +524046462 +643123172 +1930281135 +111069723 +1579462848 +395037513 +1903248547 +1312916417 +2025298753 +202637095 +1173215546 +1972151093 +633107568 +436767397 +2107400072 +1387220021 +1019317386 +1028673104 +535508192 +1520484422 +479148614 +1305021024 +1972558453 +594620472 +1281299725 +47332778 +1385688307 +1892492900 +293196961 +1683412434 +1495743926 +817243424 +179051958 +1278541413 +928313147 +1758514807 +1673578927 +684078046 +923947576 +1551394032 +886715141 +2097163122 +1376061477 +1519822709 +386446871 +1335977902 +759559083 +1405764257 +217167358 +1295067275 +778765032 +696315972 +452604651 +603839837 +1290936444 +1733904376 +651172615 +529141103 +1478913629 +944369577 +65069889 +827173907 +1761613001 +244121847 +2105715320 +542442500 +2002636654 +1631810599 +1226520547 +779100582 +1035720983 +2113235688 +728780056 +264298813 +1485574750 +1115226928 +1600276715 +97650185 +373507537 +1817444073 +1392717460 +1152272569 +366276397 +1845322111 +1756112406 +1657212841 +1431742840 +259801374 +38870296 +763172821 +1204170951 +103940185 +1590346728 +818300304 +348062032 +1548578400 +1360742804 +203215039 +1032905352 +439779703 +982315621 +2068626335 +405531744 +1711095678 +185441500 +1891106494 +678838958 +1785718215 +1988756679 +1052346495 +1455678640 +1233990491 +57135417 +1821955037 +931828954 +1813247823 +1331684230 +216088146 +2073049197 +1370554526 +979260967 +1129736500 +1474494711 +422124047 +1948036804 +1822556744 +1970702448 +1161295961 +2025771783 +856124152 +1601075664 +860603756 +777266839 +2006607408 +424215786 +962708340 +1750230254 +1103054744 +600942907 +1591503285 +7917592 +2056621548 +678010128 +65053009 +1731092937 +1609839083 +1878300832 +915293520 +1825927229 +1803866382 +138364398 +657704549 +786119234 +1612859110 +1079828596 +586672391 +1287932206 +903047396 +1747968352 +1166220341 +1759171548 +1201560368 +2026824097 +388954740 +1060684129 +303556236 +1351663080 +663430735 +1406610980 +1952605987 +107450373 +1414528572 +1861743887 +785460501 +1479581581 +1445353177 +247815936 +1210398766 +213163049 +2073743166 +866781500 +351527447 +583964067 +1652900734 +1964386557 +1663792663 +92089477 +1104835115 +419356412 +1840057829 +123571808 +31044312 +894134550 +2912258 +419999052 +1954818679 +306468494 +1771662132 +470765766 +1713079474 +1576784472 +578216139 +980124399 +1291044711 +1363676641 +312222332 +588914240 +1611492577 +1522621098 +802077289 +1537752095 +241918950 +1153604737 +2121716162 +1894819685 +970507646 +1638025178 +1986909162 +2075342762 +2057381590 +1679483344 +51430922 +2088425902 +426134246 +54343180 +360941307 +233469277 +360811674 +2132603439 +704235043 +2073891149 +1561904263 +1282451183 +906531900 +705465327 +498644176 +1218754232 +1294379567 +2110136753 +593891683 +2096456857 +1500405201 +835810633 +1102577946 +1474637715 +583146670 +2073085592 +965179245 +422572185 +2000944706 +875077187 +2102055529 +2052375629 +816019442 +380706127 +2106718809 +1176960749 +614175404 +320046836 +1162080540 +1318410447 +246454337 +576501156 +453377982 +1152986237 +1281966483 +952022158 +224256821 +428862402 +914675264 +818148504 +377835611 +267596817 +1653959138 +1480413557 +1742234532 +89622160 +1406015502 +559930130 +512194345 +1259476560 +1435007317 +466766226 +1164368541 +103543111 +847472353 +1123603703 +1280503860 +1461647757 +1443650539 +295100753 +632574557 +1690104876 +871601909 +1085952539 +695607465 +6084744 +2037974698 +919864286 +434947146 +805166314 +1738012791 +812782758 +1072763131 +1244488281 +145712667 +667514015 +1334110441 +1551728169 +1227444145 +1846304787 +663721082 +514967815 +165587365 +1828089623 +618510926 +1013059719 +804209678 +1899014787 +327223828 +100376569 +46631892 +959798385 +1790481445 +918233801 +2045750925 +338605262 +924318545 +1936241975 +1258469549 +1359265691 +593924641 +848998692 +24564801 +1666687772 +2093486973 +170277469 +186718139 +1280113766 +1722005638 +1414162285 +978934905 +238243072 +1929130100 +1144522271 +2066332696 +400157378 +10098342 +723058726 +151688517 +337322170 +823435296 +198320409 +1297120556 +466433093 +1116554210 +1195387833 +805038356 +2040872755 +984146160 +2063507905 +1252654799 +1578070801 +765022949 +1277219600 +1097274925 +711026274 +1447497069 +1283993064 +1991140040 +1022019060 +550671701 +822591298 +1260262132 +332318153 +1967113569 +1179111180 +732475532 +1977211911 +1902169907 +884164049 +167050433 +578121555 +1082484459 +1464170989 +1044554648 +51555021 +512075174 +1849593004 +2092427777 +1496221334 +1765617261 +1197598928 +926808487 +383156562 +327334880 +2024083412 +1094182836 +1774831950 +1160592829 +937839229 +649367362 +1711264530 +1760430527 +1909629494 +2043582684 +1580060448 +941257027 +628574568 +1409788711 +695943286 +1512738617 +1576839144 +1274064841 +447739428 +893526486 +171135841 +499294450 +1405601660 +2020728846 +444238579 +754339347 +1638862459 +1641837507 +1681147834 +2022019022 +1969172387 +1557747599 +968718210 +1596520689 +570856780 +1906557439 +98404403 +134637662 +1519504318 +2008033898 +30736698 +952081118 +801807277 +659311266 +214386181 +1497750563 +24566236 +1791225326 +624331756 +472305664 +537268164 +795467597 +971600114 +1942869824 +668712795 +1415838693 +549725523 +160091607 +910192552 +83389710 +34626981 +731881292 +1641137309 +1003345191 +180918333 +64510441 +762418983 +279322737 +199148103 +134439653 +139872987 +229884802 +1086520772 +941680264 +889196068 +1300906953 +291947179 +913762304 +944648631 +916278935 +1386067969 +1481916795 +1711746532 +210184435 +1277302972 +232975680 +1626023129 +1827028495 +393067287 +388732033 +1910418205 +427694268 +1120613325 +1404071866 +1431039459 +1301531659 +1468582307 +45974794 +1580854396 +1667730411 +180414448 +1720727383 +1897615213 +1266935220 +514923999 +639327633 +420358525 +806871178 +1553089938 +1365007157 +1723150113 +791674259 +699440304 +1287412997 +1001858694 +1976743276 +1520388677 +480398175 +1656288124 +1913455964 +869130209 +1419222681 +193666584 +1989743534 +675810900 +1624706044 +1143791545 +2144393207 +1670680838 +577162293 +1664639970 +1851095286 +150406028 +1414771535 +970546858 +665330027 +2054099169 +1390905384 +1472201205 +1459705459 +608428893 +1047867670 +103896070 +1307869197 +187797020 +1105754764 +1137128826 +1708185697 +1586152940 +645933302 +1474158014 +307799501 +2065155983 +1667824598 +150059387 +593483235 +1145046994 +1293850933 +590392795 +668244185 +1871013226 +107549117 +371855823 +2021419255 +1522320653 +1342402682 +539265634 +1428936174 +585824418 +2011466840 +741157985 +1194253311 +911850862 +845054055 +354638860 +1099647882 +1950808819 +1491767686 +660349932 +1389478111 +2137700988 +2134507946 +1697277612 +2055373324 +1654848896 +1847337000 +501372911 +652412243 +993704285 +1091765706 +1320656428 +717233863 +1199314824 +1692512251 +591169470 +574151829 +887431285 +1130435105 +2003088003 +1473255703 +994418297 +596762340 +520025366 +1906269159 +1441816395 +874664227 +858433394 +1245141566 +218948265 +1518783326 +487136030 +209165606 +1505807624 +36929994 +117055282 +1013172872 +1884266994 +618428193 +1665585115 +730487631 +1710193900 +838757895 +1447721495 +762025076 +383786499 +2038890965 +1336176905 +1271217784 +1021842422 +1191781260 +596989840 +2016260719 +1788543600 +1117015206 +1775046231 +1082876347 +1991679433 +485995977 +180534265 +63144051 +2004779303 +667670295 +272309657 +1363103279 +704600290 +389364939 +228792503 +441383636 +1007793132 +1894377619 +1171871268 +570503384 +585651866 +472109115 +1332528460 +969438365 +363516432 +521221717 +93172502 +1385358855 +1713002977 +690162342 +1254135926 +1354062929 +1807177548 +881698509 +289455628 +1651373334 +1367694486 +469989894 +1714517385 +1224990141 +1137660189 +1986827042 +440609772 +1842260479 +228708333 +669402276 +136160468 +1236501465 +416296247 +1308031736 +1807004850 +1001948113 +1780140851 +992049662 +1971386479 +2143657283 +1513271380 +2064558981 +1381532490 +1078790709 +607237675 +488184769 +285369991 +266931575 +1369883278 +574825619 +1918304909 +590094117 +1044815513 +1485338646 +1815084258 +34992055 +1324682040 +108210383 +1877252534 +1553390373 +777612659 +2013413002 +642408191 +1193908906 +1173961090 +301929393 +48373371 +806618293 +1293979055 +2019759850 +802791929 +659766787 +1936835183 +36840771 +1738557497 +396589210 +525025540 +2023927488 +663520786 +1894908819 +451269459 +434342047 +337519288 +1496084973 +1919680694 +5119898 +1531077028 +1096879086 +113330281 +1260845914 +502785812 +890942940 +1126775269 +1145194003 +2084851846 +153252711 +1447123396 +2133225218 +959871005 +593618803 +2005501420 +1762662934 +1253385591 +1794852956 +1799503705 +844459440 +43958518 +177045598 +720903280 +707479304 +2071954417 +1172172739 +1141821352 +261990057 +520774064 +914018398 +267109955 +2051851092 +2010897484 +380440237 +1165213359 +366199648 +1271383177 +144504980 +1511393651 +1208751376 +297757691 +811033399 +1194492946 +1257628696 +1404652203 +1052510718 +872807982 +510554146 +699880026 +524828040 +1355013586 +743838545 +701873638 +2075916866 +1451317849 +626344407 +1100605957 +445655553 +888334464 +1621380022 +1359673951 +1155444419 +1525747466 +1223087788 +1535884656 +543477177 +1589287436 +659784186 +687982157 +953197440 +1868535562 +985739849 +1764230839 +915544860 +95884897 +1021399394 +1968055578 +968692880 +1531953540 +520451957 +1493520920 +739483478 +1264290502 +47910910 +667916696 +568124703 +674255317 +1768522654 +1013780257 +1562589781 +1242419028 +225970560 +570550552 +620682846 +1449058348 +2106435209 +1164160024 +890862137 +618735747 +1852142181 +1844059577 +339787661 +690398382 +1460806768 +1255332521 +786283280 +334722515 +1075904451 +1754976160 +1866676055 +1596356408 +1101013432 +458675886 +713163262 +1148924342 +1126592582 +1281287966 +1823179659 +747631588 +147584575 +1238285792 +1990050616 +373555135 +1808836344 +463249815 +1822613484 +1767787905 +1627409839 +565991973 +239040004 +1332068372 +262567902 +578827665 +2022466755 +1723374670 +1834160186 +661266387 +2058097185 +762580990 +268758899 +1777289593 +211453750 +1369772331 +88481831 +924617013 +371213025 +1215074413 +58421331 +46909036 +1962706002 +206005906 +1285194828 +1805272970 +579561041 +946547524 +121039137 +254690877 +566851782 +1748448976 +820682850 +805891786 +933033701 +1083250752 +1384719452 +808016808 +659141775 +1071395990 +1469283195 +569755312 +1833976980 +1738042094 +199561257 +2045430731 +960330777 +288043088 +822564096 +1331543802 +1503117502 +880985427 +1378452838 +1318339856 +1086991333 +516164018 +976129178 +1666552374 +1462711542 +1097168316 +1921243252 +2029563324 +698133644 +594442454 +687971463 +1631167345 +1677693207 +2072690915 +291700505 +189351334 +996603257 +1760983700 +759106646 +683096590 +1351542146 +958667904 +581043673 +164389275 +1246710992 +1403607769 +1495933077 +602344846 +137109548 +726902267 +1920684702 +1224100881 +1243066285 +749330233 +743169607 +558294180 +1846498549 +516929211 +440373856 +397148545 +1111371666 +1128345319 +2028315891 +641581225 +1053552586 +172532748 +830932559 +2050155844 +1933516449 +1590039205 +585768786 +1137574947 +401223461 +1166812459 +1301964223 +1647934454 +422936580 +650413652 +102795652 +560046128 +1377315920 +2023480355 +1784147009 +472898557 +625326940 +379832968 +1031192737 +324341841 +896762180 +1471566594 +721490386 +2008133846 +452428265 +602322629 +502231423 +1505980852 +774855378 +1333163982 +1408653048 +560888179 +775719539 +1994421834 +1698463126 +1176943001 +1013750645 +852943701 +677393807 +1436687225 +1503357354 +780189459 +1996733353 +733189626 +656186166 +1633396714 +1206088183 +1281513106 +2013229682 +89797273 +1605854947 +762508214 +1561363867 +179861686 +623158412 +2013792132 +782184315 +1125389835 +1372289336 +1557039693 +311070169 +633458736 +2117927872 +1086789709 +480396922 +1668907351 +116249062 +1494147567 +374367404 +793642869 +783351144 +1877724758 +1573832328 +632600849 +463430736 +82534847 +118513915 +1669518920 +1364047953 +2131743598 +1759316193 +822419253 +746768164 +1173196412 +1002280939 +1369926577 +1039504896 +1784465254 +347832764 +264310585 +1194021300 +658902934 +897769321 +1164465524 +1745692643 +1378166244 +685889227 +1861941705 +724830163 +1060256632 +508100926 +1508181308 +790497742 +2081933254 +2140782157 +1253928479 +16984453 +111812425 +775963751 +1381032407 +96072375 +387796296 +55968012 +842840539 +1560992708 +1058248951 +65283468 +453013956 +695230557 +413116233 +717324541 +1889251857 +1072019167 +1615093863 +906233734 +670228162 +845776459 +1592122961 +384686219 +1570606622 +504895945 +892787145 +931304282 +1295393688 +827236751 +924602792 +401838519 +844221205 +1036415217 +1177802270 +77769964 +1132487592 +1565598566 +133737976 +1975328131 +979107626 +1191986927 +2040611600 +1432121582 +1887217484 +306244185 +1962476 +1628985694 +1378263352 +1617056339 +387735780 +2048491514 +315349150 +1979858741 +285694085 +1885955772 +337271039 +1178481230 +669776407 +1632664727 +2005717981 +1594379199 +2034503246 +702455538 +483310768 +1064821868 +780225502 +1615798360 +482936786 +913963478 +1443642843 +1462044412 +2105950405 +1336770795 +746682346 +1845684242 +1643014980 +748644822 +1327186288 +873794684 +218217513 +1714922068 +774802550 +533566663 +1547297161 +1060496635 +272038788 +1884568200 +91494217 +941815195 +1369749279 +2097212199 +388710746 +1256768877 +652184089 +872021514 +174107097 +1432409592 +340336226 +657043883 +198889422 +1783979069 +2119088295 +157356180 +973266217 +718286994 +2003040422 +468797549 +1466931816 +1182743062 +1342592234 +1685149330 +750181482 +2117394784 +71232345 +149994995 +1030407772 +343271133 +2034563196 +1121901989 +1285086328 +1256828827 +1071630540 +1673797074 +366114057 +1723814630 +398334940 +540221154 +1008740574 +738671166 +1197265038 +1207629996 +375166588 +1168869685 +1364986176 +1348432805 +1887156679 +1220542950 +1817230354 +1206604848 +255802364 +1012338940 +744270530 +1005983846 +982250077 +815502875 +1155978842 +2012657849 +1158774009 +1043058390 +987076190 +296376689 +152403569 +2058706731 +1970173764 +518517626 +1635037713 +221025056 +1058738781 +496294639 +959696223 +108520171 +1703924635 +1334862811 +1277389856 +921427164 +535811968 +1017062888 +2141970114 +205558674 +76184088 +250288831 +1217897615 +820454618 +1256272677 +52664044 +1635957493 +264767871 +2065321893 +647247854 +1307826261 +904914435 +943624544 +1460229831 +816137518 +766314660 +1978747457 +303691583 +987339716 +890002590 +799986222 +1947035939 +998522761 +356427210 +1134415102 +128428970 +1277854374 +1670227070 +1145491858 +1272340840 +1875785745 +1221675946 +1522629671 +946199712 +2042130564 +631418701 +998863756 +1530604409 +896186572 +916702001 +30368616 +56529186 +1821616436 +973993160 +1516759017 +490270307 +1740307820 +1348022826 +793961890 +580163888 +90541769 +1593948113 +379716180 +1089064530 +1950375323 +1514131282 +1217493500 +1080746049 +1036874705 +215501710 +205603241 +765176802 +1437177656 +1728232913 +1711376514 +1331824572 +212167966 +562756622 +714945334 +1108354538 +1479458623 +745313950 +1164883724 +1153591411 +1719307110 +534159093 +1643861718 +1312131282 +1882181920 +290339961 +1892295170 +1972723689 +1884288074 +124527702 +914304571 +1687179749 +1638658985 +2131798072 +620442150 +528050042 +199816134 +826045391 +1293226844 +1636993791 +406794656 +857119710 +821334715 +618962622 +1419876332 +1536280049 +1727317161 +751851307 +134110351 +744717237 +1905442718 +1853417461 +1278876331 +1401820789 +1018065095 +1013574603 +1692160750 +762876618 +838814644 +1428965176 +887404320 +1753119215 +968661277 +378579657 +1737433639 +1589103427 +906629699 +1937249774 +267665170 +52372895 +1426759917 +674459827 +909492605 +100610984 +1293422449 +181885289 +1636891034 +873255962 +933736596 +1771001385 +1617973200 +691695667 +1476935199 +749365883 +2093516456 +347516646 +1762940486 +1638193558 +1110393264 +454271482 +919675086 +1997797585 +59907049 +1888336363 +228893594 +1797340689 +1329956142 +1135523294 +1587106815 +1597621312 +1187896189 +866383084 +124597491 +2097388795 +966994068 +1418019941 +131790436 +456401454 +143792255 +1065527033 +79919192 +1761765455 +1757222700 +1556854391 +363647690 +1703255508 +1904371037 +2126588176 +1193965418 +867280654 +433376010 +2113640504 +717594591 +493283060 +1854493219 +946488185 +143140101 +1036965713 +2082011479 +1730246916 +487103377 +1122424021 +449146352 +611700869 +1072329168 +1416140420 +2029720810 +1204119604 +1872541875 +26029417 +122162989 +1952461067 +1787794873 +1879385689 +1361831810 +3958915 +1435157549 +1118719199 +2130547092 +481639319 +1985999853 +416439454 +447796175 +556110796 +909722514 +154805746 +1502598982 +1052862615 +1191771459 +1437126813 +635625883 +1678874837 +412067186 +1084772235 +143092058 +1484396354 +353429008 +25329220 +541032311 +78487235 +51358637 +663195300 +2030948302 +1839153510 +395097342 +1245296464 +1843112426 +1830254891 +216532015 +1826175870 +164410563 +55048221 +95131676 +612206738 +611159017 +1004854191 +767012485 +2113757999 +2057716806 +1958783944 +1403401165 +545859042 +1490175133 +1815468351 +1630631277 +1633267191 +1152381058 +1984060285 +1658596411 +1693413369 +2062547520 +1709955049 +209125021 +1946012174 +1401624911 +604222363 +1043824990 +1097253689 +286993607 +1260357006 +775945911 +451404170 +1315405227 +871077588 +1063610908 +1926564244 +1875931779 +1830623393 +1892838596 +1786164937 +1641923690 +1148756113 +184540331 +984615175 +816740816 +1815171609 +470398719 +1969121874 +1651748246 +2128995130 +1515051595 +1566812119 +1691466531 +1724176617 +1365340645 +945607795 +180915332 +261681988 +2042861484 +467908939 +1522038994 +671323748 +919313109 +689960573 +1542401336 +1982924018 +469041169 +1270849467 +1666063763 +214396117 +909530756 +1160503805 +1363152230 +1094071088 +2145118981 +32409399 +761759049 +468034052 +2001531273 +266023647 +449545534 +1369099221 +1832835766 +2141012066 +945792190 +1050692764 +939136213 +1126707522 +1312374752 +834514049 +1594616462 +686930098 +1505837797 +366445923 +1376890671 +900755485 +201886293 +1845931840 +24121304 +1867950057 +2060327958 +933652061 +880970214 +1275996540 +2027723149 +878605547 +1308405939 +641998550 +1346639599 +1162453565 +908022197 +1796185134 +384069138 +593374316 +1789713552 +1329861328 +1644067080 +581366117 +309085202 +808958184 +1415880166 +1903701664 +1495888282 +774234316 +122663940 +725295305 +1674989801 +324550233 +423743497 +1699111106 +45016642 +336587807 +485279519 +925986857 +1612584348 +365519020 +1804592404 +773506639 +1007517570 +1003748356 +1935960204 +1915539767 +652449842 +172545694 +361430435 +294679746 +1502407022 +2005497515 +876045863 +1811492225 +666972051 +144442381 +1567710241 +15376685 +918676697 +1690374181 +740671990 +446182851 +2014924415 +1164415488 +2145293957 +2059941057 +1501003295 +483089828 +838444266 +966103995 +848608848 +495553023 +1739610635 +1856126418 +1499301379 +1528087191 +1624182537 +4267573 +1700632886 +1985612973 +298947319 +1055556260 +1843626840 +1174993182 +719564837 +363115244 +1319435563 +139791431 +378491929 +90628613 +1830165612 +1119163920 +536811464 +1697606379 +136095760 +534621773 +1610063789 +1637099055 +1017711601 +301024407 +455719403 +1866320449 +796577430 +47846390 +1574963219 +148395161 +1575933581 +1051662108 +152662734 +1129082819 +889791433 +451610053 +37155432 +585934626 +1626603235 +756720269 +949049870 +798555151 +896511700 +1327541799 +889183764 +579193665 +299222071 +1425995228 +129316396 +435317831 +1960617001 +1739380185 +2072416887 +830844954 +2040404593 +380652642 +549681755 +689498375 +428499032 +2124644974 +837893537 +2004432613 +1028823434 +990556271 +986031785 +1918614868 +1442166325 +1023187217 +357065846 +921285912 +1779907486 +1306115716 +1719841063 +528935539 +486173867 +461541179 +1108129204 +785395939 +1887536407 +1237445600 +1220713770 +1700669760 +829342138 +1145647009 +384031066 +722263083 +1526299651 +933712821 +1411761458 +1954798683 +910874147 +102171347 +1811747649 +1939697582 +1092727619 +650295786 +1710828802 +387410296 +1673483003 +2067894648 +1308696208 +1305906841 +1226526716 +881053624 +1834842380 +1712700583 +1342594803 +795487936 +350612874 +1082647563 +2032933537 +1571326645 +635833675 +714792027 +569490006 +1019864742 +1437055110 +2095789658 +1953577563 +701332920 +1903104693 +716968063 +803504268 +1567368694 +509181997 +1896231887 +70180832 +72527151 +136158535 +1743663835 +2140421799 +1444854743 +902087029 +1219464867 +178424719 +589445761 +784681802 +1521019523 +1384933698 +1135294677 +456183438 +1270383587 +559137674 +1092017113 +1985175614 +1128627680 +2111881855 +1274747076 +1076933690 +1917975771 +1976079996 +832554736 +487460186 +632100616 +252439782 +996642183 +380848855 +322620615 +1069169334 +517007390 +2066284450 +1062107485 +1961862134 +820887831 +134088704 +2140286853 +1410333593 +918770506 +1513822728 +647783643 +2054065183 +1970006166 +1918167230 +465719209 +914539632 +1755859196 +1594346890 +878937839 +883122624 +523796932 +649429962 +711718972 +1356351668 +1136890148 +1343819589 +1608791451 +2133532331 +1724668444 +1931412066 +1055218017 +94192187 +1850212868 +2117325502 +2056054321 +523617052 +103930558 +2048857526 +1933950645 +1022701065 +1415196607 +434250640 +929282600 +1237719125 +204934222 +1395001810 +4775109 +1960793418 +841865052 +883712949 +696432394 +1365661984 +1533142911 +1408151366 +574530005 +522549412 +604487307 +35837808 +508598095 +181672104 +1967249874 +1563816113 +275864291 +1669979094 +1533657967 +184434964 +46112498 +1637588526 +85808842 +1980063143 +512805943 +1501005449 +266830135 +1442088543 +591240927 +471764357 +689606705 +596016036 +285074127 +1531471757 +1479728985 +981506521 +749650094 +865388249 +242174240 +1324180099 +1387937661 +846661547 +1360017907 +1896535756 +1028333651 +1179784133 +1312868221 +1304197942 +702279579 +699042541 +1488632906 +748392078 +189147419 +1574441749 +580971573 +701953362 +927963550 +847801709 +2144041905 +1519204477 +1319566066 +686164963 +2115220514 +1604640194 +70153072 +1447465851 +438663067 +819803166 +165370452 +680837307 +2143983265 +1553308113 +1527498855 +1356517524 +1302360222 +408348858 +388818009 +467744795 +1712546801 +1091097589 +1166787336 +1053696059 +1839489667 +1355934755 +480654160 +272977592 +2057888117 +1408617711 +1120779301 +2054446375 +780338540 +292861720 +593127690 +748075406 +1897501914 +663280762 +48057610 +188681333 +1483083929 +213428062 +869518641 +1479583546 +1766736176 +249533848 +688617423 +921612750 +657882706 +1077435432 +1389357545 +222945859 +21049373 +408661234 +1276641919 +1860539040 +1764595989 +1757296079 +2133516633 +1675000459 +1018430142 +1106812286 +1581963186 +1798768683 +1399674006 +27607228 +399360441 +1149692272 +690887990 +447418051 +1338373606 +26488271 +660846114 +60408599 +1506071818 +280098642 +309942447 +47205593 +1201711392 +967825153 +1124641025 +443585289 +1190771013 +1145690399 +852246523 +319929284 +858745791 +469358865 +2077225363 +844778776 +2144359324 +948171858 +1951591063 +1578838862 +599456893 +1203781421 +1606446090 +998817334 +205990046 +149850432 +1446235386 +1544363652 +176338704 +2107081500 +1604772251 +1682410522 +239696494 +1914714698 +1729616115 +1441407886 +735056203 +706773492 +1884993175 +1925827216 +1852463891 +589756051 +98272852 +563726035 +1059114916 +28014568 +1408504811 +1055990592 +976186426 +1212612226 +487345806 +1575643319 +268910000 +2093791896 +426977005 +474900046 +96158680 +1873212391 +2019263698 +272497384 +1832810243 +1476552301 +1954907906 +2072506737 +1243783351 +1537040373 +1366430975 +1978839554 +96330218 +1103940503 +1757183123 +1948794109 +1693696554 +1855455975 +365036496 +605327822 +1883470543 +1773541308 +1661318414 +712173321 +838669886 +1180572 +140332992 +1107579886 +2094972468 +567309998 +1582479932 +43647500 +293038741 +1454259982 +316144885 +2125848985 +783328635 +123569143 +2050872074 +2027111986 +1660609517 +1269819402 +1858467893 +1756939735 +226276257 +1468167368 +1558250196 +1919972811 +1176139695 +1923286693 +377816985 +912126591 +1549344353 +2039135399 +1624299912 +240530591 +2040315971 +1764632905 +1348110478 +1987804791 +184459255 +783106762 +2031452291 +477497996 +89883097 +200113528 +455863333 +873211732 +323682672 +359251760 +752840071 +1984292189 +1629071162 +463824316 +1593748276 +1855347419 +1931991684 +1004514824 +1627836582 +960647731 +780317869 +2005653567 +1872774322 +182178574 +1897305318 +1349590587 +422709166 +1790137641 +966739844 +1770819644 +1630458784 +1151199099 +406442758 +1514427427 +1628697095 +496325855 +1714540956 +2084560429 +1369537588 +2038223628 +296328541 +2122377659 +1875032169 +1925399703 +438718327 +1321296797 +1633263474 +223226363 +178327973 +1113616408 +1183874094 +958645843 +971786327 +909164769 +1140824417 +721607997 +111271708 +1563533583 +364261990 +1078011552 +1186869579 +1994720774 +81727003 +1593312338 +1361664553 +1710424098 +2089638193 +928721861 +1647500879 +1311692133 +819461841 +1943829420 +1286586144 +547010362 +1721745475 +1725304471 +1868307159 +1207525301 +1948530834 +2046635133 +173658061 +984921281 +857797328 +1145444388 +1894086050 +1998621745 +1867052385 +2005357758 +1414671681 +83830727 +935885662 +454057612 +2078551501 +1017612665 +2047369950 +1292732407 +580553115 +1989524496 +73970620 +80570347 +1153732981 +893432462 +2024399767 +292835478 +1440442824 +1598661595 +2018139949 +1161266336 +658703248 +1819187136 +1060417821 +832361310 +656624769 +1918215149 +1977805698 +403227171 +1769353246 +1697374436 +261101281 +1036541279 +1781205163 +1196986943 +1490598892 +1712273017 +67115960 +1390485194 +857521776 +647669075 +1232526042 +931492396 +728239422 +238775376 +1824924858 +605155542 +531610854 +1117884035 +56333489 +402267155 +131666723 +715036737 +73970643 +1192084544 +1547398047 +730595412 +962816045 +1377720098 +1133822583 +584685643 +927610886 +1394923864 +1621226923 +561332401 +444427159 +964342167 +126121770 +511543119 +207343713 +983643546 +1159212195 +1439869756 +1915135943 +1887451617 +1678645132 +1592577153 +345123511 +62772338 +562977540 +401457000 +465039493 +694644263 +1116493738 +539010137 +1886728807 +516408137 +1269605549 +702061204 +1894128235 +255944485 +1286746848 +674255473 +1650868349 +760490123 +1235587875 +2095295509 +1724832290 +1361709645 +459354980 +1932176003 +197869544 +1618567175 +1224562111 +2113005487 +1358535145 +755723595 +1558098992 +1703658656 +818495933 +2121076533 +2105115657 +1283535427 +668237148 +1074125747 +1822545564 +407482308 +1590533884 +944667465 +1109543512 +1337178472 +1200611950 +248806712 +2011433945 +703996652 +1009296835 +1099538172 +651808513 +586645477 +313764170 +1111163493 +371337833 +511633714 +582247021 +1595899944 +477155553 +1940782166 +204139892 +2035254545 +1496957174 +1022635825 +2008847430 +1454589183 +158687604 +529600931 +381231282 +1981233168 +937083239 +1971765167 +778416986 +2046626751 +1161459991 +1979028936 +147949816 +1025410288 +535541940 +1157246651 +2124948461 +1187350453 +1743892129 +291228983 +151030299 +2115229962 +802862697 +733277320 +1563646258 +1280018250 +526575838 +1767786150 +1167789147 +2023533012 +642938328 +1029152930 +1330638548 +801625932 +1558753861 +1711869830 +635375453 +348353452 +1536151349 +1413792439 +247496555 +550127692 +1245337727 +395446371 +1575537981 +1780879668 +1552693023 +1553002794 +820746473 +1149101504 +1844231777 +971776772 +1116847818 +499610826 +1705054092 +533010428 +1779629076 +84146282 +153312931 +799934575 +2107679295 +796251259 +1829087505 +1290834195 +1597877191 +1240357718 +855220377 +85768996 +1588711170 +243888079 +1499561435 +1836207726 +794015771 +597415515 +84170449 +222070104 +230811535 +1636863472 +1775072898 +1051558008 +638481328 +1471821027 +2023334781 +1755329146 +1971431853 +1580905225 +140855927 +1603577281 +1665051508 +294168858 +256028209 +1625247155 +1090420117 +2085115714 +768597702 +540813660 +1177989785 +1623818079 +626582657 +619217307 +1867706158 +2126144092 +307941385 +514238282 +576075959 +392111835 +736308386 +806887494 +2028975307 +363897637 +1858445503 +519972988 +1835718664 +1734296636 +127818486 +1659666870 +1167718213 +268674413 +1115760503 +685286073 +562843271 +1371788712 +163049580 +1653263388 +1309420779 +931647282 +46593401 +339926916 +407981714 +673176058 +959144223 +128204224 +651836502 +1267085609 +642442506 +1227912462 +1659197444 +1378750893 +2034799956 +1540689103 +1742648530 +1745761811 +2060662091 +1430883546 +1332574799 +40996930 +943066768 +352809365 +309671343 +2058827272 +1038095438 +872514615 +1283132336 +1201145019 +378294355 +445069467 +2132792301 +424887756 +784996383 +393290367 +1098063814 +1744140607 +521494592 +1749900317 +863742568 +1163937098 +830329131 +375456364 +395204343 +717645439 +1916145467 +2137852873 +315923603 +1829323911 +1421252772 +1648498402 +1870320841 +216835892 +2001307767 +32508536 +128179516 +891919558 +905023151 +1411311853 +2093064577 +1283317507 +1856381320 +2078373230 +1708205263 +493894056 +324179950 +658785430 +90551015 +845674542 +261202099 +954293583 +2009611640 +1091531230 +1329749947 +257332336 +1809176669 +1098411766 +247701561 +2125100272 +780252029 +1668954333 +1626115027 +503089222 +1885790226 +1479939146 +535597759 +2013969742 +224375056 +1440620910 +1277797947 +169955985 +576454769 +986695620 +100845568 +137176385 +1480589676 +425025518 +795961815 +1571140691 +1270700060 +1057163914 +377950626 +1132828052 +1211496 +1707700573 +1390160388 +1810388165 +658628691 +1637861950 +1788004790 +1438880721 +1159332635 +1266636169 +1941969943 +897639213 +599091667 +330084054 +764125308 +823466724 +1770704965 +2041923255 +993422709 +199676086 +881135227 +1094268277 +336852471 +214241255 +1519293795 +1132814286 +1785381946 +642510207 +42494552 +15848924 +1775338260 +43706048 +1723549497 +1018015000 +1854094214 +234694541 +508393302 +1494615356 +1673575262 +1667725938 +613767877 +1468061557 +417881503 +1212859544 +1798145612 +1182006811 +2036326268 +1421366929 +1076446419 +882265330 +1621043015 +1957581646 +1976533607 +1957895487 +24339254 +1348343755 +943226125 +1809721200 +1990853962 +985720678 +1825570125 +1618708574 +1029426726 +1401635974 +489239927 +736037292 +1636330515 +997633229 +83169000 +1162422129 +517875519 +696936877 +483000039 +935757023 +1909796422 +133662003 +2117763834 +1798639042 +1555028932 +1046726605 +533420724 +1028588299 +856824604 +362470684 +839000138 +881163858 +1710814439 +1782226264 +543401410 +1554184753 +620463294 +221487887 +1025409680 +1649890020 +1623123862 +1514649607 +238443665 +1111970729 +364799188 +321612665 +126909211 +882674708 +1018549543 +609909250 +1818431731 +780862317 +743571253 +1788711917 +432017711 +151116537 +687954875 +965438436 +1179704836 +1544779479 +1327909120 +2018704975 +278459689 +891239911 +1653447591 +821861099 +297941016 +126427237 +1043348987 +1323350696 +1776317257 +518989201 +690516655 +2014760922 +1630959930 +1055315844 +188889940 +1757869141 +1937990552 +1207439483 +220294743 +1608938635 +1988301800 +963865996 +1250166904 +272835863 +1114982533 +1938121779 +1238274299 +147203722 +1335417610 +418699771 +18425049 +1613877299 +1309939682 +1671872640 +288254751 +1607880699 +1798299877 +1331603738 +783747747 +1427133486 +1850592939 +1474264403 +1294410761 +1334069221 +382096599 +1483300701 +944454715 +172603503 +543256536 +1164749458 +1781542138 +384074688 +2128615455 +884225394 +656910551 +1096114340 +674863526 +1895184851 +1243318062 +2010281136 +166400974 +1261743111 +1476674788 +1476340657 +786132103 +1764929539 +936737708 +436948332 +949049629 +1720485455 +1864081819 +652158920 +1047266210 +1011008932 +1986228141 +1429362809 +346825985 +783199208 +1601966312 +890082521 +1947948667 +1236024802 +1274157209 +1929080474 +2120250197 +1931067760 +877711166 +647630075 +1678768963 +2121029229 +510427563 +1845169938 +1235288692 +1987102351 +1174026947 +2021420796 +1604548242 +2110764655 +310885480 +406114223 +1683766462 +27483651 +1058273143 +583549025 +1038492583 +897017637 +2012911834 +1385318568 +1680216845 +1467394499 +127917441 +1480681864 +555935653 +1402074650 +1262278690 +528702202 +1185658763 +2139989857 +1176332277 +716944078 +2113535438 +1686759841 +414630368 +1201340482 +1526378544 +1588657315 +1075277630 +983443139 +1551938322 +1386163111 +1389557362 +1088221137 +1413646762 +300346858 +1671770162 +304655698 +1197364495 +1537198348 +1689974266 +730097692 +857109199 +1817891708 +63295909 +1413044853 +1072482710 +1325574599 +1941747055 +110657825 +1318080808 +970595685 +827601904 +1284132598 +509871878 +1242232272 +337989433 +2036250422 +683405940 +1413267063 +872209913 +87860614 +651946526 +114283628 +1176081751 +2065593289 +414630486 +700368265 +222765339 +1611994981 +90082966 +1912739605 +194609025 +947192165 +1583147665 +257904934 +212753370 +508146728 +1583479534 +7016778 +618804553 +754076694 +977612463 +1446406457 +2038209293 +1487484341 +541155082 +228715078 +1376251115 +1224561022 +1641982141 +100977381 +1312421636 +146445020 +215261009 +341019740 +64554661 +629891495 +1041388005 +287320000 +94402828 +1131470971 +52575957 +289011853 +2078663137 +1635723623 +546916788 +143932859 +2143870351 +2130396322 +150949637 +615191256 +736989368 +1128562100 +2061597714 +627715013 +468562793 +455269148 +856430091 +1844813909 +1679830170 +350928585 +1945791290 +844768158 +497373605 +13568651 +1185787898 +561928266 +643460146 +79692256 +849248266 +737862974 +1211163227 +901824223 +1026874827 +1142342716 +390064198 +1573791615 +1286275576 +386450901 +1556704289 +1437225213 +1001642158 +146210010 +418303666 +915756224 +773925023 +886866459 +1371025372 +1630355115 +584196720 +903371894 +1981283700 +382504362 +1748140052 +331173657 +396073013 +786444303 +893101923 +1039533159 +866136559 +1742350189 +1777396133 +2077299786 +496690764 +656787313 +1072158855 +886754963 +83095280 +210950783 +1273205864 +1639799570 +1648175996 +127364374 +1786009580 +2066479662 +1043120598 +412450955 +805862474 +266662322 +2042806070 +1390059194 +1170034216 +1876606122 +1772563557 +770690621 +60296131 +21152922 +1557134924 +953398054 +1060686082 +275787835 +548264595 +690598567 +205603973 +1044955360 +1347385880 +1277762828 +1931710323 +1430481161 +1488713611 +1057432539 +922797083 +989405960 +1184796914 +561323015 +908401974 +80433864 +973773970 +1714264448 +347096187 +869096393 +956839995 +1517130403 +598218867 +581919904 +140337376 +658514999 +603072826 +1697472300 +1611913053 +1663758908 +1973260135 +12694001 +206873828 +31380461 +1057649361 +1554259708 +1309143289 +841876036 +837257221 +650373253 +1899308575 +1760054304 +1639779213 +936621841 +173893671 +400697539 +1017055706 +1147667642 +2114961988 +1364151893 +2016764035 +924318335 +733798648 +467499254 +1506238239 +874136025 +1126014253 +2109311065 +424124677 +590443659 +1625586326 +249901165 +603137660 +1832460154 +281281626 +1660787021 +1239236214 +1590424915 +355179409 +2076493436 +93314520 +107004336 +1689064092 +1733093733 +1043626178 +1862957764 +2133791273 +2060681884 +863141758 +2101269613 +1277350129 +732422145 +878104300 +2011148777 +1199921399 +236858891 +737801154 +178452005 +198686308 +1161925832 +768895664 +1824272634 +1411826997 +1372033324 +1509249140 +1693108623 +885336697 +601001707 +1136049890 +1240516106 +530011495 +1229364411 +1347520442 +71591939 +814974496 +243662972 +1934549703 +801282121 +156861208 +650207813 +755068086 +1434211337 +1382629958 +1633172386 +1297876467 +435067710 +1870031277 +2035677621 +613519715 +2068717586 +1050119805 +1382415379 +1745506572 +314463154 +606965055 +1107272065 +2007571777 +1492301752 +1708273772 +996138020 +585334210 +90801619 +78018783 +1932854652 +162393558 +892993279 +29033977 +2096943262 +1694275401 +185895185 +599667427 +301859839 +1620106523 +1982297386 +1935032226 +770499342 +269881448 +1657579855 +658693315 +883401163 +1578813793 +1708813121 +118332894 +1176836718 +2023276275 +725297949 +136625135 +1883364405 +70116053 +1844898907 +732018777 +655450263 +1935700526 +810037560 +440821267 +2098094084 +1703030839 +469855244 +2047553698 +1249822592 +655750430 +499737478 +1551682432 +128373305 +334551216 +1339231010 +898872647 +604432664 +849327217 +1557565962 +1487833827 +280657363 +1118895435 +1606166721 +1457494081 +994688063 +183981022 +1594119216 +730568820 +254097075 +1291534475 +1462587597 +909547338 +1079751353 +125141509 +1350368605 +1030361789 +1828172348 +1820223850 +930431840 +930511293 +328490632 +1430169318 +334710077 +456863937 +1764720534 +1673941087 +1355736584 +221669550 +375784656 +765818898 +1709503377 +656442019 +1884714334 +1168186450 +2113936100 +731918749 +1352167472 +1560571668 +1462487569 +1606264547 +704622495 +777591518 +368328237 +1784373848 +902733027 +1718696842 +667251990 +583421727 +1391437044 +1597683830 +1513933020 +1719927676 +880369500 +1848643097 +29307965 +497606386 +1375100536 +1385044549 +719275936 +1750885193 +3379800 +281295665 +259843564 +1888094134 +1449482115 +226296017 +472529235 +654165939 +1786867685 +1935016804 +112946838 +344006533 +565124674 +481275075 +2128380381 +1467857701 +52488269 +648148723 +2051279428 +1443925314 +98348905 +1417728801 +1016369342 +978718405 +1118888250 +1045677308 +1476324791 +346505139 +283238209 +48117079 +2097390332 +286618009 +329412744 +209750248 +27228495 +1778894859 +436046265 +499757730 +285577150 +75430303 +287290886 +398523988 +419436836 +852415560 +879799063 +400333569 +172789613 +932287333 +1048482293 +76585394 +228728999 +1146831198 +1494314195 +1245098341 +2125549604 +465718797 +143292001 +1454390747 +812223936 +426530211 +1502507827 +762130620 +713148220 +1831920571 +971880869 +740376716 +1463331783 +1407927134 +1240134446 +1748908933 +1483357437 +1527425333 +2147432922 +1902794273 +232357245 +879748337 +155644195 +405146859 +1812035670 +1204126488 +481732253 +2040764669 +203474038 +1976046448 +1138379363 +181539994 +294281597 +1281671364 +1635930742 +1106505534 +1708201575 +990954921 +1868636154 +273866148 +675391844 +693033375 +1014242864 +2138723627 +2100960510 +106893662 +1740148913 +1436834299 +1634318995 +1740098187 +1192144925 +1866676241 +472362876 +1347789120 +124339452 +136914899 +404431960 +606071705 +30195920 +607905998 +434634505 +1168575283 +789445993 +728916102 +302763000 +277893087 +1835421636 +2010964575 +1268848008 +1556574143 +137347075 +1944239852 +102123870 +1151589939 +1935479832 +55600732 +1258483602 +1528145097 +1492435032 +745318949 +1120759636 +537096309 +464511542 +1593122512 +1884885429 +588850994 +1730037411 +141833741 +1194922699 +1760233332 +749739739 +1629557204 +781324967 +1539185732 +210989659 +1084087967 +1817078819 +2046411295 +947568895 +938443179 +1455501790 +1084915970 +735199384 +1557625661 +89022262 +523195568 +1613226393 +1347505864 +2051340665 +958177777 +2092824813 +1024616653 +1495274086 +409852708 +470255517 +1232675867 +998703702 +52809281 +1374509608 +46142754 +1813042613 +2124249348 +1675699958 +446883932 +1515951432 +1886689617 +1530971900 +1185546604 +1785617265 +331057147 +2123989783 +1093635407 +1415973117 +711705519 +503777420 +1504995379 +1234901087 +2117003814 +705017595 +1138758104 +927697943 +650358761 +15891109 +275488382 +1060211469 +486146627 +1508164249 +2058915171 +538955908 +735190210 +2105057925 +204514873 +711955910 +1633274236 +651398805 +80423694 +1372480205 +34887057 +1265970298 +1010613822 +365944204 +1242476434 +2104249230 +1781917322 +1954181953 +460543002 +1139429053 +1041599393 +430063168 +1844446649 +32873849 +1357761112 +347321762 +48764959 +1633249494 +1407533231 +534911586 +993930095 +1318964754 +1073867494 +1729120305 +1276539032 +1278382367 +293592567 +762329620 +1929781172 +374016262 +2134809825 +1964668230 +1639986560 +997940000 +183128786 +734979346 +954705582 +1965046108 +541677652 +1415248584 +956991514 +1583277045 +1845311753 +653954515 +1616150894 +1055589217 +1001276277 +1664915853 +541355063 +261325860 +52343791 +1535285158 +1580290614 +1126211285 +1116921816 +709345998 +257110004 +1410514383 +1471675618 +39407529 +1784530645 +1459001796 +2004075759 +1277033558 +309458148 +39720897 +2012012904 +1264163730 +2004767006 +406206908 +531928666 +814274872 +1989483953 +229756771 +1468229387 +1458151200 +1285345988 +322022016 +975583405 +1826701051 +583347876 +1027927197 +1214502562 +16154842 +6654834 +183940730 +725500841 +263764839 +1594455113 +49692811 +303172368 +1231502111 +1508694607 +159764479 +361052021 +1818152755 +199485376 +225581277 +934832837 +56768734 +631788186 +1466761504 +871043606 +473788491 +1696518275 +191789345 +1931939691 +834380616 +513811361 +760039449 +513598019 +1097159237 +1787966646 +1728100581 +1113314080 +1794621480 +1912041311 +1838814921 +2058386319 +1359012777 +1888507732 +214075039 +443031240 +1249718692 +373839518 +804083261 +920387799 +573324895 +1029664538 +1855220637 +630093629 +1661452724 +1174498493 +1501137236 +2135241216 +723533120 +1692926581 +1919697259 +1557913736 +59254295 +532253060 +2071511756 +1156413532 +172736058 +1652128689 +122243964 +1967357539 +1416686353 +1961058885 +1878260210 +628215482 +1702082970 +2092335250 +1071246722 +804318014 +318691120 +1875329983 +1724705813 +892016015 +757510873 +1432442802 +1522109645 +271479950 +459457647 +875763233 +259237518 +1182990768 +421206166 +31451129 +593420856 +480460461 +563704190 +517448964 +1636873994 +736440248 +22094006 +1759117958 +556314139 +1438780359 +1572693196 +287090702 +2066995841 +1127292518 +231942304 +990758915 +1931610532 +550633424 +718605250 +1508832697 +1442649440 +1476116123 +793791852 +817275437 +1747596073 +1253249499 +1693038670 +2006833591 +288756619 +2114244836 +2038284721 +882177476 +447221650 +454505263 +1399626440 +2084095644 +1190945511 +1421720446 +1695729954 +1747259651 +713017157 +1120939502 +2034350353 +632529350 +100748372 +118809009 +1623288265 +2032358904 +669442433 +194409867 +1393707954 +2112091873 +1670525991 +40016158 +781883662 +1270638416 +1293265657 +327438684 +1129988360 +1582022277 +294199873 +1020789433 +316716105 +741421523 +1475294696 +1716342545 +678033519 +518756559 +990579344 +226279825 +118532562 +1703596501 +1347219328 +5399267 +188642204 +1447967700 +124208276 +1811930469 +1332842957 +793650710 +2006340337 +579067263 +758258935 +1529382680 +619083421 +1540142598 +652537448 +1912349078 +1867581282 +1782525808 +1346887707 +14297507 +655831593 +1663603812 +755719030 +2131126289 +1232462710 +1433752549 +502399201 +75558406 +1660032375 +620931763 +1779154907 +859768055 +626331031 +1967797111 +160252107 +750539307 +1632243933 +1493095064 +1544190017 +1491100622 +2072162327 +154965305 +872999654 +543762100 +1695107903 +1525537102 +308627531 +1415205537 +1160579263 +1655515238 +1429503045 +1816410856 +1171635403 +37738427 +1800053498 +256614465 +1471490977 +154969051 +332172871 +984039704 +775900814 +2111327778 +1843807759 +1402231845 +1931641242 +2004059866 +5287505 +1416401527 +1349671283 +1549477522 +760018501 +1274349962 +1704442827 +1633018155 +1818112063 +1252067082 +1011071609 +2126739594 +519788972 +24167224 +1634771184 +1949292017 +1840578081 +658922939 +1987030444 +1493147931 +915537404 +1311037773 +1648116982 +1247710275 +147593829 +276534148 +1211554406 +1991401588 +1678765994 +995712000 +1847977807 +1684053499 +264629879 +1050165442 +1086047373 +1024648380 +177031756 +643006553 +510182887 +1995143819 +1895073635 +1521254496 +1974399765 +267378959 +1545421721 +1461687302 +69187328 +1238516154 +2120610241 +2056217773 +584180437 +888663998 +1219771898 +84813771 +2136374273 +1367365728 +361347919 +1200445031 +1211283668 +2040113913 +48673383 +911777827 +1576683764 +313303262 +1961943269 +515247490 +1337951642 +2138975026 +1158254043 +1848134529 +1986635197 +905844030 +1221905378 +1813551315 +1173222990 +619843451 +1127754969 +1242410318 +1858359605 +1100881562 +1151144443 +295056394 +1989545560 +223432694 +379870165 +1978436186 +1590798422 +741218084 +1031397569 +654598442 +633848350 +1080070953 +1566376270 +63048466 +1393374215 +1380835891 +578295956 +583842210 +1372327269 +1736549999 +284493091 +1211478819 +494910382 +1506398469 +877546486 +1668133372 +2126241920 +2005301455 +763060042 +1837117877 +958699369 +1914204486 +2132174271 +800761282 +2137637180 +364560788 +631713820 +1580951954 +1105778873 +1663111389 +88066748 +1739627223 +595698694 +1654443018 +1802675689 +1989072910 +887795262 +233487998 +425431472 +112638883 +1970037997 +709924563 +1324117702 +317464731 +68839385 +54180540 +1985598103 +47597657 +2059481995 +601174498 +1884715535 +870697717 +367895336 +1869406158 +1671458999 +358048868 +86483299 +155689171 +1939000822 +1192262172 +1818800560 +2027067570 +784405747 +267015607 +1534026941 +439597788 +108604869 +274338555 +673085786 +534036341 +386977438 +495640136 +1243960904 +1711095141 +813104867 +1312800289 +1765275681 +651219323 +1360397947 +1677274029 +1252393821 +1097629834 +400488098 +1620289157 +819552344 +2071947097 +1978338025 +906035643 +80152620 +1769855199 +2098297815 +1898953180 +1649439121 +735219914 +18485139 +1035982414 +1174817703 +127090008 +1310320969 +1847903489 +661126349 +1697298408 +196059977 +1905087254 +1260909901 +1009164845 +1070403895 +878701934 +1660384168 +283318194 +408492315 +765294341 +1380948028 +808980413 +238099850 +53016725 +733443862 +68954227 +959052368 +813596482 +1838809426 +909866536 +565066015 +1340764899 +1645086450 +583551154 +229263666 +672420505 +710641163 +1539584635 +372840347 +1371767512 +1089399395 +568900324 +1129371118 +202825648 +1578065169 +52291366 +1081527583 +1090965689 +335609560 +1490019898 +1856260030 +1716557589 +151516664 +2094359880 +1769574314 +884960526 +15830459 +581143034 +1698557009 +1854639885 +1491009570 +116139376 +1047921137 +988612373 +699690530 +1277184803 +1661032878 +1410331693 +669285790 +2033873225 +634615558 +1758685186 +455289902 +1763986676 +1961510834 +2033355071 +1816278042 +895554769 +976837113 +4403955 +238091020 +685613495 +1720961544 +389607684 +632489728 +1343052210 +1274568210 +648320187 +1924195244 +825641571 +355476425 +1267721167 +941780947 +1403397562 +108849892 +1641471478 +533098717 +1769882770 +904319523 +1202384507 +1656272348 +1538935081 +813586045 +2111562250 +1155438110 +627613232 +1997433673 +824232504 +1523168001 +826787138 +828636459 +1761259021 +1512400634 +402114355 +3383057 +2144890362 +1745166565 +1277951268 +645726901 +1521878162 +2103592839 +1001203326 +642115681 +897890139 +257117240 +750965573 +391877969 +790215957 +373364695 +1296197492 +1992600465 +2029637043 +687648926 +658702862 +1993715645 +1843087036 +1286316094 +1843665671 +519835892 +662000448 +522969161 +1348472352 +275775821 +2035369795 +1750586707 +279158879 +2032776509 +1348269625 +1557110147 +531019763 +722664139 +1513219338 +1532223089 +1364779820 +263625829 +1789340330 +2115745393 +655503798 +432072639 +341626440 +1951701291 +277189456 +223779836 +491866569 +935892319 +70011833 +187469957 +74724765 +1913677504 +707305849 +736725213 +289163018 +2055778201 +1012501035 +177049165 +1658881261 +1291659914 +62342027 +859667238 +701286413 +593361790 +1582331377 +67022103 +2125584879 +799627549 +330647933 +1767441561 +767889294 +986151731 +52030553 +1109515734 +790369374 +329220009 +1333295570 +1282235943 +1265112328 +1403307404 +1469705900 +1339837094 +1169501260 +29528102 +2076562307 +1458664278 +2085306303 +941579694 +1635713444 +1596703916 +85755960 +1698055471 +308887506 +787042373 +143933613 +1891218883 +854064477 +122034844 +543362784 +1184712410 +1889476406 +1311252078 +23380493 +1941506959 +273284165 +813749868 +123243320 +1606579735 +2095985811 +1388355649 +862403491 +1418208064 +580709095 +2031904752 +1447736166 +509787754 +1343085382 +1385558821 +1451367449 +831315178 +834779090 +1537123409 +381887001 +1143666596 +176682135 +525820614 +887401832 +1030746612 +647855459 +1430764616 +67975374 +389848217 +594533047 +91355867 +183871528 +867817212 +905105735 +307114848 +326913299 +853607899 +1695470497 +1189316791 +124332315 +128695944 +1073737895 +1572068481 +638483699 +269339629 +810143654 +2089851148 +1100654808 +1644922744 +1479490909 +1482541809 +641105693 +1656173044 +2008362424 +1528507525 +539436008 +508734235 +811788493 +607411382 +898582452 +1406321540 +698767250 +1082453980 +126655104 +1603872985 +1389568828 +453568404 +309997236 +937555678 +1642885195 +434329551 +1066251622 +569139442 +2006398032 +1704735321 +838479071 +669058039 +1647102821 +1939133879 +166497135 +979110083 +1274192041 +807602828 +487799479 +1135070817 +188626705 +1027235488 +1643805052 +1000415199 +1634646870 +394903856 +259253091 +185930472 +1477357836 +385908196 +1789803458 +719443016 +839476600 +2099800694 +1656998694 +334878147 +386646598 +575766669 +904017589 +245560982 +133018342 +1742496660 +914619021 +1780121164 +1534146892 +1081116157 +611747599 +660855285 +1888718985 +1099547078 +1795926102 +2077345691 +2126782566 +1292247506 +930277242 +1613945789 +1687151362 +1189530333 +1799876261 +1017025550 +1575438529 +1442196071 +1736468566 +267431481 +1394513118 +1245983613 +602309628 +1781159716 +1821750282 +1506327217 +2026720698 +1954768624 +1101340230 +793856072 +1587406140 +488003474 +1874972229 +51670091 +1148858759 +1616207566 +1151217170 +797301213 +1546069609 +1130516088 +2089548719 +328863203 +596978229 +1629216433 +1518393537 +249370843 +498758335 +946348418 +1691566914 +87743253 +1213779900 +938596384 +1333726866 +1816089528 +572272452 +1007993500 +1174933098 +451509503 +815278477 +128789680 +1245365575 +255200969 +616793154 +972854156 +306871061 +1765651913 +441578074 +1458088231 +415469478 +1987647684 +441120671 +357534549 +169027239 +1038098901 +1986750982 +1687420776 +1287469744 +338025669 +486285547 +831553010 +425768922 +1700065447 +1770149395 +1759495789 +1368671327 +194938199 +620005641 +396120777 +646447702 +1435284118 +524910457 +1891813277 +1690485088 +1141703611 +717183785 +1997356149 +759871876 +1158761860 +1307960732 +1175341354 +998925896 +1749081403 +1532875903 +1167953135 +639696656 +1372143237 +707890264 +1927166400 +1710168906 +1194175811 +611235763 +2135937829 +746757610 +233901510 +1747949970 +2115428937 +428839709 +220471963 +364066067 +1075287412 +1655756082 +888976524 +819617041 +1198757522 +2030680136 +1536800827 +1048630023 +643068364 +548079039 +209107107 +1818409719 +1547004935 +1958188510 +1203801974 +567474422 +450401519 +428461564 +1275364686 +230084271 +2138630470 +322056849 +841320034 +2127084651 +1068814459 +1075221544 +1727550973 +1036759749 +1504061254 +1948022937 +1400825816 +431865018 +1456295371 +142318692 +1251482059 +507569245 +25515180 +640799238 +1556199268 +668583545 +1188878277 +1765306375 +339509616 +588399564 +1576011237 +1543311590 +1155873987 +2026412756 +1971773154 +283755025 +109013380 +1962919977 +605811875 +950333414 +1942520980 +1674626334 +2025554959 +1522588306 +563902435 +1382132565 +1323127595 +1964728251 +1813997583 +631939318 +2107046944 +917995994 +1139508563 +2132562124 +1558795233 +548224183 +653662021 +600189862 +166046910 +993171637 +1188589427 +1742058147 +388999580 +196979766 +1620987256 +213289086 +480734791 +1730000636 +28725415 +1086546666 +532850402 +1971246396 +613689353 +410921713 +1346351054 +1177591788 +1793054278 +521995001 +994836392 +1459568213 +1153934319 +954399688 +230080560 +145959234 +939478164 +1788875793 +694183417 +1593140186 +241582007 +860230327 +438828175 +1430171434 +454804826 +827827755 +1627151200 +2075792082 +1041116842 +2107885992 +1658309070 +1069842257 +1046949010 +43675825 +893605005 +1660638363 +454597538 +92472411 +690746504 +100168169 +614467412 +1685582896 +1559736382 +1768401731 +492498936 +1789816942 +1914360965 +1431977100 +1431209087 +461060734 +877633638 +1672791095 +1321291061 +1316461814 +955478881 +1776095888 +2144289569 +435146434 +1704404322 +1037922763 +395548778 +1215229745 +2107765021 +1442497788 +1258905570 +853886378 +955652504 +1713503108 +946358790 +1646399008 +1813671277 +1560826202 +1184498256 +1225924012 +1181744286 +1676997192 +868257306 +948621603 +961490644 +151982746 +1409682338 +1839124283 +1824773841 +583489751 +1008102449 +632769074 +212101991 +1004908370 +1067915508 +1916506314 +2042831134 +1463464286 +984252411 +2003112507 +758478427 +95674333 +709515237 +1714130931 +1809177441 +1655874027 +1213046291 +1475365071 +1069216582 +250060899 +553805435 +103477220 +1927058091 +1422062741 +1052098823 +741065087 +1574045487 +314297513 +432705722 +1251335680 +897787265 +1440808171 +1884104755 +1109889256 +298232894 +804536615 +878911922 +193580380 +120517254 +1863164333 +49209239 +878995681 +1958838666 +758724476 +445642964 +1620532460 +267114856 +1658689255 +948413883 +1336331438 +1908750154 +1502219318 +1439808658 +1688324597 +776798411 +344423833 +281906036 +203360251 +658721347 +714611759 +1454695931 +1556508612 +7936282 +1191317038 +518914220 +306169176 +1995853654 +1397826143 +499749556 +2116370908 +1113506828 +548958795 +847882941 +924861847 +1307683272 +1293525905 +397910659 +1574798128 +804731512 +1346324542 +763645918 +565998018 +701060212 +55970928 +106838967 +1477858623 +400394761 +388745003 +1681218874 +1059116108 +1103356762 +988431158 +468141072 +1111293045 +32264548 +987055293 +1417462221 +2028118202 +237397788 +1917211778 +1997005462 +1350904616 +318686925 +697404755 +128282815 +1626370197 +1990930660 +526193474 +1053684677 +648178524 +1872518016 +1817330595 +1214176542 +426094580 +1873301523 +1321015509 +1903953204 +126212637 +1709760513 +1437688430 +1185328745 +665633627 +278635940 +1653469818 +1776926672 +310900489 +493041463 +1046905246 +191535043 +730439251 +816633376 +41056858 +2081343867 +1135320301 +738461613 +62143035 +614206851 +581908626 +588336509 +1667891528 +1230087150 +313370878 +1337738476 +296780045 +739465458 +1063556351 +1617795554 +495935014 +1189768988 +1180072419 +1933623445 +227614086 +1845706047 +64775737 +1881083904 +1475149071 +375676226 +226641719 +374570669 +567211270 +957080970 +1191204045 +608268128 +890941189 +179040699 +1346729741 +953084224 +793247550 +1928638367 +1541420734 +313655430 +1011241870 +1854791612 +1651393906 +1308021915 +446773422 +567466610 +778333821 +942708437 +1757235598 +1958406241 +728848234 +1984849684 +1656628640 +793623971 +1718449940 +984294063 +1169300198 +1945091659 +1358864733 +1736511468 +754688981 +402585130 +197295948 +1645630171 +581625829 +1544025689 +451230747 +1374873379 +1325180409 +1992651481 +1688528810 +188938631 +1699959445 +1192439068 +1496960546 +2146732868 +1759905678 +127810719 +941957657 +1369657629 +2086216960 +1670805891 +1207023665 +1595361952 +316946214 +777989958 +432172368 +1486246412 +575597969 +1791037101 +1075274232 +1330286951 +46138583 +1272570180 +828433474 +627764413 +669112222 +1279664221 +2002637792 +1994292631 +1124832055 +1543682954 +35747614 +677307852 +588638375 +1532708160 +676557072 +201060405 +1660518879 +1618514729 +1570718034 +1599252192 +1141836972 +630258052 +1047130496 +1458783187 +1408248010 +1479302864 +797545951 +1983845979 +1122856317 +1872820184 +1166649282 +1168994901 +997906716 +1995082756 +1796759314 +1667018938 +1127263330 +1651913458 +1513827921 +104611737 +1048112765 +1549575535 +781919589 +1636751140 +934800047 +1458476662 +1837811545 +447835279 +929507743 +1261045932 +2047087471 +2071344716 +1891303984 +946734319 +1382644255 +1152068346 +278553536 +32706558 +988430677 +1401409853 +1905526742 +7596312 +422921106 +755949811 +2002679068 +72196772 +275485101 +982458750 +1724110231 +1789313023 +1087070487 +624739348 +1191404910 +1868990077 +114006840 +2126204958 +1179983091 +1951818385 +426556589 +2109490834 +1065380669 +326160412 +2033351902 +809201005 +1272894731 +1268512509 +1961269351 +1551448267 +1301219068 +802216381 +805374473 +1059262162 +809812693 +1228295579 +1815211973 +665008113 +1300492352 +2090697075 +1647466864 +877118935 +1732526450 +587053703 +1501858283 +776447712 +308560132 +1615865123 +755169022 +1488543223 +1420199860 +1181725611 +1450550410 +338096882 +1507886023 +1336418664 +1147297887 +633297107 +457447526 +961083591 +37261726 +1758666594 +1763299972 +842636199 +670445108 +425629017 +2070931779 +338173434 +1090637130 +1223940483 +281386861 +590620346 +2101059418 +2013913311 +1177674050 +1455434053 +642877375 +1486234182 +923815528 +1398046398 +827293758 +196531740 +432288361 +130360520 +534628622 +1940174385 +1466779184 +1681926510 +425987844 +1924226710 +495526453 +463249570 +1535409656 +111342777 +1305885770 +58371117 +536971794 +1229333901 +396544551 +1627608924 +305790736 +677931412 +70745623 +259366506 +544361075 +1248419673 +1714800559 +1187238450 +587170207 +491132439 +437801200 +1414463965 +687664179 +870089562 +1544824485 +1222292802 +662780299 +864120022 +756735664 +1088768143 +640863084 +1252262117 +1552017713 +28789093 +1363604894 +710419835 +87160210 +1900576688 +1939753736 +483704761 +1380701964 +98060824 +1161636173 +1451447587 +357427330 +1705997248 +552383612 +2072227889 +745752050 +1139553820 +415876680 +1183553251 +406534137 +1103540860 +2053642813 +1951358623 +178350014 +568939464 +667994997 +935085678 +1657707607 +1308858081 +39864147 +1062241672 +1337647174 +1403469041 +1772661508 +1424807384 +1156562081 +1564931596 +1908512145 +389780397 +1662992421 +922664670 +1841227985 +2020419751 +481178270 +246127949 +1945163993 +1226930321 +1385681769 +213557025 +262999924 +1792215907 +1317097885 +169159089 +1596090882 +1495447899 +738098553 +116602231 +283049929 +248322512 +1425460312 +322914076 +1310564184 +615623839 +1726383117 +935742044 +2040431223 +735461550 +353189993 +1801459721 +1125241948 +2016182414 +576640743 +818986285 +1889118517 +1057819014 +1065114234 +1686798862 +137265687 +303312356 +1900355888 +400265611 +2095528263 +1069970125 +569424700 +1544135497 +417934377 +1307523253 +1660737728 +700984306 +1555845765 +938714392 +1023898383 +718926301 +1554338231 +602797852 +1654668346 +1447285807 +1338259403 +2007858339 +1101261880 +316017703 +1876557105 +1677902623 +1135003988 +1618191974 +588237989 +52634574 +1157507189 +725503676 +355946930 +910379429 +1125769287 +303991545 +1980349554 +1695193987 +1848127042 +250800283 +855233592 +1361381122 +951784590 +263595709 +152611867 +1975682973 +982522011 +1706950098 +430997177 +489706709 +1006752257 +1769256580 +350081400 +2108014137 +2085274283 +79154857 +1638433113 +1072794623 +1697346831 +79187454 +1125429198 +707370372 +804691131 +1481376128 +1617749801 +1930460418 +1785367674 +1450615708 +1478170758 +1486011068 +1701415991 +185920702 +699908543 +505716933 +449516412 +852520410 +333916258 +1432038423 +411986860 +764913436 +1921745132 +1418739118 +386686368 +124342884 +1379269607 +324477004 +203497741 +870219072 +1397271627 +1900844572 +949406527 +375217177 +460731297 +1754097658 +1856593306 +2078481098 +1537074428 +1494477332 +1381613158 +867761538 +833004752 +935545502 +1053682241 +1532913295 +1441262435 +1503198653 +237950057 +1775178694 +787753428 +649936918 +392608482 +562014912 +2068676036 +779294850 +686357796 +1300461995 +1103771854 +889855537 +23197420 +353559834 +643216461 +972603947 +728777011 +1103947758 +579217957 +437886669 +1034945209 +2116292385 +1932364001 +269074719 +836570276 +617885106 +1204620221 +1890252517 +3314753 +498399009 +1245967522 +241264811 +126094055 +2033720950 +891201729 +518702537 +448252214 +812394117 +1297997387 +1134610010 +2112856112 +254285594 +2024465547 +2136053532 +607845428 +520198360 +961173831 +1336622439 +1624146119 +1540391788 +1774509109 +511607680 +1509200526 +1559389462 +780682399 +198287154 +29790920 +1985302621 +2088539671 +33105674 +336217982 +1187023545 +274370485 +462312037 +1073260847 +1165572214 +981014574 +1521513061 +1977966331 +131528313 +508639423 +1943338795 +385813907 +385621322 +1931908680 +993659335 +905819682 +745598863 +182798127 +382482153 +138507004 +1957307236 +894089833 +1647707530 +1369213050 +1674772233 +1845994684 +1399003971 +1512591206 +1787050707 +1432109645 +1848809188 +826590604 +1706480130 +163637577 +1899851451 +724568696 +1144652151 +1273880864 +555051379 +1276180464 +1782520287 +350906526 +1661994372 +20657961 +135331558 +508170059 +926477643 +880930422 +690968186 +1308959797 +1019437426 +500791774 +55565982 +519661308 +1870004825 +1730338215 +218172344 +1121525148 +1095445773 +2005223051 +406151145 +796771313 +684330007 +2112631275 +960408890 +436697810 +689716323 +2105061041 +1710578674 +1244767702 +1233757858 +1345615313 +1595674228 +748268582 +1366273274 +1731005787 +1256438641 +145267269 +464452561 +1947406828 +1454227066 +1483889987 +300714954 +1509793049 +2003551295 +23236131 +1092647616 +74239991 +1144761279 +40609742 +2079463042 +1550912424 +837381055 +616309401 +1516060051 +1797789946 +1053007211 +58292726 +1755367339 +616102237 +1303060428 +841641549 +1961717550 +751251009 +1589910131 +1180507176 +334773148 +698865125 +1325774445 +799225709 +498788305 +632517864 +135632048 +799503259 +2142310913 +2139183343 +822739391 +1087474881 +65939686 +1967500670 +1128084623 +2145402728 +1370929447 +1965465679 +614228481 +739505850 +1615771977 +1667235692 +797798577 +1223655668 +135854281 +2100859005 +2065297218 +2097571831 +704626366 +1507723701 +1130595359 +1039399514 +59105178 +308886156 +1838625223 +557893483 +941404020 +1974257271 +1357396743 +936231285 +1965956966 +32652486 +2023706167 +2031896652 +2000153156 +1004307142 +2029815732 +1223598955 +822289173 +496560565 +1963104806 +290577502 +16312609 +613419735 +1514233171 +152166890 +566795092 +1432046741 +102255073 +1271421459 +792286794 +1232850432 +163337325 +851391973 +1541736589 +2001962549 +1409285456 +335656961 +1828736172 +619198551 +1271888247 +1647209491 +651851037 +1148110766 +1531622495 +504520546 +4934260 +1413954580 +1728119501 +827223434 +1910515145 +1543740659 +1117800936 +1926827755 +9676746 +484550459 +2078994645 +576471839 +1916597200 +33766071 +1847893298 +561400347 +1266616503 +2011230623 +1412792320 +660869444 +1865709524 +674594128 +996526406 +1546962049 +1293792680 +120931005 +1046687892 +1945643717 +1269041771 +430826739 +302680615 +1273976031 +1844781319 +2030800117 +2101199465 +1607812817 +1427057128 +1071516754 +1387156924 +1436733875 +1556067213 +1318667921 +2013205714 +1325180766 +1352433992 +1713615364 +1886581113 +471566848 +1577362339 +1151889785 +1132436292 +1295588216 +1826483913 +2128962698 +695066617 +972792945 +102410055 +1741754509 +770953015 +1371451826 +25097600 +1073633630 +497944210 +1869878920 +956950099 +451660027 +1330208089 +236523580 +1523176781 +569881365 +1673257455 +931760347 +1888549286 +1538979521 +109457465 +1093499631 +1105111237 +1996038578 +1565066479 +534989928 +1000444715 +550019123 +1830578144 +679444980 +531498174 +378161113 +1652237926 +633908229 +2119915622 +275707293 +2005360056 +2145013223 +1349340923 +355820618 +1867408495 +158807375 +807480645 +1050132936 +395330955 +183173779 +1620014301 +2068588410 +1114934126 +1361079939 +1460084283 +1224391591 +307095922 +417711872 +1072946521 +1872162401 +952701800 +2073391236 +274697877 +635796297 +605352568 +806196051 +1013957410 +110106846 +1440104280 +986389385 +385814139 +1297980688 +983918960 +1735155063 +1653801306 +703843807 +1893962438 +313798304 +1753976743 +141809745 +496972083 +1226507396 +62914507 +1611906209 +440103687 +1522998790 +688814152 +747199610 +1940710662 +1761760673 +471878363 +745928814 +1687668261 +746576240 +1381725111 +145537181 +1552772291 +248198874 +255644028 +845392924 +1234588259 +641458167 +2143373612 +71023571 +229129582 +1649691271 +774867378 +2123092020 +1963489575 +381360473 +117418117 +312978010 +1607867869 +180332624 +1924884219 +2047971556 +1703331414 +466214723 +647687518 +1496558428 +80491748 +1119565882 +95003595 +1768160009 +1866142122 +1476728706 +1913697190 +1271430766 +1724927580 +21857570 +2116823690 +812032191 +663315738 +2112713654 +883055762 +892445320 +1614921277 +1657923140 +868053693 +1430927204 +2039283613 +985471810 +1743905214 +1499667834 +1165804435 +1521305785 +1400155743 +721652201 +1987520508 +2047843261 +70726982 +2068012256 +1019925495 +165730577 +1688688617 +738583970 +1642459283 +1454902160 +2010014736 +1219903216 +1476759730 +1979354778 +2031935407 +2140075468 +1944584784 +767507522 +885037141 +1412022414 +277947014 +1753090834 +695465970 +169746980 +591078996 +291887537 +1669414814 +1756883431 +1813193322 +922086909 +331051985 +1653230183 +822446523 +401778967 +1573758791 +1842372018 +567509544 +1114963761 +433472340 +62485179 +422382273 +296003428 +1282388395 +1899142003 +127874558 +1166840155 +1891733824 +2072459343 +1934347677 +629287317 +1336998109 +64811043 +234894503 +2032464079 +234558023 +825973499 +176867968 +1903972838 +435373283 +1990061291 +678576099 +766425268 +1495807826 +1501022622 +1168204235 +922082969 +1195910993 +1735713779 +2037046730 +1629383333 +1798198958 +311945355 +1925386762 +933103706 +63603711 +2053261320 +2099943861 +1955337535 +1978237015 +1886807890 +437141204 +1167751476 +1951618933 +672035707 +1052731908 +38693309 +1498009206 +1229599876 +1942666147 +1933382489 +1072177519 +473758598 +552324109 +420501697 +1974781221 +1720528344 +1342584667 +1023208566 +1308758475 +1232147749 +505108251 +959473786 +1544093105 +283011365 +1892577492 +1607696816 +188789038 +1845037705 +1415550703 +19542405 +1584361947 +1852691907 +1187293882 +1388497232 +377243966 +92542142 +1427190541 +1875253172 +1322142018 +1222373040 +1661152014 +246835890 +1696131639 +65992475 +667337587 +1523429212 +1786520820 +2009922254 +399154130 +947795647 +1094586356 +904262381 +1907269433 +491195813 +1187273747 +1652363277 +2098892629 +1376062785 +1349917334 +1366959684 +1395605190 +786795633 +1072167943 +435415424 +27809218 +1449411909 +527957566 +1454999759 +1177181433 +1850099585 +529889152 +690849799 +2096935475 +78537143 +756842275 +616789414 +1601966355 +395879447 +479228021 +2001120485 +1343675094 +1573814377 +757899218 +1103460880 +2065010190 +1945172965 +608340509 +2016419171 +1173752102 +1958257844 +1235895207 +421873645 +597569829 +160579502 +857289069 +625379047 +1609991411 +1385246636 +2080378807 +639689196 +1087862573 +462784311 +1330538996 +1037314400 +541321454 +2087381271 +1654103814 +2143287809 +335777070 +2133331835 +1996924646 +1679452164 +1559662564 +607340216 +635429396 +1477189106 +405029534 +1243769906 +1346124629 +1578781636 +1054544102 +434536188 +2000655281 +1652113931 +595115690 +710460703 +130009331 +57623453 +2095707339 +62904490 +697312650 +1036086264 +525688801 +2027851646 +2073400664 +1067010255 +1967749269 +1580020830 +1062814416 +156042691 +1565869018 +912255414 +1835494855 +978047934 +1519595630 +323440604 +307753393 +1924625164 +1567210510 +1653878022 +1355923153 +474270964 +2088414211 +1209094786 +2126384895 +536046253 +1919555489 +108910578 +593669707 +1867779180 +171815068 +1290982357 +756381796 +697503869 +1171350355 +682298812 +1764514124 +991615976 +114835995 +679844892 +1147658667 +1680705013 +1592100306 +835669874 +511269299 +964212289 +1159110478 +819022692 +741353805 +578837340 +325417067 +2097276958 +1053108304 +266347630 +1158888097 +1032009552 +802393883 +930959938 +1140920130 +1396063590 +651255471 +1312735199 +539562299 +1407637267 +2010239068 +1710912654 +2089936080 +1627269545 +555044982 +57288427 +159630789 +1702703649 +1737993440 +1751731096 +390889876 +101779091 +568459737 +1550000354 +920801784 +1309813542 +2128837695 +1246218851 +1259606853 +1034462351 +1512566481 +271011302 +2066471903 +167476716 +1201971240 +1059908386 +1563540307 +1853226711 +225159937 +2103102606 +1113380331 +87915357 +1666531613 +1055832763 +1715184902 +74092947 +1113121190 +1874815692 +1776796597 +703630982 +1479063140 +20202825 +805410073 +2047522877 +1570203179 +1726211857 +1209852771 +1551557226 +824947060 +321975976 +438535930 +190029893 +592987278 +357524185 +357506610 +1794958519 +1417432571 +1921046917 +1500701582 +1642592508 +1876665875 +466598265 +1730507866 +1395713840 +1522431028 +1298209120 +1469806788 +488068570 +1025541164 +1099119737 +1191699552 +357120656 +1119322562 +1997109626 +257159885 +542042093 +1575837835 +1467012657 +2093599320 +253301248 +1788988633 +384651602 +443331141 +234492264 +742175787 +800837751 +2029450783 +12124711 +574401020 +1382668717 +1654717219 +303583248 +1849266983 +1237741437 +1699297088 +1224214363 +388466910 +1021620228 +1712282934 +1414008074 +2120739965 +756498838 +1771128731 +1092578879 +606124816 +2028288616 +1634620973 +34479004 +1347817625 +1580736645 +287780252 +989322611 +1965388247 +731111393 +1223814875 +560080386 +1531949145 +1105782010 +572205097 +2106350165 +340967079 +79438669 +262449765 +42750414 +1317180106 +1961746854 +1266964778 +1705647016 +835883434 +831764064 +972171443 +809139752 +1588262902 +595816526 +1901718631 +46904071 +476621494 +1388855956 +81383075 +1824439120 +822108953 +369163327 +666278083 +640013552 +1100274720 +1890092958 +1200093939 +484740217 +848391320 +1772299036 +443606735 +1189358399 +1851737705 +706056500 +1232108814 +1021434164 +520319706 +351589944 +579597532 +1356203141 +1183354008 +1551768975 +17859245 +624133262 +101853 +1919577876 +671037333 +476723348 +1160950185 +752420408 +153678820 +1983059138 +1121583735 +819956903 +475589043 +74374808 +562566213 +1675682982 +559115025 +1410957533 +1300498370 +1002721760 +452832284 +1004752428 +1708778261 +1684941098 +2026186592 +81614319 +2036531042 +458300476 +1437817460 +1072401402 +2010069452 +1455676705 +1696534665 +2010171305 +1227770934 +220088350 +339411005 +241237471 +972508759 +493089825 +76812961 +2094092494 +1313046728 +552402004 +20983654 +1875612941 +80601338 +580098680 +1139086826 +1381099709 +1582820440 +1591919111 +238368489 +1144115053 +1129376561 +117071433 +1225729373 +1018423956 +575371909 +516063185 +2090825358 +437957713 +1971739891 +1639876375 +300645371 +1052027177 +1859964726 +640056376 +1293264648 +684989837 +1133146202 +1370077609 +631598683 +298709282 +1922479614 +652582338 +26838576 +2003080952 +1232681018 +1165925402 +1236697013 +668017810 +610360865 +1475065502 +1812132864 +1739737427 +1592136935 +890378589 +610677735 +20025197 +1406441774 +554019445 +457982910 +1230698017 +46412173 +758628281 +135241546 +1906376899 +1398684658 +1428506194 +443883088 +384347212 +651100156 +1075481771 +683056494 +426096122 +1728064109 +709895070 +281693426 +813261479 +1875820473 +1518390440 +1481279290 +338697690 +845972294 +1145928506 +2078435117 +290625582 +2036307095 +541629204 +310650779 +1295265221 +1095648650 +768633689 +378479591 +1142060823 +1527261971 +513721137 +900954074 +778462981 +1942227332 +1344837162 +1162810193 +445843840 +272835285 +1845866687 +871939962 +2000899395 +408278110 +1153633388 +666677226 +136614935 +524540180 +472868 +475312625 +1370512475 +1146401374 +406264095 +1661138057 +1035224821 +947893299 +1971788836 +183006395 +2043541949 +592938877 +561485986 +1038119124 +2120200848 +1075207123 +1939073198 +751180181 +869950807 +1136426712 +1913990374 +1315794647 +1409261998 +1612373414 +40250961 +1262677745 +2020651524 +1193884350 +1929354971 +9782811 +1718424530 +1929827840 +485095436 +941453357 +928745566 +891359531 +455107766 +1963970388 +1839252831 +279412954 +2146976783 +1735311132 +872351832 +560979121 +625946609 +845069032 +1636186244 +417536159 +1596249214 +358653404 +1553962872 +1362755940 +1674448051 +815741222 +827645706 +1714699013 +2078418967 +700813582 +761099715 +1860290290 +710596393 +332040597 +1642634482 +1195691830 +1273493955 +423896401 +2087051361 +1728601721 +240383141 +1778820544 +2008014676 +239876276 +1366648029 +732882860 +800855397 +1992594638 +1577951892 +289557993 +262647149 +1026717458 +648211397 +1816610021 +241989751 +175175801 +484867595 +1069635457 +1889874814 +415802914 +1770449040 +503490881 +128609557 +333561785 +835531478 +1771244039 +1529253615 +2109025433 +47656792 +1468821329 +1690143507 +288039933 +1100158225 +1550674535 +527916209 +319322606 +136073747 +1328771606 +164433596 +1714025639 +1618329600 +427080746 +593259450 +119057349 +96207119 +835249201 +294233150 +581074715 +1904884658 +36624316 +996877629 +1527850050 +540115197 +1125487186 +1861411836 +1375646676 +749247578 +1243181803 +1337188461 +796904370 +564519484 +879848320 +1084944304 +1664677710 +283039207 +1612860513 +1984000316 +419112954 +794148472 +950265 +2133138594 +264994424 +428031011 +578914396 +384051773 +524238130 +1414163597 +678284924 +1105312845 +1171564607 +714909240 +2102190475 +551931010 +1255024438 +1080194013 +265859198 +483187466 +1829441591 +1509041001 +1820375927 +478862314 +2073560486 +552740600 +1563806618 +1590754548 +835779807 +1029183483 +1427271216 +1254892762 +1823331955 +1428221481 +1240547708 +2088326379 +1856252492 +1819462104 +324894505 +233006975 +1086142053 +1003179429 +1338319820 +110223012 +1718088669 +1293026647 +662154022 +825629459 +225737013 +928013220 +1308816925 +2055178604 +289570574 +981709205 +386557270 +215647412 +1534449805 +1950363888 +1806401960 +222745964 +832063724 +1086189528 +1477638726 +507912031 +366927362 +570702786 +448754763 +75696206 +242681242 +773649268 +308703181 +1328823295 +1776828697 +1647023002 +1439046308 +1347433718 +792566001 +2101200330 +25579530 +1018303014 +881729903 +1334396455 +925997971 +1171300477 +168622012 +1312555241 +1386947889 +1703071817 +1115435482 +1045866201 +1925817782 +1947499206 +2132055729 +1255972860 +307927589 +351499443 +1826675647 +756682352 +427195650 +2069356889 +1530331620 +735898831 +1250696537 +1159676669 +235438185 +542259197 +359626740 +1028004187 +495975879 +385206270 +2046307201 +1377705782 +1719602725 +824821524 +401522611 +1888224738 +2137376766 +1788470500 +1443812907 +1105328600 +686853053 +1222147041 +905344158 +671425135 +330636254 +1213271747 +1022924578 +9828253 +1969954100 +1450120228 +2079185142 +1352802072 +38535412 +1182398031 +364995094 +273973597 +1724657228 +724621834 +1301977784 +73149460 +1109828104 +1200801338 +1450855242 +681947181 +2025622862 +1852377854 +422688271 +2015515980 +1493364706 +1866501179 +973360932 +32734112 +941164572 +1878705090 +704159247 +1271800826 +944493190 +1727083825 +1281629079 +766963642 +1029720406 +1213330574 +2119765714 +1068255818 +248244957 +337277160 +1342229415 +1972902186 +1061898994 +496723552 +2046051646 +24243450 +1697524890 +1349423240 +706190632 +1575664104 +1054317446 +1128878903 +1443696437 +400198505 +847896434 +269573721 +432932617 +1789061007 +795164 +1137091864 +913378185 +945288354 +716692041 +47523617 +1712251996 +1746412447 +1260854191 +1684534062 +667184617 +1509099148 +2021811223 +2009414033 +1334517686 +936226569 +358653937 +1233085684 +960470020 +2056178827 +435025277 +1666660652 +1484359283 +1489342723 +648055907 +780572072 +1889541228 +1495952342 +1050145794 +174990197 +1137529701 +1050940958 +1312082061 +2050907886 +1996229312 +2028774103 +2098431503 +1560997660 +1627702902 +1211802046 +1098048074 +147403872 +573417547 +972375649 +9334257 +1907935233 +1908602219 +367988194 +993537270 +721588591 +276683373 +1428562547 +240765595 +1761042656 +770421622 +888821502 +394131081 +512479203 +237290196 +1444276875 +687469400 +1374819897 +347734185 +1999551462 +1278244136 +196479849 +1880841917 +1229191991 +1757477509 +1361061171 +293510390 +708041935 +1508465043 +866927937 +1680417585 +1517799300 +627379522 +1441536156 +1885787494 +1620916792 +15641099 +14987219 +901995691 +256406694 +1776029876 +1672417314 +1145228196 +22677309 +37412869 +1382518393 +1466954184 +724882269 +609854642 +1814688369 +576950083 +1888098778 +2011168218 +310308352 +969807122 +1621162079 +1671369524 +1263317512 +181720366 +1032350919 +2130245449 +1862137951 +402666572 +610141323 +1156190459 +140970418 +83574468 +1171831558 +155957638 +985570159 +1428238252 +1931987514 +510503825 +425982801 +1954664823 +547916694 +1808501194 +1274135359 +1272798964 +270872188 +941340080 +1849749047 +11487319 +805024650 +12573752 +981294441 +278703081 +1683943276 +97128305 +460423447 +568810547 +79890106 +175077751 +971477119 +690031429 +1331268210 +1112447538 +773605897 +355616121 +1268405176 +1759176057 +1783854373 +1052909042 +122196234 +62353526 +860090217 +670112929 +1870854720 +2134225576 +1942911893 +2141726909 +928082008 +1645177292 +5730580 +1733106658 +1657751044 +987025021 +2011809739 +1194210672 +1084153326 +324749538 +1763021220 +1164043432 +499827289 +587014691 +1854074861 +1831095500 +1699462229 +480197111 +39227973 +820383757 +91889520 +1823082346 +1873292799 +214085754 +1885435873 +585899368 +884198683 +1608806945 +572641296 +679626928 +1603050206 +1500723304 +177320573 +1608780786 +1086346314 +1835071617 +448322159 +950672405 +881798642 +1532475485 +1275421944 +497336214 +549035269 +1775249233 +1084350905 +255626483 +1458861085 +636329487 +735823594 +1498089058 +1456713244 +827713114 +1173687757 +1182522396 +1041798868 +911639982 +1768421764 +1925997552 +372963279 +193579413 +458140832 +1976013486 +1694302717 +635461405 +1437310624 +633165384 +323049375 +1885632784 +1583837789 +1204848017 +1270624621 +711776085 +1702184231 +1819659891 +339541671 +639051488 +2075286374 +1798402756 +1275380975 +663626320 +1149008167 +584610572 +1491339434 +175212276 +1767132968 +385654654 +1086852258 +1388071084 +164168558 +1459815537 +1581650497 +622309391 +1288345375 +1128469567 +1257770796 +578172352 +1761634951 +1580820171 +316321488 +1197989092 +638184540 +1586946109 +1909765178 +192885123 +1259122352 +101823201 +831936612 +1186925078 +1900225957 +2107317587 +1850551398 +901750476 +544444511 +1194407184 +1076962752 +164093831 +1580061839 +16331362 +1552164916 +1744230397 +1476146900 +986331765 +219056140 +617008627 +2114801332 +1476826937 +1195180979 +1728952635 +910163460 +1511502467 +779458080 +1548348001 +950964929 +541739610 +1741233124 +62603633 +643562811 +425686088 +1249528712 +396305120 +385520028 +952596462 +1298055597 +929964539 +2147003647 +227534701 +1094058371 +1579581838 +243866064 +498739639 +1176328587 +1720012964 +1485071404 +1395384728 +189537943 +1452389089 +724728017 +1384718923 +1033858076 +1634891477 +748737742 +1813316156 +1035755830 +1699702671 +207572118 +629505307 +1762306305 +851134929 +1055191395 +864351369 +1247440050 +1440711423 +1816947831 +398011999 +223192315 +1816467830 +625546700 +1317250686 +1248566020 +869412764 +1815990325 +277410960 +441942080 +1153578081 +1672795688 +631480024 +458483522 +250040057 +2016198947 +1492341599 +1884931534 +617453041 +1158174107 +773203717 +169672065 +1365746226 +1402709024 +1931978370 +69397507 +310416771 +648846091 +1316837557 +1751128195 +318310274 +1714849556 +1974320510 +2134778105 +192912609 +1144087548 +1235860477 +1062325373 +812594225 +1513271437 +1504267454 +1966172306 +1038583477 +2135747478 +277172181 +1288623534 +2004462777 +1769513780 +1026071421 +474432170 +780204239 +1799275138 +644104235 +2145950465 +1054500514 +428598957 +67864325 +1364917285 +1077445048 +1384701882 +968561832 +1395755323 +952067791 +795398694 +1383049780 +1144980400 +1939486242 +471426609 +59822125 +604596819 +1984698047 +1564089579 +423285478 +875797876 +1552353409 +700457659 +16937763 +1409332538 +322487791 +1043009184 +1883764709 +1102692030 +694800674 +380385296 +1101158848 +1749301188 +808984254 +1169023173 +966734825 +1886429302 +406241407 +1935296658 +1134700977 +1358309198 +583211704 +370267109 +355805950 +375214299 +841693719 +415628076 +979811118 +678908118 +1979717655 +1403096596 +1554705994 +1384587417 +2103554255 +1571643757 +646436307 +278558398 +467169293 +382717368 +1381250429 +1161969967 +763102665 +334925629 +763787507 +1572086919 +1503948802 +1730522333 +1311032573 +1910190209 +1518335343 +298249903 +1121015760 +2101547047 +668517012 +1476821710 +329277698 +1510210731 +1892449786 +1309088817 +41635201 +1724683794 +564701765 +1596341196 +961787563 +520772373 +1020501305 +1608223870 +799330771 +1487670599 +1990941239 +33097552 +502156918 +606560256 +368023181 +1265944426 +31163527 +1871971983 +848983111 +1342196100 +1634678545 +219834806 +1640446003 +608210657 +173898205 +161479368 +2085032367 +503175904 +1671690099 +1829998506 +1812264721 +1713325301 +1407198652 +229482838 +1162182849 +221502567 +750255211 +35200506 +1829726437 +1549585983 +1522871105 +1673184028 +1582683535 +2025028024 +132260636 +1950706717 +1143488802 +163424163 +1675195052 +1992471913 +1505620264 +1162389949 +64823071 +998582619 +1770600606 +238721276 +1160061987 +1708149326 +741897180 +684268439 +1390664184 +406678253 +250110092 +650379188 +636161092 +1412292941 +871881755 +1386416303 +1447493447 +554124544 +788518638 +822880905 +79824925 +223718526 +700425281 +212085561 +26941595 +1843914083 +375509725 +1702136647 +1688902348 +1881129989 +717042949 +1753725419 +732228960 +340159907 +1992446695 +1892290948 +2048309233 +586860228 +429075739 +1291489769 +993538481 +679185831 +1941868957 +1629699573 +2091478772 +666267064 +868632229 +1391488571 +1220391609 +1657150867 +66885828 +1300216534 +1880869393 +767311109 +1512302095 +1907810988 +463741544 +1887811820 +1462463988 +5160244 +1621458161 +32023289 +1758885663 +206203474 +372183196 +1603848711 +2098494422 +273008782 +43225291 +380086513 +1564498551 +1036763772 +1059272344 +1358883861 +518979698 +1003267468 +2025150925 +1387611927 +247272391 +1098058886 +897279146 +314158220 +250791772 +630664892 +1081469329 +1763093868 +390992232 +1545210874 +1503422040 +1853456220 +1550371118 +977396554 +1885479509 +1161773134 +1183600028 +110179058 +618138197 +1134610802 +383187840 +661363488 +1514697315 +1947686391 +1698127260 +426486011 +1159086604 +69623310 +1429753479 +1036753882 +1457235237 +1677025870 +2134812768 +207030736 +1991184090 +238120893 +837695628 +925169772 +2001214761 +1228687860 +322896998 +1357153153 +934660433 +1873268116 +187066059 +672656294 +887557602 +1370666087 +782835352 +1505695799 +357793241 +1166023192 +19575639 +1872490556 +966225936 +1717702900 +151492919 +2125312540 +1787326210 +1581246398 +1014582774 +1097077800 +1110788621 +1001911895 +1304108536 +954489063 +1240032788 +2141804164 +1879658835 +1093763901 +1223008376 +55072185 +303433406 +10185161 +1928340302 +490499466 +682841456 +668414256 +1861165553 +1465676808 +26626408 +71475147 +484216353 +46202047 +1943965703 +1450442289 +1763904947 +2095458623 +1428271181 +1403747510 +1529221373 +295370308 +353341662 +492526346 +1297282203 +1657450198 +1447015410 +389831343 +1651770714 +1179190597 +1483595244 +727295442 +1234262783 +1787028650 +737480604 +1015119437 +130044468 +1420322060 +1683533693 +1991210022 +738515220 +1710160101 +2062685169 +1222731573 +1756362149 +1859167224 +525690214 +1372783448 +1807142199 +1953961396 +629047310 +1188879925 +101848056 +982388972 +1681406271 +1399130259 +492355522 +980938033 +1788961602 +2144126236 +12644983 +1125073198 +723938031 +1246907766 +764618200 +1461418635 +114543555 +894662669 +734257047 +1798077248 +738389043 +1472772267 +1360753702 +653590564 +548020193 +969632203 +365274140 +1073710407 +194932003 +24932692 +880188155 +823979314 +1213812617 +982036211 +1806368286 +747735240 +233682822 +151240161 +1728673274 +2022644424 +147882749 +1741318257 +1000233974 +871820780 +840742375 +1764852175 +185755767 +955285930 +512031196 +920012814 +605879530 +1250420239 +245301434 +1966633232 +1904010803 +793321627 +788781787 +121801295 +1867032034 +983713791 +146733987 +599736542 +1807693105 +1360546604 +1581772753 +1466577743 +2108281845 +1815455576 +1617817904 +1689471471 +1690616352 +1765700654 +1283306080 +543366679 +490037786 +2124048455 +160735206 +675793554 +931850737 +672766402 +1595806368 +1537730267 +1923186641 +1841107802 +1356879852 +1679713796 +486945781 +2145661639 +1801515091 +206494168 +981891782 +1948249079 +806230710 +642101239 +1161312035 +240519815 +2108678983 +1122110232 +2055975391 +1579013239 +664098055 +1599108096 +1197230245 +1947404135 +2142474775 +1687268032 +1923968942 +155726333 +215577938 +708336031 +828492735 +1811384306 +98582651 +604195728 +1505008461 +1455462503 +136425876 +1991954242 +1453640494 +1937940967 +50964762 +288048629 +1738706398 +857195472 +930149868 +752534786 +1097715288 +891345203 +1874645018 +1006207031 +322874795 +391259426 +457831479 +1520105040 +191179913 +452822606 +1059889424 +2115148856 +608548939 +1275467362 +676001239 +1437041674 +939368021 +774583890 +2041237402 +296892834 +82562745 +30179630 +141363428 +1536203240 +1968120598 +192328191 +1824251869 +1559343348 +1049523663 +606918089 +164394486 +2147238951 +1498263293 +2039039505 +1005962335 +1821138088 +282815283 +1463793814 +1193759480 +473995196 +1916616421 +106165257 +441660404 +377681712 +1381632619 +1117661644 +1814723387 +173516992 +1892245534 +1708477141 +470409826 +1974808280 +1738656772 +611773255 +1363527872 +1559293722 +804101446 +1040296093 +971153422 +1853625109 +1647214182 +1135547909 +1853380413 +997993827 +1027103766 +711859100 +671648267 +1309919049 +28169266 +1865407748 +1783914245 +1944785687 +1971573005 +78091002 +174983752 +1205721976 +1195752646 +1989707139 +1379238969 +940514532 +1550700632 +1849648795 +767839164 +1141873756 +313938402 +2131367036 +553683830 +1118039848 +1024179481 +1524837253 +824181310 +523910016 +512901514 +530078075 +1521903843 +1540005280 +1241937175 +46068463 +702440681 +1270106441 +1911476211 +338871278 +1067408481 +1735565568 +416962280 +1242392233 +793803896 +1612714926 +1084615724 +25559217 +405745811 +487832708 +1875208013 +1173584975 +1629706465 +41662767 +1157468364 +35906647 +1159702616 +34164197 +1560743900 +1983883926 +558074213 +2073645414 +366478353 +2079978057 +1466167046 +1608415528 +2126046520 +21124079 +731038321 +1890039083 +359995358 +1798446802 +1478121003 +776957638 +893355387 +124441251 +242188917 +1977971111 +150000469 +647934728 +318320172 +2025208482 +1821519703 +1948026637 +2066871249 +831504419 +1983933284 +1079090217 +865668617 +1397193537 +915490495 +1423742830 +1323355303 +1281968848 +1356237239 +642038702 +742900728 +1334800111 +663162781 +1473939050 +1077355546 +1023158139 +1124902204 +407992901 +1800115778 +2018257592 +532434153 +2042304695 +1848745055 +682434622 +542755775 +19581579 +560159456 +216791830 +1967608216 +479547057 +1048296250 +1804057853 +1558637275 +1913964867 +1053767742 +326644122 +1190224049 +229639397 +1608612971 +398977641 +871678099 +204030051 +1733777752 +1534840881 +1677969101 +663649651 +410515372 +655387658 +1071642552 +63147502 +526161602 +1604076705 +2105452197 +227423009 +139027679 +500724324 +247004589 +699187135 +717516155 +67129157 +1178734193 +1765812405 +1871187010 +589887820 +1532293624 +777471104 +916531942 +575034025 +1007110502 +377661265 +974011666 +1878788601 +581691317 +560305771 +1266145834 +112176770 +1223955422 +1676661207 +767564428 +148114326 +1739808709 +1293726030 +1752191032 +1697777259 +1521149040 +1891218711 +51017935 +1768153629 +442922199 +768534090 +1835282786 +1621656392 +386862847 +1558986149 +64060564 +1919156471 +188973605 +980592506 +346706849 +1196084107 +1358253772 +1320718515 +927389061 +1939945089 +1881024286 +46051247 +2052121859 +957496060 +1722712454 +672202640 +1105610387 +1315037516 +1965928670 +710317771 +865331127 +1339594062 +454052834 +916349062 +960264043 +896975033 +1684883153 +648063182 +371147777 +2071746000 +59565683 +435208341 +1843418824 +248539288 +1415800848 +42642025 +1444623396 +626570972 +1363360540 +224528809 +419032413 +1096901179 +270580056 +323670624 +2054397239 +1993292511 +995873264 +1012523978 +1160846379 +814318287 +1722841749 +2026177506 +6428701 +29410936 +795042920 +966692745 +926385969 +332442425 +1614755927 +1297533747 +256704778 +1674321610 +1732742088 +2100123602 +1922860898 +1001059288 +2142765627 +1220000646 +1627630260 +1358642519 +1444529455 +2046662673 +308060050 +1715109512 +222849650 +214973642 +1560918375 +1218722914 +1227497620 +574281106 +2033041201 +802855722 +452974964 +2039469903 +832266658 +1248017884 +858679000 +1758652627 +1580460310 +325951279 +908702726 +1837165088 +2000272889 +493961167 +1789805042 +1775650139 +1495020455 +1785087021 +848167138 +975167068 +996245892 +145212945 +874346093 +1304305943 +1860322457 +1097195743 +1519279585 +1273757184 +168435010 +599293557 +1848038290 +53992563 +1402149279 +153529606 +2093462466 +86932289 +1401547491 +804657818 +1845584917 +834524153 +1130609097 +606803995 +524205593 +983398338 +1100765162 +166526987 +611564830 +448301970 +1951614008 +1459731968 +1423469038 +800376252 +1604944913 +150331483 +2104682195 +1317783723 +1247527227 +1476478132 +444057259 +1415962237 +2075771690 +144611902 +1469954800 +1330437321 +298141508 +1415933619 +1417369611 +1699688999 +73107789 +1115470880 +386729504 +1203716887 +1722274875 +910935097 +39631577 +675556390 +1077462084 +651196407 +1123858360 +881592444 +2110928375 +399843750 +1681968697 +1568389641 +550175233 +1639167244 +738689716 +1797702460 +968161729 +1182746975 +1066181049 +896449771 +1327358877 +388652202 +79403444 +1625500386 +1804585821 +1496773055 +1177705737 +1877693610 +464760287 +1564435242 +933926849 +39551515 +327886691 +973558427 +715107905 +1405348776 +1624754834 +1838966265 +139457572 +1588199562 +91326367 +1821426269 +1009105555 +641501600 +1313109866 +1747795271 +291720413 +133787947 +783058598 +1357901462 +1030237718 +2110417476 +1746553664 +1109641162 +1588434214 +1403655837 +458930570 +618656303 +1133865800 +923690857 +35607897 +2067792649 +963242372 +363494589 +893867428 +1678350277 +1768843365 +371138615 +1369832894 +1908300937 +1959338177 +1461159261 +1582243559 +820960084 +2102660862 +747869777 +421271707 +246897627 +881657724 +1204330305 +1604799089 +1911895442 +1167264133 +1203869106 +874052956 +608214699 +460041295 +1332983526 +1226871003 +1593907095 +109190736 +1262478900 +1514216097 +1072433108 +1625973489 +260599877 +603299738 +1247333206 +631738492 +1973132632 +1008150496 +443593021 +1286808246 +442910407 +1264553105 +1241985460 +1190780184 +1685824812 +1488883087 +2072437908 +742671470 +946198528 +1836849702 +1909935603 +2583986 +563419010 +370666655 +462625282 +1896402537 +1597537658 +2056532377 +2005593273 +712532910 +1423264826 +930542733 +191022752 +1683864704 +1533842471 +1438355958 +168119548 +1359491456 +299022806 +611712570 +498816054 +741933213 +1876265675 +1740801514 +1932713397 +1414606840 +1082200953 +1857667657 +9794662 +2028399481 +1547033711 +1919730265 +2030983468 +2110452722 +142913272 +346125102 +1859371611 +1740450930 +255173831 +1717481236 +305500193 +1678438658 +500540321 +496522945 +1214819714 +2034382793 +1934878903 +1382939262 +1246390601 +86418062 +1994651832 +1745206655 +828351275 +1723433860 +1338524521 +613581025 +990557052 +273241826 +323765034 +1000351714 +154157659 +1870798746 +772598331 +37657479 +1833767820 +915511604 +383782581 +1545655783 +508478886 +638956413 +1115653371 +813979079 +169911423 +1616193692 +1310502024 +1384731137 +1503092837 +1097897280 +620186751 +601999790 +1184315342 +467354936 +199722797 +2012666617 +43305148 +1538247318 +478763994 +1033862200 +1811489144 +802529029 +2034213914 +1965646804 +525844127 +659328597 +2003304283 +212128299 +1574840201 +239603217 +1757784082 +2083319088 +878559630 +725953805 +749814519 +1048471053 +194663849 +2060316544 +285718542 +1697756687 +1010730176 +905905293 +152272829 +47561870 +1373260229 +351995627 +2060228487 +1416565377 +1890242945 +391508834 +302943929 +1554248442 +1194037863 +189674195 +1372411598 +1719881990 +849002793 +1228232233 +1932010289 +276359346 +1467835450 +1542310723 +212194786 +198911432 +120780880 +962009306 +1247382485 +315444729 +874842202 +1533101027 +2013201416 +1885572378 +291522673 +17990598 +1933134248 +1664782902 +369986225 +1845879087 +933864632 +112745522 +89904273 +1236808561 +1666993964 +1283942136 +1426482757 +891921914 +856340478 +128001902 +2120154148 +640867119 +404361248 +1440505950 +35694194 +616556035 +1639417383 +156475074 +1578565341 +739316220 +471919804 +305923895 +124933600 +337637572 +44012625 +416456273 +355628170 +1977146873 +2081239175 +725614395 +1675542312 +867620159 +838359918 +1765446586 +2104428721 +357870234 +901905074 +1383427830 +1249792149 +1758245553 +1511429732 +1222462649 +251629024 +1915790980 +515484951 +287323219 +384863367 +7418686 +443798293 +1963428708 +746734907 +915718097 +121868955 +871668507 +1253355670 +165881580 +1288124780 +1608983840 +2143028453 +1221880307 +187114588 +1671087118 +2089500467 +1025474506 +1289050056 +2046445540 +1383344740 +43471482 +1282389722 +485653241 +1801717035 +646335806 +1708115890 +2053346060 +414643138 +76117194 +193185631 +799506506 +83535880 +636983924 +615451566 +830270787 +1552702022 +737320522 +1701939294 +658574044 +903202102 +842580426 +120074236 +898746908 +2064460734 +307188824 +422350378 +2006477553 +1332663330 +1711400434 +1905439445 +568524423 +1754871916 +1040345519 +1054177664 +1409105304 +1686681325 +614809907 +1314967716 +2101324463 +690927101 +1508153347 +753347321 +774462981 +2145137271 +1368798888 +1604733769 +1550355645 +2106119410 +1159189415 +61446041 +861837864 +2001769842 +181520278 +1760584772 +1918746928 +488709102 +35451502 +1777740833 +1821372433 +1746851936 +1535696630 +242413208 +1354240205 +428558501 +1296590872 +615861861 +2115239826 +1911400779 +1930829577 +2069080641 +454844232 +1291499276 +674944315 +1229307214 +1289152899 +2043743203 +686557335 +692024897 +2002378965 +1845746750 +753470938 +716733181 +1700032944 +934991216 +329834306 +1471296224 +1423700319 +365285808 +1101553409 +1097589104 +2112137745 +489766391 +1340002312 +1318894302 +918324892 +489109536 +1934756163 +886081070 +253026668 +1718102092 +807678064 +707870900 +862117720 +1482622379 +1937178114 +3786971 +1378881934 +476251801 +695811868 +1233777251 +174514904 +1449282807 +1950510432 +1874547848 +236790375 +132861090 +1198360425 +1660490694 +498146899 +152430186 +610596150 +462800996 +642196578 +1950598462 +1781695298 +1560521470 +292224351 +1568967813 +299118893 +545251019 +1139586257 +1106796957 +1253121919 +2001703977 +441935688 +1042816386 +2005490948 +1820817622 +1519068187 +553819169 +907111225 +1693583091 +2003101976 +710138009 +1420647292 +92408703 +842999100 +471524069 +1752899398 +1341145999 +623954255 +216011900 +1803946995 +1266150833 +19126715 +1438158645 +679188656 +311351066 +859642810 +978307549 +856602085 +1999229067 +2085104506 +2109724004 +1853449396 +379556546 +1005056742 +1711456696 +52890520 +376641282 +117792217 +960001745 +2070224373 +2120894193 +1670139754 +1343388017 +65819249 +365655206 +1814912086 +1818718647 +1706801205 +291382694 +2034730547 +1363264552 +1557533527 +2053857262 +653939549 +89238535 +217724680 +1513582359 +1067546084 +1074326765 +1365327778 +1005166942 +1036567122 +1071293526 +1384723488 +2041623864 +635266575 +1437614008 +270781498 +753058792 +250132105 +193522224 +726469338 +1920271860 +1536910241 +792288587 +138443418 +1204338680 +463523586 +1845244624 +1495721374 +350770485 +1061025528 +905771253 +257144100 +1714965078 +995009789 +474868780 +1081063789 +2062555873 +1549195546 +298907920 +920239168 +438279020 +1370201446 +157479008 +332419236 +2005468021 +1595093017 +603200735 +611043166 +1845225122 +796722959 +1337512504 +1618013334 +186149552 +2129801091 +1756456753 +1390488232 +445841029 +1454217729 +738725958 +796611514 +367759609 +1644497212 +1053755614 +2082724687 +492023353 +1528624395 +1016304829 +407095578 +930336293 +1315212749 +1327334746 +1368615313 +537930547 +1484813755 +1701034549 +395914921 +932423124 +156751636 +1006958087 +630164598 +953474595 +196986943 +100694285 +1139624148 +179304386 +1857151038 +382628732 +625145415 +1163885119 +1121354691 +1421756929 +1531644728 +618368255 +328028896 +1466885768 +1110391608 +1856653291 +335706949 +1517487186 +639505936 +1650919698 +697338285 +2008121249 +41366597 +34668392 +1561672150 +437281518 +967091516 +1718423787 +1444239605 +1597256114 +524414734 +1641226548 +1697950399 +1664038882 +1820530934 +1407617789 +2046667615 +298192701 +424019260 +1020538658 +1719949631 +1955663989 +1638906913 +2047978527 +1275066109 +601814873 +1757148170 +1610773058 +2119302059 +249170458 +1114209108 +669156696 +109808059 +1155575705 +703825088 +1671480209 +1592857224 +1670916604 +1242420348 +889613181 +1120689071 +1766835083 +383356082 +671155822 +1283390317 +56403368 +2078773612 +1182574284 +354596070 +355309224 +55629294 +2074545701 +163489565 +1694536207 +1975040580 +1438555674 +148867432 +1584705102 +901845084 +120685844 +1833875560 +2016054192 +789842540 +1943683619 +1024146250 +1493667629 +1467680180 +469519826 +1017100585 +562616881 +1359133007 +2137789656 +181968316 +1742489089 +661461831 +1465358633 +1798892458 +592751795 +500449270 +6004880 +948061019 +556078564 +2080550581 +1111550585 +103131124 +1908107513 +402622611 +251998556 +1345328967 +1304467696 +372684400 +1031720879 +1173038240 +1162526941 +827920850 +49700842 +508710922 +148117382 +519220668 +1525811507 +710734263 +1878353676 +1516117516 +892702579 +1473359117 +30095699 +210577565 +1124767927 +622847494 +711026835 +1130772807 +1570908513 +1267105399 +1063839740 +534975450 +1370236523 +824463605 +937598062 +1622235080 +22308924 +94582110 +1994919480 +1054029803 +1267620350 +1009962773 +1881950653 +1317321193 +1518673695 +2030068036 +1836541861 +897001555 +593318651 +1567411889 +265635423 +1486021231 +893287359 +295731122 +1696598796 +2018055286 +918578616 +260141983 +1001344446 +342003481 +1527247382 +2065184186 +876978932 +750000258 +742164144 +1814576994 +224751690 +764473068 +1909159104 +72187522 +1818502872 +1029295806 +1082150296 +1552969877 +199133351 +453340343 +1435554265 +2035675213 +1350341898 +2028872917 +1455603454 +1615977321 +1367410500 +201407165 +1911708443 +916525648 +71978804 +682803411 +1176667631 +1073323250 +1024806893 +556431365 +991023788 +1901785825 +1306431623 +1733187932 +1568879171 +1531183313 +350177353 +1330554627 +1603370836 +21196577 +212366785 +538037484 +1574166454 +411500137 +991377827 +862237072 +299691702 +194236078 +743626341 +1755295156 +1810213399 +2111036841 +1956702322 +1574438195 +880078841 +2028681126 +109757958 +2056746472 +954520728 +1134564851 +465694189 +1945544516 +888867028 +1772125813 +1531248801 +310262551 +1155825478 +1881426154 +1640817178 +611712666 +1902622731 +1853183964 +1149750150 +1329305537 +117200453 +2141127978 +44058961 +416892155 +187880408 +787685302 +24703663 +1998093807 +751238495 +1981405985 +1425048354 +1631317336 +1862603463 +1534806313 +1540580160 +669640543 +521887516 +2006274350 +467701412 +1410754545 +1630916515 +1998950213 +1721017096 +639258345 +1732892719 +1214350627 +1250971012 +1488031802 +920050943 +253237514 +669853691 +1037251396 +246881844 +713912653 +1454143551 +434762252 +1501597955 +1478847214 +285372412 +105352803 +1312769552 +1710420766 +1736670139 +1027889367 +1097743431 +1129766652 +1697529911 +1619630948 +988557354 +17747675 +882901845 +471990221 +2016697888 +456435293 +1111248566 +1602106959 +1670785920 +214735930 +942655113 +443353215 +467973445 +1612508804 +1480604611 +714855289 +178937809 +787264514 +1149617542 +1680535765 +118628081 +1434989954 +1785888568 +1431397633 +997927072 +1375075059 +311803352 +2095670504 +357358063 +2009333263 +1567817804 +1345915417 +2027080938 +303236001 +1817905638 +1896295178 +759671294 +781670557 +1350918489 +282973567 +996406487 +146089954 +726326782 +1464379932 +1758598759 +59447746 +31751574 +1937536568 +846712260 +1181369116 +1470588685 +965340341 +468875422 +1108993605 +249254326 +1466802494 +336585017 +561057679 +1414989350 +693943080 +422907294 +835323506 +2039858498 +302504585 +1138559507 +1710280488 +51316115 +1898230802 +344467397 +1402234605 +33720721 +1340873885 +1548324559 +760047503 +657770169 +1159439670 +819495249 +689521743 +949492591 +1666207510 +1870890859 +272597628 +484064203 +192282633 +1381591234 +733318530 +1659085128 +1718176251 +1294376209 +926590830 +264635683 +1717283503 +1761914337 +157010533 +2019788088 +752990196 +1867291022 +2071104204 +503737350 +64274771 +1325855161 +537458071 +1405148656 +726696072 +1297505575 +2062918826 +1886135743 +2117000824 +604956921 +688144686 +1635724686 +328364133 +960742314 +2119788890 +520646766 +194849900 +705623772 +32248246 +1913026151 +1999999981 +958839077 +30178187 +1569799836 +573269766 +187188720 +1442104277 +1326259962 +2054479742 +1365724833 +1829997313 +2118754514 +544096346 +219971736 +1376419522 +1270792418 +1517477311 +1291854700 +1009444513 +1486994488 +1896811622 +1697589199 +975235526 +77692107 +510847866 +947540768 +598338873 +705697766 +1653164540 +630587120 +471240270 +1505680873 +1589426197 +501418457 +927997062 +15212315 +688607177 +222617691 +1341472277 +595603272 +1588342524 +1023985942 +566874138 +2132438870 +1243957679 +1943293660 +1255747640 +613951342 +1087664713 +117708506 +2100945830 +836992687 +1815297705 +928697709 +914684794 +178661923 +1876238477 +1513023667 +884359690 +1381919370 +2143610787 +1355599960 +740116595 +1585553336 +1857018417 +1668113657 +1600765651 +398141946 +1890731348 +794754281 +993745218 +1331590224 +1818740223 +1560619356 +1316545446 +915214254 +1356429369 +424809439 +1529165597 +296610434 +542517945 +1482627779 +1133603121 +210332002 +263841840 +2048287915 +388993926 +2140080318 +1413827934 +1273353616 +1374516040 +1409955074 +481469928 +2114632635 +848024762 +191004697 +1635262645 +301306766 +589146643 +1378510345 +1096061047 +1582891862 +562616922 +767317622 +996027570 +1879162368 +1682531877 +204973291 +156488159 +1064213826 +501583725 +699006104 +399357957 +1635186846 +909338107 +663199798 +1535991113 +1298332033 +655796468 +802335400 +424202001 +2030312508 +64806826 +905671929 +1997461495 +912831588 +1096676626 +1485240492 +1214138354 +1685823269 +716267190 +162715753 +1121231483 +1278884112 +930033376 +2117259054 +1010562832 +465081605 +174748697 +1167050992 +1529295431 +676332423 +1866057096 +1928653388 +164035621 +627911555 +444369538 +1700026735 +1926243588 +1100166006 +354878487 +202961941 +982994866 +419685313 +1108633870 +832972714 +1332516901 +57826848 +170729558 +399171608 +1743650118 +886996748 +561887361 +717397953 +18397212 +1491920737 +687173359 +1028960045 +1957002342 +861922057 +48527389 +1338814125 +1538254480 +1914584485 +1119983866 +1702290101 +395012393 +1564353404 +1254833188 +173772333 +517035763 +1609711675 +376734275 +1500030629 +2029396988 +1485368145 +185519695 +1214430242 +1543194994 +356249254 +1613601850 +1139361464 +1243246002 +28005563 +1856759417 +1261643215 +1519926301 +396449129 +143119612 +1329444995 +1258371186 +191647001 +520775473 +649142018 +2106231486 +1640759339 +203948471 +353760231 +1057629095 +1458781660 +527532565 +1574664858 +921009687 +904266840 +927211840 +802923028 +242151337 +1112731535 +2017353270 +1785346331 +1468980789 +1483471472 +777224147 +564743144 +1511477035 +486499917 +1826386359 +883919688 +882949046 +1969505971 +65881036 +2141320232 +13669324 +586656509 +642978602 +2119900810 +79932200 +846927073 +326177394 +1137561295 +158225085 +853709959 +564742506 +1079234773 +1757976799 +1491954346 +1882157801 +2000128136 +457202233 +1752027423 +1637990820 +1926183023 +1088015247 +267731319 +343442519 +452008634 +754231236 +22345230 +1335928323 +1637180282 +1991851201 +1401809359 +1631016866 +2005520525 +1988465868 +126511820 +1977937687 +2068398068 +973438894 +156631433 +1058475715 +1131663979 +1010341392 +1623218221 +63415104 +620834543 +967688919 +1945572905 +473479032 +1424891153 +1550116680 +2111469852 +1203590528 +490648279 +231717523 +1547033047 +942656914 +985948760 +1569378277 +131101589 +475645394 +1413745830 +1532910948 +2106662261 +1271782707 +1373893168 +85690433 +1102236746 +1294807588 +1059129327 +1258868180 +205799655 +43309659 +121725924 +1829017877 +106724763 +742560468 +649223148 +2052297669 +1216039500 +2074114301 +1454930701 +1180025704 +1130221181 +1945578981 +1411743227 +529770580 +740752247 +250208339 +2099148857 +871853836 +725853734 +1365411039 +257281136 +685032347 +489710098 +1631174304 +770722780 +1591946845 +778498244 +1829852108 +703331377 +984297899 +1873161767 +825057301 +665832128 +1979886530 +1567617769 +1315055277 +1884700551 +636173621 +1241685930 +1192147605 +1816199325 +224423464 +990242938 +1080458905 +754194044 +1730995185 +1330667244 +705859254 +455365373 +2056520978 +2071270293 +712646509 +594069677 +413496744 +196337165 +1364792458 +2005443589 +974835409 +1047160918 +561291318 +1959133308 +772839037 +1386348619 +477481789 +605241919 +806482741 +1792537066 +342458823 +1442656362 +886739348 +1534606428 +1111372040 +1111162812 +377365718 +44347297 +1865356857 +2108360903 +1375014541 +423732463 +416242628 +1284051872 +347519108 +1128889137 +1878121549 +761015852 +1325226302 +1095430359 +618975793 +152578063 +2142591277 +1180267111 +2111711371 +767946666 +419132083 +441709512 +1373188586 +1225614824 +86762930 +1715647409 +520787538 +973502279 +1102770189 +1632159578 +2084665091 +1480135907 +1676506875 +1802538300 +1441013162 +904037769 +78787115 +1857255790 +40605993 +426306224 +838661279 +1918727542 +1187322076 +16403933 +866674254 +1806297870 +168981996 +861781883 +839081333 +133209719 +1629728550 +1258213416 +574919232 +855433488 +336344592 +661682162 +423597249 +857132131 +1635184441 +1526367438 +341808061 +1572365885 +859019697 +2018314937 +1227420537 +152549211 +774869058 +1306207653 +2009805001 +815475051 +1732513877 +700982632 +586718945 +772352305 +717386565 +1453393199 +431166527 +886368561 +167691435 +1270247861 +1019578280 +1797419985 +380977629 +1594497512 +505369825 +717322222 +108696027 +928967074 +1574454353 +1743880468 +307850864 +1916262414 +1168762705 +1166870561 +1787093703 +248699595 +1319419772 +414479113 +1554907248 +1181741125 +1229954164 +1139937477 +1882723757 +1816673110 +1912289782 +452626674 +1122582661 +195972662 +1338995235 +1290274096 +1466220523 +211089867 +940210433 +1847198152 +1805587380 +1445580258 +417036726 +1914283407 +227063684 +1991491079 +1510680227 +534914548 +1760269846 +531959285 +1701785109 +1399879901 +780658880 +873721233 +1814359015 +188082480 +2055462358 +896829531 +1328019957 +1790702467 +566018993 +1092826091 +95845493 +1688601655 +1288798753 +1434840728 +831392103 +607535628 +1645930596 +1771602537 +307250133 +1304034328 +1069699147 +724286859 +1070834087 +1296762832 +568294291 +434030666 +1831677380 +181080489 +965989951 +1385978842 +1580960390 +1746648831 +112216427 +1247835757 +1934731311 +20195138 +2144665289 +1115267620 +1810897605 +563200634 +60610064 +1906743099 +104318641 +1349408817 +1194100179 +935710745 +1956944446 +692547127 +559829634 +116710931 +1996581455 +1629528781 +840997790 +919931894 +778807965 +1409292081 +1353962561 +463001698 +1590372570 +172468864 +1848980540 +1023849313 +1919117696 +1961196967 +124201422 +1706365359 +1981392105 +121383063 +674149332 +1644806063 +684583698 +734759396 +1404065514 +788902339 +2084168213 +450682045 +1724613084 +1893629011 +1143229173 +136959070 +2010339942 +992326980 +1766487852 +703854085 +1912258875 +397812169 +2113146166 +1118737788 +860813867 +1556035089 +1291206652 +562310759 +432400754 +1062840700 +376024079 +556602176 +621722412 +209932536 +677985240 +1295871744 +1854738599 +1362568938 +2030631140 +1111320465 +3987629 +1967315705 +1562002511 +1728600714 +1713461069 +557748036 +1865559784 +1576317363 +1550075016 +1484563988 +132687800 +1314850243 +1882376158 +98350319 +286104383 +595706377 +1654385408 +1577311036 +1158017137 +2086786162 +492668088 +1534041216 +495904690 +1114390500 +1743973752 +1173889930 +262778596 +1451228704 +388975220 +145926088 +415065521 +392962850 +2113241794 +1977068032 +2121563564 +1679219215 +387332420 +1839639700 +1108052930 +1937407437 +1176720041 +1240740731 +1104774032 +911612551 +1339091050 +1390878416 +1507318928 +845992810 +820705804 +517852417 +785295324 +1313373892 +2051893633 +1281200014 +280280745 +1648383738 +307606297 +543059341 +952128794 +696581517 +688985430 +1367194315 +1089544367 +654743576 +1196778700 +1063624283 +186479143 +1584111120 +755780336 +1294532073 +1374034909 +1932500377 +387789156 +331325294 +696629280 +1726880206 +1722203710 +56464560 +425389368 +395425866 +574316978 +1210684692 +1708799758 +478726963 +344401059 +1989080503 +2127110701 +652007356 +384656197 +931755847 +1348588873 +1073641627 +151466515 +290649593 +1728385203 +1348245215 +1354273876 +1914864346 +784872687 +2110054212 +1061912771 +11423949 +1895070941 +1449701928 +342749243 +444216573 +1029098486 +2064952953 +500681134 +1454487855 +312895171 +1074998112 +517688899 +2021694929 +1553725075 +862089958 +1863291785 +1533352129 +1514097314 +100464334 +317624328 +715202540 +1174105961 +469090843 +1005852133 +755007516 +1817336058 +212642361 +522388214 +454725098 +175212926 +1584300985 +466149047 +2070283867 +886519265 +808898290 +367016793 +1915617752 +726367595 +867697927 +1222621959 +1039262766 +1942696039 +1740310858 +913474047 +1348937466 +454917169 +629282184 +734805947 +1969014483 +729746518 +1052430276 +536733375 +1903852479 +1521521119 +1542585508 +511376347 +1191373530 +1755227870 +1033764561 +1646098628 +1930440796 +470581899 +2112247675 +1853241015 +1357101164 +773662317 +72774160 +1125235268 +1500029912 +940472087 +200373579 +391809030 +735684478 +1940684438 +1305283077 +2084621945 +248117959 +1934565262 +671944244 +69648794 +516828132 +1724374520 +606382170 +273196964 +1098411992 +1484030 +784573311 +142301874 +1756711900 +1818337873 +1788400502 +1539669048 +141436124 +1753164529 +1245426416 +1498537288 +379343198 +1318200576 +476288909 +1879373110 +111189016 +676662488 +123698492 +846873494 +469863278 +1428981569 +784011791 +717981237 +1216063183 +1455956036 +787630032 +1732891316 +1032846908 +1394012202 +2006088280 +2131258900 +1395496232 +643177943 +126077126 +1004724485 +314032168 +1914477628 +396909885 +455468292 +1520158509 +1642336301 +1954005581 +1899501707 +813053230 +282810842 +1631391169 +924242246 +959473330 +1755089661 +1771115740 +1429336609 +1036587583 +407643884 +2147317846 +105167118 +1863599920 +787464230 +1838058434 +748963180 +33992784 +1696663066 +732738433 +1429489017 +192357362 +858815559 +286729854 +506389530 +625809540 +683639739 +961857823 +2145968049 +178492393 +768379756 +1897986109 +991545623 +1051190598 +1381893630 +1915787869 +2010663928 +989499644 +1539419961 +1292516889 +2026087227 +1947063845 +1292351088 +2131254345 +1663180117 +2079815318 +1821829132 +264659650 +2113808103 +1371008550 +997398083 +1395813472 +1563365912 +1856213642 +1682543326 +2069755443 +334539534 +218699417 +884129618 +333023936 +397191810 +1652509374 +83526397 +1388737433 +556216324 +1465420027 +1157041654 +419396604 +307436023 +548977968 +1711913494 +186039602 +348558165 +856780934 +169810300 +2011738283 +789112604 +1991639432 +128914285 +755437059 +1215164334 +1126312368 +3766883 +631046599 +835042362 +1686310209 +553318394 +1169581897 +1905009627 +1437448012 +1502605833 +154717789 +942473738 +1586132230 +1543455223 +1498690062 +904068609 +553013229 +1918086666 +1211504633 +1101991197 +1482516512 +1397544235 +1450549363 +191813798 +1567354535 +1314803998 +980926403 +1411510319 +1443718283 +1736363462 +479191006 +422547003 +1740130346 +1110237605 +1257589365 +1278956907 +1663555999 +279687614 +1036482886 +953520363 +1782293447 +1191200676 +1895994101 +1220942029 +587172251 +1247200515 +2125010639 +1140185480 +1017803533 +1189031624 +94693030 +352836398 +439092211 +1545242393 +544650196 +2006446747 +712562743 +1525576599 +1270473418 +8797378 +1114456414 +1749664424 +431344381 +707103112 +712418381 +1688933746 +1986060019 +228490732 +1968621361 +875059258 +1182011095 +1603431160 +2066259934 +930521548 +676889542 +505948537 +30238415 +654416533 +1646134017 +1048041949 +1843448157 +1740827047 +1400878347 +135056720 +1138585792 +1945528543 +2141503467 +1851148535 +1323621495 +1264493238 +1859945913 +290594261 +866674014 +143806646 +997697373 +1579092396 +1832740393 +836273744 +1807583128 +1653878106 +1711333002 +842110576 +1109825618 +1630109288 +1772632124 +1786715160 +2136057825 +1802870540 +293648045 +1634708195 +703428841 +2137096202 +1228051594 +2104307188 +124669275 +219153739 +1902352083 +118689094 +2070302274 +1078489930 +1383182332 +1782764540 +1369084191 +102372699 +1926571186 +219297916 +1681465095 +1611827931 +1055571661 +1341564575 +1118222389 +619421015 +36191503 +80564360 +102046656 +1808823628 +1867279520 +90620833 +1464210520 +13443918 +1725329028 +20155713 +3056472 +805896975 +2124462901 +127725747 +1025050714 +1879331336 +246414842 +947869340 +810337619 +1629597174 +583150232 +31938162 +1731969873 +362237771 +251236079 +1265951320 +1974065702 +1306807740 +460032248 +944804444 +1926228755 +496223751 +1025368804 +2028275411 +157563731 +745164676 +2118896245 +1621774251 +758608594 +1696741625 +1641929964 +761665067 +355154952 +1618909217 +889390814 +1380205666 +1350756906 +1135805656 +180591359 +13610877 +617919183 +763741591 +45549039 +202405408 +1125979362 +296785118 +1468356729 +952561417 +1603592858 +1928388977 +1897365861 +1382337966 +277129080 +775251017 +1263129729 +434692812 +1520415693 +1234542326 +2056467063 +131540640 +783800304 +1550913380 +893205707 +1138955256 +1022338949 +1782596521 +371677275 +225612207 +770918530 +552268634 +239223084 +1388837713 +1316010225 +284772124 +1591243121 +294505940 +581557242 +912116202 +1247067357 +37666453 +693021531 +996949570 +1420004419 +970150612 +1772200587 +535650500 +1404843424 +1145132632 +1770192827 +1313826839 +1276673272 +406509483 +717256571 +22395331 +1545464739 +1739595521 +1804991853 +1917142014 +1965207728 +428426735 +321927000 +56947165 +1817264448 +1637937226 +341719289 +1261023921 +1932443166 +923276531 +25656476 +1032026875 +960942984 +718678007 +2028976445 +233463755 +1688828619 +1653693384 +769114256 +946188395 +651342368 +391823435 +112531587 +1928015641 +798332918 +829788158 +1950410972 +196314009 +421900031 +1607919177 +2113456024 +239624112 +2036345912 +287899376 +296571277 +1706126712 +1925836602 +638290566 +819666986 +1710796120 +1561567097 +845323462 +595339347 +375026434 +1564001469 +476832144 +608490189 +1105346441 +2130525528 +1377604445 +2051534836 +634384249 +1769427880 +16582775 +414916242 +420277150 +846370934 +217843566 +616591160 +1268270965 +1825762744 +582563536 +1507895077 +1714625008 +870462912 +1804466354 +1273268073 +648815867 +295273272 +2092935059 +212128339 +1856840370 +790774873 +807467687 +84383156 +207292694 +1284299831 +692873345 +1312639135 +1267341712 +2070477791 +1216690324 +1901725961 +1692422023 +1233273099 +169158555 +2112699174 +2079644033 +387002121 +581806686 +1200431351 +65281217 +1164370222 +560842780 +1779906226 +2034833134 +217825487 +905690651 +536165353 +513098759 +851142062 +748293693 +222455481 +1641916935 +1555761380 +306838637 +1849209629 +692577563 +999711983 +1014365117 +1959919275 +922706126 +83571793 +1714161588 +467644501 +1316844892 +1883320143 +432860027 +1249005278 +122838617 +1014666713 +301952981 +188119834 +31553287 +862795761 +1968026060 +2066386422 +1080621248 +726233063 +455068127 +1593720008 +1577375125 +1203361820 +1816175489 +1071808412 +611639552 +2123014127 +773534394 +1304217116 +975242462 +1787899511 +1116652743 +1897948588 +1871471304 +683330684 +218109441 +1040832548 +419167179 +650969469 +142354178 +542005796 +1665636182 +444307159 +730125631 +1697189470 +1307102921 +550668043 +1616092244 +240240521 +1276901107 +2071160371 +1833960529 +706792584 +1127038544 +1502652371 +1778600997 +1738678096 +1478182850 +404651743 +895411564 +305941664 +45067606 +2012064308 +56406604 +1916538910 +547911344 +274516045 +809887810 +967078523 +925485514 +952241989 +1509084320 +443638049 +1396549148 +91726303 +2140827519 +556168421 +642394346 +1609436115 +796408943 +1919295453 +1533112838 +482885824 +478604390 +512667734 +1985538195 +109721739 +103862183 +1316237397 +514373482 +999273747 +1622179061 +559441088 +863854407 +1678585665 +328496350 +1411765751 +1953101711 +1138384160 +231360627 +731103577 +2090626149 +1740444947 +1174741626 +1339691650 +1832171250 +1168085497 +1895860071 +327081948 +630037964 +544785366 +98893754 +15667155 +1027671191 +577498144 +528334889 +865725738 +687219883 +632197072 +34479488 +1201593365 +1631470820 +1656658549 +1761034453 +347841579 +1187760567 +2089530803 +1759607331 +993378630 +1080431315 +1990967958 +1724482207 +1023573817 +1583929257 +751740186 +215781819 +1268616859 +1919825683 +2111641890 +1595698807 +402380000 +508943609 +1694592561 +418047155 +1536614800 +124607057 +946382044 +254856890 +811826940 +1578579117 +289336378 +2013420305 +1062566289 +1945994928 +1626971110 +1410407868 +986271847 +1569018265 +1022531551 +1979650477 +501965933 +866015861 +1556649036 +1525539750 +302461470 +160905574 +1741321569 +1571078329 +2080731258 +1705479811 +1019293489 +335627610 +66939772 +566402402 +753674765 +1603554572 +691009460 +1700056809 +1858411463 +1502836400 +1131152278 +264193 +1368773058 +46234919 +1946259121 +848260520 +1456642788 +785047320 +269795138 +331690691 +617214149 +771761071 +1197706553 +26379538 +149817173 +1500168023 +187285112 +1891138742 +923762705 +120532722 +1449134905 +1943056194 +456160332 +1516074678 +361974948 +1209835097 +972145602 +1052984408 +762408259 +683073417 +408337161 +1893560537 +683337611 +1777110219 +1939795457 +482113084 +477887091 +1248954597 +1267160405 +747682229 +1580645288 +1884374554 +1519443300 +630868193 +1910754092 +1669260473 +2131036217 +2098039205 +1412915567 +907315274 +71088279 +714566825 +702887820 +527248612 +83157855 +1064862768 +1737083709 +1055303457 +2117847177 +352008320 +1738376875 +378700690 +98085210 +274230838 +8327261 +2037880667 +756343922 +486214352 +1139351616 +2023504327 +1233896582 +572513256 +1760395234 +605856234 +1203381450 +1523665678 +127633060 +1186934019 +1474221235 +1540548627 +2094249293 +1545309515 +107631804 +649653465 +2072558127 +190789659 +1714516233 +1662158188 +1246093117 +1684879762 +2014166509 +836986344 +2063580452 +2112251719 +1111217182 +2071907713 +2002648738 +1867561104 +410638418 +994516706 +1743581784 +1644535000 +1567029962 +1356493370 +102907586 +622927764 +732675400 +230540646 +1809861783 +59412988 +1771089274 +1756627428 +1604722503 +1878721078 +258797245 +1529796982 +2069510738 +1973313479 +1044471522 +1168120207 +1510709593 +911154383 +2005106551 +1426806398 +875922454 +968840085 +1351230463 +731087544 +688917541 +1761868881 +1725604250 +285015677 +1258920233 +1145150565 +1641509047 +1361827820 +1768078329 +226700800 +1592368466 +1430456465 +286113788 +1215974092 +1039600245 +1890836291 +947211523 +1298397491 +1273149625 +869238613 +1124227322 +170137499 +2037358820 +487453267 +1081291883 +1894981723 +1914259665 +1957214337 +716338160 +1118006481 +540818234 +1405255701 +732391714 +118938836 +1690271379 +1991311948 +1264089401 +1184296778 +1205656120 +884684083 +1410997578 +650540938 +167656900 +1697111366 +1866515031 +1207257145 +1440464009 +666242906 +358170988 +566129986 +1535481519 +1482398310 +736267486 +1425356691 +1969851578 +1817559369 +1172854766 +1736627595 +1627290058 +1889192926 +707150428 +20624644 +1146964979 +1439542143 +139563481 +689752710 +1283370443 +1403652882 +1874049489 +341542915 +140853317 +1137563419 +992083853 +308510217 +687191138 +711115236 +1515767363 +2127655147 +1377358142 +1873938351 +546301486 +765356013 +1208853014 +1282568972 +43229056 +1031220944 +952644693 +1216083822 +620364891 +432451103 +957793100 +1327515320 +453075748 +2104758080 +619573815 +592639229 +647027142 +1902944258 +1996292111 +373592983 +97003525 +2137145429 +1511156403 +1089087378 +298171998 +50863893 +1800202615 +1813939361 +31035392 +1030077109 +1540394065 +577336878 +1795433123 +601763431 +1859905850 +1838662179 +1632984375 +665066895 +907262354 +105865618 +1097517999 +1865055454 +1433380938 +1550593747 +1822329886 +2052954753 +2143232976 +321873381 +1808415363 +1992041439 +695466364 +1905418888 +1981703220 +59139119 +847022619 +132391571 +110003012 +499741586 +1946330932 +141038405 +1529818695 +1339241349 +718375283 +1177768170 +1941004780 +430797486 +868946702 +1426505507 +1095864381 +1776209056 +1532371126 +45898732 +1493780862 +818268416 +1596492479 +1168627101 +723739522 +1592241807 +1490500482 +384671237 +1436799599 +38483198 +142606478 +1271019171 +97622318 +989629097 +1403410742 +207625330 +1489370683 +1202258027 +348663735 +871705730 +394015728 +1067039019 +2049473901 +187536861 +1497836505 +770936955 +1614042368 +446217238 +399662363 +998929846 +492115971 +1893443225 +1817198263 +2088608450 +914586678 +393454137 +1533366610 +257603512 +778125374 +822682561 +296086711 +920731852 +2093701732 +393709029 +1910360949 +1349628827 +601334359 +1252247984 +404403206 +949998095 +2123953715 +798418934 +2017037114 +2025943968 +985955795 +1367389971 +649397275 +452514516 +1813607209 +1049059638 +1451444362 +158239532 +795019215 +1121158977 +99364335 +1709605894 +1514613114 +1632730945 +1967209406 +145254841 +307929858 +115812469 +1065986693 +254147942 +509521498 +828863995 +1603776769 +1110855858 +2081111979 +2008179975 +2060853953 +2057582046 +659115262 +1930407419 +1936042366 +1645071057 +1150313742 +437955993 +2097585573 +816437303 +1487015631 +1401546288 +974676836 +134551199 +375221617 +1074041171 +1844157093 +1889834732 +559288468 +1663882851 +2035089573 +867218326 +1779695321 +953592618 +1121366268 +141733171 +1782456613 +577659390 +1252589029 +1716084945 +438355717 +1165959334 +1626183343 +1097470979 +948883105 +1414742062 +595058389 +2099196847 +1852698055 +545160314 +768150503 +1192230039 +1946706602 +1742827339 +1326781238 +174444572 +669384862 +1023454683 +2064279304 +1228673330 +539853886 +1951885229 +2095891656 +172065559 +757994199 +1069774276 +313798731 +392967165 +1647433666 +1566387760 +2109052110 +2085789384 +584863447 +1587751805 +1035776715 +1533746552 +855010219 +1630835104 +1485459752 +560224627 +28511771 +106126607 +1752454666 +1975218373 +1848953946 +931752256 +2179297 +370855160 +1955206939 +2066458601 +1599528490 +347577177 +1870860182 +1547936498 +519642737 +481370734 +470227126 +833441468 +874337899 +2117660793 +252345580 +835906361 +2055966529 +837209027 +276174518 +944259596 +223471932 +1131184738 +427611053 +1708931684 +1691409365 +456122824 +1815058291 +1296380383 +283857549 +1516528589 +80648991 +286036847 +1887383749 +2035855930 +205011800 +1339428591 +235949459 +2075871983 +739881441 +755592196 +409759069 +1210108567 +1589033664 +1284096968 +1180285712 +1841379245 +2120003329 +1088768593 +531104624 +248694199 +2033028190 +754576556 +1379878937 +313155595 +316024592 +923804654 +769278419 +2131082883 +72701389 +1053135968 +1500127824 +153350380 +1339172815 +1240027925 +41722662 +1544184616 +431972868 +277672122 +1472572951 +1171854309 +1033264318 +1882332020 +234479229 +474814335 +1018945340 +1414764941 +168709932 +991465021 +356049887 +699814556 +1240159220 +241594429 +1454391113 +472554510 +554750024 +1770415705 +1396359164 +1324028443 +1754014941 +1469060554 +229680763 +1106659117 +1622410934 +1568853579 +199203395 +1664133597 +965554547 +631176263 +1941805719 +290643850 +1803030573 +827586389 +25492222 +2037509802 +1302400724 +1044437562 +1304791095 +1471110656 +2035902583 +1660840982 +23441565 +1128578155 +1902435411 +1477832678 +1601132665 +309701787 +1100764735 +850008182 +1633730230 +707296028 +171585088 +1863410994 +1813955146 +1793996022 +1284780925 +2013158541 +1310645971 +102851824 +496851156 +1104968042 +393495674 +152398081 +1932554432 +418987896 +42424235 +1087471508 +1463425458 +1347215331 +411098517 +1351844393 +860572665 +434540082 +332938900 +615524429 +1912372760 +1934071566 +925226216 +865653847 +636596100 +411472799 +1572949876 +808181188 +127400145 +1239421374 +454693562 +1412181070 +1105096267 +1765339534 +1515032894 +1601947423 +722823928 +1908528568 +1754345505 +507894712 +180032816 +1796769740 +1595366221 +1643458274 +996501423 +2006464738 +847819019 +1857074089 +293521172 +1180757919 +325114870 +58410284 +967345837 +1250341086 +924064131 +1603941937 +1661813885 +349530359 +264639477 +1789214030 +1588951733 +719333040 +1053911452 +546564352 +337188926 +421460698 +1028128 +1060012854 +182505618 +1755373633 +1567907567 +362538434 +1404659725 +1015790140 +2005996708 +253677501 +874771230 +706332079 +2110751590 +1168292402 +1887089999 +288382812 +1226702686 +706952188 +1538723898 +3283169 +163410478 +1053054136 +352813529 +428049955 +694784518 +1941765262 +1147382995 +1748695971 +340845967 +1484571921 +22673021 +341874095 +397101128 +205178640 +2097247728 +1965008695 +567717074 +1354423805 +833315187 +426230135 +1608101306 +1708086417 +1132562214 +1571369248 +728895171 +872168565 +1859752060 +1955597857 +1579120754 +1250992311 +1958881026 +1742531232 +156562799 +164210907 +23097539 +851347317 +2105976170 +1170480535 +452559640 +299338489 +507568808 +475232662 +641212584 +904669936 +680411302 +590976664 +722194983 +1248128376 +1945400469 +1555510170 +1674358511 +1406018128 +1116112939 +659437078 +829903728 +1845008110 +1531605643 +542172141 +1653122319 +963242749 +1793164452 +1464519698 +558290333 +1949727251 +1628730605 +581387873 +653590920 +1587223127 +1751868408 +1106150561 +1886561616 +111953568 +1581383223 +380290552 +1016623505 +114310877 +971267216 +1738818488 +1362439253 +769184038 +1146845011 +889314117 +27718518 +115474302 +1548751195 +857622246 +1960482413 +932873190 +1399794387 +1466121084 +1896115940 +1045475191 +783157134 +306922625 +847718794 +264404092 +888310498 +1501309715 +1851627219 +492695258 +459976628 +1590705188 +604648827 +2041359851 +1970995740 +1621272332 +8187080 +794779309 +1212607172 +1370626333 +1563963347 +211968535 +112456802 +1591681865 +327442838 +1661207997 +301820463 +140441603 +446597540 +1701614851 +1606562687 +195229832 +599606394 +242236174 +502152457 +1447325189 +506640266 +1390462956 +801151256 +210783837 +1883158214 +1261127884 +1801489025 +340323393 +1155004087 +1625001118 +1961595725 +1163191167 +272296779 +1026719250 +386333852 +1836260126 +1238687785 +498790655 +1280458343 +1566130623 +12515004 +1582278806 +1706572226 +459112544 +1136410009 +1165651266 +654342376 +1736016404 +1407887440 +1156494834 +1035857945 +1914527706 +399474142 +1837009201 +2125311543 +135148708 +950653437 +1779316921 +475472102 +2105657524 +1256834391 +289584179 +1121365043 +1529131170 +1316303429 +1507698895 +1217907648 +407507567 +2006489550 +350882343 +1973638190 +2019004555 +1933161149 +1532726769 +330633451 +922087511 +550894387 +984975828 +510620267 +1958781827 +2141470662 +1546478212 +1725825885 +393461156 +1236003765 +1703653780 +528609864 +39173554 +1335487053 +1004081966 +2144831078 +444837796 +1293666146 +1118712473 +1973968966 +462485927 +478927720 +1044392966 +869993494 +337933623 +1395275309 +696148037 +209454530 +1180952811 +81391158 +540087981 +2103040322 +632285545 +1525063809 +466176941 +443583724 +1519050823 +2012655153 +21925961 +1912511979 +1101175270 +1725579741 +293638196 +1140348824 +913583147 +1297720162 +1137696254 +1358420943 +443902660 +108925079 +1184906262 +906388588 +587852799 +81815580 +1776382082 +925786422 +1477090890 +325046471 +1135240952 +510560053 +406437629 +1675328934 +466116727 +1038723174 +1052909095 +932293668 +1482306898 +424476271 +797465173 +1504232859 +189504602 +1898640443 +1082328953 +483142798 +891505619 +1995912100 +1780862961 +2029201873 +1206849395 +77281973 +2138126952 +244272009 +983670561 +578496103 +326087590 +612568996 +1504282526 +1803178480 +937615467 +492039830 +166254885 +1344053097 +19885116 +632371612 +235292623 +1072794212 +1564665280 +1717599522 +1497270483 +214646805 +1074348733 +1686775085 +2113287248 +9194038 +22434236 +857309219 +2005106138 +1803297197 +739027444 +1064471886 +1880579170 +729670748 +1308743895 +716766084 +1308166851 +1634831485 +1329335080 +664965729 +1290526317 +119466899 +1157005560 +1456781202 +1463519996 +1176890676 +2089152814 +1698812620 +102201240 +1506334446 +1268928494 +1599471723 +1720981251 +195793579 +1138763161 +1686784851 +204987618 +1161197397 +396610422 +62610108 +817010946 +1135637866 +1127081994 +550106468 +1865308614 +288342242 +1266872552 +1025991818 +1923173727 +448723984 +1690957547 +1066216397 +568190884 +700479459 +375513951 +2031710880 +1877370136 +317183118 +1583039852 +1979571376 +1823517564 +704484698 +1431559452 +1397015168 +900278278 +422838965 +936316371 +1105265896 +1584036362 +1332926794 +1167876004 +253563660 +321081012 +147474351 +803670128 +38905979 +435816593 +2070542681 +1064897797 +211506672 +371783017 +608371696 +1277723069 +939973901 +1308851156 +1653237021 +824201134 +1038737644 +1970420139 +259757338 +870825372 +1646454055 +964242037 +154901176 +895985575 +1864520315 +577740141 +1832301947 +822302563 +14292855 +1017745093 +1990178567 +267856515 +1338826105 +2137652918 +1071526644 +1377732084 +425985863 +994585677 +295146233 +637492536 +1366368694 +903517930 +1915215605 +158858948 +64885438 +1420968978 +983060082 +1103623082 +1243905469 +1242817420 +1974448454 +742875877 +59575809 +2129349631 +1638861452 +1924096124 +559606124 +1323679751 +598915039 +573898980 +193941196 +441609959 +841755495 +1532767302 +431779229 +1913282139 +763015738 +857765093 +760384168 +1058161972 +1495257629 +2126752863 +1961679902 +1262989586 +138128163 +2026565340 +536474917 +1121188245 +982704774 +1780380386 +216522017 +809669580 +375772615 +276097827 +791535563 +2014634068 +52710303 +1351141688 +1190830171 +651625343 +1925040668 +1384771368 +1093235302 +619312515 +770055022 +1525014531 +385111007 +1533070760 +235295976 +1145495175 +443749084 +1730553605 +1124764390 +257945338 +846059544 +1262892553 +137027030 +1382534461 +236597150 +1119731804 +1015431199 +453119168 +1929401385 +1391203815 +729216995 +573453300 +1258354235 +781927298 +1924594988 +301700758 +1433552641 +1702152008 +1686472126 +379304295 +173980876 +309043500 +1904318827 +559091883 +1842114261 +2139614803 +1704587058 +138379697 +1722684761 +681867801 +396325036 +421260657 +1944760354 +533352066 +1803795118 +33873857 +1653083871 +671742669 +486993025 +1435001608 +2062946484 +1216210020 +2008454908 +1173817071 +1998137318 +1785566249 +1475517830 +1284206312 +1340234609 +1014506308 +1663510607 +1514215485 +1323549809 +1420345786 +2073307368 +1018180422 +1412476942 +1630410779 +1156560119 +987678055 +164794932 +1552885155 +1408938712 +2109555286 +2086237222 +1065250182 +2143429143 +1591837445 +1736992851 +482938520 +879355405 +1652455688 +1699148540 +740326665 +678789111 +1549802211 +378409266 +6823293 +686524875 +1718643876 +1021329602 +202551834 +1085375713 +197395763 +1622897621 +1011199434 +1215576185 +887890915 +494126565 +224652656 +1875568970 +658921497 +1777537812 +1137024034 +620993135 +1716291386 +54790568 +616938631 +1160645183 +1791783419 +1099877151 +2040000588 +1296755459 +651542044 +632843605 +1975544571 +53860607 +1011252872 +1982367864 +740385482 +582413100 +856213818 +942937316 +1667788813 +1053609581 +418351289 +531504599 +121702118 +1306242204 +1025631164 +346354775 +1034327526 +1684552661 +2123892587 +23867912 +158062149 +1692700325 +78658480 +775000780 +705861860 +1870441900 +1874877931 +598378800 +1019713711 +378936327 +1231222405 +847774634 +432796934 +94991629 +682658851 +1173182416 +677404729 +1538872669 +2116119733 +197709895 +444998603 +386987374 +729214494 +566700721 +1693229579 +1754845659 +913055496 +580073457 +1291914672 +889464435 +603941370 +1449976821 +434681112 +682599850 +77493953 +1140542972 +405558102 +1952371885 +1738921772 +1425271814 +183824564 +822660530 +125562800 +616621499 +917652159 +808221651 +1789803915 +1595056889 +199610673 +1758440000 +1792766784 +644609276 +2145427375 +374497630 +1211309997 +1691173306 +2129343289 +2124365494 +123763115 +1273774314 +866346281 +727704485 +576267487 +1301027394 +1410304336 +653761441 +294086718 +1815862438 +458649678 +2033008491 +1093650604 +642474242 +708185373 +1219213405 +1259095741 +1625837532 +2027435056 +901416009 +1073410773 +79562081 +512372361 +718693909 +724171357 +510316088 +1093191540 +1935481355 +54005746 +1075051181 +1912363201 +177768862 +201341847 +631225834 +905473347 +777609335 +1932253228 +168294035 +1431370776 +78856299 +1984156474 +1890020454 +2111864790 +930323430 +385011048 +672566515 +2053187 +1644106790 +150920399 +2029488244 +398039151 +1224331173 +2109050325 +910411512 +1943025082 +685738035 +1420727601 +888732974 +473735742 +1474733347 +1963784156 +238615295 +1652502209 +17642355 +869841129 +410491909 +795251690 +654610710 +578785944 +79138818 +733467009 +415458770 +1969159272 +697848151 +1345782201 +206686673 +1370414666 +1347835388 +1850793463 +1521335065 +1229839984 +101348966 +598182590 +1191406662 +1011760478 +393724025 +1877144697 +285004431 +1282456999 +203396791 +1759737779 +1098757507 +442012086 +1264756340 +1116399863 +1311853215 +1675248249 +1911651553 +1966463925 +106550546 +1990790372 +552447286 +522009316 +1812465996 +1250295437 +1867791517 +2019152669 +473226455 +1068143258 +1722462484 +1994561521 +150499594 +1823811450 +445260463 +1341906256 +688088281 +838984488 +1071567305 +973092712 +2121441488 +1274964096 +585346843 +1072715347 +1716976182 +1850103184 +41631562 +881345750 +1377867785 +1953283116 +700326027 +1484418331 +1796589840 +1252773314 +2006427648 +1461572188 +355585103 +1726735517 +1333241210 +828811559 +647395127 +908220046 +675889432 +797894722 +584547849 +1121149895 +2139800978 +1272636130 +1960134384 +1063884636 +98245194 +1934092224 +191365084 +683592038 +859323923 +1908341267 +386211574 +900955486 +642203369 +1764079359 +706754954 +1342529396 +1101014043 +355861146 +447819062 +959958043 +1817433334 +803404166 +539209912 +1003190896 +1632215725 +1186605040 +1911410943 +160621509 +1984499762 +348475144 +1281771404 +1976817092 +1621111274 +1094422140 +893218080 +1719356468 +881030716 +1084583165 +255464858 +1740354640 +845440784 +641676432 +493826478 +1487644153 +258272144 +1200581432 +682689901 +1359286187 +1556442578 +1130508964 +171760582 +1226392264 +1933913130 +710970494 +82099513 +1418645207 +1897575534 +1993510456 +1579266716 +1734591648 +194501952 +713554472 +1563925093 +1815613226 +1807976613 +309659525 +1387486046 +541523681 +1394242690 +1642950905 +134394673 +92199826 +137143689 +628221151 +1579843979 +395415833 +1828802583 +115050233 +1754702020 +1237761513 +1245559197 +1926462602 +316670130 +1031988679 +489949449 +398769643 +303150238 +240041335 +244796451 +1882416954 +1974632984 +439298403 +448487778 +1391074429 +107427981 +108980743 +1700733954 +1494914027 +650504425 +947492997 +990381284 +784899098 +1039692823 +1127524974 +1413120250 +472053155 +1522940807 +1094439185 +587103388 +1130159180 +184717051 +1832662585 +909138134 +501387181 +717167616 +1399087583 +900156824 +1020317854 +1639128919 +1144953275 +755251160 +1466278255 +1584251678 +1203738938 +709869036 +1691679659 +1312719682 +263119342 +1039110038 +1963224107 +1210612339 +2029491323 +600639557 +102821515 +1009532649 +2013759807 +574874670 +384989808 +960715345 +1161978058 +1515148988 +1145432396 +847156995 +276803475 +1646819577 +1564324611 +1675891058 +399492753 +437158817 +1167536329 +1544446028 +1192409977 +486330936 +981214058 +248665267 +1196199972 +525410069 +1561384949 +1459319315 +1564520107 +1377125408 +522448006 +1446527782 +1977764966 +625269521 +308576783 +1844041125 +1200144191 +693566592 +657272822 +214638601 +61231932 +1802705218 +1061795596 +338035407 +1302041147 +478636559 +2013926466 +1701533900 +915795376 +1033979147 +1098496280 +2108205353 +1520310084 +2079710338 +209386973 +569026408 +457636759 +1770771922 +2028345723 +2022156867 +1000413683 +403310082 +1321201001 +830695001 +1028579603 +1629777785 +527252478 +81240147 +175860729 +1184525301 +295878748 +237092661 +839746871 +1357674345 +575128069 +2141788019 +1836310904 +441570887 +1695838271 +604622633 +1475550034 +646850904 +565344338 +848376470 +579077594 +774731311 +1417402879 +1036714354 +398019586 +1298264954 +911387573 +1398433269 +1701575036 +85104926 +81644622 +582670992 +1714882711 +608897100 +663911139 +1890743440 +1793422401 +959789887 +2127836102 +485685625 +169980584 +555480523 +479989996 +2006291489 +997051410 +28344619 +463430474 +325117796 +675195523 +1028774812 +1173494267 +1254273118 +1803506124 +443413498 +143503824 +54042062 +1741678452 +1054891397 +1452475331 +1295769841 +1139996323 +1534119953 +1878440833 +707395387 +2143017053 +394868324 +450655179 +1788955807 +1354658211 +431007633 +127157784 +1524638796 +986488156 +607147780 +1383446637 +1983539566 +635492399 +1846877111 +161173715 +1310687923 +728168275 +1334667982 +417477393 +384190751 +1778081480 +560981217 +438232813 +1372276284 +1615872614 +1890708144 +520562477 +608385289 +1277344449 +251519662 +1315780676 +1272877855 +646387986 +1766435856 +914350014 +2001046198 +49959841 +1041507798 +1378201346 +1036447998 +1648655578 +614164335 +872503916 +136664329 +313557798 +1033677631 +1447352252 +1041726073 +220861965 +1864829645 +1425916825 +1998943445 +278327214 +1864149638 +1223736082 +1894199828 +1607374135 +1744298559 +355101470 +737234936 +1995818222 +1670882146 +2010112791 +494722560 +1289834354 +776979157 +348285110 +1339794196 +1818486955 +1726486456 +228758546 +1319658885 +193167143 +1101262462 +1456323215 +506724941 +2134940094 +756191819 +1548451015 +208318411 +473537817 +826884192 +59778209 +751865031 +543550182 +1283514291 +498581212 +3440669 +880329202 +853682682 +740675606 +728663776 +377081180 +603304749 +1223386337 +1666915535 +1380283907 +1571671447 +859226083 +1051287214 +1150674256 +1087984629 +223462452 +1343841399 +41763443 +1679785667 +1850566341 +29219889 +288493838 +1251533708 +237538301 +762031655 +2078417900 +297316510 +1513896687 +474484434 +1580830801 +2012477899 +477925104 +313676355 +718676933 +1218600710 +1042340132 +1095758113 +1821905459 +118242821 +615190000 +1054705718 +1689914268 +1474416083 +2105992933 +693104876 +414917064 +181971737 +2036946276 +456680508 +1861757404 +1740028969 +485900397 +2767594 +844079029 +723438698 +764799250 +775013281 +1020755208 +131212289 +1249497715 +454102361 +2143690188 +1727422819 +767778717 +714883473 +798539881 +1810118849 +1810641586 +472961693 +1928361670 +278347939 +1527667411 +1470792290 +1752764022 +1486176696 +16413519 +20197439 +1668148433 +2053359795 +476877947 +1382422189 +1645905116 +962778344 +1385189784 +342500497 +1686217043 +2505386 +1117513778 +559488603 +133717675 +219527845 +1013590965 +129924215 +1946950665 +1781369682 +844807688 +598006898 +1444004883 +507965626 +1070968591 +1224882905 +786313565 +451152355 +548191547 +391593940 +1937329051 +564605066 +411791379 +1457993837 +470481213 +888669326 +692932378 +2116386329 +1851447670 +2078122162 +311403178 +1390181065 +2080627548 +1428916956 +1949669669 +66861575 +1648444802 +815776986 +196785790 +1447911819 +449663020 +1041593478 +2045918717 +1893667903 +1549559105 +969403661 +971067160 +188389022 +1420556016 +1519258707 +579982962 +1210401419 +2083863774 +991774341 +520911608 +406861339 +1880443667 +1213843987 +375764021 +1584407690 +1144482501 +687167199 +827105107 +1077626402 +2116084156 +629291128 +1144487977 +1617045310 +1445068114 +1341273768 +917473481 +1894731134 +235383598 +815908550 +1640915389 +1784942703 +1785312211 +464498901 +1973331726 +1058384579 +1983757609 +405831040 +121302351 +1920137735 +1397605382 +642213959 +179515426 +1130565401 +1856057946 +555279447 +567489443 +853056800 +1242446647 +1394594551 +1930683202 +1211047155 +2023885679 +927687531 +680608817 +1321470146 +121477651 +1598082298 +1068717632 +356861250 +266507200 +562149374 +2141803953 +2051819412 +1026648275 +1967652031 +962720343 +862922236 +225999424 +1084022694 +635576323 +1623604806 +1726236654 +815091750 +606686559 +1434810952 +1370371197 +1174176003 +140384104 +465334196 +421286906 +2071067306 +1676381351 +297688937 +851271190 +209506520 +1619159083 +972748841 +1807588818 +540393068 +1329610091 +2074096019 +1102542442 +1323930397 +1978431783 +2129190717 +1144098780 +793668478 +844629306 +1370098204 +1877691173 +1480205629 +846219362 +1456444179 +147813731 +1452905922 +743771483 +1518184929 +479598277 +884155588 +1983519125 +900885183 +807739246 +1512416829 +1198574120 +1659010436 +1721923349 +670249556 +484275630 +1382028520 +1210642624 +1813885721 +1308640891 +165701418 +990332470 +1139589026 +147408487 +2134431251 +1933257504 +992037793 +1357045807 +1663465029 +324759775 +55781522 +972425560 +472573506 +1508687444 +1716197044 +1990758435 +1988285721 +452868984 +1826793913 +741687256 +1260608230 +1191727094 +1940261376 +772135019 +766166795 +463027284 +1256410649 +711667 +1673669908 +922812722 +1309352558 +1839371326 +1913145193 +301457936 +1986779814 +1900092796 +87231793 +831333959 +1109654955 +1750696822 +1156093734 +1165436477 +575638735 +1628667241 +526640273 +144352131 +1471942028 +367442346 +597221115 +1151252293 +1109129602 +1857829345 +195495739 +901907331 +482480716 +961662535 +1364934615 +1738891365 +962374202 +891120876 +514220440 +124243113 +583008554 +279881985 +425701049 +422304720 +32491133 +512932842 +1253638680 +1142146088 +116146017 +262248766 +160098918 +691784752 +1890916007 +686739191 +836136883 +1215374388 +1054181538 +1433357998 +219143033 +15827492 +1143703695 +414638773 +917734823 +1626184412 +1376301308 +135185791 +1217592129 +191191862 +1026306667 +1731812569 +315434975 +1609315221 +2011694554 +741136025 +2031619942 +2044185687 +1254068867 +1137774974 +1038848128 +1370214884 +1400023740 +1198947046 +2061999636 +1143456100 +1885686237 +750652871 +211346840 +792384127 +36527221 +430489873 +808211620 +1180230917 +845128646 +1725946443 +658931681 +73946306 +1861132234 +1876523810 +265138169 +739955253 +1460852732 +580573144 +201786827 +1325063638 +1321709169 +85923121 +1221765678 +428294389 +1223698095 +113130158 +1798509273 +476238187 +1312077204 +1713025262 +1619694287 +1050279793 +316194485 +1831041127 +1842663921 +352721707 +114047353 +503391893 +1532952624 +959175999 +81854688 +44400657 +1033122306 +1942986923 +1920924467 +1298260475 +535458528 +1234293551 +1878833619 +737245355 +411873542 +1053059141 +823168476 +1633639220 +1481353530 +2046866571 +1746769378 +1132379155 +375621111 +911362934 +697920769 +1995315398 +1961642727 +1014115255 +1678872878 +1656823000 +1366836962 +1792920231 +12731245 +752305938 +604612582 +94585934 +796706595 +1637734888 +2037572857 +570147414 +788511715 +425547737 +1804440966 +519861687 +1162793093 +68830860 +1572920828 +1985961569 +1702470080 +906790710 +1885344493 +1301755810 +2039169865 +113481956 +65635096 +589606987 +2108797354 +2027277823 +1603722242 +1640186584 +1536617176 +823075556 +1285623167 +1549348421 +1575381494 +1890235750 +1643934355 +224604441 +1380486990 +1534023564 +794751855 +21515058 +1959571302 +451709173 +541376745 +974880747 +520540033 +2114297573 +813358668 +75526465 +873604635 +551219513 +1377282275 +765290852 +664701469 +1442917371 +1354897839 +626015176 +1322711547 +811136433 +118718112 +711845075 +1634211989 +1404341280 +113709848 +1062109835 +1147093382 +1757644204 +1286714276 +380096724 +1144184120 +2081466132 +401611782 +956271774 +385691657 +942988527 +1931152521 +906231691 +909802452 +597027542 +981758156 +1783407087 +1148247055 +211556784 +401214292 +1812948525 +1654474155 +1756112131 +291480053 +829702054 +419764917 +410198165 +1541547129 +2053976906 +1814539445 +1655256978 +968603094 +814149179 +1265417534 +107833722 +1194245904 +262118006 +41816206 +1595857686 +1218389781 +427507864 +391362566 +1002058654 +1333739555 +1301165018 +1599086196 +168014063 +937088458 +599849604 +379570847 +1338302750 +265314481 +2034045003 +946931233 +556794534 +716263409 +1366696150 +966992699 +110326891 +1273189409 +634048497 +1765583869 +94308855 +1448197676 +883517755 +202142577 +494959932 +1145635761 +243958784 +2090817619 +216541894 +671466648 +334696537 +1218600549 +2005206203 +1635861555 +670203097 +25736618 +425466365 +1270052701 +405307466 +1763769115 +1535367182 +291868821 +563216701 +2092161716 +1008132230 +1929912851 +911670768 +1118459121 +1055618612 +1545719265 +736559342 +1149927467 +846433293 +1620077097 +1352070045 +1341393226 +618229211 +1596028829 +1284727197 +834771105 +120011829 +1619423734 +2053371654 +2125218032 +1107801641 +576091104 +3471002 +1533268007 +1846143805 +408778468 +1149553474 +1234027340 +700647289 +1712770175 +1178705408 +1708779520 +1495199379 +2090376176 +679754993 +403334343 +1488611793 +1416314336 +1553261811 +187561439 +888907785 +757848208 +1528954665 +1507136996 +206393389 +666198214 +194424454 +326405218 +138138300 +100312460 +304139602 +1245939941 +676403564 +307610604 +631724300 +375063722 +716389073 +1781277775 +1609091062 +1417036362 +1346564302 +640312822 +978332234 +694280033 +583205351 +1658087228 +1097614377 +2071817144 +926917916 +503392540 +111894935 +1815825701 +1261240748 +1640849600 +1175479050 +1467634137 +159564166 +1369903504 +1794039355 +297702466 +1470215964 +2098178957 +1543642408 +2146619529 +258305913 +27883060 +374199603 +974694986 +1809160835 +1983290665 +244247701 +1008241490 +476119839 +1222579935 +1702521523 +1059325190 +733183515 +652652252 +983658687 +1660101431 +1156044792 +1095553622 +1328443485 +269801892 +588919575 +356438887 +1737436029 +748483741 +1726342391 +1383991736 +1046186208 +1049074707 +1334687045 +442344968 +1048210588 +1592992959 +470228028 +1422410191 +420204297 +131905216 +1258217208 +664451998 +1140146706 +1734337048 +1887031934 +695184581 +646178590 +472731801 +1347836834 +1629837277 +2132833233 +356397978 +577907252 +1313793070 +626199871 +1166826827 +1670231957 +216152252 +1915310568 +1249090700 +1600143989 +814013128 +150681759 +787347386 +1256358096 +1198892348 +232856697 +1726586125 +473818891 +653060995 +1858491341 +1732036100 +1317512993 +851154399 +1318889500 +1057061279 +1546338980 +1965068090 +1529793081 +746692166 +1447421720 +1515142666 +1103090145 +2025328972 +681452088 +1729290016 +1044672151 +204200397 +1945442268 +812499071 +1453291097 +1398102609 +1626512200 +1603972856 +37966348 +735386648 +655381556 +270823045 +314489125 +1129200448 +923884040 +25496818 +713752900 +93913386 +876651217 +2032642400 +1150974665 +275506550 +1850226842 +533284098 +1022198716 +1150164914 +2048426764 +2125288861 +1028010238 +582395204 +1707095229 +2072682389 +786595601 +1505053850 +737697813 +92403050 +755672811 +216726365 +1696375907 +793639159 +952113013 +204273815 +1064462205 +1266602139 +1333474263 +1988346245 +1292098957 +2047227163 +2082259631 +21266527 +1932385915 +1085750649 +296773077 +1635129110 +1619034747 +1318971793 +637810376 +1519977864 +1296777007 +1665820615 +2102373068 +856388588 +1591019356 +741485022 +213958790 +181233521 +833888072 +969631602 +397959886 +382780331 +1763270761 +1350072900 +587054147 +680249318 +469191391 +1920528410 +521111916 +1761290348 +1820271926 +455887899 +1782556875 +1605174193 +1541638548 +2079329952 +1092819655 +1013189648 +1250818098 +1730630032 +385683864 +400111457 +1248966999 +340573284 +1256500045 +692502707 +1082058306 +1470458836 +873736229 +1915946379 +292606790 +1271696115 +151243062 +2055877551 +474285367 +738297209 +588643222 +943476758 +511341972 +1109755138 +557283459 +184130250 +1565643037 +192356686 +1789304443 +959797938 +124202991 +734640451 +1972987586 +1375021089 +317786835 +211187802 +1775132546 +1566753834 +551761086 +884148943 +111772893 +1633819393 +207124131 +985509122 +1402282124 +499730921 +109721590 +1553525186 +408124825 +584006957 +144338748 +996768047 +1527483716 +655680720 +2106523185 +2084767175 +839810970 +1524682574 +129640213 +481631765 +336996864 +253843204 +1216272216 +162500802 +1628864293 +1534059051 +373688604 +1256513191 +953329237 +925449691 +2140662135 +1065102131 +411785436 +200302618 +2050611253 +1814067560 +700033540 +12849195 +1220109098 +1108158365 +596856153 +1364447846 +2104926412 +2124339869 +2020128566 +2063965949 +2061623396 +712455888 +1441164875 +43779961 +1194087654 +1778161740 +297623166 +262876222 +1940662542 +1926487459 +1796935274 +166867499 +1035517003 +602780863 +1092317190 +1028695490 +1667882994 +1504102626 +1228998108 +1571010600 +1170686538 +1929031648 +1583859795 +243311988 +889706365 +33232300 +1607759835 +847149129 +10088521 +1480404753 +763631430 +2071711917 +45376994 +57312658 +2115491879 +1239464648 +1835474398 +265631397 +1502340870 +1628653292 +44635208 +1151792496 +1795520791 +1080152211 +1754573360 +740354333 +2108847701 +1274972706 +96973311 +1190362162 +698499658 +1267659849 +971910162 +134875806 +1510971838 +1861616528 +168108106 +971248025 +561282009 +178196628 +304169130 +1324913440 +102424897 +349546124 +1382226098 +70433128 +1589010772 +1070216848 +336064525 +943867995 +551386492 +380699734 +2095660491 +199423636 +1460851945 +1702750203 +939777969 +1422215999 +830239262 +1036751281 +465094513 +1528738920 +156927482 +1437004675 +1663614726 +1667899320 +1151137555 +1831722833 +491663697 +1712419565 +2009919461 +795832828 +889849357 +2112344358 +1145378952 +124591807 +35293839 +586906077 +1194808655 +371358364 +1530774072 +1746195147 +752058098 +1478950915 +1945618783 +65426396 +1034217471 +737913105 +1487642395 +1864456733 +1774664386 +1952736908 +1245712005 +1931591868 +1242257935 +761843084 +1452007541 +245911843 +446082269 +1943671238 +1958331408 +308518082 +592020418 +700697117 +273378792 +1737399371 +825288924 +308672631 +176821800 +2020097579 +680030996 +1707595872 +1618809078 +1432089094 +1039063139 +1416944214 +1497515490 +2073280610 +7373671 +837674237 +1790253695 +1782038057 +642927497 +888482053 +1566146277 +1885185433 +1650325137 +870670170 +2131097276 +2096407406 +666857761 +1941945036 +257441840 +1258878179 +495158505 +530820632 +848793902 +1320447429 +839493264 +1025615702 +1193061360 +1519524260 +585727926 +664386790 +804129706 +1624791066 +2081331004 +154161549 +1550588028 +2088704675 +991835786 +1193358076 +1723259084 +1634763284 +2081840129 +1141921714 +1372465069 +1584681618 +2012591884 +1356078697 +1533605376 +531965997 +1150540085 +1791047216 +1790844177 +1645698590 +174384200 +492154431 +818662371 +1013877464 +1517770134 +2011723731 +385918076 +2103498060 +528626873 +1190047783 +1580805478 +462474230 +1344209332 +983909859 +403695257 +188561470 +29784287 +2126954342 +1823324754 +2111624416 +1121392408 +1048306175 +1548822386 +986500644 +256901224 +934944114 +1518466642 +1407441309 +578507682 +1161827171 +905656251 +752891882 +1653981602 +1724318622 +1766769347 +1024268088 +1588558705 +5203775 +980282501 +2117185579 +1195251558 +413604331 +432176161 +391977242 +1397514190 +835871418 +580538713 +1427298477 +815342112 +256379819 +1391439245 +1936734520 +1304685995 +792777983 +775751517 +1561587219 +1727722097 +146734511 +821544881 +158746131 +1308561682 +1727201132 +911638014 +815059636 +1304036107 +530923713 +1839327725 +745111164 +536127488 +672126578 +714813095 +1731379047 +1085730909 +1146989256 +2123356289 +335761452 +1982860675 +556411354 +1763059929 +650719139 +812791174 +1007015527 +439970012 +2117477169 +1799793510 +1215721529 +1531580740 +1380031960 +1362456040 +205641973 +1538778091 +523534074 +1932843106 +302932457 +1338593710 +1089395565 +833856170 +1030437787 +1834506729 +1369983659 +1702564365 +401836177 +953879058 +640811627 +1548825433 +929751699 +976573079 +1384202460 +1486163054 +592149360 +2034921600 +151470580 +1599164887 +327407964 +121464101 +1251474750 +1543129493 +1653044841 +484023062 +758101885 +1858686815 +2022801153 +1281635959 +1644046273 +178249963 +472746021 +585958190 +1012106133 +1503183809 +272981271 +234606144 +1058264526 +674817448 +1188485202 +1699076153 +76159234 +2118236902 +528165584 +1460361694 +1456916308 +1120314945 +1347799646 +1608386888 +571996184 +1675207610 +1729850989 +1823470934 +1070853455 +1235412182 +160010348 +1828955340 +946615349 +35327854 +963107651 +443177974 +213577817 +1435853673 +1029136164 +1225683950 +791553834 +1302117436 +1460290095 +1849818360 +1976934884 +501291649 +1401410866 +2053094118 +472044903 +1929576450 +1365972165 +1928961211 +902407747 +566288163 +1389864451 +1474403932 +94012126 +972231792 +1150391218 +1164865581 +60160327 +1310401567 +846337274 +1006775676 +1345729421 +1809444925 +1449953651 +1559307238 +1097814950 +331606167 +637507540 +1889368784 +1633723603 +2097797635 +1591703497 +1463174840 +451605637 +845630715 +1368785310 +923650540 +627723517 +587273827 +705128104 +1530131265 +1153561991 +2094992555 +857051549 +1247574117 +919740700 +2007442767 +264956050 +979901027 +1170360686 +1111293324 +1986676703 +368606459 +773254602 +1289146706 +1927913697 +1871069552 +1620752874 +417937590 +1612954689 +1106992829 +368251577 +1057174538 +422684021 +819857214 +1902805253 +1791469332 +1743507755 +383045122 +231259511 +301152211 +1913176387 +1384821502 +248661118 +622744288 +484911971 +1168401818 +482703408 +749868022 +819197 +1653064094 +1861161346 +1987495901 +2021670554 +486932300 +1129158959 +1802100603 +210518205 +602428185 +72554545 +1823472894 +1709421015 +440806123 +733163784 +2132105036 +1260663337 +488485389 +1776090720 +856687444 +871530511 +2007350232 +1157839655 +637223251 +1244688086 +1406500774 +1259967539 +1729600058 +427418944 +1742670947 +331984432 +428238142 +1248251394 +45662130 +268250395 +1122438300 +532594431 +1397409354 +777055255 +743112636 +1999837540 +849609801 +419101882 +1561774907 +1290415924 +1152265666 +1546396295 +403595613 +1640751055 +1175003368 +1260283058 +364797918 +1034869952 +270639065 +1002021169 +132074390 +1677139839 +114505061 +1861674448 +2104558784 +1857176008 +46175232 +385313278 +957943754 +91837363 +653563673 +2080382054 +624431794 +2050973027 +709953662 +1367544430 +1903326919 +1559563463 +1786646312 +1317618178 +702495739 +791428330 +716530826 +1106091352 +284695737 +1891534194 +218890762 +649493655 +778920498 +489529828 +1651514825 +910994888 +19186019 +1766019886 +625185689 +2123744803 +1475712246 +671360921 +361574433 +286172353 +763198284 +1015138106 +219070759 +1387630078 +918627486 +929024421 +607690860 +674470757 +341104236 +246853524 +1992088936 +1043599975 +1038281854 +561136114 +2207680 +1322977591 +305186660 +221098442 +1972471247 +1084107158 +710628270 +1476502424 +1995102046 +729814290 +1095038662 +472804087 +706075445 +423267260 +1144165009 +1067649879 +709439613 +1907363293 +2082787985 +928510373 +1147509724 +853931823 +1857534794 +1755200584 +1528402581 +51155383 +2002054109 +1373007869 +1094755358 +892852315 +1934143983 +1096963038 +68346259 +91846995 +1318061481 +2040817506 +1175954153 +2028689751 +1369836282 +1023572551 +611020393 +317391296 +1496376639 +1317095839 +740658556 +493058000 +237262070 +1450098170 +252937645 +172566407 +231124895 +1400447369 +1026498231 +2088659689 +1008164306 +407417164 +2139815072 +862734767 +1780425033 +1087086783 +1755587082 +1567085368 +36566173 +1823933341 +1658932363 +1354627654 +1717267199 +687402868 +1235833758 +939619833 +1710975419 +1846854151 +1257011129 +1059868410 +1016466342 +1997669686 +1552926410 +1253728412 +1300284208 +1805864056 +1426294820 +1531409103 +1058827777 +305309403 +1472585144 +2066992083 +712726567 +1464916569 +782243202 +345667952 +404519704 +390346637 +1912753320 +441085877 +66796330 +1424202035 +1795713532 +1784063530 +2111604903 +884063642 +576199715 +1675096674 +583434145 +1833210845 +587481437 +1599900488 +1683396883 +2140407847 +706145252 +836197443 +1798788255 +2132440072 +220122898 +710132385 +290265827 +1692708042 +629640820 +1002992394 +1010140963 +1411884023 +1348660346 +1414660667 +1802230660 +1113930018 +1855746545 +1869026990 +390648405 +1503976429 +1505606872 +354769660 +240556423 +2081806588 +2029866335 +823990568 +1767533785 +469864124 +276407408 +1303447020 +462788323 +982552661 +2139644463 +114092931 +967509085 +212283713 +824225316 +1257774913 +1904991755 +1453866136 +113283659 +767649071 +718266511 +1461944006 +34826090 +373013523 +428390376 +1890572635 +94556866 +819038782 +1247065416 +1600163738 +1173808442 +1487621839 +1534486678 +1056191129 +164128760 +1154536815 +1526055253 +440536168 +310500187 +1988843577 +1423088829 +302661002 +2102936508 +243114267 +514944715 +779678176 +1500889180 +272452823 +86060664 +1614172839 +1040101894 +804327176 +928633197 +1074927984 +1177340699 +1357023574 +818016972 +1271897565 +28578708 +2065082388 +724577656 +1202387150 +1405220580 +111580686 +111094632 +1569349340 +1266117502 +1637149885 +2009885508 +1576617689 +1478509814 +1285490690 +1879278692 +1433962674 +1528604957 +246739759 +66157202 +882010489 +519192582 +152217867 +348699680 +1559294476 +956545043 +1277332878 +486738813 +2133885742 +486872804 +1304755785 +1258299660 +515451512 +1222354525 +1982877316 +1717838662 +480091457 +2094458002 +1828933294 +2049440797 +1213091856 +1318599532 +1911842658 +642225898 +649625698 +1049849700 +374020942 +2083588373 +430971009 +620760701 +2261927 +1312981498 +1139953284 +154479794 +1661681178 +551764112 +1111024837 +791530408 +1038502925 +1097426932 +1278403212 +195775062 +208242944 +1793854724 +1418129588 +43636612 +1364209739 +1898221045 +2138094614 +1045659385 +1800178195 +1203702823 +216775269 +1564537205 +1845928721 +866400968 +466903257 +72466015 +802505693 +897874266 +693226716 +804767620 +63372116 +1833180000 +959247415 +1725053294 +237460465 +2070272252 +369100055 +1275963390 +1020215536 +1647503267 +1471738453 +1228458480 +1293874344 +742384393 +1272095092 +510600435 +493121790 +1262706059 +1556259820 +145816337 +318925234 +1773035090 +1710353542 +17370307 +491952410 +29773151 +89836322 +1294458103 +927647417 +783063038 +2099225723 +991019533 +468759391 +910989490 +568589180 +706219856 +833778095 +937689235 +1982183246 +1853993631 +437708854 +1306438051 +934968464 +1731583198 +2048822444 +59579908 +94699985 +394460587 +1322285967 +1650959806 +540276924 +1641211201 +1276511248 +103146819 +1658581508 +1768463658 +132919970 +1748417830 +915438113 +1060567388 +383997221 +867180188 +2051586921 +852756612 +1778169679 +472692453 +1558976468 +464464126 +1410381688 +1393676066 +170974109 +1848090543 +552630470 +1105942573 +1432190093 +453969266 +1165522482 +1526890079 +848429853 +340324801 +1030366237 +1388706778 +1981536003 +159393837 +1491853597 +1492633863 +1927857495 +1624773567 +1093568046 +695811960 +537857307 +1477565267 +1562992148 +441960581 +182838231 +1193678179 +914653034 +1741814699 +1658142305 +177551075 +988007117 +1829116415 +2025641618 +1540637587 +787575340 +1310348063 +1994606854 +1953097822 +689754494 +695553059 +145938976 +1720120731 +2084259837 +2127474979 +1879514568 +1428629786 +1472625194 +1659888415 +905919706 +418709592 +208216727 +1443777013 +1896274859 +1771208876 +1885737594 +2079113090 +817403407 +652906981 +1673444141 +328062065 +830458056 +513967611 +9694832 +708616026 +2054605198 +797270172 +2018964089 +1901728404 +602884347 +561234936 +449797816 +748823323 +133872019 +386574005 +728814654 +2013386588 +1815203792 +53956200 +1525791355 +573639850 +472665793 +1734008083 +2017416863 +221457004 +1357733311 +1755670810 +153086447 +27653070 +261094143 +1826530588 +355715135 +1091552199 +193014551 +365409967 +1800168225 +100136102 +1162680140 +1671648666 +2001864506 +1765564487 +85399954 +304178674 +366904162 +219271974 +690752680 +1095718816 +85174914 +358472824 +1149675016 +1610966269 +932112674 +1622340809 +1197490704 +802045889 +1843797814 +407740367 +410233051 +1996884261 +435393438 +671327194 +1675931201 +791108573 +1762879393 +1868945753 +1156518541 +1415563970 +1969081855 +171715033 +939728989 +1823462713 +1937279520 +1025128943 +2127641388 +156700034 +1244400917 +670910420 +1252418850 +1329575831 +1029383244 +254610218 +793058453 +1961495918 +1876951028 +1990549157 +616058159 +1573265194 +250805877 +1026291211 +1422665807 +686199315 +1697618405 +951113360 +1477307888 +1313014151 +672575465 +486342781 +581094473 +494173672 +658057814 +1520823462 +170152738 +447853686 +398468758 +150310478 +604553720 +1642869675 +821220898 +1856972570 +824961859 +1850604142 +2111582789 +1618020312 +1664616412 +1841050169 +1461085821 +133190923 +1266831715 +1711891698 +1159482134 +542013874 +250607365 +709616892 +1493127234 +1727915254 +2022631043 +18219052 +66774387 +456241868 +512392724 +724832202 +1977065331 +682545462 +1172685888 +228050441 +832855940 +1777239609 +1870920116 +1654076838 +1486728531 +548398327 +1357197332 +1450827672 +18934991 +874330096 +1144394193 +1480020813 +1007521020 +263742260 +1044428863 +19519506 +805756134 +1295036229 +729136398 +151399721 +875467835 +604283793 +169618773 +942242222 +1060525662 +682011497 +1667074424 +890107345 +1364556960 +692276665 +1118157786 +49929252 +322032626 +841594254 +1704006091 +1808761157 +1389992582 +913719775 +1112105182 +1408927573 +1788049872 +109015727 +741464738 +648087244 +372757988 +1785893602 +667606750 +1178514122 +933446183 +1396743149 +1329913843 +1808914018 +2001026942 +1499532616 +603672592 +914068956 +34060466 +123263369 +1804176301 +1398617426 +815540034 +774850439 +1448546678 +1137572660 +1616444694 +1005069121 +798850169 +858953628 +1918788897 +1910955351 +120397553 +1559355121 +2019971079 +861862292 +59958717 +245245419 +500272246 +727565467 +1423759541 +1433718429 +2124308616 +606189737 +1095148799 +1977851911 +2105722353 +1698821391 +744437219 +2139782819 +1822084760 +401129873 +1390916597 +490141146 +1175980312 +691979628 +1627713806 +644941358 +1697048749 +279080328 +1503894986 +1468353998 +42552031 +1624292540 +880225471 +2062523110 +338671184 +940184188 +160284881 +838943430 +1667749656 +1584044423 +125178211 +1644574624 +42750512 +1220327010 +1474942887 +989217 +771664753 +71896459 +2140772037 +446265866 +473026332 +1384204986 +936407012 +1649006644 +2076184614 +416637171 +146464355 +1625749716 +695717499 +1650359341 +946620066 +738269530 +1127168233 +1826845538 +653308993 +1465839417 +619546078 +813593874 +157299199 +139812086 +250154649 +282477410 +1784386711 +292905161 +1502804420 +1111845950 +293894379 +126985526 +1183742409 +287182768 +573251392 +1656768741 +1671387754 +1509658404 +1158291738 +1600088721 +1926295575 +1304756093 +1078354789 +474529426 +807631786 +2024974855 +1212798957 +1934800020 +1704336745 +1866107950 +1253155789 +176399176 +532218176 +1410454989 +316211262 +782372826 +1692932399 +2100597973 +1075277987 +1048253172 +1064960276 +1369172366 +1175238698 +101219037 +1656355134 +1748490090 +1757987779 +1180259241 +1110664846 +768795869 +632864314 +889476774 +2073551962 +1711219103 +1364006200 +733700100 +1588710310 +429321509 +521016472 +1145563408 +147945811 +1774172262 +1321962584 +680163988 +1037143603 +1638173846 +1462536814 +582592354 +1591288172 +390331153 +1630845526 +508764800 +1759503520 +658600576 +609983837 +1268375006 +259607018 +220487968 +301150599 +1370271865 +989283837 +934014913 +112264991 +915352151 +497750368 +1476271191 +1649052252 +2086460679 +1905592701 +22585076 +1084540439 +2053538512 +1796757338 +259019375 +586218852 +686417293 +1897193221 +2048755666 +1269009648 +1340997745 +291603172 +752371526 +1849762545 +2051106692 +1410972103 +312262735 +1171998050 +1670579121 +532750703 +1473148650 +893367338 +1522034541 +259679915 +1005632329 +289903044 +757430284 +334419873 +1938955296 +696407315 +92528926 +1961540373 +1780947754 +2146067438 +1610814063 +2039967129 +584802643 +149747709 +1789676702 +486074661 +1418757357 +983190800 +777677833 +23645235 +685469697 +681300877 +1434617338 +997732432 +1853298928 +957712812 +1530483136 +1178963930 +1851080150 +905034029 +1438643845 +709228832 +1194937073 +48590481 +1043648705 +986408722 +744997796 +1136177631 +800465447 +378461902 +1134761421 +263795862 +270945383 +1719564064 +413543571 +2060622086 +58155078 +1832300928 +896329238 +835832911 +1855946164 +1581798935 +1517133789 +1143079854 +432047720 +1222949069 +2100792666 +1962530856 +254429351 +1804389169 +720081237 +1693073196 +366134353 +1915018310 +1741663678 +1409783058 +753943384 +339177826 +398477041 +1554408831 +717639729 +1533238462 +1818204694 +988585112 +1105318879 +84264617 +901723550 +1163473957 +1916565546 +1798052788 +1999306868 +1625028062 +1232368076 +1368957009 +620624268 +1664415796 +444422430 +573933287 +1479463004 +698851781 +230838808 +52060593 +244441330 +596973161 +1967078903 +1986105008 +2006756219 +573538640 +177799186 +257749612 +2127947471 +895438915 +1790988074 +1798668517 +1884024028 +748823305 +1882933135 +638263930 +1912297262 +1652015033 +288833071 +1764120483 +1129559447 +1521201147 +985593844 +1750183715 +1038133295 +1430016275 +176633354 +370112651 +2128868056 +407472162 +422173244 +225825738 +1004445323 +241768499 +64447098 +863717894 +815307139 +242246285 +1121467506 +795770963 +1137685200 +764971933 +446955832 +874225580 +1513795238 +182405319 +1512489511 +1278608853 +1834420352 +1801322582 +895245688 +816496151 +1175040081 +1880839532 +419196219 +65689728 +1163372159 +595829573 +435802379 +1144756568 +1003301736 +857975623 +1370582306 +2007747059 +1099744122 +1435029405 +723981306 +1915051262 +1677275690 +1845448812 +563338577 +667477242 +462937097 +1010294409 +1541702823 +1976732336 +1192699729 +906708686 +1107857541 +879636433 +560547620 +2003103229 +1696132585 +1735587701 +1736459113 +2115328804 +1801277429 +752347625 +563674729 +89596160 +1897104193 +1566976465 +947571783 +1120202851 +1427239877 +2047315905 +407748608 +3737535 +1814883519 +2085024298 +1849186347 +230738448 +605017893 +164639797 +1241032858 +2146720716 +2141372133 +286248939 +905945754 +1101746026 +1165885372 +1466493374 +957365607 +714534309 +1054597427 +546341072 +682379465 +708391208 +1298688697 +1246054195 +797987368 +1048309242 +665547012 +1745559151 +21028446 +2092786889 +1645391408 +428777054 +2096524424 +1312791280 +366317705 +1798227124 +1543529728 +971335598 +1962866921 +637078938 +970572666 +1956755406 +923327877 +1876518420 +911017784 +2089213250 +1195528146 +1868383391 +656263911 +102641925 +267240815 +1338643377 +811033133 +1565929513 +437213924 +1609020501 +466755107 +1102760936 +1207096004 +487783553 +1048064178 +705003764 +916560608 +997104954 +2017795044 +1282878313 +647848430 +1413841125 +106730263 +463231703 +2050920063 +1077302929 +272503461 +826764293 +806337701 +1183521245 +768493895 +2001865847 +904420988 +1424757806 +2104507772 +1171661804 +615917535 +768057257 +590107669 +1053131459 +229594110 +1056862776 +8408748 +1436690114 +1544646330 +1056472926 +2141693878 +313723290 +2053577880 +2012005275 +1596601603 +553942663 +1278362752 +1703331866 +1017174366 +1181799167 +633151147 +1289677828 +2008563460 +1439488848 +325715425 +629573707 +1293871047 +1230136414 +2054331514 +1250895171 +254314570 +522765401 +2018952428 +844422239 +1575896861 +101062890 +1901285015 +1584305609 +1537753004 +1298447697 +493294887 +1531963234 +1612170987 +399389119 +1396484861 +1061288942 +953331782 +527363965 +617137160 +1970506149 +1709163133 +1250288307 +1112700329 +1570242945 +542293507 +1438415754 +52333005 +1836164554 +521068520 +2106664519 +939576077 +775383090 +481946272 +811044857 +1619805329 +2057843133 +912107747 +1373606697 +1494665094 +302377103 +524570746 +1987959981 +1834340338 +2136741734 +239865453 +1083341551 +1050547028 +1193197235 +1610705517 +1667684189 +1016219736 +1172385002 +770488848 +2128920065 +595144299 +1312782356 +1419852172 +647477304 +1001463262 +1940920692 +606658175 +1941039340 +568820135 +1088604448 +604600549 +41141816 +998963933 +1516708297 +1414748513 +346145380 +1819085400 +1939319260 +186621713 +1505942090 +1928577346 +426487166 +441799994 +831640726 +1619684402 +2052505511 +351841267 +488420490 +1077406865 +1122330116 +469856908 +1672551164 +287628824 +1889709080 +172544821 +1289092086 +1683146124 +779202996 +1082647778 +104482611 +1867807444 +1687248328 +145624428 +719287730 +1056472977 +1560372941 +1065433110 +728074729 +1352208553 +1252054823 +86533172 +1133302251 +1678541990 +528333166 +1964942978 +1150742744 +433355029 +169300597 +1639163234 +1510761894 +1291630713 +2109020142 +1035829410 +1579259537 +1851245574 +1208374231 +720867976 +1386908051 +1987577228 +1803515754 +1491390662 +1707901024 +1343280434 +1637015090 +279705106 +252269763 +1049904384 +1345138216 +980344493 +254629289 +449709392 +1066877665 +1387931541 +2128251382 +1595210831 +1205390871 +1131510478 +2028565860 +1374691468 +623190064 +1391844106 +518838534 +584726559 +280189868 +2098098071 +288488485 +1488564100 +671482399 +1675396536 +1328657680 +327514506 +1019303551 +889075056 +1670794940 +508834993 +1168780163 +1923064704 +1558739377 +366434731 +755925549 +1813368667 +816144123 +1822803214 +1053816560 +796911857 +1270530397 +111723783 +1928422335 +1151612609 +1486415251 +404128752 +395973067 +2005253785 +988855311 +676162935 +1955868209 +1277343796 +17243387 +479866960 +805256685 +1345901067 +807381466 +1824560236 +87492476 +330692759 +185911581 +1256272639 +106273815 +1744650959 +1622707370 +862199364 +1410535978 +291367846 +537518930 +316868890 +1088279703 +1808049327 +428592673 +869218391 +812178288 +1915007924 +1273347143 +1208151355 +1772778062 +114718806 +1884314290 +1581162623 +1392062602 +1901557678 +2061029583 +49835639 +1099975097 +720927402 +1874395875 +1187467573 +1051620161 +2060307457 +296256564 +1157893976 +1657474768 +1918963935 +2020093340 +920527098 +62848133 +410128622 +1237395988 +1151127836 +70694301 +1665988661 +2020346227 +882872589 +1433512937 +1146209722 +2091023944 +1058807351 +1260928528 +1827854586 +492486326 +505507483 +1581928616 +406032262 +555343122 +534420066 +1126959664 +282255350 +1721887639 +31096177 +195079159 +2018144204 +1188990153 +1852553927 +1789624491 +1061599845 +625597377 +1852472624 +1471728467 +1862993365 +856116812 +1542422768 +1381498378 +728979392 +277811709 +667527667 +1875189114 +221352005 +1726335019 +988633995 +2049206591 +71337697 +1494141478 +1483651560 +477369959 +2049484600 +2018071626 +1604329623 +184256302 +1592475617 +1635425800 +379335461 +1463136173 +676932305 +84405740 +1105277016 +1738532150 +710003117 +810265992 +1062776969 +425512834 +1666382805 +457716089 +1807011212 +247878549 +735527798 +327055232 +2123067663 +956879803 +2053390251 +964218010 +858602747 +2124727948 +310875840 +194770659 +454614260 +212876793 +65358637 +2058943883 +397133095 +1657834254 +1546886036 +776468557 +973486780 +76334693 +860874297 +2078763796 +1814866844 +1570877415 +741546141 +730160165 +1996390249 +260445298 +1187876255 +1655917814 +508323847 +1923404053 +1982973046 +483907862 +732800209 +1888879649 +1448125873 +1591402956 +1866123949 +1759001713 +1786173615 +173254561 +1971878506 +1851532252 +84714797 +221527954 +1361882858 +1631600833 +997996511 +187885990 +1707935526 +1858870808 +119166139 +1375318722 +1282264575 +860712280 +2105478888 +1131171177 +1121157578 +1145871495 +639605343 +1629481425 +921791900 +475094741 +2113389287 +1654592109 +216490742 +1414031512 +1098511417 +2082614691 +1025549578 +737201384 +108385605 +849944436 +441249988 +193100402 +1071472390 +1803132847 +1824701235 +2069468901 +1991018837 +1385153113 +1780856062 +2110184976 +612988188 +915636989 +823413608 +570983428 +2046808166 +1944571186 +1716854923 +538929861 +1426568963 +491163175 +1014024602 +1392474603 +2145755285 +1230515344 +659022467 +1096783054 +1165646388 +1684572045 +1833984439 +1274031993 +387032834 +127750779 +1467132395 +1458505224 +1930883626 +1144349982 +1380490478 +1774418816 +382019447 +1013862892 +1737120144 +995007635 +1929499881 +413050105 +1565991063 +1828824400 +210137643 +1135362338 +220270613 +1636706607 +1626525514 +1234295216 +881697562 +1624797151 +317326912 +1540720029 +574096557 +1482973300 +1077808427 +260597348 +609521645 +1464841261 +388348128 +2076654040 +775862837 +171748106 +1073520374 +8869667 +1946166922 +1455539822 +1022732559 +1535803419 +303063809 +804748793 +1948853524 +1869054873 +486089545 +11507519 +856933563 +706360158 +1648214126 +335975429 +1940655374 +382428040 +1960772580 +110498639 +1923148070 +387385490 +1593471939 +853472849 +647982838 +55509937 +170830462 +1036330966 +2132163977 +946693299 +1208079073 +1058200704 +955562967 +1006762347 +366256878 +1978295526 +395082118 +669320687 +635560671 +196451994 +390891912 +1121650216 +207959514 +1247825476 +1828010375 +1856173640 +1583800905 +1621182101 +91118033 +1397089838 +1731680740 +2014266103 +1784475328 +1177669032 +720255304 +284974518 +1233178969 +891085766 +1321305485 +1217859298 +1837779065 +381900910 +128576354 +645858384 +1388663257 +494833232 +476670263 +1783745376 +1164153920 +1112230934 +1980197370 +1555045832 +86397503 +40673236 +655387660 +1914407878 +1896846877 +91704918 +1388106331 +1987964910 +1488794756 +972303424 +1854747365 +1125786436 +2488808 +427519021 +1410760954 +1235667777 +1318604787 +584582791 +306043427 +1008900204 +966483701 +434619782 +1654758589 +207663311 +929453014 +2131428852 +1991408687 +2093606934 +1096176138 +1824122409 +1501169119 +1182573641 +1864795646 +9073131 +949497871 +1614158875 +100778049 +190120555 +1454640137 +1589572805 +1162423979 +1161903854 +567875593 +1164912787 +1589422875 +1978636548 +253096916 +760544014 +415735691 +559140343 +1769444218 +1382219393 +993760125 +1276719159 +1589882704 +1923213140 +1260664363 +1433807743 +1869336426 +209356854 +1110446504 +1223021897 +1391930495 +827758502 +1232095029 +193944719 +294433729 +1332873078 +384065274 +1749073866 +774962236 +1546489253 +763494072 +1342837829 +563918392 +205433299 +1173990729 +817015308 +965977313 +1589726421 +1376155651 +587937884 +824462166 +222432129 +1864657043 +266861222 +2145645269 +977837759 +1700668965 +1867498047 +1187194613 +663631821 +943036297 +431641460 +1491390324 +27647678 +625586179 +1785824053 +1360520756 +1009651453 +1387414272 +2135482992 +408657058 +3424696 +1330837174 +972575450 +208857996 +357344255 +1789590758 +1174835309 +1947070676 +1018262762 +1762773193 +624049194 +1240694891 +1479946589 +890910416 +1238856512 +310300700 +444095733 +958870911 +1497495313 +1107727555 +1901907208 +1929136773 +451634231 +1929554886 +407239305 +89974636 +1142591995 +1416890758 +1477388908 +1130591339 +1825547817 +1480813605 +313944865 +650639619 +1689671601 +671289121 +292746730 +717023262 +470876149 +1311009492 +332312808 +1094925344 +404220735 +1812259397 +1985835760 +1643077247 +2122560097 +282447846 +454464510 +1472571762 +1390175401 +208888071 +1254224887 +1841809632 +2138442957 +1661464192 +1931784268 +1133551304 +930871303 +1261689529 +116658996 +608935472 +595019486 +430603861 +1259575091 +137207439 +1101892982 +1552321821 +854230701 +1572769132 +715847665 +1186543509 +520210828 +1120068400 +851319258 +358562940 +615661999 +826395707 +641010786 +1070126510 +151483821 +2031186187 +1279014581 +1405708709 +1725512171 +1269973890 +919689253 +1509812792 +256041547 +1850560556 +624018673 +372700543 +312012380 +1219038159 +803304404 +1571587472 +1356245598 +1905197387 +976425645 +62992651 +1330482871 +1692273311 +1249536161 +1850693699 +664858063 +2100855419 +61772991 +1280520063 +779767479 +702783778 +203162925 +931251300 +586486317 +1482177506 +189476361 +164514841 +604667748 +1109165615 +1674327633 +860709295 +812242523 +150862658 +1233409838 +1124254904 +1369900817 +2036714243 +548358728 +578662767 +1794427982 +1524784373 +641655418 +977427205 +1069574036 +1891191579 +680637256 +1734432100 +1844563351 +742410247 +867468515 +476847182 +1445194025 +1070631440 +1408098482 +2031680343 +405325298 +1597574844 +48711536 +1009993046 +559256811 +1723039169 +1870702342 +1371499334 +1873901827 +956628532 +348270590 +1096318996 +845859127 +896629318 +1674981763 +492803461 +273930044 +169153533 +1470230666 +1343504080 +2060345113 +3384274 +930452532 +1757424816 +745794522 +1797921047 +86788350 +43504899 +721068839 +1494886832 +2075185242 +1126394137 +944978028 +2123896778 +2136387184 +1504234839 +1699452299 +1859605878 +728250526 +1425870478 +668750762 +1076521116 +374705826 +1514609890 +1973150435 +2049687589 +2007413351 +99596831 +71357475 +1330160370 +1443100911 +2131702588 +1333544644 +226069796 +1741643756 +2079339166 +2023990843 +1828432106 +2122844066 +597576035 +1175835290 +2050545660 +1723970172 +2120813319 +2026958791 +1712873708 +1477564510 +1578927442 +1424995938 +58331388 +857314273 +2093746701 +1134852505 +1232020099 +1460872943 +960519292 +1134224041 +1320802646 +1060116123 +1205581516 +503479368 +355733386 +1189800456 +1837024013 +581803182 +783960564 +1768879531 +458310378 +464909022 +1744239949 +1055886413 +1640744312 +1647301962 +632372937 +1614073983 +1526777105 +197762998 +944154846 +958220899 +1622758936 +1002486234 +1815535172 +1569021989 +2137338739 +900071624 +882411284 +950374383 +2034295665 +55730283 +2010490506 +1092393533 +559209651 +218740245 +134710341 +248750016 +800543427 +918670905 +2017629548 +1258853805 +1383579927 +1614385849 +167256570 +876840591 +1114204163 +799629508 +343430927 +493497620 +997392506 +1287585773 +1451718520 +472667794 +142588359 +1119770044 +2041689784 +132443451 +2019841668 +776617420 +1082817834 +1906653685 +832347703 +945824693 +851563570 +1391557355 +1164564938 +986273911 +1640307371 +1965108365 +1904944816 +1510453271 +1076478523 +1141041095 +977355473 +1243735093 +2017881687 +2091559636 +2043364601 +213828966 +437573609 +893273459 +1501414739 +1889292129 +1365941254 +1644003098 +861578525 +1260147390 +1776446549 +733936546 +2036764810 +711780736 +493106583 +721628866 +1657605429 +1344670154 +2113186221 +674686719 +183460417 +1606009944 +492311436 +2088405234 +968979568 +1568789959 +1081962681 +1946335041 +665041405 +952360720 +1890411029 +560922358 +1166189686 +180500990 +1454195818 +520120777 +2069793119 +672653424 +16640228 +783887997 +1932800814 +1793086777 +1517824543 +1822081976 +357383865 +2010931126 +396227194 +2014989294 +1208117632 +361929767 +542192365 +1391578050 +1967939712 +1034503802 +1332499636 +789435632 +455810113 +266978669 +588287025 +1120851518 +1219339390 +331214406 +1681773877 +238045428 +511715397 +988486047 +758166206 +434024868 +1661139471 +774806434 +1217912865 +1446456637 +420409563 +588253760 +1121054965 +777793429 +451701239 +1517282160 +645299075 +1659818871 +1879211927 +1187491441 +903913273 +1699667991 +74511595 +88929261 +341619975 +530321708 +355907931 +929907000 +1651173227 +1575247321 +1261121407 +1185463456 +1813292749 +1772836804 +26465855 +423975307 +59378024 +1687605326 +1198781741 +1277290890 +986578315 +1619191305 +1865544650 +2107633280 +249501086 +169762241 +1477431792 +894800161 +1829581113 +1209160072 +2082291602 +586010738 +761344415 +9319549 +674940000 +1102964391 +539641258 +1030847931 +2032871391 +43330837 +458611604 +1146509150 +1228794293 +124420705 +771862306 +1255260148 +548396013 +831240331 +795381826 +1747177754 +2108531221 +1781960141 +1218885411 +1826592223 +1742109773 +1468386497 +1996354465 +1072057918 +215703011 +1678451930 +133734342 +150510965 +116979020 +895078757 +159830515 +791919020 +1998043148 +699471773 +1822766951 +1883430892 +742802610 +133894907 +882456394 +1971596903 +258315613 +1654318701 +1079373403 +806711626 +338075384 +1874755229 +406405732 +299122957 +1509231722 +1625291144 +2125715180 +1103857847 +946193993 +1974585997 +28432117 +1161897004 +1505554279 +162166459 +1312407970 +1622533300 +1057245217 +1472238485 +266968672 +907804717 +24226610 +2089735624 +643751961 +767029220 +76146883 +1526208356 +591142475 +334462496 +1033043409 +1670515878 +1141174122 +1371118793 +1397787459 +1547579855 +1670241750 +759535533 +1025387351 +1648473282 +1863393380 +1971581344 +1475575632 +1891825498 +985994701 +833646263 +2053991957 +150919023 +308695915 +963753526 +1623157508 +575664588 +1871558244 +1647384118 +517916564 +367826557 +266929690 +594063447 +1894034913 +858072165 +928525944 +779594674 +381104395 +2069700066 +3229819 +1778891854 +1469796273 +1673471569 +390943739 +347699976 +1174461204 +106853471 +171797673 +502553188 +1998678969 +1157792374 +1336199451 +1905187279 +1308711397 +1644895367 +721457157 +784385257 +73076307 +445531753 +284285727 +590992871 +813358311 +551215417 +1185056318 +559909576 +1409287582 +2113582262 +1339504251 +1790391977 +2035798681 +1342734070 +1421800183 +1358111306 +868721992 +1812743922 +1705811283 +2043183196 +1919597393 +1877608956 +398252736 +1770792715 +887917682 +1734452187 +1528496346 +49145431 +1231863906 +102469855 +833530688 +1304940213 +548001609 +1117816415 +1895933084 +1361359920 +1669031832 +933505755 +1921269496 +930835766 +899604369 +1113290099 +573744095 +787919402 +308540522 +1995544278 +2146030709 +1177262514 +1660804552 +1704358344 +1072962062 +1432918297 +1434483652 +1471214798 +1056227364 +174917686 +1058183337 +437240062 +224063117 +142563596 +539709918 +1057593805 +1447503809 +1087711527 +27926572 +1195953246 +301587799 +1696958404 +2129459001 +75373647 +480310522 +881579722 +1188663747 +1054054617 +1669499125 +1497204269 +902115247 +1668046186 +526983135 +415436151 +1224920882 +1599945197 +1848354448 +511920886 +923676347 +757098165 +686838572 +1981859684 +1194338227 +910901689 +2124423280 +1734048145 +1968495494 +1424443442 +674276024 +1996422066 +472913040 +975863823 +1545896822 +454888393 +1051237471 +2026207344 +1336468115 +92417570 +932778313 +858483592 +1589621839 +1834893560 +379046130 +2116604974 +102846063 +1603967012 +1569066523 +1951200511 +2115887898 +345259222 +560815028 +655242822 +179635258 +1755153256 +1566144511 +156574891 +1341717753 +1387156357 +1581018333 +2015993778 +1236094775 +2053931373 +844373953 +634507949 +361336118 +1895611424 +513231645 +1697804233 +1988028994 +1446009958 +408804178 +1430167185 +1133419870 +787850308 +1399288511 +1236265933 +244333673 +820871386 +1039982797 +212737923 +1166130608 +1600797825 +867980746 +1345765867 +1208467433 +286641609 +1502340758 +402701539 +1673797967 +935875443 +271211669 +762409094 +842323168 +1115585622 +1396917044 +1203659286 +863713399 +1910148689 +753979871 +704258745 +1208675000 +1162784049 +2134425931 +194611222 +1950634358 +1386230794 +1430877156 +47484383 +59618533 +323376305 +260222306 +1225749141 +1924174130 +1128203052 +424031360 +985157916 +1414844662 +1926372118 +1387859455 +941158981 +714763913 +1659071124 +1703568075 +1557087081 +627173098 +953001471 +613262719 +1490886497 +715666513 +1367242591 +47661595 +1924341513 +382542992 +34603878 +2118952735 +185693702 +1420834672 +1402346243 +233178085 +1480453205 +1725722548 +493400392 +558718699 +1502413031 +1621603444 +982750059 +340087299 +888964458 +761638530 +1727946754 +1830123439 +1476402443 +1239534230 +1386207867 +886005877 +1866707328 +191725690 +1499268596 +1210110178 +907392203 +719027539 +1257771773 +684250068 +1101570532 +1292375651 +655719156 +1287264234 +565726675 +2058065399 +1520442320 +2046179881 +1636304300 +2013842712 +457414932 +991233683 +1487962508 +1440164991 +1331320982 +229443319 +54319873 +911784088 +2059566758 +1530722317 +3834670 +1298290977 +269244546 +1870541998 +1490016668 +1768513142 +933168528 +249925223 +340057034 +43456653 +934175292 +1441627566 +1335832304 +1589894448 +581408152 +1901558980 +1500476199 +2101850472 +1800255213 +989296851 +1968209536 +110186497 +1980530534 +1308688397 +1550351488 +1164367868 +1538131716 +1604671362 +2076151956 +1450214826 +987910031 +2079986626 +601022156 +1257154577 +1803044977 +2091038824 +878184071 +588729857 +193480399 +1218241105 +632186511 +1127655691 +512385023 +1968018815 +570066491 +1093793176 +1722094147 +2070542691 +1048160000 +1374865712 +912355894 +868885889 +1485052209 +745402781 +30090638 +887920050 +1909770649 +1568222354 +345107764 +1838438958 +870953532 +1333017795 +1770941936 +1471975688 +442688724 +1426503265 +1415530864 +1320872795 +2015233123 +1609011264 +391630253 +499935986 +589183307 +904015276 +320471153 +1159249799 +1997808452 +2042565301 +1082308842 +898484805 +1269947365 +1994664736 +1767370694 +607515927 +592583869 +1797461332 +1495435977 +354870871 +1218200038 +1840543741 +45826181 +2089153570 +1026077888 +1816768117 +1413645611 +1468766612 +1095787735 +681692827 +642155759 +963537210 +143220443 +1033786012 +1463473196 +732403751 +1937801289 +1783944349 +1891653550 +1788126093 +1679026002 +826478744 +539127250 +801489720 +673659832 +159014296 +1409005647 +1266243702 +1956475628 +756957976 +1621114573 +1027192018 +450018069 +1666940754 +968861941 +1476095957 +1336225223 +235023904 +797378921 +284529310 +916716731 +1439534680 +1248066520 +1059937175 +325837045 +564056068 +1792340926 +116154686 +200516770 +1536510828 +1904280779 +1879542772 +215505924 +295924382 +533548844 +889165756 +454938678 +1942554491 +7925810 +263930659 +552028819 +1629040383 +1291122677 +1002046888 +1148497489 +112500970 +330659197 +337239065 +347524874 +1128038118 +621768375 +1264241606 +420089151 +1869834896 +176695133 +745926196 +286407316 +1969036059 +862080882 +486924086 +1358063239 +618878013 +218983211 +1573569163 +914802395 +752532055 +315251271 +1369741074 +547602899 +323177082 +1633671733 +1099631718 +1952217465 +777310762 +2101678607 +953231307 +889811733 +284854156 +1290470372 +1237336607 +1412892275 +1912238747 +354094565 +1832981426 +1634589995 +530789698 +431423974 +1920997312 +352342109 +1293504856 +260437750 +1710405348 +1912382869 +479420961 +1136490863 +679701617 +1231953017 +1451742135 +2049442691 +1779555916 +1774919217 +1535630776 +731703986 +1579653034 +165457890 +685898945 +385400693 +1055269623 +970753102 +1675871065 +145122583 +236161729 +1440626165 +499217148 +2069143155 +927732512 +1030006847 +353083481 +701246176 +1382348956 +1646588337 +961683927 +945270657 +1411487558 +1441104888 +2081761520 +2091189175 +525574257 +1386020007 +1993148218 +157646525 +1013455576 +1381295346 +889350512 +445624963 +1546753237 +1575249457 +831025656 +454539212 +398518911 +359413074 +599661795 +634680640 +1800039239 +1098878944 +556340147 +580288103 +2128885791 +909423628 +1281534280 +1363751099 +408528317 +95734559 +161538108 +1820015876 +1536839447 +95815981 +1763721403 +2062413705 +1481835988 +1609385974 +72576582 +347807917 +843197672 +961927094 +793432880 +242467261 +389692904 +1624458536 +697006474 +788211815 +1983871610 +1296668269 +1422892456 +1636427201 +248063565 +1979232603 +69231657 +229465708 +741172584 +1350765937 +1593216808 +1149700901 +1446500496 +1754754916 +822233129 +835856295 +1850570897 +438470885 +750786352 +1184923238 +2047856859 +823362935 +1532731155 +743570883 +1785290029 +178680387 +986038145 +27499285 +1803138923 +1683044619 +815711101 +1639526886 +832229240 +91119909 +1128470439 +1080292806 +2070352512 +1197702096 +1309758514 +664041448 +400984385 +755491674 +1813742350 +1847484881 +362762943 +488491831 +535857529 +65850192 +926962716 +1286643881 +1250773430 +827335927 +2110006816 +636020937 +1570906811 +1747813198 +814701324 +409461308 +1775312483 +470356600 +2092505927 +443539936 +2109883486 +777251519 +534659845 +1090870277 +1857544325 +457528710 +141088726 +1019819192 +1121570158 +542073111 +1775310866 +787828860 +242074345 +2138073809 +1276320692 +777931874 +56440354 +55799760 +2064575755 +1307213784 +883135688 +2027098924 +1943234722 +306558851 +1627428474 +610452398 +716020159 +1255257309 +1080808998 +661042438 +1698797246 +1043208836 +1438293957 +85973443 +2134079114 +1148354635 +543502153 +127684192 +20690179 +1665072312 +669757303 +1796001045 +305417524 +911831648 +1786591207 +1581738216 +1689763522 +1843031561 +1637537977 +1606855630 +1002761697 +373190017 +1486470906 +798512771 +679748868 +966415732 +1408965170 +1395769027 +74189393 +342290520 +2056811465 +1772986639 +1385499357 +1347621774 +1858960083 +1372094823 +348492761 +254978588 +1499779015 +369182940 +1920050900 +22052670 +17700338 +77984777 +933884319 +1804291545 +1659722993 +476164193 +1499839458 +1149777322 +2083019823 +355117507 +1522967339 +1422007081 +1153630279 +55232559 +240939165 +415111801 +1451001586 +315128559 +757402321 +1360329403 +2088115198 +2142901678 +560467530 +1799591633 +1367512853 +908960291 +2054570222 +719808220 +1278143232 +1827137474 +741860891 +1295843570 +1905122251 +1675745210 +952651467 +1417361597 +4425755 +305007277 +419655271 +2087445579 +660124784 +1942622611 +1361969012 +1813755063 +1997855170 +1602908178 +81383216 +1301373109 +1918036737 +838785538 +514218864 +1858668287 +834203568 +1074686394 +1510776273 +54232774 +1983646686 +1417862847 +774040994 +1114306270 +1097516673 +1515901885 +262666192 +855155277 +1044163447 +1215317659 +125033226 +1048589203 +1520324936 +544688497 +988551134 +32966072 +339827460 +203036498 +1846721136 +190198983 +1805944676 +1928104352 +1491572092 +1576497765 +619406242 +2005790956 +1287682405 +1453609811 +932993703 +650975030 +1507842585 +769156741 +2068837877 +134399931 +1883463011 +1018870902 +1650301817 +2146129203 +1874026179 +546981616 +1213963214 +1999059405 +1595570819 +586804502 +396264255 +436638305 +619770574 +736091715 +639674804 +319008062 +926290698 +298135832 +99628767 +270379142 +1874633598 +719035009 +128686451 +1014832355 +25161172 +1061680154 +1665807385 +1533003757 +1830836895 +1587161614 +1667403689 +1566816258 +458548868 +1170221858 +1565461813 +185091400 +1717203474 +631941379 +36667157 +1165290646 +1218745881 +432931412 +1601928951 +1838516455 +1169023128 +94120107 +10040870 +2095313826 +392255940 +109669637 +218209321 +119405890 +828704646 +346895772 +1134238245 +853865819 +1408575926 +652561982 +239385928 +1091929173 +92239948 +1906789617 +511261783 +550788816 +929527827 +2076723596 +735880216 +499247654 +561181327 +772547374 +1664538300 +1779927208 +1205478786 +1118983603 +1470960015 +227018266 +1213103711 +1481000885 +174848445 +1605359651 +1590670522 +393057766 +1724765541 +271891521 +739953538 +711520138 +1125757340 +1045816 +1364082120 +1365143268 +1092974989 +1456322068 +1124449238 +1604236772 +2007110884 +2053977065 +1533476720 +595507453 +405741071 +2094658047 +1368054827 +2070279371 +1727101607 +426049965 +1041779327 +1050577974 +653068232 +107399390 +384095212 +827916677 +1712759041 +1974765734 +1220974443 +1290040934 +99173607 +1960927981 +2001561072 +1224930947 +1961973797 +1218159544 +442590568 +907465138 +526997964 +1567039806 +364218262 +386625200 +1473533223 +1897694982 +982132653 +1879274295 +1844869381 +202703832 +1802070018 +1424487340 +628753798 +696365697 +327581666 +1281822030 +803765087 +711676878 +2109738707 +369040480 +538958965 +1183229502 +1659081414 +638132572 +996673835 +1513158838 +1863063520 +811163984 +583834734 +158170440 +1718629122 +1110832698 +1725210246 +2082847384 +1497457899 +1051259821 +1833058718 +332106904 +783050468 +1530444451 +534810737 +437636839 +807448143 +1163564535 +1134002536 +1135029809 +297902917 +1937767624 +1846706688 +260157976 +159324456 +238182005 +1443387478 +1818405871 +876314577 +292577665 +1184081061 +591894449 +1103741649 +1767915796 +750064889 +674887123 +731264846 +327791487 +610250859 +81239097 +1379051309 +295825929 +413346002 +14618129 +1826270380 +948156739 +452254968 +486234875 +2111721274 +1586257505 +1621264684 +262140543 +1376541481 +1320487724 +522298519 +1535865937 +1558669729 +1965685997 +1206788160 +287500659 +110780014 +243385574 +879395108 +1214521663 +2011301370 +1629459998 +1889408786 +595082568 +1957251485 +352175997 +676321666 +1188819146 +648001926 +1089667668 +1203437276 +326788658 +2037824407 +1655692244 +813023533 +2002062033 +1094466101 +286804569 +116718928 +323523934 +1607292294 +639017447 +1859389872 +1018478375 +457219796 +918694384 +1305979034 +567999810 +1162079958 +37890495 +1782521473 +1025897680 +1667350493 +1524446611 +1620980249 +1477118330 +1876622608 +149818267 +518453829 +377140886 +1239485935 +1721891105 +703929544 +1129826694 +1230099701 +1516953077 +984405079 +177082155 +1803757646 +1101124007 +500606089 +1263566292 +1740141454 +212512313 +134561020 +49877602 +1131206698 +1440540054 +617877412 +145803008 +1478430549 +252915237 +1171700689 +998297394 +1777361848 +645197290 +327932077 +1506500808 +795015557 +846385906 +1883641694 +2034501492 +420793363 +440087590 +1016844538 +1650893064 +1957040667 +2001249617 +1827975219 +1613314665 +954889976 +181097661 +729397310 +547547782 +393609974 +863958330 +597425384 +1524816672 +157014736 +1215302796 +1670619681 +1635445286 +1468218033 +694836722 +486259032 +1098096233 +1340034012 +814191109 +457113393 +2135049569 +1660577015 +193271439 +2022067413 +2081370378 +633359029 +891428303 +1584779795 +442916048 +745194272 +1265271366 +2056230713 +1700084248 +1446369027 +638144375 +100148382 +1839979002 +1502102705 +697573766 +1217312026 +1659117442 +1912876562 +740448059 +1147079080 +1233610947 +1435284781 +1633338112 +184223532 +627835145 +300045574 +641336925 +615401066 +1960622589 +834608364 +489984831 +1894509320 +1467967393 +1381413134 +1331805467 +1910883441 +2126607406 +449593185 +1819630506 +1679208006 +1895962213 +310291234 +1779356388 +1588457567 +1812393939 +329446506 +658285945 +1324027733 +94839420 +1398734005 +323623165 +1328450367 +686535138 +1956961278 +1512673899 +1314370284 +109523204 +6527176 +1929771350 +2070145793 +841135540 +272272534 +1817171465 +161619285 +1653685668 +1001493284 +2072502726 +1632809427 +1451086470 +1744649585 +1164533785 +1199565035 +2054940819 +796406526 +640538954 +1719851110 +1125853032 +1298824899 +896395196 +1220692453 +550075256 +1220018361 +401659172 +1236610395 +1029495991 +1914333072 +403497031 +1139019195 +1920860248 +185784733 +1061681341 +614512141 +458057267 +731369158 +776131426 +2111742936 +1732862443 +701150505 +1597068715 +1036465265 +298316442 +614118852 +88546652 +205773613 +1410525378 +729085606 +1925624723 +388894763 +2027910505 +674536271 +1609587216 +430502114 +1894554633 +2011246388 +1667112509 +776566976 +1778095812 +2070609540 +1915586172 +1551472413 +108910625 +829783865 +18500906 +566967893 +1561153023 +794632332 +531227181 +1146531818 +1495782837 +2128295896 +35513435 +1794099279 +594931100 +124060087 +1999872892 +2005456479 +853145693 +1778013968 +246867594 +733572551 +305066591 +1856454810 +1164074665 +52137576 +1720217550 +683703526 +828704553 +1350829715 +606829418 +596807077 +754818480 +715740043 +1426590942 +773319386 +1282707936 +840260317 +1567951718 +1813935117 +1986792136 +916250908 +1794747365 +2022305571 +562866539 +242194818 +2146365659 +415255784 +100167649 +852027704 +45786104 +347035243 +1585600255 +350852695 +56006405 +602191272 +402990272 +1776223955 +1285894798 +1231694825 +979570022 +1892724216 +1828501902 +1734388502 +460980612 +1107609196 +360224240 +1743688548 +1947869513 +1928175959 +1410140018 +1787178001 +696943219 +1057403735 +1661999925 +1259809758 +1299598553 +1660881936 +1675065542 +1399766202 +365425992 +1720851646 +1746801445 +1951026248 +2071704342 +1802807850 +405733872 +327210966 +1431548158 +1691628671 +1558905791 +263634532 +1436869239 +1239924045 +1998023035 +1897849851 +200049593 +210763627 +1494054752 +435458 +2138939586 +756711122 +1787613460 +688399157 +1814114857 +1302129737 +1948208916 +966229763 +815528025 +1475790810 +218512317 +1180954017 +1049158809 +1965313763 +984496617 +973379503 +1620637965 +1390230490 +1300590469 +904702475 +934375513 +712012612 +1168337008 +223761104 +1951936657 +1018876395 +2121610956 +4502602 +1229640022 +1468182060 +4938060 +1221095961 +77409534 +1792551520 +1909495118 +1891524391 +947197609 +1710220386 +710270506 +1762725634 +1038527549 +928782824 +796196004 +2087686358 +746612939 +1780692621 +913582213 +219767256 +1023439463 +66689034 +1124469732 +1957814976 +778701646 +145323092 +34092433 +583154655 +1164199487 +8219741 +587657257 +246355861 +1476401801 +592595317 +1467451822 +1553811335 +237663190 +1229463293 +1297852078 +1184860799 +792200031 +2008122585 +800102786 +1830727580 +789421761 +1596298790 +1770930290 +1536034700 +1229507763 +537028855 +1755801956 +105463579 +603717889 +732788040 +2063278555 +1382419535 +878111132 +2097370988 +1965574190 +2042310619 +2105590729 +405747799 +141182833 +1434508882 +998343117 +1608634655 +840836569 +1236006307 +690614300 +2138688648 +273383458 +1482814332 +1999327585 +1073486244 +1166058264 +641265698 +522301386 +789504907 +29816750 +1751809150 +1326533762 +1785618706 +1857272729 +1930251652 +370923099 +1773067636 +1165187539 +1249034231 +1722954977 +983278082 +1143861203 +1681062058 +1389025881 +1285044036 +968087293 +239885350 +746195043 +1808923862 +1475891657 +1436809344 +1800128862 +1749275116 +772140028 +1651972799 +675277712 +1938198292 +145754849 +1197579099 +580219551 +175571599 +801904601 +1906753314 +1961190306 +511693682 +1689521318 +184629757 +137277670 +707225209 +1433663988 +1860232647 +1690503291 +430041543 +1393811058 +932045525 +1715085579 +214414703 +1171930875 +313796975 +2023338565 +500338885 +1750606319 +1675983780 +102130353 +375262699 +1180472931 +777408065 +165977343 +1326227781 +1974987164 +746196895 +1501799380 +629408117 +505466561 +1315506038 +1141101799 +47504231 +1500135795 +1278379470 +754729440 +786316136 +991128469 +297749084 +1216357679 +237455879 +1229794609 +783959611 +451870582 +254241836 +1097756586 +327725500 +754580721 +700879257 +2003709280 +856711074 +1076141956 +1036698563 +1634119140 +1242119299 +215442696 +1461622656 +1988316194 +1717242077 +2091030774 +346299107 +885264467 +1084648925 +393803338 +237916615 +215544747 +1148532779 +1024232751 +1206673217 +1446281863 +93106782 +1444129096 +528592824 +877066393 +1895999679 +782834660 +1974822979 +76241531 +1537415382 +528218588 +2079950811 +246642808 +1604360544 +969165726 +1880761948 +698996196 +1184608423 +1194900957 +539828742 +754366852 +1138448083 +886127850 +1639631319 +75613360 +1279931188 +1877547934 +291158108 +280980319 +754297037 +1497831325 +1727262182 +847403820 +794476773 +108371358 +1724470213 +542992804 +891206019 +1551809545 +619234335 +281137753 +2080028133 +551701498 +527780561 +1536905030 +1520867225 +261058862 +88417578 +557992000 +1455959819 +628246320 +1312358852 +446924254 +1514374170 +804506523 +522537614 +646821711 +534570810 +813695722 +927802030 +1288867847 +164043399 +507580565 +2136271667 +958520173 +615951923 +1713258233 +1501512977 +1507157942 +1117584130 +2120747313 +1788295695 +1050128615 +524965163 +168592609 +439549997 +2045832388 +429651471 +527967575 +456340740 +1885611290 +1156213896 +1768699592 +185051896 +523104418 +425722468 +707589510 +1169926129 +960293278 +1521285233 +2097728160 +101677477 +1685328632 +457825077 +90465497 +496365157 +1073777000 +1803723730 +1997878135 +433451295 +773824212 +1971141800 +74263342 +1823952827 +348623315 +242855951 +116019177 +246972056 +672507422 +643986752 +703312796 +410635064 +1800200648 +324528741 +595686960 +175821419 +750251209 +1303276471 +1345747548 +1710544487 +677078056 +1295992060 +1812221964 +214923040 +1753817137 +1902687461 +711288198 +680110490 +1558927543 +561682685 +1113561785 +185268107 +385340837 +1187825127 +2009220935 +733964152 +1430681079 +2125240112 +980936208 +2103188501 +621743216 +1684249005 +366339918 +274460217 +2008777746 +962026878 +450281636 +611545307 +117819701 +1796029184 +174606146 +794897757 +944537597 +1986828110 +1009820798 +550871086 +1742031924 +1721108996 +1230981576 +1153475819 +135308033 +197059713 +1338743927 +520648870 +1384884841 +1200481214 +1254613022 +668082272 +1178237678 +88065583 +623787125 +1799980894 +1772314588 +990127043 +2074441111 +1633608686 +1952153922 +377239099 +97670345 +2069973623 +25784636 +272276491 +717387733 +970322233 +111620953 +1727208531 +1521193319 +1853652877 +1300833879 +604691248 +859645049 +1436141912 +801750961 +50905328 +1956790782 +39152154 +1251386542 +1063920156 +707234426 +282140572 +1151985739 +1331021552 +2082121466 +776816679 +173664947 +2009078930 +262941717 +2125818869 +238834381 +360612062 +2048308845 +264619017 +632888553 +618212930 +1234941250 +744509507 +197937813 +608650922 +450678736 +1498771692 +1213342170 +1310323785 +787429956 +2015093131 +1361229113 +596737090 +2054245286 +465132007 +1660657246 +613996064 +747272579 +665159338 +1945017616 +681910398 +1441976017 +2118682564 +543505680 +1704917735 +2097017785 +782340061 +2065529797 +1997842982 +1046959079 +550934703 +468572264 +134416681 +1295444210 +666510077 +743067603 +1746122946 +17798121 +1956409773 +908963084 +805228077 +1824019257 +122708549 +1401965167 +1730780895 +587840557 +915138766 +197293311 +1335113136 +1580298104 +2142310928 +2017023534 +874790473 +2113509844 +413045566 +432224560 +2063043981 +1195385628 +350270710 +1913403316 +94861059 +901205413 +234491932 +229277740 +49165975 +901002010 +972345344 +1795288921 +918800131 +781271469 +556768357 +1724028209 +457807078 +679476907 +978509728 +41104325 +1267317464 +1893648494 +238397637 +454946952 +1326462950 +233224917 +324486839 +53769776 +199251113 +737532405 +485994336 +114811446 +1932918033 +836265046 +2028214762 +2027779092 +1737470459 +115223047 +109573185 +1786636434 +1016225057 +1081918529 +1434441708 +1935025188 +1863189998 +1991210065 +1511569749 +173513429 +523203324 +342595830 +214617754 +1790520788 +88760676 +453015391 +97984093 +1415223627 +686240308 +422470932 +1468993403 +885491421 +1160003337 +1954987739 +1000302868 +945437723 +643769138 +881033982 +825733167 +233755949 +996257029 +935306352 +2020392384 +2012482086 +2017224881 +1307350444 +1800023627 +1732931232 +1151076861 +1164109728 +1906444661 +1674280186 +1506705558 +2121062415 +1317317326 +1595466235 +426594159 +1415301419 +863206214 +1112834467 +1837772351 +184715969 +1998325889 +850292041 +2139703708 +851145109 +1795729764 +635989198 +1732179091 +473979283 +869745148 +580952473 +1409285636 +742653884 +445950911 +1279026869 +2050004328 +98490890 +864474453 +1053597541 +1262600619 +623435466 +580394079 +621822529 +597014234 +1897711406 +69805116 +1023608393 +1165529177 +933011330 +2136442860 +855817881 +1117727299 +1987285101 +1706109922 +1109947360 +690946562 +1354356038 +1745936558 +275642006 +1828335321 +468198058 +856594479 +1090137309 +1210851942 +1302545390 +221680531 +1113372622 +1401036281 +1086154984 +19486516 +516153252 +1709590451 +599880595 +1137975781 +159121037 +350108353 +1207780898 +1182729430 +1515637531 +2140792228 +1171688642 +223971764 +1111035880 +1011490096 +1930081686 +73499592 +1702436658 +1136954076 +1819436150 +1978078664 +817805749 +140150561 +687189495 +1907943059 +1351002503 +1989734886 +2129623590 +316891478 +1243287519 +1068294926 +336377994 +1759440771 +630401729 +936258589 +749932904 +789522766 +1286366943 +1957713802 +1972252196 +654520826 +1951022383 +996457191 +878492590 +914574615 +2007947287 +661090628 +988074207 +1562900297 +1798044704 +660026709 +1393495314 +468366805 +800177270 +2080684809 +228826216 +3696126 +1922936047 +210966158 +320587604 +1018739918 +1279261085 +656965598 +630697041 +1909662814 +1593224187 +1380629946 +551701933 +732107482 +1190860100 +376470481 +1386628308 +994398835 +1372927672 +117637250 +1908973450 +1233391311 +778727878 +749564009 +648807961 +429288934 +1409590719 +2042303275 +897655740 +62284341 +1975504436 +1126481956 +65980467 +1750956836 +1337448115 +386568071 +622213106 +469225552 +1043533669 +1252910148 +231404718 +489274209 +486056446 +783106651 +1221381691 +1676916546 +1159577133 +460526352 +523831734 +385021157 +578163602 +285321536 +1618412469 +1356891481 +1034885546 +119736782 +1786180415 +296992617 +14556409 +536352507 +359276958 +1990060845 +1662834464 +425257426 +1593534033 +852798931 +811825497 +68263492 +1322024483 +1855359167 +1321173640 +1553429201 +197149728 +1807230086 +189052205 +1418531419 +1336662984 +1348629338 +1879057771 +1860494718 +1733650495 +309737726 +2145816255 +1204579316 +1666629207 +1033218153 +1324316098 +1305325974 +1330210770 +1338872507 +1841678482 +1689487728 +1181449705 +1357029298 +2114745154 +627500090 +62344581 +779087004 +695763582 +1384369064 +486962523 +2016937222 +790314617 +684112251 +1676683660 +979366822 +2102643670 +865862997 +180512512 +1834217794 +578874067 +1914163008 +2143955520 +577206674 +971258676 +1663101079 +1610424827 +148091127 +820943405 +793151949 +1486963634 +515138239 +335156030 +520929691 +1872167537 +302417536 +1148429782 +1934512118 +1081504540 +1844193364 +1171397534 +1568467063 +1713646939 +1961712152 +105095666 +1242846951 +793595326 +60255689 +2108709948 +974107839 +1894473483 +540100368 +740787199 +1890945355 +1117307042 +1712045875 +1406562786 +580248222 +1860137002 +80022543 +1373400171 +1199616989 +595160783 +1708556201 +1720546680 +319844672 +2010973738 +721492814 +106873143 +944994630 +418202531 +1278270677 +365978046 +2131849470 +1092499181 +471073712 +1227212773 +1886094508 +531329401 +1188439074 +712718699 +278319236 +1728539442 +1453505898 +21780943 +698362836 +1018068125 +1428343729 +1278611058 +730721480 +1508366273 +504527582 +1930338469 +2103527056 +65600135 +1503401501 +275888080 +2076573873 +77410668 +382761223 +874084856 +495613199 +1661031901 +1240062902 +479979021 +606047434 +1711136614 +1707191794 +344658294 +94982368 +748147220 +1057376993 +373301604 +329203014 +363399243 +395082548 +1027565851 +1381467369 +1823426277 +158693261 +2112188849 +1184308902 +663220843 +1895043670 +1140352310 +728820979 +1250961523 +1416240391 +657911204 +1328372191 +1799001614 +1531996060 +1823985390 +1312549867 +624575314 +156480763 +1918597302 +188228281 +1863672558 +115771948 +283210649 +464336130 +1173148942 +656512253 +793539145 +1536548185 +1051594801 +1821104996 +770531906 +727537431 +1979798257 +735237107 +1911846333 +495535453 +482797129 +904714996 +1224356432 +1733758653 +173471739 +1882267636 +914647196 +1972473353 +1266780049 +591148939 +1137539573 +1891355363 +747629702 +908653227 +2079583644 +463818612 +1024425175 +215310645 +928154743 +50090469 +871822899 +1721693888 +1586638655 +1923417700 +1395315236 +209686913 +503471483 +1227629845 +944924021 +267834169 +1723165298 +1427721150 +1172549165 +800038082 +1013996155 +1346020904 +534822071 +1928643352 +1171010609 +1801602120 +372308643 +161066534 +1545473835 +1119938345 +1069719761 +1477573832 +1583756958 +2094144937 +1692884477 +364428053 +2144235406 +417223728 +2086121941 +1583390413 +193157781 +1333953529 +1793077327 +696629264 +414099726 +590517700 +964463433 +2137265025 +2018238850 +2137012598 +789819459 +884751358 +1335549854 +1324641530 +665911062 +359076816 +978760002 +1038219705 +520143350 +376750190 +10674402 +1589863112 +1854324022 +1594431360 +1536524401 +1399724851 +1958859413 +1533276159 +1816948580 +1897497706 +969182925 +2010106361 +1083967587 +614776604 +559251977 +1498067314 +1205294304 +1523715411 +1487848691 +1076049506 +1513244361 +130184502 +1960800864 +701310568 +1454826033 +479228278 +1060387384 +286102387 +1517447983 +1580530734 +662852577 +1528122386 +1022910198 +369692951 +975070098 +411950951 +1769417803 +786445864 +1945227111 +1438882735 +536459922 +766926388 +1301505448 +1620427510 +1381702992 +1860757425 +971011176 +439513648 +1236989188 +311376219 +1515563154 +602749902 +441560721 +1328880371 +1304060470 +1896386754 +1808108649 +216964206 +35005494 +1178072985 +1797494940 +697858071 +558711723 +672921491 +1067551023 +1533781821 +1084872442 +689485178 +172744037 +882615905 +2128367913 +709203960 +1649542293 +1282389713 +182147822 +883761637 +995663490 +1153158998 +1323275285 +85169031 +1464535217 +691354792 +687918933 +1906095938 +2020235163 +1991979403 +1654999045 +1680860164 +61459961 +1690004539 +711449501 +1858954901 +240378962 +1270161224 +384392744 +1307929985 +656459398 +1469265187 +1997415163 +829203435 +204397444 +1978299428 +1538407395 +1853939738 +1113205493 +1720555217 +590217727 +2108868984 +726230567 +1913493013 +46554367 +43282136 +457364157 +734473300 +1949378075 +330115672 +578969055 +1456893472 +2010975836 +640429016 +999414363 +574941690 +351900269 +1239793325 +1845102914 +736293014 +400239663 +354078664 +58074553 +250171178 +1183282100 +262471997 +80986959 +574205847 +2116411735 +1194192452 +147277417 +559145815 +1155577788 +873507984 +325155180 +1202132155 +916790121 +782519337 +1936605455 +718684548 +1112635009 +368090862 +28094372 +976127197 +1008519878 +1027508735 +1551068887 +1360420148 +119818412 +1248688154 +2096713162 +520058075 +1602766818 +7304067 +770229254 +638565270 +269776064 +851216213 +1212771118 +238704152 +2045408665 +1360048535 +797849967 +1053502806 +86072871 +1123005147 +108151313 +1002862992 +1905524484 +2044756769 +1721547540 +870675845 +265363983 +1749641912 +1846803042 +1273883862 +629666999 +1250388282 +486820362 +749485412 +351592788 +436049876 +1269543487 +1954359606 +443353943 +2039772741 +445441229 +713130007 +743505306 +1658212347 +951834159 +641430324 +870777234 +1749684126 +1694933130 +956850105 +725205625 +1803084443 +1959713098 +483246461 +1700357564 +1533776990 +1353922306 +1965721548 +1135935255 +1053241701 +1092121762 +1765602254 +156146335 +1578942124 +367604018 +507739123 +2014992000 +1637147506 +314615081 +310862295 +1529436599 +760056310 +1023992302 +125458258 +270785009 +1975826462 +766888582 +1141562243 +1578026940 +314338064 +2098412349 +155748918 +2117422507 +1910641799 +638995379 +1670296424 +1296935141 +1992917686 +1488534324 +285386748 +898675739 +433172438 +2050989003 +1054822074 +2012114562 +271109373 +1562561197 +1879622914 +1908256879 +1877176278 +43001561 +1290209831 +489748941 +1066993863 +1415668089 +760533950 +895336677 +35073023 +1902096194 +325879970 +349411087 +1853024895 +481628888 +319349946 +1616183046 +1120624267 +1989646370 +765634539 +966058305 +1330697046 +1051021288 +1864734044 +1763869484 +954526643 +772072470 +1628500398 +1225636016 +187150019 +1360639664 +986409248 +2064326298 +1403641225 +129135431 +406591591 +323151441 +1544803520 +1167125541 +1218488118 +1579876543 +921738087 +1544368088 +1929287630 +627279334 +2025996976 +101153928 +95978732 +999137596 +2090800299 +861613272 +1965195901 +1274013697 +1912634560 +1682446298 +890399534 +719677555 +307035120 +371416284 +1945313571 +494185140 +1732055949 +784239171 +411027790 +988213526 +913374602 +817619381 +1311364967 +310694474 +1984744922 +382369438 +1890571017 +758999362 +1926737526 +1672374999 +1386278696 +1805250855 +1773528928 +1482257429 +656904803 +1716845579 +196387053 +474617056 +843375628 +2109021613 +9579706 +1733775162 +681215520 +316614827 +2105191447 +479045443 +810799967 +1689763748 +1263284615 +1221827757 +530493626 +29175569 +2039447138 +1841858594 +339870044 +1876708412 +76744384 +82957413 +488224126 +2003481910 +1755332413 +1874502823 +1661249117 +1381377693 +1209276604 +170670272 +950739624 +1405663657 +645287329 +1794115252 +1367201622 +654867035 +1380406767 +2048417142 +971481862 +1338114566 +379978937 +1782281829 +880394666 +1643263552 +856625938 +1410888292 +1672439122 +748589428 +1105263238 +2012309166 +477814193 +1182007622 +2095266579 +966038319 +1038005885 +1703115344 +693057494 +551771354 +937009389 +1902334098 +722441627 +1887749013 +1160514107 +1367728956 +1534380618 +380232081 +2022595991 +767303737 +281165575 +846594206 +2105418303 +661144513 +481392387 +838329321 +156924417 +1338018326 +101733965 +1829363539 +2086607754 +1206997204 +1694189057 +416938299 +241521178 +1641971989 +1382976619 +1279527063 +1197603685 +2076034113 +1831298418 +2134613075 +1830884564 +406256397 +1874878440 +843915023 +1773985353 +1261775410 +1224147105 +1649097696 +2029079147 +1505312680 +348208254 +1987013802 +18973545 +829600642 +677859475 +175897963 +20135320 +779593441 +2005261502 +2106743074 +1986590645 +1551966912 +376197726 +80628175 +1046455253 +1759174345 +1360155239 +96575290 +1687724810 +1043970009 +83704717 +1371125726 +1450226406 +1958583158 +67557102 +1076728111 +1072874920 +1291704207 +578342159 +954470420 +649533239 +926550414 +794000574 +668506785 +1756151056 +1471860050 +844404748 +1776286376 +103969843 +702182602 +1735545802 +2090560488 +106665866 +2111743528 +23705015 +1153121119 +1723434225 +1383860254 +1249696410 +1263675388 +280346615 +1333401127 +487317466 +1730573021 +1144500637 +554874568 +659817484 +69891910 +1846578775 +1238159644 +1024362330 +348628367 +17226410 +1818362904 +1017135152 +1773377466 +1142739306 +1861539900 +1402180194 +1246709149 +416238854 +990242348 +1189785989 +522904721 +954502229 +1213491005 +1676025840 +530452806 +449867611 +778238602 +1794128194 +730214227 +2111639730 +133962013 +313303600 +1108656719 +688836581 +973121085 +1178548629 +387931709 +63797081 +55427311 +736560076 +81023491 +1873790216 +1753695228 +1854400957 +869045874 +1467751480 +1109097503 +2115755024 +1883990334 +2099339851 +1158057365 +259411407 +906358432 +224064722 +1935437248 +1436811239 +673932334 +566192202 +1083455785 +1404146561 +530348284 +1217417798 +1717450161 +1639005004 +1906254380 +543087598 +670069985 +146702441 +606884679 +725497297 +883262517 +687908170 +451803865 +489474097 +394825479 +1320849739 +1957225577 +1503922982 +1289121115 +1693732263 +1455779186 +299694833 +1953143671 +214653970 +523759555 +1741097271 +1651465209 +1197691889 +159805825 +587437347 +454354802 +690154110 +1804855145 +24321316 +181675466 +1563625877 +567408914 +851745451 +1710328318 +1174293594 +1577242748 +446107187 +1862201764 +2029046613 +935581284 +109543596 +1202412705 +745323213 +1613466578 +344050172 +291571829 +921762116 +643745005 +97231852 +1136416087 +1167504561 +1838329123 +640397648 +217712802 +1998134948 +1227834995 +672067605 +540805410 +885206493 +696388921 +722480876 +301348722 +1263797835 +1574226328 +2011677041 +290607781 +1003985428 +310300580 +5325898 +885548394 +1245881865 +114869494 +2087961099 +1991205078 +1728336072 +284527623 +135293259 +502614541 +928272629 +232525111 +1639030628 +2095777190 +2070854234 +131944628 +166006344 +1921505535 +1359779624 +838073949 +314827297 +97502469 +1534462870 +1037308174 +398851191 +650777058 +464050854 +263044584 +941384839 +1468036282 +573345165 +946710737 +206101028 +1819227030 +1061580231 +146578479 +1662948460 +642432656 +431106103 +1798241720 +1145047197 +1359378732 +2030766831 +636594177 +1307672274 +1954137418 +768538805 +1473678618 +1728159305 +2128318429 +164268920 +2042986602 +78337250 +1698731790 +932811128 +477188442 +202025200 +1396861982 +740233026 +1143410040 +717414617 +1313578191 +2090120777 +923515645 +985321573 +1004217361 +1070094125 +500786386 +1646650017 +1501200228 +151544458 +644213566 +713095312 +34827641 +1280807743 +2020767586 +1988965059 +2049346548 +1346962556 +1569640716 +2030181330 +1511231476 +1465143671 +2108518580 +1062479619 +250471151 +438223374 +1264504819 +1647333134 +1178456401 +260431211 +217264103 +344550944 +203068341 +1140779748 +1329872518 +1207285702 +63390225 +1830658904 +706452071 +1564590453 +1982203362 +1350665637 +130202117 +2017031003 +483989732 +3486055 +1858512415 +385852632 +1350448612 +1280669483 +268550314 +714196440 +598329506 +229585247 +1776676059 +848800658 +667808621 +893697231 +348650144 +1846265022 +1154128442 +565914247 +43332319 +1357196783 +1706693995 +1373204837 +416998837 +1770084221 +1056380093 +1123450908 +1187191026 +891099807 +326632897 +1317393144 +760647162 +810622629 +1320879199 +471675929 +1196475262 +523844163 +1752345413 +1465025576 +1238040604 +203191271 +1694610823 +867233015 +1051991929 +214935797 +1760930246 +1400642073 +2061200819 +767575041 +1966556320 +2104533138 +2124771824 +1525766668 +1330254327 +394287014 +1148367241 +239150772 +1517737922 +188074619 +1130250579 +1844370820 +1505467763 +1890897742 +507509801 +678863315 +215090023 +1703985063 +1202707478 +1967435436 +1021526992 +293264434 +23143060 +568654167 +1160497450 +1075134989 +783589964 +773944048 +328293415 +697307136 +1541519089 +147366087 +654356626 +1518807266 +1673132755 +1984610954 +1913094280 +674016348 +76278078 +1283348554 +862090968 +1206528658 +980235726 +220075083 +949942752 +1487745528 +898938398 +1165032775 +1044246943 +2101645877 +984984564 +2065773935 +247426663 +1008127624 +486944455 +1407924113 +2083262613 +1270534419 +34384514 +264072380 +1967841555 +1575903603 +411438468 +474714534 +947227221 +2084571223 +311841840 +712837853 +611103924 +388119918 +1996186408 +1473194892 +1594648576 +828938486 +1693269975 +397107680 +169200366 +444724726 +1562140456 +1213447310 +398886955 +399641372 +1131737597 +646313618 +1407768996 +1618682052 +2054237732 +1343547961 +741732824 +2088622246 +1607620342 +562090731 +1517042201 +2019058810 +1036805265 +316785775 +1956146385 +1348647105 +1029623628 +419766661 +1736767024 +878326388 +1892961553 +1183931952 +1707264875 +1438747881 +1581039633 +1876465241 +1883472607 +995696441 +942428903 +134875914 +1395337813 +2074166501 +781189532 +655623161 +1545364905 +687943616 +1999171122 +139614081 +629082214 +1459307816 +701704813 +2146124416 +1330882978 +1738510078 +315426543 +1139545716 +939673536 +1345050171 +1559312377 +528956912 +75892912 +1304790283 +1712888864 +1783157787 +596054516 +1146444849 +1512139380 +332043475 +2142141290 +307084636 +466919389 +1389995455 +233767489 +1248108921 +2045618616 +1779132394 +1936052538 +1897306091 +1918746476 +417651104 +1209130259 +472967641 +416291872 +392529590 +63994071 +731718415 +1532075306 +1003667607 +2076768587 +943904035 +1532624519 +5177851 +101210670 +1098029736 +1788335638 +697265186 +96990937 +1152991370 +1029308661 +91648580 +1460076006 +1496228050 +1481644035 +1693843495 +596853324 +1379779004 +1325492242 +385422214 +1129601447 +1096755070 +803073318 +191248058 +1569722711 +1219365191 +583777648 +1633716782 +1951083606 +2115852954 +489900742 +1880368545 +912273342 +2022525261 +1885546396 +1013484012 +973071349 +1526398386 +1710749199 +1070062287 +531906109 +592574212 +1161710867 +1991982115 +2088802263 +495871254 +1538341963 +538171939 +1875650258 +716350557 +923594153 +857768057 +1813105627 +1726667471 +1049016116 +1235344690 +798549014 +1632793764 +721577824 +602148973 +1601163071 +1211478566 +335033870 +365952765 +1086520180 +73096619 +1379436777 +2059591529 +1599495005 +942702328 +982170168 +2131401114 +1535276541 +2143881035 +1975899582 +1476595156 +492268642 +1366757897 +2014767095 +220435252 +2083108454 +790877600 +1078203310 +1748730433 +370061423 +2127219426 +836591475 +1168610438 +1612529542 +1558169299 +1770759411 +1066208965 +622164218 +2105793281 +1432161730 +1708684398 +31406252 +664114860 +1620792279 +1630901258 +1606817188 +455478800 +1614818724 +994610081 +451876187 +1443234658 +323721589 +944144829 +662508907 +191005036 +1164580082 +598133713 +981882636 +95299744 +199380498 +1351944060 +75035522 +1035971973 +373070850 +1687565064 +446657625 +2143830261 +606290382 +1068821843 +2102139894 +2038452112 +630022593 +2133546147 +555083324 +103331224 +1616963757 +14416865 +558810024 +1084298833 +1009026946 +1010686212 +380049844 +1332748536 +1954831041 +1042558751 +1523753572 +971927475 +1640692465 +358152561 +1067227219 +1840072963 +1710096621 +1142262741 +728561289 +2083167471 +682344158 +1175218914 +2079514084 +1288634540 +96557109 +2034170330 +1179603004 +726579702 +2020232829 +1734686329 +829910926 +1489712938 +1749103194 +1388720951 +426528124 +610646492 +251923515 +806577968 +1943395028 +59270908 +1849136719 +1319664953 +1031198384 +1342345536 +1677817514 +2098425603 +1034934852 +1240430487 +1093204697 +1763496141 +1176114310 +1775548855 +791231407 +1108144746 +916699747 +887788516 +994831428 +2096302751 +1614368218 +867580610 +1683505432 +296795496 +209809900 +1285124978 +1685516447 +636338024 +1895771471 +1937439962 +1442915992 +1691682851 +1996710871 +1144569064 +863864156 +880425607 +339430952 +394198022 +831367562 +1374365804 +1634628509 +1924572259 +990378297 +663259171 +1552637466 +1781609704 +1771403917 +321853565 +521914572 +618751698 +270672669 +2136282790 +1486332308 +1954178101 +285594639 +1696142208 +1091819432 +1971111086 +184996585 +840107255 +1761067401 +1627912577 +384306458 +1610294624 +624997993 +1248170615 +343236583 +964428946 +1642368637 +1174604145 +191311102 +1129513499 +951692757 +1181689400 +1792772670 +356846575 +815815456 +1416692940 +678700141 +1337730029 +2035444638 +949372810 +1326529171 +1374293298 +756067263 +1612123810 +922951858 +1847886695 +1435751249 +1107948443 +540510302 +1049335002 +588377373 +924816761 +512145978 +1213375366 +25503728 +855382561 +30320664 +1667872365 +2029986706 +221631767 +649902216 +834195815 +1403321167 +295191239 +1191042391 +71652975 +1711884179 +1869742532 +1409383004 +1599845169 +671631694 +588428528 +826654819 +1427698957 +53068690 +1749606677 +1128102005 +1488819939 +710071473 +1668612307 +390671293 +1298448846 +445945420 +902817271 +364340564 +471449148 +1758199832 +394661229 +2139321514 +1640702891 +616292996 +641740082 +327415058 +2019614163 +936931321 +1518457449 +2091267138 +501331852 +1240716333 +1353166495 +2101177021 +1912348027 +1941595023 +780348192 +1192563337 +1994663713 +382471222 +173181694 +1336000005 +1092542695 +1841794001 +1726671298 +243507893 +140255774 +482004922 +607848457 +611704922 +92721106 +1002509686 +603542788 +1733423997 +1618802682 +1245282871 +2060839056 +1490933197 +34730544 +1431812857 +1434716688 +536062397 +525045543 +640399535 +489755770 +289909922 +434510910 +1270103963 +1482473259 +281690975 +1652575185 +1655654953 +1617690980 +597634232 +1349965307 +1196878631 +841142125 +1490221081 +1678883553 +1448990582 +2101926003 +1771604659 +304016621 +557985144 +1357545009 +1922819303 +1803268015 +1270900417 +1266268853 +1837998559 +555229626 +553501893 +226577308 +1080275169 +1193901428 +716333079 +1370185092 +1628412338 +1986437042 +705174703 +1910103313 +1491528579 +213346009 +1380310646 +2089162811 +1563311316 +429705629 +782821288 +906048749 +2108589182 +84328222 +860491104 +1732710193 +388344843 +1418476248 +942771554 +163680499 +1074260615 +66188323 +1429949352 +764775527 +621417950 +1983451245 +991352835 +1701693119 +1029869025 +1707685914 +924394563 +510797715 +1546639308 +1629569267 +273417380 +890684239 +1842915276 +1653728026 +832363402 +1258742944 +2083433655 +1615184690 +17308045 +2044539189 +1699512913 +877799149 +1629765735 +2087857756 +148791750 +425053641 +104054607 +1223052365 +491241965 +1534003959 +1987827892 +1112659915 +1369971556 +831697080 +666869386 +252356933 +391899346 +1591263950 +763154648 +1938538655 +1073349569 +1036572029 +681739246 +768781197 +542816407 +1514102649 +2027524141 +478766415 +981803691 +2044832186 +375821956 +533832956 +775147687 +2005587691 +474207065 +923939437 +283157685 +578261672 +2146991803 +774399650 +2112265632 +1987336047 +1887059565 +1334753540 +671549479 +406445303 +1587110474 +1063448826 +1997709253 +202781474 +854503833 +923575174 +1239353503 +1536243079 +1692356371 +1782169911 +902862080 +1572396864 +113452678 +1884665772 +1469745402 +489274634 +271015080 +97409442 +347378678 +745222145 +1021348879 +630536363 +1323483818 +1020857034 +1404936013 +1288265802 +860709434 +1144511930 +475535694 +1532258913 +1550957233 +2062646168 +448224091 +1401182839 +117943995 +1302727924 +177274365 +1357297498 +691487356 +1869630737 +991983761 +1594349436 +1294543953 +1105436439 +1331531560 +616805708 +1594711074 +1602546641 +714215150 +1942089752 +200285138 +1735564029 +425142467 +1523768956 +608937416 +1830078480 +664551110 +1469646850 +827106762 +1140086805 +854422115 +230580347 +1055249325 +1302646207 +1631763186 +1173193320 +457890483 +1809037552 +383007171 +1149377839 +1531184641 +1374990932 +596243628 +678244946 +332943724 +1927775188 +1295050654 +1927654798 +1382838181 +2009265804 +1722260902 +1583123320 +1597346186 +2147403369 +959408628 +58799954 +1829998201 +1623959739 +1528446804 +509621315 +616562896 +235385271 +740201662 +1671812221 +1538031478 +224481201 +697521894 +1995921962 +2033518753 +1080529065 +997816153 +1417219746 +308036349 +1594059781 +2095464692 +640980073 +1374351322 +1243031699 +421151223 +609705855 +1104813855 +2143412125 +45345527 +554676393 +2143331846 +1004754156 +613476347 +1825846399 +481230247 +2141923151 +187984066 +1097793143 +229824775 +928185729 +622121716 +1767856253 +1152666930 +1319643610 +1616294567 +1038702035 +252689027 +466627073 +308438133 +560725377 +2060686854 +256419177 +1201705450 +1287554528 +1499450876 +1622856674 +1897260384 +456781084 +1618785151 +1942605911 +1011457477 +1614633350 +799876419 +1624933825 +1292996101 +1281106666 +1619373328 +1480980168 +231416161 +1849198103 +261682249 +853537878 +1469570709 +1414349179 +25697840 +938381628 +305567566 +278386868 +1405008701 +614005699 +839112245 +1318211908 +870424876 +2040817695 +458282788 +222392105 +1516190721 +208059524 +679173189 +987492225 +3181788 +1690630666 +454641927 +803058207 +1168080843 +1747638028 +2084164874 +639970524 +1081134548 +168097387 +341684979 +1342816797 +1021635265 +1811255688 +609682328 +1047333106 +602153669 +915249894 +1325719974 +2007162370 +1529255593 +17348571 +1177890630 +252196822 +2058166266 +1636173419 +474588927 +1426873340 +1844232943 +1153762116 +266881917 +1847414731 +696909134 +721523844 +502989291 +1864989978 +321678224 +439670517 +357476854 +1402812773 +607767904 +699161833 +598145922 +1629403170 +362933874 +1207828251 +529252628 +965087543 +2123078145 +1854972602 +824766265 +1504850091 +1872321173 +2002656896 +1757046913 +1783003791 +1491346667 +84152192 +1062393483 +1188095962 +1237914308 +1329275400 +888027046 +1934823442 +2050799244 +1391016337 +1652329772 +224993821 +1830686854 +2009806626 +1627806594 +290971110 +561484812 +78468868 +1920374280 +924418686 +1286297119 +302143260 +1889506229 +1261891617 +9632214 +566788846 +619258060 +1881953387 +421962094 +228821325 +1517473531 +1913308761 +312973517 +432383366 +953921076 +1550887825 +1761658767 +1841948122 +1338227619 +1664974363 +1085480811 +843073744 +1889968184 +768684017 +705396722 +1370291130 +1059655127 +1266881534 +1448759999 +832545760 +43816572 +587573470 +1134689020 +1933322801 +1849465087 +1144321235 +352628000 +321239499 +878790974 +774590094 +550060824 +248780857 +540415208 +863034341 +681164224 +1494336284 +266438518 +295339343 +1188800758 +1604666138 +1960313706 +126797921 +300256234 +1702798243 +895481938 +1005652956 +925605725 +1955137065 +125050843 +226882076 +640199177 +168867415 +814455547 +1774888198 +2102190217 +516436986 +771725785 +307334569 +837676486 +1650516759 +1081924663 +1387737310 +1899297617 +1622339871 +103288004 +432978193 +969192507 +369726522 +728317536 +10509617 +1974392660 +541147594 +137307538 +127165246 +96462189 +1032789476 +1132818203 +1022067915 +840442894 +1257869046 +1248949991 +1480642071 +1426736461 +2063405538 +1108046621 +1381443030 +432358877 +1879772406 +1688777599 +1270035363 +1382805518 +623218615 +510289025 +1134619487 +98074838 +613577029 +1567597680 +1067267346 +983303552 +148431568 +1077776963 +810212564 +689579162 +1215084502 +937377811 +786041352 +100390330 +2070196014 +1808109267 +940833224 +1180581412 +909575610 +273991648 +459834225 +825497501 +1382038269 +1841277256 +1257856378 +1114327028 +1382571207 +380408093 +349648898 +2005789822 +890697118 +1484268385 +2103864661 +1504274148 +904382417 +1023648359 +340094052 +1052813985 +2101425322 +1150306616 +1742393147 +1169026176 +2087684427 +380950851 +1269416507 +2010396793 +41576470 +62766083 +1043494557 +951152081 +336757731 +1503328783 +1776649582 +1718796001 +1197122391 +887022312 +685639381 +432209950 +1267430405 +1035288279 +290516125 +10643875 +372073016 +246897138 +1514918023 +1276455433 +1270545497 +1855012075 +181785770 +1224487171 +857835044 +1924178917 +246029700 +798035823 +157646121 +1515446207 +660948969 +199222591 +1578212290 +1704443526 +1150374672 +1914970022 +1060288661 +779540606 +1486282375 +109927404 +1666562918 +24438108 +542137355 +786509675 +1059726387 +832653480 +797153551 +1431799403 +1079550618 +164587926 +560771188 +202612467 +2019600002 +742556958 +1427099638 +729951398 +519252227 +1673129338 +1527987221 +676898348 +1041091897 +41452542 +876120940 +471820540 +1745896069 +2026495612 +239306914 +658701082 +658552571 +1725589289 +768628487 +177631841 +1750027397 +1310765842 +964141517 +662270136 +2143419322 +1761295068 +2094069539 +1075486292 +1925882994 +507357079 +1278098759 +1797999348 +1249914037 +557714749 +380467098 +1769166264 +83360440 +1908454320 +298580965 +1124452337 +1949906862 +1174701905 +1596272877 +1548319283 +1053713869 +1835579791 +59536718 +1712266440 +1413685432 +828165205 +1889898282 +1016229181 +2138931047 +706556151 +1678499317 +2134866721 +320367571 +1625085208 +1062869365 +98766917 +2132442287 +193484476 +1896766266 +1234872676 +751199225 +129749716 +856555293 +834559665 +2038204036 +1155136258 +1959012003 +1840627251 +182354515 +1407801232 +1241462886 +1236068384 +1095897376 +1300999604 +800851177 +362099160 +2129164809 +543265811 +1378328342 +2120612208 +1249821962 +909344011 +2107995281 +1570189533 +386945572 +1023380998 +1668956450 +371904211 +1216865474 +1418239068 +1606776888 +1968064700 +1547988785 +315848533 +655140717 +1438709173 +1470984791 +466669072 +1131852776 +1653339306 +1874470305 +225832015 +741924042 +822884033 +1526831619 +1542775219 +1184983193 +1508512781 +2086041030 +415827887 +1481641341 +1188379344 +1325171899 +1442152975 +611085229 +1712117471 +318050325 +132558032 +2084021682 +1534915800 +1550797100 +1543314922 +1355496852 +951302237 +1859163455 +2010637569 +242527763 +1182664598 +329822994 +1374380539 +688520256 +56809651 +1600212554 +1430444299 +879693684 +979560526 +825735870 +2064676877 +340589659 +764293253 +333021117 +1822231000 +1952672597 +1658193016 +1116900327 +416274179 +1222826839 +1434950653 +548832211 +1159364873 +822382805 +2099629311 +555196148 +30396009 +903447901 +266875955 +2041033578 +1145975664 +1449540554 +223372924 +372872555 +2138060810 +280182575 +1973085110 +1421021461 +1159876259 +805161988 +99273684 +1077069489 +1145751647 +863566937 +1410090606 +820498999 +668755886 +920799974 +1937399327 +1085030065 +2143626813 +1224866332 +1633862276 +1155508038 +2047249137 +1586007940 +1710704186 +2077645146 +341972193 +1977580142 +1971195076 +1487947857 +1279637048 +47084353 +1860820412 +1270214210 +327266928 +1686421874 +543752024 +1487143188 +344100214 +643025708 +416729029 +1489851861 +1506592645 +1826819635 +162867213 +27864883 +600135961 +2100266540 +1112894949 +596279126 +1177649224 +599273577 +1751787164 +1077414713 +37797869 +1315007703 +1007576211 +379770062 +1145104197 +831287639 +1867717919 +277257597 +878371992 +1581054684 +1547471807 +1205638921 +1119992910 +2091223831 +545298461 +1464093125 +586765891 +962027490 +806461338 +2093358536 +641363477 +969328551 +2121223420 +1241499438 +922111443 +1086634721 +1837778564 +2099760667 +1685908298 +1442082080 +1029691732 +1723706168 +609606135 +2037267943 +2103476230 +1754710332 +721071935 +1823710502 +2031967929 +1599443927 +1257281538 +1431956089 +657599200 +229790800 +1375696272 +1202897661 +1693883925 +1962462164 +17441503 +352861616 +1908337052 +658804980 +1322190167 +1882076824 +1900304418 +96817963 +821227897 +1590599334 +49094982 +359652548 +885197767 +1078786715 +2083358716 +1494803902 +968571010 +2039351298 +1102030587 +1689642945 +1715578152 +986514868 +1141603225 +825376042 +270987309 +1799202425 +1055166843 +1646683582 +854616439 +601567120 +1461662098 +872057942 +954428736 +1222515502 +1530862923 +129135256 +957108679 +1283683693 +225953219 +1778336576 +726799380 +275048201 +2137989124 +1611997147 +1353834916 +2073864192 +959317401 +174922279 +1965731843 +2061347988 +1864565224 +1533826347 +900379209 +858684801 +211718742 +1171366518 +510403579 +1266885585 +670566452 +1365020018 +1868452705 +2132228550 +89594312 +675397794 +1207260405 +1620457235 +804533050 +16885436 +756657281 +1030486269 +1795222012 +1483456661 +1305534470 +1785727489 +947970160 +511885739 +1712108033 +1907287561 +686808018 +1530356228 +1821151902 +403889594 +916698928 +574047463 +1262574396 +1128417670 +1745413981 +1772977975 +247819607 +268496786 +990514345 +2116272312 +253241688 +1080108657 +644186458 +1460502093 +553082245 +1448719508 +1477387529 +1309739526 +331722129 +1125125894 +645712539 +1637256600 +763369735 +1593682699 +1658691 +327994120 +1353486612 +688466709 +1858350349 +1027154866 +1092356303 +627565629 +1601202329 +207447051 +1755983299 +1199132663 +1980425026 +2003802906 +1467629449 +823455723 +1972591570 +1720871137 +1903564381 +469294381 +1033889583 +309162978 +1918013889 +363793464 +1618902504 +102252371 +1488919358 +117131395 +1739508971 +104805445 +1710814094 +1741167662 +432799566 +916817058 +282150723 +143666267 +1943971925 +1374507026 +771231896 +1397690606 +1581954078 +379731547 +449339621 +1414895456 +236050805 +1916969070 +90867532 +61158727 +1490356560 +1994431913 +530453108 +376762495 +156111243 +300983350 +740555959 +1775013747 +403235721 +81991670 +1892145142 +2142744692 +186797115 +1455475588 +1736428706 +619596681 +224808998 +2018579429 +763262948 +21297275 +1245602807 +1534494844 +1418987882 +680073237 +1914226391 +1868327503 +2094968694 +2793548 +1637812926 +38352578 +63952276 +980685838 +2032784491 +594405384 +1357448333 +41412086 +895388734 +2098004292 +1816425833 +1298624455 +32512314 +1561087327 +1293885499 +219309430 +869079267 +882830557 +838906111 +1093888265 +753926338 +1602169060 +1115185541 +1999529146 +989180256 +386689775 +532118735 +755923000 +107533630 +479603781 +758716548 +1745346556 +517956359 +822668824 +578548746 +403257202 +1417074209 +1935997079 +444669288 +164979295 +1886517724 +113611473 +1463603751 +1919030038 +1674698800 +610005602 +2138339468 +396294419 +1492836160 +829761932 +1490182685 +99278850 +284447344 +457884578 +2098807996 +1273627600 +844574353 +483443084 +2029550600 +952107983 +963046865 +640783501 +549970892 +1481003225 +1463452325 +1128519638 +1884260427 +733042886 +917033070 +181446068 +898022182 +656067146 +295057541 +214142285 +427613536 +1969756342 +824147887 +418469357 +218567113 +169500399 +1248231289 +1708749798 +268779250 +1532678633 +19150728 +220103598 +658822585 +863725081 +703546682 +540889538 +1815833065 +1666593548 +1181673039 +218320309 +1000113125 +497641716 +1346839947 +736889904 +1230684603 +116389369 +918335972 +2128706785 +772456515 +1213393514 +195365422 +1200070052 +1035666208 +1019513309 +1618539409 +1254233321 +1189013709 +719287050 +815499472 +1457792959 +104482035 +834650200 +1677896557 +763304620 +1698375282 +233959592 +1304194158 +1366724699 +1900553140 +338383549 +1585045008 +753182617 +836025266 +784401307 +1490072521 +2066709869 +900790677 +260924846 +2047933006 +1673247192 +1474318360 +95814780 +725833596 +362500920 +1115328089 +196889357 +1616734241 +156858150 +916176407 +284750065 +1614651109 +1020658442 +1119400266 +1145064019 +1783963063 +670291900 +1379023611 +940673573 +2037016599 +1132093103 +1279057123 +1474577959 +1885275720 +2115082389 +111495618 +1227864593 +2034308610 +1012286295 +1488789439 +1934757968 +538049840 +815624151 +2030572748 +1263883436 +1178125071 +998417189 +1460772794 +647375665 +1155275340 +229465553 +932125730 +622442801 +1250123996 +2051525996 +1767506820 +886603411 +574334248 +999046783 +1827276984 +463867199 +2131139886 +958850459 +1938445158 +1868931958 +926449200 +2049940777 +949312904 +813274162 +914743424 +290618695 +600548482 +1452793264 +1106242847 +483637582 +569193053 +136884270 +1482054772 +2029965847 +784259935 +489846464 +111947752 +1716385666 +1112289265 +1362071748 +1620428014 +732312438 +101191511 +47278615 +1731359221 +1928468496 +511145814 +1715015460 +739835307 +302107325 +1436463770 +1666284508 +204564454 +238293026 +332075022 +1119307878 +528911722 +932623505 +424617495 +1635154569 +1416261087 +993810548 +1772038839 +750832211 +876292747 +408815127 +1240678675 +988240499 +2125200793 +205484293 +202828600 +1598145159 +937796731 +304020111 +1645423774 +521672304 +85004959 +9085941 +89204116 +824840267 +311193266 +1525667887 +343641127 +515757720 +1763960913 +675716149 +1635065598 +145388987 +1608339654 +2059683093 +1780543556 +877117094 +906009993 +1405098748 +1627949305 +1782302740 +1813913875 +721144333 +623059592 +1791631020 +926628626 +825888192 +1242292531 +1864425357 +1129908303 +740232658 +238614013 +1214913263 +749318599 +327818130 +2039753530 +1060511865 +1853486017 +235911009 +1576269585 +1469963282 +911627158 +1063851535 +1615352270 +372483165 +976050981 +1248412178 +1249600259 +1882060974 +506027278 +730065916 +1516880067 +172457505 +1451210249 +2139939659 +1964088525 +230355227 +818344203 +1058897409 +2094780584 +1948252506 +1799130067 +185910950 +1015682121 +400965018 +513729080 +907952003 +1461476883 +219731449 +1143863012 +890262820 +1689694731 +2055490171 +1954114355 +1157563353 +280489688 +782681688 +258491884 +1530089947 +517259015 +764519162 +112672215 +2034139082 +936976668 +1563882465 +2026595093 +753581545 +1794237692 +697455648 +1812478954 +1741534629 +498224506 +1464125373 +1927445579 +1513906628 +1865090391 +293691011 +274374983 +1179083626 +513422460 +1418237996 +2069346446 +55633543 +1326244519 +1875977154 +1213196897 +1606734207 +511175194 +1471688781 +989340506 +1028434209 +88724295 +1102012721 +915089643 +1025700963 +518411538 +794201088 +1779282509 +165165583 +1491656736 +1444277815 +1906700212 +1989881243 +760919541 +1686662143 +1356304223 +478526284 +1980353154 +1630679206 +1657609911 +346291966 +901433554 +1579472709 +401925509 +80194425 +1307966215 +1615122406 +1686928632 +1819141410 +939327539 +528785490 +700091971 +1028051835 +1630798212 +1615181615 +2053752798 +1726102 +261899055 +1685551659 +166891685 +1753555792 +982345827 +2073591897 +1595953387 +1743265368 +1612770392 +804773962 +74308004 +1445639898 +287969520 +1731917915 +1791931864 +1189403075 +1163906977 +46373726 +1269597500 +324389544 +1661496132 +809042485 +2143530954 +453340024 +1337827975 +696139278 +1481391859 +821142539 +163837245 +1387661009 +822868642 +425736300 +925729021 +989760327 +31808444 +1908074848 +915868577 +1627761831 +1503856568 +381155321 +285052145 +1578164572 +1826795220 +573021666 +1162598840 +1471243436 +1762424741 +179022169 +1517617162 +884538593 +503411713 +1031629647 +1693581078 +499459020 +1484969671 +883925406 +1195598298 +818877882 +1705067945 +1359435543 +59055243 +380452939 +1785171843 +984784264 +1370213267 +1816980288 +745375464 +138598196 +1297258471 +101748384 +519753517 +1582310617 +1679912957 +199065089 +7848635 +695028149 +1670308526 +1770273376 +874050318 +1040442040 +507328321 +1377462031 +2072071687 +53425752 +1876921051 +1409557710 +937351158 +925035701 +80951944 +494935455 +136987596 +140007188 +875388395 +1922159440 +1124791452 +98118014 +1591656080 +1870166917 +236716210 +741430903 +1971915301 +756469727 +176257872 +1504344610 +955534817 +184106507 +51889111 +478359695 +1954379883 +925939429 +1518801735 +314224557 +155917813 +1443389775 +367650309 +2032838864 +705463837 +1305001467 +810390918 +786415782 +1799936922 +947378514 +926422970 +527841669 +722054306 +2051214422 +625959683 +166226738 +1773897691 +862675893 +907657642 +1598329345 +1619145621 +1083915514 +955190307 +427196790 +1268022022 +1007079419 +905556485 +1074918257 +1933018848 +276874572 +1389142814 +2088936661 +1720264347 +1756793123 +1974291878 +278244537 +914310942 +637199148 +1064660319 +566764217 +1584577662 +1991083289 +1094605886 +159148321 +1894814063 +1720565570 +325375059 +1521228107 +435757815 +1233032701 +972073804 +2054903436 +169464568 +1927264111 +334616578 +1437486590 +786859882 +1240173063 +364921199 +572395083 +1517047636 +1754064014 +513848096 +1089828335 +1363373489 +340656326 +1368072872 +130200784 +977855474 +285249543 +696965001 +414949489 +128849184 +1791570887 +574097810 +2023663248 +1364652809 +899472869 +1397407707 +1800410625 +2132505571 +221997863 +1707830413 +154486491 +1778326 +2042446992 +1591973081 +788638209 +1135136407 +1956894280 +1361033292 +504700395 +1563474646 +1874881388 +1594528731 +779364488 +68054067 +815117955 +909565272 +1045909541 +1100367499 +1606530273 +1460859030 +1229216683 +1250617512 +2034956840 +1105396283 +467786674 +786946062 +355320342 +120713651 +771967985 +577318205 +1828544064 +926454476 +579096532 +1723507408 +370943909 +1367734741 +711160168 +180354541 +581284385 +1215860563 +1743829188 +308682125 +662905646 +375710028 +376736192 +1478023602 +1285275300 +1422645734 +430907453 +744321925 +736021116 +1660124136 +1994939437 +623494309 +618036772 +315242463 +1410440371 +973357114 +435956114 +34924708 +1550675320 +117016531 +961379184 +2129771852 +1840523939 +1332323093 +1350022945 +404200459 +1512677634 +1931307330 +1620061023 +1109023174 +92505807 +135483021 +1484733202 +469242000 +1613506623 +622524854 +1891887734 +2044414076 +1366846779 +480425202 +1557054565 +1214302569 +1103919511 +27607689 +1529545032 +366876234 +1000964803 +1965501147 +401800942 +404156475 +2082517678 +1363180126 +386444679 +1775557969 +548019571 +1736467624 +32274781 +2060697206 +1520291306 +1652335804 +1022236732 +1612797114 +1787818825 +359486287 +2082039114 +1253841801 +982011141 +1826443200 +1150772229 +201374273 +159384754 +560343146 +1415676842 +1263304266 +587950835 +797738226 +1630180500 +1588915639 +615755725 +2031981443 +1993072114 +550789755 +1247677921 +232033146 +178864077 +1795697493 +1968500770 +211138858 +1708911051 +1341308429 +1863474662 +583664135 +806621895 +1503809839 +943150422 +741177361 +610167992 +1925161564 +420136913 +1760940222 +2126535837 +579521667 +173799720 +1394729031 +1842825933 +761750556 +44983609 +1325522786 +203182547 +660739335 +1210020581 +48771013 +1211529090 +310214854 +280804159 +1390393167 +2105912347 +101821282 +1601532025 +1667339750 +1443129711 +1317523039 +103520238 +102267958 +673849231 +1046670660 +843445319 +1284017223 +824348576 +1263582232 +897473797 +803400765 +1843103899 +1071273518 +50646148 +1538446185 +1833024074 +95629758 +716485323 +2036206621 +756369093 +1926505904 +2084977634 +1967898183 +89237110 +218298146 +1210807703 +47665810 +320119428 +664856080 +1715005560 +1763249139 +1982379120 +1818525798 +1865517097 +508744703 +717712811 +561478768 +1792761926 +1542061387 +1825061000 +542752076 +197978505 +1520681251 +1614025594 +248624653 +911643788 +1299566020 +344254411 +1628129111 +1188288993 +1100623504 +1407151367 +1125782979 +921038040 +1496388478 +1344081125 +2131845743 +1544054288 +1664200553 +649218175 +1111576200 +1279966044 +484113647 +782618351 +997999493 +992858350 +1500331162 +1559478261 +638136629 +894908901 +1237055613 +1180888705 +1092887406 +610253217 +647430651 +1341512060 +1521897005 +1946996671 +1685766471 +1002542469 +987802016 +638906328 +262210188 +2113584995 +1559944368 +1758598666 +1310182473 +1544306463 +1155169306 +826899378 +46040990 +119261859 +2106865423 +530154638 +901880210 +957381268 +1523012988 +254727724 +369375882 +13665969 +1149636625 +1606431495 +1194554674 +95040384 +69201064 +1841985325 +1436552444 +1591098070 +1641498348 +974835267 +446156891 +481816716 +1613741595 +708367079 +447918064 +1026202315 +319482098 +1758100537 +423025130 +1474651404 +437516267 +469066121 +1593913263 +396898042 +999220759 +348309825 +1354279311 +374750099 +603037549 +1723655193 +388416069 +1752674175 +1182603040 +1582970743 +1847714559 +1251804105 +1277472421 +1136783355 +695418527 +771487121 +2111618622 +1141575418 +1253303838 +1577876570 +1849942497 +1701221902 +456595237 +21940947 +1311838791 +879620368 +1496592352 +1749355058 +1348686489 +943021967 +2146253101 +200423600 +1291331793 +1353048764 +575173699 +1894369342 +929220309 +963589768 +1499559869 +2111823349 +399076864 +1199790780 +1216143806 +1676549285 +189090487 +1911562333 +300552758 +153225462 +905654103 +1553856596 +1731102032 +608112953 +1107594850 +40213621 +630053900 +271949993 +919833989 +2126646252 +2021305052 +121036830 +922184572 +2020074505 +321460430 +66032717 +1225639621 +896634130 +1960402059 +7376282 +1860223898 +1312478281 +2119199631 +111817114 +364785413 +1187859790 +1788366399 +553875901 +951938475 +2088919158 +707101363 +1857592579 +1495292106 +290719747 +318221884 +455403309 +330933368 +948275784 +727353302 +1250767358 +927438389 +601174706 +1371804188 +1849622961 +473765563 +1693264619 +1915655678 +1699405184 +442415101 +1728574089 +1706781466 +155155351 +893568722 +1678497450 +266972466 +1258354136 +718873592 +2055338865 +1812230037 +1670812067 +1996774375 +371847752 +1380920998 +1344582834 +662567499 +1699142882 +1799986143 +993500867 +499935019 +379855797 +96784577 +1427373408 +981030504 +1468588766 +1129512721 +1454796067 +1014369737 +897684751 +1006717604 +1456784838 +478775192 +566015422 +1611940189 +1372343915 +97029224 +1878912655 +483214403 +815902816 +1786767873 +147960792 +339231236 +1636058600 +519808544 +1720152234 +833157786 +1182376043 +1271811469 +485660281 +28393262 +1771746488 +865516079 +125177840 +1051636248 +1846546583 +1593766606 +33665321 +1153859002 +460652695 +931350072 +13092958 +1917437533 +1410125264 +579108381 +1381894074 +634985531 +676137605 +1113323082 +1118199934 +1492040422 +752607307 +1266160726 +1831271658 +241182259 +1785969270 +1403940244 +1074340046 +820861665 +528268065 +1560000327 +849254928 +152530905 +278032758 +974432768 +1204167153 +2124579341 +420715726 +1237832474 +1130954696 +881368421 +21698898 +1144047654 +651322306 +1431824163 +1723156035 +2033216380 +2066809694 +251809993 +999055814 +1037525981 +1743850415 +1751663121 +156203059 +1427638425 +1992845381 +1942172330 +684095021 +919701779 +615550347 +1212363087 +332218458 +1464805275 +1364893992 +610251217 +291754395 +421577498 +587346910 +712470121 +1659409972 +1718301606 +1593838542 +1681108871 +714865613 +97677200 +965449386 +290538000 +2130893581 +884775432 +542347993 +982465747 +1922301413 +138714760 +586645221 +2078504473 +1566353185 +432006954 +1873193155 +102964559 +1351708733 +341259854 +1315327646 +1683927191 +1806065130 +532737990 +146694760 +2097819525 +954315488 +734041671 +662805999 +466241813 +304859629 +109160893 +2147350684 +1019725242 +206838094 +965316422 +1310263243 +190248027 +1850091854 +1852611236 +1172713774 +1624909620 +1991325997 +1759358995 +1555930445 +1410195534 +43882301 +1281639952 +1513160093 +1395591034 +1622899806 +681004091 +932034578 +1281481288 +1213742082 +1078729338 +1231817166 +20573922 +1812771009 +1894623165 +486815735 +2117630639 +2003784058 +486682771 +989872233 +63138504 +1451999193 +152651828 +253386531 +1154607400 +2005263065 +1426100306 +632033372 +1849105414 +1037975653 +40480169 +1111817300 +1081857955 +1322120121 +477493746 +329965341 +797536279 +1158497837 +1261999919 +2079017568 +224756271 +193245610 +1163351086 +245330194 +2006016619 +910490603 +732145929 +1976163610 +766791013 +1218828701 +818552196 +829929518 +523344246 +971204024 +1083316049 +1677951646 +828983441 +361932707 +162501370 +530605207 +1399908361 +202981539 +1642422508 +334282668 +1525101660 +2119916254 +664248009 +175154292 +1130930443 +1926247929 +106688212 +1355686715 +2119493539 +1270039298 +1601016909 +1978026510 +33046253 +185679190 +1806706473 +799837266 +1404507891 +477775021 +1629766784 +1927852138 +1448979045 +565599186 +1458320136 +130478839 +927531893 +1620821507 +661084046 +179956606 +1823803046 +156022906 +514239274 +1201421059 +128455512 +1178487284 +1376575351 +1259385956 +957251565 +1483263563 +467589023 +929261456 +605819213 +2068605932 +759804318 +638865466 +106801474 +419027143 +1438702732 +1511309366 +896802164 +920985869 +1291677856 +198297562 +1486585055 +602514344 +328776401 +266633300 +75852203 +989860447 +446589907 +1899655250 +1145883354 +960829181 +953592661 +1274338866 +2139316465 +182684364 +386241174 +949084382 +1665947927 +853830197 +1878345838 +124283492 +774952481 +490666509 +763148958 +881753956 +909693652 +54368042 +245579674 +1806495817 +975353911 +1537257530 +2004793379 +314455318 +2139771874 +186086132 +581088619 +68140430 +1175946579 +1027678526 +1967795680 +174346285 +1988507707 +773904693 +1448685152 +1980340525 +956589057 +1834926326 +781941259 +475053336 +541272876 +512803450 +599336828 +1316225357 +1003469959 +1362485786 +50495665 +1913163611 +1416853828 +296075339 +1572175780 +244724092 +1833332869 +1429485511 +559179410 +1825621096 +1615571643 +1140268029 +1893761526 +644034575 +20462907 +1714073558 +818380860 +2008970615 +340494603 +119582364 +1841827492 +1297083660 +1954508691 +476285103 +1772136996 +348297919 +989088553 +223990176 +1664523276 +1992558512 +1586475962 +1715018942 +1758238476 +855846142 +2011094281 +1182930608 +1100570234 +1696943503 +464932472 +1659749645 +1375080951 +2080504115 +652534026 +1121358829 +577055042 +672996934 +687948739 +1395435903 +534483901 +1028443342 +1515018267 +228827745 +178043354 +1322043310 +705112848 +1950180350 +1670341229 +1694201402 +26686878 +1187380858 +1539276266 +1613162840 +754916152 +1150031094 +321525334 +618526785 +185478055 +1422095569 +167986640 +650410527 +934361566 +1543067591 +583430994 +1586895592 +516942772 +1160486037 +112408878 +1204891511 +408438292 +646892779 +85851205 +1923456559 +875720524 +263894559 +1098016222 +1580833373 +66591261 +620873803 +1127551127 +93278139 +1808254661 +519343745 +1706440979 +415687165 +1669374840 +2027966314 +1034213951 +1854852895 +1302578235 +1202200591 +357779774 +89456153 +597784535 +941210768 +1676351745 +1114727307 +2101696805 +1788760624 +172135171 +362651449 +288169755 +257986376 +138624361 +1163890280 +521880936 +1236640583 +597240005 +588472197 +1857514386 +1724791132 +681750337 +1518285400 +96651229 +240707668 +1933972565 +1766026069 +121190334 +820702868 +1473395316 +1423768569 +2022903460 +1831175090 +1513224722 +473204347 +624902211 +1042092820 +1587931654 +579115368 +683369796 +1760066825 +941766818 +971539551 +2018053202 +1080391179 +2135429831 +392450490 +169548114 +585186188 +980922687 +2027062500 +162493672 +1662673024 +1397864252 +259144902 +1903380693 +1184353170 +2025170971 +2024571027 +2005056038 +1351082640 +1300855949 +1880475850 +1034774082 +666597023 +206196549 +1659676293 +1708689843 +1794128204 +91308014 +244575991 +1406711381 +1033074832 +1216115543 +1277280935 +2113466011 +1204061726 +1669731425 +135530477 +1789247915 +503170465 +15109329 +1951741587 +18359841 +1412973582 +63402841 +1921740534 +449843104 +2088573813 +1798827914 +307415494 +1292172805 +952200215 +40407697 +179463239 +1618797238 +246604246 +1839139533 +1180003434 +2040732450 +1930447547 +1424579425 +1299960184 +816038731 +493211320 +429757471 +782021094 +1697273047 +2099488897 +917551571 +1339037314 +455175714 +932660900 +1143295253 +473535555 +198150834 +1206698095 +247792442 +647993938 +1147788260 +2046620356 +955409433 +292477417 +851336923 +995817130 +471940656 +322650513 +1242421376 +163596541 +1502653947 +1135670179 +2094044088 +779749725 +288146715 +762599171 +1272961045 +717904186 +1544620265 +822750444 +669909435 +314688188 +14304110 +1125085149 +1247349089 +1157599364 +1598620705 +1445499923 +216813811 +1846413147 +2093493862 +1364602071 +1745549855 +901419647 +1657079488 +449403130 +1897236777 +2129020144 +772053643 +992174505 +145133038 +127223943 +2127844684 +91693478 +906973668 +268507751 +854292650 +32451065 +986411938 +251429267 +855201510 +1656321373 +566117456 +869505620 +633922875 +1813466545 +2027104984 +85059932 +1111482820 +96435147 +1931473079 +1057493034 +1461037218 +1529539286 +1958912681 +970633058 +1978942416 +1708665810 +952169555 +603512411 +553356668 +1097302593 +730736354 +533717704 +1188996071 +1637710022 +802225456 +2043288721 +1670161088 +1788637394 +147234341 +377878950 +1297475119 +713351797 +1247384570 +1931397994 +379334694 +1127005907 +2016457926 +1490817514 +1223441054 +1800447357 +400826901 +536994625 +1182502995 +212255934 +1507627683 +1013961763 +1920921745 +312313590 +1617474175 +326794765 +1409616183 +200726881 +860512469 +451128607 +1838436904 +1662737925 +346933680 +1361114344 +1303891671 +494168021 +1738993294 +453883143 +1207519818 +838894216 +237797489 +1586854512 +1965900123 +106771768 +930188379 +1041857530 +1907219125 +1331015280 +1578852155 +942238473 +1543271214 +938996190 +1956200236 +1316709311 +1251309781 +1426190763 +1643504076 +513442316 +1626917645 +356532898 +964570923 +1317870901 +2019270823 +1311504604 +531501597 +1175678847 +1805672625 +123011243 +1629561990 +865708796 +961905459 +1867359479 +305079660 +780321935 +1974131247 +1235268039 +1822179465 +1733866725 +418799671 +1253547972 +528621550 +1962070886 +45060514 +337338138 +1131296549 +1296370295 +1763528902 +627316978 +1809812612 +1242962899 +983849876 +626899887 +413350152 +855637051 +1938404491 +944851749 +2031315898 +1596593469 +1067862992 +1513394240 +314818617 +2029768451 +1233270072 +619898277 +662606738 +1059917671 +1855166317 +337302555 +646300748 +126482340 +1590850527 +1174922298 +2088553226 +1635911042 +1512260437 +1072366128 +784797689 +1128305691 +1699683106 +447126653 +223784942 +536049334 +1074026541 +637135094 +1391686385 +864947384 +1581986843 +1275518636 +314057205 +502366187 +641429228 +628875822 +384650990 +1874699300 +1248774100 +1047257729 +787133324 +956456769 +1384560284 +1433434072 +1082939109 +827927164 +460872723 +1024008688 +316354558 +1973133160 +2096374816 +1101152247 +953955203 +1648574274 +1548278901 +1177740145 +37139960 +474821794 +1814875239 +1428826345 +1339769178 +1249378434 +556861333 +1653826384 +1751744621 +1198290562 +135218558 +2136395611 +925506214 +1383992658 +1036169692 +1712639538 +192965779 +273246329 +998589963 +1275904889 +1101173493 +1459462686 +152429929 +1417528051 +1285112198 +101321097 +371196650 +91583753 +1749895371 +1919475551 +1269323898 +1787035331 +246813697 +936715489 +1068378028 +1586582876 +38610275 +1625239362 +1092925612 +1790354896 +676046276 +1228144170 +1779266859 +1601552490 +464653181 +667952904 +1166708381 +657618960 +941199233 +17814696 +1933523849 +2042372726 +1477277382 +2085953778 +1312417129 +614905932 +39791227 +1683613779 +706489685 +1789686598 +1455605683 +1975813583 +1429238281 +1702419380 +765045424 +350132662 +1141518608 +803655699 +1975372024 +86960572 +446526947 +503934652 +1315104743 +78310158 +2105487142 +1779757924 +746263062 +1124711875 +289893236 +1687462295 +1142526571 +75933438 +1582351373 +472320305 +14403568 +747284854 +1087226237 +54194796 +283414986 +1793715922 +1843881394 +1739020669 +1622045857 +1125636028 +1293956401 +239607633 +1475768690 +287991362 +1043263332 +1303657066 +374951934 +1489790279 +1807591718 +1690056677 +1568100438 +1765595212 +1322330953 +166879852 +742823440 +1612224190 +1854342148 +1885350011 +1688157628 +1289209873 +210186669 +1702561196 +2036494728 +1297412906 +1756755992 +172426066 +943645181 +1453153739 +1911446735 +418207390 +431306119 +1057919488 +657815024 +1907074809 +1345910850 +1701078356 +1063248227 +1720862785 +1043384988 +723356297 +1263435814 +464001778 +341467861 +438283120 +630881630 +1084291301 +2050507310 +337740130 +822157665 +1591181290 +1626950004 +1032344334 +1146258838 +1515961084 +182273592 +755531183 +1688387150 +1125918773 +61201274 +1452350237 +1544126164 +492507393 +362786077 +54457540 +252098554 +1708696928 +1755535896 +1315346781 +1282076065 +651437236 +2038703078 +398028231 +1115439014 +232687291 +836311351 +1746320645 +1316978593 +739335013 +2084060775 +2139136258 +183032655 +1563527131 +1023996944 +1329291494 +932004567 +1206270536 +2084822677 +472908069 +184705662 +2146023951 +1925258306 +1728831826 +491047696 +140560736 +1783289366 +743146250 +1849257664 +1391341614 +2058493031 +983850081 +2042778851 +1949712461 +1381878312 +1010734217 +34916104 +70706016 +609571214 +1351894697 +810041029 +546148342 +1343547307 +993073685 +2109675473 +220060603 +174881531 +894196393 +1426331140 +112220560 +1367104462 +1611036802 +110760863 +1144879121 +1192384980 +601808559 +1285439857 +828190698 +1344954809 +987213873 +72048664 +1255964192 +1971063954 +2114827515 +1058193005 +1205458618 +978078085 +1093109109 +1276164634 +1587649299 +297520159 +2086205664 +2133797641 +1641067466 +931795701 +2095989467 +1861128070 +1106677232 +842702212 +1139975562 +1218897792 +62323026 +603528716 +1329658655 +1207202147 +1795913696 +1931467214 +345158356 +476620746 +1128938375 +1332372229 +548669410 +237418919 +1155952535 +516013278 +1295611924 +213927506 +1494091363 +241237385 +1490092140 +934257014 +538757544 +1428814156 +920571008 +32341363 +213126209 +869076827 +1893469433 +1319803441 +1711779039 +885961347 +391217585 +1774102065 +1489490063 +1720876240 +833820565 +1137920111 +1504859806 +1178978921 +1614540857 +486314533 +363867503 +15726619 +723733452 +1519820038 +531739897 +2019345376 +1733747544 +2025831260 +113099114 +1076356037 +812604627 +651856658 +357686545 +1733175635 +684198021 +570812755 +454768814 +430183806 +1890616196 +19064205 +1316145153 +134350134 +1793166270 +658151568 +1855226374 +479503187 +1796071679 +1212602533 +1658482109 +1263128888 +1698917066 +2022349612 +1278855508 +275166871 +1394686002 +1810595405 +147028599 +980949899 +1688943018 +260127713 +2057305936 +354063997 +911984372 +267508833 +2087239632 +1596182393 +838321588 +394524798 +2026366200 +581454137 +413589003 +1195027705 +715804271 +59271625 +1853179274 +423546997 +538774813 +1501767305 +1636149530 +49773274 +617412546 +1187582949 +2072122886 +1896268054 +1462749820 +1319325240 +1559379811 +1609778419 +152791491 +1100839181 +1869906133 +62613779 +1454903178 +634406857 +330122613 +1394659162 +83105602 +1168444201 +1789183960 +2109471802 +1749898338 +55289315 +1157015860 +318218961 +114560941 +862711486 +741765959 +653335754 +216995143 +230431841 +703109028 +834407689 +1418014790 +627748266 +583192095 +733280962 +1947073506 +2142571907 +195575734 +2099864998 +1095927440 +2065481867 +14995129 +403346971 +552405076 +345117742 +1798006133 +635510678 +1513561944 +1439706446 +597498833 +1115976634 +1494995761 +1754514693 +1434195596 +1609556702 +469742531 +28477907 +115408808 +686737674 +258909748 +818517836 +1521145364 +1676924539 +1446266102 +2104337459 +262721853 +1245855961 +2099425718 +458297587 +1198237311 +1047869511 +376295806 +1213232440 +1451216482 +928700882 +1558350183 +1101738967 +1564211561 +924428479 +393961765 +14226746 +2040405113 +1888957527 +1768741439 +1327117061 +1351030581 +91000322 +1355594968 +1466439390 +777737996 +1614504717 +137473578 +151399712 +1143945608 +1583739681 +108253524 +1406667461 +682111994 +60195594 +1864965049 +1880349305 +1108065105 +93777207 +946098097 +411797939 +1022478090 +356964632 +1513536907 +439206003 +1281393111 +1907498672 +453432749 +1174314577 +1648972551 +74690540 +353947990 +852519485 +165690862 +1709542959 +171475227 +943428858 +1176564028 +308948805 +1094828571 +173025988 +1892688486 +1203082095 +1579693449 +427316832 +1263277689 +1297174850 +160182489 +223859147 +1390952058 +1106280587 +635657086 +265946500 +1463245219 +1710345 +705152503 +597154683 +1909209018 +1158585252 +1771469260 +1410697921 +1233275792 +2125417250 +115733758 +1398966654 +1687476561 +287208985 +194911864 +716556941 +596157791 +1289740435 +889582929 +341362629 +345338882 +321792731 +768679462 +1608616572 +1618967581 +928861951 +1832475719 +862435991 +2035142538 +320649157 +1128382491 +1350904110 +322359503 +1833534994 +1948058793 +84084873 +844636598 +1572044405 +1494782794 +2077912390 +1549978007 +1610516553 +1329395396 +1089970921 +1897725538 +1524307261 +1806527862 +346399681 +666564048 +548627144 +687762311 +1011902931 +870419875 +1456441773 +473035855 +341903808 +237820076 +158027926 +1204339800 +125478967 +478677083 +185238643 +1476383077 +801036586 +2018773638 +1276958222 +885121459 +715926588 +701518979 +232420606 +646355331 +104013338 +1842937159 +1975750727 +1193984259 +1593179049 +1352574340 +853028474 +1939578731 +2019138389 +1401655618 +479857394 +883557672 +124591845 +1936299167 +1356593527 +466495653 +26635595 +1514621453 +1670835453 +152114562 +1993298536 +1856074097 +1628497639 +646851475 +1727364087 +757972213 +1531972934 +295807027 +1459491192 +1764393540 +942162358 +1563504531 +1459847051 +770429438 +610005142 +905542453 +2123003778 +1463033616 +697637536 +1994658519 +717205586 +1177494930 +730732543 +841797431 +966310449 +2087326070 +1308293085 +992946044 +1454463875 +831644890 +1145060607 +1300278764 +540235339 +626074598 +1947130239 +120115778 +1384046812 +1331619525 +415922806 +696054356 +948529418 +1358085164 +112075239 +260892821 +2128514602 +722080382 +1166435274 +2104034733 +37630350 +1864072810 +1951209604 +754835937 +894084092 +534458500 +1596633368 +1860394541 +474300922 +757442805 +705856938 +1928764798 +1589087696 +1850917545 +1081559914 +2129323035 +329508495 +881206505 +101955166 +1713555307 +65342382 +517877972 +262126016 +1013871800 +1875963136 +374201255 +1274764622 +1856994091 +1096281637 +293716248 +1813545176 +1133911988 +10305411 +1617271132 +1888747925 +904389503 +4245984 +1337897645 +617300397 +478546907 +2095340451 +1323157335 +259828057 +1536944499 +1026591232 +1341387971 +1518783886 +1356099727 +75110828 +1620739052 +922171387 +140453210 +2138617024 +1184297403 +1154325011 +1867096513 +1558498658 +281605985 +1576606956 +507296648 +575322233 +1242668484 +1641208636 +585627644 +712455968 +1382472913 +1490017148 +716701953 +572886910 +2107317545 +1195248860 +520743713 +1282991232 +1455076917 +2057688212 +162098816 +648981240 +1428988451 +1518198543 +724092068 +902243855 +292886282 +864545278 +893377232 +1477183685 +2018870289 +612990097 +888198696 +152992626 +42113405 +1395495344 +728314860 +1284781889 +889220332 +1313942504 +1997237857 +124209597 +656476004 +566456162 +697096507 +616309901 +1761705022 +1217840221 +1899301133 +1069298291 +1128044785 +2061399949 +1718279531 +409549588 +1432114845 +294887951 +1311793444 +1725001127 +1159433230 +57687028 +1054701165 +1030819871 +670677125 +1942899861 +1183812498 +712790530 +1190911557 +1912127358 +1997572419 +2080131889 +1078586214 +1847326628 +56857838 +1735062219 +266299143 +753954345 +203888472 +2028004165 +1971794566 +2103189606 +949818809 +952355704 +2017105907 +520614692 +1361905292 +1301737104 +815502644 +526215088 +879254584 +1974935874 +583902116 +1933955749 +858272097 +1254579241 +1729371962 +2042084595 +1967369771 +772799871 +1806728305 +1817458542 +705448112 +737830872 +1517301523 +762305950 +325409443 +1783600666 +1516260295 +529297915 +1664121183 +1340571214 +485003873 +466456344 +145443270 +354626133 +987071037 +1507348562 +1656363237 +1802573681 +2033563651 +388134173 +1630025907 +469982119 +174606274 +340814356 +1724561361 +1903978236 +235415304 +1544447484 +529294459 +2042143609 +1214422379 +1234742571 +632490833 +584240254 +1997048521 +957900276 +220357272 +1365825169 +1487198192 +1884478455 +558912735 +1972202065 +203451152 +704356005 +179344550 +1190522189 +64220919 +1835707788 +845612222 +2097784570 +76358313 +328154481 +420283042 +250964588 +668968837 +2144844403 +7459176 +904384141 +1541808239 +536753636 +799044103 +608746970 +1771496207 +1431534936 +1192987224 +1621061081 +241951565 +1413344496 +839402602 +1729149757 +1150339304 +1398315337 +1553868174 +1353790456 +2102671342 +1733212725 +396828997 +19408613 +1421436865 +1242441219 +2117193184 +1497795178 +1570595700 +389992578 +1748759766 +92080889 +387353333 +1756218943 +996465031 +1929161572 +145488931 +1795509134 +390424895 +1916985138 +1079560422 +1583412119 +1390562571 +1321511987 +849272968 +82481525 +903178096 +1999612272 +1480796862 +309562623 +1205919080 +1435984556 +2042775348 +1602748077 +1455393170 +1316728565 +697705648 +1425102706 +667040095 +120817700 +1815095284 +268316214 +212898589 +54964969 +2024535157 +1209363620 +1984126541 +22540440 +857389106 +227067788 +1939525578 +1936949529 +1810479908 +1182604502 +1110977868 +512269228 +1265086027 +2014155965 +364397852 +598399242 +176234940 +1570316932 +2034383798 +71526640 +1025581361 +1342293320 +1388255205 +1723287009 +619912378 +2055295300 +1844104709 +287524014 +176127866 +2057003298 +342488983 +53179375 +1118883271 +179131877 +75719815 +1976272377 +406199665 +2015245394 +1765738258 +69195925 +1050366248 +729232479 +581465153 +167968627 +595904796 +945863005 +766367869 +772139736 +368696289 +653268020 +843666376 +1394277650 +1995561340 +84437933 +970081011 +467990071 +2139733233 +666702072 +755514085 +168377452 +576221723 +1098003069 +221556827 +1695104994 +1277134946 +297276643 +1523893723 +1683334611 +165038389 +1142148334 +1752530537 +1215404637 +1871380813 +186512042 +1383373264 +319801961 +1132375048 +2257486 +1091941697 +1501071337 +655525506 +1935608073 +747865340 +503603198 +2020046006 +1717946351 +971593269 +2012295591 +237164776 +1727107355 +33189395 +813386499 +677626776 +254746223 +361007845 +1954761722 +552022866 +1884901568 +1490612685 +717061255 +879566254 +1095659574 +1932465892 +603463419 +1282171617 +1168355508 +923265380 +267063017 +1170612994 +2015207077 +1768134354 +1826138500 +1803331502 +368516046 +182258051 +1675893860 +2086462398 +1153851320 +1540705804 +176143526 +733475027 +1573895199 +989530025 +1411101803 +1828641422 +1350537870 +1218379877 +233180640 +1087955790 +561508915 +950241895 +1967522045 +1657168489 +735224139 +423501816 +791856458 +1903579648 +1346767197 +1058919475 +926708994 +1214490626 +679570182 +605363847 +870338481 +1048086228 +787621898 +398748693 +987064978 +1941473218 +1939454497 +1163208504 +527464598 +1365866049 +5254881 +1938566401 +1047023823 +1355792751 +1009462631 +1280204464 +296264894 +1570971546 +82962711 +116303291 +1080656387 +818186851 +539805107 +1872512846 +574282851 +1886572304 +783948673 +1500991845 +953579283 +1463518855 +2106355692 +1823917764 +364121436 +746493942 +75182809 +1351186414 +540483513 +2014637307 +366911271 +1067948111 +1233019708 +372166152 +859030864 +132559883 +1727958904 +1868493495 +1412764347 +2024223798 +1291981393 +1495727059 +2140527089 +225154133 +166430262 +532848548 +2097666979 +740713113 +271937205 +734132004 +94221310 +1225516488 +50167212 +53093355 +901950604 +414288648 +799587297 +977133413 +1765475062 +1340070810 +844287072 +2132386333 +260535273 +2077306780 +357068838 +1119566138 +62383016 +2085027742 +840575985 +1475147363 +1961767892 +2132557379 +823390774 +1954811333 +210227864 +989821036 +340176233 +160411195 +1730534149 +612113438 +894543199 +1824755460 +1837629926 +944710411 +1877848815 +592096882 +1358999059 +529952464 +1569230296 +976990474 +1870023275 +266033720 +961893159 +2130558548 +195856853 +1318961997 +1102641038 +258239869 +1256506091 +1943217024 +1733387232 +1070790335 +1928290755 +409294359 +878118020 +2138518619 +1399115395 +1218294254 +151446166 +982165897 +1830407692 +1045989365 +659437709 +1520553971 +1990699777 +389802876 +2112650853 +1202215188 +919755340 +1534397501 +31722014 +642294967 +1800431222 +993615174 +625369868 +1996288075 +165093523 +1728010906 +107044296 +1421599615 +1523744282 +1840431528 +344906302 +1304551389 +102242239 +1223024323 +1295586360 +1501357635 +293834929 +1447032526 +336039884 +2124242621 +345538244 +995477593 +1497312944 +188754373 +1385280469 +1462480150 +1390969561 +157552161 +849394003 +1422691576 +799847129 +502341577 +268823102 +1425216997 +351146004 +433916625 +1005744255 +458190300 +1855516240 +382004890 +151138181 +52938895 +1686556279 +253380420 +1275963218 +834658992 +1754738055 +1569798147 +134207870 +2090777939 +1546557120 +479746114 +938771884 +896386417 +668500487 +176568705 +211382919 +2059470049 +334120867 +1060776922 +1334677977 +1133967996 +1563118500 +1603501079 +411701345 +1914264504 +2037417704 +1417445600 +224971157 +1745450297 +1799450490 +376109338 +1798389192 +1338523122 +629489758 +926868762 +25698466 +236744166 +349183261 +159906336 +180038457 +1895740381 +639652451 +1118810342 +644643150 +1308152938 +1295379047 +856026069 +1220139339 +1629499914 +1916802992 +407333668 +615984262 +1332437844 +2010834747 +1027685607 +1099218700 +1900768804 +297647560 +1324189857 +1498735453 +2097098050 +1700299195 +1149640997 +1288137524 +182305306 +2076509759 +1313835990 +419049472 +278209372 +1473742327 +599087929 +26466105 +2113394778 +1717898271 +671109256 +1274064068 +865793671 +1527135325 +346719760 +347809937 +1296454669 +754053428 +963794200 +481408865 +617404528 +1991479807 +1580627566 +370689684 +141643719 +757333775 +1869425137 +91258122 +310149323 +871582486 +1379395646 +492454629 +800608597 +545747989 +911504101 +1078817969 +2019490316 +1510592030 +1105284074 +1985401446 +1081006654 +1776393330 +1111981866 +1946800325 +1156045008 +1458701626 +147126614 +305016029 +65271407 +1110920814 +786424895 +682675935 +954916974 +219568813 +1053365619 +1096560693 +976902588 +775307108 +1187818815 +1287051911 +1646889594 +419730814 +1779506540 +300014543 +965478803 +543526993 +1378832512 +837485471 +2054119024 +336632938 +675403269 +987642030 +2113026269 +1787385135 +786958707 +1121587629 +1098603114 +934085321 +1426603658 +1163874521 +2045006136 +65544905 +1846550456 +852439462 +285113718 +752432427 +1949000155 +1262016307 +1527739535 +989335323 +401584570 +1027145481 +1409066137 +33607463 +1327160024 +227061292 +577134456 +558508888 +1064546763 +483769832 +895141826 +1739950032 +1471411862 +860684447 +1379851519 +110886921 +1982272076 +330970985 +1044972243 +1261392087 +1494845506 +942494731 +1326936992 +1193912314 +1794934193 +1612050711 +1946344741 +1596450700 +726583370 +1326600628 +438302375 +1128167940 +206262461 +1847368512 +1161775403 +1533422485 +2074429804 +1738909860 +2091931373 +991492919 +75196044 +839589552 +583959303 +1546607907 +1700273999 +1963810823 +1657494828 +1535062428 +147298160 +554983423 +648970867 +1642143667 +1497478154 +1975907859 +688572333 +1144928699 +1440474922 +487433427 +593895752 +19574644 +1814034055 +1032198127 +1147742585 +2020296517 +732082992 +162034340 +1406235354 +659029148 +1900944200 +1350683080 +1650522068 +1976140245 +42788984 +86997723 +1375264504 +1743062983 +2050808546 +885275684 +1130641763 +50623059 +1440259108 +1779612630 +1692766726 +790253614 +1608036842 +233855411 +1935182314 +901028116 +721288838 +381594418 +920602761 +387839246 +1413792545 +2068345346 +260652115 +2145875537 +82896038 +1666887469 +657421038 +1983840239 +870086901 +160459458 +1812496836 +912875885 +247457181 +1040277692 +508455221 +150782080 +1925553376 +1639096984 +201405139 +1218328836 +1271225967 +1894171865 +2008582451 +731779161 +2128027276 +1796281117 +1632807277 +701832467 +30391887 +405926390 +1089671713 +1444184432 +326788088 +1350323828 +1442576322 +409684127 +869727649 +2099997360 +246040718 +1739814551 +112973170 +2058537554 +505206788 +360430351 +951331598 +1013662009 +511212431 +729401326 +505275346 +712617570 +1947730163 +1776501313 +459305787 +1808828966 +360796826 +439849416 +1457626435 +1993604103 +1141681883 +1488018322 +252046846 +83869948 +784719106 +578834934 +1434193776 +79811780 +988519061 +156437777 +32325492 +1234559779 +1896252328 +145298662 +1145613685 +253975469 +505729014 +2096945283 +1267637478 +1016941445 +678862962 +1772912824 +1729559016 +479109477 +1401930489 +41381155 +140454795 +1762727315 +481230571 +1598081230 +1608847771 +1622912454 +938615904 +1860894617 +1706782402 +1723335010 +292245903 +993492530 +1803146791 +1280764965 +1149930308 +1835472283 +367841096 +898698988 +1980770946 +1513454782 +1152674457 +339016312 +1462916417 +272828288 +1355957757 +2141779379 +2045741112 +938033125 +473405208 +1300187954 +979414281 +613860003 +915431621 +1460644852 +64457585 +376795744 +936073659 +1003073489 +90206713 +495372413 +578924852 +382452617 +1488864944 +234587995 +1663217582 +491311604 +2070060278 +2031058678 +1390010592 +1903347576 +1397029812 +395201402 +94880240 +712462582 +668029690 +1450837998 +706758313 +566287154 +241387475 +1180163522 +1866475108 +1220801756 +1794023525 +634423082 +533962961 +1858481111 +1011218826 +1470036620 +714070952 +1101425540 +1965409033 +1292995804 +1483878157 +1306790329 +1527583799 +999612091 +1798101933 +1450160430 +883187121 +1040628878 +1206024358 +132733286 +1435830280 +1300904599 +845195868 +2103859970 +604258949 +1551954181 +522663476 +845646424 +584634055 +241654937 +2066448181 +231173933 +876078019 +452927494 +2089655044 +1887296845 +1922964114 +656242348 +841238737 +1740889499 +1949238153 +177633246 +900196181 +1329338304 +1177245337 +550814466 +632015086 +2060432459 +1591443344 +1838039445 +45682097 +879789976 +991460396 +890877965 +836166298 +1595719345 +295348498 +1358829775 +293882121 +879982554 +1600484712 +212846654 +1111156487 +329079083 +665774148 +1053327883 +68892280 +441254614 +1709570231 +910131018 +34660466 +1511324736 +1087764264 +934856647 +693179393 +117525954 +1485671113 +1325194479 +30474765 +929630810 +1015750276 +76156862 +1809420786 +2007210672 +967034827 +498103437 +1455446369 +1262383325 +1856933212 +1749328491 +2142365879 +1309934276 +1962175145 +1106038718 +1639013359 +480465646 +11882953 +1707905639 +921720260 +1721453185 +470553009 +956380726 +1085294273 +1558317274 +1891237373 +1778473666 +1675843228 +1229424839 +956184498 +1706317993 +11572001 +1971934774 +1782474855 +1820992787 +1831661799 +602026034 +171612576 +1139624520 +1864409359 +2028545788 +741469363 +1859291591 +1190996416 +556160861 +817846661 +682526127 +1036626507 +829729615 +242948119 +1958346767 +403699152 +713501128 +767243846 +1488993425 +124334754 +510997571 +1119983444 +1800177982 +1740422410 +2076167942 +1359012327 +1751994411 +1900619068 +994003534 +1425503551 +1584797219 +1596029568 +1597116127 +576938092 +1312955280 +1478178268 +1318407455 +1024763223 +521691036 +1874568316 +1842609884 +1204217164 +763711175 +524855851 +1447165283 +574574295 +928555003 +13182763 +1341818141 +270064781 +137517518 +1852815712 +1390048225 +1937695500 +1445754475 +1318732519 +1149224180 +1050265238 +1071867939 +2143227714 +328285141 +509181511 +1591773635 +1925401269 +1086119603 +757245267 +1256095889 +257043410 +1782008490 +1777786925 +2131611727 +1477134726 +834520441 +747839254 +2001990578 +134202076 +1322413549 +783061933 +147384840 +516748042 +1053126714 +284902358 +222080107 +295691291 +75114210 +1667834582 +1614423810 +1224338390 +570616172 +538808102 +1220082457 +898901314 +1047989613 +664372444 +676818935 +2134109216 +1421617711 +1932914824 +243668978 +1056142553 +1563218101 +227797057 +385793631 +250254895 +975636312 +240300561 +384456971 +150566213 +1023362495 +531841811 +667314256 +2076489209 +816744169 +889394363 +224696853 +891858380 +409745297 +1839120663 +2116196770 +980361469 +230445117 +1188795579 +1879262783 +1278434730 +1853168023 +408598070 +1265060298 +1127302086 +194029246 +1508729277 +35960991 +1757247348 +1736526334 +421754623 +2007502243 +564678998 +662055184 +244475566 +715245212 +1685417679 +776317378 +1382559468 +1614423241 +1593061547 +124470183 +1839120094 +337436279 +534215480 +1530757109 +306149402 +1514576949 +1761202227 +1494944981 +1246356085 +892153309 +1200629357 +1654954155 +9729960 +180447795 +1848983402 +1518459237 +216408787 +1458747102 +1107501923 +638163410 +1318765697 +1672180922 +1300218594 +1563241263 +239942486 +838152626 +192074993 +1622501954 +305092219 +1785136541 +1746972137 +2144212313 +2122572820 +133703969 +1527485774 +281238574 +1648280918 +1141204353 +1776183556 +747153355 +2033357663 +829329265 +254623863 +2043087623 +1009777060 +2103607265 +1414063212 +1226185847 +1414870719 +374081487 +1864349257 +586152768 +2046262409 +1017084204 +1910383 +138721247 +1855236830 +193985377 +1761223201 +12845401 +1979121918 +1360711690 +9574066 +1954211090 +1494415659 +1537059840 +87966017 +995212930 +530780546 +1864149573 +1742366285 +416654561 +545995190 +1996990148 +312258536 +1555772250 +1953113765 +1726321748 +634474450 +1220500836 +2100403235 +351340059 +1806653604 +1999181997 +1368424263 +1808563988 +2137903244 +1076177445 +2002549365 +1751642798 +1089022846 +1834187635 +964870840 +1098596912 +1640915077 +311802852 +488173105 +1728881094 +1307015782 +1018953651 +1445547019 +901898419 +1435608212 +1991542209 +751404920 +1747866748 +1399830812 +557035037 +1326704848 +2034305262 +1777535874 +1279624435 +238161673 +1436705830 +1131322784 +1606585937 +1097786170 +1121742381 +535279734 +952851887 +725901531 +1624302581 +639555874 +1690772371 +575415845 +132987304 +2002575223 +1063588950 +1861868398 +1162107357 +2082542601 +1159931770 +2064005777 +1370667165 +1003990331 +667927049 +971050265 +256337495 +1224962086 +150271465 +143159109 +855014312 +1429895901 +381320783 +144236495 +413735037 +1987906720 +1242022665 +1535477418 +375702806 +47390905 +113895301 +2000005387 +686946779 +1804667673 +427937585 +819934083 +1659759248 +1491526535 +534318834 +674382958 +1426585489 +1694250604 +590905087 +649769006 +550757287 +1258832136 +1620819272 +807094783 +336310574 +1771090737 +950253892 +1191324887 +1053502990 +1331574675 +1335561382 +1467238028 +1171997747 +430100399 +855231798 +1547700554 +477491304 +969127100 +1400222293 +1164438084 +626311125 +1828159878 +1984372167 +138586725 +1172202766 +371207353 +812969683 +451304607 +2065457957 +1403874770 +1101073613 +468731597 +515223258 +574409237 +1275826380 +851533833 +198016327 +78596624 +2042858720 +1251519317 +1410171300 +1230936454 +571273697 +434685399 +1661036853 +1426505496 +1982385953 +2138528158 +248148948 +1235124599 +1155482594 +874460073 +915800829 +992371113 +1013046798 +2088003595 +1363578467 +1826016482 +391824554 +1281552776 +1082407604 +1492898168 +1750284373 +1597630863 +2067307405 +878627105 +301681048 +117840084 +957223730 +197056120 +1369359402 +219911382 +1427992574 +1940633099 +654596781 +941545779 +1219654947 +489499087 +932590289 +1467803895 +1724623686 +2088072883 +194780320 +492940867 +932960349 +1207827119 +433460815 +149055168 +886359953 +825285369 +1430607944 +1968767557 +170699889 +1033408670 +1418914772 +90523647 +1912035775 +1720595820 +208363731 +721775857 +1917651940 +1577723133 +941687239 +1198160866 +1370872585 +1596284021 +2139706646 +443043884 +2085783108 +924813287 +1910847780 +1662923146 +865402523 +2105628100 +8380365 +1798362872 +1165971571 +441841180 +1947418040 +2052331524 +1267126550 +1230542336 +1873615434 +1437826439 +116467358 +1145046558 +1528350086 +2028503134 +718158731 +1736713818 +602795343 +488327023 +1166953303 +1544482583 +1686487890 +390342240 +993282956 +1678710888 +833386125 +931582416 +456040527 +596750257 +447021914 +1321443050 +554894709 +455402279 +972322274 +1720866281 +897243460 +772256666 +1625714157 +16886362 +2002799003 +1351845943 +1454712801 +2119266361 +349408854 +835579240 +2000285847 +1067567585 +424809410 +455597543 +1555894608 +1591762713 +2000080126 +1094898850 +1982104954 +845879434 +626126090 +668007431 +1777461850 +1082166618 +1264757688 +77000116 +256126020 +1819652397 +532402395 +1228448295 +1393035030 +1429645855 +2000704961 +871265540 +1446532217 +1856020316 +75627835 +753761371 +1827803030 +425036689 +1589340611 +1680605229 +1492604274 +2014150021 +2136202772 +901015235 +1458429086 +1988799250 +1995914085 +1293050392 +687195036 +474556528 +1961057823 +317173238 +1556723146 +1078331863 +394173354 +1812849166 +750500613 +926575750 +893813813 +2143535643 +208737957 +747035127 +867317535 +1655270175 +455571795 +942945371 +261547898 +135891177 +1367982060 +1850888509 +1816496407 +713102687 +1717554882 +1805215531 +1614117922 +1028500320 +1646531134 +1462548359 +174067065 +186242522 +1937104887 +2135124888 +503415761 +1346344385 +1065973104 +897589115 +1011709904 +1816473717 +1824164865 +1905523717 +1812525712 +2032902823 +505075196 +532359600 +1540689350 +960646992 +1475304971 +1802237248 +1096538169 +695803383 +1505642109 +765550928 +1408906070 +1075713343 +423282812 +875540344 +2104213663 +2069813946 +190605056 +130797080 +108572820 +2127709943 +118438321 +611988581 +1326570681 +1184411425 +1509577697 +190796937 +853401494 +1186258914 +2096320654 +518443558 +1071678089 +453912203 +1050803158 +464883791 +1414559195 +378624481 +119637391 +363613716 +1074427865 +1625279500 +1129164645 +335850287 +553509195 +1552447457 +1211390632 +510239211 +1474777755 +1401995688 +641036291 +1583350575 +1382221983 +759474612 +47855509 +561309016 +1943886037 +1557433206 +752105953 +649803883 +596208472 +700942960 +1168247442 +1667886562 +1154855163 +71566952 +2132770353 +421930710 +450191434 +104924097 +785544426 +1524619299 +1730203597 +1914709071 +1860469586 +136229145 +1319672880 +924376570 +646468356 +646966987 +178888610 +1287504647 +82833915 +1561110594 +2046979260 +130689424 +2122419610 +1843381649 +1688122630 +727041916 +345701885 +136847454 +1427984876 +1513949327 +1804734016 +435356391 +1585516279 +1790020722 +857287101 +2035707713 +1894944819 +1642831527 +1412843364 +1477664768 +1410056951 +1125829303 +1613893913 +582246183 +2050205873 +112878621 +1229213171 +81610836 +1400383269 +1312047086 +1642721430 +1299878881 +1442736510 +1617657392 +995776882 +983375492 +197215660 +1341478767 +1120222946 +1625200536 +707944446 +777473315 +2060556927 +145977078 +420010389 +770360380 +34201143 +167471560 +265708260 +1447044508 +1645136328 +1675765211 +425390163 +1111546594 +110527746 +328112388 +1224425215 +1339740917 +409723224 +477324836 +504304355 +2052444654 +1777203717 +1947040865 +1522618399 +625496952 +782932709 +1719834059 +1966975719 +1903155656 +1197550948 +527436518 +533145323 +1110624227 +673413596 +953155712 +1880984608 +707614739 +1120627272 +2146692868 +7175599 +618279952 +1674974431 +432565762 +1729826546 +1785502177 +760678151 +806768114 +977759447 +1170401375 +1284092950 +1482063802 +1075362382 +913813020 +1281621020 +450497133 +1539309972 +2064553729 +22847544 +1358802043 +1820225737 +1220398492 +1886238561 +205887412 +183539072 +412168509 +1159043124 +2064523680 +1119783249 +132186748 +2063732900 +1126958848 +750466701 +1591223683 +1559524611 +332809599 +1229242212 +172719114 +1139577713 +59518011 +1343120489 +276187016 +1541581814 +270999223 +1190000036 +675719186 +721496356 +581826360 +592789267 +744343901 +1940628403 +265531357 +1964742393 +1679383317 +471418769 +797817 +2091551826 +1630461894 +2065321497 +1063851427 +1762648642 +1981570749 +43326628 +365631695 +1425310784 +1602851239 +698441295 +507069349 +1775570353 +1838019008 +566587360 +971207194 +2114206024 +2108169174 +1242206418 +1156722412 +636404712 +1963702774 +1738548772 +1229193980 +560563027 +1531693528 +1494725337 +377821773 +1063593197 +1966144106 +378619590 +1007661375 +1449122352 +296457440 +2071512803 +1064287347 +130544541 +2114839431 +1429919042 +1555855326 +1570207022 +2128360337 +2062924675 +1198293727 +1818895698 +482028387 +22017273 +1785618074 +442713914 +1264223691 +794856839 +1079118626 +1080442818 +385921963 +160828958 +1641005845 +1917615491 +1655554295 +2018827618 +833725040 +1474214754 +249963561 +1841386416 +775853458 +546421001 +1765415571 +1840140805 +676965542 +1732771354 +1122576200 +85337220 +1155494728 +1103452889 +778247 +206304807 +774864939 +482806635 +228322080 +412999366 +925520549 +1492545772 +1207856205 +2004639175 +425504942 +1593778168 +17984486 +2066510787 +1363910012 +1673538781 +1937854758 +50151404 +1000269887 +40334671 +1891537820 +1776123346 +586755672 +1509469743 +1468780503 +1263721214 +1094757449 +443873055 +1349058435 +102768529 +1547325945 +1349836682 +309073336 +174707236 +1832643317 +537395417 +587706602 +610680218 +2029941189 +1795562807 +467835746 +307962483 +1241857328 +485820232 +226989622 +458283692 +11875365 +17360732 +508435096 +1012145253 +57695403 +252489269 +640784951 +644451075 +1761959012 +2109565454 +1908172290 +709232814 +405954862 +1109747077 +812001343 +1953280807 +312100111 +1121074680 +2127988043 +2144743429 +1658470097 +568210998 +607939999 +1540927638 +216290157 +1075775745 +1848890121 +1458147485 +1561595977 +2075879743 +1916431177 +1573471343 +2093240476 +277382626 +438132948 +3452231 +529871895 +1078917899 +647903307 +144347259 +1040999705 +408591949 +853580073 +1446954567 +1518339026 +1665581417 +1252751726 +1830439137 +639172449 +1233256122 +1827698918 +150158898 +1801467120 +288155270 +1691086536 +2017757277 +1363931015 +1392493009 +1328421115 +778043345 +1320889104 +1097368644 +204031040 +1266645932 +1374751270 +642163988 +1270098164 +1904623165 +1721081887 +1918001471 +2048970425 +614597944 +179109772 +755066850 +2061552512 +1697448798 +273164619 +1166820590 +1380404287 +912337068 +252593064 +1060619558 +1062495966 +2054060184 +1348774828 +606098854 +1924333814 +565222195 +1998591863 +1105271281 +1343265540 +1171997320 +55156277 +1547296580 +291159604 +1429907548 +41976920 +1561257768 +1187047065 +1763058807 +1331775591 +1088533842 +230173104 +1510885363 +1843600693 +144241968 +1060850513 +2116765312 +1311062558 +293771153 +881618733 +1563655623 +1354390711 +1944114699 +1470232159 +555681891 +402729906 +1247082325 +1120904086 +253838121 +204869958 +316685979 +1425835441 +260026236 +1863982559 +1716995046 +1689933784 +1905959480 +1130769166 +729497201 +1521534639 +315061110 +1818031044 +1751707743 +1825946473 +1514148089 +1895949711 +739313339 +1483429753 +1059528622 +1033084492 +217564838 +475700597 +239991555 +14195890 +1945932756 +795673446 +416925796 +1045531434 +1916577532 +670763917 +1250401392 +85779863 +2096599359 +1510427628 +1949762423 +1666110757 +1052877764 +1708238255 +649396275 +1782374966 +1082289246 +964457385 +1452922362 +686513342 +642920211 +819586803 +434979405 +1382233550 +155532908 +1494508027 +267834394 +373097747 +1970208624 +507825949 +387293637 +1768657733 +1303499395 +804219433 +666705519 +1072593279 +1474983350 +1917106911 +1158373143 +1424099061 +1280050892 +960651918 +942726170 +185445008 +521406525 +1592122446 +1967819974 +1603695771 +409096183 +1273258688 +142725465 +1052016394 +2092845491 +577704871 +286766296 +100894752 +2072212898 +554600690 +473992499 +1894937875 +1062426639 +861286136 +1516111960 +218442386 +1665505569 +35333831 +1291035666 +993005271 +1952440742 +301925161 +269620685 +1085007986 +1262577079 +1212346855 +1270452995 +1783983604 +656985653 +1090789321 +1240195727 +1066081837 +216564362 +1382921193 +2118098231 +161926205 +1960626064 +257380880 +262820957 +1885355314 +811981570 +736813456 +1632809541 +1874408210 +1598099592 +1001437853 +2092850596 +1116121513 +1036771684 +1236402614 +2109126785 +841728779 +1538327775 +231263822 +1926736765 +653421206 +1443610677 +1049706112 +289921162 +2100596331 +2140495434 +1530116890 +1019194520 +209576148 +765554435 +989809103 +371502353 +578696851 +1247189983 +634323311 +316568517 +2059171554 +1371136767 +1949378059 +1786096116 +821752712 +803332264 +1731463064 +1937874225 +1840103949 +820382031 +1899517362 +534349080 +211226158 +2130781184 +313602197 +864647365 +1426908214 +1363308310 +1154568527 +1380020897 +1356320096 +537201769 +251731769 +1565896244 +1302756204 +1241540872 +1937398597 +1881453055 +341247208 +424238260 +50537925 +252935114 +1795375028 +1999915984 +2039031230 +469644092 +655764600 +1623010646 +260034669 +348384901 +295909029 +12068384 +882733981 +507135188 +2142849568 +1196336179 +1371782553 +1422274134 +412160841 +378867432 +654811383 +1768480937 +916069202 +906543152 +1186893533 +71341758 +600377 +976808482 +1952794814 +341847585 +1401046743 +2003332739 +594782699 +1048938123 +1855765075 +486330281 +1518582215 +364046027 +2109340927 +1778616884 +712430929 +257766309 +1790685268 +1595164910 +764901497 +1786051189 +644017441 +2136684050 +1060841675 +1056178282 +368067834 +1715653059 +677175571 +1284137036 +474712563 +1864069104 +1355478795 +475312940 +693393939 +1160789961 +817160525 +2094440682 +1016639052 +1411943224 +995895157 +724920479 +1898273505 +366993724 +1088966506 +1860130785 +2145610608 +1801397435 +2117897094 +1788812229 +1249078698 +735314943 +1427379770 +1893096139 +724515345 +340737797 +801790774 +1092583179 +2056390856 +1478966345 +229236568 +383619772 +1195551802 +1584715363 +858932712 +1888945741 +598021676 +1676093238 +1835902775 +1614660728 +940552814 +684314284 +192097559 +691342672 +1051308008 +1281064065 +403989809 +1049434968 +934977853 +374403255 +690763549 +36572903 +1109718198 +2118143319 +1929669042 +1834233543 +311397469 +583976168 +779333074 +220304677 +2062942514 +1008569642 +603924449 +1111010668 +445801357 +1462857162 +852472761 +1043823033 +991466752 +540891888 +511000113 +1932019566 +1225206172 +703097672 +475878590 +129030532 +1984161738 +879868399 +1178465500 +771655943 +1254271654 +1869229050 +808228846 +216506204 +1839888721 +590414240 +2050739747 +3802542 +1174390409 +682589174 +224107220 +1089849275 +1691158816 +828031669 +53376295 +2136960174 +143405183 +905849056 +1033299559 +1134871935 +1446740944 +1544299673 +919407854 +524463468 +99913697 +1395286444 +653494000 +2084075435 +127671196 +1831959500 +708247730 +1381942850 +1553704902 +1516476576 +1598449055 +1246109976 +2106890817 +1501705154 +1249912518 +1133797578 +36810680 +1474019738 +76163205 +1727969497 +154567760 +129539500 +1717446023 +297972943 +1035388556 +603261934 +1432844879 +334645852 +77959 +204769085 +859109320 +99991657 +1600055529 +1512603320 +36583444 +1727726725 +1197079172 +744831175 +962185928 +603300427 +113824103 +413151335 +1849410403 +73231272 +1914856489 +951839273 +1207028850 +1951667170 +278375364 +1283192055 +1532153019 +432943124 +1412731555 +1102115394 +730916067 +300636463 +1705377328 +16277298 +635282315 +1705455288 +221046383 +1494391635 +1805446945 +1821101913 +859511307 +1842030389 +1401344990 +2056590480 +439377916 +216047270 +512407259 +553202020 +629198605 +214334014 +626433292 +396571447 +1166173287 +1833462143 +200754969 +1444548651 +969170550 +1732907988 +1877491775 +234418458 +687539734 +460924195 +535054921 +245433414 +477201493 +1170337237 +1950888702 +698247877 +517245224 +1608851999 +371866142 +1376756532 +1303398741 +1773211132 +1285863364 +1742776657 +1989258403 +1798270623 +148495029 +470973360 +2012604637 +774928322 +867544807 +1031294276 +460906817 +1068299776 +328359280 +1430077367 +653724116 +58367407 +1664495825 +1341263850 +519291602 +52067099 +1586697265 +996493096 +1222404336 +1390102319 +1694740973 +1739649560 +851470671 +2066607115 +968922444 +7385764 +1692334599 +107302160 +1750162421 +1534109354 +1905572783 +1898657451 +2005082715 +1770693772 +526102125 +725143874 +654504401 +987008942 +1793443651 +982863681 +269602661 +299684119 +1041231088 +1934098487 +1640947970 +1560522691 +1986165586 +1080161587 +409532139 +1061086274 +322780258 +2104273112 +653252186 +1174250929 +2023396579 +1622174631 +1181636693 +1568247530 +1729476791 +784315467 +954873237 +1487565927 +535489270 +812472304 +1110776051 +1061591395 +1537616178 +1765280452 +2048600337 +1183576181 +600660485 +170719350 +1483260301 +1641891574 +2104817837 +976724623 +1054930617 +1943499775 +2056886210 +1464462756 +857102401 +232182820 +1421252220 +1510354588 +1406433750 +1297165151 +985045571 +440586795 +717929033 +567038714 +1224902262 +1672802270 +2054604641 +1760391532 +337790926 +1017897045 +674499279 +1875407105 +635693849 +575615968 +911499638 +1236354335 +746335319 +247276291 +730762261 +703669508 +1224000914 +1785692878 +499685636 +1133403476 +1102671986 +1356788037 +1365586297 +376440558 +719658977 +624536399 +1673605709 +1704704548 +1065123194 +244051094 +124259615 +142541809 +1916853365 +31380608 +1902933341 +107160643 +1049277653 +429948973 +1982567748 +1684971503 +1005564941 +746583739 +773842190 +1751900260 +993860030 +1504604451 +308086121 +70377297 +1142813681 +807771757 +1203780773 +98002019 +17076146 +421883422 +474442577 +736735124 +1046419821 +564638 +293956024 +2111543016 +244615732 +418215639 +106601177 +13985449 +449596248 +2009534518 +121146093 +1498873901 +291999843 +2103713841 +1036361756 +1297564785 +702813932 +1810203946 +901981397 +1696673963 +1167324749 +1210067518 +1767051260 +162654782 +2017839275 +823348385 +260656801 +2034915422 +1245231808 +735099378 +624166898 +144167981 +735664016 +918122922 +108227349 +980279749 +1336338562 +214828526 +994265198 +1785934810 +76879397 +1115411291 +1137325063 +368879240 +1071641485 +26203172 +1666444025 +1774455417 +1836407118 +420941775 +1323645732 +856248220 +1631009293 +943213344 +1018903002 +1501364921 +1766561730 +1279559804 +1388796695 +864309890 +2014659182 +2012963593 +1008477871 +602839551 +783602867 +1116705221 +1583119300 +2119941429 +1331533747 +429900850 +1758392591 +1408413144 +1545312142 +748234007 +1777292385 +469469979 +774437179 +1296252762 +96441748 +463360649 +1717194537 +1420087481 +1319608869 +1200720183 +215817177 +191028224 +554601456 +1982378907 +1470588028 +1943398151 +699205149 +1337763562 +1808878096 +1707683021 +1940603113 +444997315 +676904594 +1376238765 +417455097 +2008438341 +1806139616 +28364040 +1269367838 +1203968110 +776598047 +899176575 +1673438089 +1551035226 +47945689 +1769879837 +2014395876 +1765140227 +1042483670 +1186521097 +818376762 +1258300848 +1377549321 +1372978218 +1093196107 +700653701 +1168892721 +1792401257 +2038417264 +830287169 +1352600630 +1831536729 +1275284484 +2029505224 +1060291847 +1692739581 +1890459917 +718947815 +1721103622 +1012344107 +1922915925 +350218021 +1911520682 +1448870366 +1901253248 +1959466372 +1071266555 +1768165476 +1577122951 +2113750226 +807202925 +248016065 +1224567426 +37268599 +1620994283 +170279885 +737922300 +642403356 +1962681142 +628855916 +1472690525 +1167798124 +312908998 +600491361 +1049819700 +1373200845 +145747295 +792795970 +2092148660 +1866850917 +1805140077 +1867580937 +69585290 +1569177112 +1168967655 +1970838538 +1381159836 +92750562 +1591520366 +810799139 +59017140 +251239644 +1058815204 +1283584566 +288508243 +532325839 +1453864452 +1026430543 +1174729195 +1269061946 +1655286460 +499936072 +289376423 +1968195458 +1100427433 +1339196123 +1193912655 +1246174728 +2131992093 +1138577667 +965541997 +1789648523 +858674956 +1035127288 +1211341987 +2027642611 +858482178 +445018175 +2120393173 +302518897 +1255817314 +31926666 +553758541 +167148870 +1315511232 +842266784 +699474709 +621892036 +1868697327 +1874203904 +1890953983 +1376500139 +226656328 +32846758 +1197211949 +1327083761 +1372042881 +243640956 +425774842 +1356551327 +1382218623 +1391316839 +998716202 +93409931 +278960479 +62574541 +2121052542 +1137442658 +507592716 +2093962068 +1439961555 +1763410030 +2125888734 +1993720096 +1930558900 +1293916318 +688503232 +482549961 +1915808355 +409716911 +209270217 +1659278690 +1786217051 +435926545 +1692125448 +835945352 +1763010306 +916684681 +1079586309 +41301500 +125752360 +314321284 +1432618340 +1124468562 +407731216 +1711578819 +1187043103 +381300110 +701537829 +1694635819 +327778530 +2141499384 +1310562201 +306183616 +1987735832 +1093637453 +1600099935 +528755416 +1576187414 +1368424642 +938472328 +1785457631 +880219684 +577205731 +73900528 +424861484 +1413151083 +1836910835 +1341546165 +345253744 +1878212335 +1467298526 +659575029 +1163347027 +444283440 +1067306245 +727442199 +1631326544 +1448606355 +1428980028 +1178478715 +1776384886 +1422995765 +341557269 +2082568502 +1263247949 +1435194722 +1535184789 +1792003366 +863898489 +756125783 +582992046 +501872472 +1636345467 +1160197777 +575773001 +2061206951 +425865212 +265200188 +1255269469 +771118957 +2143412523 +575084347 +1430693986 +1159275903 +1019367787 +350516583 +1886718102 +503210683 +1799122938 +1168214482 +1681689399 +1428024176 +443726599 +2023246668 +1363109031 +1706974549 +1310957742 +750810172 +1351494267 +27372583 +1506935956 +1934486313 +529245056 +995797775 +947200442 +1105018057 +909521079 +1373065654 +1370218245 +17306900 +2144184611 +1366147120 +592391247 +1427394949 +377939375 +1611759034 +1777911532 +117173829 +2114969718 +1429550823 +1285388312 +1649175469 +710091351 +1729114911 +1524938489 +2073200382 +1288605812 +688412583 +676526907 +492616431 +715785167 +35979215 +279619096 +1245030223 +1031776990 +1226819538 +202564632 +1941298069 +452401545 +1572782877 +1958604969 +449102508 +791446349 +403512568 +1876497458 +1169385725 +2015271603 +1506925342 +1286559554 +1982757673 +788992517 +424464218 +1484449494 +1499083869 +6095482 +861904335 +1424800603 +1294701294 +1550316918 +2101327510 +1787317726 +118618437 +2137306725 +2066936822 +1363648660 +1021600068 +1146272713 +1566213292 +815414489 +1598674258 +991512521 +626535811 +2047776766 +1782958871 +1030048379 +1776790576 +804860948 +897836334 +1136232271 +2091420502 +733110359 +1925224788 +368401073 +70076205 +1276825009 +374496555 +931980540 +554141965 +1669197849 +334813811 +507985827 +1309031927 +453432248 +497808905 +1228485102 +1817080909 +1519408973 +227274167 +1235810553 +187339814 +1825948425 +79839427 +813875625 +1726241543 +1862798298 +1843924005 +1355548472 +520175598 +594276691 +344297095 +464112452 +1327387051 +122038235 +832513525 +1397463256 +1398863245 +1207010080 +181960149 +1953005210 +728724282 +516773960 +313507389 +2037756209 +970206208 +811316294 +1118757663 +639803469 +183241619 +1346031830 +1875614023 +370581434 +1024496607 +1955453450 +1184457059 +603254503 +1670768100 +880897416 +1958802975 +43460050 +1475174108 +155616422 +507572502 +655077511 +277654657 +1340086028 +2052540767 +1676517902 +399612460 +87017268 +1482039464 +1128336742 +603791228 +1795546854 +1018609304 +1573997437 +459379500 +2137366967 +66317258 +642621120 +1335915150 +1941931281 +1013202554 +212928109 +1749901083 +50175965 +816182612 +1273185535 +931073382 +627501939 +1316645585 +258763842 +783118361 +1824218088 +913841353 +1060773019 +1016820468 +818898472 +589807273 +1416432928 +905915741 +2071846738 +397286023 +1509706969 +1719909944 +1415895327 +936220758 +31805796 +1405778646 +1002538017 +674426916 +594210148 +796985650 +1687629470 +807138258 +399403086 +1737805436 +1623320870 +1672588621 +521395170 +103339162 +841750559 +780159012 +886457523 +518484999 +1694000365 +1947230542 +1535305467 +365415189 +389554168 +804254747 +1271330930 +313917258 +1201540770 +633554252 +2033827202 +469952449 +1569775010 +2065632998 +1875731096 +424829379 +592576267 +322457596 +1221815030 +132722089 +1129595854 +1621218116 +1870527525 +605433077 +1146323089 +244439047 +708772239 +1988073648 +1024598059 +1595229762 +359074999 +571114776 +1394976657 +1894380466 +936529966 +1784530825 +551151566 +60377248 +2098448083 +1752692336 +693931500 +1984791637 +75161138 +116222863 +1902940987 +1950892234 +541052242 +348033606 +125866182 +1762867272 +480755696 +1255462037 +1236601740 +203799573 +1860895114 +235441182 +448238621 +422183705 +76031182 +1472836680 +2017413467 +435106182 +2043951457 +1264906476 +182003000 +832997775 +901953653 +733154566 +893375023 +852918088 +338363255 +1587306524 +690226077 +413524393 +1703529387 +445683417 +216932979 +97097981 +793717023 +342799161 +1859965254 +1274472719 +1598261198 +949083346 +1478272293 +1311672664 +1184524528 +1926510914 +1733856369 +1260555711 +1251863946 +1603786189 +1695661893 +1148331755 +721209017 +1877664893 +1981329530 +1623162671 +463335812 +727220906 +328597111 +801699067 +167043782 +1018823189 +1215223460 +1870573169 +1464506606 +1432156439 +1967671150 +110739981 +1774955600 +1680152756 +1385212701 +1225733151 +481752455 +716001346 +389922167 +1666276983 +495028612 +2123778537 +779349046 +1746892558 +1580081078 +327527291 +747740666 +153806447 +57708537 +581586548 +1776969118 +521044349 +1308807454 +2105566230 +1322743416 +1475851236 +976905771 +390483228 +1198940757 +293928729 +1822639667 +1019128260 +404668710 +1450111619 +551797368 +1789881411 +528361122 +1033549823 +358399109 +918283290 +552343159 +853427721 +894578179 +1331692205 +452836632 +327175609 +1659219497 +1200577298 +480982056 +1716928034 +1782163846 +110467527 +90488735 +943487653 +68550109 +1413232151 +271855241 +1045455880 +1803715379 +1470795999 +1339384609 +1478871398 +342440611 +1744053319 +781499369 +894237979 +1386451083 +1309860492 +1927787803 +1744850192 +80660134 +332647314 +450794266 +975238313 +1664339519 +903630898 +1302413922 +1176075368 +2104208196 +1783395978 +745519754 +1738888394 +1893863505 +836008489 +534892399 +1962413614 +101756992 +806747641 +860385846 +1905472371 +130059992 +52286807 +1236860121 +472500603 +1796340127 +2018359491 +1366738582 +1035307562 +1180736335 +1147042737 +632674106 +1261396469 +1479690051 +1083468372 +89151134 +996545923 +1987099270 +1391565056 +25137643 +1943823818 +1027477386 +770657398 +1535228565 +773857244 +1606665887 +2070120964 +588787210 +1708422880 +729384957 +1449173057 +1466411603 +859444949 +1501459864 +555788077 +1331945552 +1150316343 +426663920 +551200487 +38140257 +1607400255 +1698243224 +670814364 +721313076 +1030449628 +1754282736 +810464210 +2026995551 +1593898359 +54545618 +2052133194 +1390238529 +1082023004 +675306944 +777983446 +1855880248 +134489184 +700620763 +297183811 +1842912064 +1430005720 +1746356868 +1161840019 +141967022 +1100333084 +1717628096 +1473912574 +103165780 +2144292016 +2025113061 +141306037 +1604208623 +1575872638 +812120401 +178038051 +458838618 +418919490 +988502261 +338350521 +2012817849 +1043047879 +243000067 +1255572730 +2125070884 +918307012 +2033556177 +1833467484 +1052796196 +586693292 +2130651295 +748224612 +2016699012 +1729524515 +1910064631 +11182386 +682373952 +1480209080 +1485094961 +785539732 +1477017448 +1362724374 +926845769 +933742424 +791113364 +1738966171 +1111780475 +1249951982 +10402013 +2100282737 +1588302503 +2023219862 +995846968 +1831302571 +1131308944 +973434204 +602125935 +1017381473 +659418041 +1654922131 +1604074765 +642585688 +255663095 +1473290130 +224626556 +18244078 +1484472516 +907000508 +1498453158 +822083829 +1692540240 +827986959 +37324556 +471902361 +1761729383 +828437920 +63384884 +726026210 +2078389903 +73786897 +678825299 +1519208758 +2097006759 +1674672268 +1203027681 +1080832056 +500622824 +1805153616 +2098213529 +1160040865 +1312592099 +1554804647 +1802626554 +1568255194 +880611129 +2027253110 +1586499273 +217599997 +786769970 +937468783 +1039683827 +331826562 +1765455742 +1077008383 +803728923 +1379701477 +1905446303 +867113808 +2105727688 +1836352558 +940900705 +637069339 +1208077669 +890423817 +164257959 +263621702 +1971255873 +664880784 +2068775319 +1921985754 +1824921649 +1233883770 +1329306753 +1480064555 +654655317 +62434234 +1359834017 +93670942 +280034232 +2146603987 +1031139725 +1319718059 +330946901 +649111820 +249242794 +1134675825 +2028813297 +7205449 +2001789633 +1987057337 +1843558008 +795206690 +476643029 +904152029 +1685630507 +640900988 +1167773731 +1509402732 +1305781772 +1089065402 +1283904839 +983219774 +175465525 +465727944 +315800681 +830120842 +528162179 +1675634699 +923791784 +808196411 +1674755038 +1954931509 +2127914470 +2005701940 +456559681 +229673616 +992894117 +337889331 +236879065 +847200102 +177463020 +2080437073 +1642406792 +654106049 +837105454 +1180553652 +1295007038 +2004879186 +542472736 +453305162 +946460940 +1826377575 +1436524936 +1121926465 +144621872 +1752325618 +1952047307 +672784051 +1280476669 +728355443 +1480980462 +807748059 +535803305 +1461411284 +665966351 +992362986 +1691084900 +1658860468 +1330252317 +1927963965 +358576922 +1507715338 +1860917391 +2000983715 +14337739 +550539197 +1034053719 +1309344777 +407934735 +1576526455 +1762649940 +1354395676 +1255420383 +1051691228 +328838493 +1400042255 +656533198 +133402153 +2072826306 +1937009867 +861757596 +1406323120 +597274279 +1397560901 +720250756 +1263240630 +242440240 +263852008 +774617451 +1572692557 +44332325 +1133194373 +932924247 +1905249716 +986694440 +947261987 +308305266 +2020748159 +109123116 +716240001 +1449790967 +1871773056 +2070635677 +557727702 +775980637 +251990523 +1957769957 +1432513835 +385392676 +1883112615 +1222040055 +1247150272 +1141952087 +1819314334 +497227526 +1862202843 +935071316 +739667766 +2126054851 +1709688767 +164876675 +22903528 +695399493 +1097800923 +1928153245 +1682093933 +2045062910 +88974863 +1555358445 +6702378 +805214864 +857665764 +1878475435 +728366894 +1415393466 +506972424 +980357417 +1225679775 +1939486259 +1365750093 +961308742 +1014042666 +465416717 +2103260829 +685873352 +962644243 +1817980024 +1620944669 +1702312009 +1796551227 +1183149788 +1867188685 +1819454755 +1878549281 +817505960 +1600124352 +1413159567 +715085222 +1689099215 +821034364 +721787600 +346830432 +1678700128 +452779387 +1075197326 +946609946 +959751811 +2055554743 +24806073 +751754423 +1273821188 +986114815 +1765797089 +1739237905 +941891996 +304186794 +554398501 +612388372 +1925131463 +109226862 +261455951 +960797603 +1976415547 +2080910706 +691863237 +646437859 +1533551411 +2105022804 +1361523081 +1075166978 +778573520 +2083310682 +1421997410 +309790000 +388606421 +349711088 +1256399946 +1348358233 +257782183 +1281206019 +2100112656 +1531603371 +119837186 +1718426097 +1123357629 +1061729182 +2022612891 +1677756130 +1674117554 +1800260706 +1786982992 +1935573505 +613574662 +1615914892 +1869000563 +1305437899 +114869103 +1255068326 +1262977055 +1476392185 +182751657 +2041550575 +1412219219 +1604749067 +203856927 +1800825640 +1954460156 +1460256873 +1001700225 +64758691 +593979244 +954329233 +1596362063 +713816430 +525271683 +572236044 +1775545612 +400400926 +102508526 +1302179518 +53177985 +1889491518 +1090269375 +666752647 +1357922762 +811786290 +1972190546 +1472791866 +2066854617 +1087683953 +801700403 +102122626 +981750880 +66435974 +1706871693 +1185607807 +1867261614 +1513848201 +498381032 +721478192 +1578606893 +1092360276 +1675807425 +1027485308 +1806176706 +53595460 +1599721352 +1434238670 +453996387 +1702229878 +588934540 +507174372 +1444237748 +1679203915 +1173927019 +654676863 +343506557 +998633917 +2127468729 +262877526 +2086317870 +781685484 +365000152 +920585102 +848121458 +2071871846 +2106192909 +567899424 +1438236399 +457090293 +1289377616 +869359644 +1549450569 +817701394 +1896844952 +1208143627 +871296854 +1349082656 +494898649 +1325293241 +903828886 +1083833189 +1832467613 +200582987 +615553456 +858910984 +855259850 +959060013 +1857544901 +835244931 +1221937540 +1796379123 +1616930415 +1586937692 +569480577 +317568225 +1511325890 +528189838 +885467649 +802078642 +985280131 +27361618 +1671438286 +387247052 +845063012 +1420799591 +1595390679 +1716359866 +622398599 +2090289328 +894169460 +1526227486 +1026638869 +579153425 +1726810473 +1642192325 +1438064410 +434586675 +453768691 +1148125663 +1269831606 +1675706231 +797021139 +739278373 +1115160275 +1366501716 +1056846598 +479002518 +1894691555 +1942314247 +1281081160 +732488038 +1969675865 +805035798 +1119735091 +667255229 +78351741 +567642122 +236131448 +700750341 +510447803 +1130300908 +79494179 +1537086672 +1709454333 +1806304652 +1031795350 +1000035095 +93407679 +1485564041 +677111 +1363239285 +1013786624 +797698250 +2102517658 +2128946899 +16716318 +1011880608 +460465769 +1911407873 +806711207 +1741546929 +496412264 +628903425 +399099080 +1616147355 +1296158654 +477450821 +36305829 +1532290102 +1178201162 +546753632 +515107362 +1257695341 +2083840305 +77078048 +916516345 +968152007 +1077113143 +1009924024 +306232400 +1077790254 +225679661 +1320019024 +1875488504 +180713671 +1301482275 +1892204823 +1192594279 +1761948045 +1656129048 +1999305487 +1356011326 +5057664 +480725264 +1755110406 +1621205019 +1776883918 +85077580 +1657510849 +1161690373 +1263278742 +56780833 +1676797735 +373490436 +2140621138 +1753875783 +1290006781 +961289497 +683505279 +152447158 +1267521897 +1761295533 +378126819 +440057273 +1489300390 +558840491 +1741539549 +1234021565 +1751434770 +1356003946 +742666965 +1603256609 +564531624 +747724630 +2083981873 +172158383 +221446001 +1713382144 +257235963 +1878956850 +727588869 +1520514705 +1935737684 +256902956 +1894005141 +1928875174 +2010778740 +1036528275 +742681024 +546800371 +1188975433 +2010202921 +160612256 +1567102252 +302776547 +1649912646 +2125942743 +2044316096 +736450563 +1729893866 +1252836394 +1479117529 +1185666827 +1817368018 +79358511 +1122165053 +1989526401 +300804512 +688063549 +99278716 +32277715 +1415652418 +1619793422 +1968015399 +1672555374 +1366314915 +1749406925 +1535850466 +255359542 +344604301 +2082650837 +1444334975 +207323575 +95779446 +863953580 +510100122 +1745692092 +842412675 +406932570 +334659008 +424822893 +1659768964 +1813776537 +1610489721 +1329653334 +1893135048 +585171126 +1171696088 +46455912 +1273234675 +1270974804 +78733627 +541403445 +743284578 +2046749026 +66475171 +2109599494 +1648672304 +1602325638 +217475388 +1993276605 +1537492827 +1661810364 +53116532 +1633272273 +378280296 +563216654 +1231480718 +1220692971 +970149224 +1566139726 +1645515865 +482434540 +1232432615 +1108521938 +1812087875 +978084015 +1693693064 +836300315 +1024539927 +819444091 +2107275119 +1103273555 +1360847536 +703076050 +1002538933 +1427322707 +665191896 +503727589 +882164697 +882667284 +349520547 +272173877 +396994000 +402637079 +1905446150 +775274296 +965853734 +989443220 +1995967268 +1936002958 +408099298 +1493999485 +270953851 +1640531913 +455037775 +2083041726 +471132280 +1247191 +771858393 +1495672208 +820691282 +731649864 +451462115 +34055170 +1434725914 +1454001048 +1461377877 +2099917810 +1957728638 +196058927 +835101447 +159765537 +468232804 +1232095447 +562402616 +226195306 +2007369744 +1528256350 +1215638527 +1855853364 +1316775661 +1623737825 +1202369201 +1587729512 +1116786091 +1657406976 +1523287590 +1587918371 +1658654167 +147662335 +936106931 +331861801 +879312199 +1387569046 +365916971 +166554466 +694086447 +1827294848 +118988628 +504331437 +2023353775 +954090075 +664096974 +344102931 +38701875 +1226499590 +570298238 +2046071619 +607272293 +1785936765 +1754441335 +1924047954 +1262190942 +809326888 +1364293818 +231493385 +319250216 +740097760 +1819411757 +1977904383 +887760095 +608035040 +162282536 +1767072294 +1995604087 +528199507 +1933626760 +542206886 +208010707 +2052615389 +1046538323 +83880835 +859221816 +1710635297 +427983766 +897923691 +789651239 +998282004 +796511662 +1396923532 +636735121 +403469349 +1173487838 +1898926064 +1212796237 +390298008 +2130419449 +1532046453 +1130395768 +1802347558 +1362467188 +2018155863 +262898951 +1524749724 +1637744510 +111019390 +2052949231 +1423887622 +653226276 +113476291 +1329019363 +1699764599 +197357126 +40757532 +1262916248 +625340892 +938681223 +2052567487 +1623622897 +1735192886 +1302007372 +112874370 +2138662235 +328011562 +2011800434 +1203974825 +718309571 +1994736236 +588537630 +1848705339 +1649600146 +1951004819 +1719377555 +1912499097 +1328270895 +1209638417 +2023518487 +1233736479 +486042391 +529261115 +1347212770 +1815061755 +81542066 +1544569896 +1855819287 +1344458314 +22427140 +647016862 +1249542154 +1646050037 +234726100 +404065878 +1758924408 +225904688 +732077440 +1623241194 +1429879513 +1450387011 +1470493782 +2018417143 +1151608703 +972610281 +1821938314 +723502610 +737625730 +1002725562 +1933141027 +613660570 +88978393 +271699770 +1142921685 +1436191163 +2086761525 +1224463752 +833277411 +1795097164 +421438418 +855704551 +294630379 +1670980572 +354270941 +529356479 +2075046450 +2113195349 +755261167 +659640243 +1588952895 +37657032 +2110027254 +911963030 +2056074176 +1114152309 +1884573311 +1730528842 +1837654919 +474715393 +585770756 +1623312298 +1088375963 +674749149 +1895012069 +83814001 +2110940312 +1834289946 +1308277753 +796734075 +1481903463 +1729716171 +1652438627 +1776533842 +1253213096 +2006709568 +158406673 +1180775898 +1972421269 +913667841 +1840416141 +1413890516 +951324873 +1802959748 +178369898 +859915401 +769628409 +2062943209 +442960596 +459799681 +390174955 +1028731352 +2083111979 +1478550918 +1703480502 +1830640400 +1562364919 +1666937166 +1517446699 +723159024 +316187594 +851866514 +305391548 +1968626221 +480916708 +1558604644 +1827852141 +639323381 +591896894 +1652789762 +1552991222 +284829388 +919196630 +356832448 +2087789136 +1097566529 +1216747849 +709933897 +1013026090 +1659708445 +1169733578 +1403201045 +540956150 +1105361910 +734268316 +96953004 +788518662 +149149587 +1763890170 +158481713 +872308612 +2080077764 +1010348227 +1177700160 +1901220337 +1491264935 +588821156 +1581588830 +2130588317 +1180718050 +1086894944 +1536095891 +1465547438 +2006091575 +1892928339 +1405852926 +956174456 +962192541 +2115786824 +1969200546 +474417338 +1138036754 +1224917944 +1015373488 +95915016 +1959186260 +1112326492 +884433679 +2108335847 +728733015 +1042915392 +833160811 +661327131 +2053263620 +2010860971 +415063821 +1397044907 +452198479 +1996652651 +1380149576 +1632916530 +936063948 +768761820 +950980320 +794671875 +514206511 +209349599 +1750846331 +1476399052 +177652775 +1572563229 +1950816391 +1315689529 +649997525 +818706231 +1411604546 +461700137 +1931032724 +148554577 +422552337 +512282091 +1191469969 +1255713148 +1173609222 +1097249941 +1119090472 +1588673043 +346811201 +1571288951 +1437842047 +1726960777 +1056721833 +226422347 +348238949 +2007702154 +1021094222 +862445461 +69568105 +624456905 +191360865 +247220880 +49536486 +2142177256 +1562910409 +699534012 +813399840 +827031307 +1161234149 +596948916 +975585884 +1583786486 +1109231007 +19572206 +692015987 +135356581 +1116822147 +1811106459 +1724029625 +1463633348 +1234911762 +1014388024 +1043110478 +144149948 +1240810371 +1391349427 +4368454 +114420945 +106311240 +73936559 +738877850 +297672106 +321157439 +788414336 +292365714 +1884067848 +1487948348 +1105765554 +563615508 +501698850 +1702714470 +1539201392 +2085485336 +664461829 +1558773598 +630017675 +799818411 +528112098 +293640486 +376364388 +1991745446 +1528552249 +1390752412 +887372276 +1672702197 +484079135 +131238056 +1677070651 +598500080 +237549296 +1751007210 +1337377930 +535221402 +2072164649 +2125792266 +827587117 +1808748849 +1466256967 +1933352671 +224880709 +1967955817 +1488583494 +1764082102 +1905957505 +5561675 +1175372052 +388491533 +805380086 +1703484150 +682132019 +1181744474 +1547745949 +63200620 +425013238 +287634577 +1735902817 +909092373 +418872633 +1265489820 +1507592453 +656421930 +869013382 +697486735 +1191643332 +793694383 +675795354 +2019230449 +454959585 +2142052321 +1805099473 +679840294 +1962524490 +1146199319 +296438748 +1720998347 +1151760994 +1471810801 +2109489880 +1957141081 +1027811303 +644138252 +991401907 +428073604 +707338872 +1416415146 +715708182 +295758042 +178023871 +1134580815 +1561247862 +1685616325 +1791002745 +282777597 +235619412 +835162430 +1076471980 +911414766 +706909231 +1531431565 +905983439 +364525056 +63788212 +721024281 +1510724375 +360226960 +294538981 +515001722 +1832037761 +256545213 +324659155 +712365417 +900683465 +1316061062 +1140439021 +1608022338 +584992560 +1856147203 +1903780380 +763016432 +843244371 +1317544594 +301149109 +486763468 +1600322191 +536768521 +1321925898 +529310524 +1448183288 +2028835130 +2060742089 +206683079 +245876538 +2124530301 +927707361 +1756600914 +337273614 +1222246342 +124118988 +21827727 +1478791555 +448778143 +734193144 +231991373 +1764839205 +1874632166 +1840013711 +202348118 +1583295721 +1596310443 +965364550 +279056444 +766371389 +1266513659 +765819913 +219209933 +1803282180 +2087745811 +748520457 +1103981820 +1969097293 +661778898 +1310664900 +67490184 +638825552 +90888613 +1824091098 +976099166 +1313134955 +1948210086 +997926893 +644442862 +249504581 +1732120038 +876434235 +2014343786 +1459268556 +568964298 +69208256 +895080629 +17791093 +1034572806 +1174137074 +784162483 +153602817 +1939956987 +1003372416 +1956884998 +1880219150 +1751892873 +913383170 +1701832796 +266188123 +76564422 +1769322980 +905013675 +167453035 +1445930430 +1881112841 +1480587990 +1246656868 +731556087 +2125030853 +1496161449 +316192477 +853981440 +1363021587 +1775461033 +1422945739 +1432229844 +523058014 +1440736832 +319319002 +1697195088 +77415667 +472921820 +1489668427 +1080788083 +282323170 +1222403930 +685197308 +1195706340 +776753078 +951385432 +1272270763 +398592410 +1856399107 +1439723798 +1844522840 +1590028301 +772828141 +943696060 +174100740 +750375346 +292373861 +490293217 +1604356786 +1655395448 +118270602 +879818877 +940141644 +641328616 +173072062 +1259460647 +191040057 +250487729 +1732382467 +1680708484 +1331275813 +2014705637 +755628766 +2016473121 +1062928329 +1532381844 +820374905 +187715444 +1930974254 +529290365 +1627439243 +1628013446 +2119318666 +252783736 +424225858 +145935758 +1003159082 +716599719 +636228975 +460032220 +224511520 +754499577 +1339851098 +1164653164 +1395828193 +1512923160 +276630163 +1586868250 +1763410889 +2009012630 +1120093087 +947203054 +1876234619 +1875721853 +816192528 +791679301 +1260620050 +1636567433 +979394745 +1044110656 +18374150 +459350340 +524640455 +2137692816 +712134076 +948866313 +136144926 +1715293158 +1665466033 +772373901 +27841731 +1889977553 +1526873478 +1367692829 +907147069 +775218024 +733132341 +1183777233 +214602626 +349059582 +1045306215 +1334695713 +1296262637 +774057187 +1062933919 +2112455165 +1565736488 +176070321 +1601538950 +397647585 +1220180977 +1619913101 +856997926 +1744821432 +1610122269 +1569132002 +546204098 +1746267196 +1136941513 +64186483 +371157449 +1164783244 +1954164036 +1898030928 +384992425 +713827457 +525765304 +1118124766 +1897604690 +740367930 +1467184348 +795427258 +2075063644 +615963337 +1569484445 +990513915 +580934854 +987737285 +1166584236 +34990157 +1385384870 +239281565 +1654903258 +94899148 +1984102998 +1117541879 +1664031151 +382823448 +716325427 +653489016 +447009931 +1087482877 +1818272260 +253690319 +838030157 +55781037 +967517776 +1363795461 +1173905803 +717638819 +2104163391 +493606503 +1513066077 +2031743387 +1109569841 +935066874 +874773654 +1690504695 +1922804159 +2041357890 +1725494852 +1160705381 +133155808 +1232914462 +1255604530 +2117258806 +202972694 +772152033 +352598606 +919298121 +1425641049 +799608537 +2006780998 +1096429661 +1053298856 +697327507 +1152210698 +2020816632 +2061122968 +178632853 +590971803 +2017802712 +672239356 +2104037880 +1902062451 +1781809197 +891621106 +629352458 +1324830245 +666941617 +523226700 +902841449 +1827646999 +656382508 +2135755912 +935767881 +626157666 +191244958 +1707919914 +978756272 +1110543079 +986077315 +1778364809 +969840430 +2082506976 +684180017 +1667167937 +1087234026 +557513002 +1580807258 +1265866879 +1148484805 +1451126322 +1938106235 +1105039038 +1205705125 +1572431785 +1996660144 +1835057583 +749778382 +516118114 +210800636 +1652619831 +196281465 +867183144 +1640892095 +1132049346 +1493340811 +1832137053 +692485612 +324613435 +795196485 +1678562927 +2102978245 +1765036915 +1613586255 +639674614 +1284721204 +553336633 +1197187616 +718044814 +1819203512 +198188774 +21687488 +1609826099 +1303227812 +1227392614 +1034774236 +1152404308 +914966549 +1784552618 +1668522422 +1125767185 +1289688802 +1864803887 +1992950330 +783097249 +849369585 +1338807493 +467750655 +1541855197 +1663420928 +1262947140 +1072934476 +1618915525 +880500407 +539037083 +111106492 +17737963 +1092373716 +1308294108 +735782778 +764093580 +1506482882 +757470266 +226436032 +662227046 +1984862880 +1261210268 +1814631355 +752345782 +898279239 +1335670129 +1878112967 +40484393 +1052990369 +1723579649 +823581642 +1902359954 +914903494 +1291332297 +1296731504 +430840775 +406795789 +222182332 +2049756300 +1287296196 +761219416 +13379144 +1305034160 +1853593132 +1321673253 +2040816938 +470203065 +680672487 +650803556 +696639097 +1342899534 +488182789 +1957849365 +1010047241 +1240528571 +708644956 +198233722 +971157890 +749129349 +1251224091 +547253892 +1572710992 +1006100398 +1462157386 +716559641 +155348254 +1892998161 +1123355431 +377530586 +1795270814 +263167979 +1138750002 +1808649958 +1568202139 +844859487 +982839563 +1461535429 +1315062552 +1663512051 +2112338986 +2011701649 +858927937 +453038127 +1822067366 +1868975178 +1693566698 +383228675 +2067208900 +517240940 +1132358024 +1170949344 +1064494832 +557585368 +29566094 +379168571 +1274145010 +184914348 +124683084 +250016793 +562444934 +1919953898 +513184772 +1701194937 +1581120209 +2081386912 +398570776 +416476124 +1395438693 +1713633328 +2079988175 +1360294031 +1577851329 +791432464 +1813332158 +1252435047 +512923994 +1359415208 +1635663722 +432649247 +1876656149 +620538099 +1603598591 +793667333 +1178123467 +1633164685 +1172835904 +304784829 +1818079033 +1297518989 +554801622 +233040319 +1069989239 +1067986395 +1934235256 +503625800 +1001889659 +185322384 +920101925 +249844704 +1898955712 +852606452 +1610138736 +1329323393 +1644038917 +1275987246 +434274793 +9479263 +487918807 +2069938515 +442128510 +217091308 +542992966 +2045727101 +1010758641 +1721116434 +1531408138 +36110898 +2025901263 +1202003523 +1333629887 +433219238 +1435043843 +256135478 +1501205633 +1221795451 +759761279 +355611644 +1407117836 +1679863204 +605456348 +1158589900 +384986008 +68111436 +340429646 +2029024925 +1344098683 +774704439 +2038504189 +1832017490 +697159306 +333149051 +2049108798 +1240152273 +231392505 +912383791 +813785059 +1762800643 +948494689 +692202674 +817320519 +134640928 +1125421912 +104880714 +390776407 +479143897 +1326676165 +1150537686 +834755541 +586310353 +682917242 +1440211890 +1744900254 +1067903250 +1508323326 +2085329900 +949444528 +704938361 +712550691 +840465069 +389472203 +1409709997 +1173614120 +291097353 +502378622 +1405006625 +1203481145 +1316163681 +1020323621 +4492186 +2008366356 +1837644140 +139133115 +986304620 +1942524854 +529909522 +1465448518 +1121717371 +1680447208 +152720411 +1708027725 +215880802 +1592932301 +1305444331 +1283784052 +953771980 +1243290583 +85744932 +1658710341 +1955841274 +926210001 +2048182545 +1218067623 +2099824122 +191796250 +1720446246 +1357347099 +1395277395 +889126279 +230187072 +1399769582 +750008987 +2067831212 +1538902697 +1736313608 +1862872418 +2068812219 +1054278478 +837106142 +1601775779 +1206998889 +397650219 +1817656581 +652447543 +1703094550 +953956985 +1606219523 +798901485 +1039701918 +1117446216 +607259111 +1965911919 +1018145113 +1825326734 +1918252393 +1209941364 +1398289332 +1128115845 +457735111 +139931964 +1358302917 +1857504693 +889940951 +1278650482 +1248923742 +478770911 +994039252 +1170252313 +1533049389 +1831145394 +624544444 +592564631 +81311965 +294717377 +1245012174 +1784406515 +1248674363 +703748049 +435824352 +140892633 +1821194265 +1043083463 +2106804552 +691855731 +720926550 +1877573298 +1901797095 +2119215882 +858205495 +212048558 +111664198 +69024764 +2069553252 +1001605150 +1347675246 +1170993346 +1480376061 +194230851 +193762012 +865941803 +2025376245 +818306456 +1458506434 +2106688211 +1113023834 +556034960 +1743611078 +214214549 +1259783009 +31951783 +355107182 +933493626 +1075035246 +314428086 +1625349357 +1795961796 +44517736 +1379662804 +1767694031 +902723231 +1591711363 +1879358229 +971747996 +1513780967 +733479731 +171939594 +537290665 +66372145 +366170445 +731052677 +932313948 +244063043 +1549359134 +243336734 +203267606 +514899320 +799371694 +1946878684 +729113869 +2059154703 +1978830467 +1084221051 +845164681 +906382066 +1398649137 +323030391 +554860214 +1443166874 +1702693195 +175070597 +198406457 +1146920910 +2054428827 +1170154453 +513218229 +640424910 +1342094048 +1050508895 +706797055 +1708264493 +1781561572 +1639111003 +1952327536 +1183437058 +1882447737 +8111494 +1698336378 +534335783 +1954990179 +279966599 +446006838 +1786336998 +1364187650 +1291171520 +545235416 +615353140 +1614201911 +1100095631 +2058520014 +1169411458 +1275166228 +109442823 +168848721 +1182111407 +1279597277 +682066950 +1822536318 +474207677 +1732575845 +381849725 +34988522 +1366653770 +2020960729 +1987316059 +402607180 +1755924818 +1995427553 +2100943559 +142776954 +1802934084 +233426510 +588783792 +1441787435 +1597614161 +1879955312 +1987022851 +65483653 +1346673575 +939634834 +2124003667 +368601386 +67317415 +85962842 +537450107 +1249428822 +1365560119 +1219517057 +924481492 +1839767796 +804609255 +1306331218 +1874756319 +23779377 +1179808299 +1714588730 +426386557 +788249469 +1562532635 +379846468 +931026423 +1217983072 +613272979 +1519810216 +512286859 +63403492 +1252281880 +351826062 +128887145 +451471808 +1291460897 +105407164 +820073194 +1358778312 +191370006 +1357523301 +460723486 +1556930126 +429556710 +1385204979 +1249214274 +1234165965 +544052549 +976486945 +1257945342 +1723860848 +543592027 +1684331900 +364626669 +2106124663 +2064178368 +1295653093 +1176624087 +529967699 +667979661 +1688910946 +593371191 +1920261541 +2040737008 +722258336 +224249701 +1184714257 +827665500 +1044322895 +396008921 +1019035507 +254362548 +856732408 +428481985 +683919259 +94453739 +1677696259 +1918085224 +638506288 +506699557 +1028546919 +214883488 +1050291584 +565395171 +579510157 +1008932599 +482089891 +1875163250 +38073038 +1012057591 +395659263 +1726983984 +1605428782 +168437157 +1620237345 +180203471 +392686858 +657467954 +1007868971 +1437009754 +1053476876 +2026904478 +1691372302 +1910209284 +307902815 +227807913 +2004663023 +1985599075 +2145893138 +495685663 +344814984 +1026956409 +710569151 +1395106568 +1592351580 +1290079308 +256555520 +2074441471 +1017758911 +294628558 +939015414 +1413418174 +2021612543 +396960549 +1581855331 +1494366240 +577164020 +1974542190 +4350546 +1585032991 +1264068296 +1057827422 +1464453822 +807956950 +820553058 +1772356637 +1035764864 +677732433 +1610472064 +1034174354 +1173418096 +1955287048 +2061130763 +1883987247 +1202909969 +1505998695 +1026582908 +1459465489 +1432956518 +2044341819 +1754094047 +224488285 +1310276345 +1628222942 +621448834 +744648029 +975105534 +1198612854 +571706571 +979456081 +636162197 +1835774867 +2037283503 +2100616019 +496248169 +710352914 +1725489009 +1532013033 +1388085347 +1188477425 +418703739 +414019796 +996280826 +332350854 +150523395 +51707147 +1838349549 +1177106303 +1511172636 +1123822420 +1073964474 +1117783035 +1348310705 +236757172 +598522330 +1969759539 +981405201 +1573627864 +1020888745 +1553111772 +405600297 +1657050942 +1241402991 +295400153 +1610183314 +1737651160 +1005753067 +1188188675 +1122180546 +246354766 +229182452 +1540884285 +660374562 +1225463278 +1873235140 +810897958 +1277170425 +1564101041 +1988004261 +640859413 +540439813 +914485088 +1758642449 +1888750518 +1151242260 +209681131 +1711026409 +2132647461 +1783308995 +584431506 +1538275585 +41425645 +93998801 +632194928 +336825798 +1704182115 +222362440 +1342578865 +744887142 +1344542986 +1588933631 +974069594 +737943624 +101824546 +52049225 +463695116 +912722504 +1329219650 +2027796157 +753243117 +1970079064 +420752323 +1667728205 +1581237865 +162019193 +671486817 +1790918996 +1873045603 +656650630 +1426744343 +309993461 +47442567 +1468169988 +403992262 +679637495 +1804995786 +2108174377 +901999936 +1000091003 +705577871 +99059274 +441540987 +1679647466 +837002898 +543365533 +1731696691 +1300698014 +1456088037 +913432693 +1181010524 +61847506 +736028109 +1601762847 +1729575712 +169782326 +1763782040 +253578881 +1960701322 +1489343995 +910229512 +1239962018 +1799337457 +957672079 +560648358 +55846071 +1637309575 +218160497 +16536801 +391825863 +1218251500 +722114672 +490885137 +1659792487 +254278490 +1327888036 +55674372 +1985975181 +481102402 +1511762409 +751924227 +1662112926 +1573609916 +1487952336 +1116392125 +1155701980 +1657734663 +732690518 +1409280861 +1470952337 +74550865 +172026725 +563430707 +1873888322 +1129698805 +1124079066 +1929734394 +619524732 +1342239563 +1946271195 +1011350595 +413007415 +520902219 +1502235732 +2072799903 +775180710 +682640120 +2128474275 +613672243 +1163742523 +1492753037 +1365596470 +678371801 +918879305 +706065159 +1794763927 +2074581285 +216316174 +379970797 +1336378498 +1687268511 +454521662 +1508405224 +103215571 +180926337 +490620381 +1227294637 +2110660731 +1110145113 +422050552 +1909448278 +2121495708 +835057967 +282866849 +1476247792 +760374222 +1058047559 +11404265 +741364850 +1671719803 +1175146788 +86634239 +889832625 +1853518589 +1005513544 +1595897784 +1500798868 +932611181 +1812213958 +1880769665 +121506031 +1351998822 +187807680 +1629911255 +1455214393 +368734017 +2120531636 +535025382 +331911100 +1083193101 +957075934 +93875730 +1057205161 +1792133901 +376742579 +385969306 +405024476 +1434790139 +397373571 +1146389326 +959026294 +1572520359 +1233023565 +1848858919 +1278555300 +91053461 +1297273056 +631870521 +1023664642 +962003366 +365156538 +1145170673 +166518540 +552964218 +627598281 +1621732933 +921698235 +600646269 +9274667 +1253609335 +1683839371 +966350601 +1347485065 +593560884 +611000855 +1724227645 +979530190 +1016025331 +1011534136 +1376903761 +14931009 +1970560430 +801940472 +1247954574 +1671935701 +2080495773 +1339008035 +821725109 +564882646 +215189029 +1783728476 +930039184 +1360359702 +1950247016 +1483003403 +1987957983 +1424496302 +257217990 +441120605 +1433770969 +1510827326 +2124959976 +252637923 +710828743 +571037212 +863638778 +287572740 +1550567403 +1879664109 +1299106876 +779987516 +1894595118 +1122183658 +1581927989 +995066044 +646635712 +1514940114 +186590431 +1468360821 +2079822760 +401779460 +1104605649 +862378296 +1762139162 +907369018 +197898051 +1602613498 +184381672 +455116042 +2043734103 +1618152641 +1965943368 +2021210431 +1870790564 +529288463 +444763995 +586945694 +816861204 +1995331398 +319126155 +2115968080 +627835267 +66237625 +1090668091 +62279608 +1061303669 +1737303803 +1577219722 +1247894100 +1058180976 +1509558834 +1649673560 +15302978 +224453482 +1264329075 +922671996 +422351534 +719458925 +1107053668 +877467576 +615709380 +577722661 +695927296 +489436163 +301029578 +1225215759 +934200158 +887975272 +2042076963 +782047909 +1207101428 +2010561396 +1409883176 +1273339053 +953745839 +1472162784 +187159075 +543565994 +901898858 +1435053175 +1601746970 +263974044 +937243088 +1617049948 +488427526 +54088515 +392238296 +910779060 +773547440 +1499291964 +1788246636 +1389256820 +2077014626 +336690284 +1878692983 +230560556 +1561906044 +665409493 +1118535828 +1456499359 +1447457402 +178153608 +1319577107 +709856930 +1451492662 +125839298 +34536066 +1638651737 +669405292 +936434924 +926221264 +123668615 +1200408968 +1863464352 +1740718563 +1688836495 +1917552867 +2132956860 +452131907 +543616659 +1484765176 +92894896 +1932873479 +1414296154 +429585180 +1664082814 +1644856710 +1991491224 +182008660 +615908891 +1300506936 +1629466062 +794062499 +472600395 +191839345 +98071513 +598439694 +226375411 +1736723250 +1267844986 +1162810336 +515460867 +1391513601 +215735656 +231441571 +984748517 +1904572151 +1510791 +970221729 +209220411 +545127450 +307503257 +302115307 +330517282 +1721799412 +731700487 +1994600096 +1219172474 +575708064 +29125108 +1835081365 +1876215000 +1658591171 +481660217 +201331747 +1850430516 +579731730 +799771441 +2076805927 +168971333 +2067616428 +1092132615 +684432200 +1311646381 +1307868272 +915873771 +148911250 +1064956775 +917384562 +1119132979 +1274177186 +1462512013 +1426636237 +1576292493 +1793029295 +1000952001 +160509333 +1640145743 +72640827 +736217397 +1669270852 +1907722193 +464948749 +1180378375 +241898762 +666280496 +883325243 +821630492 +1466051938 +812647522 +990601825 +1386184718 +1904780138 +1675034025 +550347451 +1065164762 +443424149 +699258702 +2130121537 +1360808711 +1818391681 +1256815076 +675837076 +1097544270 +685623921 +321382723 +2098496271 +846133254 +1961528467 +23653451 +1582350651 +1483315671 +1931375644 +2047299400 +516210398 +25790758 +566096249 +1399535641 +847421250 +2032148187 +64699515 +1838023076 +1270849257 +1969479653 +1365573453 +1821196708 +887160767 +1808997602 +372971762 +869798657 +1022322666 +43879796 +2126613733 +1698159742 +1141424066 +664754006 +2019542466 +1092436690 +1510887261 +1833587285 +1116090141 +945754264 +1169419308 +899982137 +845570017 +1685629706 +925772895 +1411666266 +937681699 +1773194145 +1296330805 +1002381214 +1463733573 +419696414 +824377220 +681823379 +93409474 +1711537987 +343337333 +466381237 +433852996 +1365659999 +510261033 +412983081 +916336094 +1651685099 +1077737088 +788394912 +596638141 +441140701 +474498549 +1712728282 +1386894965 +1643917857 +465226771 +84981334 +1182063915 +1390999666 +1496647600 +2119745614 +1016710164 +645494757 +974643180 +332960089 +1065191171 +1799020400 +1014783468 +1158600646 +1363074740 +1358120802 +1624981883 +1796927736 +576297153 +2135242916 +62427170 +1492633247 +1639444367 +1140164258 +133544511 +88598861 +1581304959 +608043060 +1801327143 +820716276 +104477269 +119070267 +905697611 +1286541184 +1510069933 +254861563 +1258803150 +379296449 +900356321 +85962683 +712256539 +1965547492 +1884983083 +1727040007 +976664490 +1100574175 +937677161 +454162725 +750018264 +1513974315 +441921993 +812445434 +859123914 +2081366361 +1952609692 +992668426 +22481574 +1386431003 +1600711486 +1823808717 +59663631 +1705188756 +1942878984 +965361242 +844246292 +1305465270 +1220222806 +2103049443 +1684761719 +2120579127 +41528478 +249534610 +1938642971 +1926511561 +1976574618 +767823814 +879602089 +766768131 +1221986539 +1629620353 +133258798 +1663908533 +294582139 +992382713 +1597791246 +99708183 +1985051139 +1620272820 +1486139186 +1438278977 +1296597889 +1545802817 +995984085 +1091993226 +363680412 +1840230378 +249974848 +1583903218 +1795796173 +1934736567 +1556998697 +1837324651 +36787530 +1348158020 +1616352564 +2013362148 +2115981834 +348471005 +632646631 +1190484726 +1978091358 +765905430 +706909611 +125189849 +1758288143 +157217209 +224898032 +1595855634 +1777490029 +1711037218 +886650963 +926604270 +1109356388 +1882635049 +2018597496 +1473036800 +1575381779 +121088696 +909456370 +1223694304 +2055825264 +318971419 +913535307 +2092612794 +1667129439 +382404223 +1958491294 +1635627626 +730875229 +443654277 +678628704 +561482939 +1209559707 +1385538315 +686672789 +820364202 +1542755524 +911570821 +268736188 +1172761905 +475124392 +1155387152 +2099366175 +1584480780 +890538553 +1970480024 +910033932 +318436684 +2091568720 +1819490302 +1542130988 +1999910336 +2138461721 +308182647 +1945039482 +1658107512 +690586870 +1756047128 +1146251490 +1421462099 +52217758 +1824880194 +1982945039 +1261777465 +1062934861 +522134180 +2082141668 +458206737 +1433705001 +203394208 +1630968642 +1908829393 +1358781360 +1582851170 +1345826525 +101836265 +1405847546 +108376809 +420272949 +1349932618 +1927867111 +1962403937 +1202359307 +1918845184 +123102936 +999915141 +1429469049 +813689807 +608478622 +428236891 +87668258 +660696380 +105633438 +2070613297 +1922473845 +1168568299 +445263829 +1857131865 +1626775037 +1878968831 +2060526074 +1110260031 +1640314576 +1271823786 +545627553 +838657454 +1373660052 +1951475099 +947034263 +1793933001 +1153924070 +727417727 +1608853291 +208799729 +498779263 +1731956227 +1208714870 +1928248312 +398162386 +1817193492 +209001556 +485830645 +330406224 +314634994 +408960294 +105396422 +1483203293 +854224124 +1962528287 +962494682 +585709307 +1875570713 +2072754714 +78540235 +999910852 +470898619 +917197689 +226087256 +274890071 +1864231953 +2020020257 +1428814141 +444166032 +1481389900 +1637613870 +942945295 +1065862480 +698845092 +723709960 +1464024866 +368554937 +932711516 +1949855511 +698961161 +1247346510 +211332158 +804357583 +583066155 +1065556282 +619402223 +1545560838 +1651265589 +347489288 +1470831904 +1729805824 +1347400140 +1941730523 +499519866 +1573487396 +69136946 +216268171 +1446024006 +1497951087 +660434203 +779930258 +988081309 +1603379498 +1845792738 +1686926402 +179605810 +1162333957 +2055481339 +1112317326 +964705820 +606958852 +212180188 +1176037978 +1411316436 +795246344 +94110612 +2030718659 +193323534 +1745376201 +230724299 +1664155438 +1327698378 +1578124440 +1458402313 +1827218244 +1004128188 +1527539260 +2043486415 +302668546 +878006699 +556436970 +1082598805 +1866088009 +12332820 +780907895 +1405530763 +191938631 +1943241852 +1313528454 +1304255957 +760464025 +1920487306 +1516436146 +1936502003 +1184320094 +164198842 +2030612616 +1067555105 +357522376 +1628505169 +1298279405 +2021677814 +808719899 +728920197 +1332596479 +488454495 +1733048385 +712652091 +384457262 +2035716932 +1590658791 +940894232 +970832089 +1309263152 +953227053 +1751739984 +567310267 +1145165684 +1547498189 +1880838721 +301937993 +160478566 +1653842379 +1818374139 +2096980569 +690678826 +1982572981 +1980109537 +1758233931 +192611709 +1461131059 +909029688 +66805875 +122367310 +1637949885 +1399402355 +610821806 +1223514623 +2112054446 +995279068 +1111747907 +1555229589 +1936173301 +2082579996 +717009093 +741916706 +1686836332 +1284319360 +1887082390 +1086850873 +1017674433 +41536735 +1247329439 +524033165 +1859910875 +1196826361 +1214711991 +1695000208 +1029452250 +825462274 +1887611918 +343099661 +1734491963 +1954417793 +465466972 +1224958200 +1206336500 +1076288778 +300989175 +1170907299 +2071567846 +1412737082 +578653240 +1860257499 +1347833430 +1295662334 +454690557 +887186115 +432498046 +194289299 +1974036988 +1450172480 +235826035 +1073882780 +1974205645 +2095736910 +123225493 +1041433988 +1643253470 +1152677743 +1866896262 +1383381740 +1495777405 +1453904577 +1190315886 +1961244377 +531379130 +249168738 +890049507 +832368305 +1420076037 +814133705 +97621740 +1998729278 +526907557 +1445455170 +1146907964 +981598114 +185157637 +1579406010 +1175887414 +11710978 +882094842 +1411713449 +1085593758 +708816839 +1359966711 +1208819251 +1750250827 +855736533 +214013346 +1469663442 +91634626 +1709790751 +776084371 +1281950512 +1523551480 +1307463501 +1531119250 +266117339 +2139831807 +803711640 +1080251045 +89969899 +654957270 +1607158602 +1535425069 +1801865234 +441273068 +1720582707 +1233787596 +1617160482 +1732293685 +2115882439 +881390283 +670403795 +677215630 +93873346 +1879223046 +279982810 +949609880 +2093236392 +1749646252 +1041244506 +1655543496 +378246975 +175711370 +1031611328 +1685710477 +1706830620 +1297728668 +1678058636 +363058612 +230496065 +1768028535 +1018015882 +1837654667 +1155969956 +672397468 +131444087 +729069015 +1906185065 +1748604570 +313879052 +1874583856 +482511205 +984282847 +404315838 +576384552 +716022245 +684298648 +1525994432 +661774990 +286461252 +419755290 +169834838 +664708228 +595466660 +1201446166 +202935057 +154813632 +351691186 +1880993693 +517872245 +582187251 +1501538580 +1535888127 +272358270 +510024888 +60801948 +403802358 +1239093904 +1966987013 +4923280 +1552972956 +1694087221 +487434485 +389772156 +2098403059 +1063819037 +1105794401 +635218060 +442329821 +1767569391 +921679312 +862085111 +1937404229 +1586387540 +1457551771 +991366748 +1789322597 +1612365404 +1343057934 +1522832642 +2130237649 +1925245186 +876887574 +1518642128 +50119808 +1386912463 +1579444076 +453922166 +478522719 +1398947441 +458845446 +2031495675 +945551014 +946279932 +273784183 +896470426 +2010098969 +1379578585 +1531688486 +304945143 +999664328 +305884150 +1167030254 +789584910 +1892271691 +477098378 +1780951658 +1534110640 +2089463782 +976525944 +909459635 +2072217783 +754287482 +1786347209 +1443376263 +804407291 +1025776024 +875336692 +1258329457 +1504298743 +126800485 +1717174904 +1388310771 +1072351500 +515971188 +1662094954 +1968821926 +378586509 +894189891 +1353026764 +683531652 +1893854220 +1658910914 +1850561907 +535955482 +1403698957 +180176637 +169423492 +790325950 +122156771 +1145949436 +1699785585 +46890906 +1900236919 +1338649146 +1490267169 +557160562 +216941523 +218120213 +1815490019 +1721240266 +344920699 +1385181275 +962067389 +1417272199 +1901152463 +476678696 +1238610477 +132255325 +1370868587 +444153593 +815786977 +1117239159 +2103064507 +518865236 +1653194641 +1359279817 +699041873 +1822618133 +2122119 +821198644 +821083922 +1701907704 +868089550 +573837193 +893073202 +210873072 +1130997755 +1110014725 +428993285 +799004126 +683771344 +773913984 +36701754 +1645838733 +43702535 +1937854217 +2122517429 +1282313012 +2070109542 +1345902369 +1726466605 +738412872 +315657880 +1682047465 +1257278108 +1968852522 +893843634 +1956319982 +1643987007 +895965753 +630034978 +317587281 +450389809 +1498124529 +891424474 +1343463011 +1708997601 +2022422229 +305994089 +2137990886 +673942708 +989765433 +764421223 +710644462 +488120518 +808123758 +501015031 +463154300 +2090436771 +423640926 +1809056669 +1669419728 +1162053798 +2124714549 +1203983545 +271848258 +1946083423 +2097827179 +80684592 +1442586783 +846309284 +710719571 +1760174064 +1296699093 +61360452 +504114891 +492678457 +1770358053 +379053472 +798672546 +1760865291 +1052996180 +1788437979 +377802866 +1763640642 +129074849 +1185926625 +117172026 +592229149 +1128879748 +540812952 +253802170 +650815828 +1702866750 +231033072 +1854799374 +1974715008 +29632847 +1805142905 +2055399601 +1472219630 +503968542 +618635524 +1084910047 +1800667635 +679995976 +1589024938 +145862444 +302870381 +1968078410 +944534990 +2063735672 +873590943 +585489321 +294054891 +489747937 +714564171 +1479981516 +606919963 +1306793320 +461377616 +1147732915 +1560595491 +1112193444 +703116017 +1791628563 +819509170 +530347378 +1821261410 +477168428 +438263331 +1145997393 +981136970 +1056898855 +83423792 +634320957 +1736894831 +1672448730 +780183402 +2039765212 +1493043492 +1724718392 +1956017236 +219150787 +162724066 +102588479 +708898725 +877288237 +1582569995 +1315818688 +36597909 +2043947611 +316067956 +1597193400 +1008657408 +1019183973 +1241338315 +1828166578 +1549531351 +915116078 +157851358 +1987794682 +2061113471 +1138988328 +897209889 +2144537263 +1773309286 +486621072 +1669502345 +406009040 +378902636 +1015062189 +2130727432 +187436225 +1234212977 +145967850 +290024704 +1943111702 +1023256087 +1872594700 +1111446742 +1059853997 +1769058663 +1427514698 +509563749 +630232423 +299215024 +1750902065 +310915354 +1848746375 +518534495 +468766712 +1689057410 +432164318 +1607755041 +438783651 +429217933 +1233580679 +925404724 +2098720278 +1639589719 +1304307360 +966298819 +1622833503 +1491743585 +53028148 +1768801354 +1781768290 +1996139850 +644573793 +1506879342 +960102945 +1704427790 +1128454357 +240133995 +66507892 +1758686781 +539349019 +1817409957 +2069602135 +240611747 +188460804 +390885199 +1929669157 +620625122 +1998640240 +220969160 +1049843055 +1084737271 +1146373884 +1001079685 +576843342 +303197597 +1967378504 +52193198 +1794941182 +2020406653 +1820994552 +1429225824 +1869062855 +318084697 +788621518 +681682152 +2022512488 +1917075876 +921816148 +2089020380 +1528279009 +1461165167 +1758946689 +1450397496 +1701776914 +1947407493 +1841282695 +1483962423 +420548967 +1692439288 +1704931584 +1470392022 +629692911 +703821820 +323988059 +1206536254 +1007019417 +143882915 +1258729452 +654476952 +16805920 +932240356 +2083702776 +1885868776 +1250325053 +724840647 +420067280 +1125353893 +494432875 +1341883428 +1066890625 +2022711884 +655564948 +678353666 +1325625732 +209858214 +478277511 +1019424779 +1693820638 +898826478 +564380419 +1251268574 +221734852 +1194073331 +1955090394 +545722911 +253125937 +814626164 +689605827 +1511855389 +1469103116 +706411747 +296612097 +1405322244 +444796875 +1546937150 +2130162891 +864864156 +524807396 +477112118 +59263936 +1591698021 +352340354 +714828884 +122568040 +1677966086 +924687099 +600845551 +549907218 +471024089 +1499672030 +1114287637 +1722292663 +1721406882 +160877320 +1529899409 +119646146 +414003257 +197041925 +809251973 +1925858646 +1666145041 +1515663720 +74987095 +923983638 +1960460596 +1621924246 +906662881 +677841104 +2146731642 +1383775000 +737105040 +1590946015 +1736115354 +1451933925 +1713514055 +1266597793 +229137376 +166875959 +1816505011 +700161465 +1666547989 +783309000 +274970480 +1240471223 +944186321 +1804869889 +1360117369 +1358189578 +2001911815 +21885694 +1136564577 +1520573208 +1537549415 +1211551672 +297073198 +1350526363 +685992270 +1203736080 +2028367467 +685240264 +440027432 +617988859 +128702632 +28659138 +2069922784 +1842216687 +1295256931 +151576512 +2009092646 +964278294 +851737977 +1528156987 +1747587295 +1126708457 +621144563 +544289968 +784094699 +1981261932 +1902479546 +638522866 +2003147627 +891560475 +11612426 +1393213394 +2103112148 +308685625 +596256109 +641620770 +1512421705 +477139928 +1326861035 +1952449137 +1095128787 +1455563667 +1981108275 +1017567924 +1150296706 +1128881559 +1169144436 +1011905705 +2093159853 +2020882414 +392579044 +1693263500 +1000107223 +1013723607 +90069820 +1784201922 +847501892 +1992549367 +275241140 +703165871 +736626194 +286853567 +2096379265 +692254694 +595539192 +545151726 +1333875465 +2107960897 +1022291654 +513252852 +1912926386 +2117420441 +1968816519 +1746551013 +987504717 +971629577 +727948924 +9165506 +1983535282 +673625130 +2030047920 +228630679 +219404982 +882671495 +1242354286 +309474803 +519389770 +2089856178 +154540522 +794630910 +645538401 +891166716 +1081484477 +594434018 +1583421411 +1677023669 +1139585744 +769813228 +1637500918 +14393750 +1283066080 +1402943656 +2131814192 +1104398951 +1002011022 +971835261 +2076028528 +1729959946 +981000767 +1912080163 +256101428 +863565039 +2140710842 +475506411 +1746236535 +1235581480 +784981214 +118142657 +1177954011 +939521736 +912773567 +1823492412 +1830688452 +1994258045 +270442783 +1266626215 +1523798066 +1410028527 +2036439443 +1013815337 +1424422278 +1172021875 +269275345 +1408752822 +128937178 +1271286367 +233104435 +57482059 +853762666 +1214105203 +1969562222 +1109864094 +2077670242 +1962789416 +1585370505 +1676423129 +1050887248 +222868071 +1794565786 +81357611 +1162389807 +559855706 +1904850024 +845594612 +406630103 +27809159 +2112220827 +1930428169 +1437837686 +2001176623 +796759858 +714776316 +1025714850 +1066035204 +2123529138 +1154652029 +189837923 +209149926 +1212134088 +1043600589 +1423255129 +1034212662 +5981036 +1353441723 +849518430 +1591351541 +882381205 +1900405678 +1814219613 +529463343 +1981763290 +829125772 +1089319049 +1739129666 +1674720384 +1495949152 +1766938825 +1639457564 +1278893674 +1057292863 +1493150539 +2075653532 +1772069180 +371381741 +994205088 +1748114670 +1526033770 +1184043012 +1957264596 +590684210 +80159953 +1233036077 +1624896872 +86140989 +438994153 +326931654 +1677492531 +1321375358 +79853685 +1344228496 +1850838701 +2061616975 +25870620 +792674103 +1653262993 +1700591005 +141139607 +1272718170 +1192564921 +1420033281 +182527385 +538231812 +1348203166 +1954596565 +909613553 +194924606 +1555227588 +288163676 +1378967618 +1365008536 +878847886 +1459127572 +450560966 +356261111 +1545268561 +889555119 +683192765 +1075277444 +63446829 +763046450 +272022292 +1914285530 +677179777 +297892913 +559475985 +182959122 +1998483918 +700615593 +1455677292 +1043565191 +2120648874 +1638204678 +1581797003 +1321368392 +1445317595 +343926908 +1516292999 +853061535 +632090584 +747776969 +70586424 +1510938471 +59420893 +521147390 +1867199582 +1604689455 +1410702509 +402908699 +532483251 +1474149338 +1165955150 +804505544 +1240951220 +1843134927 +1102398457 +1800427206 +2026094050 +953398727 +353559151 +1334287694 +1996963918 +326724377 +825008724 +1431277273 +1648092770 +122842672 +1775204181 +1016902121 +975904207 +259811118 +1764679090 +1046490631 +1770749589 +1824099984 +1567638021 +1490465523 +1281305791 +830856882 +1893374222 +1813789042 +157522572 +911845724 +470810938 +1398473793 +607497004 +1573209395 +1051417351 +486107406 +379124474 +1404976502 +1820395100 +228604744 +1731700879 +497920177 +1659882017 +1232310001 +620762849 +1287602551 +101728474 +1596667056 +1547413669 +1866407565 +495674040 +1170679610 +1543023901 +2063312061 +513661485 +676846044 +746685296 +259552059 +343151438 +904207868 +1171397784 +813962377 +155198013 +1778894788 +239688124 +1206615364 +117518546 +618812599 +464108218 +1937913646 +847417343 +48325450 +288350175 +359815713 +1280635451 +909113024 +1647418264 +1382363926 +358296433 +1047348285 +1101287843 +853970473 +70544247 +496828096 +769798886 +584205732 +1173674140 +1516484182 +843757791 +1516825578 +273208403 +2015155575 +183304307 +428406416 +1646566715 +422992432 +1635021781 +1764085261 +1041805031 +2099129999 +1554515260 +1889222374 +2147455449 +1842865435 +101554439 +1280607253 +604494812 +1748972703 +515487531 +962791245 +648837340 +1616775374 +1816761718 +719381587 +2113603470 +439076956 +1303587319 +1139793962 +1955561139 +2147345111 +509135892 +81285894 +2015017038 +692440200 +509692310 +1514100106 +1115432632 +2144714091 +1130701719 +9754015 +2096360443 +537733331 +1898976389 +2096332244 +233115119 +2000530829 +1229455849 +837609931 +1602019884 +1744943380 +1800401176 +103373577 +1214235106 +1469679246 +822755164 +1180354928 +1908756202 +2126342484 +172665242 +1716833693 +2126203947 +681801135 +1798119587 +1993737337 +1374241335 +160328250 +1360353795 +342190319 +157558693 +343571867 +351944334 +106435488 +881305198 +103437075 +55284085 +1114420317 +2103967904 +1284739934 +1952030248 +1558504141 +882199667 +1604947776 +1661877718 +2096434773 +927143374 +337149234 +1129306054 +688415929 +316008070 +1301971296 +257765974 +294728369 +1983772431 +2055885562 +140982059 +1210530118 +68730164 +1501335854 +1552720437 +226288857 +1844907721 +1904664771 +332724346 +578729272 +2008101847 +388008431 +1693149589 +1964586103 +1672748365 +1497696190 +1375606596 +407464384 +955160318 +890000666 +356415510 +1882303693 +1227149901 +1485721564 +423235974 +1543157971 +640209212 +681001948 +1837886341 +476497996 +589403862 +1978868400 +1687028114 +658134026 +1332720606 +1092264904 +884422884 +1030144680 +849446027 +1217147230 +1608873952 +710064226 +1605155661 +1154539893 +527166682 +1130420378 +504752435 +1902773278 +1537884763 +1459912754 +645290297 +1894300273 +1194732799 +1872440198 +1232538189 +1617968773 +1268114521 +1872747401 +151487073 +958517214 +201761749 +740890936 +789901966 +1888789864 +1399024962 +2122622573 +833571120 +135964198 +1005283605 +1683017147 +1353111428 +466673909 +245597726 +810783441 +1621213802 +772764408 +1941203820 +2125966238 +528054038 +1331604935 +1438395344 +1173344335 +1078421560 +485644495 +898300885 +163476101 +2103613268 +18931759 +2036223502 +107616693 +977448973 +90501604 +848507629 +1767350940 +1979291468 +100048944 +1742489865 +665378940 +236013142 +600289822 +200912439 +1589124571 +1066963731 +446510165 +252424364 +540693885 +1219274573 +46144536 +519176475 +1747328612 +1377749471 +1957571819 +773189299 +308687383 +295732666 +1671490185 +472163484 +251862286 +1690421944 +360903339 +359478980 +520387269 +451404943 +1207986609 +140254561 +283212763 +1308035553 +1882744426 +948591703 +1544048696 +335550600 +1149504142 +985689619 +1402514331 +1596014308 +1238113983 +1943208217 +667805233 +1284258520 +314901044 +267650197 +514524343 +124989216 +1040839497 +823211727 +420721882 +564846034 +1295375211 +672584169 +107784330 +1656278550 +1032063149 +628171599 +2107683493 +92566110 +768426161 +243412608 +1400601664 +503686939 +1192004311 +797166712 +839237540 +194024806 +1782856331 +94268223 +1790039114 +873486666 +2037476440 +310360699 +10261538 +204893837 +578010897 +524785882 +329883053 +1618850394 +1347997609 +750604935 +36212780 +495889172 +1423189104 +143997110 +4684075 +307768605 +772168709 +2112367568 +400334716 +1540594870 +208296529 +1800936380 +2044281810 +1400300840 +450619444 +736035702 +1594325646 +85992127 +830303925 +1236881112 +959478793 +720296718 +1547241812 +969740332 +925190555 +2125252709 +1494526214 +1255073608 +1596619455 +695040175 +2005678543 +1632832235 +1190929347 +1281384000 +1776829345 +1195613422 +1589152605 +401514406 +1160497343 +1989487321 +1942109277 +1368793872 +1642940053 +1838907439 +621611064 +2093559497 +427459493 +68453063 +32067976 +1257763418 +1305334175 +991546770 +1978060136 +705092339 +1961287102 +755767043 +682861400 +1308329668 +2010840651 +131997207 +2003369843 +1869035547 +1764829442 +1046815542 +1002935899 +1394175139 +94945317 +444604856 +1795689546 +1255442660 +286608530 +1590315175 +476752884 +1929548583 +1281738966 +1098363948 +1875624433 +1709198459 +1166817011 +1907692409 +819478229 +324667539 +751755531 +650054718 +1029759878 +565558985 +1405821761 +1712621279 +1873888653 +1269178765 +1844618486 +1729774848 +990730664 +1461964281 +629106743 +1993666563 +708655772 +724052060 +290787771 +356861670 +1979494720 +577396301 +1947176845 +308763956 +359461237 +1081432163 +1407127904 +87602022 +643146974 +426461268 +1995294431 +1462625204 +751128807 +599566315 +2112679922 +1780888685 +1165125300 +1371018035 +1346026316 +891530306 +492713152 +1043161155 +473821506 +1483443816 +357641788 +1102928249 +1329626731 +1066297560 +1826980309 +1620414503 +1423159231 +1658991381 +50327156 +1222852428 +1967755337 +409788393 +156800944 +1227399594 +497390415 +799947918 +1653860862 +345201199 +115089474 +257506021 +944767514 +80285748 +2038394706 +2109892814 +1451303784 +1236937375 +853939472 +1944016936 +132614882 +1327760979 +1279977105 +490256670 +283205580 +462120188 +1556554230 +2110185890 +2082534691 +832229813 +1621693623 +2132861848 +2055082242 +1441965313 +395166593 +64399538 +521881259 +892557009 +864347456 +28258473 +1237758208 +979436931 +285764494 +35042074 +1059722679 +176675552 +2144934888 +363542815 +1413612927 +851390713 +160076104 +1546227809 +31668044 +1440053209 +2036484479 +314873624 +1902173397 +1445555062 +277575866 +1837224441 +130301227 +1899269490 +1822602641 +37899821 +1193751155 +70285586 +102299359 +1715632414 +962842595 +966646816 +1743890887 +53117155 +1946083747 +2029655381 +88159229 +858322778 +58847285 +85610470 +1221865594 +1472460213 +937001183 +1381941698 +871204374 +968669227 +674511259 +760205206 +1283542851 +429201008 +58276620 +1561118718 +118941801 +188577847 +1312904560 +1941544442 +226477669 +359172067 +2011830029 +328777028 +2074804481 +827188976 +1295423844 +1671211720 +880306132 +1094023943 +1553383453 +968465361 +1952346722 +1612230738 +1054075831 +1026728668 +937207303 +1991077014 +261186718 +1808411678 +812262593 +935697977 +421133236 +2095805445 +1364898985 +479409856 +1509440515 +1483840787 +667987703 +674861427 +1277901581 +894465372 +1034033494 +1142247962 +1223242401 +961354327 +1969436939 +371182597 +485082399 +702259423 +1465206541 +2038465852 +1670724784 +1270069615 +1503212942 +577316968 +149314635 +292936598 +420910334 +410501353 +2101348276 +1233172928 +1346199330 +374997864 +1181494725 +563614667 +854407720 +543451592 +2047455454 +1522395423 +1218313019 +1177873388 +269377148 +104862865 +172637702 +1492619549 +1066217192 +2142074641 +1863802146 +1551299591 +696850416 +1181525039 +1442281795 +220091553 +304111006 +798011089 +797408521 +453425641 +1090947687 +1218318855 +863926994 +1044812315 +304008135 +62642676 +1419810179 +1485502860 +626257344 +126734251 +2028954452 +526229150 +1649129675 +1099783823 +1704102538 +1918506823 +1204646688 +1876740241 +1263642724 +123380232 +1871331234 +979961222 +1674679823 +420698003 +14002614 +969477970 +640789556 +318113620 +1767489060 +1438198077 +771539262 +710953099 +509033284 +1635466256 +1755765415 +813041420 +1698108933 +1028091946 +151060632 +176882629 +1154826198 +32531437 +703111779 +656472225 +1132315260 +259730670 +427495400 +189478301 +2136470911 +1691138124 +312858533 +1860318497 +523615698 +1987538357 +133532852 +537618312 +809532679 +774322408 +855731933 +429538091 +65036837 +1627271195 +1140491191 +574070122 +1115253803 +748772958 +1387111542 +665879088 +1776864904 +1538172174 +842761717 +784207454 +1570703611 +1545873497 +1440679679 +555535224 +1805604167 +1868175079 +745013525 +1794591430 +1411829555 +1057872058 +1507426279 +1935445254 +897926767 +1640959132 +325579918 +1707459447 +267797892 +1181311851 +2136997538 +332834730 +661099398 +1130005081 +906904852 +1776353202 +1878778039 +146532746 +294748642 +1508159296 +1684704920 +1137510360 +144883102 +1107924884 +535900209 +1585562782 +1663460108 +194020728 +1306254213 +260989985 +1988612158 +570600121 +1318862043 +1348554789 +358561727 +69305163 +842030273 +684141645 +1776764610 +1109828166 +1865453497 +1766278500 +1442662896 +379069247 +748799934 +202084100 +7938801 +480094325 +348616846 +302687444 +1988253621 +2033321766 +1440197804 +2133136724 +993763002 +1976098013 +1571215858 +509739462 +22635093 +729986423 +770729447 +2011247251 +1300586544 +2089591491 +1212318392 +1659148271 +11413006 +2054348666 +195806269 +1788177616 +1016693184 +2061259766 +1406972468 +311872432 +292845365 +8288754 +513956532 +300784167 +488383080 +862573378 +603471611 +329153053 +748411496 +2043669415 +314806129 +1742174499 +1872283780 +1886021987 +104430313 +1894918873 +468524763 +875159761 +1758682476 +1769111307 +817267604 +823517220 +1280775931 +828680610 +730382238 +1476582200 +469374578 +1747075422 +1390358318 +1876347046 +2058947854 +1683203683 +1884635801 +425420738 +1983987850 +225535233 +1287994116 +439975813 +554688286 +2036405613 +336161580 +869494416 +1631096464 +60961712 +608032755 +1735526777 +1955880585 +1076557518 +463202890 +1567079413 +698185178 +1280470494 +243112986 +1978961109 +2109151104 +973495224 +1308059661 +431042034 +573086999 +550934331 +159905433 +484551205 +86654366 +2044541234 +909971944 +2070642217 +122592819 +50482412 +363134382 +677281105 +2086888025 +699295963 +1546775521 +1570500841 +760257675 +7324629 +1158543971 +568654613 +1083882147 +1621746861 +2135734026 +1782067325 +754733708 +231363364 +1613544786 +716401164 +1204858589 +774120799 +1147443199 +1777945588 +1325055130 +1307348632 +115013145 +1411709497 +1204406218 +1024985089 +1334868066 +1326999037 +1075467502 +1698002448 +2004280142 +1014871879 +249814763 +1403572016 +437889073 +1010072439 +1410896645 +1596433044 +1578727052 +347295144 +1070696257 +1566977430 +2129362470 +1825429965 +1798340795 +1595423608 +394347482 +855715736 +222060760 +1541790681 +486177676 +1547115890 +701655665 +601190821 +811341739 +1906061883 +1626175911 +2146209805 +1085577272 +554159765 +1696728606 +942373766 +1569031644 +1946543369 +198462134 +2006920717 +809132160 +1609358779 +1455870113 +240375564 +1956653924 +379082723 +1807352995 +1938532746 +57029040 +1458210142 +1386472706 +451376522 +166442230 +1608533466 +1993167203 +652619906 +1008165709 +547339220 +1253810727 +1819507448 +305917455 +732502990 +1818233606 +1391494727 +1286662755 +1367478564 +186384846 +708210752 +1166538285 +384846980 +567647821 +1975670446 +1994205760 +2023517935 +68562362 +1803376036 +255117010 +1875915357 +1594425134 +312146050 +1186641851 +833414192 +763522573 +1353084081 +294464011 +609206128 +2005703987 +1302629720 +1156545349 +1112031067 +974653520 +1462462804 +1844534057 +645403478 +706473884 +983713165 +2012882042 +892858730 +1691923917 +1031936680 +1277705710 +112088090 +860123478 +1124427822 +2135606025 +928685840 +780320210 +243239387 +657117550 +227261696 +555385438 +1843759401 +1060675889 +1318908011 +1049359835 +1355139900 +1928114139 +907580174 +510285972 +937175840 +2019611241 +1484939492 +252154997 +1716661651 +2130342971 +958628881 +552891168 +1995741365 +1851487611 +97331437 +880194397 +981709673 +209419527 +1740317875 +2106137496 +197541905 +521520068 +738974058 +440781292 +1178637618 +966235755 +996166730 +874913371 +2026911644 +167591093 +1924273206 +1234567896 +2095705233 +684369733 +1744853868 +885397425 +556497326 +1082309712 +1137552422 +125675329 +1065169035 +2096181303 +678566497 +913426753 +1800185266 +775897934 +1793621150 +634411292 +985317462 +1386455378 +593065140 +1182859367 +1907975446 +1332039198 +1623640659 +939129416 +150791305 +472323742 +1814042787 +30219301 +639914835 +1590832346 +1264787197 +588136420 +127718431 +862157417 +1473533846 +684215757 +1944467130 +463602620 +809891087 +862152517 +412300276 +1488457584 +1775579270 +65001894 +116871871 +1421716773 +699413186 +1102189333 +660688503 +1292478326 +137565052 +421180301 +477033877 +1761205711 +1360309717 +627825182 +86045805 +1026868856 +658044484 +725960641 +470217554 +1922831681 +1314097061 +597935985 +637505451 +640147259 +1282151743 +434488933 +1103749880 +2092042830 +1296641450 +1516050156 +1433016766 +924737073 +1581052050 +1549888637 +198970198 +132981589 +504594322 +859658701 +1425459915 +642159374 +1280839002 +1902493792 +255881438 +493665071 +382835327 +341927243 +1520533927 +1040879811 +1067887884 +1990751482 +816227844 +234501298 +441203819 +1453733295 +874648557 +1723355562 +1888222228 +1978398437 +1667914744 +1037380031 +1346964945 +953447863 +1962117104 +780533348 +355852852 +13603654 +913514937 +860447175 +873262355 +191491204 +1502606549 +6617709 +2093984997 +1758487987 +500282780 +329336676 +2100415231 +2020816707 +1370216487 +1020819467 +1864084541 +38960683 +1255320765 +157804713 +1492693979 +2129969323 +1881160275 +1233432559 +1960884112 +1401591372 +123328942 +1160365410 +207555587 +2085446046 +1940898758 +563408439 +2099049700 +706930047 +1423855614 +824828407 +898421251 +778978516 +831446116 +844922600 +389982855 +1331728896 +1174259276 +342914438 +1205061956 +396992115 +1363733906 +921662849 +435952799 +471571023 +1079467562 +1928646778 +454056698 +813144190 +1014595689 +267457163 +67251914 +1137924632 +1427822573 +274807501 +1075887030 +1221237683 +838215940 +1027453083 +1928167730 +114587907 +1852281490 +679105333 +893566423 +536243959 +1524027934 +1283549278 +1867972855 +550803562 +1626463717 +925551163 +947795678 +842713975 +1847214013 +1383748477 +1314284998 +779197927 +1164911607 +1768341697 +1592342117 +32023648 +2035798860 +1659594031 +1169948280 +1316137785 +1934401532 +98351663 +389891820 +625133825 +1125804746 +170575902 +739721732 +830602588 +849681235 +1633288155 +1366846547 +226225521 +769353785 +1087335755 +777029084 +248333854 +2012886918 +1724824762 +1091047829 +1712617283 +961089591 +257849180 +344331563 +2126001198 +2026190877 +1936673680 +10541198 +1914506089 +1448784064 +1180489479 +1083160226 +1235701948 +1278841142 +1473052046 +1860835773 +257162240 +1643627948 +453073857 +1087764828 +345825535 +2086362012 +307127728 +572051057 +708232150 +1394463483 +1349080141 +956566004 +1259866753 +926421255 +2047613834 +825000389 +1887510846 +157979366 +1169331952 +1866028396 +36686595 +958521984 +1876569594 +1951192684 +259822400 +909575425 +886869262 +1495524349 +40932919 +212437660 +1208876474 +298095159 +1856065608 +1661950332 +1385859988 +54407495 +1600828696 +1692987716 +626458552 +161577198 +939967551 +1975538693 +1118143203 +52350656 +754476300 +1018273389 +877351045 +494503498 +1176252755 +2046682997 +213048246 +1212939350 +857721334 +2089617841 +1016648386 +1117543734 +851709618 +1903517648 +465584435 +892642538 +2115955308 +1674460910 +1190737697 +1824537268 +1188927594 +429114037 +1878944763 +642272642 +2122101753 +357919668 +803849841 +914585656 +185974713 +1921993044 +966936313 +940451014 +792782785 +1844287358 +1434954512 +1969035540 +1743486708 +1648002759 +1034491242 +453724394 +1590136952 +2051139628 +1571268128 +294362922 +1807173628 +2036852564 +1187005460 +1775645288 +1563829826 +230259510 +1452698908 +605273772 +659373547 +1184160023 +1247546414 +633991653 +1542079691 +2051396255 +1548577309 +1728054405 +1825905651 +368029974 +521021771 +471204788 +64833685 +1955976283 +292756680 +1808320393 +1456495394 +1327247922 +114561139 +899148698 +1230903902 +1685829267 +1193511621 +890593882 +1575198183 +233033433 +518755522 +991544361 +463292943 +1971454430 +1596818133 +1122666491 +1008130806 +696880900 +1756658144 +402726849 +600793507 +1157751805 +2130781254 +279215511 +1525781780 +504319377 +750420299 +1590615465 +312812013 +1043176980 +1251452210 +1769307407 +222941254 +1366013349 +520972458 +1453845157 +904358968 +1714484079 +196955391 +332073504 +1947517512 +715710914 +1323617865 +263326808 +539681696 +772952351 +1385993299 +1547812502 +1469833251 +995167795 +1950539352 +2070626758 +5435952 +1933836958 +202358621 +1531217732 +290672688 +952778921 +974349549 +603484701 +1995955901 +78318111 +225308460 +71413507 +1444331460 +746280918 +1525258664 +201206781 +313281349 +1722214056 +533280285 +113315214 +290441322 +1856898150 +376642022 +830123018 +482366853 +1762635321 +230451873 +1952200104 +610319468 +33507577 +1875343215 +615755420 +1967344535 +2077701836 +2146973153 +110533575 +882997109 +973839054 +714018276 +731469362 +1052157166 +939326737 +802882870 +349004978 +1685607655 +180657886 +550211759 +1998889005 +1902871942 +1083492044 +2112204219 +45829616 +792906547 +341362593 +875952635 +1275273400 +2103997914 +1106404508 +1079989857 +566833734 +1139912085 +807849424 +1182589154 +959772972 +738067612 +1182078659 +1070306548 +1621064722 +8434066 +1784324824 +205050436 +1060591232 +576167913 +1007933306 +1409596210 +114291921 +1188591193 +1959807970 +2113180926 +943979487 +895816366 +2077901497 +989809104 +1688722913 +271780442 +1865761739 +816512666 +228294708 +824682599 +1896502523 +795128442 +1964594684 +556868299 +1977717596 +776884008 +1294935911 +1012312608 +1847190556 +768516985 +1020746674 +1484031733 +973567422 +2081337906 +2060199646 +1981500728 +1343450468 +27007919 +1022608273 +1155774790 +2140188845 +1966587761 +2051591157 +2070606694 +808913217 +1592830422 +194903488 +527191308 +261859440 +423198196 +1351873907 +10878315 +1218326638 +1168984943 +567746614 +1048560587 +1945868951 +1862682526 +2060873195 +1645575860 +483715863 +934136221 +982123945 +1457283285 +867990479 +894839943 +1291300366 +63957299 +921847863 +166424991 +1219732090 +914553060 +2133012752 +1123839599 +837676107 +794442321 +569186373 +1032579595 +1321633629 +831045814 +1455777792 +526023888 +841924129 +526620782 +1695008831 +1409670744 +1575181369 +1493394135 +1124869622 +1488570916 +991486347 +1608585485 +275223489 +1973610292 +918385123 +1143213968 +720966587 +62201841 +1207171268 +1642814450 +228626832 +279419710 +409883863 +214155937 +1403259309 +1247559970 +1008598258 +1972445682 +132655917 +182748240 +656007848 +1588433709 +708772128 +1497931978 +2115054492 +256297312 +760119074 +1542752213 +1749691447 +1884988696 +883839482 +593694146 +1346090533 +1159062971 +419820790 +116992008 +154793292 +1140787377 +179193849 +1361964560 +636118180 +407820682 +1641384270 +1046002043 +621976619 +897159931 +146078365 +1630574877 +722121965 +278734282 +1813323117 +1378129814 +1867167992 +374611598 +728578144 +1834738836 +630908910 +1488697218 +1230007401 +233116709 +1226202266 +2113846883 +826810855 +424809151 +1125426207 +1246631645 +541801160 +1280219499 +239935374 +720995009 +494700411 +876053554 +1128815691 +2136084681 +1922055597 +1750792310 +885760964 +2068133962 +1233883540 +1607882929 +199384597 +899723009 +838529095 +2066552589 +1274334607 +1567107239 +1753807777 +1905243517 +908320809 +836331530 +2138360226 +2134523075 +802694766 +817687433 +411848579 +1928120973 +2064319078 +953649739 +1060856824 +156770805 +1674644748 +1555557235 +1032824359 +655976792 +1544158268 +807396309 +259285454 +282435584 +728046623 +1493168994 +1890318513 +927431220 +245408356 +581363961 +846500161 +1519742963 +987552 +452824290 +1277502833 +909308362 +1289155821 +1268379411 +896347789 +2091850587 +2086066845 +1308196368 +1872487912 +2002902275 +114362459 +785861088 +12189432 +1789007208 +193934675 +1045013792 +297500352 +1738092943 +1852410101 +556785806 +2020528527 +432973076 +2049954801 +1763363392 +1360404297 +147879509 +197243705 +59420810 +1667622472 +198231258 +512245101 +797641657 +1107539620 +1801400922 +2066021069 +2003887409 +1745767861 +2004604266 +1164600130 +1470772125 +1860022893 +1278962589 +109149565 +1872212326 +920486149 +303084240 +769742470 +1217986501 +2041177183 +474668923 +1774772308 +1914222062 +907641999 +1677243461 +1530101806 +120562648 +1825122970 +1727345512 +179983459 +1345261794 +1925576770 +692228560 +2142903452 +885632742 +346145834 +2061440873 +742036503 +2091913695 +1918561491 +1906636633 +1415202172 +1631100736 +1038115575 +1524351737 +1355829414 +1958601724 +1827435977 +2125571884 +1029104578 +1721129512 +452757159 +656393238 +1487867926 +1360399159 +186153051 +870486084 +1480961807 +2011276021 +450347948 +1660945266 +1209054167 +228441070 +205690178 +1204473971 +1114073812 +551836012 +1118431196 +1856110316 +496266059 +889509039 +1615263301 +1911468231 +373126128 +505895228 +1288336320 +1728955542 +317013305 +968288649 +1707043779 +1346117883 +541934513 +12317290 +2002511121 +2029802439 +1372716449 +41180524 +752804876 +706194609 +2052456545 +1203152824 +219656227 +1114027064 +1431593895 +425346406 +171017388 +398184059 +977182418 +1289448584 +106810727 +1473448478 +31473976 +1722074029 +1237433061 +404600104 +80485609 +378285734 +2133555646 +397498914 +1346574383 +1693115777 +1743616797 +1888508897 +1705433068 +1598644270 +1770827688 +930665869 +1639824794 +376148916 +1636860478 +1544797691 +1579301741 +1856516706 +511341108 +863411988 +134379464 +682358496 +1261596047 +1111561882 +1971807080 +1368406775 +437526712 +2003281056 +942997156 +1674959774 +260397512 +1023482765 +2053245508 +246469511 +1420981680 +1252336243 +1939585288 +1017114829 +993361492 +1497534708 +468275452 +616705533 +280716930 +2108100246 +992854449 +1917577408 +1505414290 +424672542 +1626610466 +2016755398 +1288084530 +1760989930 +551630246 +402196930 +725068165 +375953678 +1770603705 +1162594877 +231751087 +566117213 +690071003 +492148599 +1589599978 +595832863 +738618110 +863098010 +1848169107 +530719751 +1880212840 +694046951 +2028254459 +201004644 +1310752484 +161487741 +161621242 +156123286 +2079065150 +1667035532 +580795828 +1558191968 +1536307282 +1868880359 +1171698251 +2087937528 +123593641 +1896766416 +316407559 +1894197346 +911877645 +548158646 +312830911 +1601948649 +1040307245 +1902430889 +50297864 +1778925356 +618045252 +1898466971 +162161459 +350774444 +445030275 +42932270 +551779088 +1755782759 +204420012 +713400330 +1911906045 +136001514 +232952215 +345218226 +1694193482 +1769259497 +66614937 +718408085 +1709713378 +190208578 +467690853 +2026120937 +2084405924 +1379568499 +426795935 +249753187 +834033500 +1467103180 +4700428 +884331364 +1098544888 +622745680 +635314688 +1260706347 +973520124 +1080344963 +1303638618 +1525299212 +688644074 +1508058630 +91215895 +453066472 +1644060144 +324168110 +798284698 +1190769978 +2093427607 +864899635 +1909178064 +1655657337 +1055108213 +229385269 +1534294626 +992030489 +1608953768 +1961090561 +1241783676 +295503620 +1280710094 +1246484104 +1179834985 +231771334 +1869229785 +1815149673 +1492477682 +695266261 +748010988 +648632652 +73081826 +1436655062 +9207634 +164297721 +1889721534 +1653267778 +488465831 +540522584 +696554108 +434409790 +1405422219 +458248524 +2090067128 +313046784 +687633794 +1476878106 +1305077273 +149103914 +1290485020 +399377301 +444607535 +423711466 +1645861406 +1624442520 +655482800 +1367607543 +1292108545 +476834 +2062873804 +2040119533 +649109486 +2135955630 +1329290947 +658317120 +152769703 +1071528834 +164101250 +641235534 +1612051418 +860655359 +1075645325 +869989990 +1318903883 +1018228805 +1183036774 +2006537677 +347623263 +340630400 +8157944 +1638108283 +740007701 +452765479 +2061819749 +238385459 +2077207999 +569818902 +1605993002 +1221832896 +570295736 +1521383159 +1114468781 +1219405223 +1509855141 +296276080 +1877722343 +1662624845 +1367804914 +2041823594 +156376731 +832372685 +754995305 +1232022056 +1702362675 +2073899188 +102767213 +737915801 +1932953218 +450390477 +1078546201 +1941111162 +2088498760 +1818553903 +246392993 +2002834862 +2056939362 +176117344 +425170116 +1515448717 +1397950240 +995465852 +889348228 +364935373 +67387427 +251719721 +661211453 +1945109771 +1914344566 +2029016368 +1839449717 +2070721298 +713905405 +446961374 +1155259706 +268784432 +373376914 +1258026920 +1006700233 +158846484 +1708417397 +2085246435 +2099957646 +1649432509 +1756316690 +198866991 +1504783723 +1665772404 +374984335 +1929953839 +1033737473 +1772934575 +777936044 +1923085701 +2137869948 +845323471 +27321775 +651597754 +642949594 +1941666341 +533130474 +334915663 +1864903991 +1247035879 +781877037 +872680050 +1515820311 +1155253952 +2130706970 +375036896 +1314100436 +1691640719 +312799683 +1266574435 +1193589580 +2069116373 +1465441426 +550889656 +1587405130 +1840425762 +333359847 +473658955 +1465876689 +1111295891 +249261009 +1456262990 +1956619363 +276582784 +2107860744 +452085309 +70765477 +493507570 +787000973 +1935669469 +1740543449 +1568878010 +660865871 +1108880112 +576648314 +644089193 +1483917008 +1890748751 +188246264 +1796716692 +1009839538 +1381835844 +1718349417 +327797316 +1932725500 +1158270899 +20739430 +118601700 +1631929855 +1486616120 +1229897591 +1881190864 +795395462 +1039033306 +10290000 +755772558 +1491118616 +81055477 +1249280128 +130635941 +2016724946 +842339929 +1699513951 +530107169 +1951220041 +128678618 +1174196362 +1287653401 +2019427369 +1362442626 +936886445 +881783259 +596794823 +507752215 +1209580575 +382036675 +1666023114 +1230320006 +500638375 +1150469321 +569452478 +1730535967 +884176537 +1364847940 +622085625 +894466537 +2120620498 +2113204241 +975522015 +1222416978 +96356534 +844763313 +2064756907 +1795870486 +1374870483 +1868493300 +1924549104 +401583197 +1008663053 +1796492825 +1764025824 +1945549499 +530792436 +213336999 +305818066 +1740373011 +595373674 +1971841180 +823209369 +1096012050 +974826854 +1392661847 +679064369 +1859003391 +610026139 +1301149994 +605986281 +583162989 +1266870588 +1581508296 +1805579967 +1363227122 +278787961 +1722853226 +1011613960 +1653658444 +1443862878 +788679416 +2055241642 +305042284 +437688593 +1671783818 +103108135 +968481029 +1885120817 +408926201 +561370393 +333010843 +233283733 +1384579762 +1429022893 +1208110587 +629757962 +2108087262 +919630331 +1239784101 +1261753609 +1525616612 +1822947091 +381140549 +959641260 +1481043410 +1744367671 +1238429221 +1056412989 +608497984 +744604018 +352792219 +1397177400 +652362012 +657834503 +1834865994 +176662182 +760942638 +655863375 +2061782999 +1169868839 +1217233768 +247310194 +1403152573 +454329883 +1676333088 +463779512 +1084087845 +1636936702 +1383409843 +176388298 +751206663 +761542807 +1999335389 +1132347212 +1721184067 +1332895152 +729231236 +812129641 +241824493 +1337729220 +1556733659 +594616712 +587422972 +61612023 +1252451216 +274805318 +238274205 +2013393854 +930668694 +152573556 +1035779046 +418814 +399883750 +291447971 +454748697 +2076216838 +755227483 +1538836542 +1565669893 +2138637327 +1715224841 +169392908 +752696486 +1567076582 +1301740121 +326396906 +752488086 +2030971357 +1138526547 +994312579 +1221216929 +547776558 +1588929292 +1808639901 +609388581 +693896860 +2083445220 +847662786 +559807066 +866630266 +1000236342 +1595586112 +867049080 +1400120092 +1887034083 +1321797778 +1328853283 +494777919 +713150672 +747039528 +485931598 +280891865 +916432436 +1238628084 +1847968448 +70688909 +1565024990 +452972886 +2101660266 +556067889 +1447285466 +1175393547 +1103844447 +888731110 +836549801 +1713233028 +1582627970 +772511373 +413412166 +2142435036 +1639141639 +1413648508 +1590537501 +358707071 +666284953 +1330087936 +1680504849 +1995138236 +1824865855 +246171874 +594694116 +163313805 +527063739 +1511126552 +1401941890 +227548539 +1581815462 +819483232 +680521426 +1535992080 +1375551122 +2127806892 +563901980 +331911921 +869054354 +1400451781 +2045144950 +304198676 +25479506 +311073468 +299150064 +1664621145 +1724721977 +1889687565 +2023328216 +243523282 +1072291854 +1556349418 +91177870 +749674061 +1802521292 +685871986 +912987867 +182101383 +49514890 +167446109 +409649923 +1631330352 +986929341 +1090171349 +1019838785 +214996815 +1070494593 +1583740765 +546908737 +1939548947 +836708898 +444570039 +96263975 +862188404 +755643507 +395414039 +379325901 +332881836 +137617957 +255170469 +576405118 +1209909811 +1811519887 +667582988 +1959583872 +1466557531 +1353454974 +725088091 +1648658915 +1402969865 +892534200 +2058308838 +886816569 +1879463542 +1000996539 +1906655354 +2094460357 +2071491132 +1342912471 +493885446 +1863556431 +32137721 +938455485 +1959820406 +894326125 +1694098993 +207750797 +1273652026 +2026980829 +345368754 +1528822496 +455902300 +1555278565 +1192858735 +1123485288 +1367378790 +511932619 +329456615 +2092466881 +13107886 +1732426480 +837517434 +2071416724 +471759401 +569497328 +924929615 +230931108 +516474037 +848937099 +1573843579 +1010359484 +565009882 +1605981301 +1948814969 +377346640 +352823778 +1495430314 +585097437 +1626475805 +1374927496 +930466192 +1007814653 +1830829796 +338261109 +53189740 +806831436 +1705639899 +565122359 +1136288051 +1650623133 +578230245 +721230883 +340656919 +502163321 +1192990285 +910154247 +1427092936 +1423921393 +1426628284 +128546387 +850281324 +289504120 +693556269 +308778977 +90835442 +1070902909 +661602756 +1586265756 +1656000347 +140594913 +813709604 +438982891 +1148409566 +497055752 +777244000 +1201599306 +1303887189 +335400252 +1766721666 +292691592 +1986023385 +197468263 +1013922476 +179196656 +699631585 +59429113 +1089350903 +2126724521 +1483350506 +368495539 +107787261 +186148182 +657999660 +801343530 +494927160 +748835102 +1872246440 +1156529916 +187617210 +1380763139 +1297124829 +1001326815 +1819746030 +298050747 +1498382567 +449506382 +1499650053 +654786108 +784906634 +1118888071 +947477701 +623446371 +1316356335 +1961400177 +802643027 +2015987920 +2020829290 +1891993930 +1995228793 +1356696148 +113005822 +2103016054 +1542844330 +771005482 +756875937 +2037771490 +1519840584 +481638729 +1046817758 +1707457794 +1862401868 +196458939 +561300961 +1534664250 +494509686 +2059683529 +1984170632 +1994159740 +566985989 +621593619 +965564163 +1514463690 +1245039990 +134436850 +1328380219 +2047683018 +2941122 +1201725861 +1792193300 +1998169916 +410938361 +1905199122 +1953702322 +1953782692 +528720956 +563094611 +1844070534 +2048561540 +1044733340 +743404645 +1608535687 +759651560 +939863584 +22353000 +146832162 +1434373271 +2082036529 +2131002795 +1281049363 +501538871 +605112766 +99129878 +2016002561 +1850152756 +233566729 +1196899133 +1750352126 +236507851 +251141346 +1395061779 +87194119 +662079708 +1152777253 +2040896442 +468378752 +1681498210 +456507405 +164965638 +1582576102 +1501240746 +908370283 +1043628141 +113408658 +1848233868 +1065981142 +260240821 +1135123491 +1000534023 +243759968 +268689206 +1502072894 +848872734 +367819084 +1370591808 +551541842 +601385813 +420007293 +154410321 +837893665 +671148639 +1549472100 +925087784 +1333228347 +554765705 +818500578 +1801607099 +88780267 +1275007984 +1966572738 +1671356370 +628765082 +727459373 +567500863 +742173740 +428209593 +1633482005 +1002414561 +1563333084 +486532381 +1246174529 +1832022290 +1988605275 +2095047263 +52357727 +1211713435 +499105458 +653743540 +1631720728 +653515779 +1491637205 +155385720 +55504231 +269241342 +1488614067 +610269936 +1087741920 +1142737519 +699050204 +215266256 +961826609 +222922926 +844031338 +1689285982 +790423789 +1586205079 +2117495576 +276422147 +441135992 +1533345012 +762954528 +1687310522 +1217883655 +604076155 +1634874137 +1270241382 +1815789591 +2133979595 +1923984922 +1300026671 +640011726 +1268138480 +1455412391 +695515957 +1537379822 +796542811 +1305785894 +477638094 +1939280330 +2004836098 +692904351 +753623291 +80275376 +1536935689 +295425625 +870699165 +975657120 +265437553 +1147121312 +1416793113 +1798782566 +1910075840 +956619987 +869182573 +366668348 +444010476 +2139423955 +34974291 +430506424 +1915925229 +1335000962 +1070518150 +1036580061 +642929706 +1766034108 +426476235 +1439472517 +924336354 +904114330 +1231269199 +781688804 +1597018681 +1984892490 +861964180 +986470722 +132834467 +1732663345 +1962127843 +398272021 +732301010 +1231437308 +49570939 +494893202 +40573647 +918753512 +861561550 +484584123 +910693819 +896535841 +915090547 +679135400 +84053156 +1985608698 +1715715462 +726982862 +1604159158 +2142191697 +18971731 +381011864 +898822379 +1250240930 +1162700668 +348357412 +1087649772 +2024664848 +1334828135 +1220484239 +1609844545 +1149472330 +1618756260 +194661907 +233425990 +1668327199 +689555110 +273999637 +439597063 +1551116660 +758583760 +1350290882 +300168854 +1673674308 +2029426283 +384222010 +1511799358 +1597658097 +1111204872 +968474868 +1592366146 +1130176603 +1349486732 +343704878 +232933885 +364703752 +692062290 +1320583657 +241884952 +2026890425 +393584248 +1851729497 +1028879107 +2012340509 +2046391405 +1262305097 +1533184060 +588462867 +1536304734 +1972781124 +2139579527 +147404847 +1175588358 +292264733 +1821079155 +1057530993 +676486743 +1185394865 +507705442 +1787691615 +6386085 +2100071589 +770384570 +1355872817 +296292819 +1003318455 +1720576569 +988355109 +176418464 +1962461521 +867761887 +570002713 +1666707370 +1896640994 +434859574 +1565615127 +1011462444 +1968043634 +6594346 +400283530 +1793341110 +2146173874 +547688377 +821445821 +290954959 +221283884 +1878976814 +967441703 +1406678749 +239198609 +607649670 +1413064834 +191786550 +1378034241 +621454003 +488079369 +233869048 +194546924 +1476434478 +410287513 +9524797 +196712717 +980290226 +1676232168 +2093353712 +1415149800 +1094363647 +957332508 +1235709786 +1100957994 +1357616038 +881567249 +1099648220 +1905304416 +1703013070 +1390603179 +2126588300 +1434506236 +210561234 +1385783402 +1673704845 +818210905 +651364588 +1865491395 +48761498 +1272818592 +206087116 +282630546 +1467365516 +1682521595 +692918059 +1476890314 +1879234312 +1673208285 +1005638834 +1825104376 +940874437 +2100002481 +634953236 +29100576 +1053476827 +1992569275 +910667825 +5641399 +1750390043 +466197247 +1396244579 +1729494695 +1900703483 +1606805813 +967794449 +1426924681 +277533070 +1619159038 +1144932428 +326294568 +744493982 +1351019545 +608925115 +64375850 +886057492 +1301843174 +1541266164 +617808156 +827567812 +399421350 +295428885 +1768442249 +351940184 +930382121 +1797542825 +1405417011 +775467748 +560727002 +1411058411 +378374143 +1026924249 +659819342 +2107868839 +780144085 +119141507 +928179640 +59585118 +396674578 +399855030 +1204517546 +722969146 +1144349012 +408053443 +1331894261 +1208724863 +1294110935 +486253788 +602507379 +1911919092 +1313821600 +1001928730 +59864329 +934780201 +1353868914 +990246450 +584839379 +611802277 +1765714199 +1145566381 +2022860688 +2144088342 +25006983 +535196382 +2104473533 +805151068 +654337890 +885169526 +864736186 +1051012468 +1285024556 +2069253732 +1773981614 +281889921 +329823528 +958392228 +1490614784 +1623934463 +1444646016 +2093122163 +1388369907 +610983968 +947567245 +1448234236 +1545764169 +153952511 +290997039 +2130603548 +765754789 +2056711238 +1128686282 +641131829 +2053315932 +1153693265 +1176328212 +2010305818 +1958844333 +1830666102 +747991696 +676096871 +734194922 +2033016252 +597866955 +360692888 +167422525 +927690483 +1319085116 +1658037309 +404141299 +616247484 +1603675825 +1792511206 +1227231452 +403759422 +1093261795 +625511974 +557711934 +1384258834 +608631874 +1323466723 +1293486424 +1737318156 +1964598552 +1199318708 +743527773 +993443116 +1062140878 +554888458 +676625570 +1810132574 +1230985329 +1410820492 +1695665179 +1828852285 +1771513381 +1863087704 +609059120 +943114849 +1373641366 +1013200419 +1559362334 +829833543 +658227978 +639110138 +1233592965 +1751489773 +1264622112 +1791304899 +988264959 +1873253987 +967287974 +134267735 +1463088495 +784402879 +1333586443 +59132621 +1777845995 +248243674 +614021079 +306987918 +2058376248 +1845006409 +1717808410 +1606557779 +1526375046 +1341838143 +1322161836 +2135434166 +137469345 +548319554 +1001150938 +1696831679 +1378153097 +1659378916 +188458169 +464262414 +1263385041 +1453080282 +108083666 +104166352 +1178850621 +1075371640 +238434087 +494455468 +1859774519 +1572020530 +553588089 +1490136867 +1820264204 +1167609169 +1797124785 +1731156805 +865131930 +1367449547 +1190230936 +244023328 +561804043 +364909124 +231973846 +699273388 +913228678 +1233124784 +248621419 +143898127 +745020052 +437079588 +608160542 +2008405093 +1890159870 +716244208 +2112571445 +921526843 +1791615848 +203521884 +1415982312 +1503906720 +1775542415 +1969570401 +846559939 +1448322971 +989695922 +496201076 +1031996128 +1854827852 +1863650623 +74743417 +2098851180 +277971018 +439652541 +183341379 +977244406 +1352881220 +1416466163 +1225865825 +1496779347 +14002568 +1662945414 +2104939889 +2022407661 +1405621636 +673700449 +1987495459 +179664832 +317832650 +43533695 +1595647144 +1821739370 +1819076110 +1417733897 +520815661 +1119915434 +259946172 +1017016737 +4427914 +2114774024 +733183712 +79171331 +2066141557 +1011154731 +518823873 +101999288 +1988399137 +1871705093 +1518465451 +1066781315 +1221000792 +1532468019 +582243081 +1178457034 +1407392033 +1987864717 +1852157483 +1247403844 +20045901 +22506485 +1290937539 +1615693045 +1844245855 +962530002 +885943295 +217577868 +2082445436 +1145889467 +1234594605 +2086873350 +1113179843 +1967778318 +18561034 +1031837752 +831449401 +537384907 +1133837040 +672364890 +261606352 +504818844 +1739146205 +1482607144 +2037286863 +173905638 +513580530 +1297195248 +14286708 +218254366 +397115444 +34332609 +240760851 +1688052984 +1650025655 +2085006707 +503099338 +388485302 +155100927 +438061126 +1534374769 +1389695533 +377450828 +500070964 +1209990203 +396011862 +1531908717 +2041439604 +933396769 +518262109 +566320846 +1195003121 +1023080953 +157983404 +530126618 +912884169 +331889042 +1043707148 +62595769 +346175750 +1261961514 +459711214 +380508360 +1502722366 +280550 +2030534015 +1440245425 +503379888 +271535669 +1595346352 +941441014 +1805910438 +837558237 +1318891842 +158497754 +2047548440 +1714903705 +1690406471 +1941504396 +500816826 +61184933 +360341595 +1695819948 +1084265886 +518324999 +78462918 +1997150055 +850214041 +1122170066 +2059745825 +1196389792 +236647933 +371973391 +1576898152 +1739370299 +372253941 +1459948519 +1032132076 +875633829 +1731484188 +479994780 +1817074843 +1389910978 +1317553018 +988483037 +1548408732 +1217617810 +555903094 +1091331556 +1011638559 +1056719921 +1152516489 +1371980154 +605056221 +89298727 +1890305153 +683519139 +2086448783 +593035546 +1805689205 +1998710960 +1789425338 +2042337138 +223200703 +1218839842 +1634223789 +595454644 +531304713 +518872217 +1471088473 +115305253 +998866998 +1140679668 +1505216231 +168936368 +2129162705 +906141316 +1386554178 +537582152 +1997472872 +250709089 +1594302073 +1002505713 +1622689243 +51874646 +1091804440 +1365510748 +735393785 +1030769575 +1958546295 +393599342 +881996887 +1600487985 +288452833 +1105197590 +671844180 +1922676622 +1700652234 +1203148893 +294065192 +1024257059 +1318454147 +1292932190 +17453079 +676186730 +1461868558 +2146615785 +1582328046 +700939088 +536714289 +1432317270 +951648178 +2131016362 +287339335 +426853773 +35407360 +1379143776 +1792364522 +770801145 +262429703 +1603427169 +1164400487 +1144426591 +1056431506 +1452853320 +102140533 +1728275686 +1228046295 +1802792768 +783940932 +1522111487 +679566179 +2102395079 +667560029 +697019259 +631098161 +2129428587 +696151396 +65942560 +682884027 +1232865685 +1498259830 +1634532205 +1216398399 +1785599166 +2061385979 +1251805759 +1017259294 +1706266853 +2022606904 +1279688997 +1162210374 +1039523743 +276631940 +71158232 +344893416 +378772474 +1799433919 +1572939711 +34081594 +435891203 +947567550 +713647773 +390802634 +1615127579 +1410667032 +1021900795 +1597072518 +2106818428 +1087843355 +132472897 +1192200465 +438619538 +1767005103 +261115216 +76735056 +1680907434 +1512920975 +1093994350 +1239690639 +1388044231 +226199699 +254417365 +280084327 +502831640 +325575597 +624977743 +881604114 +2125009516 +50433806 +915685708 +413417071 +998001356 +1629333481 +804219705 +465645287 +892516866 +1826120501 +2062717805 +851851646 +766480208 +47707054 +2044052112 +1205099746 +1814712157 +157683680 +1281834802 +1348135943 +1670604656 +228345504 +440342934 +911165239 +454545204 +694760299 +1191249566 +957376844 +1020335897 +1816227309 +1838980958 +997861765 +1866661115 +607183018 +1411278837 +717178823 +89032851 +68014894 +1182824110 +981549717 +1894135395 +1098058267 +1833401364 +513131956 +1145765322 +1729969828 +1718231702 +812993831 +1887653508 +852582857 +13646127 +1410774516 +1080928361 +453989061 +174456108 +1535473565 +1148749361 +1365705674 +345366761 +21601610 +1034449336 +36864071 +1019463375 +753626803 +644047089 +283258564 +1470805627 +733079941 +351273459 +506146089 +1714629658 +97925206 +1604204357 +1400547374 +611057162 +602486031 +983033554 +181805217 +1415479862 +723203415 +1034388074 +1429125989 +2133977931 +2115316435 +1883115051 +160950391 +1503306353 +884380764 +1526656066 +1848673114 +905982374 +413621754 +1885537186 +1925445749 +1167248557 +382100627 +61220666 +490570536 +1115180568 +412494125 +996716626 +682326579 +510419331 +453437335 +2082873953 +1121476494 +1055923366 +918423860 +1303281711 +323919580 +1641627275 +190186137 +1753045570 +1628121558 +158018924 +1488676973 +1789071950 +1661325277 +225574089 +1168244368 +1362514744 +1131556463 +1581866122 +1100568282 +909518564 +601631031 +1482668909 +970739230 +1092201568 +450365830 +1383233355 +2088918194 +1132692409 +1893652687 +394871881 +1068082714 +867645533 +1450795247 +1986506574 +23443596 +1774714827 +1480650201 +213629733 +1380276749 +961288112 +371648657 +721470074 +602876414 +2032973935 +947044163 +1771120782 +1248005031 +2078600626 +1205503256 +201089665 +840635543 +1807134287 +1683758574 +1811374773 +751852207 +2134124404 +1047124481 +693286753 +1119333165 +793293520 +1088158634 +39932232 +1660939053 +391470233 +2026438806 +1684382649 +18701413 +1359605360 +1898012382 +1398978162 +173409824 +122177391 +2120448237 +776286238 +7667678 +920008752 +399923372 +1255672709 +851125731 +1605426628 +1456762374 +1691761274 +1265077267 +993037301 +1355652399 +2016929475 +979678057 +255293232 +562732580 +2099011223 +1048586752 +1650891215 +2138943455 +562042157 +2042361448 +2017898613 +98941158 +2061062861 +1230020325 +1996953540 +1312557376 +1403430149 +2119130932 +1285521965 +32232739 +2126798610 +58047069 +432156111 +1234987672 +909172800 +2037582739 +544266398 +453450426 +1155176359 +1537303699 +1809102826 +1024622186 +369498109 +2064396058 +1587354766 +321025684 +965499163 +1090762333 +312485491 +1527541320 +985640134 +182900456 +1626482479 +899219347 +1412920782 +1475952371 +64293075 +668867283 +1447599655 +1349815040 +701100023 +1426914618 +1407862110 +1133256134 +514418642 +169551262 +1023355226 +1058685040 +623001689 +31047937 +448505092 +284620867 +1055670123 +818003201 +201533277 +495541241 +1139028885 +1167032440 +1586303575 +1451514376 +547090113 +424460061 +1634414832 +26088944 +1323679408 +899851966 +1502041315 +1387972484 +1568719250 +802157323 +590303876 +122335625 +81588293 +1998165986 +1255591759 +596006935 +20233601 +131463337 +1654691975 +643235290 +162511274 +2103197067 +927856157 +1218181397 +773716620 +1129389434 +1713722639 +1912745505 +148938227 +1152542566 +1216776233 +696028340 +1577002627 +703707418 +722117284 +753198387 +1603559384 +76674951 +2141170871 +1024794986 +878832274 +583991100 +1147130611 +960420567 +434673438 +255238723 +1556427502 +454907039 +386702060 +1063635830 +1098142329 +549213335 +1019349249 +2025998486 +1767394732 +1793065870 +1007904273 +1333633723 +1558327727 +1156842500 +338692641 +627620313 +1852870840 +1915695268 +1331327731 +427504476 +521410008 +787403467 +504179427 +515097231 +1812198454 +1383011702 +1099088331 +811845417 +195948621 +1533761770 +1067084140 +1752376124 +1988668809 +1453786201 +668528306 +939327491 +2002999536 +1687877555 +817842329 +1622910620 +1333459777 +1825746602 +809060696 +744303857 +835105454 +1147753337 +1371924170 +540492646 +915964958 +555768253 +967997122 +1437374966 +1343171720 +1472176550 +1952472197 +1007886526 +707704604 +904076881 +1819731944 +903653225 +290355003 +739332436 +508545701 +131540164 +45634989 +1177074007 +1070867655 +2048634525 +717467915 +1888709985 +1524061498 +2050927692 +1566972939 +185638546 +647747901 +254594746 +1333391883 +2019672071 +795087392 +101873193 +427956676 +1763084515 +1539248159 +1771128397 +1087777417 +1344236709 +631531275 +1795482021 +100829942 +303779571 +551651598 +391184945 +1043112008 +1060197300 +522725109 +1088746997 +89787659 +1593592765 +989897875 +807255574 +1334819102 +366475725 +710699619 +754308393 +552114271 +1358447520 +1008903139 +1885506154 +1230635944 +1803990532 +1987379348 +1658592620 +1419591399 +1379143859 +1282237369 +359885168 +575896920 +1913768645 +7883541 +676726862 +70064568 +559535139 +1067911807 +1113176576 +1619732439 +1590636917 +54439926 +1709520099 +1036746034 +1044337801 +369292025 +224081488 +1410813526 +1079991644 +978389881 +1962927797 +290955517 +1987293021 +1700950303 +1521591461 +1643799905 +1540846003 +1032700433 +915907656 +772506215 +167454155 +1275792824 +1348403135 +2081222800 +1283676365 +2025129998 +3803720 +1843211504 +945558157 +1116980297 +1315460296 +388711426 +1171420223 +877496747 +1425457460 +68274376 +1246788772 +1649538948 +1479087902 +179296769 +480445182 +1294532051 +470252286 +320254555 +847998706 +1991843747 +1964054460 +241361062 +877060532 +732478468 +1013867277 +1044514687 +2008271292 +214786764 +978253839 +1144464009 +92433114 +982057560 +840191865 +1037991272 +2099037857 +8168513 +1426702698 +1122974432 +885665260 +704676511 +1191248808 +2132454033 +206731811 +522853062 +164267154 +687176993 +1817385113 +634519440 +1007431548 +517900171 +478879539 +824002360 +759261233 +1355940071 +1556480828 +1773128510 +252971111 +1417268472 +1987915275 +1231224950 +414248833 +2080348389 +65798862 +1254440699 +970856013 +17353071 +1262609212 +250075064 +1140327503 +790825 +954751575 +184092663 +2133244858 +1161483386 +706945725 +150028364 +1848660380 +376847190 +784547804 +708608280 +894747362 +1263427343 +1532610641 +1654008595 +471883766 +941607821 +1279653458 +724854877 +211392646 +1120085085 +1956079828 +625641479 +1052949826 +2021878690 +1880082178 +2023805840 +2039231762 +995207743 +126397256 +1032075617 +995998568 +1081148831 +1216168281 +981759778 +95148569 +1923114006 +1131788142 +1943808949 +152477549 +1916335946 +504933582 +1047224911 +1032279641 +2037544223 +553749858 +1504163407 +831668396 +1833403316 +81534637 +1043061042 +806004753 +2037614465 +1668702522 +1858954580 +1912009507 +1401301052 +1735276772 +1803757621 +249025147 +1861674028 +688349591 +1245023715 +795339211 +1904517872 +79299845 +890487780 +1680148230 +1211087987 +686813082 +1832625779 +979940285 +1191746664 +732367042 +2012219926 +1081807239 +1286116901 +1368899686 +1913475635 +972036569 +1450434323 +809053030 +1778041323 +1340565140 +330271904 +1489512255 +1105090999 +1731572956 +1077305379 +761364973 +1980598104 +791495759 +1449714564 +1078138171 +1586834970 +1206748788 +1157438017 +329839102 +739413370 +221042356 +1016652184 +424555502 +1200982642 +60915200 +1156922544 +1065718920 +1142722439 +295555797 +287134958 +908714427 +1267592367 +1737569281 +1717767457 +898150042 +930650773 +2048039361 +240178649 +2035741773 +1632128669 +1317484028 +649623098 +1465243125 +2108979787 +2099337662 +395897649 +1548331109 +1158602802 +1553335666 +1878170211 +1898016172 +1774378022 +747338748 +175088026 +827877016 +808253948 +1332010571 +1893595937 +1950976388 +1627566368 +33247247 +712207167 +747675087 +1770816529 +282490976 +1645825129 +553983654 +183046689 +1886003778 +442241779 +1815175358 +1056004158 +1091864877 +1132934836 +1017500297 +1043718891 +1528832485 +418347758 +54838045 +934684503 +149034322 +1952854218 +561578877 +896373070 +2127942244 +1389455894 +1704627018 +1312469167 +1135568183 +1508119758 +792551888 +1168815430 +72843277 +1540226975 +792148311 +355334253 +1038568457 +1346131966 +538380942 +777088587 +1788373745 +206072653 +1833092746 +732754975 +1339007489 +703109395 +1776473866 +720356326 +1121457154 +1831311912 +1655040829 +1270491476 +1636682482 +69136058 +19380898 +1617141078 +1458591952 +1724007916 +782126598 +446676487 +1084644027 +1574678486 +1615491918 +1157487304 +967421813 +260156581 +1512821558 +2005990270 +1606288547 +2051202500 +635595210 +1247178645 +109791505 +321204308 +1979933620 +1448798994 +1024313703 +1608923838 +21671672 +2145770857 +1292752102 +1676712501 +1268778685 +781950936 +1745848560 +1288159583 +251608367 +1056956864 +864683852 +1033734965 +1503633352 +1949327879 +460929803 +971641622 +959331535 +1428351616 +1231798203 +324669445 +1286858239 +690603103 +228388298 +1922453449 +1937781748 +338179803 +96174109 +1770231720 +1786978798 +1120487812 +1231671910 +1808650470 +1118775022 +376940365 +1337879324 +240070059 +1158891301 +936244236 +1528229643 +1410499668 +1993201100 +245429847 +296750985 +1349350804 +47274078 +757680788 +173508778 +1006605613 +38548757 +1405306982 +1331275059 +1325406996 +2095910085 +1559663357 +1100376797 +1886208185 +1897843160 +1196550906 +1508956257 +1537338310 +169555070 +593144519 +1198505133 +1288330092 +970084884 +388900809 +1528400152 +2128976186 +1325145045 +909146147 +1391992206 +1170862497 +1154575994 +1688743192 +372729654 +1201850072 +298940332 +546238432 +60972037 +337489089 +1951545414 +1392247096 +1662896085 +1899971851 +804426805 +615789234 +1638696388 +554786318 +1812340140 +1000168997 +2092124628 +1981895211 +1593313517 +1143146113 +1122741655 +415914753 +1532046922 +503658159 +397407291 +709708319 +1412804306 +1789399498 +1880570817 +419896652 +1330659042 +105816823 +1621746724 +1629599374 +652055255 +1682718762 +1967088464 +456117022 +927482210 +1482500901 +208605225 +1731909016 +2098290136 +1847301614 +139211686 +1763146628 +699986963 +83852666 +1597558191 +145816832 +1226998780 +572816199 +561731586 +611562054 +1076474358 +959138877 +1321270374 +341795017 +601054727 +1054357543 +761691669 +1931713769 +1160174366 +235954746 +1413829496 +1812229621 +1918673508 +1233434312 +120862995 +698672070 +568451565 +329468221 +283097438 +519258053 +29286187 +422309124 +134921034 +729273150 +506161791 +1732479225 +875089983 +1733160571 +157811776 +1436821569 +197238977 +1234286135 +248476798 +1518509351 +1576081152 +849531526 +425383246 +190289173 +633761647 +1585557612 +426243919 +2047591143 +1250303586 +197433779 +1133541807 +1371166581 +896105850 +1701993373 +1700634802 +1179203288 +73767778 +1729920989 +1601512413 +208688812 +311710492 +2107674204 +1941168038 +1186800475 +1693351127 +2098979814 +476138396 +1890590104 +1185782301 +724615194 +1261615808 +614379805 +1574146720 +1686999054 +804668979 +60424720 +1125073019 +1230912898 +2108015863 +227892957 +1428346678 +1094074023 +1599059538 +176968880 +648583748 +1152210693 +1356172168 +722351526 +734648034 +810200933 +931040339 +1046358526 +770391489 +724724729 +85675353 +316258968 +676220895 +561813749 +59365425 +1862003197 +1286428944 +1320981233 +328899354 +713092016 +860496639 +1133568333 +773516736 +1985569658 +216997584 +734048952 +65978967 +1645344262 +1828122975 +1665038506 +1822313142 +329223075 +669765551 +1031001662 +1051574601 +1404413585 +1841202596 +1982614940 +303288464 +464110437 +559856021 +388963817 +780369406 +1236076917 +950777567 +839734831 +950596466 +89722863 +13232416 +1279495820 +802814879 +873729055 +265580506 +1576331616 +711815066 +482578090 +162896920 +777794033 +2127922352 +1991019895 +295348891 +1802751846 +172759322 +965114442 +686269860 +1224333923 +222044380 +379988808 +1059465216 +525332844 +844099246 +1619321237 +914296661 +1624468652 +707914506 +1865074228 +316719835 +1658510972 +1954797091 +329952251 +790523145 +610128323 +1203681306 +1056103651 +38976291 +1915496372 +1538681741 +201873211 +545806758 +1519120445 +45409458 +841155649 +1174388643 +218168780 +1806270092 +1860658503 +1442502703 +2028314472 +93163664 +354484271 +406163668 +937262910 +1973805509 +1320460329 +414247914 +534236367 +1038050910 +730967749 +45263692 +845364353 +1060920000 +835786837 +1455492676 +117117658 +1891890488 +1494468967 +2032614031 +1283088581 +1696342178 +430937141 +654725378 +1741751636 +1272092790 +1829114021 +1959920416 +930879234 +1542288876 +1254939472 +811710058 +1635452540 +1609423743 +1217873726 +425231802 +1435745604 +390850408 +839479716 +1969981972 +1428901318 +1570447465 +2015245664 +126782023 +483883817 +703548853 +1582274700 +601001476 +447955693 +929260019 +486131859 +1731044274 +478118550 +917069000 +238286004 +72386538 +41678142 +2067400025 +2032306955 +972557377 +1462205253 +1139762779 +1784267435 +950174146 +601702874 +854657514 +1375405948 +2037448479 +1245507922 +67402017 +1859946803 +526925592 +1637849482 +1727708819 +653707615 +2121733300 +283774024 +88498667 +575251128 +731729717 +1017758687 +1061382987 +315290343 +1495877237 +1978451987 +553576347 +1568263775 +2020130129 +473492724 +1453087082 +845203858 +1935697977 +445366213 +481987646 +738388475 +1047069088 +1336645160 +2113794424 +937033919 +434669434 +33712793 +649497074 +961595026 +1671562275 +229722245 +1615302641 +1645811927 +513496269 +1703801309 +73579407 +1245225986 +574076348 +1134962394 +1560516329 +2069953585 +965930733 +2114092676 +1490733712 +838577215 +440101752 +796337147 +1683781073 +228316081 +1241703360 +18285071 +966704557 +141288800 +1354930231 +933015333 +1078322719 +1789599665 +966728126 +1727819793 +603711043 +490806753 +1957542038 +71530037 +2136618681 +323554659 +1775331346 +62714440 +1568780645 +201924046 +1197676835 +981813326 +124393983 +16123920 +948422354 +1615127695 +854701135 +1388524106 +263981194 +390998561 +1616840188 +1505684555 +409283632 +436061097 +1646973355 +1764213864 +1369076430 +577812427 +1406329881 +188320908 +158148572 +2010040925 +679127661 +2115690611 +2081570962 +668262694 +291761622 +1709418660 +730977135 +1860542268 +1911342706 +1928653970 +694871946 +2035736689 +1944777890 +1643294301 +1503380736 +651995378 +884334759 +1767361931 +1042993939 +353691299 +1125562838 +1452277571 +789752396 +625052545 +1069007787 +11345178 +1202864972 +327854021 +199666086 +1361013545 +190411298 +878793748 +1329220508 +124498612 +1547056442 +1620982130 +1833917272 +130549929 +1334040750 +1597776330 +2059203899 +2028912697 +1486029371 +1856498142 +1524723350 +841926459 +361009872 +261574461 +461804742 +1404003811 +615265761 +1587367580 +708797734 +1405018157 +64936478 +1777805522 +1416363336 +1267801450 +2105659543 +1616029422 +481331347 +148587193 +347339522 +1810551855 +273085805 +1894395965 +1284050338 +2107003077 +2024945894 +470607440 +1557295759 +1936666146 +352036489 +895841482 +1645680640 +1876759839 +1737767941 +2006690512 +2138334301 +52089036 +1263210675 +606116414 +1639456616 +1972008409 +2011134571 +1704393094 +1602330283 +1280014259 +824710897 +1560506178 +748560034 +1306042244 +1709093371 +1095899556 +969110452 +1982179176 +842811873 +105677142 +1941698605 +720274120 +576284582 +1351510716 +509456618 +928321072 +99868550 +7653610 +657597263 +1837636492 +2014344122 +648447916 +1889725528 +1130071149 +1254564330 +1381698496 +954595910 +1118215254 +938607943 +409442546 +250745865 +1763318840 +1969948724 +999305899 +921877436 +1531558448 +2095205456 +1890987888 +1366253976 +790533681 +1996665030 +1160468934 +1510807801 +425465965 +364496002 +2020264419 +1353787037 +464364553 +2027918029 +2011384300 +154517397 +1894778503 +512348569 +2044242925 +877366004 +1766912899 +1278457773 +1831961915 +737644505 +69582068 +93920813 +988390371 +1832900908 +2063869537 +1987696270 +607294697 +1447944337 +1935418078 +350798937 +666714666 +578468112 +199980320 +1827183600 +2089275913 +625446285 +44195954 +1962056685 +1979233322 +508560507 +1842491066 +1843133974 +663077904 +1589785922 +207998895 +559837181 +319668278 +1974911795 +1838294955 +4146545 +565072652 +1907877023 +98067358 +1553463023 +1593294284 +14453248 +1393675646 +53105333 +1462397585 +1181610076 +403904270 +2129112251 +1760078188 +603884590 +1808812203 +1701870454 +1229330875 +1853008158 +1516443491 +1061080549 +214085017 +1211450909 +756730876 +877162922 +653753183 +964729771 +1437000103 +973421462 +792157918 +1127811410 +977568007 +1357230571 +888204786 +1075635366 +763209946 +334015422 +1090088614 +9401944 +387120755 +405002551 +1191012021 +791025025 +386631155 +803606561 +1394909616 +47959710 +357993367 +476756843 +1900967868 +1874436858 +1537837393 +2115052886 +938404120 +147084621 +844732160 +1592157303 +1111814392 +134248615 +418095117 +1903972311 +1262060026 +1395663125 +1113719234 +2781164 +323814843 +1876929180 +336796586 +1413903457 +1886331125 +723917341 +1818906008 +929859498 +1514942366 +58053515 +1733466059 +762368334 +106013226 +2091459427 +1239125178 +2006981094 +1818412637 +629478923 +1974550332 +609333109 +776563544 +671798844 +54006765 +1888377936 +806047460 +472101882 +1644866599 +2068107486 +1867765007 +611102185 +2070888650 +44096202 +340547718 +260201588 +1457999659 +79395195 +984118929 +1129422020 +1009254693 +351577647 +1187475535 +595237104 +1113945982 +1293488761 +539212883 +205587512 +1152986208 +210141873 +835066435 +980052892 +819474982 +1611629979 +1651851737 +873481747 +1352524267 +310415549 +1345583630 +849907219 +231039387 +1065864989 +1461009404 +154444389 +1109961192 +1801557122 +414645977 +420477203 +1880952317 +1398764906 +1549899223 +742723362 +1750342553 +589891111 +1337960467 +716804887 +1883379872 +1877173350 +922392399 +888882432 +2087315223 +1757458834 +1868935325 +759306558 +1221605165 +1373303414 +1632788305 +426645785 +1683718963 +830888287 +1276553004 +1914758350 +1896753277 +590078760 +2069202739 +859230821 +244152235 +336365068 +1279708024 +2125104552 +1735129974 +682123600 +720344267 +1337988879 +1272014711 +2058304734 +2054793767 +1007910935 +1787994436 +829702518 +1896793368 +1727826012 +439677705 +1618245045 +339648922 +1661282870 +844064811 +1972437227 +2087928655 +380300126 +655841867 +1216998011 +147574828 +405111496 +1807076772 +69293919 +1264342317 +2051229007 +405658987 +396566693 +2028849911 +2140788961 +1078690293 +601710530 +1331294192 +203221356 +512531616 +1238604311 +1211132292 +153042405 +2068306830 +960442012 +1880868417 +360500887 +431203409 +73033691 +2021783757 +1275268220 +2045470918 +1962228765 +1655568346 +553829137 +1031743128 +1803143174 +958940633 +691336252 +1872437093 +75799302 +595081611 +130612432 +472365996 +476447875 +123917745 +1551056289 +1078158405 +1455211937 +1754277646 +1590690022 +546332601 +817926290 +1743732427 +467155783 +1778368302 +1477117196 +827656670 +62088063 +1550150887 +701956779 +1337356283 +1448138157 +516701896 +845440981 +2001967295 +1548445025 +501100507 +813424280 +92297629 +226053952 +889223583 +687379241 +356666384 +1361589579 +1163827116 +480584129 +765162220 +94501873 +1935796066 +371956218 +1685191895 +334645019 +1189882508 +1281440674 +801800802 +820767162 +611074222 +1629457472 +882855225 +13741461 +183930604 +72727860 +1461879619 +700632500 +918168841 +1316363266 +101593877 +1419269348 +2129787546 +193891507 +1645323300 +871527481 +881270748 +2001989684 +85633412 +2045097864 +335090165 +850795633 +2139599737 +123402584 +1222751851 +1677307985 +458047603 +265150712 +811265011 +1259848406 +1085917874 +1422339234 +741822230 +1968773100 +1436080695 +925752834 +2041500960 +750476666 +1626385335 +812186154 +2066839932 +1727979212 +83971854 +2049143831 +1921870719 +1729295155 +773187664 +655657819 +1583801191 +858821077 +553272035 +1918891357 +1709616710 +545388125 +2042293941 +784884913 +75212462 +352857896 +1050035625 +886477473 +1612706302 +2135953500 +161333059 +207044885 +1957242952 +1597413755 +1132797719 +1851260264 +200406773 +611699406 +515962770 +119763058 +192194971 +599934625 +21423241 +2114065690 +181746132 +794610905 +622239862 +1765547323 +1653431982 +1175511897 +1536955032 +1215565044 +1720900022 +1431765325 +2000449958 +1796112484 +1784623222 +903001935 +535106310 +1249845876 +891471787 +696439369 +1456890761 +701231091 +146369476 +442204833 +405007708 +346776250 +1053904239 +920970478 +466539308 +1246099210 +1520905103 +487962549 +1212681253 +1702651235 +1282573454 +1834921115 +1320714911 +788521789 +862949364 +710186295 +2004086833 +436365739 +2141951621 +1857053143 +84994575 +1779091195 +612571431 +620100885 +881453423 +1504043218 +1316540255 +190860537 +57790662 +1462909731 +633065370 +462798370 +1809685981 +1686969609 +1383768848 +128741641 +785585172 +757190304 +616704190 +1998266425 +312357891 +1899277645 +1685703892 +1633072802 +540315786 +401169608 +195775450 +396918971 +837535347 +190243423 +106488467 +922529923 +1969334618 +719059898 +1542630808 +703304393 +75619468 +711687415 +894164930 +133410130 +27113499 +1527230300 +596208500 +1836799480 +1066716262 +1979977349 +1965541122 +1852301434 +589684005 +434761664 +1703084211 +902041896 +186555661 +1241304455 +387631051 +726871447 +1642474063 +583406501 +1123790419 +332525763 +773649924 +1230278886 +1255055686 +595500894 +1949338784 +650202846 +1298805287 +2024958252 +1361890262 +45486570 +10884735 +1389003761 +1572716870 +607093235 +1078319593 +491949484 +439586936 +896377067 +196767270 +1029270941 +1331138732 +1899851481 +1931312838 +1517694393 +993672288 +171460241 +97082193 +488662704 +754866742 +1220872612 +821188467 +1528516666 +303667850 +2076244153 +2124017560 +105522986 +578963351 +1275339199 +2130481238 +1940853613 +1320825769 +2141365973 +1182373726 +746058992 +600975561 +113209672 +1238008476 +1040562497 +1009586739 +1434775747 +2069833439 +193241823 +1187143580 +1853662629 +1710936217 +33332221 +2025122870 +1808018410 +521994925 +632505964 +881407374 +1343183392 +13538982 +1185075224 +1271943897 +2137556542 +1290598210 +1850907248 +1265412093 +1273595800 +1644277214 +438754215 +1267478126 +679167292 +1184813207 +1868453687 +792376964 +275338035 +761532536 +1801963704 +1710113782 +683882327 +1995205527 +749773715 +390061308 +1558658096 +783105936 +267700530 +1219192858 +1305100861 +900206494 +2100600232 +500800605 +913745476 +1138191808 +1772744502 +903818370 +281306370 +1476168102 +21746816 +1554902171 +972961668 +460501031 +674896649 +1652128961 +1645314238 +395866688 +297022277 +1920652273 +1157399224 +2098985981 +1483282408 +1841281552 +1946707861 +85572475 +83859212 +1357882309 +868678411 +351559743 +429591520 +26295624 +1251766237 +382708104 +527096229 +18028066 +1520899913 +152357083 +921846436 +1802206283 +1628525185 +943593252 +1209624806 +454003206 +1404094283 +1884521455 +2106132167 +901924873 +132904495 +255670796 +675093499 +1290303720 +207173130 +10892259 +984101624 +6397343 +96464734 +1067960836 +1364279652 +965143145 +1419520579 +1793871172 +991438769 +523803169 +29095629 +1518534998 +541831235 +1549995542 +1670892081 +1463677671 +1204718177 +1151933618 +259787276 +266859336 +1605936824 +1663881559 +3897143 +1564585343 +418322785 +136801639 +1820256140 +1093416284 +1427105359 +2027429270 +1104308543 +263723335 +2033826613 +1200773277 +1331684171 +1250622617 +18432774 +603721103 +897010142 +1009871543 +1127524272 +926105771 +380922893 +1669355507 +328617665 +2051814974 +985549530 +1533335842 +1056264944 +1245336806 +1800195178 +514718121 +761734718 +1804092322 +2079303464 +1180057503 +1940893961 +1752075956 +125990139 +1220515672 +1632021578 +1230298682 +1484239007 +1518364543 +283588311 +668439530 +621503513 +302021085 +1272160633 +1518513655 +1311892628 +252201257 +297135778 +1692815521 +1921556764 +625753443 +1597146847 +759622647 +11605637 +505928143 +2004959453 +1811800816 +1020646264 +619210523 +1468409490 +952466081 +1799268026 +1261819803 +557058389 +1925258165 +334851827 +41596320 +1008073199 +1819090834 +1559960863 +1291661510 +340046716 +33980728 +1593682595 +1612207350 +1552494383 +758091575 +1864408607 +1849630161 +303423448 +1638481724 +327899956 +1900570295 +250620723 +339505594 +259014791 +108096528 +3822762 +1279661055 +727307052 +1472232252 +84643488 +379091430 +586568407 +641701878 +156865948 +921420234 +683298198 +1164939147 +593027420 +95775413 +309117010 +933074136 +129756142 +1902799605 +397797838 +1682250525 +513407533 +114722798 +1384397039 +816830981 +1753204522 +1712296995 +569917629 +2003825245 +2051802589 +828932420 +2111921773 +2055625351 +2108593475 +691745177 +1380373955 +45753316 +1070836608 +1966942362 +687455194 +1227702556 +740878948 +1370753392 +245158055 +1333906368 +1466528805 +554275065 +119496857 +1596284947 +309591023 +517294695 +1131051825 +822998556 +632017493 +367965216 +1639829537 +237738367 +2080262211 +62263518 +94079964 +1984581153 +891195938 +58518090 +1892722856 +852305766 +750263267 +1125613164 +898059082 +1821099875 +945071878 +1585514276 +901318783 +1685950827 +808784020 +1146476839 +872373547 +127829177 +1700751904 +991870404 +1724114125 +2010342927 +1509165100 +707682302 +685857835 +2141182593 +1075647518 +178203725 +231437313 +1008426081 +240467243 +325517277 +845523586 +1131663182 +384035367 +590762795 +1983968948 +1134298635 +1716375959 +734544382 +807914862 +513964189 +172575010 +1709233646 +52431368 +981359030 +708226837 +924804916 +1109188207 +261495093 +1916675320 +685818684 +124354373 +1278356772 +1393500986 +810212208 +1272055718 +321664856 +988415933 +1503493031 +1330090938 +1228883177 +1829010308 +28130876 +213062711 +65562028 +618893671 +49548011 +1199860663 +187785982 +784092393 +2007775525 +701750172 +956667403 +1569525523 +754181540 +1938026433 +130268712 +1678986456 +899730992 +391763806 +1448178129 +1585549677 +516118179 +579051253 +831567015 +1326330387 +1851106971 +1153231872 +167262673 +1207116354 +335839162 +1396145850 +888643015 +363970038 +1609208561 +954205043 +982863710 +1658756572 +6582058 +1170649692 +295365317 +2014357583 +1872399864 +1252032720 +1436399459 +479097757 +1042575505 +1566668171 +10600565 +1942306497 +1958431977 +1458778694 +1380372526 +327066508 +2037829948 +64455894 +1653396896 +1741453271 +1217687766 +1820659569 +801085978 +1553526928 +1069321771 +1689728993 +1917496966 +531046684 +496450388 +752877028 +42319608 +503032446 +1923526721 +337684925 +369906381 +1648442937 +1589717645 +1806305840 +2127540694 +484809502 +1225490364 +2138141260 +279632351 +1036438693 +1449436306 +1660004878 +1363505202 +1339782606 +1724460772 +869418450 +933752230 +794664890 +542594371 +1734838208 +200708170 +1611916142 +1277083553 +2118205136 +2142962826 +1773533941 +723598517 +37798786 +129082739 +499641590 +375483711 +498989120 +600879 +1965201356 +157811313 +2128141574 +302527210 +1383301677 +2118799186 +582159561 +272256722 +1420751844 +94680791 +1635761924 +613050803 +1819141563 +357696726 +1546803033 +466322805 +900291097 +1134157593 +667030975 +364723591 +263757498 +637752464 +360202769 +2037291439 +1361350981 +398001555 +18890530 +1860992571 +773485266 +517879650 +1861593450 +591202974 +675690963 +1842251376 +893730184 +2058992640 +1813566914 +1475889746 +183765715 +1086835111 +1570570537 +1819527639 +1699885914 +1242228453 +29740718 +1099205299 +1708551258 +930031815 +85879244 +228098586 +1294755407 +349636742 +865851050 +1654958176 +239444533 +79718383 +2052959732 +258335063 +1940710954 +678961350 +776214713 +1654820756 +1270164325 +1451905677 +1349588485 +16410861 +1363414669 +1015671751 +1492300607 +1547180384 +2102506862 +915387497 +1219224376 +1654909128 +10132302 +1248965094 +606630779 +1718683560 +31513261 +692510023 +1946782146 +1326268668 +1042146765 +665149548 +833743197 +1281591298 +744867931 +739219281 +1539926361 +538095237 +1418180631 +168657427 +45432346 +540861308 +1620563104 +1395020831 +557272170 +836494125 +263208934 +2049572777 +236190862 +218232149 +817476626 +1455415238 +1873141277 +827608928 +556896684 +332288409 +398808841 +588409945 +1024798432 +198107339 +1914678614 +2066945198 +863256888 +600938163 +1201052848 +1608124819 +1340157444 +593495562 +2146220057 +610854427 +762152989 +44168755 +1151715736 +235232445 +1439189586 +1708987906 +1071726570 +1702398520 +1611077035 +1307917432 +1920630669 +281070014 +615849022 +1646288299 +1108678942 +1172745706 +1978576708 +1507487783 +1761155652 +855891492 +1705595123 +1528350618 +775353042 +421368363 +2129288781 +1976405891 +2029493182 +1321962577 +422417805 +2028229591 +1932817004 +1184570794 +2072398346 +937049092 +1419803239 +1364104284 +498553350 +344046161 +919019157 +2109630386 +1651963594 +692166178 +243216752 +120328968 +190970829 +1351895694 +1293074675 +22063889 +711899830 +906746679 +877955382 +270011305 +287613649 +1653308424 +691379668 +269418782 +1482230667 +573389202 +1591381359 +1904648472 +454135146 +1376714715 +941735618 +379049844 +166280160 +214055209 +1743154129 +664833510 +558101371 +514689638 +626980248 +62581317 +1206855816 +870197000 +182910285 +1397826646 +74609047 +1475984960 +1419890535 +786508877 +235247991 +150362269 +1056520182 +522861640 +1803670694 +1747899850 +792280422 +1138417713 +173805404 +236178133 +895582538 +627940550 +1612892849 +1837318156 +1006990395 +1779173009 +2051373366 +602660876 +296522871 +461991089 +1117350514 +923503120 +524572406 +176722682 +1793700120 +707482691 +1574549328 +1868309167 +35984004 +846956216 +507334396 +271231995 +997318485 +1563854578 +794093636 +653505531 +1164270780 +1586374058 +1791923245 +1338076185 +1822552192 +540022135 +1966016735 +1287961393 +229856643 +825523482 +919650754 +133746361 +1428184358 +1216173625 +595737450 +398051224 +2139676745 +1120309856 +574773907 +1785893218 +1827792548 +1839587 +1506718737 +1863776552 +848795803 +2014053134 +2135008547 +1846114289 +1430424064 +781618535 +352136172 +447211197 +220508946 +2144059417 +1785287382 +2043061138 +536597904 +1603820469 +1183538883 +766454548 +281860304 +2103189637 +900200909 +1710044662 +1171879614 +1495938360 +2108095887 +1164072712 +468764568 +535386146 +802482282 +149073468 +537225733 +161717371 +2012850020 +1386021537 +28286857 +2000374920 +1084652178 +1458710922 +634509807 +1436788350 +1905922119 +855018753 +1433364120 +1543725853 +750596243 +1969962024 +1000062674 +1934135126 +588932924 +1281922978 +1889841115 +1489133834 +844483993 +914237082 +837588546 +805096232 +2078309794 +1306353114 +1340482378 +733308428 +1455426583 +1877708111 +895025799 +1320792955 +1116246000 +923312657 +1173684227 +53414530 +234539931 +1808194035 +1490202881 +2140462050 +515729140 +776083353 +1536704255 +1266325384 +598561729 +389283281 +1052976862 +1187494654 +1671206260 +795334330 +529144840 +368206605 +1709571412 +1366733386 +1173302837 +1640397558 +525602852 +366301567 +226222338 +1981029435 +96526030 +1121248137 +1154338743 +1212772031 +2044560794 +180539322 +1266186561 +131617077 +1988733357 +608905794 +124595479 +356978850 +1384989147 +1661299734 +1623304234 +1983550877 +2050583016 +528797448 +1023561883 +1574305628 +1324131778 +1552706723 +1942512233 +886219542 +771956461 +968331422 +379133452 +1297559313 +1334632989 +605355790 +1131105101 +1431159019 +1726603928 +137960196 +496447402 +1623681074 +318499518 +1762633964 +1755298152 +159749228 +224056110 +1879893631 +516728078 +1609045258 +1393709718 +2140032312 +1445112487 +1296809086 +521346112 +321190722 +723631066 +1845477891 +1873897445 +518659651 +584213785 +498370258 +1486991073 +963347238 +1795929571 +674140414 +1568703028 +779551024 +2105299433 +1147823308 +917511220 +454263188 +624020735 +1236010739 +69413504 +231835239 +1395759967 +293469614 +2111728870 +1912488045 +1902514872 +1357954940 +1905036709 +1200143711 +507280378 +278899173 +1521334433 +1230911444 +2124377064 +1247748230 +1749571095 +561107202 +1746118488 +1089078520 +1524454440 +1394564412 +1763218934 +945673820 +26631788 +1721034720 +2093497129 +944143009 +27814260 +570034216 +32670100 +97227764 +801869455 +1428430067 +390697378 +766114677 +1193434464 +145728603 +2124069618 +950987525 +1345872314 +483866348 +1229886698 +719723100 +1714777793 +1206780115 +1967471330 +1316865240 +1767887317 +1566106171 +258460113 +1144858109 +813186935 +2021679047 +2090531929 +839818723 +1595230119 +2036545410 +1783961732 +1623044379 +459095978 +1816631832 +1720272143 +1260965433 +1097578251 +2110969522 +2027080111 +143529067 +109214477 +2003666081 +1094516592 +1455086791 +340048781 +176919643 +27326243 +2054826574 +1383699758 +1994797574 +1224208167 +1004103427 +1413420097 +1482668280 +1477888 +79123384 +1356863679 +2092009817 +918942107 +804610151 +1981071580 +555420192 +280170882 +292683910 +224568376 +2000443026 +1553649344 +1322146628 +1963928900 +1433245807 +1465675695 +2073143377 +1289428240 +412708640 +1380746520 +1629477021 +589628283 +1408072764 +1536819948 +1973328041 +1255386690 +613544467 +829947820 +521323139 +2096212747 +831425708 +600446523 +1305592778 +775951877 +1519388630 +2110202929 +609539809 +2074808822 +242890164 +902223720 +151893551 +95849542 +308389416 +1474040179 +2059778442 +1741635223 +792232226 +1985438171 +883579815 +1204940866 +1218701043 +365573188 +1794569149 +479290159 +1902393136 +1620413542 +1734676849 +368453955 +302877714 +108516340 +317183054 +1134303422 +708962863 +1622775833 +1910255300 +80867846 +1585495114 +372311461 +8193020 +1828385278 +1274535181 +160086571 +1924234820 +1582924597 +1634126750 +1836529614 +1177076172 +278875329 +1674484137 +2060655987 +1483816195 +745701533 +278745528 +1130901697 +1224991692 +33655016 +603831591 +812184894 +402108972 +906709306 +920701234 +719292026 +2041012728 +1629664098 +194584211 +1803784380 +1710531944 +1780079326 +28612194 +1718724964 +1460980956 +1303147375 +1878811536 +1237732129 +738588325 +1365454638 +926778095 +1915664497 +1644329967 +453778585 +1828836837 +980662515 +1199480118 +2107582365 +2111564212 +276988162 +2141237381 +567912155 +1089173056 +395862705 +1474621461 +2009874291 +1115154732 +1368150542 +1492054741 +1309738943 +1024451274 +1055103037 +942334621 +1053063468 +626344353 +255831930 +208727196 +357672241 +1493564059 +947315521 +1723126880 +272858506 +715496370 +1219973199 +726637091 +396849559 +53152066 +1926117209 +356948276 +17232630 +55621724 +350702010 +585144786 +1144794780 +746564715 +2059766247 +1007185423 +1861719447 +1280433141 +351756516 +1023974743 +157400768 +1406859553 +1966309364 +1210464236 +2033203907 +74657646 +1419191432 +243392500 +1568221705 +219023305 +1966519380 +1841080212 +934519676 +1039008932 +420233655 +1331369235 +1092160998 +198867217 +1688317512 +1109393629 +254488941 +2039019522 +1694538415 +1399283721 +638100589 +1606821014 +258985497 +352336389 +739770508 +610742013 +1376311132 +897171276 +2017601567 +1195136848 +2107635512 +1903321826 +1269794495 +1379343297 +2146714326 +690532552 +1598366602 +1965750059 +384129116 +385402630 +857275343 +804362772 +1716771866 +1949436341 +1003229989 +1257605730 +911346322 +1257718930 +1149141604 +458401089 +509519003 +1787242193 +2065222104 +768504500 +2139578582 +657508964 +1379246514 +1368406066 +1554680240 +1249364433 +416059267 +1514832104 +1005202611 +1685853762 +746691753 +1004433289 +228902666 +197574708 +822699700 +613031783 +582977338 +1679975043 +1417394555 +152265556 +1481927737 +273140896 +1409871286 +245790411 +1530859826 +411529242 +704191501 +2040378829 +51287788 +621929957 +661399682 +43382722 +1279438921 +2040646196 +1411788789 +686635513 +1142526981 +1827848056 +53983969 +245944 +1366218170 +800675723 +1004679233 +1595120836 +998250431 +1827378934 +60668971 +1581227769 +1359870329 +1478063526 +1733493326 +694314418 +1751204422 +995880964 +940104830 +1134580600 +1407410207 +1644296331 +1027475782 +1458697995 +118742640 +1688875464 +1502080717 +1398181561 +1582038012 +766385858 +2084817074 +577081345 +446750266 +2138801043 +577327289 +1812968436 +791993118 +1582006522 +1260605625 +1790243549 +1261901808 +1321274596 +1223987671 +474288490 +651854475 +809997349 +1168602908 +255575249 +1805878313 +2108707738 +1390155850 +1065804872 +1605520421 +270147984 +377019219 +1724263061 +1959023448 +1879099937 +974960974 +1393577812 +498002147 +912294400 +1970659157 +944752414 +903611796 +400502798 +610237202 +1695604914 +1982509320 +1870842827 +1338364816 +1096927481 +1044633776 +414868839 +1571215971 +1696488251 +1224866188 +592335231 +1952063500 +883260853 +553559322 +1194735702 +1949065726 +11596095 +1464883686 +178601297 +1735859157 +1276423486 +2057701234 +563336483 +522517650 +408219734 +1475630884 +345693159 +1352972148 +231759032 +746195957 +1963209350 +1927363946 +581221630 +1686568530 +1118245114 +1678149111 +583718658 +1533113953 +1101881434 +132723261 +610496493 +1694216665 +2084786761 +1493757347 +100292339 +1132038816 +1295339425 +111888435 +449438854 +1473940722 +1847747592 +1725862341 +1384158309 +263600427 +100896343 +1792378043 +1739231311 +446589503 +997866543 +1970990343 +1192785460 +813592245 +1750870642 +1774007090 +352677127 +721632108 +1304672553 +936395785 +107262414 +259070339 +1069119046 +717758907 +1953287005 +1006422160 +64032606 +2053579344 +2138460976 +1359372031 +17984131 +440416182 +685829106 +1865731723 +18794875 +2069987415 +2129332151 +119691219 +1714881810 +1721079814 +566280722 +565264705 +1544586510 +1759066182 +1378856950 +1147973504 +1385589625 +1731534078 +1869605612 +542778530 +520446215 +1976868026 +801848870 +1589565262 +547143286 +607652227 +448503774 +611175892 +513747923 +439481102 +1970547924 +531732055 +879897284 +508893382 +249980130 +898692160 +431397149 +231828633 +1018383379 +2146278959 +1952908448 +1584664101 +564060016 +1350011310 +1196246635 +1942916966 +350501166 +434352612 +1526967396 +72623130 +977131143 +2047413612 +2049491157 +1778980013 +1489495226 +449150795 +239148592 +1937999000 +1060326687 +752896515 +229996454 +883390963 +1284628570 +1109893738 +1392284345 +1534608701 +2008585898 +1823681494 +1766437334 +879485629 +1822476805 +1571862134 +316666082 +239053173 +774389796 +1512912718 +34486492 +1124890962 +1947265330 +1561453888 +1197514093 +776912825 +1461383852 +1099521602 +408409190 +803395430 +1548672397 +647557782 +593910782 +461515436 +1400454298 +823907236 +1344906400 +537599220 +1933800975 +589707097 +2072207921 +1794903225 +265904944 +1691161608 +526905207 +2088381749 +1115540094 +843571289 +179951275 +1889929891 +209000359 +214437767 +867337205 +8782042 +1775891655 +2064851298 +785694867 +1089791860 +1016889252 +1194104058 +1893187290 +418078001 +1841661840 +339614425 +879593438 +1094632490 +1163521661 +77016190 +1632231711 +949838988 +666723287 +1556955984 +597258566 +932628231 +1100633944 +1124163773 +873526333 +68690391 +1967735062 +1053477608 +1958620282 +29251774 +1267915375 +678473839 +38033816 +896323382 +595841490 +823728683 +1986115242 +1612730742 +2017832741 +1731818885 +2030808744 +1712010934 +2071433310 +762918534 +659159776 +1087471323 +839934724 +143907839 +2037310312 +1506658011 +1700863824 +487085230 +291802595 +654014120 +1611249003 +1165328928 +722704511 +1431500417 +71322888 +533841145 +1460752191 +1339238263 +1212314985 +1498786007 +88077997 +1808156475 +175031043 +2074193240 +1273403569 +45380136 +1658528477 +1156728665 +1757391070 +1582478139 +1919647199 +269067199 +522465814 +612098275 +412975038 +412292478 +2118756287 +2113838862 +899377708 +263075234 +620369335 +363143063 +1428404162 +1343073846 +1794643481 +1499727050 +1876914992 +1107912024 +691481665 +941746329 +459214384 +779559662 +602419156 +634245427 +706269254 +1875822725 +679625563 +217314083 +885067743 +289532986 +1799792222 +657231294 +558600185 +174774389 +1269329570 +971575223 +587066867 +1240602209 +937930438 +1486444576 +1503677443 +1558299773 +1849587639 +784597957 +753889971 +1496747472 +136841359 +483321315 +457175849 +828323024 +1425067644 +916390233 +1607882686 +2027486800 +1550635660 +166668293 +1755825878 +82777575 +383982376 +493409973 +372310561 +36290951 +1150641267 +930910746 +211065340 +272487189 +1902485970 +798132207 +1513089398 +692932760 +137093135 +869283193 +103748885 +1986680775 +1653881150 +857638856 +1335944599 +1790722509 +1340960172 +1793120448 +471561885 +618544168 +562027033 +2079444572 +498547321 +2112662693 +98629217 +106889551 +47956621 +482611593 +600299524 +420267182 +518902544 +1750940791 +1351177929 +729967884 +2023427981 +1106180251 +1528100092 +1389033731 +1799113011 +1665193227 +110833277 +1902861896 +1504390354 +1764714427 +613017104 +692851306 +1407953289 +1953977276 +338488106 +1879515174 +425037797 +900515140 +1811476098 +923585118 +865694185 +1910105315 +1030474669 +913650806 +245233261 +1630774193 +1333917989 +764135805 +1234231336 +537612270 +1494103690 +1110175669 +1643792521 +874720134 +351725753 +1295421884 +392429713 +462559030 +1050800132 +1896820068 +79789809 +1663817236 +442187726 +1487743098 +1470310865 +780675832 +1219774625 +1895348662 +1681190972 +883767075 +671450132 +399401510 +646388743 +1701924801 +1313052316 +891622004 +1185215346 +499486657 +1655757809 +271963034 +1037098927 +1002377851 +1382138704 +533407800 +1877097985 +1733864457 +1828829684 +122044051 +48939839 +732146168 +2018864119 +128729648 +248479757 +313568197 +1616472747 +1718790622 +1094244029 +688763724 +1466655636 +627951354 +1572530799 +2138105768 +1027352864 +71435894 +1692546921 +192921532 +963057898 +730278619 +692408190 +471332060 +1002241653 +1729507117 +1473709911 +236896709 +115431270 +1203324249 +1970761166 +1944260954 +1325368300 +2019701005 +528923475 +1196748771 +947006 +777403232 +1510316968 +1617419753 +348710206 +457077349 +158699829 +1815365842 +1085028703 +1731230628 +1805987962 +2112381567 +1802666523 +1351051235 +157819452 +618240773 +2081329854 +850227642 +1089572833 +936087859 +432251111 +415799097 +1172984569 +547682381 +1619123346 +996262087 +344459688 +797007998 +868479445 +873383163 +1993756769 +869426451 +1650786395 +1356590089 +339362556 +1999496601 +1813667438 +498062385 +1667378795 +751212494 +81809365 +1325883109 +716110413 +1884475888 +529450696 +873929865 +355233014 +463296902 +1724157507 +1444805847 +1399384761 +8924971 +1860604944 +424885682 +556607352 +1332244642 +1421147770 +901067040 +2129252640 +142143567 +1774450203 +1975525761 +1011570018 +1277752950 +1184632202 +1350932574 +1129765903 +850815993 +1848994959 +649661050 +1602028487 +1930804324 +1975544159 +170655252 +1667796565 +357511207 +1044585118 +2023029579 +820808109 +621258977 +1320351778 +72709223 +630183948 +1033473075 +497594905 +1186791301 +218234069 +1918742675 +2087858341 +200003062 +2060886242 +1714824897 +28045175 +924972612 +845094199 +1212677378 +128421538 +1974860103 +2063493371 +1977416497 +477037505 +1518038210 +1760737174 +305098017 +1688693462 +1281050091 +662609224 +585794932 +1156596022 +1483417334 +1207053910 +329464152 +1556126557 +1837237858 +1362937227 +2053721462 +876545511 +1581171297 +1824980490 +816920205 +1781174359 +1738383084 +384261454 +1809219534 +515872049 +1229355653 +874413264 +644293587 +1056732108 +790422987 +474226437 +1533769614 +160977549 +87479963 +1838867631 +1849671012 +1368530054 +353993207 +287982296 +377642428 +1837410541 +1495036206 +707106580 +1246053450 +1184790417 +2070043808 +1152291265 +2061335928 +1503731457 +829788107 +730772485 +1137422168 +420687543 +1115033939 +799158054 +936559592 +196905945 +1673571319 +1580853180 +1253638053 +316510658 +2055079617 +639924019 +477488208 +2142559580 +331308002 +179675572 +1363605986 +685301210 +467657868 +1741248414 +375228103 +1962694075 +300871346 +1621281554 +1000000844 +223431506 +626089171 +913853124 +1727162963 +1455877278 +1644625610 +717101483 +1876564821 +612175901 +1516259538 +665640766 +809081846 +1042347209 +99010298 +2062719900 +1358857867 +6606267 +555160271 +1836346075 +1682199 +886468274 +2016021647 +1365288185 +1571769484 +336195868 +959052951 +1946997587 +151406295 +1259924297 +1420795493 +1151407139 +1483355804 +2046884664 +2065260263 +1063035119 +1355278294 +1562402225 +1780136603 +1084359468 +27094479 +1148912493 +1750000234 +836176325 +43776054 +1849010532 +751412577 +1402633921 +1855616799 +1306572849 +1091496349 +1857298998 +45557475 +960034348 +1075103535 +1617326959 +1296230216 +2034156486 +1416840898 +1447636511 +1146597135 +690152744 +451560002 +482469291 +589553760 +369336618 +1545504411 +1944832055 +1931738843 +1178157366 +881707875 +1958833322 +179586211 +484224461 +647526000 +223362265 +185751345 +1398938577 +1625996186 +2041368144 +558027778 +570008887 +1751183494 +603585253 +1530043236 +678803381 +73428564 +678789804 +565476219 +1490269463 +2126426316 +1712073354 +32938559 +430502670 +47058998 +622492319 +799839288 +1592563409 +419840726 +584094484 +623237127 +1301548601 +395444158 +802823338 +1785773062 +1042970158 +1026185603 +1971524407 +294425088 +504698141 +1865408903 +852452866 +1074707029 +1469108749 +1456038120 +457266617 +428482 +1529466684 +1136056421 +565904701 +872252499 +1114999089 +130494408 +905191058 +1545501760 +177553406 +1527683378 +197857400 +1770116815 +1947524104 +781951884 +245870294 +1101589058 +1177396043 +1048693632 +739878472 +72882553 +2074879235 +563919232 +367307641 +432093728 +281844487 +1219760508 +1506800757 +1750953237 +528314980 +1964067374 +1751381719 +2057781664 +952640148 +169802773 +782550516 +2067639237 +300297181 +1687741574 +1465657349 +477850587 +1067941304 +1663514750 +100483754 +867981761 +297982986 +346354048 +1969570819 +1475379029 +1395047680 +561965643 +1548261583 +1322443267 +1125884875 +1915569224 +1754536995 +1407729363 +987846084 +1113854105 +1011198952 +1516161064 +930437831 +615097023 +1426459081 +1883077979 +784899796 +61525949 +1803233569 +1085196977 +1749267523 +1121407270 +1563047564 +669725180 +637438372 +1663531318 +1537706941 +935421359 +2009885366 +1359794112 +263316740 +1257449398 +1921759755 +1811578323 +432409017 +900160983 +1579663900 +39462365 +160406698 +420026336 +1153316470 +1171605650 +1936187401 +2083754301 +1786702673 +1215162834 +1819348633 +424118822 +1276688783 +1475098554 +1509315799 +878472658 +449022176 +924879716 +1548197838 +1086460549 +440927386 +938421131 +2021881908 +303329105 +150731595 +137715000 +1560778503 +2072491351 +1949293324 +1993187521 +825168686 +1381473576 +2032649886 +985575384 +1801499912 +1038482708 +9697386 +1590203665 +974753361 +1796400059 +657882851 +646618346 +73035233 +1934571634 +2121716900 +1582351033 +665560645 +423255429 +359747101 +66274835 +1509715978 +800674487 +1004695967 +1384114238 +1104003592 +1155427562 +1521829238 +517298448 +1080435265 +1323638914 +363002321 +1905603951 +557628842 +248168559 +743695687 +211645107 +1286651267 +753393073 +1801848772 +113920980 +402309485 +312247976 +760539327 +475344718 +99335962 +734772579 +2057695751 +764896607 +1158028008 +269959204 +831171443 +520260338 +1070633692 +1835867410 +1904374576 +27153636 +843811324 +1278720167 +544452084 +1924246590 +454875433 +907454405 +1682366893 +1012504276 +1155622964 +278578933 +1224149383 +294790583 +1031972006 +878514507 +408711564 +1434281491 +1190762483 +1169250891 +1909626210 +1290098446 +1904023470 +1819838313 +2054995053 +914567831 +2089797518 +738682848 +1434828169 +1012947562 +427066610 +1191719098 +1040101198 +1270877935 +322955617 +1584553283 +1047640877 +777831050 +344524040 +582524122 +1790335326 +1500147005 +861103055 +867001061 +1794937588 +1893075062 +1745515569 +56165504 +1179872905 +788794404 +1225416395 +942015467 +2078892850 +981956218 +614370133 +1986404256 +1896524049 +556684003 +577603456 +1183868570 +1569631565 +1004670067 +228104020 +462249115 +128064354 +551059637 +2046802398 +1175705231 +1328890688 +243842791 +1758229353 +971742366 +1743989796 +471848761 +1838743428 +1391443736 +217440175 +1436775349 +1447609241 +1397313080 +78086105 +525541988 +191844900 +9495308 +1507498206 +806215033 +1995899564 +1256538607 +1362899036 +426019372 +292923530 +785046953 +1430689439 +521027550 +1247296068 +1558753793 +1072087188 +1146614819 +586975376 +253494228 +1390457610 +197721082 +1225236594 +986963758 +669569843 +916496374 +230923846 +887010018 +205788075 +1678533087 +136839450 +283874181 +56591428 +328684350 +293369489 +1564089634 +1134899383 +141785405 +673144594 +350314771 +567804777 +966068124 +1135361724 +1998494217 +1487095674 +235174145 +1409764362 +411699214 +1381788964 +1996739739 +665193442 +624762926 +46977173 +1890430037 +1611726684 +716547016 +659442763 +1842650530 +1603557034 +865230839 +1373699970 +1740396484 +1149105020 +1430291398 +2069080835 +1442474509 +846897384 +1056496570 +1584259914 +1520041978 +1406811342 +4581043 +338626454 +394689418 +2003075260 +1825722129 +629863563 +1265355975 +89937695 +2011652527 +1114612066 +755131138 +488931805 +1161589239 +498077527 +2100658489 +1878136255 +1157520290 +1795825372 +1334209641 +2022751129 +1022041694 +927122477 +1024372501 +304849444 +848719664 +319363362 +1151746828 +1905216235 +1903623276 +524305159 +1164543929 +1908204320 +862931613 +1559233347 +1763795932 +541170094 +41613263 +881668259 +631107790 +2053265790 +1996280325 +1386238928 +394713948 +1010385916 +1884316455 +347888789 +741038523 +894353097 +2143714161 +2075248164 +769620579 +1018272207 +854886994 +1793993080 +1323121651 +1703606658 +2113356443 +327384832 +1461339245 +1869496071 +851689991 +478399526 +1630216743 +1714621604 +2037632874 +1246529028 +108308051 +2079246137 +2128197287 +739415841 +1985028279 +1976993965 +2125654769 +232258579 +839896233 +1862487576 +580147369 +1580934757 +609357025 +576377882 +1508699273 +1378977604 +1594650090 +216102619 +1025487037 +770288093 +1919709278 +991359832 +1097672925 +1233564875 +713372255 +1949362916 +1711964402 +196105351 +1516500873 +1602113628 +1442634379 +1624808924 +1533876117 +1423348018 +216741117 +1371420748 +1252858335 +194912238 +1603679328 +2092754569 +2057399814 +36343049 +1526205678 +519273191 +612720931 +887421303 +1898250796 +59887373 +1103523923 +776254185 +830175467 +875749553 +1767614017 +1927848392 +2109314428 +333502624 +1729727661 +1673795182 +529607975 +1098744886 +1128425162 +1972242354 +576070162 +514817631 +1248106725 +792811279 +1886238380 +353481412 +987723517 +1342434060 +298752333 +897639683 +1378777109 +1824958011 +1416912874 +1991498040 +564895667 +1167680022 +2051385414 +1668419590 +1943934207 +734077233 +396685495 +1564064576 +514441977 +358516275 +1897567201 +96685990 +2032311458 +279691528 +1195430876 +1013252972 +104450235 +1771501038 +1528070604 +1352556960 +416828669 +1266825336 +1706038372 +1404552186 +461775748 +2004790706 +154708221 +1840552857 +1682265069 +1571621096 +1684567249 +99677088 +591817470 +1588469015 +1768096678 +388268030 +175062600 +17298525 +1952332606 +689504578 +375814801 +1702416159 +786190568 +260642611 +1982107688 +1981621445 +1273895583 +2086557923 +1605638835 +654482539 +1291631235 +2022467505 +1921307875 +850185959 +1279536043 +235599975 +707493017 +1434244265 +2076152832 +242274439 +858381713 +1613236434 +341951527 +1450199183 +1054221801 +2110048206 +1838467213 +1229284402 +2127346731 +1643316172 +1918788980 +355677884 +1198248683 +557495900 +616320495 +1032872723 +391633697 +1890216079 +971946998 +1997272533 +397214970 +116094585 +1872256390 +171039198 +966280545 +1004308785 +406639173 +1673773562 +291069402 +335308358 +1916048001 +1149451115 +1948544792 +110515881 +452166651 +855282945 +73080439 +143150216 +2084567347 +52943522 +1786466388 +1855872679 +408621407 +837231424 +265884932 +1024941902 +1870104147 +657518629 +767674333 +694567498 +507307514 +1164889304 +810662083 +232080256 +1335928502 +1776942628 +1236389042 +1742567675 +1303232543 +1527458444 +2077876033 +1071796896 +529425912 +1878937177 +1182312777 +981592563 +586736475 +1255393216 +1124742779 +523820174 +1308336739 +763725520 +232209206 +1716958146 +1600956944 +498094138 +594416400 +1323577443 +1155612767 +1362090734 +2018144941 +1662920282 +379496390 +681323377 +1895000538 +1715424892 +310782357 +983905932 +1310508919 +1614014900 +363880729 +1240901305 +538328149 +893306641 +972354834 +1720640926 +1874899204 +1559091309 +828550495 +852158335 +2082911484 +2136887234 +1615883855 +167637042 +1706361732 +1069357151 +665731180 +153294484 +245450947 +1821343947 +1515385218 +116112240 +1336780581 +1894881608 +797435617 +1084297472 +1462822852 +1108217975 +2068203404 +625848124 +574749227 +284600485 +1866749429 +1113077376 +1177907126 +691620615 +686234655 +905322682 +103228277 +1514785150 +1757481018 +38656113 +1504188736 +1225881225 +206293155 +1063066820 +147754729 +872024335 +1216361304 +393205676 +545884634 +584262875 +509317916 +1882665216 +331660835 +1306753534 +819479040 +1794483688 +267487861 +740198796 +272848164 +842237088 +1024799282 +2139597593 +1955314465 +55222760 +683734560 +494065472 +960545443 +786962837 +2008850622 +570542813 +825618950 +1365555710 +1796424038 +1031912105 +281138882 +1944178767 +1903936440 +1497500186 +189900795 +302337427 +2081763061 +699218712 +37518995 +265940249 +2005972246 +856998035 +2060423937 +125976459 +1597196831 +185788453 +968213547 +474512465 +177902398 +776044364 +529735226 +861636958 +1270109836 +1490280669 +1648599796 +1131476810 +2060823482 +326735098 +349548872 +1709763872 +1358647204 +630687754 +1506458992 +1115099996 +2128187941 +1696359787 +1417437423 +2062467354 +248094851 +1454956418 +180923955 +106583449 +164470805 +93864244 +232559908 +1761667637 +279652697 +1200773456 +88696454 +457555095 +1976817820 +618431680 +1319192054 +1099444009 +2108712349 +820308202 +83437171 +2022052183 +1147043300 +432986044 +1584332408 +358206856 +1063673798 +943307752 +1473306853 +1044378091 +492183891 +743260628 +959361798 +740278743 +50733399 +1140285753 +846862192 +215204204 +1234149998 +1079422101 +1976871841 +1513802695 +132711909 +2065568296 +1971357791 +2109529729 +536516328 +1143066197 +1061490090 +497745030 +1963374399 +1144927262 +372313565 +962934051 +1577913306 +1956645973 +1321140908 +494103456 +752470077 +646964113 +1538481548 +1244653969 +1390224741 +350359698 +1984932712 +1440958140 +1490645451 +684311256 +1656162345 +577311801 +1763733357 +1485550538 +2091114497 +1896445266 +1403635186 +1914988640 +1858491348 +1940151515 +910571189 +772497790 +290412897 +726461940 +1917425052 +662726462 +1689395991 +1347854710 +471888788 +863053251 +1841958167 +1224358865 +1510017364 +1232956067 +321529186 +752758458 +1583315765 +158978250 +46232950 +926477568 +843289507 +1702395295 +1503789370 +459539216 +1040462186 +1447420219 +208500835 +296613724 +1214925211 +2066992183 +89281591 +2125496400 +692006325 +379694488 +704474692 +461947730 +1042420951 +246387035 +1809802440 +1514309739 +1109440287 +1504276959 +591184956 +471974003 +589749378 +912714143 +1224732461 +25581495 +1071692393 +1270965412 +952059064 +1914981900 +825877059 +308364786 +227037469 +1866339245 +1755785005 +435538304 +15469322 +823226568 +355046839 +104750913 +801239320 +1047053164 +484445402 +1505714012 +1509000894 +1526866353 +1752101047 +1171319687 +893692444 +714057686 +528112998 +1484877400 +1186031690 +1117862377 +250107895 +263280503 +1143443872 +1321800289 +1534245915 +2095502936 +1089298541 +212639327 +256384074 +1316336010 +2078978572 +2012169079 +1751874314 +2094447894 +687911999 +2106921153 +51715160 +1489151319 +1006490670 +536160562 +847381683 +368007916 +2063026915 +451999083 +1539327603 +809235711 +1166056769 +2067440602 +146629463 +204604811 +1037819331 +396737359 +467885315 +33779555 +1718537648 +2002131230 +2129282492 +660352541 +67286909 +238182918 +1976688552 +2146265482 +102868350 +1581079218 +2093229728 +790780349 +1540516724 +2144944888 +132448021 +399523746 +533621802 +979829704 +767531662 +449165069 +1431828787 +159375618 +1258400780 +450401909 +79332572 +1405030244 +655006720 +1117151903 +1801767603 +1122892035 +1150931458 +1372821603 +977539618 +1132730302 +2033174144 +1044826527 +1370913221 +1862379048 +1043608361 +1473781571 +1295974619 +989354442 +117078272 +689007695 +986815682 +249526293 +1088531441 +1520437485 +1229355998 +1856063103 +1969602554 +513701137 +2015438721 +1080519687 +964103046 +2094771293 +338066283 +1619109767 +1064439548 +2139833886 +594518154 +67887359 +1365171841 +1572057772 +1200617661 +1250862337 +469400652 +424047234 +965757738 +1513009013 +1897828805 +114248709 +354879807 +2014907078 +803256404 +1341695490 +116949723 +1891787845 +714649327 +1346305721 +1600367300 +536768233 +1860006859 +1468322374 +1617287920 +676626257 +1415610019 +1955354203 +148252376 +332565920 +1947704441 +742770531 +400453279 +1165392634 +167344655 +1601070940 +268771324 +636745307 +2025118175 +1234529062 +2270673 +1775463332 +1348777771 +357150480 +1642886762 +4550527 +1698845970 +1759836486 +1896338372 +266011649 +958658559 +1349222024 +802779883 +671181770 +670060750 +272584155 +1347808028 +2085670770 +80454711 +1496060404 +270753042 +2028159152 +91347287 +671206321 +1046068139 +258691943 +124793613 +1314839463 +895437250 +2428140 +401884877 +897707923 +1777891473 +1750662648 +1254858404 +1273294587 +1755213175 +806220726 +885647425 +1504067899 +1072232376 +1844305985 +705806275 +1875012259 +368004107 +1375867026 +112766 +1715812135 +1314054148 +80567477 +1064388892 +1584807190 +2108726630 +1155736179 +108529863 +1007311121 +1414428122 +233323476 +174666936 +162381725 +235751617 +576551813 +1060089648 +2013643090 +179730813 +167464404 +1139454029 +1934943988 +973685131 +2025101455 +1291528239 +2045917507 +1721923792 +1997334514 +1773446118 +2089927899 +1225717892 +1773558884 +1658256387 +392288392 +1854126362 +575161631 +1977095582 +1815369344 +1730897810 +2085625445 +675196817 +997842285 +171465274 +849863753 +1160224010 +407216891 +1426415566 +72830010 +273376333 +1606146379 +240294415 +1412830362 +1393606719 +1213979546 +1290448169 +537651310 +1112413405 +864888313 +387502176 +738375875 +807332565 +1613220069 +364451111 +318105304 +2005508461 +71093825 +893266935 +1835120396 +1886463169 +476681097 +1773262193 +414176338 +1474523382 +1944727467 +1264040091 +487263744 +204460710 +542972009 +560093755 +477837043 +1634740 +800388170 +1890667406 +1395241459 +2014367716 +1033631927 +1932892769 +979297473 +1898520241 +172911298 +1717673348 +558369158 +1786131367 +2082124459 +876474462 +1644156180 +5734637 +1769741397 +1331792928 +1892197806 +98938846 +957571474 +158890497 +1573462229 +754815293 +1422930588 +2060725973 +959276004 +1965902598 +473336080 +1437113047 +1967537338 +1273724250 +1180296805 +1215295150 +1140608318 +66445085 +1000704271 +2119905791 +1964965326 +1173615569 +1690095491 +375850836 +812263288 +1624736303 +1252325298 +308935821 +1630470940 +874583047 +1640728749 +1375185098 +973521893 +450816575 +1534075595 +399500474 +1205631869 +809522536 +312742800 +17424225 +627941486 +786078880 +1454537272 +447995176 +2059803131 +487350430 +1663290326 +1052927801 +553795515 +516510950 +1025349945 +371277193 +1690126519 +567961788 +747128029 +354906160 +45214443 +1999453327 +663841981 +1675685383 +726552726 +157087082 +903386834 +1700074619 +607903658 +289978781 +2099575094 +1813535527 +1099501317 +264834246 +1830959752 +1727442803 +1050913126 +1138013376 +27954332 +963232609 +1625363806 +1691244658 +2016160411 +31675673 +60271960 +894026708 +402952866 +1750398480 +1461988496 +1150080895 +2105304640 +1507202940 +1002050574 +621662973 +1035404675 +1728603300 +778750055 +1938791509 +1281194272 +1386653713 +81286643 +1233285718 +1052705592 +1180787960 +1498119964 +736181696 +760747116 +401549442 +1874195073 +788701448 +1364782052 +1352075231 +332462458 +1233458815 +1383750905 +392734419 +2127485523 +1786703771 +2143132899 +1441990371 +789301019 +2100953891 +801709663 +1791351593 +575133216 +1837114339 +1372471246 +1353883271 +1628422200 +506181870 +593053337 +1709708843 +1739467588 +1645758929 +743013156 +1090103904 +234456978 +1503760272 +1491653346 +2108652051 +144978072 +708951750 +1313243634 +477440530 +1942410565 +549510891 +870174949 +1922412440 +188731015 +865824200 +1216919164 +978032034 +819294443 +2018628827 +621899979 +1394427659 +1708259518 +1994371225 +600827283 +1189198071 +353069447 +1193880620 +751423266 +2092537035 +692155901 +1494436422 +1035157291 +926612879 +850713046 +379326990 +887781282 +995691118 +1088278740 +53541269 +1473131649 +883205658 +603052160 +195822950 +658134450 +791783175 +1061647151 +1875053614 +1769815209 +1880941594 +1746198794 +244231541 +1127885606 +1306974664 +91119118 +1728712889 +348689087 +444188566 +775109861 +1100112354 +389241953 +1467265762 +447065128 +1424399245 +246394994 +1297778175 +1803726235 +1134176276 +145985645 +744521327 +1187717545 +1619117294 +1627726985 +1790769706 +1814940245 +138377788 +435069233 +729103748 +2013431402 +57400795 +462561694 +1612146548 +301632336 +1590447300 +771637565 +392751454 +1171676541 +1120326652 +836940020 +1946786402 +72955358 +1226181974 +1266568517 +520020487 +503097571 +1512963511 +1817798662 +159340158 +499656139 +1963784307 +903861485 +1687373685 +1435417954 +384104823 +1330659743 +1102874551 +522482611 +1765728976 +1831978299 +388430365 +1823129771 +147056345 +2000576914 +2124762107 +1737503646 +624730831 +370029914 +761696539 +1745057483 +1206969934 +560999294 +1818012842 +285668260 +1827567811 +190549681 +788765831 +1193047674 +2008348343 +948105989 +1692703813 +1824649002 +1851967475 +1232593850 +1112583308 +88588650 +415769945 +67974211 +611071261 +34015274 +1899952510 +999501626 +1857145045 +2047008856 +852594892 +1834423505 +1637028854 +1477325723 +56969771 +251241745 +1074899559 +1263939705 +812241039 +745428753 +1549607966 +492325202 +935978434 +190890149 +1685372876 +796843129 +1138996139 +1230593042 +474008483 +843479966 +315703244 +1586591792 +932068616 +731473190 +1654566003 +1543139877 +765488464 +1407034866 +395157855 +475149861 +1306560074 +1247752748 +162089718 +796105280 +577594823 +219059489 +1047347025 +1652494382 +1482999195 +1859588065 +250439487 +885123513 +204429619 +1186417921 +1076013662 +1889802496 +1983261050 +67526153 +972911890 +309785886 +911006119 +1288615134 +1896377678 +1843074735 +2020088324 +1403460033 +1238730964 +638093140 +663011251 +1633888820 +1113243002 +1969571325 +734157920 +1275332720 +618192957 +1311752743 +1494392210 +1665539983 +816763478 +829907757 +1377644400 +1067202965 +1715031270 +1582074019 +106137239 +643561284 +1324392867 +2089398289 +711087438 +149821109 +251700527 +1622093557 +1438436244 +594557 +1317684645 +1311040920 +1404054591 +408931961 +1949134061 +2067065842 +2042820781 +914893415 +1889153520 +629495053 +42742487 +359862829 +1941247797 +1537134697 +2025402812 +610527627 +219558806 +1255563564 +1677730592 +1934590076 +690153936 +1783867831 +430667713 +2014546803 +1725782473 +1141755151 +16884265 +1977483000 +616365060 +1455320509 +1978077558 +1934049705 +618877781 +1234648501 +195498019 +420528194 +1154230695 +90835152 +1335421609 +895900567 +720330206 +1378164097 +1255763397 +514094355 +767815146 +1133682561 +1124621982 +987373953 +241762478 +654868926 +774480381 +931916414 +291253110 +1205148094 +798979569 +2017035583 +199419597 +815863834 +1847034935 +815784658 +123700695 +1677628845 +602350715 +742578477 +764793698 +797848734 +1163106671 +1919024394 +888683887 +351044633 +667441313 +1609014093 +1729208730 +1923204710 +2123108448 +349540228 +909403624 +1100246782 +1336914181 +1151166102 +1755115708 +2111394563 +2083082516 +2046368818 +1169059009 +734578437 +1915920753 +1368478607 +1550442272 +1615472041 +36779617 +1674142967 +1145617238 +639130332 +269237796 +1910410937 +1436979067 +1432344468 +1681951683 +178179306 +1783389101 +201909348 +1787193399 +1365114183 +2125114059 +1762818199 +1714654411 +887034035 +715581333 +904084945 +2038200137 +323213393 +867995860 +1973799005 +222098564 +2037054869 +560893794 +2138019317 +1258049828 +2111336066 +1606007710 +1294829445 +1637995386 +604141301 +1933959778 +1907233182 +367068590 +1223455197 +1192094002 +2049020273 +1401634503 +827999455 +103445973 +1041344254 +45629990 +81076384 +656678805 +1760284402 +968110419 +1372260138 +516885699 +858826908 +1695473531 +1384881559 +685142265 +1917572095 +1274452780 +1246036060 +1908107765 +385018961 +1209888478 +1366631827 +1679848406 +700400216 +1970773128 +1466324536 +460149751 +190358070 +542296085 +1652243753 +91894695 +1943930588 +332759561 +195340669 +837791194 +378389551 +276417053 +1494469999 +2138673953 +1244527473 +719246489 +508076004 +2103354381 +267236373 +1892957563 +641012999 +37324820 +1019926696 +1887049059 +1945432585 +1404945657 +949453889 +1164580765 +937310415 +1649854106 +987870245 +256151304 +2110003857 +1178228316 +798447389 +1614763962 +1270123011 +594894330 +1947523523 +1465463680 +1432685524 +178429427 +1741880734 +779671876 +169619732 +838924559 +1498918365 +677695737 +794795292 +1766154738 +423169652 +1435808291 +1803479559 +1443096348 +1175373702 +1601428496 +700558357 +2124827592 +618525613 +1637868773 +1627198050 +1606395859 +1894020077 +1589718259 +637140527 +544983818 +1056998573 +1907263538 +1139878148 +857038449 +1225243571 +425080025 +1035467876 +819640657 +1204751901 +1205087608 +1658565216 +556186618 +1882783345 +305876860 +174857709 +158469350 +1741685152 +1978337268 +1601565698 +769575206 +1432282116 +154640408 +746919150 +2050807730 +1792509181 +226633552 +1509719941 +1539045610 +1816351811 +2146860468 +2084029428 +725866737 +1906640358 +1076423929 +1582905186 +984400281 +1501503954 +470889414 +1804040938 +558772207 +1675977022 +1315122506 +1114958825 +1411276720 +1620999367 +1289816534 +1569746070 +1215200871 +1120670154 +1023828120 +1984776077 +405468623 +1178468528 +584211580 +308792705 +823494061 +810845132 +1818512646 +215056023 +479713296 +1817889466 +151601804 +1205580033 +1577046176 +1228025733 +641001571 +413962810 +582046039 +1111890985 +70520100 +1140818246 +640384359 +1385642607 +108293423 +2051661079 +859158326 +1398109958 +1473923501 +2074359197 +371296464 +350267974 +1911651626 +776765087 +1528736502 +348379558 +1085557792 +204746916 +1159224691 +756586790 +419802939 +1638937987 +426992608 +571404743 +697034372 +2004038785 +1799430476 +1338035943 +270517947 +233992867 +302443280 +341038047 +1374811113 +942827639 +1726680654 +1483104537 +847005071 +438355332 +733730847 +173444924 +365230881 +1105027311 +523712898 +129398860 +1881792399 +2052449401 +477778418 +819866543 +109712669 +1637003109 +1576453334 +529515608 +1128457448 +2003445942 +1100920352 +1825491820 +1860001079 +752867180 +1016044115 +2130519026 +986860048 +1318487395 +324073426 +214187513 +113831387 +2050754080 +1697292050 +960836458 +341625765 +283539249 +1134281382 +706856646 +1388566561 +1657994281 +836255506 +1122875312 +1562960034 +1314033925 +1942741855 +1672672703 +803553386 +1371711541 +54704663 +1932010835 +1227673836 +1155625015 +1610019007 +940191267 +1908492196 +478579475 +923226646 +747868596 +1797066870 +1247300072 +962056109 +1910898257 +1150570504 +511864512 +724251067 +1492196269 +795403761 +1858532450 +51569268 +36486674 +1369043083 +887824774 +1159361986 +784519469 +54375051 +954620194 +309708524 +857928438 +178848087 +364413187 +642455625 +1406521923 +1520038203 +104990984 +199229543 +1281046751 +583570459 +1122456189 +2028915347 +233153682 +222272613 +843487808 +2144051939 +1372843117 +1355352320 +720819359 +717555739 +3272434 +431868161 +769125007 +39759108 +1800911244 +1656949781 +1199121095 +437947065 +1711324833 +6257641 +747655589 +421769623 +185105728 +1112068776 +1064225248 +1591627652 +484623331 +1169216232 +1790857195 +1765670082 +1752786692 +765829736 +1647101781 +1985940374 +988102349 +343105942 +1982508665 +213461818 +1698458262 +555844376 +931017557 +1701730696 +987712537 +1700142564 +1741489805 +641140133 +1209608698 +793127252 +1079087198 +773449883 +799384893 +1826742787 +1195219506 +984490621 +791327916 +111961106 +428634625 +1275951247 +1281177338 +72008172 +894137682 +886480382 +837837908 +393755815 +724937108 +1825940257 +736861757 +559962126 +2039402076 +287836372 +1115806502 +822935985 +1989567068 +2103519040 +375594902 +1583573225 +597175525 +1585203600 +229216829 +1676262724 +211169835 +1028601722 +1355521863 +1406389341 +2013092344 +2146849779 +1518350447 +294243321 +1275317379 +652044137 +366251494 +21971413 +1538524520 +1204089402 +415727228 +115977980 +882546012 +1152588986 +675940106 +774464440 +1440425358 +1791746609 +1597400425 +1282508778 +1747782001 +1972995327 +718598356 +197473878 +1410715279 +947815185 +1873736602 +1621885114 +1976416908 +1081774818 +880790807 +1842025604 +1081140949 +251657606 +2136268925 +208974680 +903701744 +355036771 +230946093 +294742616 +1559126174 +646673322 +410720596 +294188538 +1799262308 +1086660703 +1068652978 +1092204018 +730923664 +518569755 +227229148 +331222017 +344081435 +945827504 +528695895 +1754796714 +1893642690 +254948850 +1229198181 +1722575950 +1336723668 +2109988988 +1417117906 +270380969 +214162947 +1405903183 +479355650 +1117864691 +1760939955 +710301743 +1412607307 +1172582481 +1356975065 +1823327903 +1466771019 +1008753725 +762504958 +387940349 +2100957743 +1493428622 +906510104 +180703244 +1824650639 +1250591539 +1126530748 +205862887 +857904606 +872689790 +460811737 +2087102787 +447782092 +1797535405 +2049608127 +1864899998 +2067916374 +116287426 +1123319534 +399788376 +1234152117 +736775841 +1110090120 +499275776 +1909358322 +319581537 +175120032 +1228645693 +1328335263 +937624990 +1616586042 +1281809358 +283569965 +375612498 +1462512602 +2108220604 +1626204038 +441559703 +166599843 +336624996 +1314249493 +627411580 +276244135 +1762031586 +277463337 +178368614 +1479447936 +197896064 +294656041 +455283822 +597684440 +1528808158 +1192059663 +1707774560 +2028083935 +953934337 +2027356098 +55720319 +35096382 +1208207713 +993345309 +1651682424 +342533423 +1276915274 +2027294923 +1805046026 +1237652231 +1506015313 +99122081 +1404252074 +1842640309 +1413371574 +2031663655 +2118884444 +1027919512 +161643344 +149769410 +359883801 +359539408 +444425451 +815167623 +957223849 +1973233610 +2007227287 +517514761 +1853833897 +813677976 +397387211 +1909554216 +848774359 +1605594924 +755415877 +352973135 +1948128348 +2032331152 +232784410 +1605690726 +1122499735 +1738799723 +1704812807 +379268161 +1433956384 +970700733 +263448168 +1405357180 +1998620246 +425091513 +1555126591 +211020399 +784630921 +1999552042 +1026188022 +1741854770 +1825302004 +885931661 +111885884 +1531652253 +1699609638 +509273095 +1293722821 +400900349 +2114868020 +2049138699 +753873484 +1915512720 +1933986203 +986657895 +1373719798 +909002290 +577973970 +931048957 +1288270451 +2011930355 +1901749690 +1551718620 +1269803887 +1752886288 +1976810133 +677446830 +1963906687 +613957406 +529515225 +842611062 +208328529 +207333581 +1728542723 +320214413 +1738985835 +1280668713 +829487508 +885225008 +1681569062 +796871880 +786880059 +287958899 +564900952 +573382614 +1274616794 +1938620750 +1482384904 +1852590764 +722186059 +623171708 +1717037471 +476452102 +27406680 +839357711 +81854742 +2004216813 +1516804541 +2045761430 +470690571 +2046319766 +740888844 +679019100 +106169700 +321947919 +999233513 +1845155535 +1602616633 +1828721022 +582896895 +1136702047 +478109254 +1369776955 +1424660946 +1043010207 +1943159569 +551794092 +834147309 +1278060826 +256901209 +1556333369 +1901232534 +1973938680 +2032785471 +1928639214 +665812743 +2114640213 +1785372379 +35133637 +2012917995 +108579302 +2081453403 +606323191 +787598403 +40139455 +928271111 +1786831916 +1885294990 +383404096 +1468069290 +320708238 +1520106143 +1946178545 +1690485193 +797283442 +841705104 +1486161114 +1349077534 +1675852413 +616738292 +1605978743 +1084702134 +370487178 +1432433776 +970003957 +151642744 +2098246519 +937160523 +1937015123 +2133380156 +802594870 +2045594426 +2067349912 +1408918062 +685709181 +2107489367 +189705525 +325057449 +1845300710 +573109621 +1793126740 +18525300 +2093215764 +1591821637 +1709010493 +743015558 +286043093 +1047687959 +2092093093 +1961895506 +1664426252 +1550588188 +899113993 +2034913430 +835538316 +1869117950 +39072527 +786301188 +658794825 +1976087650 +772197696 +1461389696 +1874198428 +692063960 +722824110 +412423961 +652069680 +912529635 +737481411 +349886742 +1485639256 +383124503 +368412042 +1431371372 +1974946140 +2077422535 +26903283 +113505585 +977626846 +2118996376 +2075401091 +494569450 +1522100916 +827031436 +381999233 +210155585 +548665739 +421071760 +996456773 +1207460564 +249675762 +1768654469 +521366612 +2123874191 +313234782 +1244190722 +388814504 +965304462 +9236709 +1126295915 +1315191204 +1494875965 +1509420418 +1683603246 +778763690 +1336882910 +1613542133 +805666973 +1450388495 +443685331 +777179701 +1378305939 +938254782 +151796969 +57853727 +1320254015 +361952554 +606519466 +1741325775 +1358409327 +1813980031 +1991001537 +979580149 +187862995 +1967392080 +1292814931 +1432053718 +208722937 +110635745 +1441290427 +1335018852 +1425826949 +788682745 +696955623 +961946547 +1567446435 +2033838533 +428005032 +225629760 +1336743381 +871690363 +1002809461 +567565672 +1809945145 +1154606430 +625419399 +982715512 +1516558985 +1231938866 +576557639 +727484664 +898435249 +420075529 +1707064813 +1086298244 +239983961 +852396096 +370868314 +448706898 +963031841 +1812158742 +1783725751 +241375142 +453357839 +333197726 +1203321689 +2020804274 +219552611 +1631326721 +98950386 +1556295992 +355533437 +1101759847 +2123861664 +17994934 +108882629 +601797416 +1000710447 +1625441614 +1833736282 +1577268086 +205442631 +584687883 +1997343615 +1912507444 +1670986127 +89843929 +617419893 +2041854442 +538550827 +1580451734 +1706529536 +174792930 +1821826877 +12403727 +507990656 +877664918 +2033208001 +727543268 +361507992 +2132158387 +136355612 +717041429 +1086434586 +112733629 +735036363 +1195317215 +714531045 +1735746810 +673275182 +400783679 +1165531249 +878717813 +985471562 +1015391216 +643741609 +508974041 +1105235145 +1261161502 +403344835 +1643785973 +694129589 +2109874371 +1818578903 +368472818 +2122278098 +179085912 +1246137736 +2008002451 +906629180 +1607645728 +1992677190 +1042984792 +177203509 +931628128 +1155718421 +912239873 +2126945344 +1870249466 +500503035 +652736878 +123549497 +1666034284 +1531454691 +1109021059 +533941853 +27712652 +1617995101 +1639176998 +1288874155 +2021339936 +1135479323 +1983003744 +1983730660 +806574579 +203992914 +1958525110 +985660491 +1450130650 +1819043914 +1892289671 +910292731 +1664237456 +787790815 +1087496240 +448381937 +1943509237 +1999736113 +427843633 +1666275055 +352755501 +1080580511 +1789824553 +2018789785 +464551554 +751361964 +405247990 +492264206 +221873417 +2044424989 +1781138361 +95729706 +1032420664 +1616658457 +2079460366 +1838995243 +1820651371 +1890501828 +677172086 +1123298374 +1562062094 +421978109 +2033591105 +1078815903 +1209768925 +973603697 +1527197840 +1005794514 +825856163 +1955041473 +524585921 +1178611664 +888138336 +166926826 +1049917801 +1352689890 +918288791 +1455165792 +1844954096 +1140162208 +1352107133 +1478608810 +1235891914 +237044149 +947783619 +1167868632 +2076039393 +620951343 +910886813 +605727831 +1744249717 +325465259 +1027705941 +1630357174 +1404281162 +89991218 +456477223 +783995354 +1095785732 +1282333386 +591553179 +1620371653 +313461402 +1479691515 +1787298480 +1363379204 +684897757 +558103623 +671061348 +382368206 +1698265831 +2023168481 +1860977016 +786674098 +112728982 +661276987 +1954542730 +41284727 +1282228330 +717945895 +647012559 +878994399 +1043411155 +1674718500 +361867925 +300208669 +1764709718 +818345149 +1084204024 +713011802 +2100678535 +1675757203 +185899807 +266656290 +1007965071 +1973198287 +1630035494 +1692862828 +383818262 +153613194 +2075231034 +2082084094 +29298027 +1788724402 +721274544 +142027009 +302517742 +528333626 +183311737 +1584746072 +1246279522 +830324296 +316256824 +142207029 +357559148 +678124749 +442415698 +2122268866 +1496469898 +1526619722 +687797020 +1449664786 +1054893278 +873696827 +1716321076 +2062858349 +699411467 +1198872922 +1608237529 +1083229729 +1352486116 +1535984916 +1017830175 +1381784143 +1177225670 +1739104719 +1523811152 +1479743412 +119954698 +1707122889 +917005837 +1366234220 +389963537 +1233262661 +1508441249 +747522685 +1911387410 +1950856947 +722307903 +1260373661 +1329993022 +1410104923 +562554799 +237402652 +136318103 +131392227 +152777353 +835729570 +1330265149 +1761014882 +1918959299 +535267617 +1149516150 +789305827 +1917051760 +179258173 +380926898 +1293379264 +1659001585 +500881596 +853018506 +428523774 +1867115816 +1242982043 +1661786435 +1228073417 +1990504729 +1425690198 +1031446717 +565328984 +538580211 +213956091 +1975433908 +1101135010 +451358743 +2111752011 +1232527237 +604136096 +799997933 +415308738 +217667330 +571473584 +950576355 +1367183481 +1360779411 +720144467 +1546441654 +1741706310 +2013523731 +1057959591 +95104258 +719058589 +1486483366 +1962220075 +1962040633 +1000786153 +1042809844 +1805061714 +278992703 +2074256561 +222907050 +817572914 +140729004 +50857310 +1918707924 +592087747 +15125673 +1003751513 +1196223843 +815123606 +1419060251 +1413891174 +1386597191 +222152958 +633591007 +599892954 +942297425 +32549013 +194115616 +808337509 +1090508604 +289219875 +1527396098 +429508322 +103956302 +1341953083 +1430294476 +1146766146 +999531149 +1709287179 +1073539060 +1222438200 +379376446 +1214268064 +1273295510 +150600722 +1806355812 +1288421184 +1154352236 +855096007 +2103544790 +425928839 +121503533 +1342658333 +648081798 +755094540 +1942551288 +1590379223 +787643553 +2136666904 +251233084 +1878152158 +278403131 +1778629183 +160176832 +382359433 +973098618 +1590471308 +1529125580 +1972629768 +1152274840 +455180992 +1047584320 +1531651286 +1669449056 +173396182 +1682252008 +1328321220 +1461817366 +689120596 +35933580 +1417878509 +1115049436 +157437113 +613053194 +1763131234 +912531654 +408120834 +1206026809 +1700175207 +397304091 +1457259894 +1430843717 +675707222 +1088405429 +1591020550 +1058066656 +2061504047 +1034008210 +439708588 +1886650167 +38799402 +894889580 +786750839 +1570450688 +416854988 +960147022 +1105219049 +1745176209 +274480740 +1794339645 +1781109789 +1692359249 +761905433 +1938546902 +157928796 +377553019 +703594908 +566049630 +1583579829 +256286468 +963353721 +893356075 +1687130185 +1639060944 +1981761504 +1130667087 +549643952 +1895781903 +17191650 +989352540 +1634948423 +55991052 +1884242120 +274215614 +1626441741 +153613460 +1234362636 +584177142 +1898789669 +1508843377 +231033139 +1532415810 +1053718978 +992938573 +1323479065 +1211647774 +1370491592 +2027073973 +1777697405 +806587773 +135876793 +593567478 +1699943848 +1823006979 +85144774 +1534221704 +806190418 +634788726 +1282519960 +823382068 +1624141266 +769984735 +879373121 +1360899738 +1044200349 +358331214 +1514513199 +131079338 +942508356 +1265819220 +1639922715 +1173541495 +650751383 +546158045 +18996420 +1974230448 +1757805820 +1389488013 +1853820773 +1388019577 +48592138 +1989697567 +1981587055 +1748535987 +1665220898 +2066731830 +1135274043 +323927668 +554036908 +270310355 +1147309737 +30694527 +1040295090 +2026682858 +1391594265 +2084495440 +237530424 +758623816 +68091130 +1180038780 +2024443037 +1708013845 +206096627 +527710772 +106688242 +225093048 +354457572 +1864494062 +1614581061 +60794697 +1105029991 +1663173199 +2050492264 +939133399 +1264225538 +1568229514 +858381581 +252015934 +1892157183 +1412418489 +522326289 +891983272 +1443113016 +1562621380 +771182482 +687223634 +1499633172 +1008712906 +1445847450 +1567724302 +41268038 +1322806839 +1128254499 +247364665 +1850517611 +1234942741 +472457713 +57491535 +951953156 +2087038774 +118286233 +2056983147 +1602728326 +21294849 +848632898 +719470216 +1589524364 +1707014479 +971486150 +1334197899 +971949321 +1493812440 +78697523 +267578689 +908950172 +849880005 +954802323 +261099696 +1858592911 +253166126 +1828823998 +1899860949 +1575972965 +809594849 +2147225614 +1279006929 +2044537590 +472199680 +1336498464 +849007098 +411754806 +1454784697 +758506598 +2014483132 +1476079547 +1607139496 +586469701 +918120263 +1166670328 +1557955851 +104834514 +2138619649 +904284643 +183532037 +258714690 +1813234815 +1033412042 +1213517014 +2074334511 +744521305 +1466683140 +1755674861 +496898606 +895172457 +417786062 +496640572 +26695738 +314840005 +968840252 +1363194203 +1163847103 +1380595059 +670495252 +1922353701 +1247594543 +2146574799 +1382009550 +1834064244 +917211414 +401196230 +1244536448 +1022045928 +392332231 +1337443 +1205577965 +651046921 +1814572259 +91506359 +1864563935 +1741423122 +836027664 +1183763427 +1349614336 +1332926270 +2078935885 +1767400398 +1829566843 +2105631623 +2082240403 +650923447 +1321342178 +1098603859 +2031518506 +1991837431 +873473912 +1131629402 +1990928582 +107999814 +818209998 +760656349 +509196044 +2062746446 +1782702277 +901528275 +2064083890 +840796595 +1552575197 +1731172501 +932302954 +1269655484 +1325111975 +1768330619 +305935264 +527242663 +953773241 +237387501 +147159414 +635856436 +195535476 +81916169 +1286779884 +1516877655 +1180520028 +1170814742 +1361231438 +2053993941 +154960496 +1204676372 +14510107 +973170495 +1965332721 +523706152 +888433293 +1600551351 +1425234427 +805033535 +293864298 +830325976 +388722388 +1226167252 +2099981461 +1713834364 +847014223 +258433077 +93593379 +1800787465 +495820578 +240752793 +289160253 +691356054 +322668963 +1575940137 +60750061 +1503188991 +599271232 +1421981499 +1409699284 +754231728 +479174224 +1424209392 +1727402223 +297023297 +1947915544 +468351869 +1897574648 +1225666323 +1273385404 +43955298 +2055992300 +1662107793 +1270122551 +2008490113 +1228458509 +2117136774 +119439542 +1322051888 +1770440591 +615260120 +1562804682 +2059600845 +1306616174 +1885473645 +1488057334 +1367366236 +1241178988 +2087328566 +641864087 +503394625 +694076647 +1121038311 +1927604017 +273995222 +1418061609 +1728035913 +742347091 +1168152609 +806218588 +2015732496 +1212107908 +714727240 +1530356641 +334746811 +575733705 +611331502 +304399937 +695173247 +1933383390 +2074840529 +1310433367 +1348704424 +1986957726 +469565894 +1086694421 +1327531412 +1836932130 +180389762 +1267376331 +331312569 +683784387 +1961452978 +1452350881 +463904756 +87964552 +722928842 +44457021 +830311644 +1891081451 +850675609 +698560492 +955705711 +1565402850 +81433485 +1290452522 +2141136555 +692764987 +1594852460 +688826155 +478664729 +1522209341 +1999259522 +1827369154 +1361683419 +321341768 +766579927 +541731183 +10790250 +946969689 +1809107514 +342102820 +1630754076 +1623076844 +1794453701 +2094658832 +1711041397 +369898895 +2139115853 +393869393 +113496698 +842307815 +1092429885 +1069202410 +260227017 +1173863370 +212171284 +253879924 +1866628357 +1807023744 +942706079 +197809438 +1181749437 +794481954 +2025178592 +395949208 +1115823722 +644274872 +937680392 +1126613973 +1591244561 +599304258 +1468716793 +1074514990 +74897455 +1115686846 +1021690174 +1785938852 +1485585741 +1013322380 +32324597 +1599082439 +1855630195 +1124754482 +520801201 +2115857212 +151134204 +732972486 +222253488 +2017762561 +392512582 +1164959568 +68088351 +1574262020 +1959441522 +2093266944 +1970211228 +927781596 +590058168 +760407972 +2054395569 +33819081 +1359712231 +1375628714 +1108334071 +1434609686 +343831912 +2130024246 +1073064890 +1829417653 +995862978 +1105389487 +1281016445 +704009525 +82660321 +1801817646 +672383089 +233794525 +387306484 +894636577 +104073438 +779819067 +2059596145 +172161789 +206597439 +1871554019 +117945085 +29325019 +651851968 +708003253 +789732992 +558763889 +741822335 +1961575 +1934392604 +1850156406 +1436571261 +130740868 +1832697004 +362152503 +1960158522 +681076334 +1467541990 +1093691319 +1385085859 +1550202311 +748025317 +2057468948 +1783996836 +1135331802 +804621878 +1888070274 +1915150869 +716734375 +2060232063 +2121748308 +440804747 +30693501 +3589679 +1092656715 +738696754 +793322671 +1651420604 +1480519089 +795284246 +1438329560 +1183191848 +84371859 +1569070429 +868405204 +446524362 +1381745303 +1549481539 +1914066352 +327952974 +787083750 +1316785015 +1075978291 +697069051 +953298203 +63826445 +1501690929 +693884829 +1978977314 +70941656 +606633245 +1953241974 +511746403 +637326746 +1956831654 +1604403118 +1376023500 +602670677 +1108340075 +709058942 +1397954924 +399185987 +1892250790 +1482326783 +1968256416 +613172346 +1928851146 +1202518071 +15170237 +1695433850 +1530471045 +802253988 +864735218 +458965689 +1499323039 +1818033421 +522792134 +853530320 +364434603 +354285801 +924471976 +971067848 +160044127 +1436218380 +1608394594 +2116875781 +893137850 +836934446 +572062811 +2001477925 +1545993388 +1970017735 +253180265 +1290760530 +1304860870 +73953033 +1903932877 +1086228368 +1276471105 +1919103114 +634178571 +659458502 +573873454 +1498913789 +1118424191 +2073196493 +1169463562 +1641216326 +779243165 +1533898165 +1995502127 +1703715142 +357482365 +8062606 +992449874 +1965876959 +2124938388 +1885587724 +655327758 +549517551 +1739582002 +53837498 +372051638 +1992762267 +1344598029 +1676912508 +2066715300 +1101047258 +615657229 +1195702757 +872666724 +1249835800 +1855161260 +1446540179 +601265941 +826101803 +1372253024 +1770729503 +319834481 +4012542 +1157144021 +167852960 +1707727684 +1514626386 +175915567 +552693910 +1333019698 +153370307 +290797986 +1988347456 +702887858 +2030379988 +2042184954 +1074939496 +1875658607 +1239299335 +604368356 +1794890260 +192862945 +1220025585 +843109369 +1065529670 +322377737 +550786981 +364586201 +923643678 +1376888785 +1736839225 +546889534 +1696723266 +1740851767 +1704033555 +1864576227 +1301095803 +1071176293 +2040491794 +1853789713 +256712343 +46378453 +2144587700 +97576151 +749266311 +2027484040 +2139761106 +1824205807 +1755659000 +1231576793 +281090515 +1403065612 +1424439739 +1501116101 +98691333 +342485761 +1823493838 +649478315 +707071962 +599653869 +2026367100 +296427539 +1146543403 +1575606718 +2037279307 +703093310 +1292699297 +1190891462 +1774269603 +1185707443 +897197528 +2030981947 +1232085896 +894301580 +2128558098 +1981352207 +774301972 +2120835556 +1658074366 +382477324 +1204928702 +1939164882 +1785542936 +481884793 +1292797335 +1884234270 +824370554 +968807525 +386228937 +1531442516 +1568461394 +265112389 +1827870055 +567521149 +1840719107 +1717665714 +1270614459 +985934757 +761073529 +897400415 +24158552 +1658271057 +780898714 +1256244449 +405088989 +761973164 +1090113008 +1179390961 +735325073 +600703727 +1561868286 +1940253775 +392384961 +1199927574 +274654920 +1685182296 +936678196 +1099025474 +506506173 +1322907133 +482984342 +2074967568 +1588019522 +163370749 +495005069 +1281254982 +1881036464 +1765619529 +119706091 +494626345 +515536296 +143864643 +5413754 +1296435010 +1400109092 +410502743 +2058408174 +342738453 +1589893704 +646249599 +943442180 +1004278342 +439019726 +1335827141 +56722269 +713674646 +873525789 +993400465 +1812700120 +1380031962 +168823951 +148200814 +1307515882 +1756843473 +311571564 +1802520952 +890614807 +45124380 +1420656833 +1010320898 +539750725 +1936193129 +1154185542 +545164479 +1085144491 +406810986 +955667222 +996069017 +749549439 +398077278 +1642318617 +1692991619 +1402355621 +2081338343 +881335112 +1459077890 +647529342 +1754860901 +304994707 +312745814 +987409216 +473818658 +460946629 +147441450 +83178484 +772518193 +1949962402 +973793291 +817642573 +1223135587 +1984114190 +1357393298 +1011845068 +990816084 +1902557777 +2096989559 +1397627070 +710741351 +945574929 +2147176510 +1108818629 +440409898 +1692684481 +363690602 +374264593 +426535946 +1822768492 +1021793935 +33913199 +2127763200 +1334539750 +1021322415 +454098210 +1795486379 +1168763866 +537276694 +420520924 +971242620 +1511069986 +1238163497 +46894560 +1347700528 +448073147 +1058739628 +191032964 +203147276 +1008245540 +1588660034 +913888627 +1953820469 +1588352896 +2022707256 +246746719 +1133553730 +238914211 +621011312 +1560089676 +2061682703 +1642805248 +1594002875 +2041962255 +829861350 +467841643 +348576818 +477864081 +1636605509 +885853512 +898385005 +460364481 +249439850 +2136548502 +507259041 +1597140378 +437138001 +1565998670 +1788173342 +640285277 +426760562 +1229349729 +1554173904 +233097383 +670218977 +1429397512 +479844102 +1803772707 +1668311723 +1100855414 +1216378735 +1582510779 +596177014 +662897963 +1476989386 +1426038364 +1130739606 +1825566204 +1903902445 +619861467 +563936069 +654803802 +1080225948 +813375919 +643868656 +1587484990 +263032650 +1081006657 +1006000012 +2051205992 +1721291934 +1432760574 +1133072073 +1127982190 +1665857957 +1803291051 +409896055 +2145702059 +1459580110 +2078207778 +1099073825 +528475198 +1513234909 +1695250840 +1191373161 +842740648 +973805556 +174629119 +520823204 +730224354 +794490586 +1084759273 +1385028156 +1874716534 +1898135193 +2028896813 +1314717876 +13684195 +962419822 +173234240 +2064890187 +536228109 +1605994814 +1050478613 +1664210299 +1124369123 +706286016 +2074106354 +1122587534 +18382478 +2004830485 +74177712 +546857676 +1370581746 +1769428552 +1738230837 +65838746 +595750460 +1912859956 +586661951 +1325974814 +559866894 +1671421224 +563519323 +287099781 +1422072769 +444932488 +1601817657 +1435756964 +1407352310 +1775051898 +1353163504 +1943580419 +1233563064 +256158469 +1460307071 +210448540 +962444485 +1386929777 +1333036074 +980826963 +1244276614 +1407213786 +1527684640 +467374713 +1029158690 +1118431829 +533213459 +1624909151 +883808138 +1119875410 +803400317 +1443675032 +643812987 +1366919640 +1730774813 +2065885756 +1811852128 +1185108823 +1354159073 +1071720791 +812677073 +559838929 +867817562 +2046240137 +815997398 +180640985 +109205029 +1778441883 +1567570763 +1442241104 +611785198 +664363729 +701971242 +2139469838 +1131738442 +1731129933 +1110418020 +1664951902 +1208555436 +1994226158 +637343664 +2011955753 +1290417542 +1281156651 +1231391746 +873708708 +1199558760 +895760226 +2058817531 +406234185 +1967481017 +724010956 +966073114 +687814932 +622767445 +1782070512 +868455917 +731972475 +1413028747 +288543032 +26729931 +2024813945 +952906762 +728701173 +2016800136 +2084645204 +312347458 +979734508 +1602113458 +1520902894 +826477018 +91973475 +1385375000 +2116894560 +1373130126 +469283098 +843119620 +425205238 +1365043324 +754453503 +831439423 +1185040694 +1478464459 +1797512537 +1872855626 +2101231905 +1432099401 +593827895 +685720732 +697644500 +882370928 +712450663 +574974798 +1835277690 +1441151836 +444291286 +1772439246 +1753499295 +1424025794 +1227069057 +1126918541 +103019164 +1319042532 +364809893 +72430076 +544689010 +834092991 +915549697 +969894249 +51652668 +1670003200 +1801333672 +1236693362 +1000984012 +1451362562 +962065340 +954732269 +735978315 +1555893235 +1640453001 +1433622816 +290780515 +205420016 +2008597614 +2126058205 +1646571852 +305405252 +1751013804 +1252587499 +1729431046 +830599213 +232022393 +1832450210 +2158097 +596832286 +1904880286 +546847107 +1430925278 +672946335 +1516741356 +1482577946 +195465888 +1170591381 +571787660 +1196449900 +474470295 +1533853000 +3698521 +1210448610 +942262587 +1644151522 +496587778 +1233043103 +1849571538 +357701744 +1211617660 +1348659742 +663106996 +815147816 +453763594 +245054394 +1645747029 +685785987 +2077504604 +1647905126 +1282618273 +1834901243 +47268586 +566059903 +360363930 +1564009942 +2048637849 +555829818 +587117675 +472941861 +1752279718 +1061587970 +2006794861 +1755978239 +124552933 +801573801 +1252646113 +621140711 +2034616904 +954734003 +978842456 +1098750916 +155910098 +1641949452 +1913898733 +609673692 +1887003847 +1412162114 +1295459679 +1817024803 +912583593 +430594304 +1504442398 +959852179 +996654208 +1864806329 +376378473 +897808409 +273152499 +963496149 +1370750271 +2025432218 +2025084119 +1230061484 +1633926809 +2153404 +2031635285 +739089275 +623294116 +1918768541 +1693823278 +1602136572 +870035810 +1849733376 +1096602376 +636450895 +311923420 +836122575 +2048613009 +1607383099 +505663731 +813712954 +2037977404 +2010106129 +1773565133 +887147964 +1727428810 +2459959 +1784956373 +2000581310 +965956108 +1008222996 +1878529880 +843556579 +90800833 +1364973041 +845709984 +2122436118 +2104062316 +1469004100 +1893721012 +1650401947 +923657024 +616273174 +1352651675 +2020259400 +1252724069 +1664575096 +708898328 +1153853430 +1124474547 +1214562059 +1967566385 +1014968303 +1077184540 +1593647870 +1902116267 +657129703 +1596107829 +1539588993 +510227365 +414580289 +400328341 +241273597 +1258136869 +491129174 +1606246638 +2103846853 +466081645 +1562825307 +1425367305 +212319009 +1065743606 +201540681 +828592183 +270911633 +74316433 +2081316252 +1935486729 +783214761 +1087686034 +912477629 +1997776820 +907768771 +1927445932 +927477713 +353932994 +1682078552 +1584607416 +1950040823 +1074183897 +2094834781 +217137465 +1474512238 +188624730 +1475274334 +1965641413 +1794871368 +1431637539 +284239410 +1210213027 +709521196 +496558419 +128472985 +911061877 +1325150602 +399384619 +985378310 +1258983206 +187387700 +1768593072 +199185592 +1099865329 +1618886244 +1106954364 +879827614 +398880309 +1460887358 +414422518 +1983487725 +1263444533 +1488606415 +1930838858 +1480581998 +815635005 +2119463588 +808372684 +633792770 +1766851309 +92526575 +918032180 +829580688 +802047771 +1414590599 +958053674 +1713109648 +592257553 +1357438293 +551004311 +1851240759 +1544825993 +172113735 +2050426352 +497207675 +1790999979 +1009897068 +1377035289 +42396641 +323300778 +1791457807 +2025884366 +1586745311 +1132580574 +1809239577 +919843662 +1948215579 +1781219517 +1728216346 +434524702 +1400587178 +1820742922 +1352556882 +82684219 +475307045 +619663834 +1040737893 +40933046 +1211921387 +250692538 +591937357 +915678499 +1795518531 +764051092 +818621203 +145242558 +407567423 +1828518271 +1522277847 +449964064 +4335401 +1166252006 +328364783 +1591080712 +151348932 +2137604360 +363440726 +2099564512 +1771340229 +2091657073 +386605566 +1024443760 +1764916347 +1739162448 +1107127979 +92739744 +211342634 +382224 +133672790 +1423264022 +251074762 +725610147 +191458873 +2046593293 +1489661239 +1010080076 +44352204 +1897228663 +691114699 +1566630051 +199709079 +695450100 +585398410 +528073862 +139047164 +736747342 +518194574 +502487891 +688828206 +142051156 +446661316 +1075433772 +1166494916 +64094015 +667112573 +126139247 +156833759 +878455207 +126521471 +290506550 +154235581 +377596233 +1016116697 +345694454 +276705878 +358294289 +1355774530 +321058082 +108039304 +2046889229 +1887688134 +307748383 +594855681 +325602896 +835822246 +733902846 +1062350238 +1354016820 +1236390737 +1751178445 +1496067976 +1683052053 +679128569 +515079244 +1747146068 +1346241142 +641218491 +1903979827 +77212702 +767739962 +47002729 +231448283 +1145336195 +1063119427 +577142738 +1422042074 +1421413716 +1932917268 +1743100156 +1529453020 +1832322850 +1483304642 +1837201403 +279694883 +1808907538 +525540001 +1013597729 +723774129 +1879556822 +102504818 +327468926 +1228141150 +1785556871 +1006597495 +1743220395 +1385219291 +205354990 +236955238 +1141715471 +282567692 +1004695201 +1188718200 +514015975 +2547748 +104353979 +1091158713 +1424589822 +1525767695 +876592334 +1020206331 +907737067 +561431536 +356027325 +597454823 +841126419 +17451216 +1122994824 +1854724149 +741225345 +855067998 +1957228967 +1068694271 +2083209149 +1595302191 +2075291766 +1678945896 +833037834 +133163108 +1915901134 +1974753305 +415730800 +773112687 +1015987858 +929746776 +775660436 +1120341837 +2020905489 +52766610 +498625885 +750014175 +1072972941 +1406362952 +1311445711 +1429000267 +2003817775 +5088483 +1446451483 +979328952 +1859812632 +40193180 +1834396950 +1669557951 +1108887451 +1770122451 +1117376494 +1036695569 +1301584699 +1950414329 +1169858678 +1070002186 +1777683986 +1585589478 +1843114873 +646188196 +367852606 +471291661 +1766530034 +241274448 +524058272 +117672271 +991288623 +1597031213 +1524035223 +155250687 +878547832 +1380369351 +160339170 +177515667 +212214655 +2020151802 +217708847 +2046611605 +1542226105 +1326596298 +1669250409 +512118952 +215808220 +823351460 +315049633 +1385666898 +1893353646 +2092733619 +823772728 +1588984872 +591438168 +1191625335 +2060276533 +210484554 +1432899783 +436851157 +328156825 +276704758 +2033882371 +1852192048 +431955445 +764946555 +1085077751 +592294615 +942462223 +1297292406 +464962769 +1160171070 +1196420364 +2007188875 +339283721 +718187125 +371824179 +555091941 +1541538585 +686873812 +1940758839 +1287408584 +632123783 +617047919 +728909808 +1223561951 +1808673254 +641702693 +1434046505 +1094089389 +1078553851 +1762203330 +1370794148 +964952574 +1466911731 +1802749593 +1729899129 +404505834 +247560561 +524877704 +1701798241 +712523330 +1685048775 +750734957 +572228557 +2024332496 +1468922082 +944052736 +431940789 +862977019 +1630926548 +225215980 +2901955 +115566684 +842263899 +731811763 +1339128635 +503453506 +1373514457 +625691493 +1597542895 +304584660 +240411175 +820853395 +1269537234 +1707322906 +476119341 +851952715 +2111828741 +723679902 +1376830420 +1666143334 +1436203232 +914395547 +269394643 +2008431790 +791244395 +1738316725 +805000878 +1223185184 +453810096 +288443779 +1448401164 +456712052 +404010463 +143181415 +1188523815 +1743139098 +646634921 +414554624 +221346943 +96694169 +719139284 +461758119 +917547564 +1988676518 +21597377 +1393666905 +693145586 +2133426118 +2117346807 +2069976006 +1652085804 +1406066392 +836887905 +1921480447 +1267014534 +1628132300 +1512313524 +2072015412 +703833836 +1966123621 +212975543 +4751352 +275352025 +616986006 +147932767 +1463875840 +212641457 +794567689 +1878430465 +433988400 +891261858 +450086101 +895746519 +1808809422 +291278972 +917343897 +1054992680 +984424558 +903286367 +1024855839 +906916916 +407888524 +283438583 +1743804821 +181885323 +1550453117 +1224453473 +1694198848 +1474984882 +1928287309 +1512838821 +1687960425 +1933038661 +1788190846 +157462784 +2080971428 +1104583038 +370104241 +728055469 +835529855 +804092641 +1619317327 +1285615957 +1699839161 +1280643102 +1576894929 +469699410 +188152134 +413835839 +1372985777 +1213007973 +1320752755 +1780874301 +1496446557 +917073928 +1962759625 +899416026 +2141527401 +1509474825 +226917260 +1922331062 +874829998 +1914877686 +1707886075 +515537196 +2072340470 +1641373855 +1620120234 +294961063 +221945677 +308166442 +1099053704 +1841263004 +1593782399 +651409217 +974422458 +1023193680 +1121108627 +1162574592 +1437029519 +346610757 +228098918 +610298626 +2127485058 +1724545475 +1527372554 +1942761035 +476477853 +1521416307 +1304752212 +703395114 +1296263721 +32098562 +470789152 +856666148 +547635758 +395645974 +350556355 +20272345 +690607037 +572502032 +328438787 +1789660741 +266281389 +1922221186 +293586311 +1240703847 +797931218 +1414694938 +255794792 +87477089 +1761305695 +483893710 +697775715 +1741307106 +60955537 +77664621 +1536584493 +537433390 +1599080928 +693853058 +1240828504 +747861001 +725951620 +1711617656 +1604527149 +1273587379 +2107263630 +1955083504 +1293859724 +650387019 +380101889 +1622298511 +292564113 +646383278 +1397036049 +586150424 +1887087125 +47483619 +2000845362 +2142881917 +134960708 +1614667410 +479291979 +832736423 +1208490868 +540247516 +910401044 +597591713 +1077680907 +361998324 +1291444771 +171025763 +1109859325 +2017396392 +1882643420 +566902826 +1143500123 +1842423402 +374502682 +289876199 +345326774 +754604571 +1912174710 +637890887 +1400987849 +1161727111 +1224041311 +1140591327 +1209210730 +1077403025 +1135989596 +1344171438 +544586787 +1615281576 +29424213 +1753077655 +8045444 +939825257 +203185721 +1085726351 +1301823581 +1494630492 +1256752115 +264199258 +1364543236 +991911887 +831102084 +360559711 +686851641 +1205604766 +650435910 +1032178415 +1960209338 +415126972 +1670069302 +1213713539 +1576854083 +746626965 +206821218 +638581165 +1824029991 +1342810815 +1982752603 +221133130 +810608743 +2012176816 +1974210786 +818654187 +804518425 +29912859 +1904380539 +2106342006 +1524543351 +1013649006 +223057616 +741602940 +2005560893 +1054159700 +1102162651 +544928886 +112280819 +1752598562 +1577107302 +2072490157 +20241886 +1099692956 +1138720048 +1597095970 +1846319922 +1345541267 +88193487 +1522866265 +540868434 +2070946091 +1743999395 +1351477177 +1935639259 +1570726533 +22647716 +592674037 +1600639392 +1927028255 +551532395 +977699096 +793193613 +774590012 +1719302036 +651270858 +1828749712 +673981039 +1196199745 +1941030531 +279095953 +625823399 +1866037040 +299337840 +1725516355 +857273441 +1896433810 +1424352629 +55331060 +1984627297 +799735246 +596199494 +1908089740 +396250994 +1947676671 +1696245352 +1966977527 +1970324387 +141435741 +1420133272 +1749868995 +692968136 +250348720 +395578960 +1467558148 +1969650756 +1046849819 +1148824213 +496148147 +95565916 +942371096 +775244101 +721389315 +660924489 +1074581941 +299422022 +1518197930 +823532103 +1723774652 +1573528990 +660675752 +376026250 +22244836 +421281845 +772277244 +1969921507 +2117527197 +591771124 +1792762246 +111479290 +2011904396 +1395147593 +804447426 +114769468 +1790726554 +124521927 +2084420224 +690092725 +1273346140 +433084723 +785658641 +68233588 +1208328824 +1507047956 +729158077 +135427117 +1806469978 +99872359 +958959220 +1382760982 +1673401349 +1619634973 +1758787233 +1695646185 +2040916818 +383580829 +1518084044 +2010960367 +975351953 +1163362643 +2122439657 +839772701 +411026588 +779403435 +954542169 +54269494 +903925362 +891478745 +744362219 +29787854 +1324563469 +1530020860 +98021443 +385408645 +889585168 +827179520 +520835763 +548571499 +927051880 +1479794983 +1931332481 +452969581 +951946308 +1542636066 +1132119 +845379478 +1926216896 +1519216163 +708856197 +754085201 +535095158 +683812206 +1593857903 +946121747 +1463215642 +400916424 +1000391241 +219657356 +1292395170 +1744753461 +249445211 +469474991 +1127290673 +347466654 +854883636 +2016875842 +1174646174 +1375719399 +417963693 +2101698054 +708030735 +201812526 +407183988 +1659977043 +1744448593 +408316107 +357872874 +1523181841 +1927532270 +1066729071 +129783394 +315143781 +1750541278 +1723641297 +1261265528 +1066273272 +2124557722 +114173121 +1285930628 +1269469244 +1858926582 +1535375839 +1738944235 +838733608 +1882842493 +446344223 +708125802 +910005020 +1822063623 +1126089495 +864219426 +382610710 +1327902021 +1271403414 +2042587753 +924866966 +1679719521 +252976979 +300565159 +1459768144 +1319706051 +430348554 +1774911925 +922763681 +6506203 +888693805 +1989036953 +2131063925 +1002866926 +1127483933 +1253049521 +714309861 +515376125 +844510108 +1553043469 +250734970 +1290854332 +113685623 +1160739990 +965434307 +1239775118 +2024959417 +1348045017 +420193491 +1148879183 +1243149122 +1345060458 +681115057 +1496126102 +1645625617 +2140883201 +668348505 +2075974171 +1768311478 +1591112186 +2082480375 +509521635 +1432665491 +2066060652 +1512388561 +412665776 +1171626526 +79214774 +928041901 +2016136634 +1632258243 +1178776872 +1159507318 +1745943866 +192033214 +2124941625 +838235336 +69508983 +1325502994 +1258428828 +1218388167 +421168469 +456005638 +1899503224 +1917294571 +2101631255 +1892902777 +438159428 +2030121779 +1513730607 +2029271614 +1965118506 +2023252242 +1314453457 +1883695510 +1388157155 +1727119233 +907838388 +1467371930 +507677487 +776491375 +952146525 +1686454359 +1935998693 +550606744 +1878487573 +1913456671 +1388842080 +1947996557 +1091476017 +499787260 +1018901076 +1512644486 +955792898 +770920652 +1282455409 +909940506 +516339781 +1720614837 +792578637 +2030070388 +1602402803 +610213495 +1905838982 +769372612 +346425357 +1146512489 +349008198 +1254263746 +466400771 +856685685 +2030755121 +1418547297 +395656396 +1819270166 +1969154041 +126660321 +1585243189 +1210512473 +2074656878 +529235559 +1710299734 +946074306 +2041880045 +518608984 +1716994958 +1176851807 +1428549490 +85851091 +749982996 +73644479 +2115921479 +204902152 +683857974 +1874276813 +974274764 +1030283332 +873305655 +1323282962 +137063430 +1339706426 +32484999 +20334903 +610770075 +428141395 +1839605069 +432440468 +554801717 +1277364611 +1642952942 +481974947 +1806600170 +1205769028 +1428049254 +1700996567 +1724378012 +997560564 +730364726 +1005443855 +1083411656 +1480347723 +1079088334 +1051849487 +1685249875 +1762946309 +778642653 +512040991 +645745993 +1651948308 +1835323954 +782809423 +844171086 +1867808953 +803144326 +1454941162 +148466701 +495265747 +1887381630 +703268418 +1772630358 +1382850924 +1185243365 +1431746880 +441136304 +465808971 +985259800 +18030669 +1463369536 +1715624526 +1023474524 +399297544 +1048488601 +2102562858 +1451147031 +586254828 +1718025519 +82306036 +1098295820 +216287864 +1734254344 +786136126 +999097287 +430941783 +506461431 +1802241613 +1885882945 +654928132 +150023713 +1625780927 +1358196550 +1922654071 +861148204 +395956268 +1206917304 +1302284508 +861765239 +44693456 +1320315177 +177651127 +1760317982 +196306053 +576948671 +661322936 +151385264 +2028095703 +1247577764 +1869410783 +2110401739 +198389936 +2085698648 +1697172436 +984526062 +937312287 +2128114219 +1490987494 +592070253 +1866513516 +2145915626 +742093966 +1344810795 +1356628529 +517264389 +58475351 +1752584797 +1724181693 +1360759860 +466866388 +1768875149 +533591389 +644517516 +1381709484 +729897443 +1221466187 +2043032420 +881282707 +1102078242 +1143126536 +603209842 +1064996334 +1341516473 +541424842 +614685122 +178558887 +1478737130 +595315693 +1669546381 +2070807383 +314345561 +1667978360 +665417701 +1659156356 +877123241 +1182682090 +1717631708 +482224390 +759380136 +930907920 +949090778 +380771637 +1464499309 +1593608294 +1762481121 +46913104 +667590834 +1658029893 +928195811 +1769669076 +653672782 +1531405654 +687181762 +1995189255 +2072830496 +1301866884 +26264494 +1404083978 +1897182577 +1695810876 +1327407713 +64044490 +1216305588 +1992825414 +1723200847 +2093428829 +1028023857 +1293348907 +428169571 +1787403993 +76773179 +1377260349 +20691982 +1541272488 +823384996 +1783173104 +1588185593 +1490975830 +1293719349 +368897756 +1113161258 +1947392131 +1900303410 +1800343021 +1795097738 +1825650259 +954726257 +1821362233 +1082250589 +704425187 +1369689461 +262174655 +768469677 +438511401 +107516421 +344186876 +384456582 +1135540278 +1637535783 +812626153 +775460623 +1714308962 +42402854 +796152606 +1108097803 +865787850 +431842062 +548799748 +209280032 +1725561411 +917697504 +1322441291 +1525469895 +670517267 +975300664 +1173083985 +348683878 +1930026921 +846962570 +1430934467 +486968460 +69168383 +1693109122 +1255438138 +507679784 +1800625544 +1599625014 +892136366 +788682174 +1089677150 +1704762519 +1564142798 +656502464 +1747165374 +212811756 +1764600267 +465469576 +644653818 +165916367 +674749609 +222731581 +1083613872 +1997190900 +1748201476 +1754131139 +825007916 +773801814 +2102815017 +607551189 +1620764384 +1386265836 +1094519650 +1689932768 +931891311 +202474140 +50128904 +585033207 +1802099154 +942265271 +1373715381 +744292656 +499544142 +790374531 +1400795121 +99225868 +1003186287 +1017911740 +564695445 +1647840105 +1183828108 +1239445054 +1870571687 +119958332 +1089152306 +1471289515 +1874089471 +1914160222 +97607681 +1829420840 +374227763 +1718372066 +1068203028 +1468747413 +1260821186 +2000094339 +1671221553 +1310950090 +437643898 +1325837060 +105731713 +1811359280 +2070129716 +605275856 +454250163 +1323441189 +704501724 +1457436451 +193869282 +1269197169 +957792908 +1377697390 +361158575 +680880947 +1497655722 +1450310881 +4686815 +1224261545 +1216987455 +102294496 +906198737 +1591215219 +1820666562 +1974401765 +912478984 +934004100 +1827012457 +436216890 +97470543 +117172707 +1762053950 +203202256 +1928531987 +1684700018 +808478112 +235298503 +860657560 +1512979837 +1692734954 +1054526842 +634693358 +503044214 +284740584 +995851934 +1183925162 +1782396306 +298679167 +1188611977 +859174203 +1515666623 +1290906473 +1765372940 +959398194 +964089388 +1592291057 +1871877178 +1898093488 +1271819866 +160610420 +1995564031 +1388992574 +1922664370 +51282640 +1170040913 +1459880741 +859760752 +1405339416 +173054653 +225256941 +950590722 +1227581495 +859950300 +1453634937 +1512322079 +1855802234 +490076451 +1147234737 +6997753 +1678688428 +2006408940 +1522664376 +822111253 +1624298232 +334578922 +1786200641 +1069105641 +58972453 +1536810482 +193441860 +219582873 +1384890865 +1582434434 +2142247244 +1436173505 +604991699 +1454644337 +148450610 +2010331116 +1627698990 +373707551 +813438190 +707796837 +1233657851 +119589479 +72635268 +941976437 +609665930 +1219870005 +948974191 +140870710 +1078795297 +324154919 +962981964 +555609881 +658733842 +601698957 +1624715522 +717706295 +2138509439 +1818157382 +937289168 +1375916657 +1253108168 +932052764 +664606514 +1858099868 +239213453 +813057124 +1720947336 +1866912443 +1186764676 +386901878 +427225632 +272938879 +506491358 +499860900 +1214915317 +1116157288 +1719730905 +16405860 +1257027999 +651042554 +340560779 +72526315 +1206652435 +999294621 +674225272 +683884310 +1717000916 +665251064 +354558044 +506806437 +2041167721 +1607666213 +1438859201 +558290587 +1318282433 +1678072655 +1371347712 +891746121 +1397501450 +410628740 +1278647999 +1824727083 +683567619 +1785139357 +177104335 +1898482936 +753812998 +1896835241 +1914888796 +2010840997 +400394147 +107965928 +2083367312 +1607046583 +1107260549 +610108936 +143447245 +676777818 +1275360000 +498005289 +1183584255 +1169044073 +2105671502 +474959808 +1727334661 +1276470287 +5548815 +951198725 +20732760 +1403050266 +1361827465 +1299380760 +1080293701 +2045395084 +937036469 +1257398036 +1796394373 +1690849467 +1006749629 +1563799521 +1554206816 +1407143777 +1671765449 +1490090480 +866706712 +631542351 +2100199417 +1010153957 +1308320169 +1228075769 +1508159246 +344420776 +249636195 +1466347101 +819380584 +1976970856 +595333740 +824929400 +780685933 +616066501 +80496018 +2142513398 +1915447261 +1160789719 +2040424834 +705000082 +270704107 +1689335559 +248365902 +1277453737 +1105651433 +1802572718 +537113866 +629933234 +1145179551 +1403820578 +1261475585 +1097895320 +266490887 +422312106 +178487441 +1774650133 +766732882 +428123636 +1093513586 +1586113467 +257610844 +1688847327 +263559219 +1038296777 +157430180 +344055237 +1033326527 +2072877441 +1504844956 +926267714 +630393875 +1775549063 +468119625 +878759777 +905519152 +1573771058 +533848848 +1442633018 +56220645 +1679028399 +698969948 +1317696230 +629440071 +965460835 +1740008337 +807927512 +592627321 +359257571 +1236051149 +1686140907 +1945371038 +1493661993 +1227504586 +61446609 +384475123 +1384934766 +405501846 +1417801650 +1310328559 +1910346802 +196585716 +1940722435 +1538412218 +664705342 +671998564 +296447722 +90992752 +1205847412 +1739080741 +147213397 +737392163 +290567041 +1464909628 +1366832234 +1256027877 +1057434317 +27276099 +1848655198 +1416691888 +1263327248 +1387312457 +1214579279 +609505593 +467333396 +1276025888 +993980716 +1852268162 +1681527735 +264298719 +1015113074 +1444390889 +460884435 +808351861 +835319459 +1125589777 +1480350425 +1131767182 +1216582530 +538714190 +723364275 +1363795927 +1276106353 +1013931316 +681221907 +495454940 +122475545 +1738656224 +522731039 +1971130743 +1007864465 +1786058287 +1210959553 +74960096 +248080232 +1678292949 +1350985984 +1242060949 +1383077463 +885030071 +1506359668 +250706889 +181937313 +1967244103 +1059058750 +1017256772 +945350233 +391925528 +1540306 +14449115 +930639718 +724904581 +1378245042 +59262423 +1738835898 +2059466950 +554717363 +1861311443 +1650639526 +1077448402 +1684958539 +511020343 +716023041 +748434444 +585980439 +964103274 +279243745 +1936966424 +58680575 +1662321208 +674512847 +1565040243 +1913028098 +856450160 +1384800698 +824603200 +1873706933 +182667283 +1216528728 +1875247239 +197116398 +2147168446 +452668173 +1575361441 +58947222 +44020423 +1487344743 +613664585 +1905331866 +990500621 +1691112988 +1442806757 +1501520965 +259652381 +43757553 +2087501404 +1223755655 +323001298 +1876984180 +1282436230 +1985322507 +404013380 +699992825 +1750866957 +1260463540 +2084793524 +427986509 +986686825 +119977159 +1644515238 +714450417 +317093558 +1644200036 +1167118590 +1892454999 +1703147258 +1211139013 +1232316094 +169328196 +968987231 +75333067 +1860441184 +264310341 +1576854032 +2120093565 +308067894 +1516871789 +1196365573 +631069193 +1246372321 +331318155 +468908052 +1650385701 +1031310981 +72291361 +763365594 +968620857 +500277870 +1750052419 +1088598016 +2144793108 +317019188 +1405691574 +1641509497 +1484137778 +1150662925 +1197173107 +547793143 +235495371 +1366501303 +1516780375 +310828439 +1079458839 +1781090716 +1887682471 +1052068757 +2089158610 +1257070612 +100950682 +572744155 +355959286 +432268837 +1041652207 +2006344987 +1463579818 +1113943568 +622226933 +284717027 +1614221439 +224795705 +1373315044 +1611530899 +541814893 +631522970 +1105556748 +2025952672 +1782185896 +155246208 +426262167 +2017681267 +1521747511 +1943042542 +181026058 +453722703 +1576649610 +2068708530 +1505791460 +1518324573 +1178295494 +1606742142 +2091068728 +1534254780 +2039010979 +985237288 +1393116120 +1355107150 +2099180856 +2015343053 +1639824177 +1565918647 +92655110 +865655573 +1029965899 +634470004 +1497178544 +2135522647 +512939028 +1131880792 +143285207 +939201195 +1002078411 +1665032719 +734760090 +1183104470 +2118755422 +163926052 +1104329352 +1477063234 +1682250625 +135141198 +936321728 +1625835706 +1669395979 +827849059 +463589346 +915028451 +35472561 +415286554 +782887856 +1675296739 +1981205202 +875542967 +393468664 +863687453 +1510012971 +1890647208 +851726452 +2022951999 +875044352 +995011660 +814669546 +1877122764 +512560731 +1549429636 +912743586 +483832505 +1713355689 +2017072938 +1960895739 +1248122666 +4730488 +749733819 +726474724 +1674126467 +1577582878 +1190064070 +441671270 +1613055440 +1605350625 +1224559127 +1140868531 +1439072179 +2100102094 +1534337195 +155275984 +1462631417 +1277500756 +1007002436 +1338099768 +5061460 +2002014096 +5285666 +1882184224 +367091179 +1554715303 +647444162 +850923684 +1120587344 +517033452 +664335775 +221226362 +521763941 +1414069594 +947701087 +48406760 +844168825 +2137765157 +490078031 +309740617 +1595632134 +1714637158 +1450609148 +887220665 +1667255604 +837462695 +1042496649 +982403373 +2114963451 +2049499086 +173019493 +2120024912 +1904029534 +178305159 +1854725488 +123637066 +1733020462 +354686003 +974560750 +706124158 +871719455 +1638896526 +927350521 +1393483396 +905482472 +1875051608 +1441890157 +1749651297 +1865333117 +1931968188 +2059391914 +1313481604 +1499121698 +1362517414 +53218621 +1018893654 +52496462 +1095715271 +2001297027 +19976265 +997730709 +26832872 +2140001177 +754276595 +205138031 +1847243018 +877913661 +1938158494 +54445373 +1852474412 +496799004 +926164828 +1343887290 +1424149525 +172164577 +101886114 +1151717485 +1614054734 +1851537412 +869566955 +1398539274 +1763445678 +35564911 +750177324 +978479445 +88783532 +1769070978 +1030975907 +1184498803 +1622884357 +1050952172 +34745864 +1649717229 +1043469702 +789022460 +1854855260 +743229072 +1666936121 +1645530106 +797674445 +1371926885 +2142329111 +1723839273 +568330527 +1418994988 +1896003850 +670216642 +423228826 +1362574936 +374270406 +1292795781 +613630562 +2137716084 +1328360692 +1363807886 +968711881 +1417144224 +985395216 +1999687788 +454159380 +460795925 +903156313 +488905244 +2110513154 +1946626015 +1277927704 +1817884767 +542371439 +797380178 +1315931225 +1340045884 +21823415 +1310776688 +916401509 +590153943 +582288029 +664921712 +1260370585 +1005516855 +2027496648 +1634640991 +150828988 +493643563 +1624873427 +1479189680 +1857451449 +446101661 +748850256 +695363018 +298305801 +1203009636 +1156158943 +1201462114 +1691914881 +1119188450 +1000604481 +822358937 +789589569 +1542975920 +1619739115 +2105520794 +735538156 +1641562531 +1268813835 +1651939666 +84232826 +1851101864 +169377730 +1344603411 +709135071 +49390730 +831760754 +859964059 +543034293 +309150533 +191670091 +253002095 +755252194 +940520347 +948365113 +1053557996 +2143529984 +2104524056 +107536462 +1687961217 +1076228858 +1108140944 +362836506 +1865818427 +503633216 +1982575622 +1823855574 +1239171373 +1476654505 +945185761 +743627391 +1560887331 +648803977 +913005121 +758007094 +1357939048 +962395851 +1589767848 +70419459 +1505430145 +1898918381 +262089550 +1758432240 +506686928 +1202609897 +559313705 +1560244924 +1198656233 +516354113 +1667781386 +739133802 +1592582972 +628438682 +1101970309 +1310917751 +1132071899 +937062283 +987289677 +223759624 +266233140 +1932475438 +967387015 +1827120471 +433795767 +1880392136 +437643917 +1791734815 +695304339 +2027411765 +1862154274 +53250836 +1778846498 +2124243824 +1811683076 +138049778 +1179370074 +223513133 +1698294702 +230542659 +739867247 +1218592441 +969676462 +184966571 +1847031123 +2071646771 +1495884322 +831619374 +861225406 +335690352 +1055378998 +1127458546 +120682142 +2022766013 +807095369 +554477910 +1755674501 +1244739286 +198729077 +303495193 +1124667403 +2060883352 +356746029 +756030253 +2037643528 +20945458 +894080032 +1069529954 +244458591 +444891086 +1300072614 +984325838 +1663483527 +122265428 +1169292409 +1363031003 +46428551 +517693084 +47166729 +907653957 +853383436 +1102545728 +2035112503 +974065578 +977828093 +694724224 +1528543488 +586018947 +1939463510 +1727272566 +889514140 +916647265 +1640672270 +1246260169 +1672677518 +1530832150 +1267205627 +419273902 +452878457 +1511664219 +864164989 +1752951071 +348506409 +380164868 +1875216499 +1517798819 +1743195871 +1921645050 +2035491903 +1790362601 +681815359 +741391691 +745424681 +569444214 +1715457269 +1723252774 +1264168438 +1096517110 +161788073 +1056148300 +676306028 +1051302213 +1972795565 +169494650 +150078735 +1497989435 +1700326800 +1417284362 +1917263338 +5721609 +781464933 +633944679 +1758672680 +1129971343 +1014109547 +1486405531 +500286514 +609821771 +1260566933 +388294769 +252700724 +1942382292 +1129686460 +998125405 +364342858 +697660081 +573894531 +1628511296 +1794177191 +735682605 +537175948 +322999571 +1786984818 +362487865 +492494221 +1937063553 +1860477301 +45337374 +1206864268 +1630256991 +51058983 +1988329201 +116718022 +1809731664 +970816896 +1130827569 +1148653547 +1471103410 +1740649340 +261736833 +1859398179 +1993350064 +56635477 +841600991 +843991821 +420978336 +1539261073 +1417886353 +2049489632 +1185954616 +6085310 +439181933 +1508954188 +1793070128 +801669798 +2001448409 +1582650034 +514663451 +2046785783 +642030654 +2144920442 +2097844767 +482876207 +114154816 +1760092783 +1453693104 +1244982386 +761262682 +777312866 +838148078 +1022999515 +489227398 +684014495 +1079634993 +1330828389 +1528006316 +1500613329 +722605814 +798409021 +1402619313 +1908560431 +804494331 +1841801246 +1270030971 +450080812 +495987397 +1123995732 +2032730846 +1010650848 +1023297868 +527277852 +1008087643 +973658987 +1010154059 +1122242459 +586268122 +316363515 +219741197 +1347530804 +1093676382 +1057889276 +223046672 +1582903780 +1741903771 +1302681665 +766248521 +1122426439 +655811346 +1488854336 +1920835461 +2058430659 +1249931119 +577846144 +1752748258 +372478442 +1027926956 +101252007 +1496474174 +913174154 +1111902855 +372288394 +1440452006 +2119990498 +1345947381 +303122418 +1094749310 +1932215503 +619485933 +1314490507 +1132262660 +1713162315 +224896135 +1355309332 +1148582447 +1966799906 +510507349 +1914830969 +941742698 +1166318695 +1256201657 +715094511 +1077265706 +358649128 +1292940655 +682530316 +731127570 +173383964 +783782323 +80118096 +1086558118 +1895685179 +452406491 +379526477 +1868192029 +1798353872 +682648895 +815457691 +1583085728 +1302134828 +2129948199 +567864740 +867813496 +207360686 +1923174072 +2016395943 +26676945 +286197773 +1783743264 +968419643 +1452516468 +892461273 +1683514154 +382298526 +1251110401 +828971161 +1064828843 +1982237971 +1002355125 +1848611166 +2062356068 +2088913244 +1596812697 +367278911 +320956073 +1317521079 +18149135 +1003604968 +2132978770 +1601234863 +158256148 +2115443321 +21615955 +1026069644 +175320360 +1944790027 +894981940 +201997305 +83504152 +531241556 +1170416948 +1536020620 +1423702830 +706447454 +1918319147 +527329583 +1535418615 +835664342 +362083907 +390290093 +536791860 +276956327 +331719689 +2133604558 +644235238 +652675762 +1303641989 +662384373 +1656280730 +1289137111 +116135589 +1814536878 +1257096785 +137751544 +693122875 +1432417145 +2082541572 +1588104815 +1634414450 +18562076 +2119346371 +657347750 +1554582697 +1395565553 +1363795204 +1325418196 +1922895137 +751730171 +13598890 +137495396 +1142020264 +550390750 +414451723 +1473739953 +536511660 +1058686961 +2126415715 +1840153649 +1721071334 +1635212797 +981807113 +1837206923 +1302266028 +91420250 +1974958468 +1995388903 +1523837395 +1910016392 +1436010070 +1010768197 +1928578468 +1407872793 +1668115947 +1335677517 +655954699 +884427503 +513612065 +431366188 +1636157674 +527210955 +568861584 +630694291 +1077601706 +983313307 +2104434244 +1614113366 +2042000268 +2083366312 +1306783368 +1615587954 +1571095461 +141106833 +1305311230 +725877841 +232527083 +1132786050 +573783096 +1756364478 +895318794 +2009793166 +619649027 +676413614 +1270182312 +140281326 +2012091132 +1926137011 +1024708829 +378219549 +210019551 +513382855 +905430505 +778881135 +1144077146 +1983032211 +1762194442 +1101027743 +1449661929 +1656711062 +1036910407 +608961649 +1124815368 +460522220 +750068482 +282642950 +1186400062 +982595565 +1415429000 +1760183158 +591476395 +163264146 +1622492677 +1211125422 +839677761 +745191341 +1351406748 +704285245 +523844704 +228631929 +1082504794 +733864255 +742014785 +1987935299 +1512745390 +1886091931 +1823483862 +1127456184 +839636026 +1125662144 +636683598 +1876546433 +1734623793 +1761498966 +189585006 +337208628 +2044141917 +1375985068 +1319804193 +1312087269 +988684578 +1911280589 +1475351416 +463693607 +974922363 +167545529 +1208884948 +178845464 +871830774 +1732729652 +407477393 +1954335568 +319110259 +1149492178 +1794787220 +1831855649 +888100462 +1470787434 +811828185 +1727736488 +448965930 +1448511783 +1456799274 +36106076 +1062527102 +1646384280 +373314704 +959185371 +874885700 +1693118897 +123788992 +1863570278 +1456915838 +1599140408 +179780238 +284354554 +1766685937 +1388665186 +463200018 +491033063 +973911191 +870677411 +297884984 +1293021450 +2020169590 +2092672204 +977393452 +760786404 +1415975990 +1789221637 +341039244 +1864941921 +1090249773 +1797838518 +1901047997 +5293227 +1296739150 +126879053 +964478598 +24141202 +1819997950 +1088267590 +1887711481 +1129430141 +539924351 +2067491719 +1413784695 +159126640 +1308673257 +1876984713 +650159704 +135100800 +600178476 +948044688 +1428122251 +472864418 +893233244 +258032055 +1233650822 +161725586 +2047253692 +1574690067 +2026667507 +990019817 +1225044937 +1780231856 +995313044 +374300440 +1907110909 +1959791642 +398441642 +1579625212 +900575585 +138669475 +561571705 +1440499936 +58677546 +1975356400 +1599626576 +1367350804 +1704857465 +102302632 +1502451604 +157552293 +1050347320 +783090207 +630416712 +1943580564 +1041122262 +1864067534 +2105306151 +940892307 +1291273953 +1984490010 +1930912124 +368835243 +1617238219 +778741521 +743135683 +1376865480 +591049515 +1141577325 +809007044 +1491625100 +1280246801 +1370578749 +784641388 +1338924347 +1198451501 +236784317 +558791503 +755825318 +339086949 +2061243108 +913377612 +1389434270 +696849667 +1543794324 +1185531186 +1737971930 +1260378210 +1143353689 +531380589 +404168516 +980360052 +314809065 +773003759 +450114623 +1093550586 +1516139442 +1826980103 +1684600102 +510233119 +488503500 +1028741554 +1790479920 +1859082249 +1813382943 +981920620 +910050103 +2050167260 +1540712123 +1665875421 +241770561 +1454471583 +431769385 +1631204831 +3837603 +1975563709 +669252370 +1741809533 +1088458272 +1812606059 +125706474 +1492626788 +645482463 +440515539 +118146899 +1095597086 +1534066126 +1634286341 +775093542 +1071182580 +2144519460 +1263597042 +2099924134 +1787515733 +975195643 +1765823429 +621952705 +1885245746 +1668507041 +15181180 +1403637520 +1910277603 +1469652764 +1835406905 +1393998786 +1473490367 +1663486967 +2063251156 +1067816252 +604461591 +1728373568 +1193522726 +2097088379 +226372383 +1634038265 +67751630 +1321969470 +1020620743 +1702037971 +2097063012 +2091803323 +1699073783 +1213176406 +2044243810 +1339105868 +40888401 +1662583591 +1961058573 +1926134148 +1183606985 +1976239754 +1182288020 +946400940 +1298408870 +870211277 +192916078 +624415589 +386214596 +108683587 +1692231841 +990676187 +1837057155 +738270919 +940280918 +2063429538 +224825536 +1008032548 +1237915360 +1245446280 +562586871 +1187494724 +1189765955 +114177007 +253187482 +1086526117 +1453282875 +294075884 +601626061 +1266857801 +72726384 +1785233046 +1095613907 +1255014404 +584150338 +246539129 +2125225681 +777066416 +870954718 +363956630 +885750003 +415702911 +1354632817 +575323510 +1153973830 +147430088 +491269401 +1378799366 +1155462636 +1729184761 +476761998 +1718049508 +769195838 +1666527954 +1832226515 +1022383320 +605570423 +1138025742 +1316459204 +1207196484 +257399895 +1389185588 +844945882 +1353013802 +496716344 +1429096220 +1599552931 +474458378 +58678989 +323024001 +838415008 +944428992 +738726912 +45564177 +1519752503 +1892700742 +192994265 +2011021904 +1124016461 +1348456902 +1592723017 +1600778459 +919022762 +214435207 +1119822765 +603765629 +1236818528 +1725393189 +1741791371 +405794084 +785106025 +1999191267 +1794979673 +1630051908 +1204721421 +144212369 +911664480 +656790705 +618670747 +970343469 +979814706 +1457085755 +1914772462 +1718541619 +1502649933 +1287041317 +1463758713 +1695644198 +1150579573 +440291526 +896617452 +595818942 +2041069986 +1815640214 +810254150 +1013409103 +271922195 +2047072678 +591318644 +2013713567 +305383114 +1376424670 +1865421186 +2100362787 +858992930 +922658959 +97091509 +1770657410 +1579449664 +715762256 +593517232 +411780723 +25364364 +360806046 +2130322342 +1528014297 +1647847363 +1446597407 +1076174847 +650943288 +1886888934 +1972792300 +1246762230 +1780475272 +1640948866 +2057016380 +646400727 +1912871062 +1956605410 +1237719372 +1779100981 +114504877 +466660394 +1497038519 +67384016 +1325653324 +272213830 +164475525 +948827086 +1851663495 +880237782 +1542344318 +115960570 +905602146 +1903150364 +98799264 +286132795 +1403514079 +1545396671 +1362307642 +2054457367 +1284801957 +1187616294 +1153735950 +917793581 +681081513 +1063268682 +1564194309 +446468927 +872390445 +654430033 +78086260 +986895322 +1121090427 +1575124779 +1054279338 +299260103 +1847338609 +1218754864 +1248087189 +1551518456 +2098992646 +642947860 +1667479026 +857111144 +398614576 +1766278290 +1143243939 +1802128656 +1164191314 +358067933 +1709102375 +301509623 +1545684228 +715354677 +1219303205 +79282093 +1778623360 +636013866 +525751020 +503530157 +1290443899 +603837280 +1490425479 +264050678 +31478411 +397221169 +563310781 +1878817020 +1615976033 +1811397970 +1282851829 +1567485031 +306862182 +802847207 +277112527 +705476759 +421641850 +1420356466 +360121767 +1585833164 +1778424400 +2069224142 +1887342787 +1176624980 +637095172 +959162344 +1255907073 +268234884 +1595176210 +1781658093 +771765041 +738136461 +238011725 +114706872 +1002187139 +269490136 +511928041 +1565497920 +823508 +2127904075 +1229412243 +1283675337 +1547905458 +1536274425 +2086522545 +1825017986 +94267536 +360680747 +1097890804 +454389303 +1946513911 +728831556 +376129798 +1686373050 +1905456536 +1013224970 +498051747 +1013879961 +1281459854 +2093227957 +648054406 +2053224895 +683880771 +886066131 +20448119 +1686067910 +1155556267 +532376160 +1104082183 +1156379776 +512796587 +186010778 +292571465 +2060702046 +1722285203 +231610362 +1738236384 +1816552740 +592291109 +688643540 +123458395 +391321372 +1417475097 +499588193 +2077694423 +1175447985 +1512813163 +428262522 +41844299 +646789369 +374006831 +689898705 +552530616 +1057887602 +1575964837 +572978735 +596471865 +584037456 +1105354896 +1700554048 +1740417232 +1618151483 +1886564826 +2032988698 +1531369881 +1461366381 +117115412 +1122122617 +1130435473 +709406522 +1810766158 +1253893869 +1100727894 +1080757607 +1753482062 +1030938669 +108721944 +1118811578 +1459201191 +150566243 +1765600947 +1833208023 +840464949 +170647916 +743611977 +268946138 +743626651 +1340083842 +852983594 +1848981547 +893154242 +445917179 +1319649383 +632235420 +331422229 +703535616 +2093601802 +448537641 +1825658234 +1076553627 +1157944163 +1488940744 +182963848 +111188410 +422214703 +1936445911 +1142127079 +530936647 +907773841 +453844623 +681502891 +525891140 +139568998 +1521967840 +696539056 +883180975 +1790913978 +1440165708 +75781170 +496413924 +1141663607 +968935412 +942331103 +313829342 +1601170833 +1273753332 +1017364959 +1547288987 +1722290974 +695539545 +476358966 +732751489 +36996641 +659322815 +843939899 +459211344 +448285078 +1986066979 +990147991 +1356058919 +292427954 +1671650882 +1881950059 +431996952 +1046135074 +431005468 +1315177927 +689565404 +1871171176 +1390959097 +1185979329 +865351135 +212410862 +2128310432 +1179180478 +1813581695 +1254580117 +49061789 +1213387034 +829387443 +744601334 +1689746000 +1562138932 +781597975 +201585167 +258595184 +1240809319 +649870245 +97178515 +83473662 +2005929164 +389606469 +1755124545 +1740395576 +821603421 +653775971 +23917396 +2136781348 +1343341376 +1895088572 +1380256798 +381837057 +612956059 +1592667660 +362663841 +1792136537 +1258765707 +1617243958 +1841198326 +324669093 +299147753 +438316012 +2014415093 +1861286686 +1219913987 +68516613 +2119881870 +313239658 +718386858 +69576737 +396713321 +576832375 +459183206 +4354218 +169744303 +1280786627 +658130189 +193661699 +1270084327 +2001471565 +2088750271 +502857477 +235824974 +554222682 +2095525137 +598488816 +198875572 +1206807196 +68249126 +2040073898 +1531476289 +367396880 +330906263 +1398407735 +81199918 +1550820250 +1466924348 +53598140 +1864059909 +37827558 +123174877 +113289582 +614659933 +582358083 +117643800 +784404236 +1863144710 +775773989 +978065935 +985745389 +629761907 +919332558 +1488602867 +865586881 +1473555241 +1436644356 +1464075697 +1672430813 +495967905 +1532324824 +1565021063 +2027444194 +1899721704 +1895927326 +1278368281 +1980921622 +1299263929 +597808981 +2034519762 +1015840190 +635636540 +10210991 +1129129772 +1250296473 +592569074 +1246773572 +2034700710 +308230136 +2022547561 +865282997 +1293975525 +504825820 +1784615556 +635094744 +1370412702 +1110687149 +2071739101 +687004751 +635634314 +420223358 +71845927 +53171729 +300183904 +1971567631 +1949099056 +1578552186 +1805005605 +1100879337 +28877519 +1692041719 +2116719527 +664514059 +1702252710 +1098365651 +1914810533 +147338136 +197655575 +1802027595 +455568272 +72719488 +519826944 +1749543798 +577545309 +156958852 +237154894 +1947958011 +1267646001 +161410347 +487479114 +1903280315 +581633705 +559325042 +1956452045 +881817610 +383409025 +1758067453 +312886148 +40930983 +711463142 +341763667 +1732972702 +680699021 +1006277727 +1287741765 +1779064672 +773604612 +1435079901 +1976720247 +428148559 +1890648174 +2049439735 +947975503 +1492708324 +479501396 +1104934356 +1729863218 +279975759 +225096709 +1891273566 +767454874 +2128377025 +325423623 +1326779916 +1937345422 +1207241233 +1710188941 +1547929227 +1520127381 +1751119924 +111908721 +1861891049 +1336608979 +792607742 +720685128 +476867096 +424188766 +1494289740 +1911946997 +253425365 +1922438299 +1655111523 +155381452 +722930154 +1000336199 +634882849 +1827864510 +582715770 +914858608 +2052961220 +326505688 +1682313482 +2033854597 +651929311 +861609750 +1823716371 +1859170545 +424315044 +1224161950 +1231814278 +27951320 +1336070671 +946221679 +1364560299 +2128678413 +1666906807 +1841427395 +405383531 +1013712899 +1605890745 +658808896 +788667550 +1113518620 +814190348 +1511597705 +2113854820 +1449073197 +1191978567 +549086942 +216448158 +1097456139 +875592630 +1898761640 +983827088 +1527521941 +612887743 +660059811 +1239208838 +1037202787 +1884221761 +323539469 +1065154107 +1072808784 +1269761148 +282230759 +1054003549 +789184308 +2123658154 +1459387080 +1802897207 +1582065251 +2118195976 +444081110 +548100224 +784902677 +1955678815 +514471396 +86492226 +1000173734 +1063558338 +302940384 +2097629874 +1939150968 +54218377 +933973314 +1319189261 +667106120 +1594033126 +410914452 +1704308907 +1330771239 +734453921 +621979366 +256096376 +2004215069 +904210125 +1310099925 +645915729 +880384632 +622003358 +301329289 +314966235 +592715686 +745410399 +863066459 +1377618363 +553605566 +1377537855 +1464110590 +1553779300 +293612545 +1767050974 +1503925526 +85279865 +1821269351 +290415193 +1404469127 +340891823 +1884448319 +1815383579 +2045200730 +1067735910 +402353852 +519696449 +1323832286 +259085273 +1423906574 +486448564 +905001003 +156807558 +1108451922 +1206330292 +471773794 +1701167608 +1951740691 +1334840253 +931302324 +357862609 +564894461 +247929266 +1911641909 +858507006 +2014980240 +1268083788 +943786872 +1688765944 +1558498981 +200772351 +2029657767 +1295463652 +2016155930 +1927374850 +215715914 +271026134 +299587651 +1539548201 +530111407 +1723494225 +2025996765 +1435112410 +1880301784 +986965039 +493959054 +204591930 +540648999 +298216097 +1539432183 +1471951323 +656078706 +2104326644 +1719880589 +420236968 +815350003 +1587377182 +1688320756 +1759136875 +1128659478 +1099336089 +1959909226 +1010833597 +247316093 +1828581508 +790724799 +463032007 +2099607642 +1090312450 +2002580208 +482235401 +666323028 +1881093325 +1917347812 +399141164 +720574716 +263823218 +603733094 +1261223716 +562039316 +2143165277 +585691391 +1218118022 +2100008274 +158088333 +1638354990 +767874629 +1745465515 +1179192098 +379527856 +726641345 +131044539 +191953434 +1737474942 +378360632 +2020534942 +380716094 +841392640 +1972658936 +1471028544 +696489200 +307410689 +2137351572 +430098878 +77274853 +389009088 +1150673594 +341098072 +992742182 +264413662 +903137388 +988423812 +850105054 +2121255410 +940948438 +1008193387 +1612126753 +1708823067 +606175254 +643835203 +2088350923 +1332816599 +774879743 +132820709 +922807893 +1153240375 +5872003 +1303523987 +1994633015 +1978530939 +627068884 +543638568 +138457980 +616936808 +973737446 +215732834 +1005945897 +2124411040 +556830906 +1998688079 +241341055 +1459968294 +839628243 +1091446109 +1433740056 +1780576681 +2099639496 +898383161 +1341916100 +558331102 +1542218365 +1282783375 +1891147701 +169614460 +1415604084 +666471946 +1322854835 +1421476087 +1969995934 +1170004203 +1252523378 +449581170 +1713642771 +1390981359 +1066517978 +539896569 +1606714193 +2072463875 +516823961 +16061451 +1923668307 +758165016 +1476029745 +615812902 +1849611125 +762286153 +248905936 +1801766973 +1660669315 +1590822036 +212614427 +1055404032 +726121764 +2103762128 +1225018492 +2141725848 +622750427 +400389679 +1415718288 +445262713 +1570393882 +520758018 +894843883 +1136553005 +1911739377 +1961361861 +1676449574 +1370969922 +1886342089 +45789888 +1387031373 +1662526748 +803954904 +715577470 +130856002 +506082382 +1477863624 +379761938 +160365707 +991049291 +1970583975 +372980135 +2046453323 +549222091 +329258615 +1123988167 +543464291 +952009042 +1524377846 +1959182579 +1397271755 +947288081 +332456950 +144631990 +2083841086 +96712679 +2105993852 +1612807013 +1467682602 +1844852293 +1658596901 +707230327 +1359895393 +315068157 +1422807798 +1490751395 +821150539 +753187774 +1870513334 +981516247 +1744237065 +1693613661 +1354496382 +1643206740 +95352104 +1683754997 +619711259 +638816395 +488280392 +2144089105 +450515327 +1885552147 +943893538 +782972277 +2030184138 +880250977 +879684956 +1988694342 +345574342 +199883910 +1686062987 +2004171243 +907114238 +898474732 +171755752 +182438388 +241742479 +992906292 +935626162 +2112255813 +1974422539 +532379579 +1658385826 +1181435273 +28102671 +1753737930 +717706622 +647813930 +245070678 +1205987014 +644419387 +695586005 +944055514 +1588312926 +1478558282 +826756004 +321080255 +210759590 +667966698 +666654597 +410643501 +206546037 +523342192 +1317757739 +1105020769 +695097944 +1500196127 +1346763248 +1688004236 +288338641 +1311535414 +1514943127 +820718220 +822437592 +548894752 +848820891 +428691875 +1266601375 +1496634821 +673762553 +325104741 +2141054208 +1369348558 +1269160255 +1581883486 +700423192 +2095916259 +1902963741 +911182782 +616399309 +422134690 +1321826283 +822945346 +945476882 +492100374 +1927966115 +1640574827 +1992296501 +1127245716 +1181095415 +133151494 +291297482 +548554895 +953869714 +1113735074 +1097449647 +1802690605 +1542426949 +216567374 +1151841778 +68705854 +541672116 +1145412339 +1438054412 +1810832371 +579812177 +2138477604 +1759264983 +335292271 +902176739 +228180644 +757426961 +76519374 +1051125991 +1702903844 +568619749 +831608458 +1195995023 +413432602 +1958854174 +229606790 +546584097 +102668008 +778161685 +1500453811 +1216403083 +1875611333 +1155660769 +611346384 +2092178707 +160018899 +680052239 +486367175 +1305431238 +2118106651 +149715899 +1885243416 +2109100608 +1908980882 +73052039 +863793699 +2137161526 +830479000 +940313073 +1040803869 +385899196 +1508932822 +1872412328 +1581894219 +1922365425 +1683782854 +1811501010 +321465874 +1786450863 +442179047 +1821919685 +855370298 +170306732 +830096806 +1466716682 +115001792 +990115706 +2146768921 +601368967 +148063296 +2117391925 +751084866 +2033306712 +2079008885 +512582100 +2106358751 +795318936 +502259979 +789354104 +1735632009 +1543063848 +1175253300 +1097081184 +1267992528 +609663872 +871962961 +804291735 +273681234 +1193428835 +443258950 +715860281 +867864872 +1298629248 +886167014 +1697961679 +617862282 +1001168806 +540593737 +617147556 +1602537773 +688657033 +587055833 +206138992 +574480098 +518581070 +718721092 +533355201 +1313900006 +1220981071 +1322709305 +902048367 +616561272 +350478958 +1999129551 +1884553800 +960142830 +723608864 +541361887 +1233824064 +1917037699 +984620837 +1949684345 +637418924 +135766437 +688367711 +187896955 +753628720 +1689536517 +728490692 +1370776276 +1144590643 +1417147725 +1957832109 +1350729635 +1991627823 +328929531 +2069450727 +377499377 +1642829537 +1142948151 +1700208682 +397394256 +1759509423 +2050687640 +249040160 +1496579575 +863346822 +972649024 +2037941463 +2097170886 +742203076 +875078652 +1899371584 +1379622000 +1010845090 +440255647 +1567518955 +1764473810 +2129792165 +148525999 +987766438 +1126899160 +1565673724 +798114899 +330145147 +1409817900 +1127044430 +252112226 +1787317277 +622390319 +1395060377 +1340042311 +1019784575 +1007086152 +1243246304 +1268824735 +356182080 +2106593126 +93990112 +246639895 +2056280365 +836193188 +1121718547 +1808168301 +68331540 +2132563637 +100940300 +1635850495 +1749553799 +83248817 +1784376494 +589836589 +1210147977 +1202566570 +1387951488 +1540293124 +464900822 +367512270 +1792405351 +104734451 +989902589 +1039982080 +1444776763 +2009687165 +2047068233 +540539419 +1131028252 +255766665 +499648897 +1225018364 +502406560 +408445614 +2061211552 +1624125107 +69130267 +2129543092 +1609205097 +170070568 +1617909939 +1211275248 +253319385 +1254802785 +1801111838 +1463467363 +309885708 +1041579678 +856276839 +774786530 +1409091949 +501198542 +879520982 +251510890 +1541180623 +176814097 +113714407 +1440765208 +717353516 +1244742660 +1696531873 +1217002413 +322277376 +51454785 +1625448028 +236005281 +1675579892 +1694578295 +218064725 +1137301341 +1864648863 +1835974665 +201092942 +2117968249 +943293802 +2002204780 +1433951964 +1253179510 +896300810 +142745155 +2027966041 +157909111 +643943698 +760003375 +409420002 +37640673 +936817472 +523134409 +1478405881 +1654170988 +1767877069 +1027454106 +723689753 +2090154446 +1078908891 +201654133 +178676079 +607005135 +1896232429 +396740804 +1744306477 +1613397644 +85231821 +1945399419 +1583882245 +1028525624 +1800120551 +870350561 +134221486 +548937713 +1013095717 +14703879 +706846825 +1657039415 +774707254 +1116266827 +1694680088 +1711524726 +1639401236 +1025602321 +1218212066 +1259794658 +2053056427 +1941901820 +1202465456 +984481670 +2143555953 +1381141535 +1591486805 +1892304734 +1777882339 +1188309634 +1358218731 +1863114161 +986225405 +794617328 +744156137 +638862308 +1664967890 +878377623 +1187800022 +530579959 +893081503 +1894646847 +40135726 +1667788757 +863430026 +1734815814 +1231829836 +355347614 +612934487 +302558254 +1615142272 +518507266 +96976426 +670124080 +1502988936 +93048732 +2051265615 +946992093 +1985353466 +1681664307 +2135301728 +1196088549 +1397294820 +974043485 +1990705878 +2141450957 +1612905794 +1508190120 +872344932 +653222168 +2038770079 +1765426435 +400385367 +2078905805 +1285731545 +1263815393 +1666237971 +370077733 +1619163007 +131688810 +672635987 +1086821632 +650196076 +769612414 +1756945712 +5701364 +862661146 +1660727680 +952693457 +700530964 +1194908339 +940511537 +1896619514 +444719511 +1914555023 +1739841744 +438686820 +1379977169 +1100548216 +1311031752 +2033199337 +991834647 +928974540 +286101056 +923256804 +67222437 +1549916449 +442011127 +437300170 +1021595808 +573699937 +1109936157 +2108417440 +1223896013 +1879548571 +1717879505 +1229597377 +594726069 +1231123537 +34807186 +1295257034 +278548228 +975318724 +1044392900 +723267739 +742390099 +636750996 +1161954559 +2122367268 +1737299212 +325502663 +2008082957 +581650211 +1254477203 +146700365 +1504907015 +1321699640 +1696616814 +1946918142 +1758999810 +570728974 +373134431 +721452320 +531662767 +1597030444 +453517243 +102058624 +679144173 +1048243313 +1333182161 +713951359 +196016699 +1611730389 +1689270083 +1240409599 +187514480 +284176534 +1877160595 +1349469039 +259060154 +1466976159 +1674971702 +119659463 +2048626370 +781965258 +266359828 +1406049737 +2103664898 +1962976642 +1205484231 +1715181061 +386221969 +1578618662 +289149733 +917884736 +1028165458 +742666976 +1019943360 +1707309631 +1790910289 +205641873 +273777342 +1986926988 +1817372262 +1963047426 +1079852939 +2004886742 +99740312 +809529886 +1206872133 +358800467 +129022397 +734360187 +478459930 +30165119 +1516325445 +744819759 +1436214856 +1472506696 +560312753 +494215439 +1040204109 +946534722 +2072834101 +1329353842 +1864419458 +953515911 +2072020818 +736879170 +513341894 +1715447460 +942521043 +787119237 +1554890800 +612409657 +602683015 +487260092 +469812751 +702423327 +1296789978 +1676684884 +1061223794 +1425812376 +263561424 +1539683725 +1455977495 +1779886869 +137019836 +744708704 +1104909917 +697332589 +1238924143 +2145114026 +1643867312 +1164274597 +1326984220 +1360803122 +2117790508 +1251521391 +2097682293 +483648755 +819485203 +892719688 +1270767992 +226892355 +1505129346 +1873451007 +714152447 +1974942097 +428390686 +2010942426 +1504143334 +1489614481 +1289271154 +1767704758 +881814558 +597765001 +1400107979 +1018834394 +1342473705 +357534249 +1716166983 +433914201 +355164627 +1212550647 +1598188798 +1682148848 +425870122 +1568495658 +786186591 +376068767 +2052144413 +1605671794 +1268788455 +1175428757 +1832564149 +626434153 +901396116 +399232949 +453892603 +1329786803 +262691727 +1958035937 +671917636 +1551962881 +1578257047 +1553732194 +2244234 +830881378 +425082940 +1344717940 +1188415627 +2141249923 +1778632141 +1543580255 +1206316923 +1229337291 +1078245455 +1632187045 +650349301 +1864432046 +2008255812 +555010067 +1322620192 +1129560619 +1730438824 +1007700693 +1755994773 +484351293 +1406933642 +62403728 +1814138096 +1669625369 +2020439665 +338572084 +1074104602 +1451213064 +1892304278 +1076348837 +134610794 +169903570 +273583129 +1323026422 +163669845 +2052215270 +719123029 +1369986768 +1134068913 +1797368484 +854690165 +1784418214 +1514316882 +715462329 +191944633 +689453426 +1845022949 +1922383458 +1697154119 +1453534074 +259251103 +956604114 +1515937802 +2073389199 +478745835 +1388893819 +264477635 +1552850438 +692623235 +9298265 +481715627 +827234029 +179201835 +755298756 +2776803 +342871680 +660030378 +721899832 +1712858449 +1794099291 +371784668 +420064966 +1431033857 +1886101550 +1135527296 +1622978491 +428071328 +833066597 +1397878301 +2125225448 +139117023 +1657129404 +934345914 +1655054825 +1583034955 +1413091749 +896464996 +1847512590 +818458539 +1589088231 +1856810855 +1300174166 +268838612 +2036012690 +2055472922 +271615416 +231400722 +568019652 +993515248 +1944259171 +214635295 +1365299917 +216840490 +1645669153 +1103917819 +1352367786 +1121163996 +1531989148 +37950735 +371558649 +1509730948 +177067758 +2028688053 +296593214 +1832122583 +1464239360 +1709684963 +581103931 +1164268302 +380659855 +22708514 +873595509 +1680834021 +291547126 +762124551 +1588823296 +563162542 +993525273 +9359300 +1556677791 +790300797 +223994596 +774494060 +1007141287 +1869663749 +1878411879 +212025425 +843344097 +1262917379 +249976160 +1214902746 +625164679 +427043918 +1096107151 +921757893 +111682853 +412862863 +483959209 +692786784 +1577131165 +864619064 +715495298 +303243026 +397969437 +1007042424 +1065367577 +1986792733 +1570204967 +2058892850 +1996152034 +979399110 +701709999 +72662982 +1753893170 +1708851286 +1942326731 +1484821401 +1920876711 +638187180 +600255133 +23369223 +1853089926 +1225419812 +450413141 +801713429 +2147177706 +562095994 +1214576292 +483653267 +1254882778 +644223809 +1348272331 +1970378076 +947466835 +1746241768 +829936853 +2012834412 +1585550854 +252658172 +1924243614 +1434219240 +1232057282 +478469966 +1506882222 +838466804 +39837604 +1301725305 +175804557 +1960714316 +1939912485 +776059690 +1984083539 +1645518763 +2001479503 +287013033 +299748544 +2001173561 +849109027 +1514324836 +337343180 +2103991806 +11064997 +1685615511 +1926886234 +958531832 +1284373631 +609339439 +823882596 +722440837 +861997611 +600642562 +9176429 +2094054893 +1079112528 +1516058651 +785038049 +1118950133 +670300308 +960842607 +932180801 +462729145 +1736902297 +768780692 +2108247908 +1590898152 +1055793725 +260512804 +1444588065 +1904902753 +1774837640 +1781931245 +1861410911 +1785902637 +1320063108 +1640813497 +596950821 +456953092 +102669289 +1420833417 +1179393929 +964666900 +2021475980 +1188570359 +911238146 +953104860 +557145362 +1696276195 +2072054993 +1227445671 +509635154 +856752146 +1690174816 +99053804 +1625532839 +1650939077 +1689951956 +533842916 +1911451881 +987056374 +291262021 +1538805874 +621503971 +5189284 +1177224863 +1941567080 +1646002782 +1774175685 +251036524 +1748672071 +1047525454 +1430430453 +565855323 +921517786 +471517164 +1477093469 +1874622647 +1028662527 +1025886017 +1799193992 +108624550 +1535521171 +508462491 +1798799366 +1634574975 +2133995330 +1302254795 +1177043284 +520354598 +1066223029 +16616010 +811616620 +457545255 +638119981 +816805904 +1634770118 +432203413 +315325038 +1261462155 +683239937 +2063997109 +161503962 +2113670391 +482368785 +1083021748 +437703907 +1959462254 +810160747 +1466366434 +837864623 +461871092 +1574990984 +225902147 +970333583 +1226306703 +1860477122 +956845265 +381077850 +890036758 +1477199863 +1447300879 +906652768 +141332835 +1904846134 +1544772750 +958138740 +1392132605 +1976976163 +1273463778 +506111112 +512732453 +1189977240 +667615074 +478919196 +1672346025 +1750636823 +916623103 +1484324631 +413313922 +235505890 +174705607 +875185014 +1810496874 +400607754 +1845518597 +889319929 +113601228 +654880214 +1270397780 +1003637987 +2132080078 +570215011 +1910290755 +125929265 +327577498 +1307579857 +1084068005 +1719710103 +1137072373 +210048136 +78337567 +1649804826 +1400025376 +745952642 +2128724022 +924887753 +349105817 +897863477 +261728736 +762419739 +1133369367 +436434343 +1637604754 +796382594 +837042097 +1335639703 +1685702523 +950643326 +1990519918 +808616655 +1954281313 +1975116348 +1378831667 +1717088420 +2101045613 +1706409165 +877184630 +1037629971 +1278635620 +2014257003 +1247678107 +1356973187 +1516578181 +500219835 +2102925829 +1497818555 +1425107588 +304547998 +248198384 +1686836324 +1066967738 +1381567752 +2123270668 +557088844 +30466698 +812829117 +1892728547 +1716169221 +1763472443 +1735764817 +377302229 +1570270108 +1563397517 +1756133896 +1139874881 +1516959483 +1315059413 +2017059511 +407105806 +446211385 +1883832866 +1654783913 +1803184572 +1252927399 +7520100 +1758626754 +603262306 +1432627688 +2063174752 +851460690 +971980364 +982658842 +85544794 +947767384 +1539747686 +116011492 +1760596502 +1284992586 +1832180714 +1376585297 +873273755 +61999295 +799371758 +289187625 +1818133191 +1939246639 +1806147108 +985708956 +1808822502 +65769266 +1431920341 +1545171720 +1720553179 +1087621265 +650615471 +1728073279 +698764371 +1253877777 +1013217319 +614455476 +2105338467 +1985197683 +1597114318 +43399614 +785481420 +989378357 +159411106 +398594274 +126887295 +1991591820 +1775179571 +1000161050 +2053591115 +427067681 +1289348675 +1724240658 +218830672 +948012135 +562465966 +2027653174 +1013781401 +1994386307 +1425341246 +586850932 +934523925 +2075956717 +167440563 +1633288296 +1182350846 +1180657882 +100260124 +1140205666 +1018371918 +1697374443 +1183605280 +1803853338 +539269152 +1343016386 +54963964 +666156447 +1187124559 +1830143535 +1666317497 +1093232026 +109727569 +808182525 +669989037 +328558241 +1756194660 +1232455003 +208727768 +622492414 +1079357663 +1634069014 +1209343346 +2013881588 +1562542084 +1376783910 +1499686236 +597409282 +409958144 +1599946361 +1737614948 +1428330062 +1149837156 +773736580 +1084699752 +1689106308 +2116752967 +1139663716 +207779107 +1156393878 +822323604 +1874096604 +102142256 +932051173 +534795481 +772131293 +1260609414 +143506494 +2004586297 +1469337182 +765998908 +936460312 +955922549 +1975342254 +802858252 +370980985 +1204642516 +155060840 +968390267 +1614600661 +1755007201 +558521568 +895447075 +757360709 +1332258148 +1980146828 +298983369 +1301527467 +972326896 +506762476 +310437697 +1794650500 +233375433 +412579954 +579218025 +768170914 +1184711247 +1839827440 +911677408 +1041813896 +1161680974 +1677676316 +1978274208 +2117603523 +1505534923 +633648812 +341100860 +562693791 +788709653 +1309491128 +29810804 +396233206 +1868012696 +925257880 +1153593916 +1052787196 +757921060 +1452577285 +206831016 +1730247956 +1959339762 +517268713 +1377414809 +45231547 +929848667 +1956632834 +813402461 +2114559915 +1648976626 +1725079870 +1008890163 +663173953 +1255272538 +839680724 +633293828 +613323813 +1473329536 +974394689 +1176017605 +114555541 +136402169 +1205828409 +510788748 +2004414865 +2131086289 +1664382664 +909718413 +741523701 +969476301 +1116549429 +324288010 +781332415 +1633818143 +1701702819 +826563962 +416183162 +1510852005 +1639966424 +383259429 +1012344984 +1217562646 +1392149593 +1675518937 +325351536 +84346669 +161329117 +938675350 +1557676205 +1135723806 +2114692955 +1672231747 +1272125975 +1173037716 +35536847 +1129057192 +1156640358 +1699919511 +2038775606 +1898164059 +521912164 +1007841387 +74968421 +1303244580 +494175882 +1776671240 +2129808542 +910359045 +1140039598 +1622291318 +1293618474 +4900934 +692370316 +538284419 +1680419871 +1017721853 +622631088 +1841748988 +1956397203 +32823646 +829989147 +1923606510 +1705055393 +2102115122 +949160578 +1740592240 +1083688667 +2105800936 +1293028103 +974980625 +1856481348 +1814940267 +1982822012 +1931449769 +970701199 +329514247 +1560637362 +953026094 +1239873292 +553193312 +427833764 +386008118 +558094246 +1120204081 +924292538 +91030469 +2137925934 +1546923626 +1932779457 +1946839489 +1579747272 +615284956 +1722962351 +1137319017 +569916431 +524639281 +730427609 +1653605098 +482956570 +2023455712 +481102075 +191954270 +1690912332 +316440439 +2123404039 +514129883 +645954686 +1536557753 +1467155977 +1885827978 +2089751065 +1894989742 +124352449 +500361663 +867710175 +1048644987 +591392132 +858152461 +448084965 +376687942 +657508302 +2027832238 +991972898 +232987005 +1017667607 +1561889329 +757626286 +1748095217 +1068010779 +1240582856 +1624067281 +1549112854 +1432537126 +1167495965 +1865553294 +1408457518 +1681625849 +364024332 +797531623 +1001298178 +102368663 +739799041 +748804272 +226721112 +1240160704 +1616514447 +1275366099 +1831552837 +327183260 +1723451064 +60757131 +984691562 +1603799654 +1052730029 +1217678567 +473983614 +467135711 +1975304854 +74595183 +1535146490 +1068404062 +1698662464 +936775697 +353457541 +718674782 +654845343 +1761915059 +252816983 +1018869675 +411963034 +1254115161 +1121238338 +1151762075 +2002919434 +1347959450 +244439132 +1471950233 +475841901 +2075991969 +1799133494 +51809318 +2136749100 +636341408 +1655608972 +1041995481 +1854019976 +2129592586 +1509131192 +1681841182 +56704121 +896794035 +602761596 +1755366586 +1833569732 +956219137 +326557720 +340931427 +570650548 +579374703 +1359801102 +982613583 +1833489864 +333555793 +2134375658 +1688925650 +1681515243 +231331142 +1013392236 +9873497 +159839463 +665042082 +61682815 +149104915 +1301383490 +1717291787 +1191100397 +1007919818 +1699400726 +552747941 +542277352 +1756104847 +1449541976 +1145038949 +1363987785 +1135628060 +2101258086 +1690545505 +1476559487 +524424987 +122436560 +688876942 +1507038570 +1955926425 +1022432735 +1493930580 +1497368427 +556464330 +1725261723 +363277015 +566337827 +1885101186 +1028319097 +628020642 +2034206102 +182218940 +197828782 +1077822851 +1190138758 +1897229508 +1630570792 +1732416111 +1505850707 +932629121 +729971412 +722354845 +2068257181 +683745850 +265416702 +1397333021 +1208170837 +387853263 +2086209963 +567725759 +196296040 +961159050 +2061656340 +1693664467 +1517623380 +1639434415 +2056941483 +2083961208 +1377051953 +937776932 +564498202 +1263774407 +1119995872 +762326984 +194113610 +162650983 +512072844 +1824684403 +1895067094 +2017923552 +609829876 +477554858 +592794749 +530603409 +1161300708 +858211451 +1927936430 +221987898 +1246064714 +1866662745 +789713657 +1442360754 +680338147 +703886349 +988541574 +50477880 +195837116 +897999409 +2134439088 +1572889070 +1835776341 +551453642 +689179829 +808288566 +1313780627 +883293440 +970939549 +1825853471 +560494195 +718522995 +1696293375 +1170324071 +1196077853 +141604476 +1700927480 +209894913 +999815928 +1481380263 +431882811 +98396994 +1200559360 +1221596469 +1540757749 +1880897508 +1925482818 +381815675 +1931375388 +2121319935 +1279815084 +1918330828 +1546725357 +968107777 +322300822 +88421538 +1776396343 +1636081449 +971714978 +599852244 +1314451273 +1532209173 +1318375239 +863261000 +555049596 +366969444 +1004865477 +108493429 +576864358 +2004681405 +1589873692 +1008747169 +2103078399 +642949404 +82859990 +1496352500 +376363264 +2008342809 +1878168175 +160255004 +1982179096 +1010499611 +2078585832 +1381420805 +1978607389 +253403007 +1469842343 +1607520084 +1889484456 +294073674 +59888681 +1056452081 +1826282847 +1378263920 +1919713082 +233848796 +1745233365 +777094911 +342342225 +174614075 +634292668 +1932215917 +1183361244 +589887419 +427681673 +1266221235 +2086239920 +804044938 +1127080396 +1816924447 +964299942 +961775844 +679940411 +895402127 +195713001 +511064152 +1148805134 +1665555344 +2118584236 +890805942 +1959629018 +30989269 +1947258024 +1638428218 +1409253190 +1719487458 +1872277014 +1007002907 +349098721 +67135591 +1181616982 +983391389 +1999351508 +217494578 +1573278808 +279549533 +1483715813 +1512035080 +1083594471 +463312561 +1181475880 +2047894414 +1425088405 +1861416291 +795812893 +1620801406 +224996795 +1944618027 +1138873103 +196097383 +687940321 +951018473 +227086653 +487714697 +441963043 +1636339843 +59718507 +166756409 +495859102 +408817228 +233892000 +1677476084 +1392208617 +85759860 +1894970662 +818003778 +365309394 +1231202828 +182555210 +1448903865 +1694515389 +1364031090 +1349314631 +972120147 +1077963733 +2145127524 +445437905 +1302960528 +1942261903 +1584311008 +1499057912 +482718577 +387845834 +1726144565 +970433274 +829808877 +1215000760 +1030151782 +996565287 +1710859862 +1438969010 +1230457287 +1240852298 +683693980 +1316217148 +988339312 +1501697758 +1681526542 +72058492 +1684252968 +982946759 +1766573882 +900800411 +184777743 +591210381 +1978764144 +182421619 +1036648286 +1134241025 +2124683523 +473475647 +485815289 +459918452 +861321481 +64476206 +1430351726 +1691130358 +1279476966 +313019860 +540211997 +842853180 +1751988871 +1770669285 +2083705478 +288199203 +939402785 +924561142 +1789896961 +473445679 +996619635 +1326666281 +1456392438 +615709869 +79983044 +1641170181 +1206920250 +2058747189 +1823591801 +96084888 +1045504566 +1800791676 +569560535 +1531319855 +113226480 +1430882016 +1595796061 +1543578206 +974528727 +727789379 +1856598067 +1514740724 +1570642559 +1461103290 +1137926361 +1506864389 +1749302493 +2077329146 +283941883 +1391715806 +403291177 +1280561518 +570898439 +1859683616 +1896271387 +650881484 +1353370149 +955707989 +562145025 +1029478302 +1051792878 +1607649591 +682786330 +1621353413 +991485798 +796012810 +904751782 +439798211 +192107369 +1879280509 +1167587590 +2048705436 +1246537585 +590746501 +1362325078 +236980299 +2097610890 +964143923 +166825797 +234069125 +208376081 +570116975 +1514630644 +779274520 +282316943 +1263418383 +1430156004 +1635687092 +71642725 +1992301029 +517681747 +1123435603 +1452466972 +1200468077 +597305368 +296469122 +1996480888 +1502057150 +736267333 +41104609 +1233854011 +1903854923 +2089810045 +332907949 +347117776 +1304651475 +569888248 +297245018 +121311750 +736714045 +531314144 +329687831 +1306831020 +2045944788 +1108962351 +1589147963 +1161879523 +391634708 +1077351408 +1233522248 +236452089 +1595033155 +209474203 +1688919062 +648017584 +806779572 +1985388184 +497014824 +161353074 +574171870 +538119433 +1395207086 +330543145 +480445830 +1728115035 +677660922 +1785097305 +150519635 +974905940 +1906409055 +887233680 +1506220084 +88613238 +46581053 +1404681224 +1197575590 +1635729016 +419077100 +1589210298 +565596776 +1652599348 +1825662387 +13146283 +1862073552 +1367097801 +661163868 +521369476 +1205002338 +1158178692 +682722550 +1779174208 +1696298126 +2077929636 +2109717353 +29260308 +1658561023 +639894627 +1814357614 +1809080658 +1614800568 +1573283021 +548830691 +973537004 +1661896260 +595411744 +230734581 +711988202 +83657112 +649811681 +153714852 +649253889 +154927381 +1979377239 +662400172 +2017000933 +1198991393 +1323564040 +390886761 +256510083 +334259085 +1073609312 +2035684291 +2030557211 +1004055300 +1997917996 +2059817519 +515132676 +490328976 +1726691485 +176729686 +2105129544 +1152490859 +725560377 +931182900 +666903471 +1320972121 +1161917481 +1378891673 +1404629234 +1811729162 +1532606525 +2053883123 +1966656544 +1364500116 +568799647 +1836173829 +416007861 +1892363688 +79576943 +672517944 +79139125 +1153186255 +560718587 +2109696336 +9757907 +411152936 +2022030207 +524890583 +901481912 +1601238045 +701620270 +859127808 +606245256 +1427180647 +1790310708 +1273148727 +600669121 +804744542 +504556752 +2005298355 +468990056 +2037163277 +1911697830 +288162952 +1254179745 +333013829 +2124336782 +1670187607 +77893869 +56430077 +195221903 +157032994 +1209616332 +755940491 +119245682 +1219374239 +1167093427 +2141275890 +1744264823 +2068575339 +1595030287 +298401445 +780219499 +53791895 +1725582092 +423046559 +1326940622 +178767565 +1227791101 +1831497374 +36582272 +1696781158 +1721177003 +1948280102 +1984944110 +827873100 +133810284 +1961797244 +350577059 +211704153 +2018227321 +545798963 +368737148 +1080360005 +1301739454 +487982830 +152250597 +321349233 +481775072 +1896515420 +242440924 +2076805359 +47433217 +1022660423 +2130597254 +1773015309 +1445706982 +1310054228 +1951782875 +526014436 +994067954 +1988365147 +75311946 +567761309 +1789161602 +2060256056 +1395634410 +1922971886 +1874569653 +1746211469 +2134676039 +1745313326 +144526784 +355929539 +678189684 +1446266238 +843912370 +830440281 +1767615471 +1325687442 +579472053 +2010056395 +1255009154 +626905270 +885233170 +1238122760 +252436931 +183456505 +400693341 +56736158 +709470941 +1394761295 +2045101306 +784782887 +1962522605 +1686779260 +697555295 +1210673367 +1462267498 +424641300 +809401188 +1449459889 +22470979 +953927973 +1805389429 +700660663 +252710563 +501818151 +1531100944 +2020326035 +1827505593 +2110572997 +1882898782 +935031099 +589994619 +620648305 +25670212 +842431550 +804104810 +426363553 +899167709 +1513575751 +1821124848 +796785367 +150874990 +1636163805 +336080979 +848430285 +699353524 +1798348477 +1273071586 +1508754713 +1100324718 +1295542565 +315199038 +758230499 +1996203228 +567909601 +1260048650 +1379820524 +440751988 +940070596 +1342909873 +176167123 +1875101695 +1932904492 +796815428 +1900771907 +627852394 +1600920238 +179651812 +1527020103 +967012341 +2000776661 +176321822 +1117887331 +1489456818 +512402801 +1966317616 +41326695 +163267630 +1091905554 +1550081408 +1263592349 +239964471 +1865280446 +2021822848 +88684051 +285706399 +1134387851 +1468504575 +726458388 +2074458447 +663930800 +902625511 +1802076494 +449351644 +1699440939 +1555364754 +1077204039 +1152877529 +1735016566 +456740494 +2119889870 +1588309579 +633062317 +1090293553 +930282750 +1145465118 +909127521 +971609445 +1308732749 +2001033076 +374207205 +424841450 +93513899 +92004003 +299180650 +182197951 +377710402 +1433568501 +1650702526 +1104168790 +1360543300 +167149679 +2006794301 +1015136147 +616501323 +1558751592 +423017253 +1693705362 +564145473 +10550171 +2962209 +536551695 +1598859751 +636024526 +1626845248 +381658853 +1781489644 +388489122 +1353268298 +942738745 +242038550 +1727475503 +1367580195 +335552449 +1819479506 +1666760846 +517750400 +49706260 +952845699 +20969279 +1153875051 +165905352 +188118958 +1013185704 +1181041499 +804620281 +424453649 +1604058752 +350841996 +988599122 +1614608923 +353804205 +1525150818 +1065985026 +989828731 +1004512418 +1447643879 +623834727 +1393001540 +653428529 +1566573473 +1635040090 +233420384 +786670020 +1970592540 +2052899890 +305947218 +340859292 +2102606151 +1258792918 +361828571 +1108997554 +1424698270 +549947529 +2122183258 +458256121 +1354567811 +399153259 +2062314873 +1705409807 +1387752382 +1529440148 +2059214012 +765419552 +447941527 +901559095 +1769931970 +1895585406 +1525393822 +1015449863 +401530288 +944483647 +503006305 +634950672 +1731153668 +326115197 +540366915 +2037100886 +666974490 +495489418 +1148410156 +1028803061 +1604486972 +425624778 +1578750591 +1579186582 +883880899 +785834754 +1978339842 +798712124 +343760913 +1218608576 +180668625 +255491277 +1984028128 +628610152 +1157050372 +1606476450 +376711910 +534960546 +474442665 +778242198 +1479444194 +977448971 +1413192871 +1063114214 +1303564168 +1953559786 +952731452 +1970538658 +301565556 +2101141609 +851858072 +1906052528 +379282739 +283125015 +1337755462 +1263163639 +1068959769 +1168611656 +2061875763 +1412720682 +239736584 +95060740 +1668211959 +76281064 +723670892 +677778683 +1682757515 +1100382803 +1212739229 +9716532 +1878625001 +544699775 +987165503 +1144334224 +1607813989 +143246024 +950410362 +413061794 +2113784682 +1251975918 +366719755 +818159106 +1010544798 +746002494 +1101284121 +200816613 +2009166133 +22760242 +1369428269 +1923558249 +1435480924 +1609164854 +2018618989 +956209235 +1685445918 +594806234 +1633987918 +1220719785 +1695189037 +699243500 +1230436318 +1426330390 +1243943275 +70118173 +423180967 +704273617 +213364197 +1373591329 +1117335411 +179665232 +478083600 +1484055166 +997824338 +1488628398 +82574012 +2099108460 +1689445011 +2091740146 +2121868702 +911389633 +1867814747 +1409865979 +373070839 +1738950088 +218591566 +2058516757 +186272674 +1852579485 +1131752895 +1881461711 +404339337 +214705565 +1160308454 +1648282612 +284823738 +1583489421 +205072581 +498187936 +809597102 +1322407992 +677853168 +1287680702 +658979510 +1675677506 +628825453 +741553523 +1627302318 +170786816 +685810021 +1601687373 +1082176449 +406141120 +864069704 +1455247288 +2145091208 +1082661270 +1366280398 +183880235 +787757107 +350549645 +2065341946 +1192096444 +565255210 +1078166752 +692895409 +850078948 +514172525 +897967990 +1348266884 +1323769628 +72892335 +2026120052 +463966682 +731871845 +1554313911 +1092792135 +1473425368 +1034132581 +1263578952 +11751741 +488336306 +198271753 +417892861 +1352406010 +1653519042 +415500422 +287583633 +872315792 +599380657 +1075340740 +1222865437 +517238955 +119953537 +1788120647 +1595405708 +812848946 +490715947 +2109578233 +1710816936 +1838982832 +1285864213 +1783709271 +1717619236 +1749830896 +368097469 +1124449499 +695139383 +1841522837 +11098433 +1958718335 +1853274579 +499434739 +9506441 +123683792 +1851840750 +1663025483 +539184214 +2139424383 +387857627 +1138564871 +1067281475 +1610723064 +1655803827 +1187235012 +1251360063 +1103725887 +2000083958 +1742076010 +1065820472 +1563417247 +1433575194 +204201038 +1199642870 +1003710783 +1954031934 +1567740339 +2128160282 +501687669 +1261779529 +2139258715 +312922357 +967570460 +491209807 +322428798 +1091254252 +195566909 +1985454281 +1630438467 +187507644 +225828260 +621519690 +1254789119 +1836551324 +129839869 +294540484 +940427739 +1233565756 +147140794 +535020101 +151902581 +1710558041 +1968595296 +356103619 +762717264 +824822431 +162651905 +182973955 +805499065 +664339574 +1444753484 +797274133 +977261931 +264840296 +1288483940 +1299690729 +1356094549 +1484050849 +1137661362 +839049368 +1671558493 +1363489622 +1460569058 +778863964 +1052557298 +1590408928 +1073404448 +1992985037 +676491036 +1220545243 +380521491 +828393617 +783619636 +201633139 +1184497236 +1546336900 +1026455570 +1347149141 +1729310856 +1831954635 +2011488716 +1026580692 +481745120 +841266999 +1291420989 +1770229060 +2140957729 +500031890 +1106796261 +1131135443 +1339081258 +630871106 +347141418 +652166668 +1409735071 +1399698716 +95091948 +335655871 +1245200106 +771582985 +1556201114 +1625721597 +1599976602 +192337103 +1827354736 +636990191 +1738674003 +706326658 +1984139332 +1320501211 +390797645 +1848144400 +199598256 +872542766 +541927752 +1491019245 +495288178 +535401833 +1991051135 +1602084440 +1666537276 +1182648745 +85471898 +2013678694 +1834815413 +1495206969 +1265893763 +1929907362 +1830862841 +363610221 +554006699 +1239580307 +1989331818 +6499653 +1431917410 +1669202906 +643489844 +1023107766 +228045916 +480145529 +196125329 +618843561 +180806281 +395723585 +1491386327 +722734033 +1886742830 +1986674506 +1258135866 +1730310317 +1441275298 +777189495 +765475414 +1526747196 +643384541 +452807180 +874470518 +1909278304 +235230894 +557849711 +125404877 +789237593 +1797430018 +2114736695 +795737246 +1081863781 +1636455953 +1439227091 +2104971547 +1864501869 +1919372620 +153613228 +335861783 +2100178901 +549336814 +1827248110 +675429287 +288595996 +1666438968 +1933565153 +2018906314 +960230618 +563271000 +636898080 +339494167 +1206655542 +1089705260 +1213964685 +968450198 +1324936154 +1771814396 +1093855076 +2114173747 +1421760766 +1061108123 +762427346 +356140899 +550080429 +54170789 +313628798 +267098650 +1973543409 +467242027 +602960433 +1926238662 +1016578841 +282724896 +454184301 +1305174837 +1949163864 +240265807 +1176597503 +761910835 +803536807 +1813495584 +1101405002 +2010192349 +755717196 +167886039 +831158900 +2080653351 +1939700435 +1925013976 +2047343450 +1213977553 +838638451 +662287148 +1570118453 +1388718880 +716457937 +1883747251 +1655817531 +542517698 +203505630 +111294316 +321272713 +1220084471 +394019212 +775457014 +377775661 +195699429 +1015722821 +1554373164 +957610264 +1819259629 +1220385100 +2059015266 +1681968330 +1976102297 +79417657 +365643582 +1909272000 +2019118092 +143173910 +1809131802 +1085611997 +981812362 +323935303 +508246802 +223047594 +1040393240 +244510406 +1878865125 +1582910939 +448016036 +1990159442 +1904183652 +1668100508 +236695006 +532157018 +2045876169 +432394435 +1547879840 +1452765685 +1390004699 +1219655821 +525667138 +1301536317 +754140503 +354285787 +1380953974 +1119784086 +116074139 +1252588418 +1262957996 +1925205941 +190716768 +97286710 +101657596 +698963570 +320334305 +1142050837 +943473976 +51715782 +577478128 +1391490013 +2041875224 +334178132 +912106873 +131086583 +866335150 +810499394 +563481018 +266731342 +115781431 +1953485718 +1486387163 +641448569 +1107538387 +93044019 +995734356 +341008714 +1212828105 +1111808495 +1593597132 +328302453 +889530789 +1784313900 +425589164 +991188385 +335793823 +745923469 +2133239222 +1279267799 +797639251 +563233702 +523274164 +692030828 +897411834 +1435381037 +823117411 +1763746985 +98396783 +1386598429 +2030478327 +214178215 +1192600499 +1369381843 +855626784 +152655239 +1462425862 +1851361141 +493663953 +527770319 +815685988 +2087261085 +856072772 +1705216777 +1724091338 +1281661936 +548921515 +2059885161 +2027585405 +534677089 +1191669312 +677741009 +1097910792 +1714943477 +1369771837 +1995322626 +1002840866 +45405600 +1611585963 +1101237650 +1432004029 +1494580643 +1315415865 +477120881 +716478838 +23559001 +629776120 +31421052 +1874920142 +1123440073 +559191371 +543122483 +1063217510 +1415264143 +100855612 +639825200 +549442432 +649777127 +552226713 +429544189 +1184454217 +1743896026 +1107285198 +134881361 +1311355855 +329573387 +2130203987 +166713073 +374978987 +1594306303 +1267950723 +1806983017 +941403298 +435882940 +136620250 +1657882136 +459441942 +766396370 +1689303188 +186878436 +1889836443 +101010911 +730000919 +805570305 +1516275054 +830856532 +1445395506 +2065717486 +1480633659 +1997622219 +347778028 +517604228 +1594034597 +1455063226 +652485589 +757906804 +1784636614 +635205929 +924619878 +12131953 +82028584 +45086953 +1819114970 +1023431882 +480969894 +1955735220 +533830370 +940411836 +574647942 +75649910 +1127290272 +317000737 +176660821 +1857291192 +1122571043 +1692935875 +540664076 +420482901 +1611169714 +2021297735 +270621472 +1958947742 +391418316 +1864656070 +1266527320 +1043903905 +475079226 +903680286 +1679109834 +1399699104 +915812240 +1761138418 +1444786058 +587443562 +637086652 +1925755952 +395695135 +1170917022 +718684140 +970343077 +1246566932 +1845974412 +1287343815 +1423227753 +1555781956 +262431210 +968679981 +2096446032 +682914111 +432366047 +1970260120 +953535583 +243830141 +214194788 +670708005 +1510357461 +1258098693 +1145787232 +266554100 +789724880 +398002688 +1182366340 +403379650 +1842788746 +1769809902 +1040466303 +1621061050 +18021389 +63899677 +192261542 +988364467 +1310466610 +2038235955 +128224634 +586210715 +1446534263 +390655844 +1554890696 +1395496648 +1073569955 +1987256743 +1218273120 +2027105538 +83603236 +1432467908 +550329896 +1593960698 +543082953 +1696117128 +1860514798 +1332807833 +2094119816 +895397490 +1736187484 +1789424915 +517723744 +629170139 +1263002317 +535745134 +693069816 +1455263860 +1524109601 +2003536426 +1346016167 +1652334235 +442263494 +645066782 +2042990079 +1997154190 +2040563430 +969076386 +1836927286 +1111352902 +848698276 +1920530522 +396337162 +1399028172 +1367007572 +939420116 +947661652 +1080038722 +124744301 +894297821 +1975436212 +1860931785 +536239088 +345676309 +342618276 +1799241405 +881421443 +1035688093 +1107021617 +258047396 +891740871 +305554136 +1910381631 +1334004365 +950620919 +1805888062 +1183674908 +843700701 +627480800 +873118546 +1955053604 +1476179076 +646165420 +203907118 +727723601 +2013172993 +1143327234 +1675385253 +945728067 +1268071536 +422199426 +773680632 +981519673 +958438514 +1119356941 +1324137950 +610196272 +2000778384 +212342395 +1717217889 +111342132 +1104083266 +2022772026 +2021723763 +290603984 +825909297 +1680128177 +1474278892 +1669609998 +160125329 +199913790 +1477179954 +1636304405 +846079210 +1681087073 +216544358 +711768555 +676930659 +1891929612 +1657496623 +1945002195 +166645390 +283693607 +779038221 +1125083905 +1403050548 +2103176171 +1735280177 +1256345284 +168034918 +1305014418 +1367687416 +1272118184 +1180302796 +1241927531 +1562722168 +2006212093 +774572060 +889517412 +1528338444 +934697389 +1089431202 +858034750 +423518146 +1935510413 +391638175 +640062505 +499795320 +1068568835 +384508469 +9808295 +866087382 +551153859 +293501902 +1645125603 +1676237764 +1696552450 +1600818126 +1264034293 +805414086 +1768853044 +421565064 +25617854 +893487581 +1601867860 +1267545385 +308726101 +1460596306 +2042117445 +1198243514 +841451102 +829331186 +140191068 +1699485852 +1252849333 +2075701481 +2091124028 +1892911838 +428013154 +1012209215 +129936659 +437821449 +1878296597 +681090518 +731323352 +1375938553 +209844635 +280392154 +829273031 +1473878928 +1085806241 +450642428 +1895443992 +1111424095 +1344130009 +1349828205 +231485833 +1652856110 +662940863 +126119630 +703615976 +1504391965 +955450817 +843807045 +1056394169 +60816502 +772024878 +1000034549 +1953728340 +1200038032 +2012243764 +2083664999 +1637859482 +1743056714 +617271869 +221699186 +971511619 +827116504 +502091340 +1800784650 +153511785 +1587897581 +103943430 +2048955777 +551838029 +1448073439 +1251300334 +783323862 +953445902 +1914241197 +909443492 +1657061878 +1271149514 +1864894309 +353385275 +180060036 +1925710811 +1125410154 +1180094585 +1731955503 +177964538 +1044854702 +1668136854 +1815824020 +640427768 +137925076 +2037523206 +1611939387 +965041580 +392130899 +1265240389 +1118553365 +1980028480 +1369183820 +1020025495 +384382861 +669773611 +123842181 +1167706723 +1623219513 +2038083379 +2077150216 +1132797744 +1161749245 +1794560877 +1486183019 +1341809281 +1572788041 +464109525 +374420219 +1157259896 +642074064 +1419274921 +677913103 +310414436 +2059702689 +815838179 +200453995 +1524158428 +1780879759 +592584894 +641915169 +751949477 +425129726 +2011098989 +1771974972 +809512588 +533388953 +1895817153 +1977219311 +9124818 +1786416884 +1906885879 +1141922562 +800682482 +1553963109 +480621934 +2142491763 +979267502 +944731459 +369428334 +2136527398 +1586805523 +1788703255 +666956853 +1897219960 +1700922296 +1482795032 +2097673955 +1077597076 +1116191144 +542775201 +1719512246 +1868140621 +967904927 +1583127587 +1492631945 +1777417515 +2116516540 +1240965450 +1607153179 +2125641359 +879898687 +1366555410 +1120080273 +1680581169 +773034871 +1600702207 +1675589284 +1752302373 +397950019 +2045017619 +1741346124 +1984755542 +1686237226 +260819329 +1734491854 +1239675875 +1743614362 +1684682161 +169789303 +712321858 +79973714 +1889301549 +432978831 +1047878642 +1324945489 +1925610776 +677812509 +1293978381 +1019092578 +137482040 +1272136092 +1898991265 +1504037451 +244732718 +1432088786 +129588674 +1845434925 +960194423 +1881891048 +95901296 +857728394 +1475753524 +2080656839 +396481972 +1736572853 +1667665045 +1636157847 +1332703567 +1204863559 +1805947151 +2045025425 +1284837273 +1547765052 +330520608 +185232267 +725226893 +108647736 +863044777 +2019205275 +1127740315 +1000526817 +1143857719 +879247932 +357080620 +1388590437 +163853071 +486669295 +1086541715 +1124047494 +221076695 +1182443011 +1981775888 +1696830219 +1115616202 +230774212 +1285919424 +635797600 +1866932060 +471139344 +1840661159 +1525395563 +368681121 +978014784 +925676967 +699201730 +1163247052 +1650903861 +807849466 +2026291829 +1522625488 +1935589781 +879334998 +518999559 +667354066 +1236415619 +1907589997 +831207137 +1723084914 +846648064 +1955254631 +1944161609 +2029091075 +1789546871 +1493508180 +997223630 +2020321083 +631943956 +1633021230 +1739769495 +1103083300 +1326198741 +1117681410 +1471764422 +156729877 +2043358378 +23482504 +1319976929 +1546778591 +831331970 +1198785110 +921920431 +619438104 +2078120109 +1440919990 +1286792170 +1167052080 +1201026339 +2117999307 +742653346 +2047674403 +1925770290 +539331307 +1929281831 +1567833513 +2032839487 +779021813 +1440670948 +517299795 +264559395 +1032956796 +1620383096 +1590758136 +3154558 +944663870 +1747488013 +2046512936 +968146374 +919981295 +1445807879 +1799478344 +2118766405 +220244662 +271432800 +2049402866 +1661164653 +1558224970 +1068971298 +714707344 +1528740629 +1811624644 +614898100 +1307027271 +203472303 +396696283 +727377136 +88828142 +1175718096 +20564437 +606127938 +1440277491 +1053521233 +79027386 +883551979 +1056675791 +1023691256 +483556344 +955705080 +1991837630 +1403537639 +254029311 +1643832326 +1374820397 +474273974 +1915265127 +1276739615 +2135438627 +1326006449 +198227266 +702662323 +707263431 +2009851910 +1317560423 +2014290702 +65840566 +1714256706 +594184191 +154668708 +742491154 +614748628 +760796646 +35284997 +1668269861 +839824032 +918836976 +577462004 +1863515288 +1402393321 +1533167084 +1707869270 +658447312 +1787196396 +1204217949 +2033267709 +113986722 +971999428 +1162523677 +101941701 +150522229 +1360750943 +804604024 +857785660 +1223119205 +2122164448 +724592715 +1288959771 +1688937506 +1318776906 +1443628480 +283945013 +1933525534 +56941478 +319230010 +1454311747 +896765511 +1238066987 +2031773751 +612797151 +492976660 +1417457188 +173182774 +1151423972 +1057169936 +1377400723 +1037208034 +1171156658 +201916503 +52248063 +1273098359 +352438732 +1412999006 +2077702383 +1210224393 +488634563 +2052383183 +1934817108 +1777594335 +1593837042 +1106110366 +1073739167 +1877782055 +892152252 +1130680645 +49528417 +198980351 +2027446156 +1287595404 +83270454 +492759660 +1780572064 +1500727642 +665942434 +784512389 +410413930 +2043343157 +1821720423 +1581570588 +97776012 +1873968486 +707185299 +450214744 +1139483844 +637404035 +1660439137 +1628118407 +542303570 +1447772597 +1258229094 +2136140612 +406399315 +184484613 +1866439019 +1298551567 +1315165259 +1915967437 +1497531918 +1195127767 +1056079193 +1580802373 +1687887427 +689167610 +934046367 +206346213 +1473679999 +1344460298 +102205722 +1147916774 +778547238 +199981734 +874401612 +1485732538 +650196479 +2013885456 +2123136573 +163151968 +1494520215 +517956495 +1610924566 +605265662 +506613460 +2017323881 +789750275 +225568831 +1168391801 +2104915534 +2141536268 +518440071 +1152559654 +1050131814 +2099242444 +692963433 +1739299424 +885805164 +899309647 +1065495775 +82781814 +1001515369 +65928901 +861329052 +1201497104 +940330513 +199577942 +1851693583 +806732321 +175230867 +2014845551 +153768888 +693187363 +1478286469 +759034550 +1199800823 +1348126703 +1548784826 +1425369654 +369034856 +1506216712 +1419422275 +887474927 +511292718 +322070441 +839233724 +1204256152 +2061369865 +1725038888 +2103565799 +979381992 +1807820702 +957597520 +1045310893 +521666106 +11610976 +1985641406 +721244049 +1863304559 +644890079 +896474916 +1730666463 +798658967 +1589662279 +1061469284 +1557693518 +641979454 +262112339 +958994696 +2067349109 +631147195 +317727760 +1339287736 +1518622123 +829020479 +1661358177 +210372199 +2033276631 +1575244394 +1935411087 +1989358782 +407142738 +1595748141 +799472654 +1452453631 +2117414247 +811083631 +1290611389 +691174648 +526904542 +1935501468 +1587649565 +110087357 +586676787 +1029828196 +1171556642 +2144370305 +1671807651 +1433668981 +955881353 +1591673112 +2064816177 +1273609114 +783477200 +1435954652 +2102629593 +297351729 +1646326851 +1988422576 +1872596123 +1434254290 +1830297710 +132255213 +882518783 +482286716 +1584708844 +852449382 +1293370347 +727836585 +1543624031 +1820274890 +515854405 +983789948 +1930362247 +1102531192 +2013618144 +954435241 +1099417850 +1537942147 +240620575 +2055299203 +982131611 +157953104 +1181424669 +1765608811 +1593907756 +1136570614 +2062960540 +1092750959 +977509542 +1788073015 +379521601 +660323604 +1920328228 +1262040384 +1142610321 +1357553424 +2114489766 +288497020 +2085390009 +1510630149 +2108771910 +453760766 +346936449 +1891650510 +1556291959 +213070946 +698602103 +508226161 +1751013093 +939222678 +416041716 +585661057 +1097175782 +1597466386 +203786220 +543599890 +586553352 +119263113 +1636350849 +1564062895 +1907336128 +2015872450 +76902851 +1680180709 +1130429186 +1219513172 +890250485 +1097435305 +1508010193 +828156847 +460581806 +1469298455 +1281917613 +807518256 +1213465317 +690725924 +1020589202 +1912067421 +1198952085 +624118647 +703806451 +1614993802 +1209779704 +1800982234 +1064976540 +1413565925 +197098476 +1651529892 +1532829038 +1833449326 +1068109139 +1292681518 +1701838128 +1145011991 +825378579 +684783667 +217041515 +1715629065 +1782218972 +1725051708 +396302264 +95317130 +1046866516 +1678219877 +902835386 +112848185 +221462154 +1923424588 +2024915606 +1420414239 +400059588 +581238410 +887924393 +1609839292 +234736996 +1952900933 +875921569 +431835472 +1456947178 +261266959 +117801150 +377572669 +1553948478 +1819639279 +1522584660 +231843409 +356939298 +1739626176 +1947472474 +2139158270 +1317194236 +196291090 +86991752 +216577104 +1874510968 +989827139 +329425290 +2095973122 +765768079 +206857248 +1368903713 +1165827667 +788095658 +109344459 +628183312 +1022832654 +2062245392 +1504104881 +1454668127 +1371708922 +1765371841 +1572469277 +1749281592 +1171836671 +1244624908 +1124382604 +1403680080 +1601564206 +716525132 +1203668907 +1593238828 +2033719369 +1399959997 +1680230581 +102812825 +1126987317 +522574072 +432238115 +1075476791 +1288342151 +639095364 +296896857 +306686171 +1427191022 +406241316 +934869483 +302540029 +321003060 +291490716 +1757208156 +1692711983 +2056862557 +1182193785 +1294509927 +1081215580 +279335046 +271408883 +337412013 +1880899252 +987934016 +1541080920 +1326654433 +874169737 +793557269 +859401366 +976982562 +1920544587 +1381975438 +1409220678 +848537730 +522833941 +2048316042 +1145434587 +829520112 +1328023416 +1551675903 +1764389595 +1630563445 +1872678964 +2055880312 +1240287953 +1417907299 +1965259221 +274998091 +564933578 +898991154 +554333137 +836342461 +1236403167 +287748741 +1824276477 +630000439 +1614403174 +550962566 +1423557708 +326320892 +1527945129 +1196618647 +1708296330 +789682159 +2045156378 +83646624 +690514553 +1043107317 +913166736 +2018537969 +447299573 +530072684 +1501617767 +172494889 +438469348 +594422072 +1590402188 +256244921 +869420163 +7852118 +1155236075 +1423753300 +844194579 +244155594 +1711502042 +520987409 +874156033 +1178421568 +1071949975 +150230094 +1504742461 +452411456 +1346848741 +1065555143 +1242093615 +1244521471 +1149201767 +1932608168 +140145141 +2062368504 +1803662490 +587444714 +444957540 +1157796609 +759939603 +883426888 +1752218681 +202858143 +1139671809 +474155197 +210710261 +147424237 +1897908497 +1054904840 +391579831 +1461926891 +1575892249 +1265735865 +492864812 +500358577 +1415965959 +1997607273 +952770033 +615331052 +915678768 +47380001 +1859852524 +2064880536 +1979988169 +1999997665 +1979765392 +1636167011 +439958731 +277239284 +646479972 +1199898334 +1160666172 +251215006 +1402756477 +152854333 +725370203 +1613466738 +300278570 +475795052 +520887930 +691858402 +1937721944 +2096780180 +1957594267 +283103108 +449655109 +1226076578 +133226733 +1402425142 +1841407630 +1048905501 +1449805143 +1553776506 +966302389 +1282309665 +1406290523 +798584133 +770993028 +1846249254 +1075823417 +1417473001 +898663940 +89005941 +1668688007 +153936769 +241860275 +246574562 +1767403507 +542138845 +722369614 +140807790 +1233997247 +512607910 +90104322 +1044107866 +795711018 +539759431 +122700796 +928937751 +1942184573 +1964108427 +1977843253 +1244506069 +1370401285 +796661994 +379332086 +629208161 +1595246128 +1150325114 +327973767 +523585897 +420314467 +1226637708 +612591839 +2089002474 +1380574477 +854452114 +188093388 +1000494337 +1396590959 +910463003 +1141302127 +483104559 +1423070913 +1231406449 +1527212425 +71298284 +1771165880 +1649913222 +1000236035 +1565866805 +1466538001 +830595640 +662889226 +689455638 +1627257635 +1042221312 +1318663799 +1075020115 +45062779 +1646637567 +1598606012 +465377246 +725791627 +63714203 +406896073 +2106366104 +918166317 +594989461 +959376793 +167273629 +1505452464 +2100678920 +650378188 +781039730 +1184601721 +30106965 +852338014 +808283953 +1680020187 +1852574049 +226667111 +999074540 +535686042 +889556337 +1688530179 +15460029 +1931777650 +859710330 +1090480144 +1976840429 +358864249 +541602508 +294734027 +1084655876 +605316712 +701630100 +1043538333 +1523483029 +1296619562 +2002915126 +1690756658 +654588378 +1956110399 +193651198 +1435628108 +993228472 +223758164 +140482474 +1801512426 +1903778351 +1993056524 +2028179537 +755369244 +381258918 +770252226 +296415775 +396718947 +554546228 +1156126105 +1487199091 +383903009 +1514990355 +2028801599 +678637037 +452162583 +486634663 +1380267137 +1495700916 +2010117693 +529403051 +1351132395 +1553390703 +1183991430 +1159759146 +1747041902 +472135890 +5503970 +1970800066 +612618365 +1807016396 +1727094769 +458191241 +1687712285 +334980365 +839450159 +310480864 +631396140 +1236169106 +865027092 +1787522246 +575884549 +1248930102 +1155028953 +457202500 +1927567139 +1607191536 +943837164 +1160350628 +955408805 +806471209 +1689753680 +159057552 +212378264 +726261462 +1318816698 +1959420166 +1198397352 +1324320668 +1782736584 +1811015717 +983853417 +1362347706 +121723310 +524082054 +1697328071 +961173469 +834562918 +181240564 +49858927 +1699590011 +1968762810 +625743476 +801036465 +976308115 +1082945977 +581119956 +436016003 +2026783141 +1741470584 +1391424808 +685770702 +1283740616 +1550482360 +898148966 +2010002078 +721815410 +710085485 +1060915783 +2046136079 +345338421 +724447852 +882505848 +1707686127 +846171163 +1406587902 +1257530551 +1807344632 +93667173 +1438771115 +1857203560 +1793257184 +1260050277 +335463388 +446810001 +88874744 +1418409365 +1027929957 +524890747 +1297708858 +621916893 +1916315556 +1983479560 +1905657510 +1319314268 +734144879 +1768175940 +2041129679 +1444230364 +681608075 +1939782110 +1789568785 +1406055928 +674804310 +1349771265 +104743443 +2081392212 +459818168 +1912088075 +27575737 +1898589283 +1621807987 +1820832921 +1011155912 +1957271376 +120159274 +1100030656 +1228197093 +1148089231 +1624921403 +378422304 +1770006125 +1393753311 +214418216 +1528179987 +565583932 +948563095 +1148872279 +459229963 +245309811 +1830480355 +251528425 +2034878597 +1089052635 +926332735 +1237166214 +1193796078 +860241299 +1696984382 +958400505 +887817037 +1448090017 +432724845 +561166310 +311762281 +242512573 +681325585 +1411792937 +1470709666 +1829414816 +889230692 +1849131970 +1451937293 +135500356 +2063550187 +832633632 +701084288 +864629634 +1981505912 +1160314251 +1109939446 +1664502619 +1411842676 +997334395 +606071606 +190691763 +87016961 +1799867684 +1050933062 +1784001343 +610784541 +1938750099 +1084607712 +1043509386 +352432762 +1396369993 +1286021959 +1033758347 +660679282 +609247978 +715689515 +1549909974 +310896300 +20143161 +1685410330 +226962839 +852776793 +239010970 +1091592474 +686799057 +1399325221 +54048272 +203818028 +663684249 +1051382667 +809889634 +854376012 +1138399628 +462273670 +1905309075 +774917323 +1073058212 +1696575526 +1859525035 +2116567598 +2049008288 +1108411380 +1255105910 +935282987 +1769090662 +1864353888 +1650972503 +1171516988 +27766540 +1671115664 +709443671 +254729380 +376408809 +948454641 +1346321854 +1063207867 +200296215 +1400370126 +1267025895 +863980464 +304269145 +2076915530 +1718356477 +1442668773 +391705552 +1476181904 +70102448 +1464763764 +1025273782 +1929627483 +1433847715 +926798423 +890555215 +541469977 +1862081410 +512162229 +258340217 +1365570265 +1683679217 +286106757 +889202281 +245639240 +540836137 +1265611091 +1194093882 +1887157991 +181335310 +1394390097 +1140044469 +1448361205 +110886913 +1444313614 +1377793087 +1829243390 +739498739 +1769498640 +1157941646 +809601187 +1086778756 +35731781 +591745022 +373142823 +962530204 +1482300237 +914612800 +677127966 +1994462466 +1172953017 +2042698232 +1530658036 +1459059775 +784416865 +1776297276 +1999895912 +2050027956 +822907510 +1739570256 +83879618 +69813959 +732131077 +1532240824 +180700873 +28961044 +762550263 +2009944263 +768459783 +384565255 +1020402262 +1578060971 +1471344012 +1056134043 +22322345 +1844486835 +2018664247 +1504622583 +611615988 +548308565 +1351601401 +1784569005 +443523149 +734775789 +1096145132 +1227940015 +363589418 +948557397 +1130484323 +1186496928 +540644005 +1214363942 +1256310888 +1272775082 +599121118 +1437011761 +1301736126 +1361671381 +1299472376 +2070195910 +1746236637 +172390990 +1500773233 +1070097001 +1228525033 +1523095578 +767100188 +1099705632 +880234513 +1378716176 +1648014198 +84352267 +1015801534 +2091537347 +819128056 +2111946666 +1171993714 +1182717474 +913020415 +154994390 +221730755 +1453664420 +1369358332 +1478041643 +578955855 +1968479450 +767569756 +1880691981 +1182667183 +2067042132 +1803404243 +781420172 +91949475 +1156693828 +1851517173 +1320474508 +532305759 +471133714 +272696493 +1412540272 +1849849890 +1920710691 +1496892539 +718167776 +1864764390 +168536948 +682630795 +889274457 +1351254422 +1595651210 +1044268847 +1572985177 +901831983 +266143531 +903543172 +1480787838 +87139333 +1671112928 +1213996171 +1269806516 +1590671413 +869916767 +2051226689 +1682620888 +2026610595 +1755260214 +855611748 +411432706 +78910280 +1128308241 +1823972979 +1928760171 +901535284 +1173381870 +499444299 +618816027 +1341918818 +1182075094 +1508090484 +545689593 +630242657 +404875683 +2118674770 +1532074640 +671019214 +874734295 +865378830 +758158547 +398363575 +2079375001 +2027965063 +1989034988 +801808120 +1931708104 +1524172228 +680935068 +1539484671 +232300329 +1092367774 +1618394951 +1360608570 +768857105 +1399671474 +114660207 +1942238976 +1899115774 +733476234 +1136674146 +933707220 +94083070 +1682363739 +1563949877 +498958753 +1653554862 +948540869 +1169977967 +380805509 +1813919699 +1928136514 +779169084 +1745811053 +1808617929 +620720425 +400135525 +1592842386 +2144892653 +1081070593 +984843409 +229709334 +25954720 +455754712 +1590317905 +794811825 +1855426187 +1704978112 +589567153 +1607058313 +290970698 +1726241300 +393281885 +385053768 +1261121391 +1957231763 +884012521 +767192605 +758288984 +2053990488 +1147998114 +424725036 +1834643354 +1927167199 +23052441 +1495777635 +400403976 +423187966 +941136373 +397812981 +1504258560 +1925979782 +627522316 +1530213280 +234250847 +70356573 +177541457 +2089677034 +1775334685 +767108611 +1549251699 +2066305383 +345866263 +1942533584 +303875503 +1606987654 +1752281699 +1187888024 +226696612 +363087036 +1094394864 +1374694726 +787812072 +781554570 +1154378277 +810864513 +129848557 +1554782253 +1234052479 +1070984931 +1952595235 +590827391 +849481065 +432633903 +2121040671 +1083731912 +502990476 +151098481 +1025925298 +130841513 +918207092 +427693349 +49663248 +1264073355 +222743286 +353538751 +723577361 +1975024985 +1541426775 +950273973 +190628373 +488337991 +177485052 +978440445 +1269892561 +1331863329 +1789304958 +1399741118 +739161935 +875873790 +323242401 +544273522 +1466701181 +1172723467 +976907425 +1440258205 +108971731 +1479897901 +1591356686 +1134897030 +1610739414 +362080130 +1562590379 +1660402662 +1626153485 +1785333665 +2013941413 +202247198 +1612875003 +1407884540 +1152521172 +1803503376 +1896222531 +1330006224 +634460174 +1018631444 +514385905 +276281484 +270888914 +1253547840 +1152155274 +594131316 +1797821362 +471372808 +1766854783 +627245139 +1911631013 +1875826514 +2107143040 +1355504051 +863239896 +1570398806 +1717584181 +278346628 +1083317820 +1196254018 +2063680293 +949775585 +1398501216 +1529071648 +210176477 +403538740 +1185091377 +2106399008 +1733544964 +1819551551 +977546804 +100447222 +2095833035 +1248435719 +1353995062 +1100504662 +1842567035 +1004332777 +1571877470 +1461938170 +1631577916 +1336024835 +1190281036 +1591237309 +544045238 +2053520933 +1014152467 +114145771 +184383913 +2097470288 +1310399789 +100580558 +899762225 +561417357 +1629652207 +1109938703 +964956098 +667259936 +1068854063 +551017414 +339327839 +2046400868 +651464636 +287677226 +1147352939 +2005459699 +1388181888 +842436326 +862308828 +812575710 +156890848 +346403096 +1116897 +1347171884 +1937640405 +545162135 +1253209169 +804309225 +659307906 +1437593082 +754295865 +1969707695 +1538173641 +1654058090 +383641405 +1020342200 +616513145 +1348597503 +1687602136 +1685367209 +1899614917 +2026929975 +1584284429 +403595906 +167123553 +584153720 +261571957 +1555305442 +1426590046 +1123880785 +220397504 +1583480894 +1470283881 +221514402 +783169130 +1260440639 +766676537 +2036378300 +2064749864 +1425984444 +1326487734 +671562081 +1248208491 +717177727 +178136523 +1631849896 +1737519927 +794649669 +832963751 +1277638415 +332533230 +585095021 +1157084742 +1916817659 +988690927 +1324208296 +353487731 +1250262884 +732030090 +1780077777 +226660021 +952427594 +1216075023 +1696943902 +1173941996 +1999244153 +809900893 +1940618534 +1888138805 +727167109 +1219119330 +1067142892 +1398729190 +319844173 +1784320619 +1576865714 +1951694070 +1374356899 +224031735 +637174173 +504511666 +556564965 +1222269194 +1661596409 +325898976 +63476473 +838321057 +679386707 +1313739357 +1570351147 +311980836 +1540399378 +375295093 +1528055859 +1089859633 +1549237090 +1379816364 +1899760526 +1342371976 +1120471522 +479443988 +414007658 +40130766 +1878173178 +733851831 +1824451385 +1307555244 +538062253 +1051324636 +1531586979 +1175236427 +1555836303 +2088151944 +250021973 +1069949064 +266567272 +313498447 +1908270121 +945953979 +1627237804 +1331137620 +1257934815 +1020153535 +1706432713 +638507026 +2110013168 +1108186155 +2018323391 +1862290046 +303074483 +991311265 +194250386 +717082141 +1031442031 +2072423565 +1450933973 +708409768 +1232495161 +1988996226 +1759734405 +616598493 +1016749005 +1168087060 +557266789 +1266770979 +90552476 +823834062 +1580269426 +1998822597 +1769788041 +1060023582 +1182476569 +880239209 +2080177117 +741425634 +1518746235 +2042706637 +1849611790 +1389585978 +1757513036 +5202625 +233413595 +1951763422 +722284767 +1264855626 +1876703339 +25735092 +1973265395 +961714853 +2014731318 +1585516152 +1578313346 +883996676 +606119564 +2135580135 +3284007 +696672040 +811930549 +1583553433 +548010989 +434234943 +496093367 +1730487558 +1314474152 +428786837 +324429544 +685736739 +324009826 +26557686 +2075322718 +2081522862 +31760312 +161252665 +1885802637 +754045079 +1426108292 +1615022328 +779780171 +1251890039 +429253533 +647027841 +689922543 +2007566879 +1531024517 +1296042107 +1995663367 +1534308524 +1992714147 +660110268 +970378309 +393241488 +1094345211 +1466471677 +2123729046 +261335715 +1895258514 +300674942 +947072455 +71784692 +327232629 +874911525 +5823907 +358992941 +1036164190 +1891626544 +1113038020 +314788834 +1359165224 +1892818191 +1566678873 +1788418758 +392362384 +109117768 +1648501989 +1923386902 +1405159875 +1496681708 +1310211778 +1250390374 +9308329 +133106440 +1643631862 +1103653540 +1599578117 +1619877260 +1364989256 +1347352983 +1920552203 +164578063 +1419137675 +100301184 +1039489588 +1424961582 +459294125 +2075653778 +1169104478 +1572332145 +242958965 +380786055 +1317666688 +1809637838 +21721165 +1710029072 +1918755607 +1670223154 +1485932326 +1176431834 +1019421215 +648660457 +279338561 +1028729544 +781766897 +1922970423 +2132383084 +233861366 +1395364036 +1349888692 +1581214349 +1168432591 +1514466755 +852868376 +1268733775 +406472695 +130346311 +1728027900 +334642826 +1299450789 +1152876397 +577601791 +1680236844 +323059437 +239755981 +1701958009 +2033088509 +11027940 +1224697516 +1371537188 +1187459775 +96635083 +2020197645 +1466798336 +1125364627 +654480894 +1242285111 +1110264063 +888342260 +490165499 +312669108 +322072961 +1658598090 +1827135863 +1174941337 +779848217 +86124911 +1305287648 +360392469 +420767737 +457254790 +1513268866 +998369528 +2137491634 +1836328303 +1238125509 +1691965996 +1721933165 +1249153450 +769179864 +945986705 +289129577 +865814947 +818700702 +1755927913 +1991179574 +1473181596 +850729376 +953959989 +214040208 +1340894876 +1266629097 +536113169 +852009318 +946281313 +1711054506 +1631857536 +1032406224 +868858507 +1992250005 +1453173961 +1326113297 +1358035224 +304059841 +1316121283 +1046879879 +1542185350 +860603631 +621329396 +643855152 +1629783495 +1567316101 +932984729 +348114794 +238533155 +541428994 +191810720 +1711714751 +1392158371 +1145770710 +1925754959 +585569599 +264916159 +314384480 +1437578917 +1211197472 +2025438987 +921952805 +96120048 +746813846 +766719163 +1549294009 +2072927143 +2124754387 +1853353850 +1241564778 +1024150618 +1248055553 +2102168410 +1645480015 +1891910705 +1584468257 +1065312468 +677411787 +1932583052 +1303845624 +1218840781 +2124393772 +868076727 +463515504 +1122680834 +646348039 +1049085103 +1387596994 +960732519 +339180373 +451310818 +838687858 +1261133178 +547430867 +1585501704 +2027852341 +2096724876 +1510945199 +2005123080 +1802595079 +605026330 +881790051 +903166984 +559711092 +379786418 +647594041 +2144179349 +1445098886 +1325005828 +1929278753 +601460862 +396362962 +1906188878 +1469537590 +859878466 +881386064 +2115885629 +1908963570 +121499410 +929134500 +100660295 +572810229 +1767822359 +1361793473 +1120241096 +1205840415 +1242162167 +1069482324 +569301967 +1099801599 +724593755 +1174328297 +1981591650 +1627760739 +1734039389 +213894420 +127871133 +1730735090 +1658993307 +1452876961 +1512530196 +112970521 +1849239923 +1271235426 +1582508111 +561634742 +5137842 +1550910092 +323114664 +126637253 +332560945 +423774959 +699447482 +2100383304 +1785568432 +1819688578 +1158740071 +880246951 +741687254 +1728042038 +1980048551 +1466281010 +754886687 +1814156553 +946558101 +341442428 +2028050974 +1074429234 +2072177519 +1539560633 +379822548 +1437224067 +1652531154 +81578823 +560975845 +1087555618 +643213565 +566113687 +490982062 +966328229 +692750940 +823543007 +1390103188 +1392198422 +776442663 +1028187973 +1064403352 +1935182735 +1908434924 +1806090607 +1515741125 +1740999827 +1124887969 +123144165 +1407672733 +2071446070 +464586593 +1288240059 +998391657 +389280464 +680317044 +1378214205 +1826504531 +185364550 +1459793028 +239996728 +1272920168 +2103006594 +806110416 +1763902231 +921851175 +1498861356 +439961590 +164470716 +743576131 +1216404254 +1192658689 +1807979483 +1004103341 +953609965 +1466586442 +372360818 +547126145 +443990763 +495504983 +1954798878 +367953186 +960091577 +1095555289 +1366344843 +1349372041 +1775872333 +597075400 +1028392925 +1961236883 +2056868428 +1268389653 +1086673404 +2012391374 +2074500069 +703091987 +786758902 +1425877778 +1143053577 +951229618 +21970261 +211974183 +2143888307 +1829949744 +1216077524 +950014624 +1149052539 +1588438343 +1497140769 +1593043302 +2083943326 +1304455999 +1960996488 +896551255 +252527640 +1179857683 +98439649 +2028399973 +1776933083 +1126832574 +1842153209 +1686317864 +247738579 +781342965 +1551225590 +174755001 +1484434952 +190500844 +1600632779 +480004881 +1141730462 +1622603040 +691979065 +1138135121 +1305069136 +1908056589 +2088149746 +306638027 +1349011284 +1437806867 +1899681330 +1285470963 +594779219 +1713194170 +34538570 +847306859 +745568206 +132978219 +728223185 +375017641 +1259810793 +422892746 +2061335505 +1507549373 +1204235711 +1465077448 +1682304374 +541187015 +1655578292 +1135453505 +1021191896 +649825107 +610572897 +1713170961 +1787960228 +1915642033 +1473743903 +1728626326 +74796413 +675271539 +1018949546 +1974477743 +1960742502 +1613728765 +1540188265 +1995281073 +313551976 +138272823 +2128259292 +1041775161 +513290465 +1240586438 +1464667907 +427142322 +600652163 +521419970 +1892219770 +135472889 +1062606985 +1400314415 +1270926394 +2083798882 +2050139522 +1881499291 +1649486195 +1690616102 +1649657676 +975746450 +1271758781 +1724454089 +1651017990 +143224679 +1551448184 +1464276844 +1756953444 +944152802 +1312074269 +2070505420 +1082425625 +1292849914 +964796934 +1595716090 +385952704 +281981193 +2022858413 +986604867 +803401164 +1767594535 +1122077756 +1866008149 +1020425302 +245520502 +1802323383 +923081176 +2127019793 +1304325931 +466213631 +1629193821 +132588733 +1737972412 +1206164263 +1783606723 +1881197091 +610128799 +1100399920 +1490666887 +1554281601 +264990541 +1413688659 +489223579 +1557840455 +231001945 +2084939669 +1943793159 +512983139 +1960314434 +782914378 +1316384303 +1580425322 +1904992134 +1034908804 +453366976 +3028988 +689748540 +1376448153 +2130048781 +1994074471 +1842661784 +1611758955 +2126663204 +1433150548 +670439570 +1762786280 +1166863991 +1280568369 +715702552 +510047230 +687366323 +980693093 +1923735889 +1176589902 +391049901 +7254187 +1114045923 +187359412 +520237326 +926876710 +970273791 +1836621629 +359818384 +727782277 +724046785 +813185360 +730811266 +1413795325 +42149865 +713376399 +1260386148 +1884811649 +177651706 +1239565705 +1170478549 +848091276 +854868337 +189858892 +2128659646 +1570570889 +699906122 +668542321 +403780334 +476158364 +1845132223 +794830235 +483412551 +811694498 +982189648 +1003649877 +1738571208 +1952463439 +692787858 +2098389592 +532762068 +1416834643 +764091305 +1263573334 +683146321 +806241170 +1976949734 +1943532469 +543569172 +7117792 +1035614526 +1714047721 +855209069 +1890482863 +1903906614 +836385067 +1313570104 +456329088 +1504927388 +1717350439 +932487452 +1202575963 +364697026 +1415900003 +2014270461 +1346886674 +272066232 +1605358022 +1151866465 +964854090 +1556263966 +1684628534 +234205086 +172871623 +800718220 +917351407 +979112794 +630184306 +713400228 +1522681966 +637302099 +1749014755 +1089246039 +1492511168 +1492013970 +845669005 +181412587 +658100427 +1301998094 +1686339975 +227967218 +87001898 +741432290 +592664244 +1502901902 +608219103 +1939550919 +1774968134 +66093477 +943933736 +592338577 +1622357444 +481078622 +826543663 +1795229067 +1281796843 +1743895070 +626858213 +1911981149 +309811650 +2056531 +401799600 +2058826405 +1091302571 +1894310768 +1403356728 +1936971576 +2075723355 +2061457155 +1091486022 +1614579682 +141940725 +1178487921 +208528324 +734604969 +533906175 +816747428 +526672240 +161390661 +882840905 +1470605977 +753729238 +357714701 +1951684599 +1580272901 +5460121 +1085997794 +1176684323 +632318334 +850495296 +1486495974 +634374866 +1252294896 +1397838731 +1725677437 +999122017 +653711811 +1515165365 +927361724 +567685318 +459167740 +394457759 +709626043 +1637655661 +602986083 +1444231013 +24078188 +1419733511 +1970903253 +185468849 +155090769 +1294025582 +939198088 +512805470 +1098226534 +371987341 +518265591 +36740680 +1548671665 +1150583926 +887235976 +887683991 +1784958792 +2139530873 +138039074 +1363152581 +991169242 +791750886 +730834298 +1918530966 +1359436204 +1190002038 +165505077 +2069062248 +680174051 +768491161 +1365809613 +704252239 +40741024 +1189229218 +889721089 +195831793 +335771153 +1828919177 +708637264 +1433997687 +53422870 +1226902855 +1470738367 +1602094535 +230003133 +210490696 +342294878 +2014961925 +202537921 +480333953 +1230630858 +1193707163 +1272084839 +1961465157 +964754481 +484037395 +1003983547 +1130259559 +405615995 +1684157599 +1898750720 +1771425608 +240926190 +1939491744 +813171179 +1130647279 +2135323538 +1148942332 +812082808 +696477154 +435456371 +865505679 +1923380009 +1906194738 +320116566 +5899495 +2116685434 +662411445 +2020861420 +171739707 +1142745398 +1104008631 +1365446870 +267346589 +917990140 +182717704 +751383984 +1921973687 +1312977263 +1156999980 +1458647638 +1064244335 +780941940 +1699573829 +856252431 +1594113119 +682737460 +844092321 +595571803 +1494820269 +1540569475 +1031028174 +212842300 +1316465837 +789739265 +532958866 +1322365332 +758941051 +1195370311 +1195743104 +930680759 +190632061 +152268087 +148643981 +457978650 +1070258227 +331361685 +1209362635 +844748267 +1644338948 +218878967 +155912257 +561099635 +999820907 +1855486086 +1417352067 +446450379 +390739899 +113960740 +1042022182 +1885560168 +1654530216 +2073050357 +2098402468 +823512405 +715305974 +483877686 +2145877737 +1474247025 +1679247998 +1194137193 +257444136 +1869880059 +1346405281 +406088118 +180375062 +269179860 +737449803 +1389737697 +1113928127 +234305104 +1608616664 +1269840385 +795404739 +460953923 +977842823 +65273158 +907404302 +1368582722 +179233899 +1949426485 +1106659242 +1833764115 +1874993194 +1057578062 +509792872 +442815520 +1541455749 +508186961 +1917062545 +1073220099 +1702324154 +27023034 +795616510 +901245787 +433111152 +975991572 +1170425648 +1170560955 +218245621 +136870127 +1404866059 +1826862285 +1406710512 +52787151 +140332561 +237069688 +118060309 +1047736863 +1605652410 +297294208 +849679700 +564828005 +2131058323 +577189246 +1622406067 +493367547 +1020004766 +1016378168 +1001554508 +789583664 +2089598267 +556395015 +816606698 +737731130 +1457640802 +1249717850 +1713722702 +480582802 +272795157 +1931968324 +617452930 +1677661217 +1611346961 +2024163442 +1730448368 +1751679522 +113749482 +1848508677 +651932738 +1719401893 +2145802886 +1501612438 +136746250 +2129377561 +2078801685 +1759152317 +475261461 +951322803 +628046838 +1476815969 +1740906467 +570161457 +2033210984 +410029517 +1307892587 +1343368139 +1659747367 +874131642 +1823950941 +1932542525 +658616318 +293920223 +1462720094 +122479631 +170600018 +1045684814 +1874159154 +284349500 +746709843 +378608244 +2003751393 +745029081 +1880220682 +2140497643 +726922995 +1811538719 +1752166313 +1202184456 +615377875 +232729503 +531516777 +208800694 +802890960 +417244114 +618830212 +2110783548 +1760612253 +131093931 +837431542 +1437079546 +2063636456 +1496047860 +1730999770 +1378872902 +1618527491 +1901599788 +277074068 +1345202997 +38465640 +1023783912 +1723811241 +2042217034 +1768812993 +1456548276 +2035231029 +348252340 +1120603347 +1639913694 +1550436796 +1735981222 +1872643197 +2081953574 +1944781917 +528050510 +351714040 +416128481 +491350410 +2112326293 +547222412 +1328781952 +1401922191 +463375221 +677346164 +985438313 +1842248123 +148390007 +739554453 +2119322192 +1493593005 +778020094 +995622456 +1069920598 +672753480 +616951801 +378985226 +560500861 +965204142 +1499588574 +52930908 +368157290 +1088086148 +1925574105 +302627216 +885384417 +306140967 +654341256 +1301512898 +797491377 +619183901 +1848735311 +2126273329 +2021106093 +164626884 +656135845 +859060758 +2006875007 +804525853 +1598615212 +1978713551 +150635210 +229151658 +826852359 +1220555808 +901905138 +1443804161 +1599541035 +1462405999 +261524655 +951645961 +1515336907 +629681945 +2039732109 +1293427365 +932309162 +777632879 +1599568332 +1586650418 +2079145777 +249576062 +58350672 +1780397440 +228365743 +2079456765 +1945024324 +884501589 +791033875 +1804415684 +1689027442 +242165439 +1635645587 +1839662652 +471317097 +315014299 +912734812 +1373222235 +1758818460 +364792199 +688144587 +2020343115 +1316438160 +55997846 +502541412 +1208686622 +1349425211 +1434850574 +1986319501 +801509896 +874017345 +1917981630 +1051085958 +932368017 +1550895423 +1279451701 +864341134 +1348436099 +16469642 +1655375009 +1005368135 +1705497084 +1897540449 +493530075 +1397676088 +221373898 +808544374 +162927253 +1594596134 +419879186 +527719452 +135257073 +292738653 +1844157613 +191254919 +795280065 +905360587 +1540680131 +82646992 +744196440 +194706379 +956664337 +514694422 +1245792337 +1889032354 +2065589845 +377760390 +605889840 +1266542297 +394230033 +113781201 +124426784 +2099727117 +2011321650 +617956859 +1349919558 +85211901 +1426501233 +1512846811 +1679808035 +1846380419 +2040566263 +1815065108 +2139119072 +1737240228 +2006320027 +786915490 +495117167 +1399516510 +869562482 +1239313607 +1594222889 +1826226819 +1754008030 +692531578 +1567775525 +1672114227 +1070291969 +26181717 +791172876 +1464522002 +139962918 +915599661 +1416765471 +3800921 +1533556520 +619201381 +89012822 +812574106 +2132048192 +1768820857 +511470877 +2025130808 +1436402317 +503106302 +1614887388 +1295238696 +1290021792 +2110004556 +547271559 +12100626 +1201834515 +2141494448 +1838327445 +808358897 +686542379 +1258619322 +332989477 +1756834348 +1284801039 +1124162353 +1073872702 +1424763957 +2039762014 +343154525 +1428564878 +1425834887 +962355907 +1517577700 +90925345 +946920451 +1138914909 +602396222 +824567611 +427833578 +1105502524 +291971352 +1723072275 +248040668 +254492260 +122860186 +260141294 +1456326775 +116870986 +2098468739 +117202025 +803413365 +1209604413 +450191502 +412764065 +346921804 +1574353855 +1486636767 +1771685762 +1466632222 +1829791293 +1052766992 +744983461 +644663552 +422861045 +835908806 +1591584003 +1561775954 +1438305028 +268667967 +1989609533 +396323905 +560639319 +1565198160 +644364573 +815131579 +1688058346 +904505868 +123974706 +1804929332 +855490959 +241176731 +460859050 +2065095373 +691368233 +873623115 +264533529 +118238441 +212776235 +2036219291 +1584870663 +2042567528 +941502636 +182370476 +539747432 +1364363681 +1018279282 +2131331435 +778655987 +309100662 +252515754 +620781872 +705424567 +813155073 +38496384 +1349789141 +1628286652 +1726554730 +106811361 +1752261359 +1384000415 +962302320 +1993438090 +1844859465 +879914045 +537322676 +570998932 +1144447575 +655561117 +783775167 +1033183218 +92948132 +678859047 +1974685854 +275318608 +1218606479 +1191565887 +1293597890 +1202454267 +1970221875 +1602698552 +1454970021 +443520099 +160639472 +120641447 +482016484 +1510428613 +1748928099 +61087566 +1617239974 +1353705810 +1445087981 +432058646 +1199660253 +1142463798 +1311972692 +1736982929 +1713462731 +308936619 +245060398 +349754250 +1342119837 +338008530 +1028613298 +1169322044 +613327138 +99736129 +213404283 +1906925028 +1302190396 +36142510 +1362139932 +609676770 +479662610 +1522779404 +730318217 +961679094 +885724369 +331762668 +1022766660 +355480695 +1685468479 +320370994 +787539342 +737645084 +1462834792 +2099512034 +327144365 +1028813875 +260965005 +572204763 +1378568126 +1603084842 +910213293 +259697776 +624923238 +1523540431 +359433905 +838327522 +1282981811 +1661624302 +874470032 +497638095 +123817424 +1354132642 +2020417500 +854135641 +168328088 +758658221 +1185898309 +1191094749 +1114138917 +723883140 +1511465743 +1901678259 +1461528224 +826816887 +1853706645 +1788672589 +1855630763 +2114671650 +213393704 +1086715241 +1570272844 +1123606997 +1346413017 +47712435 +499663780 +1705846922 +886039957 +1782645591 +1219987576 +1760509989 +132800039 +1343805000 +967158984 +5733891 +50456993 +1135487072 +764392112 +1236355303 +179098173 +1878531029 +1960238443 +1690563916 +1632725640 +1274283020 +369897156 +1338948637 +915471961 +78044271 +1306136639 +1128865666 +1164759512 +728925836 +104989015 +363688881 +776638271 +604652796 +2069535803 +1662678228 +239814739 +1142039732 +1275704569 +372614778 +338361084 +95379905 +378348669 +388818078 +1230866978 +1142740782 +1625173381 +1409965151 +873788163 +1437928176 +953045420 +359030156 +564727548 +1322942576 +1697978793 +1480199510 +1400986847 +856631785 +461581528 +418262711 +1585557621 +566570543 +781951592 +214712244 +1171223339 +704003747 +1877390472 +1411038079 +1846043479 +1005611393 +1783652857 +36920916 +1100991299 +14517879 +425738994 +184374629 +1157258661 +2050912375 +1594339780 +2031046824 +1341356903 +399901552 +242593332 +1906084452 +1722844128 +1940572126 +1238800314 +976347327 +649720263 +1700381842 +1394610038 +87794236 +119468737 +29077982 +302506480 +1290692077 +733081730 +32413304 +554246508 +431641561 +1038024697 +190415717 +468562477 +2139015996 +204933596 +894301471 +175906977 +1362192257 +797730198 +1770246758 +1245755434 +2139087102 +22664662 +1488348766 +1897687906 +1745508791 +1281437244 +989004572 +574372470 +1931157507 +541902766 +1968982509 +2018951743 +661371503 +1998060491 +173974575 +1952063580 +583658573 +206387879 +358826440 +1015300135 +1244412577 +549242158 +1483862612 +1235944925 +754175754 +230680436 +1411851903 +2116368012 +1028410634 +1034615013 +1214639798 +1020014088 +1057279675 +555504916 +770218346 +655304818 +1836942161 +1759222918 +1229677289 +1620616020 +153642036 +1051176150 +1492084116 +815013540 +901752993 +1666058691 +619593472 +1485411567 +1872446571 +978419913 +353228054 +969375500 +1527662071 +1837090666 +57836777 +134354177 +2067771102 +1469688680 +103238541 +948698089 +356820045 +1317878339 +1968712177 +1414099721 +1873383256 +591446876 +2069404539 +1562841769 +203186146 +1151598180 +1035974141 +356828183 +55290682 +380574609 +1171841723 +957043676 +2046633301 +1791435195 +294971595 +1771596224 +622371460 +648199649 +593488076 +2549883 +337806667 +651324853 +136904061 +258094122 +2121013534 +240142602 +1206792211 +330349931 +1558020942 +1028020740 +1744449652 +1283920550 +1619467616 +1666370544 +699278671 +1822653763 +670485076 +1735252812 +31998298 +725775759 +2115827422 +1203840021 +1682819435 +2014977075 +847791568 +1977791030 +1639089651 +1470163029 +478507031 +85094079 +1472712912 +816313698 +736418932 +1609616973 +1074407820 +709948818 +1849759576 +133716383 +1040298750 +1260296870 +1161737124 +637264754 +396733772 +633721092 +156151650 +1096012443 +308891207 +826636727 +683781607 +340889505 +1552412486 +652125381 +1544729526 +1087748273 +519618808 +245037447 +918055655 +11224811 +1715200476 +1396562686 +96318890 +1040429740 +65392736 +832737823 +502563066 +1139800557 +1542686641 +204838994 +1273516940 +435501743 +1465135864 +287770416 +1072766498 +1861869636 +921491509 +1228918148 +810398431 +1230382716 +2055554875 +1494180038 +1571272222 +1460483713 +2146305420 +968518100 +400748338 +518440580 +1213555547 +1318803993 +529665392 +781272375 +567883031 +625984282 +1821702116 +633275768 +1458722105 +176781534 +1773076325 +853925099 +381620528 +899109617 +1289426842 +1846756392 +1186880034 +214709692 +1561142380 +2108371543 +1443627841 +224057163 +1191270611 +1351699068 +1718237201 +615059185 +664699134 +1717058973 +1583577286 +1065447472 +88015906 +649649185 +236767818 +617681298 +1430921561 +804650849 +1243665580 +1105140029 +1437926617 +554904038 +1281921563 +1063519294 +1408829137 +1663542091 +1962628912 +550772331 +1362814835 +1002025298 +765482024 +776473567 +962913193 +61626217 +1000530730 +6700156 +1413325285 +571284283 +621759342 +2078024419 +140859609 +57852980 +995988244 +228875515 +707502165 +1232756062 +846556813 +2138423726 +2037406911 +2090222393 +1096080107 +1327849881 +497642783 +230518022 +243885527 +1906471920 +1894060113 +59030791 +309760604 +1109391300 +1061056089 +1075242628 +1885864867 +2023969282 +1136868845 +738911949 +2030669439 +402710482 +1310196233 +504945133 +333251254 +1451055842 +562798113 +1329239498 +1679931357 +1270300278 +414511912 +379004522 +1261240357 +304435175 +321743267 +209836816 +1632285056 +819386051 +440354839 +1876170584 +578374323 +186931304 +1935201375 +888134927 +1296322605 +848773817 +1963377555 +1034703824 +725259451 +952762752 +1773615774 +608445242 +1355473235 +936328359 +1113390375 +1688724489 +239900553 +1676188488 +870480339 +1919831910 +799005119 +1284992251 +151352784 +2060245476 +1589427426 +473096051 +122598644 +1074228835 +1292482102 +562953483 +802915771 +1870856426 +749884788 +590633498 +611507705 +2046207393 +1439407315 +427401613 +933427569 +17183119 +1380164365 +559559695 +625628361 +588153952 +1495888054 +1739018737 +129394793 +1735788607 +1267723577 +999875132 +1508136869 +2066728696 +137383735 +1659489653 +1979490524 +1726811162 +2132585705 +2102089169 +653556349 +1277584159 +517559004 +1456472120 +1000956937 +1267443792 +2047105618 +1612464643 +1166167537 +1339029286 +2039866256 +2099595107 +1356212405 +1272546973 +511671154 +1981840766 +1860700926 +2007559209 +1573375855 +1990095719 +1595864168 +693615785 +842487204 +956517390 +612860833 +979870939 +468523395 +444867710 +559198453 +453625452 +399473231 +1212754802 +1731209612 +917032235 +521743274 +584682901 +36992380 +421365245 +49663896 +1203159917 +1760394531 +2089530152 +1155271376 +969123288 +1214593478 +1666942531 +803480406 +927810756 +1527018092 +229372614 +770422827 +975398612 +922988399 +1612910031 +1931916002 +1535849232 +445297323 +252955750 +1980716942 +1004495776 +706581202 +232706525 +69766931 +290307166 +1149738761 +591510205 +874990068 +1186731141 +1012875450 +924653964 +242407410 +625786333 +866700469 +1397678787 +1594909621 +2081293947 +917137670 +250906380 +861621055 +296672114 +480278994 +1632043882 +1272070726 +1403267393 +1097470266 +1056503081 +791632977 +1542767589 +1309458831 +624866272 +399779717 +2016040033 +857572797 +469546648 +158863552 +2007311558 +1061056854 +1033853620 +1046559051 +2073932304 +1958507584 +1288966462 +552234990 +677724405 +539161601 +2147144611 +611534704 +1456299271 +250567343 +1473155759 +1752971385 +730846337 +957715994 +877558463 +2134113730 +2055186260 +1934061544 +778263060 +1450470201 +1096036727 +1403129332 +1850249918 +964593113 +113218481 +172312919 +1123456665 +2120530040 +1233369773 +9826637 +1019605443 +1159818429 +1968334221 +161088257 +1712053419 +498574979 +700249858 +1711714383 +1110109683 +9065481 +1962281726 +435781795 +1762036866 +545644416 +1393497789 +492111682 +532274498 +1301200401 +278689578 +1310537558 +604186954 +1374726306 +566183242 +306953224 +191835771 +679401724 +479266143 +1315292436 +652448116 +1712635916 +1325119073 +1672053559 +724970698 +1145969646 +1833141817 +289540469 +1644544625 +385908027 +2001254852 +607170661 +394973509 +1816052931 +1042952456 +9526727 +214213699 +288966597 +501638409 +746488197 +1590166998 +780327988 +2057025756 +46870304 +7570646 +475725350 +353823528 +199406417 +1155127074 +833089672 +1514698853 +1807575190 +398241940 +692334278 +1332145102 +1123212638 +1838303924 +1017803271 +1412753108 +1335364902 +1403711298 +1266524312 +1942535563 +1798684807 +935093595 +838004371 +1808211535 +1149307294 +1126970968 +162366296 +1895795492 +569654318 +942694284 +1805337600 +616524622 +950264930 +133579302 +970348150 +1149671347 +1288706377 +1803437822 +516886552 +948797919 +54196115 +1209220830 +133459373 +1177408753 +900041107 +1151262644 +442678213 +87922361 +407490295 +1709202526 +2030457924 +58691454 +496812473 +720978647 +1866902989 +1646119768 +1847949615 +2029269286 +1394431612 +270120285 +824479922 +1052285564 +886644907 +1774744853 +1185864866 +1856993057 +776932552 +327087595 +1512947232 +1293819105 +1275885515 +1567143347 +355556287 +1409344888 +597068452 +1255597394 +413123885 +1039746666 +1343519755 +820614180 +601465544 +1226494031 +879305634 +1098278017 +1947472678 +598724976 +596914137 +1647938645 +480510614 +1991345749 +1918058930 +1304990536 +896147665 +657220189 +932251741 +2082012532 +366729599 +1709184294 +261616479 +1879676831 +855519751 +1537501994 +1299336530 +1211076038 +799363235 +1896404982 +319189785 +1212487120 +788668000 +1662709540 +2033101300 +1390133544 +741719924 +764923286 +340927914 +541708954 +1363648262 +937842051 +42163952 +1844158876 +781704153 +1960222882 +1001665765 +1677851818 +469959424 +1933917506 +1612380702 +836689023 +1495618152 +1873997182 +568882206 +203654255 +1264015528 +1868218736 +1414730294 +2063378763 +1617140070 +1733920079 +1128382235 +258324423 +1249145971 +1013999887 +1648457967 +1990865895 +1778923174 +1989385881 +385091202 +995087788 +779744285 +427255154 +691763017 +1561448438 +239994388 +1693428782 +1091816608 +709953812 +1479862640 +556713663 +1546642835 +827997145 +283227197 +2115525041 +1031651400 +1547242725 +1836260129 +298898046 +1463137841 +1305916552 +2032818125 +444036428 +1564240975 +1134480449 +1458036316 +1065215294 +977862696 +1089475842 +907117528 +1362953898 +2084563630 +1686861813 +1790209052 +628842999 +1100826603 +2030203441 +174788133 +45159563 +592673605 +1654650774 +601873226 +2139316441 +335164271 +885100423 +2107357834 +1366815671 +284859501 +1796134316 +1665713718 +1747997342 +954567220 +1551048195 +44550122 +371324547 +538044996 +1502586438 +1436539841 +1515907693 +444578632 +196173721 +731377943 +381658615 +1883035534 +374103348 +1010501614 +836378489 +256823141 +1185289748 +881538053 +849496746 +692456874 +1483411279 +841329539 +1027621145 +221028055 +801203726 +246953168 +505887556 +449854394 +1912666886 +106401250 +1404421614 +1316231434 +150951372 +1775746161 +1854276430 +1653537811 +1064802354 +1222700475 +2098116443 +1260976076 +1954078419 +332291410 +996527962 +180698119 +1342793025 +1832906452 +437521260 +380599125 +566960857 +1287018006 +1073055999 +2050372136 +2128347546 +2100677144 +123916543 +782067624 +200146664 +629804099 +1231922018 +2112813551 +736205349 +488859984 +1281561337 +887156722 +117122497 +988354119 +393210885 +1181924851 +63570947 +343843680 +295417279 +2017649366 +676135091 +1291945242 +50863837 +2018928116 +977368046 +488385097 +252043593 +1544328903 +1775403103 +1325099592 +1447217391 +1756267001 +1278293088 +1571133935 +390850977 +1478439752 +53454386 +1622772995 +1443769655 +789659736 +2111632979 +577847344 +1676816458 +81271828 +1566201464 +2070027343 +1263196680 +1629772411 +266387375 +1558613959 +1499938129 +942522466 +703075553 +1550801966 +813966934 +1680443599 +2039187063 +1066010527 +1077288854 +1667106518 +243626471 +377022598 +1275889872 +1521919559 +1948156533 +1666740849 +852875664 +2001610919 +1142030197 +149161671 +643787007 +1106179528 +727009016 +173119817 +1187451357 +145726832 +95663512 +303164389 +1775499243 +362050888 +1861778348 +1127953724 +1304573354 +417370254 +531272042 +2118540289 +2097813853 +422975457 +1037067168 +1027619060 +2090081975 +1280693640 +1404641658 +1218488199 +655129551 +1205314543 +737745401 +1508005215 +1059441814 +1879775598 +1657166887 +1703228822 +838471478 +236692255 +1876348639 +2025922835 +382419087 +1972012152 +181603576 +10434682 +186579392 +2043381925 +1138388406 +1491152746 +313268531 +1669660448 +1462209387 +263598736 +2092635905 +351792908 +1291217796 +2035234232 +1632486548 +548375806 +1106238784 +140132451 +1753690349 +1843984185 +1648137667 +665648516 +1576276135 +1157820906 +221393690 +267263965 +1394513161 +2097742329 +145703153 +1776932248 +1922270833 +327306729 +1787366930 +2108850225 +223205006 +778271688 +1452519324 +536473537 +300448488 +767245063 +800072274 +245600745 +1119037971 +2091290070 +133351329 +604040871 +492182229 +1239590113 +744173323 +98388930 +936090650 +244827342 +764037446 +364883137 +1402648248 +985431136 +632147103 +649677761 +935689818 +777850256 +279126361 +710477003 +1105156985 +2066493291 +671843581 +1328361992 +697281331 +2124362905 +1864835529 +997729819 +744124320 +517424155 +1243330564 +1863162292 +461230578 +1376681893 +319719515 +953412807 +468788359 +1063892838 +1051801737 +1404879009 +1308720180 +1815839184 +1769762147 +563884780 +653786672 +254425602 +1213562541 +1589476490 +1032275858 +1492688902 +152469846 +2137432843 +1411698545 +824313427 +1318311187 +2108979876 +801192684 +1035663069 +959226047 +1545317004 +1553087224 +55072963 +1260995648 +2014317802 +1431754857 +1580715164 +820246961 +1900543216 +497124354 +1872048699 +1157938577 +1805844535 +1540404235 +780217076 +222245667 +46707259 +1034642678 +1435808209 +1636183750 +2066918536 +781013463 +1788653596 +2056867732 +45228361 +465483375 +1227695271 +6724589 +1266676059 +115874692 +965950637 +664509415 +1668961917 +1021023600 +1925505064 +1535796071 +305294809 +1358736580 +208559385 +58354377 +1855860934 +2080608084 +1216292955 +1514221821 +1473528671 +1996510031 +1736467489 +1520235930 +883669062 +1024792050 +1008936032 +803103950 +1805805513 +650105980 +712488034 +1851033874 +1115589355 +1940183306 +1857758464 +234781766 +2056057998 +676225453 +899291182 +1577536267 +1697249053 +677312598 +965848691 +2002543863 +2036049178 +1174408076 +2060898240 +1744426464 +1107532512 +1129707547 +1111164638 +433577535 +978733931 +700148479 +1953813465 +1862402993 +1724940529 +815265850 +518023295 +1383262394 +1465371830 +1230511330 +1086812621 +433477538 +1023210988 +797087437 +668259304 +931785338 +1473312890 +1567550486 +361837958 +1023078295 +97379436 +1327686649 +878138510 +2133428614 +354611077 +791553103 +1730371431 +1462143589 +1921260650 +694052421 +1895721124 +752510933 +1394200900 +1702050941 +467430278 +971657781 +369833143 +985453574 +207436527 +1835204974 +68481256 +1294249148 +121198864 +1091692244 +2091336585 +789458168 +2023477582 +1417165827 +209525007 +237831892 +292760475 +306904443 +1565518541 +1170898985 +292849410 +1920129618 +1962452088 +2023220841 +1234789559 +1736229091 +569789614 +983027035 +341256376 +1963990514 +537594329 +808686655 +788164647 +907427472 +1794140229 +995601174 +595148798 +1862621485 +142366675 +716347662 +806830081 +86219612 +1505805831 +682824015 +1503385440 +1715330838 +920655908 +1796145915 +2022235281 +338690801 +819561252 +167601043 +111336772 +634529693 +43338236 +1346126331 +223275136 +613127850 +181669719 +564531512 +429634716 +719264048 +1373218167 +1217799363 +1626691520 +1019874748 +65916890 +74356671 +735012585 +208283565 +790704333 +1541842666 +294503177 +149026516 +77183034 +1797888617 +1864357354 +997838942 +1446550884 +1739108988 +1336529743 +118628489 +1906710031 +1447866515 +753158182 +1950048268 +646509199 +976433318 +415692470 +828178918 +1540964830 +845327187 +1547442966 +766699350 +2063126550 +1026650838 +1786574098 +2129043440 +1101007509 +374103036 +189843357 +1891711843 +1915945702 +484346535 +2040738359 +1993128736 +134751504 +1757612066 +843484030 +1581302389 +1349237406 +32530126 +1699930878 +1108463789 +1480396641 +305605412 +911028409 +2126905840 +1282038730 +1326720880 +807601110 +675519912 +24564419 +207560428 +1442219262 +2087690969 +1234211267 +1081309713 +2069250762 +187735128 +1455412749 +111610471 +2079446971 +1223874803 +595957006 +1972701683 +1069519892 +730708511 +1582830101 +1913003922 +164527252 +784583859 +1945534048 +1864458130 +1893047648 +1278447042 +22579894 +656592410 +1257869234 +1304618624 +1983313290 +2065470345 +1980138536 +2007877709 +125547125 +1274874151 +1948085030 +1359758392 +208700216 +1869852144 +1547493521 +1664112965 +1981462616 +1479456844 +740504120 +429935974 +1304674879 +1810024012 +1160644485 +740021332 +1575544287 +1325171737 +1524605191 +1373594687 +1042146219 +1270169192 +504558081 +1064726113 +1926761602 +1762427316 +221861089 +1762591244 +1680414013 +54515978 +1622985305 +1805961138 +1329390129 +1423586687 +1018235883 +1538090345 +1145955184 +418245756 +1054719662 +979934152 +1897702600 +1795223782 +1409870126 +1054893832 +1457764147 +423030964 +1794915164 +885824786 +1748202701 +1172036708 +111935825 +642865273 +294722252 +616493907 +1707591386 +74000206 +231437575 +1929452476 +1836591450 +1911851588 +1983968454 +1312093107 +1570329078 +1165874935 +588196146 +441081313 +556481632 +1734151330 +859327069 +1611201294 +566601834 +609546022 +1258941428 +1976471961 +1664439854 +569221927 +252019277 +1311871370 +1455046713 +2000221978 +336424430 +1566982539 +495603603 +631146682 +35992798 +55711342 +705146888 +267430373 +1985163818 +394254690 +31798313 +1821648624 +1706347797 +1602127391 +840039911 +147060296 +2043208705 +1396521543 +1881211626 +755052126 +860239189 +300329813 +1364598148 +2119180617 +129318126 +881554354 +540918897 +381337403 +45942077 +1995965610 +234075733 +382366507 +1415464501 +729679337 +1013513190 +1451457299 +785390679 +1718660078 +1718887672 +623070849 +2112914769 +1750685985 +297235825 +1671778918 +1205329729 +1137275736 +1818839214 +1101054786 +386313631 +1552567193 +1856106912 +1246552820 +1852897006 +1073221413 +1218249789 +1982215132 +1954775767 +1759168686 +216068887 +2000717844 +1607650649 +450144620 +235600704 +875631502 +1179823957 +1249113894 +179605154 +1965214636 +820290324 +1898492826 +440801837 +785721445 +1501695164 +738037662 +310016716 +559541245 +1875313398 +2128855930 +1660596031 +114143381 +1533939475 +1369219295 +1360696201 +1239352833 +294957060 +431462343 +1074084317 +102249180 +43147381 +1290153204 +2102967024 +1650798030 +1740297825 +191084080 +378945885 +772638134 +1440197974 +558551039 +590369123 +113004651 +309560217 +1031170960 +898726096 +1811255381 +1769208623 +1208742812 +223312978 +1497038373 +1190115095 +1883909009 +1611181755 +576570922 +1105644657 +824394308 +1815923756 +1400601717 +1255856651 +742524425 +1502850897 +1299004033 +2032677630 +1458334274 +802318415 +1625491807 +1649418354 +1181264300 +250646293 +942132681 +1739815339 +841015416 +1055137332 +2049375557 +1872186377 +1953863428 +1713147290 +1493911352 +1015122593 +1936460269 +843466077 +57754040 +1672885630 +307164184 +634324962 +631046639 +1131558493 +302765070 +2031648357 +239931496 +1045289496 +1387015606 +1538935529 +930483478 +697866232 +193770297 +408491637 +199800939 +1375034597 +659137930 +1141933620 +967366289 +1500153347 +49587304 +869258198 +1224856076 +2003450732 +434921840 +571283780 +871089677 +223898461 +1414749857 +928843717 +1896784092 +1721914042 +1563168680 +380347083 +705988887 +1865933750 +264511792 +945920383 +763739598 +1651527399 +337372265 +1694223076 +201909983 +531142562 +2102714713 +401710922 +1906177159 +614368996 +1543644542 +726059800 +2114522343 +1593231846 +1595317998 +1191894771 +1449198931 +2030239839 +1763178551 +172804960 +106654652 +1030444760 +1101648678 +2003438744 +604875154 +517333710 +236302180 +1310864041 +235783812 +500813972 +109300777 +999523411 +4857723 +446673042 +546262839 +206767707 +977815604 +501493905 +608478629 +736509115 +1115862901 +4639524 +1462568916 +1082901596 +1597871370 +910403266 +127312719 +899586653 +793159457 +1890491270 +1072391614 +899814110 +773452382 +26556644 +755769206 +1378327537 +543890354 +992071386 +541707930 +779674166 +1492885359 +651008707 +1779197577 +1497743082 +1097681749 +177976769 +1704510789 +2075497353 +679470674 +165505771 +664522821 +1795333575 +170145295 +2127091737 +730751523 +1768016665 +890011355 +858064242 +520119671 +1683170813 +601071864 +1592511285 +435501275 +1374524246 +1619067929 +1191270481 +605368135 +15474635 +35858220 +1147076066 +795148801 +1528743579 +1798084773 +426862731 +879003013 +748282875 +604839500 +436030155 +676296580 +1284310174 +601535926 +1340819401 +932160101 +771681221 +1320427490 +1662911624 +392214238 +62955198 +373492218 +912333909 +1746126011 +974564082 +357361546 +34143638 +201604680 +1976429475 +1225414119 +806972816 +1991904110 +1261272339 +1954048882 +639569264 +642532270 +1604650007 +1066431995 +1521535284 +205449234 +1671271495 +1957565439 +881745815 +808098021 +411617717 +75081568 +1740258122 +1183298938 +1395509059 +1255686098 +1575513176 +1458464257 +1629178316 +340363438 +1057106620 +456258750 +697724984 +1091250258 +657863430 +526670812 +169180729 +1464836246 +371091274 +1430453069 +1271401480 +1010660538 +2072985339 +728567840 +2077092533 +1447036975 +934017074 +1600880380 +1257118766 +1815762889 +261494753 +1668736483 +1890844458 +2001752875 +704551773 +1138869869 +1109955325 +132581302 +449850478 +591649993 +472944740 +1506957098 +1047908743 +1170669724 +450723708 +1705772174 +1697340536 +619904437 +1023124772 +2068431811 +2050357506 +147042605 +931608701 +1975859198 +875610445 +861217587 +1275412525 +1809627519 +314614319 +385047644 +1477906761 +576109073 +2053784127 +1221267571 +430378300 +610852253 +212653792 +1540333626 +743433555 +662504270 +2131983619 +1216378295 +21977720 +1032408715 +239564371 +472701428 +590697241 +1936904908 +1092605865 +1613822013 +1857853071 +995479724 +1760864618 +641978124 +823855274 +488991415 +1503195711 +2099267799 +151135287 +1817810031 +336831795 +1629042048 +246435456 +243132275 +702825971 +676813756 +853984528 +915479763 +69663734 +1597418083 +1577984033 +54163706 +666312730 +1599961753 +1086572421 +905877101 +2072663181 +1677269662 +695298361 +1017785398 +1143608027 +405667784 +2013265122 +756988998 +1047645909 +689636748 +1245980413 +403357972 +641420900 +1397115700 +73684355 +978252695 +878674100 +320119811 +1221384970 +1581500071 +996933568 +2075369498 +349496186 +1066597302 +1525303933 +1927480219 +1120761008 +44133015 +1379958324 +59849781 +950010117 +1305137857 +1737119443 +1645308478 +175439608 +733243823 +2050976263 +41221082 +1490232821 +951138524 +730857831 +588729586 +1354496496 +1372278731 +1985845287 +1428180852 +203047778 +717035739 +1748300663 +1424432749 +151052163 +597750583 +1352318599 +500548349 +1664347886 +730138885 +280544921 +637625246 +774271900 +1660503245 +697475028 +1724282017 +818157455 +287110823 +1222106848 +993597063 +1020354646 +1125599463 +1034818145 +363103819 +2076737987 +1765675976 +951833406 +1283750835 +990471059 +790195045 +564448039 +1193518838 +1507230784 +165265055 +470467939 +1658282947 +763015638 +1822786538 +11347649 +279879876 +405441775 +291892570 +917505123 +1179713676 +1952395815 +1614980151 +756512045 +623069622 +1902090974 +1978618893 +1616666685 +774961973 +956734708 +504001183 +1138065792 +885989047 +122193511 +2089899198 +22256235 +1112664571 +732610595 +586704274 +158699761 +92357732 +751969329 +629167700 +1750640679 +1514984968 +304470590 +1761988328 +1794864844 +709912366 +2053880898 +564886319 +1889626042 +1858793066 +32382822 +498654439 +334379040 +1934473797 +329789685 +1951045726 +561952122 +1286524393 +307563261 +1700017914 +25029793 +429756772 +1642433465 +47286028 +1542421343 +227560412 +633990302 +1701121104 +319918144 +1385959632 +182805156 +2070558824 +753460952 +487275747 +1685063504 +400842148 +1197188113 +1591460755 +965728468 +939330507 +1302770173 +998111290 +1437984946 +1637149213 +785101439 +1767774631 +1440711291 +1347053561 +906815377 +1748274552 +899587828 +931845170 +30547677 +394537645 +979131198 +1572969020 +622098057 +1613121500 +1126606477 +942016202 +851597484 +1309411633 +865091378 +1605058436 +1796687380 +402671234 +2005900585 +846391845 +1994131989 +824145405 +1785722352 +1149418514 +1822256695 +1076223651 +639084080 +459874487 +696514634 +2079795371 +1806928048 +1603330011 +1680586276 +559032228 +387691533 +1711133953 +953569873 +1366822731 +1136619325 +1575667931 +832460584 +115742154 +370200485 +1684058068 +1425153788 +1235291863 +1141632857 +1074357520 +1637963097 +1000049794 +1920749366 +1484611439 +1824195199 +1558988070 +486546305 +1498968246 +487728073 +1125630385 +1958842733 +1184242708 +1057942109 +1618287134 +640089071 +591044737 +29835714 +1027780605 +154695042 +983405588 +247119688 +1291314367 +411589871 +1079580272 +1407056522 +781790356 +616154693 +684726662 +2017082219 +1757787550 +1759084182 +1507561668 +610353696 +1532349900 +844689459 +287065247 +943854323 +1331235765 +1786033493 +1431582396 +309382502 +1597392579 +468341456 +1367324611 +1068196065 +1108430528 +1958369348 +1098031779 +2136211133 +2113064390 +2081437367 +235847173 +1256895110 +345543590 +1315427446 +516467984 +1127333946 +1931582139 +1201194646 +996932517 +1541886041 +812795180 +357010538 +4756089 +197661433 +1201699997 +291821336 +1141515756 +385452114 +2077854829 +425614504 +694834617 +1527763760 +893955961 +2062159228 +448476177 +2002386489 +1873044929 +1546507957 +1991113974 +1838625671 +1480461676 +79477499 +948037133 +1826005267 +1394904945 +1464505117 +805855565 +1179003436 +518216115 +1802788083 +573405829 +1331011296 +12314973 +578161918 +1528672729 +1214014970 +869983254 +522704837 +1599467085 +800354436 +948319341 +146818054 +180634548 +1842275302 +61493634 +629110726 +1697178143 +1934538563 +28135035 +1540808469 +1625680587 +1508596711 +1620285969 +426234072 +1187118330 +867707266 +1890739190 +1992973896 +2046710703 +261471657 +1648278331 +472632884 +1592482953 +1660593304 +1050794803 +973672034 +727124626 +1920778057 +1496376871 +179108063 +573648845 +297212565 +325926117 +754283394 +2139487867 +387419752 +1383394120 +1689182363 +174474667 +1411529155 +1082507184 +1800155254 +772642218 +555309505 +78905679 +1959760549 +1423016772 +1969644869 +1805250797 +1322243827 +83632878 +1306045480 +1794876711 +1676115832 +819155136 +698187866 +502304218 +1546279762 +471482276 +1998681090 +1725387826 +1045131121 +148410007 +2051313943 +1799414515 +140414226 +291250047 +1035324987 +1829596589 +465724715 +299370494 +764620126 +118396321 +1072012713 +1319929631 +197302000 +884289614 +595462755 +19463221 +542056763 +1917706582 +103096100 +1848102243 +1565099646 +1779211932 +519773731 +115803864 +134032502 +2066053493 +587286140 +2132713592 +1643957671 +1632417262 +133639951 +1547787967 +1284348129 +274054178 +1839038014 +172189469 +2103650767 +157279081 +471559963 +720787245 +275675403 +1543572676 +2040716877 +472977403 +280378642 +488695984 +492440625 +822435405 +258918919 +595536725 +523054000 +1824018565 +227265009 +1042827731 +1939822429 +361297511 +961397577 +379624922 +346527456 +457871600 +2012042184 +480167407 +2005659567 +1148906665 +754221585 +1697213934 +1321096134 +710388705 +1854493015 +1792656098 +1431175950 +2130168418 +1188745126 +1324409179 +455662174 +1469123769 +1813105164 +948102799 +144075526 +2072024083 +1543639524 +667129527 +1748559000 +1770904533 +1709957258 +1540897781 +2132202044 +523871187 +1920522703 +331245852 +981742788 +1785081239 +811413260 +839918707 +786504257 +1565634845 +389648993 +2107600391 +128539902 +96658361 +1752772841 +1559715853 +79343131 +794034320 +736641384 +535005305 +115674441 +402262900 +1483108104 +259749967 +326803335 +879263980 +926879494 +2075362335 +502684865 +489353105 +1468776469 +487403262 +1013224292 +1241815524 +818649114 +1994967080 +879413116 +1630062374 +687402140 +1665917373 +1048213572 +1077051133 +1626034116 +1176753474 +1173709494 +1231323310 +588985679 +1253052626 +2025357630 +1325627064 +1788057931 +2141032071 +1727889964 +1123682388 +253298390 +2054693300 +2002946368 +1180177885 +1982571987 +358147586 +1669530990 +1303864808 +845550848 +535271634 +398196685 +1664199962 +382755067 +1277609801 +1146778689 +1070157207 +796043526 +47508613 +2147208340 +274593994 +1224262087 +1173434187 +1505917304 +1813247767 +279003165 +1383791286 +991391183 +2067061096 +1377339709 +571797499 +1043259836 +1630638100 +479007151 +898722557 +663332337 +314095491 +1256870143 +185379679 +1617960299 +2102420991 +720651313 +2016156984 +1619137305 +1103406380 +1146283137 +618432346 +26079939 +1942326663 +665940959 +25804632 +69437010 +1890203047 +1199238819 +1575354314 +1555967166 +1478241984 +811661953 +399874701 +1397819432 +41518014 +971672200 +293595621 +1672156114 +1450679352 +1192318178 +188004803 +1764774843 +301704673 +373384482 +1235251494 +256642016 +1094035796 +1103924831 +1875779321 +49958528 +102724320 +346728020 +76038468 +2045050984 +1012668979 +101843100 +2114487994 +755388378 +1301081919 +1542358660 +163871896 +631840255 +206536965 +563746597 +2029659687 +248054980 +1535418798 +175771660 +1920211094 +838614502 +1368089838 +2108215898 +455905697 +1669794511 +334116732 +1691157191 +1926436527 +1428152528 +647598374 +1654732201 +1478111057 +750322695 +2001460221 +1554149525 +647890031 +866645552 +1655992625 +614894377 +1622033931 +809590896 +9769389 +1785905827 +1441431151 +216306355 +202168777 +1323607190 +464361335 +1737587575 +1499378851 +237088781 +428718429 +719985041 +197821031 +884624126 +242295905 +531937764 +428297669 +21248784 +1960090292 +1075896044 +1675980985 +1290717701 +1826218739 +1529957558 +697383578 +326625122 +249119463 +205892555 +941519499 +1871153394 +1015483451 +951288888 +1509575573 +309430954 +1167595243 +1711744350 +1633038145 +1631956578 +1301848277 +984933348 +1869045360 +1730566706 +1704918389 +2066866391 +467707184 +1947214294 +451320507 +896004854 +1968463079 +263927152 +1971900898 +1496960416 +1554644853 +1650635989 +879434327 +104544784 +1977261111 +1128553790 +310437339 +771296962 +852223536 +1325920791 +1722585850 +214315461 +1635351745 +742697446 +1926059812 +1120906242 +227170376 +1080424441 +2105839590 +2096215736 +663507500 +1663274332 +2015598480 +1131214684 +1463004978 +319435339 +2027219538 +1283984409 +583362491 +1851636788 +633461178 +2138007345 +1354789129 +1512895505 +95068481 +1184566592 +493965647 +405505820 +1955863554 +1346189183 +1731426611 +1530965757 +1560504644 +1219294709 +126179555 +1339080808 +192717303 +353349931 +272021602 +151073246 +302082020 +935529102 +1814347578 +170196852 +2066743786 +1129868908 +489632191 +1946479677 +266369670 +1072994683 +1650632817 +899830848 +1063518380 +857938299 +265242705 +1158586861 +2042504891 +759208352 +1564092681 +1850884798 +2105397535 +1148035645 +1234366907 +1518418531 +219846706 +1360546462 +710015692 +412564009 +1713896393 +982037294 +563637255 +2015978413 +1917566396 +230501185 +38691617 +1836826534 +1360370094 +528323809 +1635822563 +1626739764 +1601318492 +1138971733 +379086964 +517353224 +1996910032 +644329669 +1675940085 +1891931275 +1403538021 +1092549118 +1595332425 +1361451908 +93101115 +682215684 +732386791 +312947821 +2042762146 +1442402483 +725511831 +1609174892 +276956129 +1289149086 +1477669657 +47038877 +1519650272 +1516361275 +1883865412 +732536718 +2044685084 +1372204327 +211792834 +1498519928 +363692412 +590879798 +2015873152 +213118796 +1235209467 +1544329589 +2105050072 +491263840 +489395059 +1552898849 +1852715748 +582496175 +87630886 +437618891 +895443996 +2130393032 +1880021375 +1620955827 +1592084276 +9493856 +762621266 +922270286 +56532734 +134787890 +291147913 +1940398146 +867324608 +188349349 +1165118825 +1079117442 +1686869277 +1528811238 +1669997240 +1555258781 +1741930034 +757723059 +952104722 +1699496458 +1248986899 +1441499781 +1104911660 +954218999 +2023995956 +1192542546 +1391837890 +771956305 +1175451930 +1124375617 +245428484 +620052559 +1133869474 +1008049750 +1542322845 +1190402208 +1142837640 +1833470758 +983316706 +2010162248 +2021820107 +951883 +941796042 +1561205736 +1529763121 +464309634 +968980869 +1124209508 +1222032693 +1921085591 +676222318 +323535944 +1215101724 +1781133978 +1277754943 +1091614033 +826192876 +522109186 +1863570338 +2001644807 +1646484803 +2108998822 +474213718 +632870629 +969564925 +2016536563 +1823272837 +2112402565 +1702523673 +659105895 +1975081166 +1576860132 +660057779 +769393560 +990582220 +42337252 +1233703195 +1959563089 +1166546760 +308252240 +1733165032 +1842769079 +631788185 +800783108 +1476419409 +1909543128 +1892397141 +155128638 +284168666 +1608483831 +9289797 +1930653470 +1569999006 +483503515 +416040451 +392080283 +352556430 +91829641 +356999200 +2055080103 +750935536 +184596718 +1484456587 +1410993315 +953990279 +327555159 +1453330568 +40209826 +139634600 +472393680 +348462066 +1872799632 +167679111 +980250251 +526099092 +1644098521 +742309732 +271012586 +1799227159 +1026478398 +1879496417 +1808516956 +809648220 +1302011775 +144536823 +1225688672 +1694092058 +497093253 +1317518313 +2051091259 +404689708 +2068453849 +88204329 +1889146295 +1331963517 +1042194608 +69217806 +637810437 +1082404434 +208852406 +1110204117 +1430866501 +2081652038 +1277883229 +263633104 +460267482 +774498102 +1005942836 +731280068 +426241613 +2032421235 +463292838 +87274921 +694585807 +1765304613 +231811744 +1920274479 +1311913024 +728904997 +1090309144 +1215520635 +1133594705 +1011279346 +1303724964 +875257352 +195759215 +198435925 +944475158 +833569652 +1280840359 +1153327564 +1943773769 +564223212 +1087495954 +1074173350 +827856317 +1547763436 +1848671452 +1833799153 +131559857 +127429417 +1718736740 +594852695 +214704338 +265838900 +212673660 +446516082 +38629731 +1524586684 +1175421079 +1128938876 +592623671 +161532136 +2140218222 +1896348636 +1036789488 +188493789 +2094784561 +1981264646 +1022063441 +1228141272 +987108562 +818353562 +1792364485 +2074604516 +1892526913 +472737154 +1474884305 +1593714717 +159052659 +1606444162 +1721144135 +1877789400 +53813209 +1935848473 +2143628300 +266486869 +234880908 +34774383 +1791073554 +1410301987 +1163713259 +236213577 +1571834124 +1156447833 +2132562213 +461139964 +1344941622 +2079863126 +294920963 +219521415 +1160520751 +1282029525 +1037874978 +805401588 +1209150394 +782918243 +1278138742 +536551051 +229149312 +1437191401 +2142995213 +1950293447 +1167497153 +49324774 +1738658273 +1163641805 +315811643 +1973539181 +1198416189 +2106885197 +1236357520 +214645800 +195615127 +660707996 +1371093634 +180693692 +1121847961 +568551608 +113073171 +1416768924 +788073024 +1273593922 +551314801 +1825948002 +2078995510 +1760465195 +461382597 +1209650604 +149532598 +690531909 +499358357 +145044163 +493341709 +1666855511 +194368937 +84516334 +683013668 +510180581 +2058055515 +1881429857 +469582130 +1146929387 +2096075658 +665197257 +1807637384 +1319685644 +845890950 +782001697 +1888237252 +958964121 +51286973 +528826628 +85074395 +602601774 +207290982 +16586257 +215583322 +668673579 +1226236861 +365115920 +1359205489 +1725595218 +510160084 +1852547198 +1244967081 +704529021 +1937063532 +1927980750 +1214709602 +1847635399 +1661926959 +1684291733 +847081138 +1610518969 +202005342 +507234874 +782720965 +1047896292 +1289236571 +523474570 +2006860413 +1340523544 +1052301198 +2091934808 +1943125319 +1259592181 +2108521065 +11224993 +1928265760 +1187274278 +376340913 +1139987601 +765385849 +886500997 +845051151 +2010352930 +1591030019 +634631035 +1790850032 +658255973 +334782786 +1305293344 +195064058 +1181863925 +768328665 +397069401 +1689098799 +1551049631 +1444965693 +830851723 +2074524201 +1304342459 +23891619 +979341751 +1248793619 +1967016938 +91450284 +1209831037 +1978241931 +2019716045 +249621667 +207099197 +1012219998 +1015007516 +1093600194 +1857271150 +877876799 +537146565 +344418537 +521243183 +1195402539 +679201324 +1826536527 +1390466597 +1861065249 +447381545 +1787535998 +1402680400 +1998431176 +1085018044 +86048475 +1925471729 +241876855 +109940095 +757329832 +1490670474 +2076957033 +848780117 +553017863 +1907715317 +721012514 +802639531 +2114814514 +1733232512 +1817647047 +1060931060 +1443020014 +548040198 +1598077626 +1787438552 +1069283382 +645996517 +319156228 +748336261 +2036463114 +32737829 +1195717806 +1676515465 +1435418229 +1046665334 +614049861 +1521466705 +824653415 +855926716 +1631406800 +1581983248 +199113542 +1560880185 +283279717 +752131406 +1321111854 +1004292231 +1554770937 +1288442720 +590041095 +1224934336 +201890133 +2033061110 +1772974535 +1799967759 +1673016014 +694774269 +298480628 +1992172242 +1443110530 +187460094 +2024910071 +491344689 +1863975559 +1312844652 +1538010023 +330541772 +686827709 +215179791 +1186468488 +170750861 +1797163039 +1385582031 +1731631047 +2080442756 +2137713437 +905259253 +937251339 +1545000726 +46218326 +1527292434 +622451414 +248108459 +1412869896 +247942301 +2048076218 +938402262 +942716570 +199073198 +783090856 +238343453 +386533292 +660517279 +729688142 +103025204 +1973361932 +120214517 +433566976 +512705993 +335394308 +1620035465 +683456855 +2132557347 +858133848 +267604254 +2065516455 +848363637 +1172863507 +855284146 +245880715 +1219081833 +235092933 +868332129 +1467190292 +1647962829 +1116274431 +1367782862 +438881444 +2058991001 +1566856060 +1221972300 +149850806 +1953389353 +1882489580 +879538948 +2056414557 +1708367864 +999753466 +342497885 +73590209 +1335147774 +1962533350 +757047064 +1320221474 +673183550 +1024651318 +1238254281 +1521547187 +50031178 +2093538428 +1767427902 +1269113011 +181147713 +488276384 +588819656 +1829110542 +1604550815 +1956602518 +120508338 +1516058168 +1375974931 +1342480639 +1665908975 +1181880636 +1077486571 +397964275 +1090811545 +638370787 +1397717741 +1433309430 +711960996 +585381868 +1248359133 +1469008061 +1905603342 +1921542683 +346175731 +996373975 +1295606223 +396206909 +942428755 +915550477 +1665319921 +1123576468 +1403826861 +106655929 +805203363 +860894028 +2063258447 +925711701 +229468549 +1291749730 +120708692 +1895377524 +326146718 +1198195263 +145858151 +1416958263 +1836566050 +1543575893 +702784046 +401043399 +2128957761 +1951143179 +1870051460 +1887077455 +1725202214 +68743543 +735967782 +873324789 +464950453 +1678396538 +1788875267 +2130270374 +654489358 +1045218480 +89442655 +1459692721 +1906112509 +5217454 +237920775 +2135581058 +1296967185 +358629467 +1883474934 +1623113903 +1556824731 +2029333085 +892588519 +1245907133 +1425425330 +1595372565 +1646950532 +1406899443 +1399032096 +1369518344 +1146493250 +976750662 +1438261888 +1882461033 +1850075452 +1903212341 +1413373923 +1491467071 +1885999067 +2067863281 +389201903 +1975441722 +1380072355 +147830764 +1980659176 +1617993130 +135928174 +1130142713 +1976622597 +2019403108 +605772969 +1385963680 +1901252546 +1498361488 +484387166 +1179194228 +946250405 +2131337698 +438610024 +197798853 +1353372395 +1585103274 +1174549515 +644150635 +1320080659 +877141319 +399879328 +585970934 +221124742 +138394747 +506350568 +610326646 +2113836469 +1886422923 +758157410 +1947011997 +1356932405 +894085585 +929671063 +1186071354 +766005045 +1535444032 +424551387 +519773943 +886321872 +908938553 +1698968172 +1832572277 +892792603 +2137578196 +2030371130 +98681350 +1575197822 +1057436997 +742831985 +747794834 +1934578317 +1142711313 +1333765768 +8219411 +1281106060 +1840116336 +618546057 +1247458881 +1579055611 +1376703468 +1046987231 +788504368 +123305405 +1976658294 +1974575723 +889310450 +1364618678 +251643462 +1409084394 +103456902 +1160582015 +960568918 +1936029179 +2053374618 +950663466 +1818916661 +4572321 +378377640 +728870010 +747404306 +1126172474 +515964679 +1890115620 +312454595 +524184091 +1023738032 +5087283 +1142730148 +123713266 +1584142895 +371949968 +1170700497 +225163615 +495255373 +999875143 +52255690 +1384565824 +217010173 +303899152 +646166570 +320467075 +1464481167 +1606735488 +109012606 +1370372138 +409915306 +1927929267 +1374944459 +788292946 +509315629 +2122348765 +1914465421 +1025280309 +1864980737 +79436368 +1549464400 +741235122 +84523651 +544710900 +864948388 +1668666546 +916660869 +2035648885 +1893830162 +1411916242 +888040380 +1946085852 +648998418 +1105050553 +102501357 +1295164988 +1425517628 +1566982524 +754416828 +1534530234 +789871014 +1164332134 +1314975853 +17331825 +1952625081 +1824291482 +2139680591 +1719606854 +702088143 +1857177680 +1799043222 +104068895 +450929154 +1883566873 +648779796 +1315877542 +1404749772 +1565440665 +1204042779 +1151096286 +829873259 +2092083159 +949698490 +1478871678 +1049650064 +1052199847 +626553018 +327684044 +471698724 +1380969847 +1862214278 +1261569738 +397818333 +1029706483 +1278901564 +202959766 +706514318 +1271098507 +1922566620 +1408602461 +980792539 +1574126194 +1512671357 +1431721694 +1310209420 +13967505 +600115588 +567475544 +1579408170 +1804158368 +1718571830 +261797781 +1748757879 +520786672 +1740669459 +650924296 +1572986520 +219738830 +978608340 +2044685244 +1600708677 +693338971 +1158771334 +1998527010 +1723045454 +290189250 +54003129 +282076124 +1561287757 +1976569749 +1690678586 +394596649 +1403212296 +1055866295 +1826318343 +565938068 +1069833800 +278950283 +1133413612 +501758322 +2083108651 +704501794 +763556103 +1684382883 +1225288466 +356741915 +187823531 +650791338 +576480745 +1166431871 +547992934 +29705774 +1859770842 +1706764269 +2028232784 +1435332649 +1996953519 +2082235913 +1717408773 +1410757629 +1911322015 +1260603711 +1805354278 +1167050663 +168986358 +1484188973 +1732988731 +1238820158 +1763139256 +718918695 +1740578480 +1698764260 +1423420489 +356650936 +1235663495 +501225307 +713392851 +1423487026 +1152016646 +1289873596 +442435249 +1700009580 +1319579370 +154722444 +1259290201 +1200328506 +1590055093 +1108760073 +1135080772 +1159980218 +372034054 +898919139 +273100282 +29904684 +2065969802 +442086640 +1514093657 +1651474885 +1680906799 +1129749265 +222909932 +1274001631 +681029877 +1646330421 +1630652567 +1916693372 +72080 +196561770 +1192696750 +1152088726 +1486435366 +1635132000 +704614659 +658531088 +1789854444 +1963904860 +1858859595 +1232425889 +925181285 +846456719 +244922459 +1297215339 +1745375858 +518022741 +1327120023 +1663862012 +960109382 +693730032 +1167853249 +493532533 +1823479298 +1390763181 +1767534164 +357025527 +889609954 +1250703084 +126235252 +889682034 +1447264854 +1318932002 +2041770761 +786216573 +806580354 +598901772 +1444747661 +448951150 +415322984 +1156123608 +1681377039 +1340504270 +2002580327 +1926299499 +490235961 +1600472537 +296838592 +1817355985 +1116850901 +1256947974 +363602369 +137220502 +1750480507 +39598019 +1527983683 +1370531024 +396623547 +270109989 +473750460 +522858799 +1159792024 +1921015314 +1841790801 +1054079137 +559748239 +500887508 +1652980909 +2004495901 +949838658 +2068303893 +1013135861 +483732050 +1261324515 +868232541 +262547901 +1751560477 +321221430 +559386493 +1421432814 +1438072332 +1816334468 +1785035183 +1575292834 +1419331327 +1824633203 +955792870 +642378703 +73773102 +1225902859 +1116129163 +596631901 +238211235 +889660830 +290939054 +1292290372 +1449409069 +791826562 +797787633 +1306421322 +1741665221 +718607879 +172073536 +77913623 +1979932394 +1040306077 +340461524 +1584009223 +1361527507 +899848017 +857958389 +652116191 +568698837 +495509925 +79925378 +1988030165 +172659480 +1035718248 +482925220 +246432582 +114137459 +1599054384 +843064483 +352348695 +341231566 +1134003537 +1644639067 +1790640635 +1925830100 +294943053 +949578310 +1520011673 +1013550932 +1121651846 +1597925296 +845999678 +14474275 +1938386820 +282525254 +1376001782 +690751189 +1140483643 +2028117974 +1259450027 +1635993568 +2108043352 +1099996544 +1808653048 +996277952 +1582921764 +2055085630 +1110415411 +1034492500 +750666465 +1462764106 +1375724066 +1884670003 +959919526 +1018881054 +1663016455 +1254862579 +1968459364 +1035544480 +120929863 +942627562 +485986128 +966929541 +957101837 +276889300 +1249454795 +185619971 +967640489 +242454791 +66254297 +79606868 +1878448359 +26814001 +1179603412 +1539617760 +1023091953 +615041529 +1447219742 +2133507365 +1649534029 +50402560 +1448787823 +877774448 +1935072563 +261223701 +1896655502 +1450605370 +1516086280 +1717631218 +338666202 +1637016143 +512775132 +824652330 +456462037 +1469876969 +1101541630 +1705916832 +1655496940 +2069182119 +1948371623 +1721751238 +1305340 +1679336335 +1748565239 +1180908752 +1071470447 +624173545 +1795950281 +371206541 +610197262 +1298000663 +421609101 +2058985085 +28291463 +209198016 +172725139 +1924946965 +1659803386 +1688811419 +1495094535 +1998469588 +1178343915 +2007869667 +675638270 +1634805952 +1330262988 +1777179900 +1193239136 +838276280 +1698878372 +994127112 +412543870 +1700183712 +525979799 +13625462 +733608816 +1597450246 +637799007 +382075450 +1968656787 +1247996269 +1680076113 +242782241 +1159497706 +1708367576 +451980257 +1332222845 +1485830893 +2111783644 +873550617 +833441780 +1962769584 +2051894532 +693827799 +490924207 +1539216836 +2024090787 +120620459 +584972324 +714883419 +1819498831 +1579099436 +1127427290 +1372198895 +2105079235 +1141052752 +2105807712 +1555045833 +1778851759 +340399514 +1376218973 +879364380 +2020475627 +1619001214 +2038862086 +1581359555 +2070981471 +1223601284 +919706800 +2035281467 +2097151901 +1753148580 +1850567404 +2001562785 +299492731 +194007963 +1393295973 +176099870 +314628422 +1978268297 +890983289 +2134127254 +1409884086 +2018410579 +1358842501 +1367479673 +1011979683 +1317166565 +775041859 +643347794 +1657566079 +3777184 +1522712174 +1530558058 +1622778398 +1414090613 +964433965 +1546276221 +490208249 +1884140765 +1434074041 +439876502 +1489805697 +1137157797 +293955639 +1789298428 +1331165760 +1687251612 +1965398298 +1645794182 +1518036261 +708897940 +1632437788 +780436699 +579824871 +843796642 +432725 +1591804555 +13479559 +775474584 +87668701 +1671045639 +779251768 +1610380876 +1054120049 +254546518 +876987841 +2018554015 +1800822739 +1367196090 +1755211132 +1087413132 +1807072592 +1097533182 +77087281 +2101028231 +739347962 +1408253041 +1640796195 +557262613 +906563576 +1011348808 +1266160553 +391517716 +1791785508 +1845985424 +1235314358 +1792218233 +1290306331 +1248793918 +420209169 +1377975033 +772355909 +1199460937 +840872261 +1826475958 +1454007455 +1717860102 +1697546325 +1107346546 +937572544 +1305273810 +47276031 +597161488 +255323344 +124363312 +550706071 +994671306 +1532616354 +44018618 +1551933919 +291696282 +1055367426 +670610824 +683213998 +699669286 +369112601 +1918528357 +344403871 +1659418932 +1019838627 +764613040 +889910317 +1792194536 +1964073977 +1730782578 +1471186846 +1270597784 +1301159032 +1021249524 +230460683 +91247928 +179039686 +277736714 +688409416 +434363030 +402100026 +1239115487 +1429034336 +1934716380 +1283134105 +833484608 +78929014 +191017884 +1504095432 +762143013 +890687170 +1873208033 +533187722 +1235091042 +1385143318 +1553026349 +1999704082 +127569987 +1197737237 +1816294412 +1858352566 +521440435 +939408548 +1012027950 +1542689959 +1169869231 +1103275879 +1721729645 +1447605945 +1791685295 +8609027 +1849705972 +883317135 +1437643364 +1636938704 +18967592 +123644324 +1715867719 +209985476 +1627739756 +330527084 +1100672647 +1353464142 +863714806 +188280041 +591123812 +269257507 +40500475 +718693799 +1466994744 +1856794887 +429562717 +1988435179 +648719788 +1441590668 +1383641491 +1818589019 +397382899 +957887488 +1118711317 +41584546 +966496516 +820933641 +924901681 +256656232 +310388697 +943869274 +380300556 +2026256416 +1153854750 +2008040312 +209299852 +107043749 +1214020806 +1073014658 +295323790 +1805144618 +1342272165 +335824266 +376354770 +661783261 +45135505 +805917487 +502734793 +693855293 +100024507 +1886376284 +364960665 +497407406 +696780124 +1483671982 +538991953 +1663276640 +157121975 +1463893634 +1919932872 +467510672 +260279260 +152749780 +346283441 +1414134011 +13306445 +555583293 +1521177760 +1227327251 +1628597952 +1816501551 +884988222 +823386469 +4842169 +1261342992 +1485169731 +49977674 +2067260479 +1987904524 +743832968 +19801339 +1726797160 +1108793633 +517208745 +276093636 +444981967 +1056200698 +1939370277 +602103942 +372610685 +1711819501 +1069614614 +632889945 +1864569282 +1415898055 +2047023956 +1877875727 +1971481349 +1420718069 +957719330 +1452595653 +1089735972 +1842707552 +128498474 +1094578141 +956566896 +1613668205 +1144555815 +876343728 +1454089081 +1888388783 +896145067 +1033402593 +849698768 +1413353812 +1309496230 +1294680735 +322070863 +1101382859 +1896784677 +694681548 +665718712 +818915644 +1327571493 +382804346 +87330051 +1227111802 +113196425 +2058811400 +500346223 +1070915756 +1363923405 +1590082195 +766139660 +1492421880 +537176688 +1722706557 +958606437 +1681732503 +451566637 +265211871 +1422637639 +1347711704 +1298614464 +124852759 +613581868 +460627046 +1419533495 +935652731 +1562009905 +1168834524 +1630334279 +80244970 +1987750168 +810422125 +463049316 +2075080220 +2037533927 +576245742 +1986407972 +390396502 +1647161498 +1202847730 +1980478697 +265817510 +547785962 +370171737 +1988524067 +1506392399 +2051904240 +292607056 +1771604270 +1327058231 +1640318760 +922735087 +1451910991 +106416981 +1383362133 +723960838 +1042069712 +797888391 +1892795362 +524920344 +878133361 +1733061883 +1335342469 +1341182677 +1660658455 +1225392748 +1917428419 +1499582779 +1615789250 +1417106269 +554946861 +1448784299 +1682923780 +1102732823 +1818956036 +1523964199 +461641575 +1723376628 +1816571256 +85762197 +902951212 +1309406368 +1008497284 +207378555 +1415823349 +244375770 +931339393 +310409414 +1042264161 +676651107 +835329758 +1920397522 +262229342 +23188579 +1114096551 +1922887797 +1248581327 +884041323 +1274986929 +716886929 +153663944 +1829933790 +18187580 +1836587724 +785182966 +1837143616 +1213068276 +1246824541 +1413036596 +882155884 +1332586738 +168504160 +44078604 +193600375 +375882715 +1459901954 +437976145 +1307222108 +1770311368 +1480240306 +1983873216 +458157478 +1253154180 +98618910 +481346057 +219767083 +2021506708 +1729927384 +1103808406 +1149009989 +299330665 +1257472351 +831460131 +317518245 +946576427 +1616643097 +7178213 +12161055 +715983990 +1420214809 +894316939 +2048570729 +1588718970 +938395544 +94687456 +1964601685 +250813850 +532663601 +1124340146 +2021125218 +2012903907 +960729714 +331799048 +1118574439 +1059348624 +813145105 +1338341522 +933371684 +395588841 +294666281 +2082381673 +694919506 +1552138632 +766358157 +1012437751 +351231411 +235517606 +1019615964 +363392467 +951501597 +292347125 +1257709406 +852588678 +1881066095 +48621302 +947276134 +1698184133 +299435152 +1479939735 +675040631 +173076722 +1345359994 +1635770345 +504875770 +316450785 +547635321 +1318020875 +1654792307 +1481007006 +1713609716 +1949458588 +1415905031 +261045574 +1354113572 +34779540 +1273483325 +1705344984 +270297147 +145615641 +2068737451 +1221798744 +437962767 +1178963209 +2074387422 +171545214 +1227584512 +874179908 +1869729347 +1527019664 +206635995 +397286330 +1700096387 +1551995989 +2033056675 +57488509 +1868446774 +433208349 +1375509385 +1375755433 +1914215355 +941635453 +1177730374 +1182636738 +1202681028 +384360298 +1217416279 +328680705 +2089705282 +1487713426 +474296347 +2010959085 +562028522 +912259114 +1042438647 +488932296 +1083804328 +122539511 +1363112204 +806050028 +1649559175 +1569748199 +1203336358 +1202171914 +974260540 +1088909386 +1259660424 +695223666 +1522117735 +487686161 +2070979099 +1288849442 +1429321614 +1101225825 +324002532 +484518994 +1485586124 +1541418811 +813199700 +1427807758 +881648589 +1287496047 +1291283196 +1443677111 +52271513 +186238195 +1932609407 +1136075841 +308777706 +1148237963 +1942125869 +1958336881 +570502514 +997978580 +1013025148 +1544763054 +2086887966 +125201924 +92503072 +1461522053 +612888085 +15998524 +602887847 +2042209699 +1117224349 +926890379 +379245046 +455326825 +320825543 +1192444746 +1883134584 +1202474132 +332457145 +1026934132 +498667596 +384728658 +1213172327 +283793355 +1520804499 +1521950033 +1432031319 +1315446721 +1332803266 +2002533833 +165941653 +198344766 +1399813240 +105345971 +323546690 +1492316312 +1566868024 +936434775 +1508314836 +22272223 +831160827 +478055538 +949162602 +1210405873 +933382363 +1269988145 +255366971 +669033299 +324978630 +587824116 +1695967431 +823646226 +972552774 +761656110 +1107439581 +345873625 +136122495 +391987252 +1661320346 +1468925762 +247037438 +1827261999 +1667270528 +1646850678 +1932607970 +1990817219 +991683342 +1351992346 +779768346 +352514531 +1374264569 +1610929173 +830570069 +175943524 +673851398 +1763952432 +1445931669 +929218369 +285502084 +1770910299 +1517042485 +1981469515 +447072877 +342111611 +595641978 +1554512459 +687985237 +731764473 +1946499711 +201821935 +53206587 +46053501 +2029083935 +1720477116 +1692904179 +1814208257 +1563810687 +537103874 +1018716956 +196095385 +889618405 +245497877 +1807024559 +1720188474 +421441401 +333392309 +1336657258 +1867373071 +1262610679 +1622159342 +1490799722 +632169516 +1456145210 +1937872600 +974281128 +2051787188 +1344901411 +1662266365 +636068013 +1143917474 +1864088300 +689274601 +1189970976 +1745688587 +262268069 +735391507 +1412413197 +1826078756 +1272495381 +283646505 +2022174141 +14630138 +529144382 +1681715052 +1734818612 +950585784 +2015107362 +923992223 +670475207 +1130234393 +398667917 +13791281 +1762403909 +1854813127 +1951663881 +589201389 +1759116667 +1149081644 +103984106 +247701033 +145515471 +1968072407 +936975634 +1335486447 +1566277346 +1199243703 +2070877954 +831206895 +877838811 +1195889688 +1114853400 +752529304 +1210519826 +1643997783 +286760709 +797854791 +447099919 +154384423 +1721847014 +1117575126 +1284618816 +2120514931 +1131366407 +899539077 +1827844411 +935546641 +1488740467 +1439477430 +2084628285 +1592724573 +1687178463 +82660108 +1413313332 +476670449 +1418146555 +832107031 +1675914152 +1341540862 +1663313926 +406269315 +389946902 +630683679 +1158798620 +1600466728 +127197814 +1445559329 +250837871 +574297733 +1599943752 +1972684885 +1691872859 +737078920 +1945716169 +675755618 +1636617997 +1626076932 +1611302259 +977874816 +918070714 +1548446897 +423115742 +457765530 +1631107005 +1836429074 +934435979 +901769913 +521052457 +462866484 +95827127 +36882736 +869135799 +485774029 +667566415 +2027934419 +2086240757 +794764229 +1326010100 +189594981 +1369061962 +778470204 +14796218 +913451173 +1515549124 +1960512387 +1589206791 +1004683474 +1439105671 +1053025403 +1982558290 +209692738 +453988652 +258190384 +667458268 +2085095657 +2094619459 +1601894247 +839381922 +468188268 +2064760731 +935209049 +505071004 +786412883 +1420983078 +1172637419 +666863654 +1359740188 +1967401648 +1992873755 +1549335169 +1188979962 +623860311 +1564131387 +2102431135 +2139409436 +1377160127 +1544154279 +996609262 +668782150 +449696034 +831683904 +878474888 +903684686 +1089874289 +1545933156 +841296695 +1037010100 +1000343756 +1680678618 +1505198368 +917620839 +468404019 +2010269373 +1704033722 +1889387098 +1035423144 +223413729 +1101643638 +855341145 +68803836 +503495159 +2044321107 +692664147 +2067626546 +1999268595 +684589935 +1297303025 +1395939226 +1681199197 +1966085176 +1845635260 +365399454 +697076416 +601836298 +1455273743 +95525925 +1443132993 +344800195 +1095869681 +976327963 +1849998563 +2013490520 +1444731983 +1712784288 +1570040595 +1186635433 +600723785 +1793454324 +140795423 +1456064930 +1862258160 +644290582 +1352902389 +407438659 +564433480 +1204687336 +1092028595 +1861736506 +453142914 +625744144 +1680338034 +151294526 +991143598 +229930802 +753130824 +298933693 +325456727 +48780170 +643733888 +1421326408 +1025108133 +346248804 +1287333281 +322356468 +2059033092 +709890228 +1508991901 +512273229 +355860904 +1649787324 +1968338159 +70635416 +146594258 +1173756901 +478074075 +711027739 +230960589 +1570102670 +425280597 +684103504 +48363167 +2105618631 +835398030 +1039506765 +188065785 +1588528855 +1338440459 +513522513 +1637309025 +1982174347 +1934848921 +514933510 +180939503 +1074698554 +837289979 +92488948 +1784588782 +198798232 +604762177 +2140449686 +1848585557 +425616689 +63601454 +1995179815 +1599373590 +541675530 +558723906 +1830334179 +2111778200 +984004503 +366954035 +12657719 +942139486 +1202352066 +1052164485 +1130205272 +643397273 +243121296 +1643727785 +133222650 +77811995 +1431093058 +648156160 +258751499 +358307965 +1485446139 +351240447 +2142896747 +1684244372 +956002624 +2135862786 +1385346281 +1381619313 +51980592 +1233042448 +833509255 +593656122 +1791766355 +516359787 +557950675 +628287210 +883313822 +570608394 +1570426697 +2085665888 +1622772879 +553148321 +581579513 +1865894175 +49392458 +714802163 +1943706171 +1480485516 +1362958324 +54974022 +1838793481 +700920815 +406214469 +1834206581 +237681539 +1362217093 +1822585719 +1623027820 +596352759 +1874566311 +708586621 +1429862014 +320738786 +352869328 +1946221801 +878689461 +981156538 +682051976 +1449297855 +404099587 +620234216 +924587087 +957247908 +1201813730 +642997614 +1006640366 +1916615893 +439220137 +339642235 +1132090569 +494194159 +30952068 +1833011385 +900408628 +1865158649 +2070692924 +115142074 +1540260720 +1546237097 +711494833 +1267343384 +107340070 +2141356847 +1588082170 +460209398 +1940095001 +319287983 +1441365936 +474663329 +1768585838 +1845465524 +1094897545 +545689277 +655229784 +149227627 +1188686892 +1661870151 +2065843521 +1627907029 +2001512386 +1050450442 +2122101189 +2032464454 +735978179 +875026169 +1750139456 +659187456 +990168243 +1142916528 +57940905 +1701663076 +262776264 +165280975 +1695536276 +1850858434 +625490373 +1488147629 +22662769 +2066856309 +1962810958 +1791248608 +1764838185 +910224855 +189454237 +272584322 +1059452483 +1378141129 +1934454473 +977812356 +858564511 +1788483211 +2028262798 +833182052 +1673464017 +616757330 +1708208221 +1276119825 +1275944786 +550892817 +271552706 +1333885691 +105072245 +534328970 +1499166666 +1800608521 +237703757 +2124657039 +1141272502 +260366526 +2044029700 +956599812 +2051615134 +1661384238 +1866824668 +93585724 +1933968560 +778793503 +1471726853 +1720939385 +1756605859 +182807716 +1361938948 +1637385009 +1015989768 +887919317 +106658691 +576714342 +16555495 +1382603477 +1127607159 +288108201 +569005520 +1232679404 +822437171 +2068172186 +885804278 +1060140928 +2045345577 +2027076780 +1320507455 +1941891630 +836192945 +1224638941 +1455792220 +555533965 +1318224665 +1242277132 +1334327468 +642467871 +815732869 +943449679 +825275587 +30188169 +433351040 +1841265356 +918107486 +540009732 +270496050 +934662981 +1922613209 +1398103209 +1222771182 +344135082 +483298965 +2045208354 +264823620 +1369103243 +957865634 +162685550 +1248696376 +130889441 +2104577180 +2084889321 +1355528383 +1412885752 +492939638 +526269400 +507679236 +1827267106 +1168737271 +1323412105 +623233137 +1994012859 +1353600274 +1056584177 +1687794567 +124224112 +1596593909 +1958290617 +1058887094 +1371723471 +1208910178 +134174628 +1715858553 +1692209143 +31899334 +1980682173 +913828739 +989764969 +2143367723 +15041467 +1120654410 +2100461255 +2099930788 +328699145 +1365863359 +445386778 +854968546 +1873542595 +125170236 +2023705817 +1049471052 +748403373 +1870235028 +255587678 +1804987550 +1410545947 +379811791 +1254097812 +1221352916 +1438698885 +478337635 +282779446 +1572873513 +46712540 +1974988590 +1604772848 +2027394713 +741333681 +447054169 +2023278789 +756375148 +1567708579 +1976256396 +708822288 +1896407725 +1194636108 +1154209066 +603892623 +920695055 +1279379302 +480114792 +1970166108 +2027782675 +202866173 +78270138 +1685286577 +1613412120 +458081929 +791900741 +687281389 +1896780814 +1270238376 +970060835 +1322170680 +1316950916 +797565777 +779459880 +1196861982 +1538899458 +1226514049 +1072657123 +147790958 +646738980 +901429871 +856613246 +395663057 +2096065979 +2010822312 +999555680 +869277387 +1142717966 +1479670473 +691959847 +1023016993 +1682536646 +770229985 +560819923 +1148465118 +1228311915 +1352720664 +1835746507 +977609081 +475475393 +658323695 +152296113 +1792426309 +1455889472 +931755993 +841804643 +847305283 +10786394 +1914461766 +995096241 +657525375 +668407990 +1851709488 +1053188432 +616990321 +1715048152 +2052744113 +1486267708 +710282471 +1384930938 +30743907 +1733299464 +919983936 +800973893 +146635739 +2068449054 +2029285808 +1499356404 +1756711914 +859411241 +1974831797 +267551961 +1011707355 +1619774458 +1723441433 +1943463348 +314095454 +423263068 +1954249743 +81073572 +1418359310 +464291470 +749481562 +1122585150 +1517479902 +1366471884 +690149654 +1422740367 +705255944 +1400432125 +660187657 +735999852 +986247942 +1580171593 +1536973745 +1132883681 +1501137000 +1418775905 +484756437 +1110365266 +130703498 +312104586 +1377917227 +1142410853 +1931879045 +953875012 +938390554 +98490851 +1377138081 +745156649 +179564423 +648013743 +1209448119 +929045986 +1770598893 +579444373 +148034222 +313264899 +2002184741 +853290166 +1713697025 +514888750 +1589290018 +552461319 +2095060344 +978780115 +1685345000 +1448713696 +250072372 +22617790 +411595314 +380775871 +334722376 +1789512541 +1523186724 +119117773 +595903905 +314093630 +217608624 +1973041986 +1059250279 +397173048 +473572081 +121214750 +1326219034 +96687326 +700659124 +1474253256 +409952226 +555360217 +180059774 +2123649251 +1070248967 +1769349793 +528626922 +1017825663 +600646260 +66488274 +319055711 +850718633 +89106064 +730651025 +1231494504 +423828441 +372679918 +607197580 +542946214 +968583824 +921291211 +760554839 +794142162 +1980541490 +1157727887 +1267714244 +2101756241 +336463273 +1364401570 +654931717 +1810716529 +1774353796 +1210291934 +1990776303 +1750519399 +133057253 +1612642448 +131662673 +1150882917 +65805061 +198150948 +1469938628 +916523694 +287257012 +53106006 +534550 +711085453 +425785924 +607732130 +1254031668 +1394369748 +1529023341 +2014586507 +41028263 +1362081184 +1024830746 +1308742507 +1316353777 +1361294019 +525660429 +1971285494 +1024526900 +152530578 +1034093780 +867819555 +1903049977 +1167151033 +332978356 +2034712651 +170550302 +398783417 +85379951 +1640488931 +1315307111 +372636963 +1693594937 +1315841661 +1083722417 +2119380861 +1923573791 +190270437 +1366266962 +1305113485 +57373296 +1407295225 +519711021 +1082204042 +568554084 +1836064798 +296014413 +1094214513 +1659866644 +1320541313 +1246745091 +546476776 +40877220 +1002311421 +1713627809 +373855576 +889540424 +1884178112 +772638993 +974920375 +1377183395 +2087946104 +1347557338 +923294684 +1256304117 +283796107 +895191897 +1032394261 +474066544 +113975211 +190024098 +531439840 +1521270436 +709735119 +1613643882 +2089824520 +398316269 +1909658295 +1036555386 +2058182913 +1082715960 +135816829 +457176041 +1123593181 +1138128250 +23320202 +1497448757 +2027668674 +1907498314 +122604103 +855105401 +1137198061 +63066559 +55179092 +2060492745 +1319370677 +338975199 +808200995 +204281290 +813041744 +922176206 +394305388 +1344481584 +295962995 +1104040507 +810641819 +238303867 +1502356776 +572816466 +1274859253 +1413056041 +1655532427 +1410676083 +1870232082 +631641960 +401320685 +1893552284 +2129090717 +281505712 +1653566951 +104211172 +1136611113 +643281364 +167277732 +1191790205 +556290462 +1486648409 +1530765405 +1364491457 +1690929699 +196323501 +139184015 +2085235087 +1540805085 +435147010 +1041791946 +203963256 +673450878 +396665074 +776779723 +1948310131 +1809721115 +284828502 +1211502566 +1532469549 +916470462 +1612823252 +1278538185 +898077531 +1894328964 +784621488 +1002288704 +883456429 +1427902853 +1169566436 +2075246635 +1984193315 +508731197 +1458528392 +1201201124 +52177248 +1654851893 +1340385139 +2137412335 +1048173330 +1775532150 +1031720633 +1252136587 +301499380 +1428385707 +2028916310 +102325863 +1090623174 +166261164 +1313828430 +475609075 +1082731626 +779168034 +1754147260 +1980809157 +526013350 +391285101 +835614213 +1409469779 +1819187954 +2005180649 +1337232766 +1655897621 +366428198 +648277510 +709615097 +418605446 +155645755 +2050000236 +408534133 +1203819086 +1678048738 +1440254766 +308472025 +1979548118 +721156825 +189904687 +2081873982 +1811779999 +356165851 +1248218764 +139905426 +1438897477 +2027386798 +1894052687 +1272222986 +405916500 +137854140 +2107837200 +1815386279 +1957042094 +1965534201 +1005135398 +1465456067 +184478752 +1653412908 +27587516 +603084198 +1809058664 +2077587752 +1011618332 +865394102 +1608152843 +304389450 +1173866127 +1440217313 +1025546276 +1363770814 +1374607647 +689842627 +1719936665 +475342763 +829748054 +1011350494 +355245913 +576317093 +136089832 +761162413 +714171233 +96443384 +429065045 +523729679 +2061977586 +1434200443 +1989185746 +98972690 +940129703 +2016773262 +702056888 +601704719 +1946877366 +1713675220 +1467098821 +1407546561 +2018064671 +493481300 +700280227 +896127299 +1857252114 +2074887874 +1585969926 +1429705131 +402746990 +268234332 +293571977 +757992903 +844551425 +429661810 +1519155317 +1558722658 +526105194 +1948220362 +2082452337 +440599132 +1234937157 +1924154435 +539571822 +27583212 +1793444049 +1241628711 +629287932 +1592837768 +807820283 +2096386753 +852900681 +678401306 +442384406 +1553180908 +1574528605 +152152872 +1480585135 +1013014884 +1581858004 +1883332125 +1281249216 +1875429981 +493841380 +2125800642 +157608143 +2012996697 +1537039652 +683713338 +1813733411 +1472008342 +1124312470 +901186920 +1248679129 +1663884293 +928770133 +894639531 +758029356 +1558058065 +339993651 +1565849639 +1506961170 +1192894332 +96767298 +1949345576 +598591593 +1671295903 +2101498449 +2079176728 +536827139 +1535872805 +1815025205 +1818076356 +1263819138 +161382937 +1796393350 +1421427282 +26895987 +1185949354 +2105140620 +1840629398 +510474048 +1081969442 +594332671 +1759153178 +598370087 +1523102804 +506309061 +1356399443 +933677221 +846302712 +774765435 +293154743 +2039197044 +871532733 +95016672 +490304989 +395344988 +49031473 +421998069 +932172128 +1584904278 +89539626 +602764836 +701239768 +250922564 +251674538 +2122667050 +277818551 +1437623892 +2080324022 +2118447949 +1948097941 +1014809817 +565296972 +1559767471 +1613179904 +2088399776 +2066076532 +822095700 +874593349 +764895596 +1596861135 +1167748093 +656608992 +320910220 +1262764765 +1146913982 +716255208 +1311796238 +1568912051 +1648427336 +749216868 +1658451678 +103708524 +1450456636 +1909374242 +355383062 +1425640039 +39709145 +1793006955 +1358480413 +10673446 +1593621248 +225806582 +575970419 +1005905071 +1838986487 +516886547 +924497955 +513598539 +1391479897 +1689393551 +2110459674 +411744342 +198518895 +283886246 +1674509107 +1345432877 +1000141454 +838821697 +766861281 +501085143 +1588038565 +277829311 +604793667 +891011553 +39719905 +960176730 +169167944 +79429050 +605700037 +1527648358 +90102496 +51837637 +1753454940 +666072915 +1057742708 +1444957779 +1182959463 +1982240663 +1958556318 +426955712 +1524150566 +1921532344 +838700054 +1722669461 +57934942 +365725513 +920618691 +1058076397 +1204547210 +1687479972 +1559161540 +645102127 +1965309283 +16471559 +1536113680 +2005029188 +976648289 +1705281625 +2084458238 +1582348326 +1085446335 +27077086 +1634185963 +691417627 +693150002 +544445023 +2136375407 +1876109465 +379202038 +1947448077 +155581529 +1903352604 +1721496774 +994281583 +1478538418 +1779431716 +1360007096 +251673461 +690024465 +417070658 +1939153433 +101702357 +1062172785 +1756979068 +118173917 +450802817 +1614524608 +1094822206 +8600794 +1551499198 +529686885 +1094047129 +1578576284 +16389200 +1785464757 +124242638 +560834224 +1774356516 +2000352103 +940036262 +1574320945 +8449984 +695905219 +1148334071 +1002731567 +26959989 +780282140 +215255015 +278633450 +1470306605 +632325673 +70303235 +1572008963 +1694498458 +1827282303 +1690182880 +2145301276 +1294323263 +637521438 +6418422 +698338813 +1167208323 +1100465552 +129431449 +1183597524 +738446661 +253674088 +1744431748 +365319529 +106542543 +536984362 +1939640474 +114992528 +1232889581 +940490898 +1117724095 +1259849570 +1720773038 +1332979111 +1538483020 +1043595995 +1965304784 +1608786255 +468121310 +1512319595 +1288584910 +10820542 +1510137223 +435424525 +648341981 +1516555645 +1133763338 +1815550304 +469537549 +1263194788 +851664180 +1207984210 +1516868876 +448612280 +1573303739 +1623411419 +985596643 +1365460566 +1738403947 +71002576 +158467816 +708644395 +1330852147 +1879240854 +2041623506 +721851519 +775353201 +1859444642 +183154127 +1243474512 +1224280589 +1471739037 +1254295054 +586934164 +1907163563 +1902637035 +2103489810 +893443253 +1570703692 +425543711 +9154393 +274884224 +1633527922 +1526023269 +723496505 +1059348013 +1001951041 +1709093148 +277324931 +592871340 +1780095724 +435792747 +1301515735 +963464223 +167549953 +1195655593 +1685315743 +942903155 +907616588 +1868469870 +38894019 +2131897177 +1192725259 +1293189073 +571347694 +952405174 +1048342461 +527353856 +1845848428 +471562505 +952897567 +1855002821 +746446729 +438941841 +1233542443 +1469943234 +1498289855 +88009836 +1031552734 +1775614786 +680881176 +664164811 +63923886 +1982396912 +1627629034 +231473839 +1030568857 +1165461129 +1174376994 +1938185445 +886447351 +1213271013 +1922598975 +2079172611 +358976439 +346463021 +884094137 +1407318900 +873816877 +582458917 +1878881405 +1826714444 +289978091 +477844486 +118172638 +1523520534 +1947787721 +1616462493 +1611530370 +831856807 +1244593631 +144927898 +1496021618 +1308517517 +2127324810 +976167005 +1539991357 +1010410020 +2141628134 +566884703 +801111817 +880591838 +1780155717 +576227144 +812280801 +2139132156 +922690165 +1696374938 +1398967408 +1796507042 +131350208 +1130365165 +1475737839 +421328299 +1608209651 +1593910477 +1944848833 +1408513724 +1062889322 +1408895555 +92886884 +159999305 +1553823453 +1588908502 +1468516823 +1533664616 +417591859 +861024532 +396590988 +411736346 +1427909235 +1197702805 +1292328184 +1060581304 +1773929950 +2104608985 +1052229812 +549136467 +1653500275 +303713572 +198159862 +1784850483 +1434078737 +1673897701 +58695134 +894804741 +1120324530 +2003543967 +155834817 +35730204 +1264955874 +248721701 +195729509 +671295680 +1837630204 +1664246332 +57476648 +107738415 +377787216 +454067636 +519474761 +1805696452 +1651770441 +1811802945 +718794108 +1278216743 +1768928282 +1771023921 +1827353211 +1274944910 +2074737493 +2025513073 +912311745 +1361332583 +1551927126 +971006880 +108653676 +524768008 +827067199 +264488493 +560498212 +2092023074 +513210195 +756227721 +615835106 +203356751 +272990406 +673311754 +311095166 +650777622 +1127379390 +830569928 +308990426 +631666183 +494889225 +1027784535 +1909882927 +116333860 +651324808 +1589752490 +1391278770 +578578653 +1467781915 +156106867 +1939911236 +872225393 +1127113747 +2048564912 +1396993401 +1954180947 +165569758 +1957491613 +1898720373 +678779953 +566235686 +367071831 +882136704 +839226092 +1040383585 +1193231870 +1490003715 +20279327 +2023801798 +1798994141 +651945510 +371207376 +679295028 +414344789 +487541236 +1330619836 +2004097279 +1878820006 +1909198490 +1324395546 +2034926873 +1701626078 +49137291 +1014556973 +1602707343 +1446130692 +821254272 +1768277101 +1256138657 +572490997 +299573406 +1822374344 +939562828 +1181710110 +514116788 +1979946413 +227458332 +2004120503 +2000225740 +103776483 +1655630997 +504687602 +474983859 +187442377 +919032392 +962525095 +1518062214 +775646023 +693861453 +1279777056 +2100041570 +581304678 +833919486 +1695213 +1595861651 +289143181 +1447825906 +269632275 +2057420282 +556480915 +842123272 +209510040 +231371611 +1781686100 +1391220150 +745488400 +1614148865 +1618678483 +602125255 +1466890957 +1722454966 +110272604 +1971578560 +49955177 +297714982 +743127304 +1012480272 +1815777196 +1518773327 +1706341725 +948070604 +1471331249 +140162755 +1781990090 +1473026463 +1736024407 +2071133272 +773368721 +2005656682 +1981069906 +1329849636 +700296307 +43096299 +1561221248 +334498759 +1434316449 +159226000 +1948647625 +905511284 +761351255 +1268054934 +480482602 +871623860 +1092149846 +530437779 +1169338842 +1835277150 +1542918051 +837632390 +1206566830 +1101776128 +1785702994 +530414431 +1241938884 +1420209436 +2003440894 +830479643 +1343859060 +629325967 +688652677 +1177445319 +1959175604 +1388948984 +1220541618 +1372913204 +1723447744 +507374419 +1532139204 +1524611721 +1412885704 +146006811 +645183007 +1893368306 +1017630671 +1737332854 +276322438 +39485865 +1425126356 +1819240489 +877118255 +484209538 +773532970 +515337601 +1014623970 +2015471854 +1935547038 +870581216 +698467849 +1131922450 +1499907184 +1387120526 +161884121 +1311599140 +628585863 +1382425739 +537028696 +204549959 +1889800159 +2069167900 +1729161680 +1155202215 +67691063 +226861039 +901086873 +1085321735 +1964193893 +1177409311 +1124807600 +1241836602 +849166153 +2001925856 +1726046140 +1622699123 +369779809 +593186462 +1490687329 +157843199 +1463767679 +41671530 +1289765650 +816191215 +1428792056 +1451649771 +2127790355 +2057377919 +686591863 +517335403 +114444230 +428908374 +439019655 +1843605910 +1584110589 +506710718 +2070466950 +337713814 +1592032453 +1887177195 +1515123126 +569356406 +981530149 +216805631 +423798614 +560092642 +1839504754 +793578423 +1153279104 +1182708435 +951421623 +469563135 +1224379965 +93703625 +1285754350 +505688373 +1545353396 +1266061057 +415582645 +84461611 +1783396460 +530026875 +513369985 +74932467 +226149138 +2097480574 +581643186 +149132440 +287710741 +26191991 +2036309635 +1802833867 +595548397 +870356137 +2019639498 +1019347011 +1430448779 +1711660604 +1812925435 +436244235 +746885391 +616863410 +905807371 +1971265356 +710567035 +44078073 +329470081 +108436783 +1310139131 +745052726 +192898395 +946051943 +1275079602 +706268380 +1020984411 +1501228740 +656265307 +1602627597 +1650361180 +943976048 +1628819588 +1539187167 +599326267 +76884338 +262059656 +471482117 +1096231349 +1692508435 +35659073 +761673136 +2128752671 +782544464 +1378536546 +887076394 +606326172 +2089103581 +931154467 +935796253 +50056717 +93809950 +1680848980 +242955112 +1039861894 +808444934 +949223492 +2060846305 +162190026 +1605488799 +1515990254 +1812551206 +401981199 +997326194 +1204254725 +1001307466 +1074210532 +1466314382 +1472789583 +22958234 +1011339169 +1508448656 +784631370 +992608192 +143509472 +15684269 +1879684586 +749835644 +2104787850 +663355406 +1685631898 +7360919 +757165356 +1218997230 +250316031 +1797027250 +2027442164 +1199539524 +1710389907 +42148542 +657544675 +1078896513 +1854699748 +1059525875 +2076222708 +911470825 +2060833341 +1002949592 +230301559 +1386139277 +1025907826 +1241640729 +747104285 +1810539197 +86765273 +890613758 +1826223466 +1966449860 +1640449402 +1783527668 +482321618 +1178597652 +1790888588 +1239486974 +250111234 +2041204619 +889030577 +130069750 +1093260495 +451936836 +172218292 +1750805171 +1530833350 +2026918040 +662847398 +1459572410 +790905218 +576197091 +315038354 +1021206777 +1962336368 +1340946181 +115363858 +561957006 +1004001730 +202129132 +1452570764 +682741548 +21095344 +945536518 +318785568 +503416962 +2124134171 +2109674156 +1742903936 +226761757 +2003395128 +484450865 +356831508 +949171975 +936387702 +529049800 +552493498 +319737404 +408484193 +1215340896 +1779309814 +1199389411 +1791537988 +2094348168 +73112540 +1606390708 +1287810701 +188476399 +20864066 +144328783 +390605531 +1473434830 +827070331 +411700875 +271487701 +1145855900 +915117837 +248138224 +1108046408 +510538125 +474899981 +963957888 +994988991 +831731489 +1913129864 +1931376693 +1360781290 +318139714 +103630449 +1769265483 +1533480611 +1882940263 +821171246 +1177534951 +1829804783 +894283786 +636442011 +970131837 +1082760185 +657306078 +1114460620 +1473365716 +2130740908 +1941530952 +1885066591 +254744961 +939903204 +652700780 +502883185 +2047949612 +1163238906 +977783167 +864423853 +10744249 +1809514656 +630070069 +1942120942 +1022812298 +948209783 +2045751391 +644594133 +334206746 +1781208006 +1465765379 +1511741697 +1463529141 +212565518 +700061 +286177330 +1295325703 +658006139 +1400637951 +621207772 +641263399 +1194685255 +358790715 +896008361 +2134588459 +1011491496 +1398891546 +2035054423 +27246754 +229191065 +751994628 +37991003 +2038705722 +1382064697 +1980111945 +914034372 +182790833 +1878379688 +1558628506 +516997579 +1512104046 +876910237 +2028739277 +828149539 +1089475755 +2029439338 +1114326870 +237317811 +539961829 +367481173 +858525583 +1181225228 +1562166428 +1217316298 +2077233589 +1549271239 +81324146 +1328641488 +1436842014 +108570900 +1557832553 +41352995 +146561903 +1449054627 +1423417692 +2126673848 +215605352 +1606208525 +1857569888 +1774233858 +2123206105 +1222190286 +503660447 +2004461734 +2050339826 +1593136203 +1886417424 +1017183048 +1830454014 +278895605 +1384664221 +541495949 +1460120833 +799347001 +1758812247 +1389870775 +201134592 +1840136394 +571028615 +1637976606 +1948707294 +2128861168 +1679329601 +2095269198 +1430432148 +955263646 +2074459398 +1646037500 +413988523 +1784545639 +1272787710 +389710980 +859252277 +1776448157 +246689066 +762108455 +1222100712 +2133106490 +1779291503 +905071078 +264518447 +1016472076 +1446567027 +1724639281 +1815819077 +1057895627 +967026408 +2016953669 +750548373 +1538055023 +1507446628 +551772019 +1519432543 +1039292581 +499557569 +802381043 +1994556227 +426533320 +300934895 +261061103 +63595311 +1573722605 +650772083 +922847588 +1202687115 +897461150 +1684956044 +277304179 +883083992 +1316763899 +1182375258 +1147602440 +185752328 +481458637 +724758073 +2001571405 +1539354264 +1691784481 +1871041427 +142418989 +1082355856 +1231004407 +694191009 +454304751 +122813340 +1193748578 +1256685795 +2117369568 +1620281898 +1557620690 +230947023 +1683877209 +983859648 +881719106 +459241150 +39063115 +1779180256 +2144197194 +316367294 +514780601 +1313477445 +1498742552 +1662383041 +1499229773 +1980201190 +239657466 +1353317531 +1372071806 +1931441947 +1076875310 +1514490796 +866314155 +160396069 +61198157 +1320618906 +283209409 +1254946735 +429821053 +253095329 +727744986 +1987441744 +484042352 +264138547 +823817744 +1365761459 +723379697 +862880859 +997458067 +720093243 +1179248153 +1512238668 +2033570689 +530507058 +1027138061 +1385316814 +363224600 +1266795527 +591150697 +1735296406 +1050753826 +1668026007 +1102303554 +1917067981 +1828422076 +1163501711 +1090203240 +2111631486 +270964799 +1520024293 +217243167 +998709785 +1359982389 +701285520 +1262848332 +36316485 +2067046979 +1986228030 +899197344 +917021398 +558837625 +2078445498 +281776419 +444924666 +461468908 +1308914480 +1830241481 +824693508 +428226360 +273908530 +412506266 +1478980186 +1941934538 +1514809821 +1248564520 +1622872966 +530827884 +191284112 +1587020804 +801792683 +1711308405 +1804263972 +1800502468 +923807147 +358065844 +915867153 +960123632 +277629175 +754611535 +1859320977 +1194650573 +1313449160 +1790282827 +1476426992 +1758373827 +104268087 +637857825 +1441131660 +928961595 +1066084185 +1715040190 +1341467861 +397580723 +1509491080 +708794034 +1646145243 +984880399 +1239621919 +1837429355 +424417555 +2041414602 +1401254113 +81197879 +1694433423 +177577612 +439263723 +462816928 +1137701244 +716892898 +1217428463 +849538573 +1911543472 +383393975 +492337752 +1240486816 +2141767802 +596605839 +1878344641 +1435415814 +1525567434 +796945178 +1002972357 +719551648 +1194525902 +364979789 +1428345682 +693187497 +1349860188 +520483953 +383133205 +1774277744 +414414908 +1784387318 +1855475623 +2108848331 +1961964930 +147255699 +424181611 +952182526 +864148597 +1641610074 +1801721100 +628208421 +2025004049 +146575204 +1868695238 +2019288204 +743181044 +1599556231 +1307220370 +121264830 +249017762 +162709079 +840816478 +1443543664 +527688869 +121678513 +2136731161 +1877549057 +642162466 +372380718 +1504343153 +1056577374 +9284388 +1212335129 +1017942057 +1971249318 +1359590828 +1442123668 +775948197 +76255777 +936250094 +430185649 +704464199 +813770496 +576760853 +425675789 +685575052 +1319941897 +2025232020 +1992795422 +1441206728 +126766134 +8020854 +134539558 +1570309798 +535709723 +256218071 +1559557312 +265775132 +898380538 +1931938030 +1770118286 +1954957912 +1941222419 +834969767 +825416322 +1764988089 +47076947 +120056342 +393452638 +123332724 +1056306437 +823638287 +827796923 +1870076933 +1400399141 +1253472712 +408168337 +572857390 +1131221085 +253480111 +2014064118 +1257987219 +261500965 +1120029 +680813370 +797210688 +257338100 +92887034 +1062985821 +1155718638 +2024825064 +685620459 +963192903 +1818563835 +1520590226 +1788609225 +1436068277 +1567667173 +1908665567 +1829520915 +1690999897 +817488356 +505675555 +371313173 +540081641 +1906074696 +1624785885 +948249978 +331448438 +608523322 +1201730090 +198028909 +1866510542 +1463231055 +199148938 +399840264 +112958096 +456487038 +492727298 +1175943917 +1612205677 +370068714 +1861564376 +427914932 +41148902 +1234670954 +69040509 +1477217179 +654854479 +1977706076 +1159254446 +198370728 +647710785 +1664930001 +569683901 +1187792426 +1423521049 +46986139 +2136042405 +1754969488 +655509461 +1190288847 +1952998397 +374536355 +506036254 +4663687 +774376619 +618994350 +461150725 +1267103917 +1794938267 +2073356402 +1637172632 +1509018995 +353787686 +1678321534 +596206301 +422828195 +1008055065 +1251060780 +253050624 +19825863 +1449431509 +900761409 +1684755865 +2019115410 +2088553835 +960793266 +2066101549 +2077112592 +568279106 +574127363 +1119917791 +373793855 +948663718 +1625954046 +378457542 +1723040338 +97464748 +839608268 +842660607 +1892403016 +765481022 +332349591 +1253938363 +1119268709 +2010671125 +1850144665 +1542096904 +871242542 +953721797 +1795147528 +891068406 +255669658 +548425289 +428340623 +127301421 +489495477 +1389133889 +45919322 +419124421 +1957412996 +620046685 +1539042213 +183723203 +1568710404 +1017512611 +562180746 +1144267094 +1114977359 +1401789014 +1986927701 +859896727 +19786388 +171793645 +2113835091 +1139055097 +34981122 +1816496108 +533668354 +906223665 +622734257 +181332234 +1797292071 +878403916 +729757524 +78149046 +1005705337 +1219253001 +1467282935 +1051624659 +1638377422 +1277212283 +1671671345 +1029935987 +1460935487 +1092898101 +2047448598 +2023116233 +89681547 +1014942310 +1277421599 +2076609248 +1874839037 +1297207987 +100919245 +1841190480 +288779437 +135900368 +1510202940 +822447791 +1042124033 +2132937198 +1003780025 +691932456 +863857466 +1733537549 +770081502 +1869562803 +805306902 +89880789 +773703814 +296200677 +1367093073 +297891511 +1326136664 +680544912 +1390789612 +1226101615 +556177497 +1480471159 +93560277 +1833599096 +1409596760 +1968399314 +983323435 +1510516005 +1662106147 +1272102872 +1646416373 +1024825439 +2094550663 +541056758 +1010278989 +950847041 +1232989214 +1874136455 +536900942 +2003070716 +1596215610 +1342207845 +2092951506 +222435777 +1638408522 +1312560931 +520327288 +817061538 +1993105843 +1911116901 +2043163153 +401799692 +1244104412 +2136723430 +87915140 +506217524 +1957639097 +1071238575 +2016733530 +1472261596 +195857800 +1515666255 +349603387 +142924815 +2056723014 +1359882377 +1093771856 +1142228580 +1086535184 +1630672799 +997815649 +535267147 +825396996 +943283507 +757702924 +316321870 +108360790 +1278030212 +1133383408 +2101466633 +1041663465 +1029062914 +355782677 +138284230 +1018302696 +443697817 +644501754 +828458145 +1514936392 +513751636 +153236093 +1710794192 +2029417892 +502839481 +1853719008 +1938657258 +1862721858 +800007216 +933402190 +801773394 +283196367 +1931217839 +1337040541 +1108593363 +727017698 +2094743465 +1424915233 +835378488 +1225290030 +410814994 +789361473 +119469847 +1439877908 +1145144150 +257754077 +310696956 +1588841967 +902255832 +1139155102 +956294712 +1416007468 +1292391195 +519605256 +1297941712 +1795230676 +225840616 +1089115322 +1510468886 +1025847833 +2022517513 +164758633 +1309044200 +1806251704 +1501799174 +270153916 +385785755 +1449058992 +1695069149 +1221164243 +526865374 +2105884143 +2010525717 +646335221 +1398278403 +1008186219 +904089299 +1708975360 +449544539 +1806345131 +700646814 +1405839251 +1074868951 +1993038009 +1925444507 +225327016 +1640785038 +3801476 +1314442338 +1003770276 +1029649309 +1189476203 +1168528909 +191209861 +848244260 +522844436 +461363777 +1234030015 +1971903428 +8949279 +307710610 +351285154 +2114833422 +170752679 +997620375 +1365628178 +1178938899 +1901709674 +927119890 +1628483438 +1560571157 +1627766704 +886839041 +487956461 +1473321065 +664799900 +713283477 +966622455 +668601376 +2027725815 +1970392732 +1698250685 +1069718371 +991437993 +1889460547 +1917962631 +1514282429 +203340676 +1004508998 +1338702209 +212289955 +1312219608 +1689987363 +179639730 +1482972288 +540124091 +1545267908 +514427539 +294350117 +324904150 +2142910977 +1854921275 +1952670854 +882266370 +195394088 +1278508271 +1547066270 +908677565 +97647079 +68183999 +788919732 +2068039811 +1766434684 +1858638103 +911994156 +1508411583 +1629117086 +278792938 +1711752260 +486142436 +1617495147 +1924042215 +1798362045 +1159998863 +2103681945 +1133850685 +1700122954 +1501466205 +1648278224 +1994473071 +1826370355 +1643705553 +1701910698 +1631557561 +378488275 +1897304786 +762582185 +1925554545 +658498703 +860229264 +1993738544 +1447418436 +780785427 +1612689581 +1158572891 +1692779583 +973617516 +640206330 +1971572521 +537886128 +1126348766 +1441584021 +314444696 +777227163 +454099236 +270642993 +1911077848 +6738542 +1772109199 +1411872424 +2001211613 +1450995906 +908094329 +1555638664 +935069820 +1286582604 +1305459802 +1697652005 +1064653502 +1963958506 +410397621 +910908398 +1263893294 +1191183048 +376114331 +274982537 +736478983 +1349731848 +915188867 +560567857 +1887617976 +2041537634 +2002151878 +54579024 +671281149 +308767466 +325222018 +434875350 +315506008 +2097331217 +1846747774 +169233973 +1400843475 +607358456 +1724872637 +188429647 +1893941060 +882848792 +1886081652 +811110914 +699323650 +148995625 +1722019313 +1963216944 +1340178673 +2098133644 +90715833 +2076657657 +1300381844 +1005904701 +489741866 +1040516173 +899958687 +344410096 +1095095197 +1571239836 +653177562 +1420317215 +2006115186 +968683570 +1370164784 +1705379313 +1137917543 +623524612 +165254121 +715306533 +811954259 +2059195181 +1598155325 +550552264 +722822448 +149995327 +699547889 +297358113 +2113212271 +2039726563 +248008109 +56444456 +1968900572 +1548389954 +1062349157 +311158790 +441422479 +1962307844 +655568886 +1536517676 +1386064033 +1308746448 +809351244 +1244695571 +129946370 +32032380 +802591236 +1267863913 +655556992 +967845357 +1983170446 +1467511252 +879556891 +1433842123 +2018063516 +1602379339 +1583837450 +570127757 +1899737452 +1549566073 +462370672 +261913 +1606010530 +283787596 +1548651867 +520876039 +594946386 +1990074346 +335700236 +1250515272 +1379108375 +1721764269 +411778072 +40975971 +818976192 +541724442 +73008351 +1621567429 +1809588356 +728565344 +441929138 +1645275154 +48592948 +1321486029 +931633630 +2066656464 +776381720 +367987432 +489300573 +528635524 +1917553506 +951671246 +528897438 +1376080388 +1235458842 +2077549305 +1896956427 +1830405229 +1920140004 +85173015 +933436853 +1151764731 +1806937284 +1345214926 +1192740702 +478429829 +1886939368 +1265749053 +2099997258 +1549044076 +1994314397 +394442748 +1046835583 +2042907345 +1715928778 +1978469213 +1962080161 +344826850 +198972997 +303897087 +873462375 +2116526503 +1255568333 +1402359813 +1345123243 +343543527 +1332425470 +1094596023 +26465108 +1105081826 +1179769038 +959901962 +109362909 +839222675 +157633240 +1302103611 +1317652504 +2044572608 +420369017 +1270166114 +1446133037 +267199766 +1664608862 +345484972 +162623464 +1233053992 +176470537 +2124703625 +1577880843 +375443534 +281117064 +303859570 +344486390 +1536685397 +1706219383 +1689609633 +1880228925 +891161205 +636722008 +1906694033 +1996243032 +1816491047 +719112347 +2105605941 +508230074 +876745587 +1260225905 +1825882578 +773834548 +1680594922 +948565044 +72483937 +1947794688 +465690258 +417968909 +2110418152 +1698744251 +594439446 +2087638130 +1129141446 +969882980 +221271546 +1433001016 +1314369370 +1757956944 +991736751 +856495356 +1490702221 +1882897956 +1493217364 +1249912606 +1731657340 +1162224763 +1969024954 +1689779634 +1670454837 +698286893 +802521891 +1348853767 +1472121441 +335633165 +149935163 +1544605378 +135944205 +615625422 +1962574287 +98878710 +166886025 +409530085 +39033192 +1296027471 +1379413066 +260304738 +581544839 +546298788 +2018261682 +1573281590 +1402794144 +1361480255 +1308695898 +748527861 +463909214 +892869591 +1910752624 +285450520 +435165577 +1433723814 +983737413 +1237687468 +635093933 +308375207 +1573320633 +785029097 +1852980585 +1709264838 +1400654519 +1668071225 +1808143548 +1567540544 +2077601310 +1847176740 +716084367 +1309530728 +2107481479 +1297629206 +1855829517 +1978259513 +723427148 +1111140013 +1192256121 +2032123046 +1859667874 +1656165335 +777508989 +1622936851 +1941615855 +1212674566 +909177017 +777869620 +302878386 +1544270950 +1086244827 +1876199019 +181816399 +791741765 +1437980210 +1582470918 +312329342 +1098640110 +1002527814 +242447004 +798333203 +1718612181 +1551977733 +758331034 +868757739 +1260323602 +589106899 +1592184887 +223979967 +1781363020 +1476824286 +2083647842 +1290044707 +106849627 +1559101045 +1084176914 +1319524194 +320794414 +1862046535 +1622402580 +1865065364 +800807714 +1351117952 +2046881764 +1592549479 +641614514 +1481869034 +1904878821 +1740254624 +336913201 +2147325826 +391104179 +2055525382 +1551819911 +1149435213 +776799474 +664659865 +1738542113 +221500713 +888639832 +1372421485 +1698324999 +824804026 +514982545 +1805174627 +236421423 +1599159459 +977215173 +557215837 +1313722346 +452134105 +274797554 +2114530061 +1803252057 +174195670 +1559595892 +297382923 +1656064704 +1316991066 +2037637548 +1992977905 +1316833244 +281258079 +1901019640 +721169507 +1430693293 +530335466 +1385829372 +1021751758 +751836179 +126985556 +246689595 +302677531 +951789583 +761672140 +2107852158 +1188211006 +213347952 +937583683 +1745426844 +1527070298 +1389717788 +2020224398 +1494116711 +1045486198 +46936420 +906228956 +1342869121 +1703001124 +75736374 +1233023021 +1548495382 +1392569618 +1514281101 +1302031374 +2113739125 +797490746 +1832366840 +1352084849 +1819242504 +436719371 +1479070405 +2065932099 +739396902 +283376340 +680120592 +699765412 +1471587347 +893468544 +1637349095 +1069530543 +273055194 +879583236 +942271293 +1767171906 +1925069434 +989207713 +525917214 +1120454907 +544725189 +601653588 +205994281 +2093220571 +1994223206 +1720275382 +1247768297 +1960478683 +370282480 +932651489 +1165079884 +42041336 +1369370861 +496666641 +2107973435 +2108767763 +780042982 +640610379 +661049528 +104146681 +1534078923 +150914975 +1173677224 +1807134118 +1030498211 +2115948517 +1426822376 +808083997 +957672582 +1952739590 +1928538905 +1502397771 +406909530 +2134533186 +1448134695 +253649088 +1707324920 +548419344 +66644123 +2077607400 +1481070834 +1231724007 +2119648736 +702958047 +1728390648 +2080138523 +664242162 +360949982 +573265255 +1325291690 +465096663 +2107344178 +1476206666 +1638773887 +1766994648 +359221229 +1607238756 +1046333376 +1167305227 +417427690 +851589318 +948360484 +1919825462 +1258498848 +935410022 +1220476509 +1512147936 +495251294 +1768895853 +1578792059 +425375046 +1102483039 +663032418 +397540134 +1805441086 +243939419 +330195009 +322199601 +604889401 +903460264 +1647491291 +1069986065 +863320795 +976214309 +561276304 +482831795 +1335435539 +21031413 +1529165172 +355257118 +438459103 +233270842 +1303617602 +210800917 +1491769691 +91543976 +1431277426 +856433979 +586795270 +1052689632 +287742391 +1012170316 +7689023 +950774809 +1409710450 +1813130110 +1194714228 +1739905459 +2135329711 +1799603630 +495882076 +1635337354 +722106047 +1359202871 +464068016 +1283382351 +1842034666 +1799503555 +1304413764 +1223716190 +7277025 +1742872868 +1456987033 +1310894627 +1953673785 +801273076 +1402438603 +1237467564 +1657707055 +1989233873 +142673548 +1945449446 +853920541 +150362571 +748740608 +116147343 +1963492681 +1943454836 +1856052802 +1951338744 +1595574818 +204451230 +1439192451 +170197217 +1563654101 +1903260467 +1453579569 +1258205120 +1555280374 +610509685 +334437662 +1562557399 +205898905 +1791424695 +725968378 +12089043 +445214123 +2128406981 +1249556607 +2102921179 +1970157206 +1392230155 +1900886977 +676594099 +1542592726 +502143937 +792741442 +1358601760 +298115126 +501310596 +1162456856 +1893689944 +705761827 +454165659 +2063887162 +121932280 +209942478 +1369983083 +1380137400 +1765222852 +1980492768 +1714575063 +1180296603 +38908026 +1358516110 +1906264981 +50997069 +1803730234 +1887188314 +1300553676 +1759167765 +1709861872 +545300183 +1512571094 +238972323 +2087892909 +2014715032 +1031713765 +1299011021 +165346510 +1533024362 +313984230 +2059036454 +91302541 +768149889 +1975439968 +213234821 +978092368 +1197939403 +1593372222 +595831572 +1030948524 +1160463637 +1776128176 +1069856550 +371496099 +1534909509 +1120853619 +27742685 +1274614176 +273923647 +1786910450 +836992400 +819223830 +1151997897 +1075964724 +759633091 +1019229281 +2107678489 +2058644113 +1184575791 +1493219203 +225144695 +1096128597 +1584521744 +993294584 +924084918 +1797756566 +1971386952 +2122024321 +1243645140 +419734877 +1005489197 +256625129 +48379405 +2075345747 +628121228 +1583288914 +1048715718 +655863914 +710419442 +1322639365 +295290716 +1547411843 +2141863195 +1447288613 +475892919 +754012639 +319034246 +436087760 +665173104 +1503610037 +1929306964 +890317799 +452254987 +1366345060 +1883612383 +1376339905 +1016617978 +1707515688 +1350880578 +112779470 +2127250565 +208886128 +369404599 +28146322 +136748227 +997525828 +1611435236 +1185463946 +1653389742 +174371031 +360619663 +1948680458 +1721782874 +354999211 +1248485424 +50192145 +1109011850 +1567519670 +486279905 +1774184954 +923646060 +268103221 +517019105 +1375901047 +1634448282 +253147840 +604757304 +503582612 +1960663528 +1955637882 +616362083 +1940430445 +17040362 +985766682 +1968576767 +153788590 +1983292510 +1432528356 +1339252536 +1489198604 +1606899387 +1699872199 +1290395415 +1181198613 +2054871410 +391397191 +1231390758 +1016399612 +1958916861 +1717670663 +643100918 +735079273 +1985773885 +1160120023 +2110980320 +1472738519 +1413267864 +568253976 +1976321131 +1226447744 +376408211 +445199566 +1019394542 +393448573 +1430966249 +840487661 +547237163 +1266775111 +125532369 +1886489699 +608490068 +1732431756 +1438878251 +1898885483 +766146721 +1346266013 +142799026 +1997537479 +215181978 +2101715887 +1567724495 +858282896 +689311513 +1406014732 +2018402920 +652808185 +731269603 +1284187136 +1221062162 +560107086 +363151232 +1597470373 +1005306653 +1382545774 +1990918946 +288789254 +75549788 +390672462 +1555564365 +201082157 +129678513 +16570785 +1933513914 +1568556764 +1915456268 +552176987 +767339130 +2058255294 +402230819 +982521108 +2012487534 +1969955314 +1840804004 +554315399 +1228486398 +1711723276 +1207123584 +1959756001 +848426764 +280702098 +372379439 +1211577997 +1878172471 +1377686092 +446640123 +1721607770 +1666475346 +522189911 +2112280232 +1074556064 +723272069 +94475097 +1091126849 +509302335 +1663031862 +859099470 +1061479322 +282887344 +769871116 +1463710141 +1265408452 +634875002 +1286181807 +958728808 +1189190401 +367184557 +522968437 +248830338 +179456910 +1371395201 +529532436 +551836350 +435489550 +260221260 +1929522442 +882129674 +1981829030 +1448514141 +1404319585 +1946625614 +375586557 +2127591654 +2041100711 +1466713406 +489410341 +1556648925 +178329228 +1550889664 +1839536269 +948200345 +867116157 +957461073 +1583075347 +5814317 +1916189882 +624782101 +372998874 +291674671 +873612439 +552455785 +1663069872 +1403144875 +1104292135 +2098559423 +1663366135 +886330929 +833205449 +1497711517 +187361422 +90041386 +1296853483 +562947979 +70149393 +1190470547 +2029661386 +559559734 +599635824 +60506966 +2110449398 +291688446 +1008707311 +830081908 +1249149519 +444299011 +835896225 +1017855753 +1069081112 +1208895099 +1309530424 +1942693551 +1761350884 +825116649 +1198354778 +718159371 +776192424 +714237266 +1604490301 +1609397873 +64465135 +1791851723 +1699439259 +1361318619 +207316055 +1769588652 +404305518 +89493793 +181664739 +1003941342 +150000759 +144630489 +1295629788 +1158708071 +974712397 +397295660 +1603007082 +1810608622 +1415151413 +524604546 +872020074 +577198190 +319814449 +485887310 +1402314839 +1518169227 +1204046682 +31023615 +84922845 +661053335 +1640421488 +149387981 +305421410 +1192377099 +1510706600 +512737465 +814482104 +1915012118 +602231258 +996146843 +771469812 +752232018 +1140777332 +2067099601 +1910940089 +2115489730 +316911613 +1366463523 +1778614704 +1732063026 +1891068069 +503151130 +161777568 +63398870 +989038441 +1564092407 +1581568097 +45601475 +1595116022 +1666490943 +706654810 +1088053862 +1815878924 +1012076220 +132947314 +1179101876 +1524813686 +947429418 +946630346 +2127044944 +1943576261 +1718100158 +731793314 +936869945 +1637716111 +495249755 +904876027 +1954627724 +1861713278 +536007084 +1539207103 +1605297699 +1039158214 +1700984671 +1668696569 +2028196655 +1117593431 +1102781019 +2073798130 +565225805 +621788314 +632969292 +1653279668 +290183590 +1645045513 +1786226982 +1469285466 +1022375551 +586172752 +268432164 +1001936847 +382265365 +1986532322 +1733730162 +1319135310 +1476764786 +81496269 +76527690 +1283908862 +1943209548 +612534774 +675632317 +1401023599 +1651692988 +229133341 +922236521 +1532405996 +1346726772 +2025017540 +1458720478 +1911952577 +499322206 +2091689771 +1417748597 +789505796 +1589251636 +1056491931 +111307614 +464143539 +1642664683 +379739778 +1466080386 +2024930048 +218788452 +1052326900 +1196581711 +1695553238 +1133823170 +1273109401 +831978453 +929549070 +1885644175 +1507610770 +183089021 +1389853515 +1736744111 +1105325542 +774775863 +935987235 +982859434 +86012694 +700456165 +1482181640 +30218817 +2118204762 +124203788 +1619470453 +1027213046 +235511402 +2083613992 +522394081 +615251180 +1402210730 +399840482 +834039633 +307053983 +1596422193 +382109223 +1440877153 +722047946 +1214087676 +222942575 +460208473 +574214799 +406031596 +1850061988 +163475262 +1511357139 +477354204 +1099462498 +346732925 +563366898 +1799918663 +1828914566 +593585715 +1770639777 +1953118354 +65572520 +650369175 +41146109 +1702864 +1172763257 +656397289 +1403913594 +1572603739 +1490436922 +1710967577 +1021542284 +1872546146 +1004361082 +1743590230 +939150174 +1227303657 +56315055 +1513364973 +1633335254 +1906377043 +1676840236 +997208745 +236247599 +628819086 +1343941670 +799614497 +281254101 +1025372588 +1393200212 +2051893878 +831007295 +1458772732 +554779406 +872153404 +1460475596 +1727542663 +1528550693 +716905543 +1152662754 +871503968 +280389472 +26721390 +596566466 +1284750555 +1770311620 +1535716640 +364570564 +1826626675 +901597966 +1997905818 +1585520070 +430954554 +847630915 +1821767670 +1059773640 +44088938 +473898519 +1341027741 +1069461526 +1867098732 +1245437971 +1900468821 +1178387816 +1800217377 +625138577 +491379765 +1380276392 +6205623 +1208285308 +385455498 +877709591 +1488674780 +412176888 +1474276057 +625941687 +35004860 +862509049 +990512252 +1861631535 +1764107015 +840934422 +1299667958 +47577921 +1688565338 +973951980 +1107351561 +1732654276 +1447850499 +300895654 +654632154 +1167465583 +1546333626 +407617328 +198369752 +1199067355 +1032755905 +689749517 +431860100 +1038961528 +1898034825 +817315598 +1916671119 +1239225957 +1229492487 +1243463528 +1865167645 +1264497347 +2105972578 +708196249 +978645235 +1722595945 +1549130671 +130829545 +1770173867 +1090212361 +1104781525 +730041780 +675382989 +405148376 +1030937435 +1330015144 +1572613960 +429787413 +1737632472 +1770983712 +1628854768 +622904729 +313249581 +2060714868 +1661866258 +63800758 +730546819 +1431053729 +1303026715 +1960039306 +527033610 +1020710712 +1077053005 +485522540 +1728906961 +2055698240 +60634837 +1130553985 +39044137 +1830808704 +73282698 +1143825662 +413366837 +748665688 +1548974039 +1444304272 +2078680832 +974104351 +1874091685 +1668829656 +597604415 +1355462805 +144250737 +910853996 +1268694026 +1806116995 +974654754 +1999240845 +1089687077 +130197821 +1811796503 +1616720687 +1150908534 +741365860 +2102243227 +732331847 +649580453 +15394416 +1862885832 +688624590 +1846203121 +1936168531 +1832450253 +112086310 +537350571 +1233940644 +1556390582 +468547755 +60561347 +1282998619 +2137377411 +658165762 +490977776 +134144500 +1569019758 +1759671802 +1940261496 +396190864 +1611428999 +882464925 +526388685 +1275741854 +351701964 +1677297219 +2017107715 +306461543 +262145419 +519204520 +321855959 +2125031251 +1207829110 +20575432 +1913716134 +892795715 +132661742 +303583057 +2126736359 +1689052324 +772130812 +39814058 +824567295 +762024575 +697979820 +1315545072 +896169076 +119515930 +927733226 +688946924 +515706794 +391678578 +1571411849 +1042095480 +1667420432 +1923113813 +571909051 +1537044499 +82091708 +834054470 +2056249019 +403947667 +811602074 +1116594482 +424523100 +577834560 +2009390197 +557184842 +881417618 +1988642909 +98753519 +1653548430 +2028456967 +923320814 +268089358 +578953140 +91382238 +1164258434 +698469070 +1019115465 +1853205358 +1214175865 +1410794043 +1277133559 +108787697 +930730827 +1052763724 +680696748 +320291679 +1134855432 +1514751219 +229057050 +1538803099 +178869645 +1345651532 +1963326199 +756704205 +1207558082 +373027394 +1638121823 +1048717343 +471780913 +1144186606 +929690662 +1395101727 +1412275964 +1508643802 +1486483966 +429050750 +59629225 +358115783 +134772460 +1273805090 +1768909826 +1411906019 +1382592787 +552157005 +317186095 +2063289535 +872448684 +1452041527 +1430557106 +1101505735 +843360978 +1609426751 +299673619 +659203530 +218647309 +1507231701 +1032230924 +1856769132 +408465396 +1504011837 +853472090 +1338156059 +751629916 +118264406 +699316213 +90630234 +547315156 +758945438 +448746017 +682087616 +2032750528 +70172195 +2093993635 +1267859667 +622329201 +263696082 +1183665555 +1494777885 +1715737609 +466739013 +448799972 +411614940 +2076165765 +748473592 +1070818470 +147329426 +108221645 +2103049394 +2004098558 +516687042 +1459577583 +710087001 +1854843101 +63723851 +828351407 +406675666 +154354086 +1375666564 +1165621105 +603100103 +2057754180 +1050887985 +673272299 +2004264168 +171264005 +1295601500 +120476602 +1354929560 +642895737 +1836214212 +1821668573 +1091695710 +100345504 +1750350690 +1840169302 +1171163974 +1897680116 +1948390947 +1126729720 +1754295027 +317594341 +438823655 +316898380 +24953794 +502547506 +1145249787 +431629461 +656901592 +373432703 +1597250566 +1260001696 +283703236 +500654903 +1933273995 +140483756 +671918908 +1081391847 +260960358 +2026848468 +1724287584 +2097174570 +1701033394 +668499646 +50036426 +1303900436 +361185300 +1221200400 +1054096905 +162092600 +200446472 +660908284 +479686941 +639270127 +977806664 +504640736 +1141817634 +2123056451 +936270197 +1798719226 +349005507 +386037115 +911237274 +632708743 +886692018 +697027621 +773192499 +1558610927 +1778419468 +1034152857 +1437975747 +1355223405 +983843780 +991525493 +2023723051 +1033880206 +147942282 +237424704 +107596959 +1202039187 +399517304 +308043431 +1862947471 +879204245 +947313559 +693270487 +1383844981 +2089131193 +668843290 +172631530 +1740366771 +1017848797 +558668645 +504120398 +1650557540 +1445360664 +1201148019 +276266391 +856487943 +832083840 +1310419249 +146980042 +39823597 +146779381 +1138505536 +2063546648 +1180659587 +1286447818 +153487704 +1288256546 +341003357 +553005008 +1596299978 +56467180 +1432209254 +396129889 +749737667 +668570587 +337777434 +1418580957 +841202118 +2078144205 +288946107 +1399870763 +434780955 +1939503647 +697747779 +1635928975 +68286391 +1554235722 +320529167 +1378705640 +1701215765 +360352764 +1525485021 +692237653 +276415764 +558660960 +1978685471 +429903469 +1846917507 +172205180 +982908477 +1295733837 +228672360 +267634083 +1691863726 +978410027 +936204671 +2029641160 +249507336 +1777406789 +1960301717 +538453443 +1029793904 +247599025 +330473443 +1727541684 +1883528000 +398759834 +1134293758 +56573519 +1777465474 +688025875 +416926283 +1155466847 +1380263528 +693342047 +1714127807 +1211465351 +1123245516 +1413561666 +1383670531 +2106153994 +561811855 +1612342891 +226304429 +106191933 +443269270 +1162509100 +2135833093 +692776607 +792432241 +1948651163 +1231230050 +1822226146 +48766540 +1561703493 +1402284182 +1932294540 +1960463327 +389094292 +1988868059 +1590445153 +1077120168 +258310694 +598428352 +309900048 +951652741 +165072512 +1521365400 +2074898258 +1578634178 +757552283 +2033568604 +2140446034 +222411527 +112389385 +99154319 +665680797 +1274898486 +87503765 +1358457404 +2067330727 +2036154928 +442203807 +1742073225 +2084921468 +2003907300 +996873759 +1869732360 +1816886980 +1385968052 +1711116771 +1259848485 +315604572 +1969427465 +1858276838 +625504620 +773596558 +2023349350 +2146870020 +701011168 +1454499880 +756938656 +587096124 +1447462266 +979350183 +699485510 +1546616586 +1645030980 +1974383996 +1634120351 +856004737 +1894231075 +1522791631 +1298208544 +1488820653 +1460229451 +1154632196 +338210764 +1182478163 +824035528 +1724178816 +746111286 +2083884014 +2039783388 +568055103 +1794677204 +517804361 +1341651661 +1670542906 +517190733 +2042662830 +977559138 +1274129389 +482275306 +277537757 +105995924 +1181760816 +1824154343 +1751026905 +1008661164 +1310791046 +459547994 +755408592 +686099029 +1757756538 +96745597 +2146328480 +764905086 +434956361 +1181322995 +1588940615 +11651530 +1927434281 +1525340981 +2051434918 +348005736 +1172534537 +421755631 +1689657397 +695593795 +938946365 +1584836579 +1673152933 +65592106 +2067111886 +1950690690 +171588031 +1101389054 +1627361385 +1922614936 +2110050219 +790668783 +234679282 +717975163 +1476767812 +1992435820 +814720760 +1475612644 +609857258 +1249677121 +509451991 +51314225 +1261328651 +289402624 +1576655206 +1165279922 +637408360 +601706095 +1587035553 +179582110 +1297299890 +378498270 +1764418689 +822969176 +444090377 +1684046927 +626176218 +615678408 +637952334 +106053956 +390809696 +600518905 +896722739 +625488978 +1318494068 +226006904 +470441150 +2133214828 +1701619548 +1080298408 +1235408301 +63587892 +1131612634 +349253305 +352990516 +560784192 +1514533227 +990398877 +1162490288 +954085132 +1169980987 +312306530 +1332583403 +786916028 +1135275706 +1776673780 +323479308 +1761451925 +244868540 +961431642 +1867505881 +635678236 +1561950547 +616744972 +1261167214 +732960967 +842751876 +1731608364 +718692147 +396887777 +664423124 +1954100448 +460475669 +1796035758 +155870105 +813466185 +209336303 +1670403332 +1803865062 +1371826591 +477004817 +826362401 +1684133121 +1809588220 +1613278430 +671925180 +1438778352 +1936757738 +285893457 +1683646892 +750705732 +5915690 +171841480 +165172631 +622660662 +1433008694 +898133598 +1465412539 +1017133410 +1616825745 +1862300316 +1681556534 +1423442545 +175292337 +1330108645 +1579312651 +988758522 +1539444948 +1102232335 +645139937 +763787891 +1579237152 +1471502338 +300437364 +1241341724 +937297120 +972362544 +532636428 +726571210 +1258256001 +68799672 +1477276942 +1264171691 +240641152 +1642449573 +1886832354 +1673649846 +393099523 +1204761245 +543299608 +2009925268 +919577913 +77372495 +1285884166 +1094870250 +1407481140 +717713169 +2083628772 +799442440 +1819945504 +581285061 +1563230331 +1251699009 +2052787400 +1863667695 +345557085 +842600872 +688546592 +878193514 +1569172083 +1946802593 +946993186 +898965377 +1063490637 +1187634339 +393931303 +802839343 +713800537 +787030826 +2007600588 +1257100146 +649472447 +779694853 +1334472641 +1935356613 +1874565103 +594470133 +505586134 +1810710227 +1393912573 +178047990 +244511641 +809659256 +1429746999 +149815393 +525843303 +1775304085 +992416265 +1214389895 +506013951 +414104700 +1013708841 +1453007137 +1313070078 +2077199478 +493157828 +1707001381 +732555173 +1206958366 +346548559 +592672113 +316574864 +996021006 +1372366966 +1651047505 +783893971 +1099448421 +98033990 +1289480105 +762675000 +1491946563 +1467528096 +1007186641 +154122171 +749791447 +1157002034 +679965474 +377611884 +1934652 +1894355370 +883625835 +416039352 +760580563 +189149325 +1729109430 +690296393 +682307153 +1288627163 +1422851566 +1889265519 +1635175723 +2015523679 +58356735 +483713081 +1240406997 +1709404240 +1267607053 +192371770 +1807438230 +409603510 +955046770 +1151901145 +1877131606 +1962233412 +1306023316 +479439406 +971751798 +1985988791 +857051290 +973686450 +1732860513 +1740677126 +1389725803 +345957428 +1929826451 +971351585 +1036253821 +464649956 +112495101 +311621739 +206431828 +1747670824 +179661770 +264788563 +83900257 +1420068767 +1974192804 +1351507310 +1612440537 +1634147386 +1761110821 +420003659 +638564884 +1490758779 +234753423 +1944588200 +1970198185 +1206505222 +1783093343 +679765828 +32708024 +1368470208 +272959306 +1422433827 +1714427636 +55302109 +246301765 +603197809 +519952065 +358796866 +914819548 +726383893 +2106467690 +1094481318 +991172457 +42884299 +367066437 +817881613 +1394391610 +1979506974 +304545351 +1008018783 +252026986 +943110235 +351293914 +486780409 +740214788 +174008452 +1693285631 +375824483 +853774280 +1725993656 +1744294692 +1126733586 +1000943835 +1311238680 +1182035695 +1247245600 +1914436490 +1701987760 +1606042466 +681772390 +280888006 +1565026508 +1776253709 +1272060463 +1607910808 +2143320146 +2089942076 +854818770 +1975343473 +247003779 +1862837553 +79886811 +1190114015 +66647819 +566667220 +1930328803 +240656271 +112469204 +158669638 +1094430551 +1838462860 +1902964330 +73680489 +691923047 +1066719363 +1255716184 +1939168648 +833672205 +810220297 +1397727466 +1515444595 +1091108303 +815270327 +1144214656 +215685118 +275697487 +1140051155 +158143546 +1130516257 +967910980 +405147325 +845870162 +1047797791 +1595261340 +912517981 +1614465011 +1378106495 +1153174253 +1726934215 +1536776134 +100121156 +1417913427 +1292256816 +173801646 +2109836475 +211492531 +1429517830 +1901521475 +1045164736 +92254479 +1151765293 +413125684 +1183362782 +1967035620 +1557340340 +1399047900 +95249459 +549907847 +1557191446 +1225765716 +1517818827 +1962338772 +2071635878 +418132970 +1410116464 +836670212 +2032597982 +640739312 +1989844465 +1612048549 +30031798 +2089965621 +882478329 +1322288614 +116283619 +844831156 +1533781146 +1545801450 +598868983 +431462234 +1638055929 +1750634276 +844587918 +673935064 +1570186249 +254444611 +2072982964 +1665435708 +804352458 +1482690763 +743717777 +174687638 +1297545887 +667870007 +592820608 +560178703 +1504540219 +477934942 +1200918015 +1346901036 +2089983492 +1230949813 +1289383010 +824978173 +405754780 +1405666629 +1669809329 +1939535926 +803984431 +121194664 +223514512 +294556713 +1871828940 +1068102431 +968491777 +1294531541 +1322547042 +893991093 +812483602 +2126899500 +229198208 +1556201379 +154103490 +1526744095 +76587738 +746924099 +2086922799 +1581127958 +1224859041 +1140357166 +780545346 +1167358885 +223823332 +2069928356 +1992337058 +629578112 +1328111338 +1514662739 +421630390 +2132095769 +1635857403 +645144902 +279168834 +1360202696 +1713247333 +1247660611 +507250589 +888310727 +2141651705 +1319734191 +867726580 +223366265 +728451922 +1021830070 +1750110361 +805039661 +1768754169 +1689549512 +238683971 +846129563 +682423030 +1019229317 +2013488448 +906246362 +941674026 +1858341859 +1535824474 +122301716 +1225520950 +1957454864 +106913837 +713894706 +455116119 +386082672 +2074097402 +20879804 +1633743283 +433864343 +909190532 +1627911340 +1753598535 +1776917112 +1851277606 +334566809 +651263534 +1453904319 +1139606470 +272534056 +995970183 +1378290441 +1118663619 +1678393213 +250036111 +984668419 +437155928 +1191710137 +695526630 +1972980402 +1314011853 +1921047581 +1782951619 +1420925690 +487458639 +90584090 +1807008362 +414072393 +111463894 +1293267998 +847936736 +1020654426 +773695690 +454051623 +650087890 +477489648 +788618433 +1301351425 +1931393967 +1928224903 +1573885481 +779880502 +1159031697 +545065452 +310790068 +1409067808 +1529733871 +747945996 +453294297 +77776854 +573442750 +1767306150 +1998824435 +208910721 +1040748192 +338799426 +299494811 +700272907 +752871819 +410958706 +1993540905 +1600808555 +1431613132 +619752947 +2054860179 +2081701023 +1097242596 +695994964 +1235568800 +881152915 +476736219 +661970633 +1661033418 +1635767916 +1207036085 +1971823486 +897352076 +589286308 +572285834 +1350646373 +667063162 +1145728584 +970468875 +518403949 +1354639306 +2011217068 +857203375 +1654134117 +564006327 +1610075194 +2065092823 +410063584 +1063400102 +1349222308 +1029816531 +970776633 +1283439683 +2127059127 +1666771597 +371524835 +860728395 +2143507816 +1033495468 +374278165 +1631792085 +93047905 +198618003 +381660513 +682334213 +770903837 +1732306887 +1349397376 +1916632421 +555292114 +1867801325 +1123788079 +419025534 +577521053 +630438549 +983031861 +40112599 +548047724 +1393095445 +1103512701 +1897270032 +275428329 +2074289334 +1033226067 +255003808 +1593577283 +1404750902 +1115732203 +1589601452 +290762722 +1490010368 +1073909889 +383810627 +1688628371 +1455570402 +1066144841 +312048560 +1040393641 +268058569 +81197334 +1595685756 +2135859894 +1204985413 +2014711290 +565897299 +1835423962 +850259504 +606009899 +235988039 +95871301 +1709522600 +2133258071 +371299630 +1636328287 +1019000491 +626303439 +1082421922 +276267745 +1742035642 +524539726 +567030468 +1084562363 +1598449615 +950841095 +625707086 +906536370 +2016985936 +937755647 +1946930011 +137560857 +1018952981 +1395132119 +125937104 +76454746 +1262359762 +691834403 +1911878709 +2112619266 +1297844302 +383100 +61006919 +859883255 +2133641171 +432306550 +348727894 +1005158014 +1058609989 +1431149816 +1281425760 +653161983 +1955689543 +1848456228 +1737724346 +1406655510 +651813675 +215947785 +165708232 +521315964 +1153703432 +2112638244 +658876821 +25172765 +1360286715 +784813925 +101627511 +475162829 +1476648329 +2013506220 +440298447 +627008983 +2013889320 +501305367 +1486892238 +2000046844 +933611917 +1835620132 +857721210 +1992221906 +1119286301 +2139146970 +497900241 +927492196 +1840119550 +88140940 +186664058 +344449578 +304088725 +352372291 +865765542 +1457792157 +317526887 +1524642363 +1482964922 +1677813602 +161972641 +1584592433 +5492784 +1638620970 +1450615006 +445791231 +118146305 +1317020678 +947096598 +1605038544 +1169583874 +1880708515 +1293175028 +2027305085 +1725446773 +264977681 +2018968407 +75863367 +1192469877 +1711604310 +164004307 +1379133936 +2056053888 +468093032 +1731506227 +774335782 +1925885189 +2049033114 +151494497 +1261366463 +1579363068 +313467138 +698475248 +1584855852 +1952088108 +1606606 +2030647084 +2070234414 +1318627285 +830260034 +1527789310 +340727511 +563484902 +673480690 +220548948 +141448027 +938458372 +92033708 +217311394 +2130928249 +1803638018 +381315701 +1362578537 +1712208258 +849408733 +946601116 +339060392 +627810274 +848150582 +490554889 +1889176737 +280030003 +804022028 +440168338 +1864885855 +608626488 +441774944 +1748049291 +531377254 +1760402229 +430825678 +2059166564 +2101129741 +994310580 +585163607 +174195041 +1135758607 +1523621979 +266228749 +1353070002 +1507066580 +2069866767 +1734385703 +722161470 +1634591377 +436310789 +1668762586 +1973651769 +1064121063 +369429521 +316723011 +805814153 +649459524 +1120745039 +1245982491 +366861731 +1729371527 +1687757435 +2114911023 +113265134 +1300676017 +398253053 +24948050 +1254322110 +1392563633 +610111657 +1428517151 +380838592 +2133733636 +1694745901 +1733908594 +1493316569 +1617129020 +1320810650 +67994391 +1104236750 +1757121439 +1736756977 +930404871 +673758854 +2106186498 +1247127882 +1479573007 +608162374 +220389273 +578071850 +975024106 +1949760801 +118345638 +942451481 +2063025935 +1419021655 +1340704534 +2087973985 +525860117 +585784519 +550601995 +1954377268 +966623111 +536851983 +1501639521 +553048058 +2030168552 +971284894 +1873858708 +2098162943 +2075521644 +1483496499 +1687436273 +858442867 +9771705 +1646139123 +2105570750 +1489344713 +106817850 +178476375 +2067416563 +1081841956 +2128237176 +38278553 +2024293437 +2043779463 +1457300208 +1217514323 +1984269801 +1983160325 +1803298842 +387388148 +1790053946 +622438305 +924240131 +1144209819 +1175486363 +806925036 +2115494713 +901861423 +757604331 +2043532709 +237874274 +297556956 +754491929 +247645980 +1943696080 +712579031 +1736990693 +2050513930 +891055406 +1656923608 +984872238 +871808935 +1695202162 +861682027 +768104750 +1005018722 +2079196350 +604890903 +840695400 +1735011544 +992279051 +483265698 +209966201 +1916519183 +1627475517 +1385452565 +575960571 +1595486583 +139830340 +1333564902 +1491535644 +377704615 +1631121859 +98543925 +625350595 +1427334291 +811122956 +214857640 +1330364573 +1702178363 +1871781248 +167753163 +426503650 +1419499762 +1029435190 +1194608400 +277034837 +961147892 +1799499304 +1117730237 +548675788 +644294707 +1600995935 +758641989 +413330242 +1080987804 +2144094554 +989290813 +528990739 +136441247 +175372068 +2020526384 +514145862 +1806493927 +2119070309 +1139496457 +1086344570 +782709618 +1354354097 +269225495 +337404333 +1078651697 +436978658 +763907983 +350667812 +1466413848 +1958516383 +627702649 +280078092 +1610532039 +1745432886 +828753880 +107343099 +1198945173 +1587395869 +520673341 +132449329 +1584006776 +1509964155 +661440069 +1720448023 +1685336223 +534482805 +87110237 +1344346502 +506069466 +1226606694 +283207424 +1288779084 +433477143 +552432919 +1626183417 +1512128840 +989411577 +242607752 +1862796652 +308341777 +53640488 +343015653 +588419869 +1664172527 +2088448539 +1417173749 +1771515626 +1139910064 +857085970 +144705320 +1272359394 +293609098 +1654669475 +1933799463 +2014057121 +1192522050 +320798620 +2101167358 +389384904 +826868086 +1180290404 +672592328 +2115647171 +1613767547 +1225025247 +1594346940 +978412740 +66953176 +1836954693 +693725744 +375294953 +1890595181 +1036741398 +963714822 +1407284060 +977706289 +233404923 +1031316039 +2117616354 +1090490893 +1176021359 +1242492100 +1384099992 +683207186 +1028807915 +1250673465 +1875729236 +1349606535 +1204357176 +117630492 +28990973 +237163932 +790222820 +2144638144 +1850931480 +2015248067 +1591501437 +681860572 +2082201243 +1280972482 +1375586316 +310012548 +1024084015 +264844066 +1273727370 +283884427 +1242550356 +1507132293 +1315200466 +1212683062 +450139538 +343738177 +307691514 +1834239530 +1026945363 +1336499429 +937429348 +755190951 +538622316 +2141786524 +872821443 +567613289 +231466808 +1663044263 +564767786 +2082398288 +1530808682 +8785575 +616775212 +1465526277 +1289758057 +1992361529 +1775538825 +166358424 +109721947 +901782547 +450242851 +1352272303 +261431192 +1765443318 +417471717 +711570731 +2109181495 +725163231 +398326613 +988643211 +2061662660 +1335755961 +1743834162 +452801328 +1330058837 +469171958 +1020414618 +1561525646 +2132216221 +1585182404 +1496440286 +1515541256 +1593967979 +2113215499 +833583885 +736242388 +1958093380 +461639063 +902600812 +2067815327 +1363421610 +1352843663 +1272603983 +1624852803 +970803333 +1690075700 +188939886 +932501181 +267755284 +587266499 +1921144392 +181934296 +1923022461 +1517494906 +634735625 +1105597650 +1986666864 +1655150243 +519639648 +1971399438 +1092848999 +2016079935 +1339457046 +539333330 +1981811786 +25557283 +1275575718 +1792421518 +487196346 +30692882 +1712753197 +1850617957 +1383536545 +837873532 +1327987112 +206856231 +380465585 +1516926998 +1139357412 +648220869 +2104193497 +913018156 +830155165 +1879732310 +283029414 +1464890790 +837846313 +122212631 +972557385 +1357485961 +2093612069 +2065406384 +1226082248 +1285585467 +457256066 +1060410386 +1311142750 +1732831784 +705348256 +1798339097 +1763524666 +270617806 +1501473406 +999577564 +1108491338 +681976870 +1206433795 +1488956923 +51420220 +198307559 +2137177792 +8130069 +1111325715 +819849310 +1887862380 +1394355129 +137256452 +578225045 +1516567760 +1109813838 +1935711006 +1462696181 +1027736574 +1014309607 +600798000 +1484992641 +2074719993 +1911940751 +1070340777 +632584602 +1562796200 +686381796 +903202408 +916785958 +1685959360 +2011693746 +1598762828 +744909507 +1353167022 +1650183048 +943217066 +1342861166 +1658313117 +2054542781 +15226828 +1398691849 +1301414262 +152483281 +1976916894 +670498375 +1262297119 +1765144253 +2133194556 +142550045 +631970212 +586508909 +1627542686 +559206557 +350966012 +550399816 +1191791159 +1913762212 +1236781612 +2094993567 +683064522 +775257324 +1959203666 +134343702 +1520166831 +1164887040 +1784526750 +315900249 +360264558 +1295356219 +222959382 +375491387 +546564421 +1524373644 +527974668 +375997667 +47388371 +1790271787 +2141141920 +33099280 +1932821832 +625628484 +619608189 +1412880871 +1184835042 +970574201 +1963280687 +229142553 +736852765 +1052578651 +176652473 +1419917287 +1827835975 +2135856139 +1554260989 +1200519158 +1153259531 +1191304091 +1516419407 +1513524089 +339176662 +1739378789 +1889015476 +885741083 +1116268785 +269506496 +1261738751 +1163657157 +2059778283 +1255397023 +1196756437 +1845116468 +1881025508 +1816364626 +1110513691 +918376902 +639455179 +926310730 +1147519455 +1376307944 +1978889381 +1324171928 +648741583 +1659241708 +1312544419 +55518924 +712277218 +318320302 +1246823015 +81212977 +1831844392 +1585999677 +1820591766 +1573376220 +324257113 +789376903 +1842882717 +1585995864 +1953034060 +1755177352 +693909239 +1002306849 +1452810172 +427451099 +671187827 +415840215 +1345828001 +1310643006 +1342150945 +345863809 +539467302 +1173556678 +1670035737 +1188208885 +685314738 +835096509 +1243727809 +1397591956 +1153416811 +343067176 +1478804933 +837777555 +1929066854 +1151913051 +263670128 +105840319 +1941289955 +2106552845 +1691836183 +1746840367 +1714246549 +238261774 +601663569 +1019573074 +665712874 +1272851396 +1435413289 +2011540875 +436010755 +630080587 +209921036 +975478057 +1803637265 +1879956774 +16203295 +341468356 +567569635 +1259931104 +1739060312 +1720986446 +1602998281 +1070381598 +411280354 +1384581487 +74811001 +674950482 +1490421806 +2016100956 +634019679 +1034774341 +1615457676 +200782580 +1273036115 +69637597 +1220355654 +1938748989 +1342488993 +508285296 +1802806217 +1778499748 +1138365883 +2012727253 +606494158 +794519500 +1745200379 +622697453 +1135987856 +165286366 +1882628557 +727564521 +1886272813 +1338143190 +1797946119 +150069519 +575241029 +1872757120 +825020001 +2065662835 +1741374429 +1459039680 +952953528 +1209348457 +1659822260 +78505996 +1278986054 +732694267 +2017254985 +473991399 +1240979563 +1672577554 +105007500 +231861798 +1537821160 +711501658 +1026381298 +1135537891 +1334199111 +14885507 +1300824258 +1069344020 +742450028 +1039613423 +260003563 +392912499 +1189682942 +835244592 +118185971 +2014702943 +753423780 +1859560400 +1326258975 +1706377308 +921425209 +838597587 +1784883304 +52927615 +1571291854 +1654654642 +526919015 +664787769 +1179748548 +631926515 +896649567 +570086060 +1343428173 +1923030866 +1705623952 +530143636 +1937916373 +858964562 +1599487656 +532882753 +1898577985 +1859491219 +925795252 +940777279 +547252164 +1043981223 +807996574 +1300675944 +756057976 +2134255549 +859569604 +1677483185 +825369488 +496969261 +1730410801 +249177695 +4140255 +109846168 +913965464 +1183888803 +741772683 +1810615032 +1753974864 +2085200856 +1586162250 +1312115168 +467860844 +1376594975 +23596082 +2067348500 +1909477728 +1922174067 +1779356072 +687789332 +715467698 +179124588 +1731770555 +1523464272 +1479800532 +340344883 +1510236173 +191886488 +2017828069 +188122013 +688855749 +1600755222 +437299708 +692996004 +1710601390 +1351265173 +1876884808 +304890425 +1014396557 +1483376024 +242607633 +453075159 +648007544 +710468477 +1829670134 +671603626 +630333329 +1591664214 +446294045 +262205753 +131969898 +1161761743 +441330341 +1863740453 +537742367 +1921130873 +56601689 +2047978540 +2113017362 +2074429758 +88616905 +654389463 +1527701332 +525916614 +1347385468 +1090819074 +1877181787 +1076786628 +1395709499 +744094696 +412679004 +1638317132 +1197169855 +1060686548 +201301961 +879356341 +1732290174 +831635290 +323536907 +31100571 +1093841044 +455506805 +1192862314 +1535171385 +171763610 +1730604681 +1308818611 +228365299 +1631099573 +1274352325 +155311409 +1719716478 +1928741788 +1683012741 +98149444 +1128643608 +626348167 +1975331231 +57946588 +2022057666 +571942279 +470625592 +1512891150 +1769112134 +1531312140 +1714193111 +500984827 +1116118666 +398344754 +824521734 +1147219237 +1492185798 +1280028539 +192597903 +879873535 +1451792150 +1923202584 +41208498 +1680157449 +1406818509 +1315560823 +1835468859 +979051340 +1096818964 +1370997952 +1077200784 +77978924 +1997346120 +905048368 +135925513 +1871920138 +1476990647 +606551105 +1237327641 +1098619134 +2137863246 +804037104 +1599603961 +1106498264 +1202381858 +276642048 +106233854 +547084008 +1556670587 +298831757 +1426957544 +860979089 +74550694 +1468166042 +393652891 +1481369203 +636243218 +81638102 +312936895 +1733062182 +1452636054 +1390137680 +1811041106 +1302498526 +147702400 +1946966619 +1026935017 +1624693047 +406034077 +116779010 +575828533 +396413675 +920816114 +27948847 +1502911939 +2123197973 +304590895 +1609145793 +522798333 +1861261482 +1907977551 +1949755877 +574756924 +1982528245 +1270438272 +968409815 +1316413800 +1906681490 +1050047917 +1629350696 +1492260024 +355200323 +872004728 +1155817482 +1657698850 +1019707128 +955300454 +537150219 +496916527 +1361334531 +653929229 +1072745061 +1757748206 +1574745343 +1100693908 +1113176497 +1550459668 +1405284803 +574838643 +2073258002 +1119062637 +335332546 +1875530231 +1693819561 +170377143 +998484855 +514745728 +1486790943 +757682697 +1564793645 +968657991 +102459073 +1919993969 +1840662719 +1258276556 +1430209171 +712886199 +66093362 +1967359390 +1209802727 +1427427893 +473804971 +135064140 +1037692451 +2048550314 +1235758048 +3385300 +1451526335 +493559203 +578223943 +1377300689 +1612621840 +913556489 +1105347272 +1158957754 +1083933632 +2103832128 +1673703482 +423240928 +714031177 +1091013480 +1391898919 +816490251 +863523801 +1085077991 +2074766807 +146249324 +1797964190 +2140860169 +2113608714 +860283269 +1420804414 +439930037 +995347409 +311013217 +340996703 +83621809 +314398517 +1792523038 +577181012 +892622461 +1022340079 +42319205 +1806178950 +2127687352 +1201276959 +742628935 +2084035832 +727496793 +1165869863 +650583361 +1818510273 +410285134 +1467073612 +534550426 +1495363125 +1394356771 +680799750 +1145843668 +1387733292 +646924816 +2006126937 +661054058 +1086854853 +853990699 +972067275 +1427851557 +937612508 +1286465793 +1072890947 +1514793521 +31604606 +2095231027 +1557112726 +1837783556 +2075434731 +610906037 +432928843 +2011986915 +1338402830 +1598798706 +515086628 +1009429456 +2009083841 +1982160241 +1543979882 +1356963318 +1229033364 +77295985 +355323338 +469283009 +724220801 +213966628 +1130337067 +1811075655 +1067957327 +2102404343 +1091443564 +2005569835 +1241386488 +16850863 +1372879708 +1272991094 +2112081890 +782508786 +963291002 +2040032973 +1393414823 +1396219846 +1904536240 +584334006 +847534904 +272139221 +1593763462 +709135097 +106815814 +990259696 +2066098416 +1335849178 +1067555681 +273938106 +1805132187 +1791776483 +487904734 +787985607 +1455368490 +1555862061 +742906302 +399328406 +1413948249 +1984292790 +416179269 +639344309 +1109800236 +380777512 +1421853096 +2073091238 +273326837 +667784271 +1321827436 +30379430 +1252118277 +21878693 +302518651 +698398091 +731013790 +409334465 +1688657788 +649628558 +1745183643 +608729821 +923566665 +1402832183 +253022656 +1411471399 +43334142 +1708391146 +819849813 +786240444 +2107719552 +86314414 +623049586 +376415174 +725658723 +1732849822 +757192686 +28171 +1658457412 +1030519523 +667812443 +832801201 +1060898953 +1919930720 +854679894 +1363417604 +470845164 +1585693684 +1772752069 +12019304 +87838595 +1370452065 +620749125 +1011405260 +625800600 +873771782 +275393011 +669134742 +434679280 +1095242824 +1455375186 +394915185 +1181557238 +2078424772 +771330359 +1907215962 +1663790946 +1528523045 +1907244133 +1174764710 +411558920 +427572928 +2007565911 +1472457874 +200020001 +714762157 +688391830 +670865165 +152972194 +313660252 +682884469 +240810789 +1684112317 +1303633594 +1252216049 +162429269 +29921728 +1527609060 +831564011 +464601009 +475368237 +139455549 +859516194 +1656925475 +70396673 +1630846553 +1416657789 +1734187619 +1011885950 +1176418275 +761468681 +1423444870 +1603991203 +621550945 +748419096 +1804011204 +1336313102 +1436810927 +327392721 +1489285296 +1750471179 +1010277190 +1730096085 +1287099848 +166427137 +834828486 +1449529117 +196348865 +214953899 +133609480 +660949874 +690322136 +273065029 +1520466068 +199763963 +343461702 +1003828973 +1616421753 +2077649321 +2015714923 +645356380 +691634354 +1291676146 +101863935 +1313185299 +2040095242 +1905875140 +502014754 +1329422521 +85784213 +1991300050 +932410052 +1096061404 +1573912488 +72026252 +1262488541 +261257326 +1521555369 +1458837406 +476211225 +1655164849 +2119787281 +1166533361 +1928229878 +1492769701 +1366297325 +124207932 +349115027 +835235430 +54373605 +217346302 +1480591810 +746007960 +1509022448 +1582455745 +2059193259 +1401634043 +1340847237 +413724365 +583572916 +1426631451 +257540768 +1515982969 +375209207 +1831453256 +1588009221 +1637697748 +2092710582 +962080943 +949051506 +421438160 +469762144 +921355139 +1587971521 +250508375 +266641193 +806785198 +374716307 +615756220 +1642020628 +429089913 +833102522 +975128790 +1175097873 +194641323 +410100888 +1086807484 +1596275366 +1750948125 +1500531850 +32364634 +1030095928 +1758072618 +1548347603 +1405305135 +1442042226 +988873177 +895519235 +1387269160 +1950954120 +1844570742 +1808707320 +273232616 +618442233 +1249195194 +523740991 +885083426 +2055980392 +898457299 +1500839646 +1550517373 +1327547212 +186458521 +378162515 +355161437 +381099844 +788263403 +1441968921 +1977375210 +391727881 +795017123 +2009739844 +1421823809 +405606093 +1410603800 +679645297 +1847648319 +251993329 +1575164532 +1087433832 +55463801 +1272251626 +748657504 +328696417 +1890693860 +1997852698 +852437409 +628293638 +1906349443 +1750894708 +2129133285 +1309383168 +930958272 +168108158 +1687545683 +1286119709 +549208002 +328325439 +580604982 +379099564 +720053320 +1375622106 +241355760 +2141877129 +1781228199 +1651959560 +674038778 +1481392871 +1903952889 +101719663 +421343055 +1959416690 +1373971289 +1170000559 +140629460 +1117181501 +1020369610 +993066869 +1745475140 +779235405 +596477929 +1727124777 +2088618573 +1527436201 +1895232935 +1628680608 +666072262 +296957289 +1957006047 +1246677244 +676056853 +529575719 +474815702 +917412613 +523969201 +108560254 +421888526 +1198007979 +1589953125 +178357767 +1299727642 +2011296180 +2137774458 +526215284 +1033813091 +130920270 +1643396785 +2054182701 +1123987139 +1241388277 +685934458 +1720465068 +821029406 +627069383 +1100417621 +568778693 +108266344 +1766489883 +865735982 +2065272391 +865683479 +1541792835 +447364463 +1340499182 +311721801 +971333664 +1449059436 +733610327 +21857995 +891528913 +911968094 +1321585638 +755341445 +902258904 +1847800922 +1789154536 +1033179174 +1343714059 +1695853590 +9682665 +437618689 +234304400 +1730147733 +1258648095 +861373784 +683081706 +1827426789 +969640128 +302087941 +545679123 +887428871 +1167771421 +2087471959 +1334793334 +360786955 +251710112 +158643350 +1809846391 +985320439 +180501346 +553891656 +1897288533 +1502086984 +1309233101 +652063790 +1202404258 +950903989 +1685242964 +398634669 +499273931 +1694925630 +836253358 +733578332 +1277589715 +2094901454 +1594952116 +1960671422 +1774844595 +417108596 +115275715 +173040070 +1304537467 +1283047136 +113028381 +491847154 +1643834091 +364738493 +650490504 +1306196834 +1350058932 +830991850 +1860088490 +1099863818 +185595186 +1021837943 +1751927608 +1387999444 +1972741933 +1289686924 +1786634114 +324532216 +837128906 +475403824 +1058110548 +2114718622 +422821630 +505579016 +1927906396 +50182577 +922687612 +2043182111 +223222648 +79741432 +1178745600 +336251029 +571588586 +675096043 +700989523 +1222079090 +1981292878 +2051048455 +2053070941 +1693897720 +1003428625 +91182479 +568252016 +607872585 +1479181924 +393510301 +1897559510 +1118332390 +718042517 +587204768 +1593736214 +1776153066 +554439742 +2016557845 +134248434 +334862490 +2066740422 +1056936047 +230560954 +142479422 +1136677479 +1409306554 +478730452 +1708266065 +2084402597 +1179719975 +782861507 +1918211827 +1083284782 +688448800 +1464625900 +2086713408 +779631280 +2032877916 +547102345 +111329556 +278904569 +297178207 +1229661946 +996947086 +884382976 +675914512 +625616504 +1438822718 +544988709 +759864939 +1773685209 +464245484 +1816800986 +2004246163 +606724906 +805994817 +1266069069 +1085455358 +366777234 +1202988018 +117691685 +1149638741 +973716198 +1200976468 +1838087542 +290858450 +1140206228 +470235174 +176252718 +1687308573 +581564730 +455157287 +1984486781 +1811226676 +1452104373 +721386109 +339657540 +2077720878 +12725179 +884646250 +690102169 +1786410388 +1348891734 +359419507 +1643172903 +1955616640 +1165414324 +761758324 +893588351 +1532191558 +1964746343 +1011280036 +534346651 +790978893 +64772856 +224950545 +1081837343 +1204979084 +695185719 +1258090061 +744804010 +1276750449 +1713247348 +581807143 +940493477 +1017868073 +1303193252 +1280151018 +948105303 +1315918431 +17313620 +1638207472 +954845172 +1366205354 +1997626979 +450534427 +1174338346 +1015557655 +1212292752 +2067926697 +400265565 +1029555447 +931723086 +934612217 +1820534340 +996495942 +1159562762 +754888035 +53991379 +1854748482 +2012978096 +798795389 +984015283 +1578741796 +1380602532 +1924508761 +449126221 +536312136 +1057176131 +1397231525 +1852230567 +1074489751 +887955349 +659592091 +293211457 +738098681 +1110126519 +1467549803 +1753656336 +174935623 +1387992853 +6438254 +1204491070 +172232291 +941050471 +877541762 +1168728233 +2100613233 +1632429797 +1222719612 +1807878067 +1497924245 +2021515001 +644409703 +929182393 +1254633885 +421434816 +1378308614 +1790946021 +1478610947 +628056491 +1495692941 +405617050 +1516011841 +7801384 +698828507 +106626874 +1117927903 +18894662 +1860283210 +1292863526 +1406887515 +1866721464 +349870948 +1579119806 +660288287 +1227412710 +600364392 +613417873 +712358859 +1823084004 +273812292 +62799456 +1697115358 +918221995 +991981849 +804265595 +1339656811 +222806816 +447727969 +670784110 +850863307 +1943420910 +1076401160 +219391500 +1951222294 +1775229667 +326018374 +921666550 +1794124330 +38817937 +67046428 +1053528197 +1905539401 +416917377 +485164356 +418344041 +1644330087 +1085528748 +1031761914 +209205299 +761129104 +1305574206 +272004755 +310760814 +76312554 +1263986605 +1115026410 +1415969365 +1486793421 +1562754379 +2086753476 +190173080 +1358691641 +1015670988 +409564581 +1162430287 +643417008 +735582955 +2084096837 +290057690 +774400892 +3659618 +1343585887 +532456646 +420576995 +1828750243 +950800687 +2064907082 +766795343 +1982562601 +126628733 +1527924448 +1140653159 +398633489 +1838685262 +1216965713 +1662620094 +806228024 +485451431 +1001929867 +221498755 +424721259 +1192102947 +1580190396 +1440392247 +1601667528 +595137036 +2083809255 +189766836 +531750225 +226383297 +964167728 +535409843 +1569969185 +1496624374 +955986838 +1251235780 +299941413 +873410273 +2018031124 +135020366 +1000039006 +1398471924 +1275673526 +1398672495 +1089673538 +345155591 +913808941 +1895901563 +830607022 +1915738808 +2117400318 +1255328281 +960358108 +1550107067 +548236881 +414541988 +2145244103 +484562488 +604308824 +529510680 +710945786 +1568476553 +1064920524 +133431323 +917617279 +2020907362 +1384667103 +1217558693 +746833987 +1255214579 +1352579059 +1746872994 +506202855 +480768937 +998061841 +1595876394 +825924529 +1911870783 +1344294309 +1656531551 +1680125943 +1314210979 +764376185 +493000403 +716834398 +1312613066 +907542392 +714594853 +1797175554 +1511851216 +1244105534 +360637692 +932844121 +161542410 +494069015 +1850461401 +34966124 +1878736119 +920536446 +781800112 +986467050 +125631857 +381189458 +1492669906 +606400795 +1379251299 +941062652 +1432325324 +1143638434 +137873313 +941373227 +676280730 +1452084292 +1705749412 +1169281133 +21435043 +870878830 +2076823525 +736029896 +520570737 +1441191094 +1980135430 +881208429 +226551567 +2141677840 +1375277445 +2077012968 +29160317 +1106529916 +850065766 +810960429 +2092996966 +975697624 +1192149887 +1438183224 +1582098419 +423917538 +231762228 +866940095 +1567555973 +369635541 +1808313322 +96353055 +1821719834 +1366579087 +1265634188 +1843154877 +89974269 +1194974066 +431701125 +610545006 +488681512 +264352908 +1491753436 +715233079 +258547100 +719547233 +644762400 +287707417 +1826077149 +1494828166 +1098667846 +1771590467 +323042142 +143334085 +1062290044 +1905140561 +567251624 +1294052272 +624597008 +2134807597 +1663687814 +285426683 +83677004 +1337924000 +1652005770 +1349311192 +1033595229 +1741980039 +396801610 +1465296354 +205041398 +885483122 +1729649262 +1696794834 +1600716202 +1988196363 +268858419 +97994954 +128420132 +2094935568 +1592823120 +1227087979 +1719042387 +1915865263 +1370422064 +633848783 +1673522176 +1937673688 +1927901056 +150635537 +1924997637 +1444105222 +436062220 +2008674641 +634545574 +2088067990 +1210502186 +1668140803 +1682564381 +1607303796 +985953509 +1887605779 +345303271 +568119124 +1436916965 +1946019473 +408831839 +1705775384 +2044014427 +537251971 +1653227304 +1489353899 +1764339950 +1224786044 +1257735514 +987278367 +1858634827 +783774043 +777468407 +1639052235 +934409580 +554982397 +935673809 +1370471800 +416173390 +1570219383 +1311056142 +1626675576 +1090876538 +846136875 +1086495725 +2076830048 +586259007 +1431798996 +497465524 +2023175972 +1230334821 +906297363 +1581467709 +1126865600 +1443549334 +1087211365 +468735851 +1060405637 +164513761 +1726471366 +2047684004 +2023148589 +362761761 +677668763 +1514717176 +1297171341 +1232651160 +302907338 +520159493 +1648824551 +1873126721 +1831215635 +1128016479 +816519612 +529868862 +67028556 +745866012 +1116127869 +1498827552 +1243331536 +991820194 +581678725 +2145251 +425804255 +1708544325 +1445694585 +1513015620 +29796529 +358616574 +1677529382 +1756267895 +258816930 +1553194323 +2119029656 +936485694 +920427851 +1268717349 +21653206 +1223335189 +1788876842 +1670477757 +948978263 +1472608829 +651010589 +1765497875 +2002477691 +718039145 +363880239 +971121913 +69383050 +1607211775 +1962942107 +651061775 +1609357026 +241262714 +212122453 +907567963 +1754278334 +241918982 +1266184538 +1284324068 +1998186877 +1525001468 +690034743 +1969732885 +314003514 +1610462595 +1090966586 +335656721 +686314136 +732359780 +2006134478 +1635292399 +57484961 +509661419 +1253306626 +2059962652 +1227700565 +1617186865 +883600917 +1297083615 +1076914992 +699059376 +1948145390 +538788370 +940322090 +12784195 +1446356334 +547116777 +254703177 +565057224 +1831440845 +105406406 +2090058692 +373991941 +2075139291 +256578559 +1984454536 +1018622229 +592235280 +523285024 +1750982009 +450886110 +11093776 +1808466970 +960547530 +1264400402 +1720945975 +40764447 +734103620 +457063244 +1337848062 +1811018612 +1156122621 +1138509804 +202323335 +2096444711 +1151294000 +1648679669 +496077840 +1405997177 +66253245 +180035038 +1511403584 +8828289 +554026979 +1439059227 +265406848 +390997867 +310197809 +857642128 +914282891 +2061179818 +1308528239 +925376667 +1722163141 +121592121 +42293422 +1295625468 +162356568 +776397042 +1752688712 +1500204630 +439932006 +761327685 +491230786 +642255341 +710288749 +1642524786 +143451362 +1206366589 +901038316 +209704607 +1386401627 +264958252 +218532897 +1940428606 +1704017479 +483939745 +183942825 +2014215288 +1341581874 +1098225717 +1927911459 +502626465 +2023602384 +1502590952 +624218586 +2065895806 +650732772 +786575154 +694809200 +255937836 +139296136 +1134741207 +1017265522 +630526922 +1776996548 +1727554271 +125568061 +1920447911 +786437212 +1026606377 +2130152518 +25355192 +1291564629 +201201767 +1965783798 +848098460 +685141513 +2242976 +714830101 +2026723387 +1100468693 +495257912 +381866204 +976587429 +1997848864 +1006084790 +894999588 +501097988 +1792659944 +1589808788 +757035824 +1931956080 +577066347 +1774301346 +414999354 +206579248 +1354371969 +540567415 +2127027159 +2140809182 +1567173792 +2109696029 +18680726 +711254773 +163414149 +1984464524 +1559353234 +848555662 +1986707500 +126699687 +727795401 +939692545 +621957599 +1109661605 +1916279975 +472322815 +2115746395 +663795915 +973420803 +1760922691 +106121055 +1730456627 +1545395123 +683187403 +1357274326 +1960394477 +889766651 +564162647 +353478245 +869310162 +557488181 +1920652037 +831522543 +576168907 +484423163 +994936692 +413149784 +2043776397 +1843492354 +252373636 +22992436 +423804107 +1192066182 +644950035 +1533465712 +960862509 +1117272850 +1501728459 +1624658424 +2090693653 +1115167502 +1730779479 +1673666632 +513078977 +266483234 +883457310 +325989807 +1156249885 +1447619958 +679468052 +2025560047 +2005108139 +452636441 +709598943 +433793399 +937059604 +1704535635 +846943183 +833352353 +1400544342 +1099316819 +856344789 +1824348449 +143899353 +1501294824 +1210330514 +1104761862 +471084026 +564575325 +581936638 +414294031 +1679742828 +165232470 +2087960664 +45338157 +431715704 +823934326 +371327964 +1587965590 +124070636 +1050796016 +1466041989 +2129178776 +1503432458 +28157284 +415488527 +293008414 +1732692920 +1262431710 +1126360768 +985753614 +214264881 +1982705557 +662618415 +358164235 +1336516734 +1872948929 +1462926097 +1807600760 +290040607 +2044862736 +74411144 +1969783435 +62611558 +14888160 +2015121592 +494327262 +838822486 +238965909 +2082292852 +962893123 +1289761925 +1400851194 +944588251 +645710735 +1429008478 +1360076778 +938719150 +1014217750 +475024840 +2065079918 +1999971364 +689289721 +1900301827 +515106132 +1047453956 +1089334913 +240571413 +362896406 +749452026 +530612020 +260275494 +823863170 +352911807 +322887052 +838751330 +220549752 +817214314 +1677573816 +459515661 +752023519 +492983291 +1749277586 +5391065 +1437571542 +247504674 +1434399543 +650164672 +1186223824 +301133646 +1125189512 +1103820094 +153621362 +1814479234 +856638273 +668727494 +714449542 +1945973187 +909298908 +1077345948 +547941565 +1439910928 +1337621442 +1371804735 +1792822736 +1660508494 +63072417 +2013372488 +330239161 +1740646233 +325404501 +1082262680 +86145877 +2074682087 +1087653745 +1523717419 +174703113 +374569640 +26398444 +1360926937 +675703286 +1151587956 +317263383 +829324649 +818583542 +1173901657 +1498052143 +1533033085 +972391196 +259867403 +462895385 +1520332761 +1699778332 +1800516828 +744653848 +1345117420 +1313541674 +807726265 +1211006260 +1643780835 +400888850 +1536410761 +578559867 +487034727 +1463609200 +1666213612 +2010752147 +1638312314 +2040783253 +2037150591 +851755603 +569002891 +1041254899 +1169018987 +1398327540 +1859838442 +195436996 +748896036 +1245387879 +1167828192 +1008763439 +1708283264 +540677305 +561058123 +1361316444 +1285331153 +1906175543 +527374471 +2093057418 +969698155 +23671658 +346462620 +358625268 +602231526 +833497348 +1822234469 +120961490 +696765847 +1313063135 +14261095 +586432790 +17335090 +583263987 +1627687689 +1186354077 +1981591527 +1340042483 +1381791073 +583003915 +437946714 +402135617 +1591767355 +2146229979 +942812922 +5341830 +1360062775 +80660427 +1911517374 +1887437246 +26234197 +733731881 +1911108905 +372696818 +1092357150 +365856783 +1206194166 +767107971 +486818273 +1902960013 +2080171106 +501079369 +341909155 +2097506196 +1084343356 +1969596844 +1136376626 +918451235 +1162155680 +370684051 +1501455151 +1600102394 +772819669 +945738858 +1598848725 +1715632591 +951080688 +811427853 +1796293019 +715114414 +551381451 +1822527216 +1448846296 +315006708 +47740386 +393719798 +680863491 +1253934552 +1160827769 +1167681765 +1009410917 +1093515227 +1668761134 +1351320072 +1043537775 +605620842 +1173433269 +32430753 +1524072077 +188105301 +403114805 +878043580 +1788207695 +1175934474 +1823782438 +1239572773 +744083417 +627379479 +2051000626 +392892788 +1342493893 +454898429 +67936357 +643856541 +769905138 +115676743 +1037576339 +1450768629 +1369611296 +50920460 +470966746 +231538565 +1144435687 +2139727880 +1582858638 +40489815 +597865074 +608808259 +72920568 +2121937152 +796913560 +476035373 +852497084 +437637607 +1651969847 +528795875 +1677210380 +248569617 +1156175354 +1580727358 +641462405 +351185599 +2035625788 +709398762 +995042141 +658047278 +825075506 +2032618480 +2108815907 +47203154 +2083538941 +432299006 +278741719 +1080490980 +424543238 +1861600357 +1120980795 +1022408313 +322924968 +1193901364 +996861817 +1119838528 +1669936737 +1849358901 +1557476136 +1174422937 +230671128 +1087202868 +1422992554 +1386846482 +520446579 +2064454959 +1738032082 +408588719 +626370074 +585590575 +1066635997 +1451445580 +470725407 +1027968256 +1498648734 +406780700 +1460267262 +1777390453 +1487271681 +1884810501 +1491507163 +460768828 +759735166 +1814432131 +1654670192 +1756596983 +786787012 +1177123282 +1458472236 +196779500 +204062571 +1689143365 +1283982368 +1627055125 +928506199 +1804428947 +1544026436 +519054633 +65534018 +22912862 +1104645208 +1132170015 +1474358442 +1575370616 +12654624 +825523528 +1982151316 +1472921886 +455430334 +1321939349 +1210248739 +1946937497 +1782708178 +1969983905 +1613885980 +1289894722 +1579097240 +253189344 +319534356 +890085829 +449968844 +523596927 +431745546 +1733951213 +3168404 +1360251745 +1390896512 +1547194841 +1879306379 +1456430531 +1570107703 +836467939 +441116898 +896982498 +264354907 +453771522 +1722506026 +99022576 +1926693409 +30452712 +1420961925 +989458500 +1977390209 +1056186455 +811958758 +1443792542 +198597530 +243572350 +1696981886 +518131886 +1133658179 +2146950731 +1041728814 +1565403725 +1733418296 +1044897218 +778171823 +976831160 +444608411 +509994554 +285778043 +2014716115 +1346462493 +726894942 +764214965 +1610817401 +1180666464 +339237343 +1709839977 +959876225 +369690056 +983318254 +1949334726 +199596617 +2039504710 +613809836 +1643389159 +90618592 +857382186 +1192887398 +608750478 +1991040366 +1192354481 +1650479292 +1408960443 +778289129 +547892863 +39648618 +1755120289 +992501274 +549643172 +2040898333 +859733741 +1896105666 +620309627 +1623948706 +1359439419 +1800976091 +1963186050 +921795748 +613368669 +185392458 +1905114002 +415219747 +384989075 +1797135064 +1029029583 +2028378235 +1887753656 +1886411769 +1073781985 +349020487 +1729968487 +118652818 +1999499779 +991445283 +896941947 +399908994 +1031093901 +504578588 +1392410269 +1580737074 +397993273 +104660362 +1329359092 +1018302900 +1728609069 +541314863 +671795344 +1544311471 +1463110611 +1285164013 +1729703929 +1220740965 +1700383760 +2114693004 +870392382 +581929695 +1995587591 +610662390 +320857816 +921885928 +959682877 +2050826304 +1040538746 +811699009 +894787939 +1937480693 +1211608003 +1925881840 +294575634 +456534624 +1359135266 +692568907 +561194987 +541010710 +1710871808 +142320408 +1082325573 +235183504 +1686631879 +397952536 +1520347517 +1268852160 +1618693502 +1073247629 +1236061516 +341602236 +1655177324 +1084165460 +952264626 +1976035140 +2006051388 +1911947504 +1879377796 +899106487 +576162865 +626682087 +689103532 +1787770868 +405080280 +983679166 +96821845 +1764215546 +1676248074 +658016832 +157742609 +1239636234 +800337240 +1240068182 +1474819738 +339485471 +1638020719 +847683607 +1608337631 +1109230573 +1920931236 +696915499 +1450832809 +1428624912 +1781080959 +255613787 +1257176404 +1639648700 +20077643 +989070553 +391271539 +596240508 +1615752640 +1080375071 +236527729 +2020832920 +2064054238 +333349574 +1637564819 +1592818664 +991366406 +1795307428 +684971250 +1791703646 +887891962 +12307340 +2131189117 +378429033 +859990947 +1592043100 +1487659606 +633438535 +141474951 +791008767 +2062063447 +1922555911 +1046622555 +1171756203 +1414720963 +1066700198 +13343108 +1805992502 +1662940707 +1629095749 +738883925 +1899468436 +1502445021 +655454515 +85334362 +992526192 +100789531 +1076700768 +640349972 +785760781 +720920766 +1528241935 +798068121 +704626235 +1906670968 +1658059068 +149185687 +1246846927 +144013955 +290660638 +2037855694 +58593754 +65732901 +936994601 +1230349958 +1480453864 +2003694800 +1243693066 +1138962718 +1519151859 +725305167 +1877846644 +1271136647 +80266541 +385817511 +1356471009 +1072792733 +486607043 +285688129 +1713142706 +1272367824 +1006608895 +1093900993 +2070435946 +1711235130 +853088313 +1581011366 +1860420817 +2099935240 +1725025322 +3597807 +1990307287 +1783619076 +69330709 +779818240 +866485386 +1549784573 +636029392 +2110178453 +541263644 +7697603 +687999972 +271626640 +1278834250 +768266513 +657444151 +487821611 +1841059247 +1144051194 +773509740 +1406718305 +268935371 +1780118635 +353135650 +191887669 +1343870117 +1206223963 +1772899035 +1056807286 +1158675556 +1350440709 +1060405094 +1001499195 +986576138 +1129735803 +1781317435 +1853061524 +532036728 +269863180 +1815756329 +1073300372 +277560783 +356272654 +1344927012 +1556395034 +1124539167 +2002371164 +2044216645 +818114766 +998938710 +670242738 +77349423 +1267874081 +302877725 +430485073 +1459761750 +1646747843 +1636709037 +1085177138 +556071481 +647900945 +288134199 +1616476575 +1649400140 +1274710337 +598728730 +1283233927 +980288214 +1130765459 +1553097107 +648560895 +56582183 +1830657891 +1004833549 +1401509196 +1239569277 +2129372717 +1256396712 +1136302274 +800003835 +107851774 +1806545012 +877353259 +1375725856 +2109422738 +1307838332 +688003958 +1608686933 +797063721 +1773181096 +17274766 +1444964666 +2061315296 +1633751342 +946881158 +1188541985 +84996424 +82631438 +21346551 +1215761883 +1635728545 +669907447 +1272344067 +1318902788 +1674740996 +526369615 +410988417 +1656630065 +1782766327 +1547290692 +309150253 +1890618101 +1206352056 +1186503512 +1118860309 +1168291146 +346858196 +1806864268 +629494431 +1143921918 +1432561716 +646769198 +441402936 +1346393364 +133036892 +1388284095 +387451702 +218033316 +1470915533 +408798253 +1433795200 +959160430 +1078705700 +558655619 +130579571 +605963049 +1085025234 +541567988 +115109466 +720307913 +2088858680 +424259719 +463442366 +1147727089 +1610763231 +1582302676 +168534587 +1957621428 +1241683296 +798029019 +954059698 +526761364 +1444798217 +1395462634 +1873154729 +1577835109 +636263081 +113122783 +1795868425 +2107178614 +521921036 +1082179977 +918855397 +1600626737 +1640835596 +1049434968 +59106138 +578377182 +1591002956 +174215604 +1298685095 +1532377989 +598475324 +1762127462 +532621430 +61754907 +1196946490 +701156017 +2019376335 +291146138 +1499185036 +825952385 +817907502 +796499605 +73931372 +543578583 +226851066 +710194453 +656701366 +2022719492 +669889420 +1178622403 +957415821 +1588744817 +631765492 +450767770 +490696137 +690871630 +1029144952 +2081699093 +865087234 +180346400 +1466593434 +1463562558 +1942473862 +1999214864 +1525317466 +991936704 +552887234 +1397210153 +1283082842 +2052072270 +75678891 +2100990344 +701088228 +149610263 +497085280 +927939294 +859804716 +1153786646 +803175138 +1529694136 +184925401 +1760590960 +970955305 +816690893 +63875082 +1461651442 +1507562523 +1093020034 +1395866888 +225166110 +1273366434 +714976674 +1688728668 +1068356648 +566707891 +1066562486 +2060293352 +1119595125 +316288992 +1195892546 +1024183747 +391967883 +1149399243 +1725271975 +541578146 +1646484523 +505727622 +1401382862 +652787521 +1308902760 +783593351 +837712923 +922010072 +1754548656 +1654403816 +985885154 +1068716451 +1014482692 +2078905189 +317099691 +1239648802 +1204787975 +1032076365 +780893822 +125660976 +1598784256 +1847456309 +38470680 +570895733 +16261653 +1234363227 +1595079481 +408229536 +236278822 +1172867808 +949807682 +1882763345 +1678595430 +203706896 +388067218 +840014543 +987300247 +1225780141 +1762024615 +594365256 +732700310 +600426122 +1663081707 +1747183002 +531847663 +1980181398 +839348156 +1736635638 +864774115 +1620241978 +1862296614 +316074724 +1320214639 +1900767295 +886970457 +1336476292 +987646874 +334566290 +1744705828 +1223925696 +1507434099 +547029862 +959205393 +1038545881 +750736759 +1347272611 +1878560424 +1738037006 +425569105 +1493101392 +184918614 +1158269415 +2093527514 +1848000321 +757968769 +477891529 +1680698071 +1597316925 +67043519 +397988539 +1070075255 +1929340134 +714063263 +242806247 +1682623781 +1601033720 +1579282539 +522787007 +1935600011 +1176504720 +1746712703 +1295550462 +1723534582 +558434448 +186612695 +326787693 +1905707059 +2065173120 +2064824700 +183792516 +1410790864 +102259666 +1342061931 +1356834730 +1950259988 +2100030700 +1834726259 +1483474411 +1549863977 +1901769778 +1881462950 +472455585 +1683626264 +448042565 +715261832 +1218766397 +2049076286 +147060723 +1741553404 +1837192649 +1323565443 +1340782459 +985259463 +899616378 +1899216907 +1171872158 +1226404071 +1657440319 +1089561630 +1143745123 +1841232835 +352868846 +1246004790 +1035811119 +1709703576 +1048781130 +988358171 +1396946187 +384771893 +390738501 +1151232318 +118751196 +863194086 +687374934 +566793761 +1578455918 +1906141332 +468386399 +1725516641 +1500211088 +158095400 +901598437 +693509900 +1143354863 +1801214815 +445243159 +167743374 +880135238 +2102683478 +1257305004 +2023880362 +1796432666 +1610173851 +1122401504 +684760137 +1172393779 +23698986 +1673118308 +421856319 +408470879 +2063856809 +1573088637 +527222075 +779567247 +112979923 +1094015837 +210539517 +2019121255 +1562402236 +1936056159 +1371848696 +1720497637 +690170948 +2065358596 +716368852 +343902115 +363118107 +884112226 +1224037353 +318317938 +2141417231 +1100434067 +2114750604 +1604107434 +75351923 +652027093 +629017565 +99050909 +177661753 +1050873884 +507521789 +94034915 +476478873 +1034743864 +873602162 +589458797 +2128759701 +1084141680 +461096404 +1543678290 +872714191 +1832945100 +1116692279 +1562885139 +1750820048 +1833061131 +1906787254 +2113938156 +569689710 +983340959 +284772446 +563623293 +2083775027 +252039402 +20247079 +11643302 +904066495 +649264644 +110694212 +1081728248 +1700138529 +618216001 +1175763163 +29133754 +1652959865 +2049365326 +618592551 +1634235919 +986023358 +1079688956 +1030430561 +1858737549 +765150408 +2147122840 +1274139040 +368486809 +1832700323 +1033442646 +334941317 +254906385 +2016783605 +619713763 +818529678 +1953074984 +871753165 +838776757 +1964718287 +1775819660 +1488041402 +2075412499 +710064260 +1040696283 +546144852 +1885827424 +1069830037 +51621069 +1787709102 +1688422589 +1685856988 +626248812 +620627897 +568803901 +337502713 +1385778305 +568443093 +1611641753 +1754265114 +253659769 +497600751 +2089206431 +508566154 +366900708 +561436546 +1327095833 +172492045 +1433189711 +18388942 +2137210332 +1061525723 +1506430344 +2065139183 +1771589984 +399642979 +463800387 +1509933760 +1469473017 +515421456 +1150159214 +1010411958 +53794797 +1776408026 +1631039855 +622598698 +2113910739 +869334512 +1191041792 +1578068844 +476115979 +1444701561 +2075669595 +417838762 +1953267715 +295086655 +979275309 +1132879900 +467578700 +264981372 +1151268843 +457305384 +1326507096 +510215539 +374960919 +950613432 +909858519 +838761306 +313063544 +231847888 +1354182763 +1463222758 +1242259846 +1407977560 +1092147136 +725816053 +2030576258 +1058574227 +1595150565 +1074134402 +489159423 +2071266544 +371352315 +417345370 +341621659 +177136383 +712432025 +1320896968 +1310016283 +1180010726 +1585878340 +313801478 +1637316110 +764901788 +824017018 +2012277030 +1715515220 +1733875537 +703554688 +2028578764 +1965723425 +2057737451 +1344317874 +1060499623 +1318231363 +288981362 +1786315676 +1201323974 +1347555589 +1233982593 +127974728 +1836715012 +1157765490 +499327044 +106576734 +1499387149 +676463427 +819008760 +672800469 +1986479710 +1999019486 +111195161 +152797541 +1488851948 +876096950 +976814559 +1353645330 +444128522 +563206448 +2057200019 +325223639 +381446225 +1967453822 +1669541513 +1441945848 +1138201538 +1958522876 +1080777876 +192041864 +1158594817 +167276821 +320016592 +847826182 +1325042311 +819343636 +954402916 +676945812 +1495807063 +1773411676 +1349746281 +1334803126 +1624947514 +1460941443 +1487600667 +966315815 +189554745 +316931578 +172477497 +633683267 +880138026 +82193868 +958906906 +1261584251 +2049647691 +480964772 +556046451 +1040365581 +292004000 +1636824327 +1232407445 +1450598817 +1804101148 +1552424037 +150941351 +981659812 +224284026 +1105344268 +1658605624 +1720091089 +731272296 +860868258 +907410567 +208736163 +174326053 +247527586 +1175051978 +363880798 +564459164 +1347529475 +997564065 +1444597190 +1429723344 +1956470972 +558697793 +1331887387 +289952096 +1114744244 +224769320 +581956096 +604084923 +1457176765 +2032554913 +260702424 +862117154 +36012617 +1242362236 +1086401180 +1141356885 +753484212 +659008622 +1872629181 +1614352470 +1566419189 +2081365344 +1788678523 +1813946776 +1108933674 +5075673 +230922292 +308979502 +1002639739 +1675519483 +1738702846 +811627063 +86733628 +923106585 +1101579159 +1201477873 +1147875905 +1683535255 +1805562796 +457569022 +1568606520 +2066265220 +1319686176 +1604619137 +1161143808 +258603709 +598492374 +1914628021 +917612331 +323637908 +1381496843 +336547872 +257519604 +1022691719 +3011000 +1366453279 +1027767392 +233933293 +1675432781 +2030407131 +1909452776 +1266651979 +694550546 +1996186404 +42274916 +1796129705 +1050180629 +1190150821 +1332181312 +708259778 +1647719843 +753304185 +627041350 +819922371 +210439674 +1788185159 +1078526080 +808932049 +1555329532 +1996138411 +1132569957 +789342727 +185202636 +1390089561 +1812034446 +188213636 +609059192 +692318191 +422146929 +137008325 +575241674 +184116057 +1403660304 +1269792221 +32818814 +1445935220 +918438278 +1082999443 +488602393 +103135943 +1791259221 +2136322236 +856440128 +270816924 +808760960 +1066879802 +2059002083 +1887287040 +1875811851 +1466847967 +1735941804 +860898160 +108707046 +1921144440 +103504074 +1920741493 +2109358076 +712563266 +465576036 +384021358 +849571592 +1040817710 +568137415 +105748248 +163126283 +600956229 +1551683469 +1081564562 +1683955673 +2040285862 +1184700505 +1327731246 +2029124451 +2041140633 +1598548170 +690401763 +960536787 +1510066605 +430205155 +688864991 +829430924 +18663311 +1549763151 +938137971 +1939807751 +1653267225 +711395816 +1901682180 +218346844 +1176971852 +138219890 +1067918436 +70305914 +706357305 +1173666684 +233432198 +1307313535 +577866505 +1314996760 +843785560 +470668720 +352213617 +24033158 +352309523 +245870602 +1622581329 +1042711286 +1206407389 +985164286 +1472916441 +1895272380 +1814595211 +1491579753 +1297551884 +605249534 +1283903856 +803335461 +1316645350 +1038102388 +1021682305 +346133554 +1176322278 +2089600741 +416439468 +1882679584 +1115783778 +649871666 +1042509471 +1693650283 +1964868426 +1886295031 +16835355 +169598395 +1910328189 +369144878 +415468997 +1385425870 +1411856164 +1621876387 +223106509 +737288958 +1369665119 +2037701720 +81385063 +519733355 +495467606 +1365288919 +1323068817 +1812112956 +255907660 +197267474 +10762862 +1432229938 +139384568 +427202330 +1167425874 +1255168346 +1077073997 +62451697 +801334981 +894458775 +1948746728 +818170337 +1064057171 +1711591270 +1187315215 +1479526168 +949533492 +451687732 +953918907 +1172640001 +1188976690 +176100379 +1062858073 +1270361753 +695833734 +1558325679 +488167024 +2018902551 +1222954987 +744074684 +68686378 +1233717849 +28820975 +208070946 +1660920180 +1196246849 +1463239292 +590510529 +1258698547 +117090625 +1484969304 +1059961627 +935260962 +401542827 +624069249 +2122576178 +1881068996 +1573602742 +426780262 +687504255 +598759095 +1615756952 +863604634 +1661617169 +738635057 +1559438369 +1072459200 +1226802081 +1430857272 +147930540 +1970876766 +1499543650 +1381648389 +1999697741 +1707614596 +895084921 +1048460942 +1023370240 +1485595450 +159675841 +1140460866 +823081107 +1219637469 +2075721828 +1224623934 +1843706718 +2050814358 +958209282 +1269825812 +330110972 +1645713538 +1868584908 +1945867924 +361834524 +1382718429 +537019333 +1921272893 +307693981 +1763821415 +1204646518 +455624521 +1587214533 +556706520 +1837272911 +1439428626 +116837469 +584874184 +340405920 +1140207709 +2070469635 +500081762 +133184927 +746067094 +1719719231 +61423108 +1970691028 +1415942301 +2112237466 +781416663 +538284466 +294864791 +279646553 +259385726 +93249067 +641481077 +1642104155 +630268401 +415270323 +1949798136 +246606168 +1619916841 +257939010 +1833820701 +29139713 +2095211921 +1125765679 +145977182 +532602457 +1466171599 +1286184892 +455588444 +1966253361 +1419369819 +1201655538 +1538488944 +1480792927 +1024862919 +806947598 +1445546746 +1806279582 +1345232064 +1740411537 +2085926135 +1604617790 +1833660604 +579923564 +1099238297 +316445357 +995193887 +901552785 +563051525 +467627080 +1159491795 +249388578 +496766794 +1107220068 +1375154257 +642743976 +1639822526 +693842209 +1928928868 +2095410970 +512611922 +1200815040 +1149582861 +2051100867 +534124319 +26962132 +710564817 +1979671065 +1833241714 +2055796881 +1572598954 +1771684201 +1512931023 +1258775911 +204124117 +464685672 +1575221268 +1199318005 +1366238457 +2138272794 +1666945085 +378246605 +240177724 +16228231 +1485466673 +1615331982 +658972208 +977805551 +161690543 +440417428 +925732874 +674302465 +1641232468 +2075315735 +577919684 +27873140 +2102277867 +1288484501 +2007544205 +1788035933 +1196797734 +1432659512 +1412236486 +562245109 +543951775 +1616360603 +1026930781 +2119173043 +668194960 +245685591 +2109962189 +187656398 +623932196 +202656266 +203884629 +2109398869 +1817988248 +862856837 +939720773 +1979678791 +1303274266 +1865453647 +506497608 +797023086 +1793285734 +1084417293 +824896226 +1748079953 +225418146 +684956784 +1388632238 +1422215881 +2117616296 +653385076 +1984460990 +514084423 +122262031 +863908124 +485773818 +790456992 +1109593715 +448252360 +978113390 +1733525911 +650908626 +1181998019 +1695441132 +321413226 +2044854857 +487678257 +153608369 +1200645475 +205648256 +660105977 +1997668561 +1998933990 +1744523270 +675081140 +1599530295 +1969941417 +1360037924 +840678885 +1244673650 +1330170572 +1494063961 +1081650992 +1844254995 +1616325993 +1945559116 +182545165 +259299337 +907669183 +630797525 +1237412727 +493711446 +1281706151 +271927098 +41668931 +1603119377 +169298307 +529347188 +1756727746 +1369943782 +734995445 +269350076 +1220128696 +586445787 +2013873346 +1895209836 +38492435 +1836331115 +1107764112 +879171320 +933521117 +290451036 +225751634 +2015172110 +2134706031 +1842077627 +1813247578 +169767548 +2101376964 +573433114 +800565074 +1191306043 +1067144560 +2082271225 +1463233141 +1108813491 +1537906955 +1632531449 +1638160680 +1147151053 +854991583 +225672477 +1416501129 +2075120279 +812118264 +1282890828 +1822846467 +850610699 +971738295 +783126931 +1729782020 +1905259413 +1073577967 +1955533654 +1772947875 +1060800350 +1650127633 +1438711805 +1230567899 +1604020949 +2012144919 +2031132973 +647843344 +931805832 +1965920550 +2111076485 +2040619323 +1356343857 +1596124286 +1531296355 +356011263 +303632222 +1756968832 +1772512392 +231268853 +421603449 +907919572 +2054115321 +1272214148 +1879657868 +689758604 +854512520 +1637433633 +1763336572 +662562526 +1262897860 +676653274 +165206511 +554126017 +1907221173 +1769227460 +418787289 +1790870498 +269587156 +1350593121 +1609307401 +233179994 +1243728796 +818167610 +1829304280 +627541504 +1174178873 +2132936502 +237026688 +799207618 +216721708 +658630137 +1707127190 +123353381 +1930844286 +1439301410 +813111985 +637873158 +929251395 +428964909 +1300435685 +44665607 +1105618184 +1465642196 +598791625 +865355709 +1087386009 +1017578914 +508742560 +1356973165 +220688387 +2118049961 +1590153159 +1464417183 +788733923 +1271973792 +2091958687 +1962912797 +1257426646 +181501728 +614636767 +1474148354 +840131865 +174280309 +1597501735 +623492503 +1613581720 +263130073 +1261365662 +395349467 +692094982 +414317699 +440015075 +1797713166 +1879959895 +1038806700 +515585228 +819862256 +2056385614 +1024327788 +29351774 +129590353 +994894101 +1619504933 +1594007536 +1783628024 +743995077 +1538482576 +1599057173 +2001421724 +1719984304 +66210292 +1328086430 +412632521 +240490602 +778104518 +1036125025 +1854072322 +1041234591 +150007039 +101938141 +1733329573 +564324738 +541953216 +1383559092 +296800985 +1580759916 +1899144320 +1116663242 +1489661882 +775988460 +1146015016 +1619252235 +1770882561 +618036301 +1065776124 +1407026937 +1362031379 +456775052 +858600463 +1215969455 +29275708 +924810755 +396572237 +441908229 +1165301357 +1174676755 +1478033254 +871890031 +68427698 +1628040293 +973828173 +1801757272 +44881383 +1515781389 +1037832716 +341682369 +949057658 +789493388 +1458345611 +291235892 +1565481848 +456876979 +1910488128 +1188880761 +1074913280 +828780604 +448424050 +289461011 +1285555656 +1307024513 +1505430466 +1314831364 +84351621 +1902002704 +1756739593 +1249652978 +929195811 +1087289200 +2121543010 +997623510 +567845845 +947887535 +651897134 +612727229 +316185276 +1689729850 +954409598 +1265242934 +331739590 +265271561 +1556478827 +1897221438 +722148540 +1319483307 +938618551 +1797061820 +780263 +1387042601 +2086522832 +1286335919 +546583467 +1444469650 +453683635 +630935088 +1198988706 +62939580 +1880588066 +2128184518 +1150228780 +1854647428 +978324380 +1718074626 +655051315 +1630221514 +183318207 +971236592 +1172467716 +1137727805 +88995878 +1504207306 +1402999366 +1645474705 +1253945096 +2125147906 +817474364 +45079999 +1774726078 +818254627 +1432122600 +1713765262 +2104590546 +1978706067 +1010751265 +410790533 +462157507 +62256323 +473730114 +195261926 +42957193 +1623958894 +2049909354 +1021281573 +1194549872 +557477022 +504019439 +1377868079 +1528713614 +1676487155 +368112236 +1617709492 +1033210813 +1771111602 +1115700550 +139672261 +1748775860 +1933174914 +184752260 +1376018291 +603945894 +1616874861 +942299905 +561052792 +1448097280 +1953051170 +971843326 +1910254788 +2015307494 +1445573440 +2105516714 +2058264687 +922048686 +2007942420 +932062613 +2116598559 +417935794 +1436082052 +1346982990 +1946649408 +965085560 +1715095227 +1416875253 +1998296373 +1338723181 +385092155 +2137968635 +940015394 +170783421 +175237247 +168550037 +774729315 +1792112108 +1110849942 +1335782108 +1092725741 +916417465 +160141786 +855496881 +784241311 +1605715226 +813529947 +695022350 +380280264 +673988719 +1627084963 +349395175 +1091924514 +915683368 +1696378166 +891090274 +1880768928 +1263989745 +160481879 +1731581653 +455229278 +545574034 +1722066640 +1395244672 +716357456 +1897303888 +1563794709 +1491086771 +1541932348 +527161004 +679385231 +487174441 +1443578469 +839527017 +1342671322 +80336132 +297758595 +8717621 +775358482 +678038860 +682706341 +254959798 +1027434035 +1774630855 +1170643166 +576328553 +518237481 +903928446 +1840318298 +678719361 +488026451 +148063929 +1224293395 +62609444 +1543308601 +1940650851 +1959913332 +959619663 +1284253975 +1354362032 +1486780667 +1963639206 +1841536474 +782875488 +655682576 +1036724148 +863211620 +953441171 +1045441770 +1638570102 +1631480031 +1728148111 +1893529900 +511430419 +1355295318 +916689418 +1087758972 +1873532799 +1820617864 +780593623 +404768512 +161160668 +928657552 +1629061908 +223770112 +324482505 +1422229111 +36199796 +1284102168 +558999438 +1390561828 +623399187 +375154997 +1084614654 +1406274675 +1030837573 +2121338803 +122002647 +1984278744 +1019296925 +1760572750 +1468275128 +599961388 +1506619002 +1979705547 +1955256706 +275824773 +919980871 +1681305857 +2096442637 +1700574494 +2086074370 +110119657 +481748398 +1567652630 +333889769 +806230904 +842398093 +370089565 +2090333072 +1401397532 +1760651394 +566248612 +1776552529 +697782400 +1972523287 +659906454 +671637555 +2094525935 +496701550 +1690934480 +1707615037 +1964976678 +143412220 +1066750391 +1797198577 +2098668926 +1342575164 +569695801 +1632491136 +1291534154 +122786647 +1571081858 +1401653811 +604535046 +991250840 +1735543581 +1410765950 +1833648933 +2105633146 +1353615374 +1087562817 +1718800892 +1919863986 +716631698 +269099645 +1744903626 +1376538152 +940737200 +1691945913 +1873239703 +484188033 +1252077302 +1690732733 +627600253 +171344045 +1340447663 +578785532 +1513919210 +1910143464 +63793020 +657969716 +2032930111 +1634874878 +2059623527 +489981509 +478642070 +1647683460 +1900747459 +164807355 +1605832959 +1106879186 +1252370173 +1177150203 +879259524 +1969001871 +1446249848 +476679502 +1198056376 +239503401 +21141767 +923812431 +723691434 +1273219069 +467061516 +1351291687 +1444563115 +1807509179 +1930077219 +810998677 +1570168995 +1993870239 +1468968393 +1455615459 +1481261469 +1381108272 +1945596968 +1959903539 +881308085 +1698860780 +2124710895 +339657396 +658256318 +1229597420 +1516807599 +1537515842 +1051115643 +815573800 +2014195345 +101688371 +1055077201 +2035337112 +1025500802 +1778768635 +1161072534 +1492562319 +982576674 +458152001 +1152587850 +765170246 +1269150678 +575273198 +611556837 +590635423 +2030888657 +2092818307 +1971743695 +1829001977 +1905238198 +705568132 +1380379109 +1882465445 +1045225528 +2038635427 +964579217 +414549480 +1428667622 +2015694861 +1230123280 +1295379319 +2117383232 +137716833 +1183232783 +995400387 +1916485468 +196821669 +340479058 +751578494 +654973670 +1493066908 +1516748740 +1924124348 +2068340106 +2128305578 +367276123 +1951745115 +2073640237 +191536171 +1633263445 +1831394787 +897104303 +866158906 +1566376585 +1942329832 +757310686 +383472154 +209395664 +38494660 +251683367 +1439518944 +1333873979 +221582952 +1577235777 +369623114 +1216983339 +1346237597 +566444784 +1557462397 +2097816091 +1221418454 +903045657 +1467081184 +998059155 +823902116 +1447903114 +1365335278 +628163583 +1374059703 +1556871449 +113943380 +1057970842 +306492105 +980102287 +476863779 +101338289 +1737412973 +860335934 +310733953 +1775907633 +1112019301 +1750252897 +962297964 +1333602253 +1180005026 +1331921078 +403101944 +378758975 +1898365862 +1960564341 +329091418 +972300669 +716126351 +1796172602 +1970359824 +1540028467 +1096592068 +1188211454 +20708402 +323168123 +597599256 +134651783 +1381138966 +904091361 +1114754070 +1858002745 +1005429650 +704683395 +570855031 +1316163603 +333107380 +1682874333 +918932852 +1295405344 +868992938 +2098937878 +479842774 +1272094883 +330213205 +230724989 +1085175576 +659304623 +1203025658 +1801301927 +307993578 +1025901834 +1193846746 +1404585646 +66629640 +1214555149 +1727753770 +664228896 +1349206932 +961409088 +1568320257 +316477354 +671928185 +426266259 +1021160749 +1242783217 +1742429862 +1354268129 +778173902 +513879066 +502189825 +1647166840 +465333296 +982032599 +771778075 +795546501 +1212757588 +1856953652 +1454851125 +268299598 +1510771931 +1762844703 +1294201432 +557135030 +1019946701 +1360831073 +1771690179 +600216823 +2025059969 +973413463 +1561625911 +1445896579 +1289890817 +86070449 +1872162838 +163567918 +1328853666 +1467109053 +1517836047 +2107027568 +1980988119 +2020025872 +1606710760 +298837768 +854574823 +231005188 +1094384269 +2067332412 +2087958840 +401751746 +188148362 +1451247123 +17112801 +1482349795 +2008382153 +1037059503 +695697220 +1632588684 +1637276326 +573273541 +458518499 +1051418590 +2019170120 +1748409316 +1137489039 +1743849311 +1911977234 +318859057 +1063474716 +1282329633 +278402977 +896979187 +1154871857 +1885113737 +1195816955 +2009446681 +2116118925 +142717577 +1929295445 +2056594117 +544469323 +2117443807 +1360357593 +561582125 +1452309954 +1221256098 +1598641628 +523526 +706361135 +1088434306 +573797068 +1164879634 +2139852896 +445483540 +765805303 +1129858287 +41849203 +530298889 +1448717344 +1105323919 +1812628523 +1727120321 +2002303107 +820016732 +1464750411 +1050636414 +681979765 +1433385688 +1193353991 +463791562 +1342496158 +1737823315 +433751722 +555370103 +151921792 +1886061676 +1776626201 +1750563420 +1886585203 +335503688 +691514078 +312898623 +1500383323 +683883327 +758382163 +118704978 +1813741614 +800231367 +649003867 +1114975311 +1905555286 +314148742 +694611984 +1760374745 +1134165475 +11878747 +663527512 +1816145240 +1445264436 +1856881503 +132453155 +640276946 +1447221170 +566204877 +1195647049 +1599142962 +304782905 +824789602 +1202222734 +43884460 +1160293291 +1893736813 +356783083 +513192966 +430136492 +1115165247 +631897944 +96394458 +1915396614 +1280901811 +1211369769 +1673468252 +1595050554 +1905981754 +1286359350 +581732381 +1917860501 +1949886862 +250393973 +1215641289 +1659284717 +382847128 +1855918235 +959022240 +949052005 +904081636 +410681554 +1253834911 +1728871239 +1612904289 +1297719371 +741680882 +1359157454 +1654502455 +1254873848 +1789293946 +622184054 +1886771792 +1885688404 +390097020 +1020189955 +949574526 +2063565272 +467756861 +708072632 +1202440974 +1049489242 +478449485 +1004844188 +1299883216 +1694090775 +516645258 +1682730344 +1402525362 +1475667498 +484298702 +159123351 +1886349052 +1738133613 +1887994590 +1351769693 +888369336 +482191824 +563443499 +395388143 +1737065672 +205253797 +1017572197 +1476353816 +2090942202 +1407669217 +349060123 +893033080 +1323750842 +816816985 +1601105712 +378708168 +1866306227 +2079555197 +1383552357 +1018705795 +1626162324 +1900197615 +553952492 +881204039 +1228381465 +1038251194 +1040327390 +967246869 +628901159 +780838332 +171532915 +1517270495 +1263030156 +734976414 +1912658639 +852612180 +940230212 +782747188 +181482348 +883688766 +42932758 +530542471 +1776721846 +1366683600 +1347359456 +1230343910 +1745391768 +1066182036 +1162415459 +981460477 +2084887831 +641094136 +734174444 +491356675 +1522298175 +1962555909 +1529607869 +415141917 +782319131 +11025380 +1195980249 +953852046 +1528295876 +311526757 +1688828460 +1293470867 +1164138937 +481575024 +2076218055 +1345621285 +1365263790 +2119150813 +1876163756 +994501988 +1338350765 +1076039565 +77362250 +936258886 +2142221601 +1239777710 +1917719363 +2079625784 +1880871846 +504410160 +423498812 +1255686373 +319482421 +1953106681 +1670828290 +1101801552 +1964132062 +719324891 +2055653598 +1344944290 +1030851648 +1596998411 +490931509 +47506937 +2078573435 +419665916 +1393128222 +1296353578 +391333082 +1121808330 +143371918 +1729683847 +50364247 +220734169 +518459085 +45102200 +1460511879 +288694801 +2124727985 +1193900077 +793104961 +400743149 +302102802 +1112587382 +206366182 +1972931092 +66905287 +23014596 +544772335 +2122558885 +1367958886 +1575623983 +1572073648 +1858890395 +1623130920 +1503163436 +131072664 +868775494 +652033366 +522405746 +1990583824 +795405284 +104605945 +2040948072 +1016139453 +623065031 +2086050272 +329167684 +911759832 +2063294609 +1523067761 +1704864793 +316554110 +1825170563 +669968527 +522920293 +1650618007 +736873814 +545934889 +47906694 +711949052 +1913893776 +1623530677 +136539052 +1625300523 +1099177949 +1639702488 +1756373187 +1967953443 +144252206 +131295285 +1811053620 +939657491 +235901231 +1704518044 +1955796944 +858966262 +1643084668 +137480981 +1770726094 +1558895630 +1660548742 +1328107239 +1875449740 +1338235658 +1998075766 +250886385 +841370017 +587465933 +796821275 +889276712 +1299414985 +563231403 +365323741 +1435954037 +41048278 +1464501691 +928172878 +1797421466 +1284971486 +1072425084 +1928716751 +948541458 +2012082575 +17134334 +505575854 +1820395872 +876100596 +1176875 +1957876853 +499343042 +1560072505 +1470941947 +1827450281 +1288038597 +661693957 +1678042400 +1538924983 +1503063975 +118024685 +188262610 +244857039 +1417439670 +751494013 +610180780 +705910059 +792542291 +2074682471 +1634082937 +442480109 +1212170310 +559024374 +223713213 +13228120 +423623301 +240847547 +518803975 +96535525 +1116948144 +519980850 +2054412378 +1616291186 +2080053355 +1377870678 +1296257820 +1220608304 +2039564635 +826816572 +612049639 +1395144962 +944841257 +800312249 +1640002001 +214797279 +1551806262 +102699134 +920707338 +196864906 +29897957 +407306628 +639345015 +1242068267 +966331002 +863058228 +1255296388 +1389954303 +1103905776 +1774100363 +1486489829 +73370272 +146597565 +1393418559 +1689661458 +79167272 +623805589 +838435630 +1299775576 +515886577 +1665252202 +1911825216 +1911031539 +462609811 +564653817 +1403549893 +677407090 +2116460080 +1506249027 +1598114429 +165841338 +1536146984 +2005421057 +805186353 +630731604 +824268411 +1668244582 +1886027992 +66739066 +624666710 +1512644707 +1553228895 +698036982 +1659242272 +799163807 +240214792 +1738409544 +1422969396 +1078650423 +890701472 +1938855973 +596418977 +655043040 +1702403865 +1059028789 +1219696858 +958470110 +1736435879 +1188673290 +317235489 +1187066660 +1354514628 +1853382473 +1045004069 +12217333 +336630429 +1869272480 +1680461915 +75174773 +1936011547 +157644977 +1587819480 +1341756794 +855681959 +1099578104 +2140920601 +1095896752 +690504000 +1416406350 +27063527 +1581205473 +1207778675 +623482504 +88764865 +762698892 +1682511293 +1308461723 +1721169002 +1271463525 +349651365 +2038404491 +311046537 +1704165993 +1744303317 +1356050607 +1716383327 +2080933746 +1077839439 +1249361594 +8624872 +866367338 +1407006572 +1596444352 +60640485 +115204883 +548538809 +54077438 +1211101635 +1239042809 +1470483788 +1238165162 +672764634 +530778816 +1861647667 +761529500 +1293477708 +1396675312 +2069991223 +867163063 +520655189 +272158941 +758083906 +831701727 +1976324934 +354903575 +40268686 +1545224613 +288353674 +1118108125 +647102560 +296978546 +1984475464 +2054109132 +1893422898 +2045115949 +21830367 +294478059 +2099193387 +1232932003 +1533520869 +1422193528 +323613517 +58801855 +1952972344 +37777536 +820331355 +1098966404 +1434452849 +742838931 +1966129467 +1955108038 +1014997872 +576729726 +639326117 +843839158 +931633301 +679594803 +241580124 +1219986975 +1797702929 +888682684 +1516965521 +1634694745 +795308168 +1262904772 +1532327046 +817138535 +1557382831 +1484036785 +2050070538 +943420052 +758746665 +226200408 +1002221908 +564235361 +263977944 +1822553263 +1663201766 +1698430793 +417908546 +1481847585 +1506055184 +1432906418 +2058577311 +2145381301 +129261929 +842726965 +677492457 +370842053 +2062713940 +327711738 +1259524737 +1432195814 +1962406483 +2054832905 +547616938 +1347249881 +724487792 +2104999769 +683803018 +627074683 +900936174 +1442549684 +853275091 +1903158082 +2006785045 +1117253035 +1578227697 +1522503163 +668200181 +1996136244 +856867101 +26771717 +1281559014 +767960764 +24669370 +1410820943 +1610687729 +702161827 +1781662996 +1525918022 +1029873565 +893704085 +810630188 +844796400 +801053342 +1358247126 +44562633 +1525541135 +1315763247 +728365652 +5132170 +69215773 +23431688 +858407261 +1972373855 +2030216733 +1975660296 +1403117905 +1405236249 +496376829 +1251770501 +114619702 +523148546 +385845867 +882580466 +547817917 +1796666811 +345784548 +1249979744 +1430846159 +1871702570 +132369662 +177066597 +534849110 +977166062 +978119939 +1893096236 +1021728696 +356177426 +1061375835 +1750094348 +361309596 +1130591609 +1773526036 +1219716857 +955481816 +1656259121 +1047893506 +211116073 +914011722 +1544270335 +1462886574 +1028631424 +2067418882 +1848732442 +1911211891 +467753151 +1497915605 +109512791 +1717732895 +781278116 +1981215361 +1850102557 +958344713 +368580823 +679784972 +1936464653 +114193411 +1701513668 +145158431 +1175569246 +1304124368 +506468028 +158677207 +930166756 +1726184885 +1114159024 +438942229 +626594743 +1325275097 +1352953952 +23381431 +640678024 +234101728 +2090800313 +341926818 +2145313619 +411069816 +1839842423 +107342762 +2128802711 +473636891 +2088558123 +1831421621 +1431981605 +309655298 +363722945 +1220962610 +423848709 +2065236613 +1366121041 +1599417956 +1221877333 +1872589069 +1758095163 +4560441 +1451290307 +724770539 +443502670 +2077885050 +2050045637 +1796456622 +2101266481 +543240013 +2030558351 +2044583146 +885166831 +2028388322 +308169314 +577525606 +2135731085 +289488378 +1051162497 +2076805560 +2120909999 +335660454 +238977211 +337149296 +1556623064 +662825920 +254902261 +775260458 +114760228 +1476779594 +500365879 +1872855392 +1481340035 +1951656186 +450142283 +1924842705 +1882057589 +352704272 +1573815680 +1835840422 +895944285 +1456890383 +1732939921 +1781111116 +1337795057 +2041109235 +211153074 +1326042494 +183113965 +1262315572 +1255364407 +156540316 +1597976026 +1494341618 +493689612 +1007115443 +9683890 +748591873 +1782375901 +124444119 +77887819 +135258132 +1997299511 +1559227854 +2086914319 +299958146 +1336586912 +1821488260 +652662419 +762918944 +1509845034 +1548606704 +72325679 +1095301307 +1182234173 +1410120736 +988926895 +1393387247 +588679583 +1172040860 +508219171 +1844043990 +1328581177 +2106195198 +1190901960 +1822270789 +965826993 +1200585850 +423379015 +600719246 +1325029969 +501266834 +735977378 +1174845832 +2060494689 +675408049 +1474803979 +1249597953 +349412661 +2127466398 +2012516897 +1859257696 +1528589454 +2084842576 +807075355 +563339979 +1347479664 +1796002250 +1956727227 +1936159247 +820559463 +317462750 +1632719589 +1656992 +276174300 +676137901 +1823927781 +1242001293 +1876723752 +99823148 +1842720539 +1054270073 +601089983 +431214270 +81632258 +514101024 +1106622319 +1556436237 +1763698977 +1456034981 +1536418987 +1628732226 +1167809029 +917524793 +1566091154 +1974884384 +1480864773 +766087170 +1623402987 +1290108352 +554762770 +296478802 +1607571102 +39998711 +298135794 +1883745403 +716136613 +2122063575 +978263048 +445376717 +74403076 +673499940 +1499646790 +675493059 +1104714210 +1581279048 +1189594083 +63852881 +990231637 +805809412 +1519887862 +379166976 +287057990 +540213243 +1296691770 +1853149144 +367613980 +630072895 +471752666 +1991016967 +1920181247 +1026515436 +140012121 +1380268701 +1066514148 +438147915 +1116530456 +1782650761 +412727842 +2094793505 +80543830 +487130918 +620809797 +1580190620 +1162623977 +1725524007 +1013986021 +204734412 +1789376888 +2004217658 +1010543824 +1161781103 +235900987 +1297601814 +1701994346 +1532592757 +1003267310 +2069608326 +15182004 +1475019977 +1913141645 +1935363251 +354051765 +2053153766 +1168148304 +1420565913 +343818033 +137195113 +1055733026 +756545876 +84504970 +1136276856 +1243676794 +705314767 +568983829 +258817124 +283355126 +1582969850 +463551536 +2072732014 +1439703860 +1474095361 +1087029469 +1675604847 +624213527 +641540168 +1060713956 +1627480838 +563664846 +1075895960 +955017167 +329322844 +863775563 +1309068932 +234992962 +2031923868 +582151198 +578810996 +21635333 +1637884224 +1335356872 +106140303 +626677433 +431550018 +811455070 +1195661262 +690367142 +1094810196 +631147464 +1153918679 +1020058562 +2070851324 +480530392 +2107088032 +1598972524 +1104743919 +601144552 +512202832 +584741109 +1164809398 +1588098793 +1539758276 +1494132242 +304390708 +701343561 +1729125205 +188830928 +1283494759 +160452553 +210466261 +773895335 +1495809425 +316606564 +1400572768 +1927359443 +1128061634 +448750382 +470242938 +75388182 +1079897846 +1624161617 +1095446745 +1003265523 +2104692009 +1055051129 +454754399 +1061952280 +1656195681 +966957231 +1646693390 +673521431 +407572376 +1038968018 +20170026 +711963085 +1740311579 +1749295231 +900794013 +876322690 +1909747784 +1111260275 +1650218026 +1258073561 +1427866839 +903307146 +1037949356 +408444826 +1352057529 +1508192294 +483833008 +284471727 +984870263 +1579279753 +1287737250 +942078624 +486847234 +1742491649 +2004030905 +2143042915 +561965233 +1503240647 +669080699 +969537609 +394725017 +689250725 +1681500694 +2135036597 +291062308 +434811060 +863875639 +53326444 +1546071335 +366610017 +1311400005 +826454526 +1269917164 +201865713 +1234899352 +474491045 +1710058008 +1718732361 +758962772 +547444623 +1150528466 +2046700023 +1489523248 +1637375701 +1641708024 +1346070505 +1632934968 +56189609 +701827504 +154532019 +1025727219 +1096552521 +843782744 +559744265 +1084105470 +1134845052 +994555325 +1947981110 +1188171496 +393143012 +167107479 +352087853 +1219597539 +1437024643 +553953567 +307013243 +1911515688 +116527927 +2025745604 +522994813 +663972550 +1028790423 +422211188 +6012150 +518682476 +2063919212 +1352082655 +4133796 +2120108822 +2053910159 +158665816 +998352393 +1002979033 +1002448560 +1558096658 +2087084503 +2137293613 +405168336 +1887581965 +1177981461 +798311348 +2054689445 +1530069315 +2017908887 +1344230440 +2084022882 +177438483 +1108262481 +53067161 +55700439 +1631257294 +717039711 +1084490862 +2053468482 +723051862 +1603173338 +1969904046 +2075134517 +1607307135 +1942529220 +1981561029 +1765972951 +793397965 +837056414 +620937863 +204010976 +776657269 +610747828 +609179312 +516755587 +1788729290 +1407490660 +423961384 +1171314957 +1277915900 +1768191824 +1107854191 +1455354383 +728970657 +1160921352 +1511054822 +212744303 +1877961063 +448062037 +118729137 +453529277 +2051235375 +2088633184 +381180147 +1511058862 +1883678756 +215257528 +1129548165 +529593074 +1052313942 +1750486029 +733604050 +1828971211 +213750209 +1342783362 +198243150 +2002479499 +602790374 +622204534 +1026310808 +1880706274 +242912711 +2134164999 +1188577009 +971883368 +1147602703 +552148184 +1184627672 +878080119 +1000210221 +1303356809 +1331609396 +903961948 +1244506345 +1712789543 +267537163 +980701454 +1928047071 +1397085328 +1510294528 +832877365 +1000087709 +96414930 +514364929 +1213837919 +1439198292 +712608079 +1068833770 +2041988666 +1334812614 +2095144579 +1775211293 +1577725325 +2081825930 +816304654 +402125045 +1081944986 +1368452838 +1586752717 +1960025105 +221179411 +742625879 +1144150853 +1125141360 +1987132224 +709456749 +1392678523 +820350030 +490020172 +642280203 +183160910 +1322897538 +1642367913 +279575840 +1837262467 +708722184 +1718774132 +402386898 +1777555954 +1613279151 +1737199512 +1725216885 +1241006796 +1167441189 +1659559168 +2057311450 +1569566235 +594020506 +1278280641 +1008835304 +406561963 +1499460052 +1751461183 +1550712816 +477117764 +1591109760 +112685917 +1869796287 +263976142 +602706090 +364592843 +447137053 +1925603628 +2006960756 +726712893 +1615382447 +568199292 +298003378 +2017769345 +198271598 +1911282529 +1607485210 +1923488484 +1004805677 +627442751 +1435564004 +914633479 +49525338 +2029584510 +45430472 +1058360643 +288662825 +1544890525 +662338178 +1839375641 +2022008289 +105964290 +1952061559 +1744320929 +369940433 +407284001 +2108913772 +817077486 +185403981 +1968390880 +1543790379 +1800786428 +389106524 +1841793757 +1671072125 +587378122 +1605592638 +1131073687 +363382958 +462914667 +1758516439 +1798946962 +1377548147 +1808041777 +1681047824 +1422978619 +718918772 +1969710649 +820385496 +1381256951 +1661602643 +694910138 +1487221241 +1466180554 +291747419 +1857161674 +1873464555 +253177543 +526755512 +2058868536 +74084775 +2070545892 +1712171316 +463191299 +1764856001 +1235759793 +1050569421 +1222964992 +219349833 +1413952380 +1685879659 +1977866272 +1065415694 +915944158 +1638424401 +598979871 +191439130 +209859526 +421206872 +1011824626 +1591116477 +2082809515 +1706734764 +930854070 +1401506421 +1998482183 +640532097 +1127487328 +104176078 +1167287609 +1038872216 +178260853 +1090349853 +603559884 +641452152 +707722207 +1839319678 +1692021574 +1930687199 +2058669511 +958490306 +1469083210 +1889052135 +2023906000 +237543721 +1379992888 +475402223 +428982851 +1589852414 +896609096 +1440807477 +1033485243 +831934963 +1000058594 +1964339314 +85957737 +851057129 +457387763 +1213445065 +955233208 +1624675372 +104833634 +1133494061 +567541578 +708393518 +1774946214 +1275263785 +400229548 +1319484140 +1058467336 +311415411 +130490798 +380066898 +52983898 +6913150 +617610619 +1432976787 +482315374 +1046593470 +875345553 +1378924470 +339917300 +1908830797 +63375785 +1339975894 +1725686463 +149333522 +43549375 +35590578 +1362778588 +998782583 +1660265950 +1467612222 +2132276645 +80323880 +28522092 +1759739211 +1355587665 +428751641 +931739703 +266571353 +740167052 +1062230501 +646638252 +793150951 +1069143651 +1264248871 +78644090 +1551459025 +163358694 +953989643 +782899847 +503275994 +715336792 +846275633 +1843251888 +293539607 +995609155 +1886801263 +329130185 +210904095 +738100199 +1989396136 +1678516317 +722893196 +2069720016 +1707038410 +335148759 +1277824034 +2135790051 +1266888462 +1544395387 +728473455 +181635315 +43549991 +1521624406 +1250778966 +1307798863 +1600268496 +654754344 +1471157557 +406774492 +1437654191 +1974433551 +1122111284 +136446176 +1670201791 +1415650892 +1132055332 +1409519406 +1744781077 +1342959427 +135957 +1586693565 +873992097 +723029153 +1508929934 +433546859 +1058177912 +639270320 +421853262 +177582726 +36182059 +1150326717 +359218041 +79732051 +524467476 +1609997008 +1387530914 +2124735972 +117267704 +711204823 +384026816 +1554921895 +538154726 +1506138101 +1691368072 +60872869 +774305345 +675939756 +1470392275 +371602774 +2018899183 +1470528233 +1958296340 +745407632 +46073738 +1319742626 +1178954491 +1104251651 +1959012946 +1600807753 +1281834377 +1995195005 +603650823 +1641052419 +2074927056 +1128118299 +1103565779 +1314974322 +1105370623 +1220833483 +2026179145 +1489397440 +628271730 +416850223 +848051893 +172156154 +477723092 +1622357238 +848095910 +1948115368 +1993960012 +719511446 +1271159953 +1804772704 +1464919078 +1317233691 +977031682 +496389922 +274001694 +788560980 +2097197675 +1555836072 +636272338 +553364850 +1049404843 +563715746 +1681483149 +5486974 +1878690069 +639370125 +1226320457 +1757385566 +2128767565 +1854592187 +26752142 +829335810 +2026748342 +504475234 +304209400 +727360604 +305106954 +150685764 +1446872050 +1576266907 +1955458469 +764307481 +746016951 +785006503 +1260697403 +1020018645 +1573567484 +1210411430 +428371069 +62356174 +1763776281 +1477775912 +626071920 +1297775782 +1483262886 +357278341 +1937145907 +562099695 +2114663908 +1918429824 +269208235 +2141416050 +600281986 +148472929 +498407636 +904491386 +875833533 +803514591 +1055177151 +175221936 +232297850 +863151972 +939529417 +978314801 +1648158475 +52743172 +1998333447 +1074242311 +1263154602 +279220868 +1136598485 +879447235 +1756996781 +1762670406 +29739370 +1092776019 +2119948747 +1966885277 +1654875715 +2087129007 +1737831454 +1924083950 +2081061409 +190629792 +2072556879 +431985398 +1095121179 +800906764 +1235499989 +2814682 +976128700 +1467797839 +865966654 +1915658117 +298628993 +366641481 +1968401289 +149478792 +1440883793 +1084072244 +428699660 +429998630 +1963519479 +38212793 +45185388 +1993258849 +1130988813 +17650488 +1812660479 +638380880 +2104779495 +1403008285 +414981182 +2038357257 +1593638077 +340054413 +322859007 +541275608 +1140961177 +1558358996 +544090290 +2117089878 +878673187 +1410056944 +1885264347 +1177302180 +1776698426 +1706181989 +1326780972 +1070098571 +642770585 +1755480633 +1500097201 +458806416 +1793693426 +1545282590 +304581618 +777198591 +1562933078 +2117242097 +1415579471 +1520228925 +1372766734 +1830560653 +1411102534 +818921163 +23131418 +1733961541 +1360196772 +1164092596 +1144836889 +1904287062 +1133698826 +2023510077 +1166860359 +871479525 +1053328609 +796075137 +430177866 +232625934 +1866173708 +1072948451 +1988106567 +1218787261 +1531754868 +1634316345 +616586203 +1836336486 +264031289 +32035633 +1806094935 +1679610760 +1552264559 +1031378021 +1362687766 +815883445 +1850299184 +1385819184 +402361339 +1063012308 +402428132 +1547198228 +819815723 +1536126958 +1423224657 +1986676082 +260122836 +329069619 +635267571 +690300702 +561695553 +353957631 +1763249154 +402318472 +1572744892 +1147520374 +2036634817 +41847448 +836373212 +153182458 +73883081 +494984499 +1832793219 +1626147640 +1526362520 +1047997337 +294547438 +1229178056 +286332873 +696908777 +144706717 +688761006 +96623357 +964522440 +77404316 +1519848015 +803714874 +337527152 +1848917634 +1438982445 +1027827855 +263129539 +1792940076 +643593361 +665448011 +1218201320 +1791113735 +554599180 +1260048768 +480003299 +707781639 +1333931850 +974987798 +393091210 +812595842 +353866670 +1441088547 +1107143280 +1583044726 +1727421420 +1804052057 +1727751443 +268698778 +1900675415 +544790235 +346103095 +1273039782 +1348505109 +683630247 +974473768 +640003906 +1711458102 +1237603307 +285460334 +207567815 +1903051318 +1503661655 +1998681550 +310166850 +616226775 +331201201 +1017948489 +1950158625 +1306188999 +1411039699 +615270820 +1660055669 +704644598 +1722414100 +1095616748 +284582371 +1378982510 +675884543 +553281149 +1132174277 +1220674779 +899384244 +257730411 +421696240 +1583014492 +1232204179 +1061700147 +1146988946 +322323838 +1347160481 +1354556762 +77891508 +703338488 +1205754664 +388058358 +1319565264 +1536955866 +1406006848 +1122240241 +695661217 +669562899 +1737511061 +208233239 +1374207498 +1312441514 +1303849987 +1658789869 +543940376 +1979734530 +64587370 +1676114653 +1052925661 +963971615 +1933845064 +1474621902 +399502459 +1018565595 +388838401 +1546491405 +1340889433 +1735998882 +753564519 +1418780941 +291853723 +1959319184 +1806839299 +1611418987 +1348791402 +1065362499 +586175580 +2044452619 +1734925399 +176202994 +105202210 +961649249 +1488644508 +1409052197 +472955470 +2032584884 +1241303080 +537542840 +1561215889 +146745093 +1501514455 +1347577305 +1621366995 +1901016914 +218659252 +2010205396 +1300024672 +1559548685 +1598720631 +2053589191 +830845978 +1890574354 +1865424727 +490201629 +1354509693 +1066732481 +1555564129 +1940685273 +963701453 +1143005880 +2116888267 +1068903663 +2104655129 +1458049127 +330472213 +430126951 +1343150363 +1571775293 +967669791 +756882604 +1718520386 +321700599 +2104459909 +1192403734 +75233865 +175635513 +1055125482 +1375258537 +1735184198 +506362465 +1281364081 +418546528 +249453171 +999305160 +908748158 +1603962864 +2066037642 +316828639 +1397164490 +882255447 +1459834519 +1366569109 +1951159110 +1417006000 +677134589 +134147675 +1847132951 +2020284952 +1705922968 +667319094 +629683909 +1276959707 +989019693 +586660170 +321879793 +1064253559 +762295684 +1377005275 +292028448 +349996234 +1883367741 +1573392529 +768542763 +2132820912 +425214042 +1677290921 +1589300129 +343768036 +1994119560 +838980971 +1226023483 +1306470431 +58066432 +1029698945 +575992783 +735201021 +1163846621 +275642086 +608002326 +722285941 +942961180 +1237686235 +1999245648 +1931980874 +1824346405 +173641793 +848750785 +439158441 +1550647069 +1140779233 +789154676 +1286531162 +566688115 +1557697439 +1271868426 +991902157 +1087504712 +713684907 +1335670193 +934140624 +1552665878 +414210028 +93127407 +1610732311 +1443908973 +669120190 +198449684 +460271946 +944762276 +806452010 +1182557888 +1887723456 +2044138245 +1034319888 +1672220682 +1721001003 +1207961682 +373487819 +12675796 +611125103 +1514267053 +801830472 +1897656265 +2080955168 +212044263 +1022041043 +925373677 +1299548975 +1735725951 +113560222 +86205951 +1140908181 +527770250 +179333358 +604156844 +1971679223 +848453548 +802606529 +284467522 +1793215824 +1609058539 +1467025410 +1533455633 +1505713137 +353861650 +1058192667 +1079230492 +1561823332 +1431680487 +1091906288 +25464787 +798463892 +1893736761 +1923121052 +731935412 +2105781024 +797678448 +1657309089 +1257846352 +385920751 +1770869311 +1344052303 +1526828932 +151155913 +1523385662 +2130985777 +2122835136 +224355562 +786108658 +259819010 +2017571387 +247683549 +1726844420 +1403543372 +1753396686 +2080706071 +314252391 +685143530 +1495045755 +1745932878 +1777049819 +1520510543 +396913122 +1523302932 +1296147947 +1128848534 +1481600308 +2093826395 +638673975 +591963012 +332263498 +262059638 +1936015316 +1859092431 +413215551 +1311917330 +1842594560 +388567040 +1536272892 +481219570 +648386050 +1406360631 +728903119 +227746823 +662420355 +334816158 +160969246 +976672747 +1019959688 +1656015001 +575121977 +649525859 +1029041896 +972035100 +25345143 +177706196 +2100883634 +1506945452 +124048943 +592073962 +2098908464 +456312442 +854133600 +1887440132 +167921225 +1267349152 +1051873814 +2010515785 +1655916192 +440663059 +344251707 +156818594 +1847023690 +1073154826 +384565417 +361960398 +1407970984 +545534663 +1338633145 +280447025 +54066017 +1913755122 +929972884 +1083107913 +738306574 +955318028 +1260814109 +691706561 +314779832 +1384863053 +1283780523 +266204648 +1841175495 +2137914123 +6161133 +2009096720 +1257779627 +1058034947 +1872128857 +766212171 +1498698006 +68896916 +923030766 +1198238049 +1142051742 +1307596183 +1560198447 +402539079 +1853130847 +751347944 +682986104 +1907196864 +517619418 +1612958988 +842821129 +1255925993 +420793368 +2103635239 +1947632554 +735573200 +1341014644 +1083929429 +1001777849 +1034706491 +1074359904 +1007938982 +896319563 +184655884 +2065973929 +620964772 +950868055 +1417188288 +689861688 +1873898821 +467942689 +1831913430 +1034011357 +2028141136 +86968861 +739658556 +632005432 +769954965 +499371772 +1149624850 +235430306 +1342192901 +258067195 +656223674 +1298344492 +58216101 +1391796875 +491875488 +1142145530 +246091076 +1526581979 +69021787 +1254030058 +275417894 +253677671 +1172520339 +896382666 +1204545726 +442224979 +1586244354 +930960900 +910167668 +1270674137 +1964972257 +790825156 +1357642998 +557147165 +1422830588 +2127597964 +1056518937 +424971791 +215544622 +251228190 +683038986 +871768296 +1549572683 +741255088 +116081523 +2041448171 +1883400618 +362172599 +1420546503 +1952422405 +1616202657 +1695964397 +58616428 +641239349 +444863416 +1263162155 +1083464328 +2031107770 +46639407 +1993631997 +1154298259 +2011611664 +636973505 +364457610 +421275181 +2059804094 +344571926 +1477794118 +337292237 +560116548 +1729022308 +1020331223 +1431884844 +1131111343 +1761586311 +1547966368 +1025075867 +1497503282 +1910138967 +298138722 +1302442039 +1378857977 +1994103119 +1361058468 +2020097326 +291482887 +476736975 +956078006 +175107010 +523376382 +802226355 +1329405269 +387504398 +1439199861 +1693862879 +808779579 +1351520307 +2038434805 +139090049 +1688812544 +451067705 +1868112357 +561660119 +1882952550 +851740053 +175762783 +1283435270 +1876815920 +1673266065 +1046090589 +27470994 +828224456 +277464918 +2021574113 +41799276 +150078596 +165573353 +518536251 +1106156603 +340680363 +1041912633 +1908382958 +1670085632 +1429417031 +1200099171 +1216464864 +90712962 +404135830 +1107416021 +229803011 +2092948374 +1558483727 +2097915369 +507124846 +1293952629 +802171774 +682887629 +429904251 +531504046 +208670046 +1475994840 +558975040 +1036894502 +1753459759 +433065505 +1078693779 +1903538355 +598638858 +1597230030 +862211310 +939319221 +491659016 +623110621 +461921206 +1921076047 +1823209792 +1678386070 +2011789010 +79861975 +638318443 +94108373 +25326701 +49318522 +44540094 +532451547 +1343271151 +846711868 +1215339176 +1773175402 +1378215914 +1424009222 +1101686595 +1937190954 +313420077 +707662706 +222772812 +1392113856 +463717413 +821411670 +841860238 +1325928724 +1760730892 +1333519254 +1949039345 +75168450 +1107111654 +1624765489 +1753554520 +971417016 +1704627464 +244389315 +1065525389 +1729954166 +293707838 +1110065484 +114922065 +1636978989 +1956777352 +1330261242 +1262670744 +1187509619 +606786816 +216873691 +977216925 +920206893 +924536397 +1199989737 +164837101 +1388253810 +2021401408 +1006697340 +566698886 +1634648652 +192732946 +368254583 +1709817102 +1299844600 +1993020073 +1315887974 +123777968 +1550163889 +1560277289 +1189303358 +1132634407 +1853985127 +151885194 +1247556473 +1343480469 +2108662546 +430334067 +458667565 +1148688517 +1037120883 +675541256 +2125905443 +1957327777 +1600077653 +1178411532 +2122164878 +840847815 +1052329292 +981378570 +1407546702 +539494296 +1174111517 +1775801285 +101827750 +326472469 +1621337710 +1417715724 +450250438 +1024017952 +830509366 +1639553796 +9168711 +537010845 +1791438990 +1256725184 +1880491314 +1752617888 +1687059251 +191675231 +753822758 +576696487 +867216487 +732244553 +386540616 +319810492 +1910656085 +361221846 +1160658308 +815501730 +1342600417 +420721362 +1354996026 +369228286 +49038999 +1456823777 +695700755 +1670376710 +727055853 +1145951193 +546911014 +1557565219 +638021341 +556079725 +2094576065 +281976683 +1812804910 +1827583731 +2034594572 +1352380513 +2019258963 +640933682 +1929077000 +738991802 +1373178235 +168133968 +1058802295 +1136350672 +529355815 +71976955 +1951852402 +1871956232 +492698317 +1159364781 +93700870 +541737316 +468704910 +789401625 +64630378 +1195760763 +1935352819 +611541392 +605842335 +425890512 +1167621118 +552934752 +707867196 +832942380 +233034835 +594978120 +37839245 +104810150 +1235911802 +1966916246 +843801953 +461606389 +2135050214 +1902604248 +1597957061 +516922381 +1974581203 +1402325816 +241394965 +319795872 +414206949 +335095835 +861533188 +882911859 +1124497461 +926163567 +2078672622 +912366632 +1537704959 +537031309 +1338257144 +557842429 +1089966061 +2046124340 +1390784809 +1323000897 +493618812 +1428624055 +1427811047 +1729530614 +1248056653 +124129352 +43653355 +1235623219 +2026733600 +1641610417 +1752545601 +1853831155 +896452585 +1993940566 +26143379 +1310659534 +181552754 +887676568 +46087745 +1306050215 +1813840135 +2124760367 +70933199 +1204061446 +514308029 +1409190343 +1761903876 +1604274090 +1307831036 +1005205037 +779791339 +1801449848 +286345444 +60118739 +1383496815 +1534402097 +184248091 +1427150170 +622541669 +63498044 +921276939 +227603622 +1917329199 +1817729524 +74060540 +1943472579 +980905410 +255613294 +683665499 +1026993155 +1561663509 +350021986 +1004269875 +1632596708 +1554083432 +1518577904 +894303404 +1168503660 +975368346 +54650792 +26225050 +1755159686 +1856100640 +312570494 +1815278425 +1092113807 +1846972592 +1999526516 +371780330 +322030613 +2063024560 +1293057269 +549634235 +1832870112 +963303146 +623694775 +1628859043 +1944208556 +879308070 +165040894 +823718064 +293487931 +515062880 +1827987939 +1926084640 +2069146312 +1199082195 +672904396 +1090166325 +26966893 +727555188 +1116391375 +1782126579 +436172180 +1428961869 +1449921356 +1528285988 +1128450813 +1301964225 +1900066318 +1450481426 +1217505137 +1045639939 +2000115661 +902891601 +2008943085 +476326789 +384266996 +1805667994 +1355634859 +549307890 +481902410 +1649122790 +1064370770 +162406701 +1427723782 +986033435 +1361488896 +2100628178 +2076199760 +1388455789 +680699718 +1045107487 +1023098721 +1116871899 +326585708 +325536429 +497674239 +1455036522 +1627500654 +250256909 +758034300 +697522144 +1295896848 +610666314 +1600413745 +1157356286 +1086993103 +1984680742 +815540632 +295144314 +386504984 +1297443042 +1944267104 +1450875755 +1459849743 +1224507239 +289425542 +673854991 +1177651769 +218141654 +2062310780 +1858351488 +1263249141 +937925853 +827739739 +1589834849 +1263462283 +1325413978 +897387723 +743479289 +1575670887 +1655422024 +1441001433 +724084087 +118604690 +893931531 +1881440373 +1205597793 +731128625 +549497357 +1500742107 +1117633609 +1846940399 +1297525563 +421025716 +1159306494 +374549154 +710451258 +1833161485 +1552200924 +928592912 +1747988618 +1263068764 +44358405 +538430823 +2090808503 +1634193255 +1801893106 +1268738833 +384097330 +397888748 +696926072 +2039519354 +1838890181 +1421010159 +10640396 +585338064 +1154966885 +1216238189 +1316466689 +1704464242 +569496648 +286616651 +1403920994 +1867022212 +707642367 +415743840 +94087718 +1418093626 +101421678 +1646288642 +199202890 +1849410296 +761873758 +243561296 +240357471 +705198613 +1877754551 +2042250578 +1973937446 +114368233 +292655678 +523379870 +6403940 +2131545859 +1944390030 +17044336 +569400276 +951873267 +1233282526 +1885866965 +508853861 +1802779174 +24999968 +1912774855 +1522317738 +732642336 +181035048 +1616405457 +3252314 +282456726 +1115210451 +202455204 +2131867022 +1877084210 +446016500 +224740845 +434799175 +176287403 +119507775 +261252974 +290655637 +412163453 +784632844 +297059577 +396225665 +581539226 +314103913 +965625941 +1533412493 +1547386439 +704009258 +2042266355 +1202681966 +729009227 +1807557562 +577516056 +1461651563 +1988592610 +46437865 +1464903877 +123565688 +1161648317 +1667359081 +107949062 +891248879 +2113375582 +332689908 +1326048054 +142179337 +452197683 +1587301028 +432834974 +864361137 +224450225 +729894551 +1260586802 +805989451 +1043998465 +78729095 +191918297 +443901256 +782738353 +86701004 +1646583222 +1511747580 +1894258566 +76615631 +825915495 +1735367529 +123053496 +143335724 +1858933217 +1284701813 +1810694806 +1966882280 +28467044 +1776586740 +152088540 +1354515099 +1918766077 +604286223 +794332479 +204117404 +1468647360 +1018782704 +934011955 +581750514 +1824772156 +1978010420 +660479609 +2016690453 +274428029 +1443217963 +2103391457 +1921011251 +807481895 +1850166375 +1997626882 +1633397391 +1438050256 +2120680379 +1776733115 +1149499826 +1257898544 +1439944273 +968898458 +1286365589 +1069047365 +1120986998 +493397040 +840329795 +1725273221 +1287729519 +1044447199 +1046436934 +159028576 +1978459154 +1628187448 +1983800732 +1808985927 +141183410 +1853007537 +2083413956 +1584401373 +1808915346 +1856941559 +244399620 +1511598073 +1707084794 +1877797011 +802164682 +1680281525 +1507046479 +1951664508 +790696421 +799507104 +773079318 +2077062010 +1868554470 +1894066316 +422975402 +561400617 +1471855889 +1710704922 +1605847816 +370809175 +1869733498 +1436823322 +1998996624 +1706050582 +1098325601 +2140180034 +1411574471 +1034255909 +1577097759 +1073006169 +743713821 +1821497379 +437120594 +303314967 +1551810743 +1239285276 +1983596492 +911373574 +1043466136 +626809265 +1710880678 +1816545454 +556387628 +1431951500 +1563128122 +979363030 +1993352117 +887500364 +542584304 +1451716285 +1258309539 +264834154 +741055960 +1109822515 +1970884736 +1839381561 +1102518901 +1234975559 +726153823 +532133012 +160498080 +1469867644 +206146744 +597618675 +1773182611 +1757957487 +1836903951 +1609295455 +521847413 +732886440 +88621072 +85244443 +401948246 +645008700 +1517195944 +1965076369 +1624371731 +1363064413 +705093085 +19472387 +667297051 +1963402624 +284306542 +1408353011 +925741492 +107707630 +1100250924 +2028260393 +1342683190 +1826404747 +412909758 +1503181270 +1148788743 +619056502 +2100799945 +774487706 +229530341 +1790220249 +236299513 +751377754 +375623041 +324920586 +836622197 +777571287 +969929286 +206334493 +595164008 +446817369 +1569398907 +1300257093 +466289757 +89212310 +1116176070 +750596299 +1497565321 +2041917562 +858303929 +450332597 +1922694307 +53503471 +129253697 +188120417 +1556684742 +1278042440 +807176919 +1510001039 +2052530147 +1036707260 +1152737640 +141346012 +1788085014 +1528360681 +466266598 +477223564 +158448321 +1436195885 +683558057 +753612329 +1883013254 +105473316 +2053869423 +201819363 +194685626 +1022561845 +952415662 +1692250947 +916995759 +1810719592 +2142583545 +692206418 +1864223063 +124353594 +880326836 +1273424157 +1402396034 +1687503755 +635941549 +1307442533 +576727368 +1788679189 +1448788546 +217328734 +1169556223 +1915055144 +694552298 +1328004544 +1203767381 +1378110356 +2081616873 +939296988 +1483583672 +1988002648 +1141116351 +1678269299 +863080845 +2093532014 +1223036598 +1780076604 +1756767958 +1218136495 +324799375 +1473507373 +1342490089 +1205126211 +599447883 +597402476 +745146318 +1235389432 +1904845009 +1321873686 +876584973 +1206149907 +1539202421 +2046141196 +973721404 +86271071 +1226662092 +30005137 +1464381427 +1160795318 +969302125 +800481452 +1001314318 +2110418477 +331267103 +1864395164 +2056466843 +1554303701 +1496988120 +1665751153 +624956549 +1821787495 +991774878 +1967446638 +879430058 +1591222761 +417365466 +1624576377 +679128545 +174726828 +798966415 +1555713519 +1380876735 +190685188 +1454371067 +207114491 +276956260 +533549512 +237119629 +1741337687 +1694344830 +1206421754 +394335491 +548175500 +1169356583 +725602594 +265087016 +1078339778 +132422648 +1762075137 +596607283 +757379197 +1436378984 +1588382162 +577342187 +168325395 +1032121275 +994707654 +1792901772 +1711249821 +1169434482 +444384539 +1119479692 +402827569 +635069728 +426367111 +609942061 +912025988 +959916623 +847061690 +505880027 +506777805 +2053483444 +900215519 +1054953306 +1075356380 +1625818113 +1320040322 +6212510 +1758240761 +934631811 +602819794 +368136310 +223527148 +43718308 +945478498 +391852543 +1075839583 +1940186152 +37270667 +639605756 +962136986 +481655206 +1759085448 +1364964555 +1116724934 +37968912 +1974906616 +2028750922 +997885535 +674484658 +387147302 +1504663341 +580484455 +1287362821 +412132999 +1655840835 +765697286 +1732173321 +1662053345 +376454400 +519321485 +117389491 +744590710 +742848633 +161107799 +1690069208 +1134701176 +1236947383 +1482771712 +1171971843 +1876553139 +297425050 +1653627049 +1488154940 +1662389606 +622868336 +1526123852 +1489812574 +504135610 +376525739 +16813585 +891282912 +1881189080 +597298040 +31162085 +145838431 +105655227 +796859372 +1878011753 +1767708572 +1173313772 +249849590 +1885098064 +1917904482 +992698223 +2046205863 +1460490043 +2127399399 +1135669598 +795778107 +1151887594 +864739090 +1093203158 +658030995 +205410382 +608109116 +1280899331 +1731534234 +2097921690 +1785034942 +2108059973 +2114735275 +528834206 +1841765406 +564549667 +559996292 +1987603837 +670204894 +1356855664 +1718131942 +290429819 +382685788 +1967981532 +28044235 +153106622 +813196107 +2074250098 +1613596665 +793111858 +1062436049 +261891125 +1944999452 +1927175139 +1355094283 +455546800 +2132585521 +1963203399 +1736446131 +1716636107 +1913641441 +1373997425 +1677212432 +1880893069 +1902831632 +1371494190 +297959088 +315344276 +1211614380 +968163983 +1672199940 +782262674 +1258593802 +2054885728 +602760559 +1286638037 +60508702 +1415956666 +1213404487 +1674105368 +61584877 +128356888 +1935996493 +2006584329 +2055532027 +1143607128 +314647481 +2040633900 +959326879 +2051093613 +1609786359 +725484672 +1277607390 +1139515144 +458894093 +1032955374 +363525686 +756853182 +1348299650 +1575140066 +1725017165 +873015942 +209919093 +836127319 +780418022 +812679652 +2122765356 +840926725 +81152670 +1188686195 +367548445 +142737547 +1317043084 +156061290 +1838229 +1225091463 +1299668418 +316485710 +1118241716 +111511649 +220095675 +580544427 +836996321 +1497703066 +1720059571 +1295890415 +383174792 +2083585258 +2052743597 +1731474443 +1511241676 +1630277114 +457006737 +1721160769 +318920785 +1237424760 +386356773 +294202493 +2078351485 +467509444 +1482888688 +298416282 +610246991 +652448124 +454477572 +612085220 +1877539588 +1754145990 +928570931 +848297656 +1865657639 +1148666606 +1428842083 +555170312 +498886024 +1001418007 +1851060727 +882060817 +937519617 +1756320676 +466051612 +301277645 +1239114142 +923058349 +2022438415 +1558034927 +12999461 +261311540 +1852237420 +2091350946 +728820984 +1187642461 +242283580 +1339067976 +1840090585 +696761152 +1951153196 +1570146525 +303423494 +732240479 +270960533 +21597485 +1880907086 +1699802617 +576767798 +232309462 +553736976 +280344877 +1114370279 +1491256593 +2036665554 +1580421891 +1792534238 +1128296048 +355996593 +1667489005 +538847328 +368996054 +1928800546 +243601100 +312863353 +510137882 +1431243561 +555146933 +1849205858 +1123850499 +1251908086 +1652875407 +546513376 +1555331580 +237632238 +817473910 +1576929066 +2118539324 +369792879 +6213216 +203365139 +923529855 +286558093 +1317735418 +267302800 +175739999 +750673662 +2059837038 +1304036048 +1106670255 +1579842396 +1842883376 +1475666309 +1361159294 +2086484476 +1788529662 +1871297176 +1370244390 +196192948 +1573019387 +346611241 +1448101034 +1078411146 +893124617 +855948966 +1316043384 +1710598527 +285394384 +1287099061 +2080391406 +291607600 +1490464200 +856437613 +578165694 +660715970 +1123740413 +753905693 +1411389632 +1036093804 +2057941741 +370576239 +468452552 +1753341469 +1846242549 +1829611846 +1692342298 +1487288563 +1553425374 +915103040 +1683481511 +978961113 +1261714281 +984098897 +2057372259 +7355250 +1840047864 +1225931996 +1717953778 +2125442248 +365547409 +1650861536 +269566201 +1856011609 +359815502 +847731895 +369243931 +1483555915 +1601637588 +1780633564 +372166071 +1512095682 +3726155 +840618623 +1117953503 +1849968704 +522746821 +662812153 +1189773620 +2076172196 +1577915193 +725771483 +907649661 +692145826 +1709870381 +817538273 +699501077 +1402434597 +2043470269 +269971207 +1380393197 +261534030 +1920832743 +1649959398 +2117545639 +133164597 +350207645 +339305922 +1616720513 +1951845234 +2119939486 +1988886584 +1316457268 +2123665642 +682021560 +286927123 +1826150698 +1204768381 +949739277 +868440670 +1133456929 +380170822 +1594212154 +2041106591 +1072316649 +1156598887 +711161216 +1771817726 +411549836 +607147837 +2041788933 +1791943033 +868681867 +1815138028 +1294418784 +838743858 +1948302626 +1644626429 +1178049780 +1417539491 +1448988015 +1150505619 +1258942427 +617961635 +1126687613 +1940963987 +904888759 +805354663 +998248721 +1854628036 +1673795334 +2131705650 +87315210 +1120523840 +2025328593 +1159631859 +129639079 +589006161 +783965937 +541188915 +1196153998 +678271222 +185648300 +2064835865 +345925603 +1480067084 +756096075 +146744581 +977209866 +1934145856 +1564284072 +278714233 +937167827 +675742851 +896675869 +2063855440 +469223191 +1801564628 +721726455 +1467471912 +1508709016 +248038141 +1451693914 +1596024226 +1368561981 +1329538860 +608172438 +1498201060 +1918545021 +1392138375 +2039389975 +967215372 +2070409598 +77554628 +884567589 +268851553 +1557621712 +1640663665 +415596134 +387347930 +1427325873 +1979880206 +666062164 +217010052 +508139409 +1562738033 +133381844 +977362600 +1216819013 +855108299 +297350864 +578044381 +1103146441 +1749044779 +26584959 +324224774 +931099991 +634757397 +1822425835 +702161364 +2026895773 +1714332162 +1669376736 +1949821723 +1791886790 +406460678 +71189628 +1202024855 +2047124343 +486785762 +1589372785 +1326966568 +319182320 +107951301 +1543976620 +827321729 +1670689334 +1677358464 +1804684330 +740024699 +384983115 +2102035194 +1318069080 +1488129556 +1703596325 +1344654040 +1812354331 +487212668 +1979411437 +1487296518 +1189374033 +1858823562 +1054145032 +711267121 +1661161637 +698548175 +1117727799 +1732351265 +1900573030 +1017368494 +71653379 +1342462167 +196851414 +390835699 +1450413469 +1740828034 +1218157429 +973619155 +1270702850 +875358111 +1713643855 +1655685966 +829909657 +884229287 +996331874 +386022335 +81399679 +661202557 +873235003 +2060811117 +1015427 +2062609036 +1772151031 +1055160460 +626392510 +1285829021 +1753708635 +1744120309 +870696638 +1506798017 +614005156 +942350018 +701776536 +810856570 +1333185717 +4706357 +404200957 +403859498 +978325513 +1674903807 +1279217609 +544485720 +1183106125 +2109127267 +1428715007 +31954352 +347665954 +1510114687 +693156909 +1220900957 +1423442156 +694172337 +1136026346 +1048109539 +1749332797 +1762418856 +186454912 +1355557784 +1359055517 +1057151551 +714872153 +1973060673 +1999501569 +1416648689 +636433596 +1185203638 +1421355047 +1040634553 +1589063137 +252196912 +568054712 +720797098 +796682632 +1751160838 +682440717 +77913991 +1783115190 +1030106671 +1588028678 +328788451 +103523981 +863987186 +1022960788 +1239550327 +1912096726 +624809937 +854485535 +2098551638 +1980367721 +66057404 +1008219541 +547756226 +2039118078 +860237462 +1964404916 +528068026 +2045441101 +1238276315 +1568702579 +1487020590 +1490473227 +2136757291 +60334040 +139672211 +1740434481 +742774758 +217586202 +1376066023 +1772881429 +1805614881 +1704854475 +1876405410 +522118419 +580331615 +968472089 +286731497 +1205141553 +1822957624 +237799488 +1038025626 +1889015029 +1246019029 +1585781853 +1780649459 +2106256492 +1402703121 +161233837 +2004213945 +493495788 +1729936416 +1343750887 +1983969015 +1719210059 +1404084927 +2123641226 +1312160893 +2146859685 +193743780 +540743268 +1772257467 +1999358661 +98114095 +1501179229 +373993433 +678445711 +322167671 +660724930 +1883587264 +2145125295 +898524418 +774129242 +1886656676 +2144543448 +212427447 +1519822487 +2103316292 +1615130568 +1681056324 +1960046589 +2108626356 +1263509092 +1156313828 +1945111723 +835235504 +412915107 +1921269301 +2147396397 +412291145 +2115013082 +540656017 +37064964 +1966888095 +638770113 +1538244193 +193397880 +1317215824 +1860411864 +854122811 +1053319440 +1858053512 +1752647229 +1827448682 +1597226540 +1749707029 +2039876130 +969565380 +1705539673 +1507523050 +503138056 +1518102614 +1468665759 +1766647149 +526932794 +1266293834 +454399005 +939847902 +1040079488 +454311754 +1352139047 +1007608922 +994967771 +1389204011 +827013369 +1633737884 +779964556 +1020411250 +803470060 +492892773 +1874534061 +1856789500 +203462637 +1479697642 +1536754535 +1800689177 +1081921024 +1429147017 +622770909 +639977049 +789186419 +1125908966 +10596016 +110368530 +745072467 +537528810 +1376662365 +1199471472 +1477376712 +269258205 +1653783226 +682032111 +1276867127 +501267349 +2071236122 +2103880496 +2135005234 +703717031 +976808098 +790991646 +1196609804 +703858511 +500297499 +1400072441 +36072506 +2037052034 +1053277970 +1117993530 +1318715403 +1676048880 +1757970579 +2107901822 +654474198 +1768566595 +70786705 +1399546665 +158611758 +1447449070 +451534489 +1635988470 +1716707275 +2105317715 +170536934 +846090754 +459101416 +94289408 +802487602 +446623002 +798006439 +1779295701 +1237614649 +1994616243 +335670564 +1737912148 +1247205036 +371743070 +1627480534 +152999359 +1489736600 +798712289 +1829048239 +1100223532 +759130463 +336038789 +721306479 +829917168 +1735585454 +879918237 +129882590 +39636295 +368423060 +1846589865 +2144954010 +538959994 +545196971 +456571778 +633249402 +1347684574 +903194781 +1431255842 +979496627 +2140809430 +1278388437 +1315167191 +1731237930 +378109826 +1686910262 +1211234816 +531109185 +1029163214 +2009947105 +212673776 +2129386746 +621593920 +548712565 +703209578 +1451511089 +136814371 +1583127815 +1581393679 +176450666 +1951550875 +1280499897 +173921028 +343027221 +1825696868 +630492806 +976276624 +1025897794 +1533687587 +260048818 +2005394421 +1527013369 +1538437255 +1173077965 +1110767651 +1916547081 +712504579 +174518819 +300172618 +1741667793 +36982276 +512846394 +1723570892 +658576197 +1061558959 +279296822 +2110087286 +1198373330 +1862424637 +1543997317 +1374823996 +1666491865 +677013566 +1548745024 +2009519086 +355226787 +31754183 +838312062 +1381124581 +1565441770 +1098360880 +1239035355 +944971492 +489314488 +264629672 +2055739143 +258377921 +977134251 +82774315 +558550540 +571318396 +119756591 +1071396934 +147405640 +778332788 +2132955894 +426702462 +740936426 +1183845576 +141643452 +137450096 +411185925 +1808135317 +814463662 +1959930949 +1670170755 +1169690449 +1991685132 +360999170 +403331383 +1409643255 +1459360050 +1642366738 +207131099 +1948674538 +1906996410 +115386594 +59568812 +736647013 +198160909 +618119352 +1307965409 +317917501 +1689516286 +1455371050 +1096250289 +1674988532 +1882073512 +1837186716 +711350461 +2023716964 +1974636812 +1122536386 +1684368633 +641616826 +934983687 +1207055741 +1811307276 +779185172 +1568054911 +67155011 +41344779 +879931313 +1709521749 +248475878 +681122204 +1469034511 +363862472 +740691016 +58197876 +562023382 +1358810368 +1366163285 +879940883 +900843006 +674050687 +1976191172 +428347891 +408640552 +1665894240 +1139698352 +284873868 +1493047404 +114751090 +1969242502 +2134664231 +1049734777 +1028814595 +1798487859 +1828919949 +449385858 +1865642870 +1870264728 +1329317171 +1427680971 +2118740606 +2010439375 +749231834 +335119431 +603646743 +807429710 +897142813 +1962457111 +26109347 +1777083696 +715816470 +700160035 +1605791220 +1144164361 +1108800587 +1124201813 +136379065 +1393674455 +469765569 +251130155 +1215433309 +456946152 +1300864932 +96764256 +107950363 +982301234 +546150114 +1973593233 +705082314 +1875467286 +1253790556 +676339273 +1738423013 +2003022390 +1011458704 +194586109 +662968452 +1908601517 +9559572 +689077800 +1538201565 +725376042 +1389237835 +996509137 +1869540403 +350554774 +2120710950 +2005919468 +1744229229 +442992872 +109565975 +812178891 +899939024 +1410430908 +908943147 +1007889388 +245248494 +1455093262 +833998973 +950330808 +1183076900 +2087789530 +1626670081 +774016265 +1943328272 +490645137 +968602374 +458813077 +251763006 +978161947 +1147890877 +1789964571 +1703537989 +389645064 +638990061 +1425594745 +740199838 +612217363 +1284030565 +336945419 +1055210235 +1393596541 +1149124310 +1955149260 +656543801 +2058067458 +815555000 +901792295 +1365677072 +1649553973 +1852123103 +401270324 +1589859855 +1331309537 +1175286589 +1385704480 +1821954674 +2143888964 +1844517557 +2073717681 +974567263 +844924786 +1716198604 +530621604 +1234569850 +207705017 +1956216349 +1974769688 +819922381 +1092763267 +164231459 +1875132616 +338876160 +1313355770 +1682798228 +995419961 +1223939580 +350869580 +1897212256 +442133004 +2000423554 +1601851711 +843403328 +1442799761 +785677600 +2018689917 +681020593 +460148627 +2015095233 +378054502 +386382660 +842178848 +1222979288 +2102581264 +1372800453 +310065490 +162802634 +1181533154 +137351530 +982725015 +126812773 +301582990 +710373983 +465688933 +1614938760 +245688564 +1461108894 +691394692 +596558144 +1210837502 +1133527696 +449498050 +665205566 +1976931024 +1892297812 +1450883166 +1848137293 +425834757 +1911031793 +1715748879 +803889260 +149930805 +410444079 +2026868548 +105028422 +1783244532 +189450391 +267831056 +817294039 +326801921 +1250556071 +944106812 +628384911 +1960930054 +1409795746 +95840023 +59134970 +723420992 +787234715 +655693115 +1934258495 +1920762411 +1105191165 +451980413 +1750209787 +850005329 +1902863579 +1450863433 +1275840087 +1666411725 +1019128664 +2079729347 +1816342530 +1429572743 +1959114247 +1921370952 +1065333628 +1080990 +41718360 +1882627667 +327882912 +1292274431 +679250831 +956267823 +1105720838 +2089046577 +1052107847 +1164855808 +664983922 +1839342562 +1820548923 +451758769 +1612621326 +778256441 +903739182 +1215347465 +1628261770 +659119113 +518727250 +756618209 +178047190 +1537855914 +688863908 +1994389721 +819945010 +500494508 +1768277025 +1885278638 +501575498 +1809995386 +1620422657 +829458410 +954786169 +152189840 +1785726234 +2060507007 +93752770 +690350433 +1077879168 +758736692 +382209347 +750944443 +1210495461 +1994830673 +1529200884 +2114234643 +1062694491 +1009979007 +625870108 +1581421741 +1766597216 +803917299 +971794008 +307977477 +650823372 +1791739018 +808471985 +271616749 +1529534008 +1310047483 +2081612135 +1002473017 +2139505894 +888914657 +1154662857 +1777748480 +801938016 +1248415627 +320615265 +1879817184 +2007152319 +702824612 +483277980 +1070164132 +550171638 +2012478864 +1036915127 +1612866129 +874974223 +1662785236 +1046804222 +494087792 +319218887 +2018598230 +802065269 +970042259 +1662853600 +1610537254 +1241659008 +1044903960 +773101089 +1175787496 +2047376977 +765123335 +2064702153 +1054556187 +395388167 +719156521 +155488166 +716003432 +451490058 +15156838 +1418828045 +934768038 +1085320970 +1968999683 +799763254 +2122236098 +1434382164 +1674737478 +1637537686 +333702738 +21341622 +1956756573 +204817321 +823406891 +779315184 +1867670921 +286460497 +2020974192 +765091234 +1059561586 +1049278040 +664984563 +1824684922 +966496545 +1719540750 +72589441 +1685653067 +1875028917 +788592874 +2137143125 +1890185755 +59937271 +924427515 +828023077 +2028936954 +1724190769 +802775527 +1315835470 +1251444599 +292829565 +1649538208 +1272786221 +102102490 +1854355529 +2096193112 +881417674 +1574542803 +235169961 +754908219 +192150389 +1294731548 +1804186259 +857134952 +971932822 +623199157 +429192055 +1044522263 +161368576 +156737324 +1833115137 +151028053 +2046923079 +1893052408 +1075455568 +727462508 +1774505714 +652162689 +1530238036 +942857536 +1903607289 +1823067601 +444912097 +1028909862 +1925170092 +151783978 +977619327 +659104118 +1726326781 +1212789288 +1414012337 +1918477170 +360037188 +1070714949 +628128475 +1331970010 +1693914106 +1057320530 +229008626 +1855282682 +1214057854 +2062123763 +2006310735 +1113497285 +1807692524 +934282655 +1840959793 +1434714590 +1586445344 +1223714181 +230088479 +1342568985 +899298135 +675000576 +223995200 +676984579 +826784554 +1201614527 +1336088697 +405627688 +266920167 +602617387 +176621210 +626957356 +1673332336 +804749685 +1958927366 +1219762794 +1862070215 +40452344 +927561828 +928644421 +2102576108 +786388915 +2042141706 +1762784984 +1720671570 +1735617852 +1050015926 +1159633266 +811848385 +1280104405 +354718604 +1711146520 +1955104981 +578713804 +240647451 +634405888 +1780328331 +1576736149 +1040033576 +2047248498 +31869888 +1216654786 +526722206 +1705202224 +2021404472 +338165925 +777481370 +1735991039 +378618269 +1705043198 +517151813 +333710729 +343948465 +411809871 +2096495713 +2064620035 +2147427723 +999027992 +1076769653 +811792461 +131648749 +1431488257 +375455333 +2086753731 +2010202061 +616102785 +573675971 +1643046744 +45355286 +1613709547 +1542811595 +77225174 +682880685 +2069533801 +1782427398 +556801509 +260216078 +412425120 +145308901 +638834348 +2117468318 +662460714 +972545077 +313933135 +1074270585 +921557143 +231069522 +1074214661 +1920585135 +1307839175 +1886007122 +2052233884 +591843785 +113978807 +1991503967 +454562198 +730081592 +417696290 +2097608943 +775436878 +2031405837 +1492936890 +852662052 +566802875 +1414987043 +487605802 +1123604384 +1675203122 +900030922 +1268913285 +166553822 +870015592 +1931373999 +1139098899 +1183948727 +858160937 +2060656042 +1415018249 +1932375598 +1833757529 +575373777 +1670899072 +1738507766 +1167217562 +1784877879 +1582528085 +1621779760 +367475824 +2000224376 +1571905055 +1142912702 +1884146565 +917358297 +1995574755 +303465792 +184861693 +335696909 +1427070177 +1860064815 +1235727832 +548499814 +2026618637 +2105743424 +332390166 +1018233888 +1142208504 +1190551103 +931406283 +409743105 +975443053 +617680164 +985116882 +498858477 +208704282 +4850796 +136252708 +1791232368 +1626630557 +503728532 +1643973096 +1051051964 +1646641235 +1380636013 +1968410262 +1494732342 +1684101806 +5788307 +1830429251 +963688335 +1865853122 +918673435 +1512188149 +1744988111 +876933212 +1844578315 +615738351 +2019141716 +887645770 +1547144634 +281401173 +1863088823 +17341151 +1266518056 +214463652 +226045433 +1271368852 +350716361 +2017277801 +750515761 +854444893 +1513767249 +1801567726 +353602480 +746919615 +1622494340 +1848334822 +283537773 +1628282647 +1531280426 +1247226108 +1346652121 +302470213 +611930609 +944156584 +1179403425 +309025277 +1559894935 +1051061493 +1196671047 +959555922 +1332462667 +912276223 +976897073 +451497075 +1126739875 +1202942506 +1722865927 +1477456236 +1072736660 +325898041 +184417482 +439020261 +2127465767 +538019962 +1185939876 +1602476459 +238871137 +1469477649 +1083275458 +1770151563 +569220109 +282443931 +2072621776 +1181150719 +1226600515 +1104541554 +1490175996 +639011802 +8119399 +539363395 +1598567724 +1340582066 +1451639618 +427981149 +1792079141 +430895846 +1630923656 +1367461421 +1908352082 +556176668 +1693359462 +2092769564 +995196929 +1673341581 +483305879 +33653158 +1128334392 +722177016 +1503130807 +64126202 +344844931 +2072350917 +346570133 +269983059 +1106017988 +1573170648 +1374524613 +448710336 +64698802 +1382644013 +988073731 +1663266527 +575742431 +292229702 +2091247676 +220337925 +723125548 +1574687684 +1587799346 +483993982 +2130864352 +1133675160 +429279899 +978577634 +659533093 +912585778 +1012230792 +1787867485 +1634762794 +367877951 +1851993687 +1979607725 +292745220 +51080172 +102107136 +1398763208 +1624250820 +1476631750 +1847473544 +1688949622 +711792115 +688063628 +1204732501 +1287534546 +980293330 +1148496530 +1507872471 +1703418878 +575700566 +948188169 +39929212 +559081271 +2081863329 +469209111 +1537658905 +593912774 +1381794889 +402406049 +234296611 +869074035 +770284000 +2086290298 +701198112 +1063029221 +2137370470 +803305249 +314308781 +1614137642 +132453351 +14298678 +1155603617 +844245466 +702362306 +212852470 +2131780012 +1682655636 +1361349000 +1492168836 +1238590866 +1937049567 +292873357 +1278520078 +348647190 +227253039 +1747729190 +1886306095 +821165813 +982040431 +141228496 +1055462425 +1851114467 +911512496 +994269075 +404828931 +1974541717 +984155898 +1208134180 +141366851 +450809892 +1340587531 +155665529 +1606413509 +37349349 +858027835 +1819265980 +21645714 +393199823 +1033131332 +1513814550 +1631790689 +822697251 +1806687907 +762827119 +1171344441 +2033940946 +363072661 +910166888 +707623112 +1345113093 +1051395384 +1763085537 +1048743912 +1962907881 +609870964 +1453572843 +1789965950 +1594026862 +514223376 +1931332801 +2044836755 +1854810907 +2086998330 +1503766616 +1892160257 +797542517 +1175548948 +1913805971 +1190742340 +61196633 +1280136873 +675049381 +883893884 +939341132 +1437876501 +2055238326 +825798431 +1800949162 +817921566 +1533421543 +998578607 +1869316951 +1149023432 +2047322519 +1684741184 +1758894396 +1353411715 +1327223486 +1205437611 +1867635091 +1111072640 +1102790718 +1574962350 +1050587322 +459073686 +1319638959 +1848129840 +1634622635 +1085961282 +891388532 +1695819268 +218614507 +1566437914 +432229504 +1157955640 +856830767 +339984182 +1983754071 +510296281 +1157905749 +1369691966 +1508874889 +879739052 +371231750 +1408713760 +416996588 +2130126146 +614641827 +1744220074 +1188080109 +334793270 +707809066 +143387179 +1909755621 +1758396389 +602460866 +1081910932 +1459042581 +89599853 +20388567 +202947465 +1785419121 +239003074 +1769385379 +70164977 +1396958714 +478732498 +410149160 +1233229137 +989028780 +1568054909 +455437455 +350420021 +300310313 +826669205 +1759133781 +717306901 +809311704 +226291961 +314043327 +1997391813 +561085231 +1021852394 +2140778993 +323357204 +632765135 +595756211 +1405268137 +2091807716 +685356064 +1425656704 +147271533 +323291537 +1664659778 +1916656913 +393456514 +914134845 +247905763 +803605674 +2147363982 +1236934543 +224176935 +455317790 +1587354564 +524487248 +1281986995 +1199004698 +1241794149 +2091298699 +1425296659 +1555837477 +1941206865 +1986381890 +430206223 +1934502210 +162255447 +1062971358 +382774773 +1567523584 +1007295426 +1068130837 +845696640 +1154566959 +1391422374 +362872770 +923740224 +1784878888 +1277007615 +1171645988 +441000915 +1276887950 +261096883 +665177850 +1732205740 +1848451448 +1189665099 +866709087 +899972498 +283975600 +810524139 +177785509 +1839813077 +604247356 +16683751 +122535652 +391265918 +178939198 +1185507010 +774040691 +1746462782 +45318788 +1842171528 +444675774 +1199885748 +1086110254 +807548545 +2123625972 +723505494 +2084556160 +1147788312 +1164506409 +1213960462 +1408885196 +1829684260 +798682554 +1109852996 +871865711 +1665391642 +2009825494 +1155841311 +328432133 +40127355 +848170741 +932679489 +56811106 +970706393 +1323945407 +235750305 +8729756 +2097986098 +1982213087 +54048544 +1792673978 +279405214 +1253934292 +731300584 +1086953759 +1230076617 +1454806078 +1024026271 +230381281 +471828840 +90503086 +1639266477 +154029452 +889185640 +601635825 +1025895163 +407093634 +463977671 +34252826 +735525767 +504105026 +882423567 +1668205256 +560916133 +1853129961 +844667015 +796666438 +1861859717 +795169465 +631395877 +1915908261 +440359795 +910801091 +1022358906 +1171660379 +1997754850 +104951875 +478982810 +874297474 +335333156 +950811650 +964800560 +1974599634 +1104841102 +1853986200 +428751811 +2130736265 +113596187 +892729483 +17505443 +849121954 +1396834509 +899929011 +369843563 +1957750642 +605575324 +1214510578 +606933432 +319951393 +2009680044 +1238329310 +88376006 +302556191 +1646753 +1110734912 +1474216571 +1999401604 +1215686787 +1953199381 +726215430 +1551019944 +756527383 +1691015990 +1378135930 +1861368485 +1397518542 +1806887741 +1844621102 +1511114729 +552133576 +1862126545 +212753036 +1948968086 +614571908 +582596599 +1759235080 +1220147232 +1797107177 +218684865 +1540098625 +1659303573 +1457014175 +1628474632 +1961859765 +1458660928 +591725896 +1288592688 +1310578884 +1807412684 +1094308421 +2036794314 +1210948980 +1850835804 +1580326656 +441601262 +1564720641 +830361551 +101005355 +1261858095 +193992632 +653138932 +976500992 +406745668 +454623370 +1591072901 +989342267 +66374802 +663736485 +638965797 +285059667 +56351463 +150785722 +1742073842 +1684826095 +2112645487 +1053251123 +129068343 +1253754527 +216346359 +1936481027 +200579300 +105657026 +999946359 +2051415104 +1685983682 +1441547621 +1468652097 +368861585 +1542552977 +583026544 +562854218 +48208261 +1559527537 +969599886 +502831631 +1003116790 +1958942154 +569206433 +1666853275 +450424303 +854266101 +1723204738 +601210025 +448856295 +1260547185 +566371865 +1502107418 +1389615529 +1820126392 +1718453778 +1178612908 +2020705693 +1824110804 +31075620 +1924637149 +1362610838 +1472623241 +1245805599 +1731472424 +867692570 +1828832143 +146842994 +915900831 +1240876032 +1116442880 +1418732462 +96509174 +927901386 +1987938896 +1763362450 +1378325689 +694721349 +1339083540 +1979535715 +1143577644 +452147078 +398423932 +498201415 +1841762607 +71066676 +69171545 +872891867 +2091772369 +1893282349 +903967487 +1868925871 +1108409539 +229107081 +967247822 +692398315 +1096799651 +648596317 +839241309 +2012700483 +1889472350 +1955684190 +1283949297 +1985981524 +736101928 +1124404545 +1601860326 +2114427618 +1819125894 +793460219 +1946479685 +815219891 +1245607297 +197419969 +1313421306 +939886256 +268486645 +1382592851 +1812778123 +212775367 +1128391552 +569261963 +2081701238 +89317443 +798369044 +901465412 +781715759 +1895168695 +1550061729 +1620957068 +1760385530 +1292050431 +1429157610 +896851180 +1130548308 +17775891 +2021255725 +584924986 +2132203509 +1692897972 +1378385205 +1931199546 +360634215 +476508854 +2128619515 +1674055521 +1416395110 +249622512 +909164724 +1081689586 +462397879 +2037556276 +1650951549 +396615469 +2126873719 +301836945 +1298080881 +761105830 +49521992 +700658963 +234579251 +1809907523 +1992709394 +1663736861 +559275055 +975774054 +1681512752 +433047132 +1560699041 +1666232613 +2125945104 +791600598 +1449948511 +339095671 +1268109453 +1431084378 +2013151192 +537020915 +1680706891 +774832268 +1618710501 +2143104770 +664904896 +1122178402 +392236592 +644294968 +1424015347 +1690317473 +1405400798 +1473537340 +243492788 +1639980049 +1135961215 +88718535 +1156233263 +1695236270 +1064492589 +690262367 +2128283402 +477707982 +209011333 +2106744859 +1269308581 +1658959844 +298356882 +389934386 +942560575 +164024427 +926955301 +475783818 +938856695 +398182155 +471404940 +1603761592 +1520360557 +863641532 +100572912 +796892257 +406475358 +1505973710 +122945949 +649968146 +998470112 +1258907164 +738686681 +7219727 +806659786 +1803179271 +697482094 +787459540 +133403605 +906493427 +746720751 +1402712186 +417969624 +1045077634 +1792646572 +1360530199 +1209102061 +572118226 +1836314017 +475108 +970300381 +160235309 +1604236700 +343177290 +1023876842 +1704809612 +1140069547 +1430352200 +1063299675 +1263015496 +2080320346 +2061769787 +374439012 +671523380 +2068989514 +1181098798 +327219003 +618987960 +1968558339 +460622608 +1525481388 +567795442 +1863334795 +1943451012 +1612873076 +1508497719 +1156497563 +674491489 +2080615945 +845327932 +674966598 +903432678 +1005563241 +131719650 +1246609969 +2029440083 +1836529263 +239195868 +1312308635 +752345290 +1502211365 +1245145334 +666631429 +1876650377 +1916668714 +588137295 +910265528 +96404069 +1207125255 +731340219 +557026677 +585122995 +1299135661 +272877824 +381090359 +764525090 +1781375544 +1537587922 +1439016579 +1714507841 +235432206 +2113983177 +470456872 +1240995448 +98219180 +1717066841 +1122951883 +1934748443 +1956262709 +287776871 +539610085 +1310990426 +1532922205 +1206241514 +1040157156 +1302107271 +1794378809 +1950422684 +1398511340 +854020416 +534279255 +1955538017 +1439143412 +1833414916 +80932194 +1820233771 +450456358 +1862307738 +1210338046 +1889472938 +1429331931 +1445770252 +1855972467 +1899788803 +539282052 +1954191647 +1469371996 +1662233936 +1741456442 +1278151058 +1950010807 +133582879 +441657836 +1335449364 +1339824393 +1481814992 +490072987 +986719554 +1284754028 +1888584327 +1840739971 +1819033283 +1696638696 +1132399735 +1504964552 +1777570890 +805149858 +1955420910 +1492394980 +2015487904 +1697410200 +774243264 +1313774509 +1405899020 +526548419 +1853056561 +1212607019 +1995920416 +1367806849 +806579814 +1126587826 +1170334008 +940162693 +1568245662 +358299724 +132503439 +902577007 +848372711 +1119222993 +39847387 +589473390 +812479316 +1858880671 +138628439 +1944879051 +1216361575 +1916199329 +602545262 +1024298837 +1261110662 +470549518 +574225390 +2035353926 +1784324027 +1980124410 +414418697 +1489896941 +1045247781 +262855465 +710220142 +1851827595 +1389443291 +1880554151 +644506641 +810205306 +91370227 +777010080 +1712782313 +939742939 +1896233073 +1752629700 +1529216329 +561228742 +1464026723 +1667844768 +358624145 +532904650 +1436560450 +961169407 +1557203488 +550187464 +1431718926 +2131428878 +438057742 +1068559305 +1964069640 +852476439 +410972598 +861833773 +1115331905 +1121192741 +566177721 +357291548 +854263244 +1210684362 +1167496854 +945633471 +1987694442 +732795519 +1885376410 +1736443867 +337941572 +1267109092 +150188961 +1801968295 +787470212 +508813107 +187389298 +76547014 +1469982514 +1744592786 +626734478 +754217792 +1728538016 +1064792220 +1822777098 +1545124008 +1917268660 +86266048 +259474133 +885116917 +1207458789 +825651854 +1242408465 +2061722033 +2036336216 +262421672 +859871857 +1876547010 +995217191 +597764619 +1465507230 +1333158763 +1864873711 +1615696191 +987643411 +504860276 +2124509298 +1175032709 +581407290 +1447008165 +772141847 +1208141769 +53742309 +353196215 +125450341 +1876519407 +1898320223 +2042719001 +1962785456 +10310708 +780352270 +1022760597 +835962563 +2022760736 +936998983 +724815131 +137698760 +1796870840 +453878494 +1132915951 +247151811 +1919385724 +318591067 +2112025523 +1387598267 +1306234478 +469402151 +1364623918 +333783539 +1050809441 +664148435 +1105925386 +111467562 +717890744 +1459121601 +236917904 +446926504 +1209958176 +132153257 +262228312 +1220268884 +912505528 +1284988909 +2056231447 +787782616 +74504244 +633562931 +925481376 +1871375084 +1087441425 +2058397327 +2118526896 +859343501 +229504746 +2083068771 +99458120 +1535739224 +404987274 +1464082038 +1869522763 +1455796715 +2128230473 +827964501 +1567264278 +698637570 +139602454 +1804182182 +1145564074 +1349560630 +1936335439 +1407792386 +422345867 +701357319 +545297647 +331093666 +1489139935 +619801892 +964656597 +267137663 +343693328 +2052098022 +178051343 +314736576 +763957875 +407556089 +250321699 +863415996 +1943295314 +655308973 +180014386 +1665334429 +2111105689 +160761212 +345815283 +1530886319 +859398782 +485417737 +1187584853 +2004962856 +1834978368 +976436644 +1265271594 +109840587 +1677793964 +1810569241 +440934253 +1019450251 +282887485 +1405590851 +1286587915 +626580814 +1310205225 +1464639258 +941317390 +2074163101 +1872195347 +1191639090 +790095449 +1668007013 +1846948063 +970109835 +1185857795 +1810570104 +1130871047 +1531673078 +1193972775 +1990269829 +2017090815 +234073980 +1847749037 +1704585535 +1210510625 +965536983 +1814426122 +740820941 +628622577 +107876728 +1760271192 +911510062 +1513467579 +899375459 +1538090876 +676189156 +216531069 +331924619 +602868609 +2088726417 +1523563709 +1392964058 +1609249782 +1223028124 +215590246 +647623929 +886114581 +1346461293 +31813359 +2080087356 +1189247475 +2048904175 +166677689 +889512864 +1606006062 +1377188314 +1855049848 +1272948537 +2118009255 +336188777 +1380825265 +1730796799 +1247698839 +746809196 +482688611 +638306068 +1422998352 +699219680 +970230687 +2025866962 +640462449 +346310748 +1271347372 +102228584 +1569338872 +1486937618 +749852513 +307969805 +685915264 +781665873 +240573514 +1875162739 +683086400 +407251203 +617191955 +141608814 +1784439517 +324758155 +1414557351 +1754965124 +660946932 +647898968 +1338278275 +1908645772 +1394708164 +1820966886 +399468192 +670222869 +372702919 +1369698879 +548606183 +1013165368 +1716009627 +1819953555 +1115393952 +1137864851 +1159407526 +1865246466 +1445834657 +1845322790 +499428691 +1686408171 +1573001881 +1182515091 +2093659374 +42710188 +1324123905 +1730615243 +367468344 +591197609 +1338096719 +1028415276 +1239096577 +528891346 +789577400 +486321094 +202374585 +1189045592 +1156543963 +575077504 +411260823 +1705150146 +1588242872 +2127270450 +1377620053 +556153177 +1117651654 +389543931 +273915995 +416002663 +87383073 +773344686 +2102410834 +1660384954 +1955859777 +2048586560 +1703095143 +1132500034 +1631718155 +2070563487 +1723697643 +822331226 +951495115 +815310573 +1351222572 +1741072516 +1301631667 +1553597157 +782634460 +310691982 +2128674661 +1193895284 +2015842128 +1569433886 +1173682086 +1245978533 +2125587063 +143850092 +1635522465 +252019410 +559852755 +1722905538 +1025364096 +514779941 +1235806845 +833740225 +415882853 +791418340 +1966240259 +2047601008 +714498179 +1542454255 +722448586 +1665993294 +210281180 +2073671159 +1259582162 +1511912847 +1479784668 +2042216623 +1822604829 +1460975682 +1088628259 +1690963309 +882925920 +114826697 +789458194 +861029335 +258676790 +277497011 +1113048745 +818529545 +2000402550 +2138412841 +1333309487 +1088725747 +824669418 +1749192340 +1880144087 +643426029 +1649309701 +447158618 +38396636 +224274639 +2113151912 +248677816 +150462150 +1225250427 +1760590663 +1630246819 +1119983402 +1435711844 +943738853 +61128013 +979191505 +1826664773 +175954710 +1768649700 +540210460 +434631500 +2046146711 +1653259205 +1253161046 +1899065613 +1644188398 +438986885 +840307712 +321374168 +40695577 +572968151 +964800197 +1690005278 +1020126769 +1003196834 +1914279918 +985795034 +1251874650 +2064742068 +63561813 +864981666 +1547505239 +1183545215 +153209862 +343760444 +1244673228 +1132401368 +22941569 +1420627938 +753567420 +563152029 +1855259439 +652230483 +68927586 +960936837 +403812449 +1713115984 +1399923722 +1244120161 +2034490152 +1440619299 +1817088313 +851806702 +983140930 +689731434 +1855003536 +749937200 +1675526468 +959394538 +667195620 +1739088281 +1824376204 +67217212 +775149848 +1977586067 +410977656 +2019823076 +962503787 +433919226 +1292967367 +1716071207 +997071255 +1000743158 +220818042 +1065998842 +1961679995 +624630491 +631631178 +1214120069 +1868750653 +518637683 +507255720 +1538355318 +1370444385 +1490396650 +80603104 +1077964273 +92850202 +1756129573 +2037358811 +760045823 +1347734206 +1714251368 +827263035 +2122884055 +1544353787 +1238240691 +1995223483 +359373926 +1672159917 +1140707202 +2075445133 +521747525 +2141450360 +148779527 +1587746367 +1955646707 +773410019 +71893897 +1022283128 +494677024 +590531580 +1529538849 +2033032342 +1960975965 +872451851 +2113635446 +891456590 +965302054 +1722281371 +781331754 +1725347877 +922531930 +348099474 +405127264 +897932337 +1892453261 +1643367955 +745672172 +104343539 +1168044225 +1886379375 +32305024 +1689791750 +1880346087 +181084551 +1130054469 +1688509147 +954494570 +1201948366 +563308627 +1449171594 +1792479947 +2092847476 +1334720288 +1605972264 +817815680 +1300872087 +349945207 +1783117734 +875669810 +1131276961 +1360981963 +1798201740 +1479376435 +1766109227 +548650429 +1224346048 +1261993534 +1294322602 +1328689587 +282554111 +1033218329 +1360994611 +1972345861 +766080768 +1542079162 +954916682 +307106267 +349090085 +9381401 +870414895 +1798261679 +1801861348 +815778723 +985498320 +1260349964 +1633594403 +138886759 +1610295171 +1269228489 +1014556569 +594088484 +482726804 +665274662 +2073464919 +101352383 +1213925091 +1150327319 +1363345918 +360764045 +331533258 +1645900029 +1393982374 +1692527869 +1470762243 +12579495 +1087123384 +278195277 +319685762 +1436213469 +287576678 +1190100657 +1086991500 +2089438026 +2005879381 +2072489820 +1202304343 +1491990136 +63892931 +665115866 +613734978 +1078449501 +1259204351 +1096461782 +1743724163 +1185185622 +1197814166 +810165606 +188029294 +413676436 +1170929652 +519562552 +2059576465 +417428378 +64606774 +1382855060 +430007873 +1151730158 +1661050338 +749693636 +440459979 +1948627016 +1939794293 +1527451479 +1890581395 +1798190026 +1452457652 +945402090 +1142696515 +1516350583 +1610517956 +1756431493 +447316436 +722238659 +705409627 +43556951 +1907424282 +1903223793 +853722558 +2095453576 +169416581 +2024652210 +467532480 +81509399 +294596940 +532139254 +1464364459 +724604814 +1683869412 +977931149 +1474298450 +2124329391 +779074518 +1266609095 +1504297223 +522172265 +917315474 +809271227 +1467574355 +2060011989 +178138162 +930608663 +1668959834 +625454599 +1652847323 +226885813 +669011550 +1412787957 +2130109607 +1522734108 +1360757885 +152042540 +1399902670 +1828290365 +233551939 +1694499611 +212945972 +1697916399 +271620777 +1896815384 +528363900 +1745919227 +1873661128 +1307438418 +865044674 +1230474703 +1829610683 +1782360148 +2039745930 +1149701390 +1694888489 +70400444 +2080310054 +1216364675 +695855043 +1585673729 +1443250489 +1364866594 +850978038 +1425876448 +740117054 +64252275 +1577918988 +2140019725 +1892542640 +1811470928 +1687035688 +2105488612 +1361903679 +1958656465 +1854820349 +1890267579 +1557092044 +1580997829 +1050222350 +274653070 +663988884 +732349385 +2057013219 +556251166 +1882050776 +1604418060 +626651610 +1814877182 +673299088 +1322506654 +1253067263 +2116549577 +539889600 +2104045301 +1394942377 +1280006654 +20813928 +825377717 +1272542731 +1913356568 +489364997 +812094771 +1871361533 +1851268676 +623267588 +1578698234 +1594052608 +32875984 +1012212415 +496791310 +307529055 +1676201299 +1229140695 +217058626 +84968817 +963707823 +1821476686 +711620427 +631101357 +347292126 +2034127081 +1884168620 +316358055 +426533033 +1840730273 +1711300432 +1706539688 +1861544201 +389194502 +831598771 +1627417122 +878559499 +1643693543 +1351295007 +582344528 +119477483 +782509593 +28913488 +152353468 +1794722008 +525704798 +459882523 +1323439659 +1754845493 +676941149 +1408408476 +571069669 +350934187 +2120028903 +1202171026 +698226314 +2006672337 +938855999 +1014584369 +285721722 +632102624 +578401154 +1992261410 +346163178 +967595656 +676376534 +1973580300 +1846155155 +172586429 +1177391659 +281016035 +292063912 +1959901252 +309929523 +444417380 +1607139612 +835634321 +904299903 +783095623 +442996167 +1581241052 +44020451 +1014065836 +1932175240 +16565706 +68753214 +482917906 +2023238043 +1007609213 +1497502275 +161476118 +1639711838 +2075903429 +6253880 +1985875016 +896015437 +682630414 +1811971668 +594686945 +855216843 +841879679 +875702980 +1147280756 +654297283 +1185632504 +1591698136 +113953247 +2021266825 +348514392 +897048870 +316779344 +1929755444 +941069321 +1330845180 +1714447036 +957635027 +1399598395 +49881294 +833389423 +259723960 +1547383570 +994865541 +1899435798 +1475803351 +1001119421 +1737827166 +224335141 +1683749836 +1402315186 +819022086 +391483031 +96711217 +1694725066 +1538763787 +751008500 +732873922 +982978276 +864961747 +606657100 +1331492668 +1762010617 +923436444 +1113764464 +555596290 +106797977 +680727853 +1513231318 +1506396372 +730609147 +199137093 +1766120332 +130509069 +1194002634 +1518072483 +1606312421 +47638407 +1108416001 +1830647562 +1731388243 +363247540 +502186000 +2122871275 +459958757 +49427418 +1514151414 +1210967258 +782301341 +349646042 +2075929005 +1388958441 +1681138710 +1690455975 +164911237 +647419527 +98568617 +271709214 +1328147380 +1611799935 +1778105586 +2058756527 +1810937028 +1396742271 +41781949 +857456014 +767331106 +1648094370 +905094422 +1875747107 +1331258284 +488999017 +91510999 +1833444284 +464386644 +551469757 +1882871702 +1978538059 +1762437015 +517689395 +180700453 +1690882372 +1906647836 +1861839164 +1233854699 +2071559074 +361775043 +1332423317 +195784640 +1689922423 +796739604 +1973890227 +1601195302 +460192985 +1223148850 +1642977251 +1317648999 +1990479956 +1143587973 +75259773 +1718743415 +327362609 +564258791 +1810254415 +13323245 +1028645435 +214240524 +1896194948 +859699846 +1976677539 +266400695 +1040400300 +1520076263 +25564884 +754755816 +606447315 +2097123958 +1116530859 +1938870632 +145424950 +658969634 +588126588 +2119315177 +112681288 +1048319573 +1194980379 +1755658540 +218484925 +1037976687 +751762865 +293744698 +609236455 +1079125475 +858003489 +272007222 +1092448720 +1886648925 +486247746 +841160020 +598865123 +315441637 +1107560716 +1639265423 +1835517900 +1133125600 +246537591 +294481567 +1082765910 +1363068450 +85868551 +1228190860 +2022038084 +673995140 +1200022390 +2134719373 +1722314713 +247519121 +1742894265 +1940799638 +1285495809 +347173482 +87060689 +1894732264 +1426298957 +945064178 +19255838 +371264030 +684229455 +505503584 +1212424050 +1283094579 +820945221 +172501118 +774876354 +508979473 +1305626718 +1021413946 +803461041 +240908980 +236998748 +889329592 +1469099841 +111553185 +1563324732 +521638583 +98788910 +1138155798 +769157704 +1841683175 +931471788 +2054653513 +41373009 +1018532477 +1801902129 +1467671967 +1963596656 +1821157967 +1838935997 +500342463 +179177903 +903876399 +1783437042 +1000123124 +1076377518 +410829749 +1509102598 +234520588 +1432243695 +165079991 +475429569 +1669242443 +1054409583 +1944529410 +1780795628 +470250668 +318684345 +1879584538 +1608406466 +1087842049 +1573784065 +392394606 +995011915 +1615157075 +1410927084 +649430396 +935345394 +1227040092 +323104716 +626797743 +1727382555 +502282619 +1530674142 +1363335950 +1502405744 +459568012 +1774165699 +864024694 +694088601 +1058925746 +1029104685 +1169518170 +580684541 +2083514268 +966563932 +213996522 +406281288 +1285248277 +2093581060 +2014687754 +225606678 +1519881478 +259598713 +1220618593 +987554905 +1670525797 +1870048990 +1922900299 +750082241 +45670058 +402214394 +329981148 +547952677 +1932888536 +1693317098 +2050358421 +244972901 +1319999149 +766899467 +939061502 +231441247 +1796004152 +2108579672 +812125789 +1732034773 +927659956 +1026122311 +2138316061 +65424585 +972219723 +2005520168 +291031263 +344617553 +117635233 +1511649857 +1332172458 +1788161030 +1234215199 +1107589109 +390759623 +1279885257 +1509803503 +720740771 +1827837934 +1295208392 +266574222 +1730712708 +1540181293 +1586573371 +350128527 +331759147 +1818014619 +2146132680 +292855171 +482656760 +1730683805 +1220515127 +1508779071 +1721516218 +1285939712 +333515146 +1579552738 +1576970975 +678132700 +1697187971 +941137184 +2010305158 +1337865353 +27868735 +970410620 +1728624976 +1307753992 +332730475 +301882100 +988108279 +1627938867 +568456322 +571337339 +1020636512 +7546045 +921465866 +1352395659 +1825560664 +920114898 +1645250830 +160733776 +503315055 +718282309 +1669512847 +77347626 +2004222021 +2003027994 +1656900364 +1433709349 +533677046 +1206604688 +227362885 +396498556 +396986393 +255231621 +1366909176 +2125611370 +1562985613 +1699639652 +280009822 +403610244 +1180094871 +848466144 +974947583 +53247736 +856012189 +1896413450 +1405643395 +534089206 +669044700 +903410578 +694822982 +1172359756 +1621692887 +216852182 +1249707382 +1478431261 +72396528 +759124098 +764656962 +606073574 +1965728786 +992019847 +1002572130 +215231532 +1247251468 +221997659 +193359254 +662753434 +1921637311 +473369076 +1066363678 +954248534 +1321835220 +2041311262 +1007496270 +30363761 +1790241064 +265656018 +564452967 +311802116 +1169066596 +1259275950 +1484161872 +643275835 +1476128132 +586385606 +2121707096 +1548524660 +1345509705 +738880410 +7114586 +1163754843 +1730900258 +1009686716 +1378986375 +830668078 +1231684375 +1572345629 +1493421512 +1005838038 +2045714705 +412301543 +1960086573 +1220066277 +306129157 +820099195 +1250430039 +2096370221 +1085755213 +1814883006 +260688689 +107338161 +926675308 +1744850562 +750613997 +255319792 +183752520 +724837445 +1803844452 +1529262225 +1463717856 +1810959038 +545533421 +1047134466 +673162107 +1924519796 +1877802544 +1904846482 +1349381778 +1223740409 +763200873 +1247612835 +1636041952 +575803798 +320195465 +1942171109 +1395902993 +1570625504 +1891057682 +334174559 +1238024862 +4262723 +441512720 +17216523 +1749113285 +1192126717 +272536315 +1932865806 +1916964163 +2076380768 +1314644383 +1233198371 +1739856158 +1860177804 +132849189 +265534617 +1637213953 +2010651733 +22897452 +839112083 +1086908494 +786098325 +2086724918 +575466798 +1361902123 +259436735 +370154259 +610321468 +1830062239 +113728293 +944496027 +920603454 +117991017 +1386008748 +937819977 +1867104302 +430651817 +1210356292 +1652486460 +200132332 +1139253412 +819647196 +1433330703 +731625923 +532341352 +1566179892 +997160540 +22071657 +1429347978 +1020057992 +861183740 +368772824 +1806156317 +800425011 +944239623 +1020574792 +1059861746 +1314393882 +1630896261 +742440338 +1428122176 +427908640 +1663043792 +1546113193 +1813917388 +453380121 +1265733847 +97085558 +1663736413 +770736660 +297217890 +655506178 +1590383856 +1730548594 +1387132101 +2122725208 +1149244838 +236808993 +2144796866 +431109168 +1256866986 +858496958 +799881993 +915539655 +1658921969 +1744121616 +1936114448 +571300068 +911031850 +1419527061 +1313740406 +191670378 +1847435701 +829300550 +1737783571 +1513869442 +1282680671 +856033771 +1610955000 +798933436 +1626770431 +1908172890 +1454439614 +1069670639 +1491237836 +694088067 +1044912199 +492999027 +930897061 +1042225417 +924108195 +40280399 +1900722376 +1723990188 +955820054 +1412160697 +1320628156 +744450854 +1983460765 +84176359 +16494267 +1149717523 +275846737 +1863929969 +1979018073 +2013630309 +1230315763 +1114215096 +722180432 +693787115 +1913148533 +201467215 +454476357 +1220104499 +1271137854 +1945714194 +1914192567 +168566405 +291229573 +697605980 +1210791823 +1215337768 +737886379 +964030551 +791844309 +1693706433 +228707600 +2112472465 +290673640 +64684718 +49165176 +307167907 +1214402241 +325011914 +23614228 +1045936667 +191158575 +1253929991 +12668115 +913339007 +1947717106 +1925816648 +1114806222 +254709816 +998437500 +238460428 +52940362 +765146419 +407026833 +344169935 +1462752399 +1617818656 +1559507703 +53155130 +434365559 +203868364 +1746861563 +663073160 +168857182 +2037535203 +727757878 +218022358 +197219463 +1942160119 +543034272 +220833691 +840613138 +734192847 +1474763683 +853281254 +1647531854 +1274997141 +631614254 +614854428 +1529706957 +1630051754 +853314856 +1582647319 +247714525 +1260341690 +1926817254 +1710466924 +730676698 +1338841310 +1763622054 +1165042258 +1542709674 +1362999970 +1828115418 +1711566856 +1253051525 +408389648 +1929589215 +1450270988 +203066119 +325139839 +1671104680 +1043679258 +1059332687 +998384715 +1896960512 +559380893 +125898208 +381091118 +1174235322 +1655605166 +2011142873 +2027550178 +1090768837 +111373750 +1140408220 +870102444 +1821840675 +1871084919 +61460106 +1437979081 +888643529 +1604169780 +653495403 +569275299 +1168252989 +1906546929 +977664947 +950358556 +1209334269 +1180731066 +1275498395 +732955301 +76926676 +187347434 +1731340016 +1973887188 +746728328 +1857238225 +207494659 +1920963650 +1365359743 +71153884 +1801030180 +308644932 +182527634 +793954753 +1178747376 +2004368309 +517556024 +1240207482 +1294863743 +1406199553 +696893615 +1948359146 +1975474852 +1865146604 +1707422427 +805656151 +668021512 +769273049 +1986387217 +1943519907 +1502228350 +2063313894 +2130867342 +1086084719 +1889717434 +730112022 +795839296 +2097212093 +503592024 +13715391 +20882329 +157138556 +322360323 +203409964 +951093309 +1501107700 +60294625 +1468649333 +593831534 +1355158368 +727365238 +1290725149 +1156033867 +555356442 +1008388105 +715972646 +1361012593 +1676409617 +1485245695 +1199916163 +1472445877 +839990398 +1115746409 +1455829571 +1926075117 +857980195 +38457945 +574430765 +807708641 +542049969 +588146156 +828590970 +699188525 +910506479 +1032000934 +1650281835 +264130531 +1092295560 +971447520 +857962066 +299970280 +1698812759 +1203567 +1456004147 +106685553 +1009591673 +24493146 +1467698147 +538517642 +1509738841 +520130662 +2010963519 +202245591 +1635877071 +1319309442 +2128320708 +346373618 +1357767387 +555267825 +1154082259 +1899817356 +1143413981 +1982673230 +451522234 +2053920461 +867190516 +2101804069 +170567344 +1959486076 +925767941 +1028529410 +111972709 +477097052 +1029732978 +1567976856 +583782606 +2039324651 +1592470002 +2051480753 +430358645 +954725196 +424127767 +293838517 +1156970787 +2060004838 +1613147959 +1137807848 +258894808 +823431699 +1693075673 +1412977068 +575765407 +689006007 +1248166650 +1027287641 +595442820 +2115357166 +981608062 +766010164 +1927359595 +1907376004 +1794539575 +2039332304 +236989408 +676788905 +1459825512 +820772014 +568629908 +904811867 +724769119 +998988553 +1859537063 +1148896886 +1292827070 +869024202 +1061418076 +758491382 +2006832050 +1320312885 +1581923081 +1552424076 +585806305 +10204840 +93946435 +1833972955 +1037492482 +689389255 +1801846473 +2019100544 +1455399419 +1581722420 +1778992900 +1102455346 +1473571076 +2015982309 +1779244251 +785912941 +689270675 +200390511 +1690724808 +1414039795 +1199379065 +1402778223 +415453033 +344722487 +124318777 +1476871110 +1103213869 +2131150828 +649700347 +537653302 +1536091256 +1235506652 +547858143 +1630037691 +921995959 +1585350625 +171943298 +576358784 +1456967521 +1627342717 +10597557 +1088476774 +582314416 +1484168633 +956975435 +214075019 +122597926 +1646246110 +414465531 +1813322734 +912802257 +1613844596 +1068617309 +1328255291 +1958567083 +1192936087 +657642753 +914297305 +1176603267 +1307343100 +1451950607 +565210875 +395366104 +1999808750 +47764918 +1317362063 +1437675727 +219708216 +1893720847 +747159601 +1847050933 +1904318404 +1835636375 +281881701 +1241003390 +645128162 +495956721 +1363601316 +143890624 +910422252 +1029440403 +1056692882 +376783200 +2098057712 +237464525 +187866635 +1143510151 +895107278 +1102163940 +172629770 +54966730 +406630900 +737840645 +450332834 +258956002 +785605563 +1767694897 +1696631730 +1005313779 +1513932096 +296307683 +704881065 +1270766853 +2131944058 +986762766 +364286595 +629588572 +1482719487 +1727887911 +773479196 +245658091 +609844666 +1830172078 +622441291 +560418731 +2067636603 +810307927 +1703928882 +815260233 +1912471867 +1876558653 +870226963 +171619119 +466915650 +1320559797 +430575122 +1252521214 +940771046 +2127206852 +110351345 +307219495 +276030887 +815232410 +1577986348 +260491297 +1801995177 +1942272943 +890079869 +1137231016 +1522677206 +1663559065 +1382889108 +2132521873 +1346247496 +2005330399 +545456956 +1266400451 +668154678 +101902190 +2081660685 +433142898 +1978460843 +804404000 +604762017 +297892846 +2124963798 +1035337139 +1550414060 +918251196 +1015060343 +1660765405 +1225470691 +1291091230 +328514168 +655973391 +1551582527 +2130509345 +450762686 +294178748 +1120256713 +1973439893 +1957737814 +355662173 +1958478118 +1156501662 +213508925 +356451426 +275418465 +881663603 +458353616 +209595502 +1314806501 +289330812 +1013999503 +1919568519 +587223658 +991479653 +807422010 +2137637718 +1909730849 +1822482354 +1650919475 +987717893 +966089936 +1979433643 +1643691284 +370188816 +1962459340 +2094453971 +664367564 +935232406 +1920410216 +474621730 +1290894579 +1731404686 +1631123392 +1504403504 +2087856112 +1906541858 +238583460 +398726080 +2116137360 +1553389961 +688056892 +982653215 +1325474832 +1275280550 +1974132868 +2132896843 +1265434620 +1736380070 +1807895549 +768870448 +576614315 +626501837 +600820443 +72821951 +996690653 +415796136 +19792274 +1661058218 +1351028542 +1940202490 +2135679948 +494439473 +1524123528 +1619319693 +1998842978 +1464495992 +1378377903 +89942790 +1863222073 +1347031615 +1643332751 +403795317 +182201183 +821323936 +1679075868 +8850403 +806737131 +797026840 +1745230473 +467149032 +1565897288 +174361140 +1093650869 +19234084 +247183092 +2090341523 +435030220 +266975366 +1603916093 +1786058762 +59694209 +1592112393 +133014587 +1583817737 +1063948438 +2131857565 +900830082 +294842693 +74316707 +616568507 +1641874309 +1717649459 +1020363824 +1824075492 +391489747 +551956044 +1832925895 +1198226878 +1348982885 +1430672721 +1665375910 +767396525 +1605033861 +611543131 +786630609 +1852216953 +554401006 +1221660829 +2119192320 +10833451 +860235943 +31402881 +1602945845 +993250531 +1615220618 +519410635 +977624448 +368567052 +814253329 +1051941156 +985135559 +308643990 +622106967 +2005499384 +2132719482 +1013596714 +409971780 +1818161729 +64339944 +1758954665 +1101350802 +1729715854 +378867543 +558901016 +193775337 +1165498152 +263634321 +748176344 +239675334 +235342993 +759009795 +1099911277 +266745874 +214471992 +2093161808 +1881966493 +733882628 +923302609 +103049897 +1548135957 +1975243765 +1088185457 +1856779947 +449867084 +946201193 +1842015781 +1463463798 +1356172973 +1512693862 +1527803742 +967643991 +466561017 +1110035948 +1346511534 +1025462033 +1303811285 +364526038 +1289096354 +2051987629 +604201372 +1524439348 +663513777 +1704112650 +1791185222 +877985769 +1649790810 +1525668067 +1611868397 +425609771 +1628717965 +1012520706 +253369888 +569419774 +721817005 +703236972 +1515620967 +416349138 +19217122 +724310292 +1929043001 +1547020864 +1691954283 +248120370 +509573164 +890982169 +1273582403 +1813384450 +1255508208 +415195109 +1717888431 +1859709580 +1939634457 +233918560 +1416338582 +1583336032 +1111904330 +918645745 +961520451 +576289079 +1344255516 +442754768 +1588809786 +1597625405 +1012174542 +163143143 +153378729 +380311861 +579492282 +172595852 +1104622154 +361051635 +1719616716 +649092789 +609172005 +81706233 +1540074959 +1882754408 +1895090683 +648099519 +150465869 +1465495466 +360325451 +2090100327 +1699414027 +1776664034 +1525952711 +663834709 +547826131 +339989514 +1240123788 +1892081647 +782744283 +681449926 +1342223404 +1794918825 +844593070 +1495602134 +27747039 +1424085352 +1668197986 +1132369193 +1785136987 +1240331054 +1781461982 +246825344 +1322037287 +1174053293 +2129579752 +1069644322 +1822152812 +132561973 +387656141 +34994616 +75178652 +2087070168 +1811658650 +1601131363 +603421229 +212001133 +1941120878 +1843545017 +2104082780 +576381513 +377511296 +1298822537 +223816690 +1222104366 +646941023 +251563729 +498706070 +167655361 +1383932922 +136359409 +1407986415 +1017911257 +383184753 +582540055 +44480902 +365280857 +1652184377 +1866633715 +497842830 +2039840518 +1901628331 +573021483 +1979427038 +1565803333 +26669198 +435364619 +1777804466 +1967790076 +131425989 +1734403598 +396687941 +508937285 +885742487 +620504632 +1731041651 +1532683510 +872068361 +82264073 +1700338871 +108517636 +218623482 +960841639 +1126428893 +601808235 +1543381694 +1170909795 +967089092 +1048082423 +890059862 +1464931922 +940439294 +644204545 +2037953405 +772382684 +62524230 +2064622604 +1207747304 +1840328696 +1884929032 +1339173293 +1427248647 +134133326 +1848110578 +165507486 +754637958 +1431668581 +1698190997 +1626706319 +1513932654 +1251046220 +1735223955 +1732556136 +64404211 +714169200 +186880723 +1607785905 +1885078996 +1153969815 +508384681 +627655210 +471418089 +1448823975 +1271859756 +361887847 +73723011 +1334383986 +279026803 +1281470315 +1027229035 +16472187 +473159960 +306994034 +150605513 +173786890 +472501520 +905243471 +1605455471 +23208869 +384466143 +971904477 +1274255090 +2119690098 +556976965 +1338659301 +686375651 +743857688 +798961559 +423970999 +1897827503 +1307346240 +1051626209 +221761945 +608686567 +176002317 +583649792 +682409578 +1510386304 +862676595 +1963879894 +390131691 +879148782 +289556206 +697125725 +1029754296 +463343097 +1169627245 +1934997767 +2068798568 +1192836115 +171980262 +893219398 +319607557 +144186713 +1450196363 +1658266858 +830562364 +46570404 +309744769 +1254533363 +1944397907 +1617091009 +158675924 +18676204 +78293928 +334678242 +602325996 +760703507 +1845064546 +1465002591 +577099753 +87712589 +196667726 +866655959 +784838314 +1226422022 +1329999056 +1954465559 +1013936141 +1251313977 +999818026 +1185916404 +2144533375 +1319425583 +1330103117 +1447246090 +830208794 +13181833 +1493816494 +1139953563 +1267715196 +1290730754 +609560925 +1426391120 +1309406958 +687854853 +1761069362 +1911732955 +1448558360 +1458650260 +1229251898 +2025658113 +1546362849 +1425919624 +744830425 +183717515 +504857998 +2074829481 +2138183075 +1518794140 +1178659810 +990517453 +557226896 +1175709537 +162459389 +1887330013 +475471980 +992668183 +1900511846 +1969288474 +2132621746 +1020743394 +1112535580 +594699023 +299650866 +274458891 +1282553877 +2060720229 +38708198 +583628589 +1371886841 +1267960096 +461803055 +770766043 +546396073 +1206633480 +954483558 +1051254071 +1133979313 +945182985 +422564563 +165155476 +1935700439 +979791459 +1340865013 +2098159828 +719637824 +1816336993 +943344363 +472666022 +1638141820 +928482461 +1493409416 +603193752 +1523181485 +1793060283 +877652643 +658251714 +1706296864 +916360841 +1241880303 +930700057 +36837290 +1703683358 +1701466100 +583233363 +762833190 +508466011 +1634487434 +1896812504 +1453648996 +2057051998 +2061967980 +1241865787 +889359809 +1255349345 +1192541967 +1608997634 +924202691 +2135886330 +2081663656 +414860863 +916885144 +1427589425 +1018054615 +292582981 +1073166060 +1895707259 +950834695 +631979276 +664584452 +45231350 +1562679333 +701421742 +1748914709 +1116661786 +1284655105 +364264251 +1625127797 +771658892 +113593107 +931293145 +681227242 +28077439 +25675285 +1570587051 +1283426785 +1218217252 +1032101037 +60145828 +1206619935 +966281046 +475006691 +2123505079 +246386823 +1493061306 +268604412 +1319552883 +1241284917 +1219439107 +1951532159 +1905869370 +1264670457 +1366727844 +459807464 +866101518 +335905982 +1744462570 +1230365770 +1961033779 +368637814 +1343958877 +744843277 +1049865056 +1372036317 +770518562 +472968459 +507979454 +1988735814 +1505069497 +568125282 +1047872101 +323866895 +1043131973 +1023893532 +570253718 +388709631 +1292497944 +1889806601 +1629994549 +364453403 +1693855112 +1388380271 +1629123861 +913099308 +1848187735 +347741731 +1249005291 +1445166657 +1578107501 +1062555422 +1813804471 +774582731 +1807398699 +716185879 +2146619048 +430433613 +1189154339 +507114854 +271685780 +546740188 +1075240136 +1319557881 +870607083 +2118372109 +195967766 +1440860801 +359598092 +1488465710 +1183183754 +1989592641 +1852919114 +729555218 +1230489264 +1334559327 +1642654526 +931193352 +1682301058 +744176169 +228876361 +1112924912 +1806731592 +2042680833 +1887507643 +1466646643 +611383064 +1886643043 +1897080257 +1800537403 +246274249 +21282389 +199793943 +1321514385 +1340840270 +1070401026 +1292402846 +1536808036 +363778179 +1652000938 +877790099 +1546961933 +1494109932 +583225565 +129033503 +577115548 +1917784892 +1771688030 +1508308900 +1452602302 +368380551 +1737185262 +418043566 +27628495 +1632382447 +158067561 +1494275139 +96281863 +2044710604 +1243871748 +1896819267 +143501205 +1265154137 +2096613210 +1465015590 +458510759 +1019530589 +609934788 +1995318796 +1383308768 +114452079 +725625247 +782787054 +1608562011 +1308850812 +911820557 +38193911 +1079152056 +536024939 +1546502812 +384270710 +904405491 +1136204426 +802314277 +932033986 +621103225 +960381838 +278825477 +717385088 +857608795 +1522697225 +466720707 +1001110000 +640367714 +415850270 +318641943 +1098878474 +1435380859 +928576731 +946713622 +671205979 +1043028810 +1672338869 +1453993033 +504107173 +833706033 +218329943 +542301085 +1912858089 +754354882 +2088803897 +149645151 +1658760373 +1077524675 +951959428 +443310712 +1698627900 +1912341267 +722136189 +268529340 +622466414 +97349767 +735250048 +1623576414 +737717481 +1151100318 +1942218357 +1836595955 +438997529 +723311441 +635825929 +1110203508 +1766340251 +160681150 +416712894 +122963777 +994387183 +635042837 +665264862 +759761624 +1389397719 +606585111 +909406776 +900674445 +1684109786 +1861366204 +1343985157 +1235254038 +1626223823 +2066121346 +1503783378 +101206589 +15987465 +91549778 +1724783004 +753704947 +1242650096 +1519517713 +442817254 +1681647625 +95345506 +1078643184 +644367486 +1861685758 +1239324334 +1061080380 +1984649535 +86227870 +1696123217 +502430749 +845989494 +938037288 +1109015860 +1755396270 +1838711733 +645641998 +1469278827 +1035213242 +1880896036 +948019002 +953850941 +1237195766 +1049225592 +969838406 +1328745545 +626524948 +1723543353 +423911993 +2146042661 +18876960 +2105559619 +93904520 +1097520144 +602443457 +1955590278 +189360830 +1663523837 +1792756165 +275588700 +1212163406 +147703266 +1121578195 +2717046 +1256719126 +729490817 +1841428780 +1902361124 +51285996 +729158374 +1635773512 +999304999 +1683009315 +725485630 +2048530591 +505364074 +2054231175 +527571891 +81423779 +330659521 +526130904 +100300739 +288735492 +620035424 +1197820883 +891178949 +428142054 +1387181714 +407219138 +73414571 +1662770414 +1619382544 +221117837 +636864961 +1622099590 +1477836963 +1366355779 +1316044722 +1232714439 +1417641775 +2045203097 +721004303 +269463126 +1580728764 +1446489934 +170510069 +2086092838 +1353237461 +698081960 +20032970 +1683896982 +1224212865 +120333709 +1972632474 +1844248289 +1318154593 +716327775 +124906696 +557852659 +1123546913 +198321267 +73139425 +595445809 +419439105 +710004387 +70061752 +1897276068 +2076360166 +1386106474 +982506860 +1346518293 +1283825923 +1703511163 +1615981420 +717071040 +1002517449 +1786491489 +655680230 +208271263 +337089802 +675713200 +1892168245 +1561302667 +796046910 +1717317072 +1258067308 +2114201503 +286161199 +1382974004 +524570514 +1409708113 +1581295272 +597709939 +2005153922 +2000734377 +1307714326 +2075215674 +1750526797 +1236590844 +1313838501 +585550009 +435625490 +450180776 +141577525 +2051606910 +1167251816 +1144094974 +1690614751 +1822932047 +1352366237 +2027704553 +351161599 +1097050835 +1441523572 +1147208509 +666884259 +552107233 +1113926364 +953045458 +1935081237 +1638496878 +215269923 +1368892861 +88723170 +72940198 +1222143590 +1396437496 +672224 +825186740 +485544693 +1314510725 +1410736749 +921170183 +1764691502 +1552314274 +825293445 +784459670 +548925601 +368424548 +459908069 +1901291838 +248645454 +811069669 +850859025 +1690169026 +1958278178 +1517743284 +94792611 +924720895 +323305095 +2029873849 +415734125 +538575018 +1251283062 +504457295 +611515216 +325943005 +1900894792 +612187441 +1151129745 +238955837 +1926698166 +414382846 +1160126020 +1543906020 +1966697121 +1985419465 +180882043 +368139074 +206360365 +640790112 +121947264 +455005819 +1451859781 +972806290 +2145174846 +1262654312 +343065926 +92483809 +39891559 +666371021 +2122357658 +455625684 +1204946040 +1226157073 +960082980 +1816461256 +1552100078 +713494124 +281165049 +555746175 +952449961 +60379568 +970129021 +2112575981 +1604285588 +789342494 +1950511798 +1785167631 +1157481568 +9388515 +278474096 +1279428833 +464394335 +1730333877 +104751475 +462085533 +845504541 +447817401 +554569342 +885396100 +1114188423 +529443353 +1341021785 +171650815 +1755600426 +153621117 +1988112071 +1160216856 +867115241 +121793473 +1715963031 +1819565202 +182173041 +538608404 +1784657535 +1786458629 +1327950899 +1587685685 +1424142613 +337948819 +1597074200 +1702616709 +1617377652 +2061468535 +1285466938 +1722129127 +376070420 +2130971480 +22462881 +930639763 +868883932 +1136651304 +1460083116 +62422069 +1308302119 +1068199894 +216043186 +1148930542 +80933102 +1083158427 +1270724015 +1796896133 +755239981 +1452897056 +188020889 +392413868 +1091872038 +1515971788 +1980099553 +368531003 +1853920608 +1429690106 +2071147712 +1323814612 +1343674993 +1209131002 +898460092 +1719745414 +1192618834 +920922973 +502901529 +2061502767 +2057574277 +1962984645 +2123924836 +1218392748 +883700891 +192484375 +219839642 +964633993 +1275642802 +1490563658 +614046478 +2030882784 +795977066 +802067367 +275813004 +1887849104 +170555508 +108428910 +108896459 +2024476116 +1538119016 +32560523 +1200807080 +734310361 +1241691526 +2099267172 +306572127 +286826712 +872706497 +809473656 +200845831 +782797126 +624974653 +177287020 +2001189874 +1508675544 +369771395 +73545869 +325825889 +1645414197 +1564109527 +939872367 +1528813333 +212602945 +1741939735 +1804626338 +2100452050 +1912495243 +1913055248 +61864861 +1789487711 +1303690616 +94425385 +842811143 +2038000977 +1336116911 +794594668 +197089457 +1622943623 +1667301165 +1006563113 +1823789455 +302614644 +1631537767 +2001076475 +156320870 +992729663 +223364222 +229866739 +1318555553 +1868778419 +1793976266 +110944272 +1250108105 +2006579212 +1852884007 +907250795 +1959547614 +1617895602 +672822395 +2021412475 +1259899665 +1976513011 +2115837860 +2102710809 +1867030340 +1304471123 +749821829 +2064119797 +779931099 +269639346 +923199263 +456236906 +572253990 +407253382 +309829733 +728574861 +1399983045 +533193955 +958441600 +571054950 +254488726 +604934219 +681999223 +1504596831 +464029783 +387399582 +264363978 +276093749 +2005295185 +937186373 +150022576 +1117711202 +766215736 +118376789 +1072938363 +485762429 +1422847912 +1822760192 +402398578 +55295363 +2092399539 +1325597841 +511532269 +517169881 +1732851223 +821362002 +1245744742 +985350621 +1354555957 +56702695 +1556405571 +1609044684 +661636914 +90921146 +966157867 +1125666697 +478320729 +1230521846 +1401760446 +336132266 +20224571 +1551783022 +1453843468 +786440308 +1670159811 +379298184 +1272202737 +945524076 +54574728 +1674601315 +1000819439 +2146974267 +852715509 +1512351709 +516660501 +438083084 +186230063 +1762405243 +1423433705 +1540786021 +1819107938 +832355629 +1002347057 +333261204 +923276775 +1968504924 +1458927901 +1401597504 +1051543122 +713204699 +1737729770 +1071767694 +117504074 +1044089591 +1858208002 +1787663885 +1423387775 +982927091 +585704313 +1477962503 +510044758 +1586523753 +1477453123 +1362760267 +951391814 +1994113624 +1800843352 +1137621877 +1609035219 +1076793409 +530924250 +1280659510 +1909149038 +1533271307 +1613920714 +684942166 +1354292584 +925364968 +2086539670 +258352058 +1638569667 +1676785793 +1330119752 +1756073741 +573391736 +1040844106 +1396253979 +1996779511 +2023771197 +1981958292 +1327258366 +386332308 +1420998397 +657227841 +1749092575 +224906563 +503857817 +1402452279 +1362528441 +2112893037 +331762041 +1893452691 +1246068899 +93427431 +1279240351 +712505965 +778369597 +486049287 +1637870933 +717425620 +744401345 +1128956953 +246727765 +2074521098 +737547046 +820119501 +967881556 +2133801025 +669415364 +844169106 +1968275670 +1996673730 +1230501414 +1241790419 +506417924 +832110341 +1466696983 +1010275741 +87078973 +681741776 +975685130 +418841014 +427710819 +74270381 +512268445 +1706951170 +786776347 +1290638043 +45516809 +277163632 +2008063663 +789918155 +1406120585 +107307780 +716955605 +2143667632 +927427281 +1684837161 +2129985009 +1596842645 +381522619 +1950777031 +1446032727 +1612024033 +1045083803 +1952450651 +296650727 +364297138 +815242745 +383729700 +1046038914 +1790927875 +802570714 +1473749733 +1865198257 +1314839159 +1033217256 +504490956 +457993554 +1078734065 +781654588 +318573569 +1868652220 +40291526 +425881349 +438124177 +36475510 +1353308630 +2122961339 +18976871 +802667627 +357000310 +1969753903 +101216707 +1969024344 +867354058 +2053667358 +118191423 +1231651196 +721426455 +501921123 +130206462 +364870683 +1304491837 +1603956195 +82585292 +471847348 +489689803 +587076248 +929840903 +1568423869 +1368730836 +1248414472 +1289592441 +1409022362 +1674295822 +1727716619 +1445497872 +880120804 +1703194310 +1464474744 +1682788432 +2060194620 +1286744999 +1784005139 +1881735316 +6615409 +1690188849 +1999926739 +1238266605 +264131657 +354364214 +1368473067 +629002340 +1658856051 +824945614 +711587632 +2130703400 +1314635418 +1298663880 +913060655 +735575639 +519911068 +13991479 +2025168080 +1928933431 +1688287301 +1605401051 +1226947655 +420924458 +1161111713 +543938751 +2103712890 +1073822686 +1830683750 +1740234381 +808074354 +1837299159 +1282939582 +660517446 +928082116 +1547071239 +1014881660 +149071535 +28589931 +526254064 +974017150 +740177563 +509473816 +141168920 +2038841443 +1422534471 +876744559 +411268864 +1436525950 +754428991 +192718647 +977329604 +212346395 +1419666302 +1398254062 +1373458108 +1963605054 +1354483304 +299797146 +1646805156 +947234037 +1107871501 +1336620668 +82689971 +1768388947 +117219136 +1629761211 +635786959 +266290672 +1658351142 +1162041023 +1240307822 +251045058 +1671514839 +1381476742 +142402853 +946565662 +110737653 +553671717 +235607965 +865166644 +746390364 +1212937569 +1077513039 +18573019 +463707983 +303487500 +1982178073 +1818191287 +603284646 +1481499581 +617941676 +1711156147 +670636601 +700631647 +1332061446 +787855738 +182909210 +1967848406 +1054146410 +1841260353 +982405781 +146970584 +2092305411 +506436973 +1528447326 +87224616 +1453002635 +1639184979 +640896334 +1688610600 +356867975 +1387286698 +754064521 +1434381015 +1405859717 +1217772504 +1737868515 +1240554142 +888480143 +193669513 +574570076 +1506421819 +1904825661 +1245206677 +59569819 +1089403459 +2033062415 +242479029 +909768217 +939725177 +2083739382 +1892173999 +1086695761 +2028561145 +251127324 +467659439 +2115785762 +1704129959 +2106844418 +609198448 +1245256912 +316228746 +1996485146 +1999321433 +1750609761 +1254861216 +1069610290 +1340994628 +347931710 +1958090433 +1534664141 +922501786 +1317028605 +1292006154 +20224816 +1376598424 +233925966 +2053287231 +1619077453 +1143694183 +845528761 +1555333188 +888384534 +1932224522 +1436410685 +1139511858 +252400314 +1404712799 +696158170 +211761084 +2013911247 +1941415082 +527989830 +1862912746 +1793252867 +131115943 +970290314 +715379509 +1472110571 +1318222024 +525986295 +859291065 +93240163 +1843014900 +3813571 +113464979 +1072129676 +237739537 +19268562 +543723481 +1381433721 +864797323 +2099056669 +122334607 +649538198 +1387983707 +1261846466 +901938512 +645212858 +1958004636 +1113699596 +511640458 +1751936070 +1641689427 +227069556 +1397705289 +1772805370 +1197359870 +2113084799 +1097432294 +368098246 +491587446 +1956723359 +461338409 +187118698 +1960536930 +574803388 +1259248374 +50792820 +594071951 +1802971855 +1432226541 +1458869274 +1754544877 +1554561148 +2108407472 +995044936 +668923966 +862862336 +1640257794 +479444954 +1976561933 +4414604 +83897376 +1470767712 +231484160 +1481602666 +1096089434 +1428844030 +1447203817 +46038080 +1796942277 +1938791263 +2002761439 +110797038 +2125909961 +1815814722 +685600427 +1237674687 +1866607542 +1279672378 +893162894 +1151350435 +591058004 +500224123 +558427935 +551981829 +1495269059 +1227351902 +1414844165 +988043206 +1706796856 +1243922450 +992457810 +1790694233 +567206514 +1223941971 +1124813251 +1663295949 +505302353 +424533420 +1709334029 +154760982 +215841035 +1564611821 +265558021 +194267348 +1232942895 +951158448 +1431942035 +952066789 +83347178 +177621281 +2103417224 +674405182 +677845405 +514361511 +1226387011 +25630816 +1741713413 +493747529 +1013674022 +1301026622 +1737669979 +2006131833 +944237207 +157392846 +1082590156 +2069050458 +1820688795 +1587892509 +346100230 +1382539176 +1742653492 +561941265 +799667349 +2008211513 +756208613 +2032610244 +811886313 +40667000 +837193385 +895233491 +218288281 +793126961 +1569638673 +896133686 +1307488473 +648542037 +921764503 +901718238 +1142289566 +1935438525 +55261212 +732475897 +1794086710 +999498419 +889868743 +729193218 +921065229 +563073890 +169602080 +1267165459 +1945613067 +1912255572 +1829106724 +597796768 +1772983437 +437831689 +482923365 +437386102 +478498689 +1320116750 +1332619593 +696786971 +2113243712 +754774618 +1592920657 +1273248537 +1403316655 +367201512 +27483127 +398122573 +155156390 +82744340 +1130598471 +1949243100 +1082242759 +2020467214 +530952671 +2003307989 +436057457 +700554751 +1122989800 +234186876 +465326675 +804612877 +831983644 +90826464 +1242444566 +1314907009 +528212566 +1720943256 +487540112 +1860832159 +270246579 +453300176 +468123129 +1863167236 +1726548713 +1871439785 +82885101 +1754031840 +122078710 +238041491 +1836776180 +1252677181 +39800943 +771535292 +1125660748 +570753614 +627359633 +1561718205 +1271308365 +1750349433 +1795905081 +1736635040 +407478662 +480405077 +1827461504 +1649923229 +1795312087 +208190422 +1223382837 +135368551 +2069022581 +1493629416 +588668727 +389662063 +1209313004 +167733792 +113618200 +1292198105 +1921765632 +235696910 +1530239596 +1611058165 +1488374092 +1570040540 +235109809 +466551192 +2140794154 +862469442 +2028269397 +1264618872 +465335227 +1676690830 +853770264 +872813890 +9612259 +533748121 +375253471 +1804924346 +741938543 +1598636308 +1940292897 +663477477 +944782076 +381477976 +1053139540 +6611432 +549211768 +1166757740 +1298809538 +323493753 +1402454650 +681565486 +1934551918 +743345094 +104122378 +22178079 +1209896286 +97432885 +884647521 +1090682035 +1362051757 +1349982748 +619889217 +68338373 +75312990 +629501477 +602086494 +450566461 +286942175 +1344025038 +2049202769 +79751425 +2007502515 +846501197 +461229401 +913158407 +853112630 +1010441170 +2079916147 +4438520 +1333934923 +1334887149 +686004006 +1121003193 +2078232244 +790126385 +1143181272 +1140644882 +887559270 +2027828793 +83843270 +102127379 +1230327893 +703732487 +170465752 +1305640884 +1333233964 +772552247 +1756207345 +1620176140 +2116577285 +1657926467 +1699927565 +1976596152 +356944016 +13673318 +742270911 +1210056646 +1024114488 +674703410 +1214495166 +210565763 +2009590559 +1900499173 +1331568956 +1940339155 +543141910 +327266580 +933500390 +1430701180 +207611725 +1017343660 +1532828559 +1437939619 +1721076147 +1703294311 +596096855 +906826464 +328362910 +204820552 +379518956 +297456547 +1862747019 +2079446521 +126569051 +72207388 +2093119839 +868839962 +1282264034 +969750680 +1543543372 +349275553 +1180316443 +1405650284 +102291078 +364401752 +1198505791 +645432988 +691668332 +2132006181 +2076134168 +899280058 +1001866193 +1461479079 +189736029 +575458693 +1017289742 +785832884 +1482285157 +1345652653 +990653436 +1861804113 +1643109200 +705916808 +1793766986 +1769678252 +778124196 +1739403177 +491034566 +2060388230 +561670209 +2034577939 +262180135 +1741986653 +1292744575 +364471213 +2106388405 +343766718 +1009904201 +650573089 +328289252 +938554721 +1549853147 +1330155445 +252550152 +1739589176 +1905614138 +1269839895 +377938412 +1240415647 +468008900 +1368591849 +954736112 +2111118100 +2074508657 +601019450 +1733312704 +705149205 +192938980 +76863623 +618053787 +754609189 +2111441562 +880233923 +349112194 +1256702489 +1244705136 +308016951 +1600469207 +107125690 +958590041 +1928758459 +1045680411 +360959540 +1111430257 +1298230564 +2100548717 +869560747 +420586811 +331003481 +2109976395 +888595711 +1699595330 +917228859 +852230163 +1626620339 +1518248310 +438059220 +184285896 +1711187290 +514922843 +802339684 +318312831 +478880757 +1682573607 +667425026 +1735583246 +779795095 +975441977 +1188568805 +886920785 +1934032018 +969843617 +1932601197 +147507911 +2081273874 +1083348113 +100572980 +803350973 +1503934924 +431576461 +765843720 +245046987 +2131171792 +1683072580 +1097277150 +1610308483 +1053837242 +1535336370 +1794594380 +617540884 +2050259213 +449450416 +935853715 +381656322 +2132024023 +1603278741 +2117239568 +764335470 +431237071 +1158324726 +1651256256 +217785441 +2128168343 +1436373805 +365293352 +2061958569 +372238270 +465866332 +717825894 +1876173194 +897442794 +1483669615 +2121220181 +881130938 +1019258547 +1071013683 +343955773 +2073095789 +458866406 +2138550153 +543153025 +361641971 +440516921 +1479006740 +743298294 +425057296 +934801834 +713054214 +1189392767 +1366038905 +1871378940 +693165375 +1583824346 +1852063635 +2129539180 +1949117699 +1766538556 +354293802 +267500383 +336880803 +82983348 +1164943177 +1820550418 +56719881 +2046074115 +692325317 +1127733564 +242546241 +617937458 +1586599970 +233612746 +1161090483 +1948241942 +674129668 +492613575 +544056588 +1099186964 +1427415409 +1257110802 +141096083 +645970666 +981006095 +834261458 +82311365 +685586082 +816316990 +2031429064 +304640991 +1170610792 +151445799 +641521794 +1253594140 +1316388977 +314588564 +1310314021 +1214979444 +1006913881 +290563938 +1457525685 +1624851339 +1877163908 +1691138432 +638458174 +1677922202 +217784452 +1131071749 +74495142 +1316971416 +411003511 +1331605945 +1458067500 +1056974177 +165128392 +144845310 +1139285542 +850714474 +961162301 +1023230958 +1155355465 +2131773093 +1174676758 +1796877259 +1237883586 +343582087 +2111465823 +400713959 +1558561531 +970896056 +691277897 +868603569 +448263747 +420958158 +412258353 +1086721921 +2098880360 +630042805 +70310023 +25891855 +1947014221 +481313534 +1357497800 +1257598073 +1538287711 +1522626192 +1402443384 +530089606 +225857018 +216122037 +1553320564 +1381212484 +200411482 +580513674 +1030606095 +1438295068 +924095761 +994588271 +1839009028 +335173645 +1965484327 +382803277 +1203777214 +266264427 +803761435 +1616035567 +1352986348 +755158148 +98594724 +1423296371 +781050003 +2045608945 +1904609905 +2138547803 +1155723371 +1295413969 +1513690347 +410683107 +1825503575 +1739547365 +626805144 +1231340491 +973276201 +827216626 +1811854166 +2003882297 +118028047 +588466279 +850986920 +1957037075 +923639924 +668987599 +192356704 +2127417138 +935252026 +996118140 +1595969057 +140754727 +1751276288 +1694563781 +1564051098 +384842643 +1592689079 +1321177356 +375906798 +600928802 +469107677 +1889597145 +1011611909 +147127604 +1481660862 +1638417053 +1378468095 +307453416 +318150031 +1042838613 +163852065 +436178078 +1631304893 +1014838985 +245731505 +407461169 +1683826584 +438088210 +387394660 +471594963 +1434206350 +1983363717 +612349690 +1037998990 +1530443851 +28917140 +1422841633 +975649282 +1350094496 +1798748431 +1576578084 +1819202173 +1540861928 +440706345 +1966329777 +875039142 +2079123398 +1197314225 +1182492558 +249789781 +92669190 +1346344623 +685967860 +1723974083 +213699960 +931699365 +2131435253 +1897526545 +1369787575 +371346265 +221637860 +656510277 +207226334 +833987550 +1694509267 +1737670185 +862904690 +969867252 +565835819 +65515539 +621132035 +2142413903 +1884717712 +14510315 +435636600 +1703563842 +889549458 +367276350 +753394419 +2072042016 +617066132 +846063609 +1270902992 +1303033992 +422554045 +1484602952 +87249709 +406505650 +1234645849 +1457037285 +777851915 +1456283709 +2113547562 +985078249 +142787611 +1660573182 +575264787 +1005692302 +482956786 +1141100606 +1071207841 +1104088822 +1136030862 +808441905 +1118599137 +1571667462 +364522099 +2008148595 +1938943813 +1117916518 +1932706964 +408526297 +1963980128 +1056126308 +1711560289 +239050525 +393245612 +1798809998 +645556175 +1627891462 +1108363635 +1423408090 +936691523 +1074427550 +261002691 +1079479135 +587517084 +836267478 +2085171437 +1070473870 +1977368085 +1008895630 +27079044 +965915299 +1817337535 +1145678182 +390099113 +34375987 +1006343129 +181559278 +1152292505 +791566445 +590085575 +968788985 +1847692753 +154162216 +1207839510 +93454718 +1952972215 +1853395685 +1721346180 +913852202 +1129320127 +510554055 +1988279752 +1390322819 +1590033190 +428313188 +79106649 +1527720979 +1498787059 +2056474734 +389132961 +1525866103 +874906385 +58986849 +524060637 +1265005499 +93362836 +1530403767 +1446564777 +1245655341 +174486564 +2036650353 +66960679 +2022179318 +43328921 +1274800189 +2115634036 +1996301136 +980712227 +1689496568 +762669691 +2110032354 +52566975 +603465795 +1352871525 +1642600166 +1031778984 +1431978175 +1022837497 +383082395 +1340969261 +1411970459 +1908948498 +68391999 +1470957308 +285525488 +1333397498 +1564320144 +1815929255 +632478627 +662491837 +1990415819 +521645332 +729452516 +1865111489 +564974254 +2004252706 +1833261877 +413791742 +837481285 +1375274797 +1176461433 +800029991 +1427841773 +1779927229 +5417869 +922958291 +664222565 +1437396044 +1945795788 +1047304960 +630881657 +1210282599 +808769810 +699273656 +533756259 +1094295298 +2032671154 +2098076403 +762740905 +517666134 +613084593 +605673077 +1039311466 +1342537109 +323300918 +1604285720 +1199306167 +9079148 +2018077463 +2036787452 +1384353945 +1047055248 +689333796 +664712070 +679498829 +694751665 +1587670361 +1343721394 +2132147709 +1385982502 +243542706 +615545718 +448781453 +1052312517 +1314819375 +982537713 +2146607815 +1200006881 +933130468 +761865073 +1717673015 +1546215061 +1367538150 +609500834 +741268523 +1690839068 +66302906 +1940574690 +1699918216 +2084380369 +1829878495 +936788514 +983951970 +371728643 +1601500584 +1663450799 +1066480308 +1041687298 +859688546 +1051144369 +280186152 +1103231252 +1666690087 +728967605 +8060121 +834025814 +1711505318 +7184289 +2034032696 +497152139 +769049362 +1604222063 +2043367200 +2136587512 +66239249 +637152075 +1679942932 +132542156 +430243118 +1232377501 +69438877 +112637965 +21682367 +1053390847 +484366608 +1623182951 +569357999 +1550846916 +517386601 +1429046545 +454507637 +797572753 +384794149 +2121197724 +1526540359 +392854271 +807739891 +1090562029 +400038560 +694288939 +1587714168 +1169087922 +151027354 +1483597721 +1158191786 +217266604 +2120749796 +690651070 +349808760 +403509266 +1923028571 +419247637 +516147231 +1944710938 +1472638485 +1000513839 +1420410242 +2041996484 +403877107 +1937796843 +1323559381 +858384744 +587885949 +1708353530 +832098821 +2114426308 +2101207801 +1639838712 +1057504689 +353762713 +186644003 +497735210 +1522850635 +337671357 +1981332931 +533558773 +554937961 +1954599079 +1224209844 +904746721 +210624698 +999754767 +1323994359 +726771929 +796982058 +649149196 +1727285769 +69908652 +543662032 +2131162876 +2007705495 +1867221413 +842063973 +448107796 +1428091295 +1674162794 +415050456 +1381815449 +1166517858 +1472555146 +1735578162 +1353161861 +1970290356 +1110945150 +1690833218 +1804139639 +1644503923 +98287532 +1611255070 +721230119 +1003034253 +1821879768 +1720984887 +179544964 +401168050 +370483297 +828694160 +2128453819 +440391949 +1372356192 +2112133047 +300613796 +1092093957 +806713372 +748721593 +372701605 +333392518 +1163772049 +1754517054 +1499910376 +488843547 +1342611568 +705588589 +311650255 +306073070 +248938160 +2115789894 +1950576994 +347225692 +1579561317 +524323465 +1350259945 +1253957437 +97824704 +1529804910 +1655125487 +468308001 +211015422 +1636095658 +908699950 +1583371615 +1600745058 +1209313747 +527981924 +259974782 +1958035340 +900683529 +593367301 +974323741 +507716935 +2093277677 +1463167289 +1850328504 +651382619 +1774817544 +8917926 +900320779 +1743123791 +1959494920 +1247546471 +1175201460 +336334738 +450322768 +281675249 +434159442 +1980127678 +1936800737 +902467444 +43659453 +1425412747 +1811167394 +1627031068 +878674157 +872997493 +7529344 +1138648940 +683549185 +908212874 +1732016241 +1657872927 +1415929809 +1677810270 +973556568 +1118774665 +181709241 +600890464 +1127692592 +1082030020 +196530607 +939703864 +182092843 +1371732067 +1276038602 +632415612 +1653407317 +1710198045 +465059642 +1442724406 +465181841 +508719095 +720653505 +128865587 +2135750163 +1599327663 +1001863081 +2143279508 +590492955 +1685412266 +904008734 +175025548 +1195801545 +172454895 +1852835818 +21874465 +1291229561 +2034545060 +622764930 +271438505 +969091432 +819295537 +1211142369 +1151184276 +43543957 +339697324 +1783599888 +1696951274 +2049895369 +101175882 +992192032 +367593562 +609894978 +1712845537 +496459149 +598161493 +1164689552 +1498322230 +593957353 +1755182507 +1036250849 +1497966087 +1930208055 +84568746 +1670420983 +1635560226 +106443212 +814166896 +1522621638 +729208142 +1085605401 +344229422 +1548503679 +149264122 +1495413698 +1592047636 +488961446 +1131529938 +1141515262 +391373167 +1232705821 +2133707294 +758966729 +1842600799 +1699069184 +1255425879 +293278644 +716275088 +606264461 +887235998 +323973948 +1642515310 +237718437 +106698355 +1727084057 +1908139420 +1742258581 +1833527269 +574822668 +1117396571 +415251763 +1660428069 +1461625994 +1963755442 +1809692192 +809556044 +1408319431 +151169990 +1941085983 +402351045 +542543158 +1026308156 +388574692 +1301509887 +721425307 +2087643876 +409452118 +1014703951 +656435316 +1015716580 +1901939949 +980409264 +510748242 +2139658387 +1087107620 +90348651 +1900314159 +681882553 +1923875920 +327653180 +1799279125 +191644035 +1988081249 +1113421471 +7915830 +1650289793 +1922977515 +1416235261 +1801459784 +1716579850 +1818586306 +196519294 +595404358 +59677350 +1498029181 +1316829665 +2147321226 +1907481300 +184049969 +656272895 +775714232 +2085989918 +1636682159 +1286462474 +2078164657 +576306131 +1376811126 +1830995169 +1258188685 +1153203398 +11164701 +909984162 +1344847434 +1999245950 +2023405633 +1352763264 +1502052096 +1798899500 +621514877 +1156028232 +1367995703 +292617535 +1352547526 +1963400061 +352294886 +703093059 +1132746079 +352132464 +463090711 +1316796048 +1008405359 +1238804943 +1255302318 +497603871 +377783770 +1185983328 +1073910002 +1754594896 +869494849 +184615039 +760314646 +880659550 +1094599201 +2105162080 +732421852 +970521186 +1310441696 +86990300 +621937039 +1931956573 +1243018532 +1989932742 +77090461 +448082410 +1805849155 +429385347 +1151175470 +791111586 +781517811 +1614266181 +2107907634 +1789923171 +705587477 +1215726305 +140043394 +1083371247 +254225985 +1213953396 +690482495 +1123720834 +1398568436 +1450797141 +2004380384 +345683989 +1408475574 +589318588 +1316205176 +571433622 +676308889 +1938142215 +355906548 +1919327421 +1780591309 +432997009 +219926184 +1438956816 +862382356 +1371101654 +82584755 +1643900167 +837884187 +43008741 +1286339690 +1543471664 +1258735046 +1426383084 +479359263 +1512961031 +492852833 +1169841758 +489198217 +1891421269 +473155252 +346094953 +89621610 +1881630826 +935413542 +1405826786 +305580800 +1611722431 +1196485353 +661487348 +1383566204 +829593014 +1094484357 +1603492388 +121066183 +1956866713 +827110394 +203650938 +1453283233 +1664994582 +246659679 +592139275 +1060982598 +1505394726 +2018522360 +1540341862 +870872109 +363891545 +562699972 +1360070327 +107829166 +1035855224 +1706165280 +197450776 +770002402 +494095174 +1603277563 +1075583203 +2105817605 +652279268 +1737070551 +1341900162 +1481872283 +684071261 +797908902 +1602938466 +493454326 +1625019297 +1806589404 +1946737559 +1142530231 +2053249083 +391393187 +56029181 +1411160161 +262431899 +1596371043 +134548623 +626323444 +11587368 +1494618950 +734152610 +1047442592 +1053300582 +931603386 +1817444995 +1547395757 +387397301 +745544550 +1505729714 +1039676570 +335131453 +700146228 +374065205 +1019202714 +1498055131 +1977003671 +1512657041 +975590780 +1636109427 +1311910952 +2118121011 +1541874862 +1703304139 +26666544 +805551376 +1965736038 +1623037588 +940099999 +444575834 +1634624956 +287235301 +1178728444 +534583900 +1340535883 +2110331831 +204545247 +740447992 +350245484 +950089797 +98694059 +1389922054 +1285221251 +798840287 +1763987259 +156940317 +149411770 +1593507282 +1669597358 +1125002550 +1082133061 +834024663 +1095639913 +476524276 +389845154 +1122306458 +1282075652 +208097545 +597860398 +74692003 +652673379 +85001706 +361927304 +1831401824 +619585606 +1702463187 +1794250007 +824130854 +295427532 +2144495491 +1774220651 +394121591 +1386933898 +911958254 +1192961878 +1003437509 +1068898572 +1342373649 +449461144 +591012282 +319892551 +1531594205 +1425036945 +1415532465 +2008118481 +1814882100 +390355275 +1142710485 +2022979645 +988215673 +1217402488 +528169376 +1073217379 +1579329792 +212087552 +1692802985 +1134309332 +2006337559 +369450191 +1429736864 +2003349403 +2143670843 +1823858455 +1242799653 +908145449 +869336685 +98753514 +1977044021 +64226686 +548214658 +420572656 +384119238 +2079808864 +1845609601 +1799651703 +1940443697 +1513008053 +42523330 +935670535 +1388504050 +1030739003 +5589375 +1916673427 +2103956382 +1584919168 +2128760979 +1649275719 +571744852 +1987614891 +2018725911 +2001481716 +1843480646 +2014913106 +1677856523 +938796651 +775574907 +399709560 +1037550165 +605135281 +463936247 +1585764824 +1025707937 +848055485 +1518090040 +723833890 +500223540 +1311050089 +89358296 +542746870 +99236976 +1477862346 +1573485873 +104826352 +1247052125 +1529958607 +1689745520 +1228329457 +1031750678 +114006724 +1068460700 +902992941 +2115488440 +764457698 +770422399 +1645861315 +1703254349 +1545997307 +2045570875 +593320866 +3648940 +362023474 +31602042 +1029356877 +1210078959 +1549692082 +1753190767 +1710302499 +713258524 +1842549063 +105565721 +812495500 +1172927762 +1679051594 +917321852 +272496239 +1061526553 +459583724 +1500825696 +2093277232 +573590448 +421802748 +848786525 +541595240 +1186260446 +1619208925 +39972907 +742031147 +1017722584 +2085543783 +1335352014 +1021371524 +300083609 +1366954056 +2050728401 +1510162569 +769162491 +1656435520 +1072981420 +1482421015 +1351500936 +1178547142 +147432867 +376945050 +710115088 +1064754720 +649441289 +1771641642 +1524338444 +2783338 +1717435226 +2097928893 +424586086 +418738103 +492040485 +1610846533 +2037947028 +532013393 +205394032 +908185964 +470073528 +1540746046 +1929557488 +770157137 +760216455 +1832802241 +132836058 +1529378946 +1341754114 +1205817479 +864316313 +545771402 +236880973 +1011749180 +922716452 +946996061 +2076503900 +1572157741 +571154055 +1453358697 +1574941079 +141105633 +1403803942 +1999527166 +559843737 +1895844427 +1462890051 +450307117 +280374172 +1668284083 +1358493082 +750447700 +1061546482 +1140566922 +1520604838 +1821762937 +825885516 +1653440896 +1203658235 +20155982 +711774727 +2067974548 +565927384 +948655700 +932240080 +1488643836 +1895651762 +861260333 +913317929 +319322169 +167135382 +340775361 +460427803 +1570939324 +192818879 +1020271540 +1319300103 +1655708930 +1470578657 +1599674276 +1176509365 +681588091 +202638328 +90572199 +1822155014 +1723243166 +1912335136 +500556882 +1229200415 +968509723 +520712864 +1940975142 +889000623 +1086640248 +742147195 +1821240704 +427800436 +490315309 +535017389 +1341118365 +809637478 +702152771 +1681893726 +1270065281 +125608447 +1874712605 +142853173 +1444908550 +1382937887 +1613431831 +897099178 +411963605 +147536274 +1099737507 +502535804 +1969691288 +675497025 +267387293 +322764522 +1904697440 +1235897016 +843477386 +1698188935 +2124897640 +1930117634 +292852482 +1798654696 +210434422 +783167791 +186188437 +1551552788 +1592805269 +888341208 +1085962866 +715386903 +1013949655 +813191824 +858240076 +311374557 +48646063 +324188259 +1208473736 +460609668 +471724534 +160727595 +963145473 +293932174 +836224620 +1230532766 +616696697 +593438413 +318946134 +1460174083 +144143700 +296360126 +1242808070 +436996182 +2095014822 +1453242492 +1220163973 +133719611 +857311632 +665485594 +1022060819 +1943274499 +1380872497 +2036010474 +608982675 +91628926 +199901384 +657628738 +415817185 +1408375120 +1118238407 +887541719 +1569102715 +2081383880 +1181473894 +257843687 +1164432998 +1798170591 +851282100 +1483379132 +1110861026 +995425800 +1779739259 +206185448 +1432421982 +1727270433 +1659427941 +505102307 +1860990045 +369255925 +1170587902 +735567216 +165046776 +403976751 +624094043 +774029451 +495605677 +823995427 +1431658190 +911422863 +84886899 +402412949 +1798964582 +1653989614 +336313181 +832954828 +1911833301 +1500746179 +483641771 +615631754 +836641663 +1594502798 +1611057554 +468897274 +1800688246 +895995889 +48684060 +1312632539 +1401098196 +1909674105 +1681888465 +424202450 +497757673 +1846935241 +828179202 +1121851716 +473481045 +1323784879 +1945847143 +1905139235 +87724094 +2030734042 +160068536 +1886688677 +1537240008 +496381717 +572159857 +1301589662 +1997127896 +1055801629 +1917221416 +686285911 +502820779 +1380795322 +1155183186 +156025377 +129307563 +1203867246 +1468657917 +1530405760 +966057703 +1003062734 +1954608210 +1463815376 +702514327 +635303764 +438183445 +1175995372 +1959088644 +236546940 +933650959 +2046812738 +119797335 +1093719495 +1786017767 +1657037343 +1590101212 +210693977 +811143357 +1439745460 +1266495606 +580881125 +2126031372 +1769316385 +1961676448 +1133730910 +1925341762 +2090984011 +190114508 +1246516031 +1473906123 +1156172211 +102095117 +1281030686 +472503939 +804609445 +1916334450 +910687384 +1980604817 +1727939446 +1147234325 +766772129 +1627268537 +1267031660 +1860491624 +1265802656 +776585355 +1303109189 +1476496633 +1587728713 +595371001 +595508591 +21126190 +573918725 +217341328 +1982802638 +1707649635 +2142683091 +1926303002 +1897764143 +1241715474 +1252725477 +906452706 +1343810592 +386272515 +1378956646 +936389 +155123318 +142160382 +1981541206 +1883062764 +1289394707 +600829687 +1362847653 +408942719 +313837664 +481166662 +1185528075 +1616946853 +1957663295 +625773140 +64834206 +405688239 +646899330 +638752932 +623029567 +482218321 +198918919 +618229010 +261037675 +2096683063 +1859944485 +1513763152 +855652121 +1056271429 +1900035668 +87125119 +1057207818 +2055158986 +229285502 +891265376 +1790738102 +1518680209 +1492095064 +1006102108 +1927622929 +1805932728 +1487268770 +965667356 +1275395933 +1297448417 +1591440496 +1340230139 +1703136656 +90856178 +1978983071 +178682576 +573074499 +30418343 +796911586 +834112174 +2127101406 +509372423 +200391679 +835269879 +1565643852 +2100427347 +922394999 +475368022 +2008102685 +1151680501 +1366633399 +1651357139 +522877062 +711244815 +509975599 +303016343 +369693895 +1997244369 +1268683699 +1645089828 +1147209139 +712640547 +837836319 +702862147 +803496726 +669335743 +881544723 +1376571225 +699754086 +1678456310 +63199752 +679371844 +40345085 +263591431 +1514641723 +1605988938 +216535130 +289553074 +2081356960 +77154167 +1441233575 +1300506711 +1728511306 +1964110638 +2011751526 +91003258 +119643333 +233961773 +2088247627 +1388327033 +1879051601 +1087973118 +2100967580 +569404273 +1790835266 +756980658 +1238740016 +524896341 +2133551884 +1938494102 +55869003 +49267988 +470382298 +96214089 +312859419 +1985024021 +1702203027 +529394549 +127093448 +1636076339 +606548716 +1568327023 +789099403 +187576374 +1384954013 +653367281 +278579632 +1504597347 +887329055 +219343612 +745440732 +618897008 +1307316730 +698924664 +1188301281 +950668348 +1455905323 +279557649 +1475564690 +1441973559 +70568103 +1531433693 +1491241547 +540950401 +1627647782 +1804100966 +378490775 +1182367161 +186011867 +505584223 +670959853 +792560583 +2073911246 +1460059256 +980136957 +1311381612 +2113426537 +1258716590 +668495311 +853271944 +1478060202 +1413936043 +1472168953 +637893284 +2112860707 +512986586 +1588561633 +1421282382 +792544236 +916642675 +715772293 +863112339 +300592720 +59530192 +1404062741 +1928240503 +1863631158 +1782553516 +963124016 +2049643025 +140654091 +1634083869 +694719960 +67081689 +946659477 +1674856918 +1378463301 +912602367 +786089860 +2046958612 +1765874311 +116666414 +1313411007 +1090559616 +754559698 +1278788067 +1603546203 +195637683 +552586801 +248606791 +1112280358 +1268359095 +1111719130 +1412873079 +1327889287 +368298223 +1193629934 +1044036798 +3368091 +9270302 +946196175 +144022182 +1643354172 +1640916136 +211103872 +442530001 +1168289406 +1589567173 +1355132368 +1954379266 +1489042138 +973523032 +2071045680 +654969497 +2064082648 +678121730 +1933757564 +1520145203 +873759414 +338860718 +1768751994 +1986039772 +1607219813 +732987477 +1251429203 +787625452 +1101285700 +297575489 +1831662250 +1104653792 +306845792 +630374778 +1248675974 +1950199964 +123807266 +1459779846 +245246317 +1292096672 +901863372 +1600378686 +1098992290 +243421862 +426418070 +1022554322 +898391359 +343017070 +1700676052 +684665276 +1863162274 +426951818 +1023525994 +1484430620 +265507943 +483262159 +69934449 +1516937146 +1270887611 +1171220150 +1814512636 +955066214 +128390294 +2121358428 +1585440992 +1377066268 +1924074744 +1709248258 +689362467 +21837413 +853861282 +1591225839 +1622216099 +1952853572 +1834647701 +2048634169 +827924246 +585555412 +244167592 +381116650 +1270220688 +2107329866 +808068469 +146263034 +1444276838 +1073576412 +629525193 +1514211288 +443029910 +1900412805 +537947790 +110058898 +707995371 +666338084 +83933678 +145952715 +2043404352 +2008008422 +1855200973 +585283171 +2029845836 +561578607 +29025362 +1504578287 +366948531 +1863673063 +1405728809 +1194872777 +301744828 +1649896401 +1575989427 +1571965516 +1609742619 +236574248 +1718228551 +906535809 +1310150660 +200270096 +273263449 +1753180571 +2100682901 +811211239 +1863239469 +661194624 +1477549323 +1947173148 +807147339 +1373470028 +1807697922 +514864664 +1958753199 +1690060110 +1076443271 +1987778562 +1047154750 +1443391802 +1703967977 +305399911 +490780931 +2005712805 +1955296312 +2066770359 +1430194674 +1417555283 +155860959 +1000939577 +176607444 +1466011620 +1201209673 +449870894 +1071708543 +1154408927 +1261082133 +787464364 +1815603551 +591147809 +587153864 +475267243 +1964617837 +247368139 +990131907 +1775887388 +1937428249 +2066575179 +1616182302 +837099351 +1362483333 +1172666632 +1142499262 +1853264265 +1030895789 +950311926 +1772550976 +313606815 +220383561 +1928411935 +1314546392 +396991006 +1246939907 +368272418 +846861900 +171164802 +1522681345 +2107944033 +958629167 +1190801248 +551608194 +1545783031 +1666068491 +368742383 +1793151170 +508716751 +2144629772 +1583095772 +427808282 +1613328426 +272711475 +1790291615 +638511410 +1415210738 +1496072232 +1669407200 +218039016 +1121139560 +1983014015 +438422578 +902067848 +1150076760 +835413584 +1524107 +1518349178 +1682275484 +172688910 +893546875 +1642735869 +1131318077 +2084348123 +46860416 +529617460 +1602932967 +415602799 +175284983 +2111649718 +412748923 +1758380755 +391974352 +2026077350 +2031092230 +34782319 +517105112 +1298819320 +1530854552 +39028664 +1516858337 +504510464 +2022042680 +1955280915 +1406578312 +1024635792 +643210851 +1408102420 +395501322 +178002687 +1580791330 +1289048197 +1820738556 +564625759 +1225912672 +1867598972 +1094243219 +681361991 +135718124 +1269528202 +645528061 +548467047 +880425309 +1037502413 +427060749 +764033892 +1072284733 +944165862 +2062853212 +455655637 +983194526 +1432227901 +960166101 +857753558 +1240025168 +219260766 +1882389350 +1883236019 +1627363186 +130407024 +2061238706 +1060670868 +1419455221 +1734493615 +1625296627 +497884246 +1454608939 +572056198 +1179246237 +1590327063 +1841584401 +1824774299 +2138794111 +574526062 +714793064 +418371212 +1338559954 +1787077797 +1362537074 +1253929519 +95249786 +198247953 +538673772 +1055415888 +1056001511 +1778698941 +1274676654 +790907214 +1514451312 +754556192 +921314238 +1428206371 +1815227060 +193285812 +1015216338 +1293040039 +691170058 +322341629 +1865096237 +1870416295 +1912668693 +1559196990 +1547706946 +1903979156 +2133723053 +115016363 +174866720 +1324799359 +1902094160 +1537403795 +431245230 +1997343947 +1735651748 +969919003 +905276187 +644169611 +601134296 +32469193 +1435076825 +2115585608 +787025385 +208907416 +1396308331 +454768797 +402193228 +264041021 +1747808836 +1093363286 +586382651 +1465421425 +816295933 +351567696 +877134768 +216519232 +108063204 +863374173 +331535595 +282929924 +40689884 +86146107 +1820333719 +471935115 +2083490054 +1408501819 +1441854118 +841282593 +2052671431 +2042988414 +873751786 +1340264608 +2011090374 +1660777171 +1549172024 +1259915058 +2115545968 +1951365252 +1523956079 +1715871156 +897244890 +2110338730 +1033808934 +1713540824 +314422778 +1910943702 +1930060056 +422485982 +626834227 +114112003 +705415907 +667524111 +200258110 +378265978 +1139459226 +136264517 +1786767798 +433829696 +977547110 +1691955581 +329334462 +1851298897 +884736541 +192941189 +1364592420 +286424918 +1452856247 +1332654741 +90306522 +829328678 +901042249 +987551413 +792183761 +1934851183 +553608589 +1106606539 +1698311237 +336184997 +1529092522 +177661816 +450297000 +87024781 +845185928 +650555110 +465290759 +1984645154 +786819627 +104574909 +270991203 +1764366738 +1796530490 +600325665 +1468181987 +533783384 +793266854 +685290759 +820208302 +98639453 +2017945500 +910514824 +927968132 +771504102 +1898066237 +1720151893 +558871637 +304191178 +679274784 +109699227 +640376175 +60883658 +287361043 +1090673175 +147908439 +1132546971 +1741228286 +613199199 +969708478 +380564265 +717774108 +1240699681 +2144931003 +366820951 +1841025346 +1465629342 +900604335 +486808553 +3436454 +1720812637 +585448006 +2021381954 +483843813 +1513416138 +645402408 +234426403 +1086084383 +1204274046 +538617581 +1765359168 +1313973273 +1178993757 +1826242826 +1601334316 +122183284 +1974151266 +586397640 +1863411570 +439866817 +1556106118 +96492188 +1157640925 +649322151 +93939543 +1524461876 +342863849 +1559568886 +277582563 +829672402 +1563005340 +1998395200 +1415120409 +1436903646 +334755366 +781052899 +2082306055 +569181769 +1867137283 +1139096453 +1107799350 +1485012803 +305586078 +139309459 +1163771981 +1906920394 +261492744 +990439599 +345834386 +2124904314 +1430306416 +1901940504 +73912854 +440463694 +403779007 +167852398 +1964925570 +746642857 +1727421284 +95024486 +1576315259 +1142942976 +2093419686 +843952020 +432362974 +280691404 +1625004920 +367185381 +849873173 +1344658555 +1506281834 +1957672524 +682187710 +1811867912 +2096981983 +1845959691 +1571304659 +210991079 +688915643 +1917139045 +188411746 +2119222059 +1671595902 +262324600 +412202105 +2075374909 +430176998 +229644028 +674534118 +10114634 +324668514 +103365730 +1153057610 +270604552 +947317750 +1585420585 +551295957 +424839022 +1952605966 +1401169130 +1769497577 +1311404153 +1211358006 +304201639 +975788417 +1160856342 +2677683 +399609428 +1371847421 +691593326 +169264826 +1560259167 +663331737 +1840860728 +1822583768 +1075533843 +1768751989 +105277118 +1305177871 +295802460 +115391753 +1629846385 +399168190 +1268449363 +1900450937 +1346485940 +706386300 +304263246 +1771324963 +511508619 +1705432377 +1393338892 +1822912772 +769306735 +1697540532 +651217541 +1930163077 +1700218215 +1050826970 +1154526851 +244327893 +1220091796 +567302370 +907659630 +913468876 +242402490 +1983193473 +534737217 +347679609 +1140887696 +830539677 +463071362 +623250433 +1229707867 +1731520725 +376217723 +428710160 +290423378 +680480969 +52551475 +801931997 +238429698 +1445890367 +477361121 +1007736434 +995947251 +1128578662 +790415863 +548681818 +31921984 +1944942714 +793009711 +1252013780 +364761437 +1700669342 +17999008 +607163927 +1536379167 +552736226 +954843536 +529783216 +1383275903 +1417914898 +1153033649 +465500123 +1001951976 +1529251372 +894210283 +1292375354 +62248694 +946761758 +2094307351 +300678392 +245168477 +424184824 +1308414826 +1241115729 +1552763486 +2098830690 +1789797547 +1584685471 +1896289756 +435323611 +689215603 +113567545 +2135992953 +707214612 +720731473 +1524888472 +1259950838 +1675575009 +2054671688 +495743093 +946006260 +1060221690 +961243216 +1947958236 +441989414 +1855453499 +1092849942 +504238108 +654731609 +1039673645 +804916501 +899900087 +1463858469 +2113331327 +2141015816 +869138307 +2064678369 +1783329715 +306340130 +1813484478 +71169678 +995555734 +1927052023 +59678983 +1702770346 +500299848 +1584567456 +815237536 +28391210 +1491755496 +1310980629 +974397470 +404493538 +124740198 +774872058 +846482953 +1980193697 +1867722000 +1350721061 +487441659 +759911997 +8153914 +1387341746 +76286818 +2121485242 +1380873914 +945425125 +2038679963 +1016719981 +1251765256 +1704680793 +1087889660 +99837342 +1484249169 +1147568643 +1802607688 +1984549017 +584652451 +470361576 +2012940227 +2076407948 +1781342205 +839854049 +333417838 +1906082403 +1614726107 +1179900791 +1738792453 +1334964459 +383138205 +78750464 +2094876456 +391292119 +1466092210 +23679626 +365293713 +699482476 +969104752 +256490029 +1716202457 +73386360 +1961170822 +656608469 +173223702 +1297936343 +1804177113 +1975831390 +1135001713 +241345916 +298709318 +1000458292 +170270216 +2080051523 +1840312342 +503688055 +1838650279 +1307554801 +1683588846 +1429959084 +495035613 +2066727051 +1508709548 +442428421 +310535523 +827318110 +466108048 +675829236 +1526800586 +1435212800 +932319265 +1095519395 +1508599160 +746006440 +1752127865 +1681822862 +2043942783 +1408821330 +1510170604 +1031460848 +1650167246 +1808879922 +2031919141 +1820437463 +1741447797 +1724747835 +176641870 +1432614428 +884818988 +1860230716 +715089864 +1379854601 +1779474120 +76315764 +1822283023 +2090009643 +903633874 +140907423 +618355231 +282950812 +1576120223 +1550674497 +1378470208 +937235735 +149197289 +983114425 +471574949 +45656424 +244452107 +1981745553 +1077117273 +1894619353 +1643141827 +961552766 +1567573168 +1237105976 +538816953 +1744215038 +522236757 +1423635941 +1456962107 +1237326621 +656006895 +1088952579 +1313642386 +330806270 +1031478574 +69792612 +471713693 +1649833805 +352743425 +2047833916 +1053024654 +1731213633 +837586003 +1202221943 +566844410 +1309160952 +1247878368 +811296517 +1143422857 +177511993 +558432222 +639081036 +1139064759 +2126005391 +1876187012 +1677881712 +1722736781 +250940121 +954034005 +1032215240 +1488266743 +1610040900 +2121167819 +654425481 +1940847170 +1005162745 +724218093 +265077215 +507512903 +1076961518 +165427483 +1560537557 +660691503 +1003013486 +615275853 +1227535913 +164690790 +1863154221 +2038832430 +1308113647 +2040666214 +449781005 +1947194683 +1032247325 +428302748 +1675898048 +562645389 +3555881 +1926838169 +1516679394 +1035771122 +1267621264 +979236647 +1009455293 +1922046745 +772600169 +2014618039 +498781191 +1037677385 +374647294 +1575742709 +1203104868 +1935184851 +88950565 +58634707 +402977056 +1316486478 +223325497 +118647629 +1207835261 +1531439145 +11830195 +1657616266 +1331150180 +1044077520 +2085919014 +859564580 +1606722909 +2089474895 +638919102 +975918656 +977762369 +1906540366 +1955155303 +1987217663 +1681103464 +580271824 +1854352054 +32401007 +1617949209 +81515700 +1608143716 +673570430 +2016700551 +1697094281 +732205137 +272193960 +866097112 +955530634 +390841589 +2073932373 +339486131 +402671785 +1584064991 +1670636312 +1446749305 +1522500357 +382717244 +905988567 +1464491604 +1021636346 +1881907223 +294770326 +780693065 +1689578878 +134504341 +314312881 +122367054 +1988856395 +346713888 +1740316264 +2070372095 +1954857604 +266403046 +1939588998 +1504468238 +998608183 +64299310 +223081702 +1954138817 +455140900 +149530427 +146141301 +857812685 +1733595418 +1816777613 +157078342 +1108612127 +52011209 +1063066909 +425620083 +1073647556 +797490484 +720390409 +1854340621 +339585714 +854894750 +21169854 +461952769 +696267497 +367883742 +54785385 +619155944 +175257698 +321188431 +411261295 +1679725936 +1319796614 +475560605 +1902807638 +1126451783 +930701505 +2052338065 +1272593084 +1788514190 +1638449835 +941887049 +1945592533 +599578314 +993898259 +861175794 +1025198398 +2067545815 +1658666279 +1745588807 +1774402788 +1998251993 +452999910 +1795572642 +312721114 +1149267407 +15972736 +367506499 +1768423352 +191230434 +688694930 +32200999 +1870956371 +2008491544 +507761604 +1626280361 +987459680 +1438463110 +1531134779 +112569116 +1079493652 +1022100966 +1054456166 +877602537 +1621679281 +2048354425 +1738778332 +499394031 +1968416592 +1249960963 +97499190 +1595335732 +1100729308 +550499100 +1243424726 +1413450423 +1699766508 +1259397462 +1780956922 +1320706212 +1450627896 +322168205 +1352907211 +1174100619 +183176101 +1860668815 +652897333 +1170635781 +1151648277 +36548464 +1283204898 +83658282 +1058649430 +190177416 +961260819 +532845063 +91048193 +552555503 +1032239094 +2059464785 +1802516466 +1129738285 +1507316869 +755762127 +1680237385 +603257947 +21728902 +1232520245 +1862655409 +1802685824 +405742809 +1165799657 +2124854029 +1758650020 +192416629 +160546483 +1471835188 +845313962 +1331182264 +475999817 +881862426 +466903514 +559658099 +1940511856 +657080930 +1520918919 +325873272 +748129123 +2073474422 +1358112366 +660110260 +1728507241 +340367003 +19943481 +336785720 +2020604389 +623201428 +358514622 +1105640986 +338373189 +13716798 +1511383796 +1504172847 +2138570828 +1122550168 +1696589476 +151633663 +446901708 +394419790 +1482815927 +922901526 +1276282216 +1949719442 +1482559625 +1069310424 +459316724 +855994896 +1395183696 +1207445848 +781985671 +605812415 +1867556108 +363009264 +946179418 +1887499590 +699794984 +819300159 +363217370 +1058309606 +1924941146 +701590560 +1072026404 +1288841294 +58279759 +1063113584 +263907814 +1754869235 +1214747247 +710809523 +1805377 +550079527 +1633711049 +1278087593 +352315321 +968787026 +199914369 +811632045 +1824781923 +1595098066 +2019077893 +459283946 +53426833 +1739150354 +822293210 +999606251 +1479166296 +1522088194 +1818906411 +1842383666 +432914152 +1596363909 +396490578 +1504940556 +737721555 +454770337 +420570493 +1001629369 +62155924 +1635317740 +1712438892 +63961301 +37913619 +1198666293 +1342048894 +390228940 +19969672 +1541963264 +1201860986 +1844751595 +989577682 +1073455231 +156551893 +1043004515 +665121937 +978845103 +2042610766 +2144288233 +353449649 +1714033529 +1839188252 +786363801 +1162913790 +88195182 +143820709 +1900635345 +542965520 +564391202 +754781067 +605121444 +52225295 +319736311 +669082746 +90138914 +1518402605 +2011131640 +480367855 +1538372277 +1405611256 +1682228841 +1235640224 +247705290 +608200424 +1392192117 +1290709805 +1273322362 +223553572 +1185836924 +1270126947 +577003221 +752386805 +961831551 +1363367022 +1915300596 +1050026734 +1507187731 +1668452293 +1592992254 +2071578934 +275749712 +50630050 +2123804229 +595486024 +719712796 +66459495 +2113888629 +583360789 +546827350 +1504777258 +1988972045 +81572543 +592933834 +89193688 +689772968 +1985125951 +1379903493 +1963095330 +61195875 +418256769 +1085738629 +638199096 +1170643575 +2047570181 +2001566118 +938460523 +950113267 +1361270201 +459429168 +395621873 +1285365487 +735178881 +446251923 +1261686068 +1330664905 +1165964720 +1328145564 +1297069886 +1749325509 +1874972914 +654363496 +1590813906 +1956545458 +1247297330 +1680007594 +498834778 +1084939633 +912427440 +314446460 +1146135508 +1330684209 +1400185089 +1784334604 +353844136 +1300271622 +1638417074 +1292304659 +102901241 +852203627 +1751733828 +498523114 +2137569115 +339429061 +944775038 +1251771535 +1670093966 +2110739758 +432433451 +819680204 +1712581619 +159922718 +1474043700 +1155911877 +2116468176 +573857382 +688435824 +467819306 +1658797015 +1600863264 +782265766 +657448875 +784063825 +34967207 +294299831 +1137907962 +1335238830 +1932716905 +282728973 +1438140071 +637436884 +2034462801 +1936663186 +627522351 +226408214 +733954576 +1879293887 +1896502180 +697210686 +164243690 +568698736 +262308657 +324166408 +2042742436 +1418220534 +293150936 +469116170 +2106656358 +760970242 +2127913185 +1560035974 +1543236008 +637878412 +196616152 +1578203216 +932178243 +1334524114 +765958398 +717411500 +1617253087 +56614821 +1354848385 +1504232241 +1993278007 +1982370736 +1730640455 +579748935 +1714180975 +1479658988 +1276959621 +1878424666 +2048357724 +1539268278 +55107426 +1943616513 +810005165 +348258363 +265249035 +769177875 +1109228605 +245678573 +181730202 +504980966 +883556985 +378346354 +2083184182 +1815735229 +1712870468 +701658932 +385663081 +1182639907 +758273753 +1740511466 +539388500 +604068113 +1575398555 +122545308 +1183817048 +1142095882 +1602204296 +313293022 +873036900 +1503078372 +1852561300 +928144327 +1299211237 +515082817 +1276402690 +1564460273 +1284260693 +238147647 +1810138846 +1465990895 +743128613 +546212183 +1844337249 +678829147 +214463764 +1409724069 +1380488079 +600126846 +444880328 +2138761833 +193154664 +984268829 +595346298 +1768553219 +1106814137 +1779163346 +763165454 +561534785 +2092456368 +1636202354 +2064613157 +1797534021 +416863033 +1216340747 +165133190 +1693265723 +633317372 +1449393883 +1931413371 +295972570 +767901130 +527058336 +842184753 +464754731 +1205887484 +1056648518 +1874478800 +438891915 +1656775364 +171875481 +430170100 +1849930028 +1156144310 +1025516398 +1470999600 +115474799 +657196097 +86681406 +677009584 +602168817 +1722883760 +594139093 +252219190 +2139746794 +1810479840 +417352381 +1685528869 +296313564 +1866746264 +1469458592 +592286134 +487163747 +1996516929 +1434470888 +951918478 +1054920765 +343635758 +678913631 +1493812680 +2000411122 +850789112 +1923982781 +1702857502 +2006933422 +802015531 +1026373454 +2122408221 +1459211628 +1113054860 +651934157 +2061380446 +688454973 +1246073250 +166115988 +680718119 +909069443 +583468369 +218763340 +1205383007 +302730986 +1688221933 +1797669142 +789894733 +1537255214 +1084656382 +1741813211 +444692331 +1428292140 +273243194 +1938505011 +1281219614 +1124032306 +1715004144 +836593468 +983482080 +369536028 +1862966923 +958406653 +1828747656 +828538135 +1610340810 +1742644454 +1516993108 +708930413 +1908760443 +50227579 +1617999856 +344745164 +268990920 +675899215 +647476150 +1957212853 +326084709 +1437370883 +1346984419 +1410741091 +1031700447 +1791676750 +691549583 +1304943641 +1582698113 +1972769197 +281492300 +1150218610 +661879018 +1264974380 +1519754638 +377362293 +75897386 +1201018646 +1205900428 +1686238196 +796179453 +575409889 +247684961 +557456248 +625637468 +1865684817 +902201412 +894628388 +394100385 +1549677563 +704357593 +720185094 +839564798 +2051342012 +2130926186 +1871265245 +1695535114 +674992121 +1028725239 +1130749580 +500277671 +1310217539 +133484542 +1162156689 +427708271 +1653239180 +1539518982 +503605657 +706774178 +597935762 +42360206 +1502953631 +1173345651 +290045167 +2060409879 +1798983120 +8246337 +815127644 +546127860 +402346722 +217321559 +1250485454 +1122531816 +1056886357 +1154343818 +1105974354 +780667955 +702395285 +1780966476 +1809393194 +1833144865 +133760499 +972127085 +1966629407 +1295917188 +1399835356 +1472384939 +687952522 +1903441014 +31675469 +1285888284 +1945801220 +1534629101 +311750288 +88362739 +1447555332 +2110733408 +96609076 +115199328 +509377620 +498955798 +332520887 +1759863074 +1621487615 +1389407245 +766723245 +579978321 +22591552 +1469118530 +213461149 +1831984746 +1154779747 +347221648 +656628183 +973925506 +1643138836 +2056463539 +298826797 +183607710 +1812420905 +330502266 +1469495995 +1610738477 +1865131367 +1781246283 +1699101217 +1165203052 +1744496043 +1795710293 +1280402380 +106390015 +147182444 +1612923268 +1866253090 +1768670059 +854846865 +485492687 +201164732 +877438417 +1954611217 +414625882 +561939515 +961907316 +761847530 +1218567698 +1935832822 +257502719 +1127547589 +87175971 +441110429 +792484847 +417678237 +1910606424 +255739676 +135325957 +1544369059 +1954840893 +1300529009 +1141381454 +1603067539 +433447741 +1247771470 +1750249983 +2046371009 +966540912 +1371436394 +753734226 +1452033599 +1572601126 +1631172643 +1259161168 +1987227008 +45628510 +73584836 +601590891 +1264196208 +2009417658 +859093610 +244260150 +2096593629 +1300204039 +1036744997 +366788218 +1063326816 +1292484673 +502114175 +460212227 +1099841919 +1802643184 +1601593682 +555425810 +88607278 +701881504 +158192145 +2134978287 +1668422416 +1529628539 +741228866 +972972367 +954746017 +224917861 +84649887 +794489378 +270546372 +158234723 +1396080269 +1534742580 +20168733 +107690231 +1779002730 +2116762362 +1407894270 +668264079 +336066932 +323737438 +1960748753 +838181108 +783949666 +913107024 +493340644 +238059700 +1468532834 +581947922 +939941204 +1626724979 +569442562 +460879972 +1008869870 +1310671428 +1433852339 +1963615887 +1535589289 +1518502226 +610621617 +1806135661 +1676736949 +2006701886 +1193394594 +1696905682 +2114392117 +824913676 +1666184396 +1374802740 +1493177756 +2002251328 +1698540178 +1306442861 +692948788 +335006196 +72066237 +1186289433 +573065896 +1540599071 +1768237355 +1513007100 +1019840402 +190196269 +1973887072 +2028710272 +1500867697 +1260255763 +1844842511 +888973339 +631274341 +307980481 +547625352 +160527642 +167198719 +1741019946 +1857433324 +134107189 +418449975 +1376134072 +1508909929 +1911627731 +1230901753 +1059966459 +1070586944 +1923850541 +1394972656 +1142653181 +962656326 +1968038552 +535768604 +583410034 +1333562005 +1555609006 +773606303 +1159965429 +1436835630 +126990353 +272737545 +1134194493 +1015963692 +904011886 +1442174974 +1563589044 +1064539529 +1609373694 +1157125343 +774489205 +1743480883 +1575575318 +3139630 +1104907164 +1339719401 +1234041383 +17389975 +262822697 +1010408276 +1412362631 +1405475878 +1973064603 +1232917536 +1941244482 +408990989 +418995893 +1349369840 +1182597292 +1578961322 +638721822 +1309587645 +1851698867 +1772916315 +178067689 +608227106 +1067607642 +1741656734 +1672766635 +529497688 +751298429 +299772192 +125494923 +179390099 +302911822 +1230402087 +1519109500 +1536953205 +1247792062 +1781932197 +399877834 +512671046 +1039924427 +225458789 +1745588582 +833685261 +634449778 +17100827 +35571453 +1817047070 +1596062149 +674293275 +979151068 +1300277369 +299725942 +1157218757 +1908504475 +1367333584 +751391843 +1433787462 +1896831272 +1502690272 +1733559654 +2022326195 +1682080371 +2036471477 +1105244634 +1053706223 +1425941034 +205553049 +688154772 +1825818868 +718224095 +1728079199 +2051277657 +316329029 +414280812 +538243787 +333429856 +449852265 +207807210 +1929492005 +1124145540 +1186958278 +1082285726 +1423871483 +196693387 +843306553 +643721419 +948085231 +129610367 +393069044 +303291855 +1863170022 +267911591 +1985372227 +1752157851 +1373156226 +891594802 +1030615237 +1578709275 +1579749575 +708950458 +149449722 +1160345126 +612744467 +465778751 +1574625939 +1150988255 +799208607 +2024478204 +1358795465 +581216964 +1001140097 +398270095 +1663502691 +277527932 +594963482 +359325596 +921249351 +1543048713 +488935964 +1314318395 +1846340569 +204622338 +1582229987 +1684229148 +1956780189 +807902565 +428340302 +839911778 +239128192 +2008089877 +1548862236 +388577914 +1020951356 +14123056 +854356665 +448093647 +1165111311 +1653565272 +325088203 +376423128 +87298588 +1326228300 +774693223 +1750801279 +1603756232 +1369656705 +2110126876 +377521936 +765221771 +451579192 +1691840331 +464078692 +656201530 +1126586670 +824192 +465498071 +1934489235 +429164494 +1305409849 +26133779 +289770724 +706788438 +414711693 +1310722080 +720911494 +1269068358 +1758815727 +1886022805 +775149982 +2083903930 +114962285 +862448571 +1262648583 +889655508 +465766202 +718921167 +111828565 +428409430 +1096443103 +877050336 +879988622 +640799787 +1341129028 +1536190152 +1767386457 +1341953220 +2001688223 +1554392045 +1771117715 +1159614425 +1580525824 +2060888439 +1866402863 +1995237518 +1224126871 +439830709 +1116822228 +835458950 +178369866 +1891972211 +771879232 +293332151 +606937134 +2034527815 +1182987659 +1072703336 +605965335 +1294816224 +1501112767 +1702408438 +24382913 +233617741 +195724577 +1365511941 +1769807894 +1963111035 +559981514 +1624012469 +1370019432 +183615581 +636143246 +803061608 +97020372 +355062461 +650815478 +1321147243 +794893170 +1767637707 +9122545 +973263036 +1512126270 +781001777 +1266595187 +2119063404 +668045945 +302099198 +1044283092 +1274011280 +1596915423 +397912211 +828936070 +1621298336 +631529953 +1024660648 +839326629 +253854199 +840288035 +1399308143 +1877866668 +62823819 +1582923724 +366526267 +865885427 +1679944096 +721588728 +1516700906 +853607691 +1516481899 +1136854965 +862730236 +342261287 +501497587 +1643732014 +1608856475 +473077343 +164294311 +1910955673 +1517360435 +1438305591 +1360387448 +1915272647 +119758013 +834202136 +399318952 +1144418661 +1673528766 +653173151 +1984706696 +925353261 +383556171 +2047530515 +360793338 +750082438 +765932295 +2040737434 +1471671167 +135149553 +746861478 +840669418 +1272004518 +1609591714 +1182930705 +1773502105 +1105840080 +644303532 +99095800 +1270134391 +407775558 +1616456235 +560956334 +1768163006 +1384245234 +680714348 +454881495 +1783564186 +1825133009 +2128410261 +289253689 +1662356058 +906279874 +672809861 +1562402925 +1267073212 +1422892299 +180851572 +1160326999 +747079818 +316001125 +1907188477 +1587749236 +1588005643 +1369296543 +623196294 +1214024100 +327652976 +1267499826 +1313119900 +1597787367 +1675275384 +782092488 +11260054 +1295954743 +18854074 +691974402 +1750836238 +1802418261 +369623763 +1731762851 +2091671950 +2031979821 +490559077 +616998163 +1446899099 +1757632290 +2039890463 +1627750671 +770475641 +639486633 +1943751797 +530180470 +79752222 +1384273792 +1899477013 +702948516 +450814245 +79646341 +1970448342 +1763934145 +1677433709 +1498240079 +398542985 +1688693763 +646711174 +417397060 +233184517 +250063764 +72331673 +602808280 +1981826615 +16519975 +487304454 +324902044 +633518139 +1934203553 +2082534334 +525924954 +1414470576 +705526327 +1165411587 +1210738725 +1235706797 +1245163809 +447528870 +987700163 +1948112325 +898343115 +1067346504 +1771077020 +514793612 +597296565 +1121833451 +913336598 +138506680 +1768544625 +1330733658 +371691197 +2018608389 +1403065331 +974499478 +1852951356 +1419585306 +1461803932 +30369752 +2053103445 +1248523837 +2112904087 +431544751 +515510765 +670946766 +1596956339 +1726249491 +1906653564 +694636500 +26294713 +746870079 +495265178 +924637828 +1814216583 +118858550 +1439431440 +264029501 +1240692001 +205284390 +402536181 +861752978 +1536018048 +774227379 +732877719 +791599731 +1748726857 +438345427 +63701390 +1063047141 +468715179 +2116804835 +164087330 +434135618 +400865939 +679598095 +1105082385 +1997822278 +258363938 +864252301 +544975130 +284658651 +1611122380 +1040240308 +1209296479 +1277855315 +1159098858 +501244272 +1541884816 +252307211 +706528662 +1944420998 +1114060189 +95063063 +571164729 +1846937908 +886662794 +172407938 +137799687 +950364184 +1235455079 +606514867 +919685372 +1399542409 +1040650485 +1320551311 +2079140504 +2145732870 +1170889941 +190020795 +862501523 +1715865071 +474679446 +326140255 +608621732 +1683975926 +1603995571 +1767720590 +37736550 +998396739 +2020027802 +744265212 +795334089 +986604343 +839328275 +1366498818 +686058604 +1725991070 +1538906756 +823858291 +528871606 +626878187 +1430373158 +1448556978 +2026420596 +323539996 +621624641 +1958077453 +321789218 +1792514582 +614600 +1184290742 +1360896006 +475294046 +1510430997 +1969517738 +11786324 +966942920 +1589754680 +49522874 +1965339660 +1462298834 +793788087 +613190101 +301419530 +1633116362 +1979688920 +987478134 +1211623784 +1371112028 +1811336425 +1740495391 +1997990216 +1094225936 +1041568721 +1876927164 +1417765932 +1663193363 +1687520969 +1739555150 +1308224297 +1688135569 +776362244 +521636655 +15945968 +139309594 +343670745 +27732292 +1106252514 +1933425426 +77255167 +924108526 +1248240612 +871043254 +1537298628 +1549660142 +356675968 +1369503900 +389654628 +1568299753 +593132280 +53507406 +1161311496 +443638848 +1147733342 +55396569 +173082365 +418015626 +1718589932 +1860603334 +10087128 +879330582 +1401255256 +786449373 +1400967237 +1417201224 +925758967 +1744637983 +1444933516 +2032011481 +1530579761 +1522188683 +808636360 +631336725 +245748289 +198451340 +33513220 +602424258 +1567955240 +423167848 +23240363 +13603872 +476675254 +1184551859 +457242721 +1624408596 +1239948428 +630325086 +2042424222 +811054713 +343444772 +2052511351 +1690385295 +1744700028 +691477076 +943868884 +1014417604 +1617236043 +541023219 +311867473 +1501763876 +2071602980 +1834056156 +162916588 +555456058 +2079804446 +361367928 +588969278 +534745056 +1929323168 +1012137126 +557985419 +1942927041 +1488812381 +1742537278 +252686114 +965737329 +835002058 +883011200 +860677904 +1646056771 +1226455972 +765705607 +1188958418 +823672353 +1457182683 +2132827303 +1838089957 +926935078 +526366874 +2473782 +281215306 +450486207 +1836529939 +444131895 +1005942265 +1768850737 +805499823 +1594911543 +156112145 +587339344 +459565021 +714097564 +382782737 +1948377402 +309151194 +635468851 +766631084 +1144153252 +1518480051 +1627308988 +642726376 +597452375 +245530947 +1831684794 +1421124728 +1702713630 +1817028449 +1111731038 +482165060 +195911676 +1114204820 +763380366 +646397883 +803251111 +1207512261 +1652340148 +424618200 +2013012085 +1099768043 +580730345 +452867781 +1559333064 +1294827909 +835650518 +1360226819 +1603979103 +1471119369 +2126857903 +600648708 +842115772 +1606683243 +1243375084 +1439568147 +1852214190 +927576230 +713209228 +1407444172 +597121032 +1824940266 +1889609232 +793032708 +791661438 +505505950 +1439430591 +1594912550 +1713018212 +944287091 +2019530750 +1578546649 +2044055134 +452777448 +2031414430 +1455904550 +1747605357 +719581300 +668647721 +1204100813 +43217021 +648021976 +1804749521 +885332793 +107221571 +900640957 +177417292 +1959435761 +1828217187 +890626520 +1219396285 +277854571 +568083138 +961521869 +1070887279 +1359744577 +1467027820 +362834222 +807173479 +1032562384 +1307121313 +679220581 +463625385 +1203692799 +1131998029 +347556167 +512113702 +732119739 +1067137467 +1180761423 +1936220552 +1110354488 +1828783400 +1593486425 +1995687281 +1936004971 +346643734 +25620925 +1747957085 +27377273 +916247446 +819869722 +305231845 +1484330584 +1781391592 +1376119124 +696591513 +1100935764 +1738953347 +1503764992 +2133498148 +898591012 +35501926 +449639885 +2102283812 +1167499955 +797196052 +466913866 +1899619694 +1864333519 +1647675289 +1688356598 +827204359 +1328975041 +1134359375 +675407992 +1117496365 +1481003109 +701028917 +717969802 +1508380383 +1617276363 +1537839524 +1813612228 +954123300 +1171747468 +1042247704 +1650714813 +125199584 +633717403 +1006996158 +111214084 +1532308416 +1042498084 +560853969 +1487108580 +62514391 +1358050021 +1954022446 +1962134086 +1074899892 +1454214087 +1503007036 +1902104251 +635705481 +489882764 +430028595 +1753201846 +1970885873 +1131057513 +323688000 +1331782608 +600850228 +1861527524 +997911188 +1554973528 +885791345 +2040158893 +1058204694 +1010990929 +526392648 +2065200852 +1122205014 +2058701064 +960215288 +1683058983 +1398325996 +1022729679 +893625357 +1204864794 +837380117 +1968525249 +511595234 +192903506 +1723145853 +1147300715 +682786270 +5690800 +753018913 +506188495 +1136748313 +1076706913 +1837971104 +1737598542 +790750789 +688398644 +1145088422 +1676542134 +581073889 +55809468 +540049416 +1107466538 +2121010320 +1662254430 +1018683954 +933741960 +1197829765 +269526303 +1956471640 +2091455122 +1474391097 +646368109 +1912496724 +1985986331 +839271615 +1488158929 +985803398 +1522057885 +1493849729 +1738822311 +2028246381 +483114395 +668045576 +1718733837 +73229289 +1458796366 +259648833 +1218317711 +987854852 +840722723 +1274127180 +1527904268 +1948189261 +1247653852 +1042675050 +819389567 +33912165 +93021168 +1088915870 +1990383805 +36992642 +415823320 +489268266 +1949489366 +254326003 +1328539882 +1290164647 +1240129402 +703114119 +636530729 +831468065 +583876852 +1119645124 +1499513642 +155127041 +1192874413 +810826360 +414775875 +263708476 +1798681212 +1255498598 +1537835656 +1179101833 +1056204211 +638005861 +74293235 +1875593778 +671918026 +167314403 +817026001 +514818183 +204307046 +1232849321 +1004086449 +6312764 +1487175324 +185142683 +1296477412 +579821078 +888256803 +1933008141 +1411289144 +1472133655 +905169617 +763319138 +1627260697 +2098044030 +1574145498 +2042036572 +214268858 +1225343062 +1150051522 +1752104515 +256961247 +58772085 +242626728 +331254483 +1934365863 +914544754 +498568886 +603908216 +1429362937 +702875932 +1836757537 +285965738 +709188697 +1176449214 +471108422 +2005666109 +1756270292 +1359365225 +1791190602 +1020075788 +684015232 +548876571 +1783394926 +163792281 +499436953 +1210056776 +58345205 +713705811 +287916191 +1208396727 +318326678 +544877438 +1267168812 +560953406 +876131921 +1054051028 +1475498160 +1374700808 +1657959244 +757377449 +2077576740 +1347233134 +1043343188 +639281789 +376198700 +1514451610 +497464250 +2132468992 +726333187 +141171204 +1005061133 +1410348419 +690047775 +640972411 +1574140701 +1189484728 +1851029188 +1632485906 +1903190540 +2138945379 +693398986 +74033570 +536339169 +1960567798 +634986977 +1412471091 +867135178 +2110485137 +639688251 +377610775 +720378939 +569781343 +1724843909 +1763722127 +1209063133 +2101042609 +1130690089 +1706527383 +2086027953 +1857023276 +1847698588 +943605438 +1119888047 +390262715 +1584577850 +546545100 +1579747444 +1288123390 +31547359 +1335454336 +1279585121 +724946345 +1409487906 +1815924290 +538030495 +2044474883 +1080911733 +1405165674 +2007476373 +1720599984 +1782776449 +580371664 +142897680 +1360136710 +196610143 +1351960813 +1313695671 +1327300232 +911004548 +1252239976 +1036839860 +611219488 +48361767 +9244259 +1001482204 +1632939617 +555789360 +433746000 +773579359 +587336719 +1769200336 +2053164480 +1312283064 +1031204594 +1721605122 +1850313559 +928195830 +655033208 +1107995585 +788188555 +228149544 +743288386 +1368560219 +371047224 +2103425096 +1565170362 +1723008037 +1269637119 +744986946 +486528938 +374393448 +1781826806 +1097748426 +422755215 +1791071065 +2099230630 +2055694832 +199376777 +385492982 +681790543 +786713496 +7209670 +587471375 +2098996560 +1038414265 +161592849 +1801826472 +1966610095 +816626057 +762338409 +607315002 +1044775602 +1505626796 +1975875221 +1415822826 +1461568244 +1393561935 +991347216 +583721716 +2138548881 +1477876154 +958115164 +1772892039 +428140932 +1380870379 +1416479456 +379887915 +1289081563 +1615856234 +765380897 +1970872106 +255086082 +772590568 +410859833 +206598995 +1811004833 +572452682 +2008425467 +1630131280 +1389078740 +623280228 +89962634 +286370694 +2128907024 +2065837855 +1702193520 +1442991621 +1311916142 +546057088 +2026713337 +1302981375 +2023933242 +837344853 +928389766 +304590527 +70731584 +197385574 +684478442 +1359813147 +1813241808 +1449859339 +1183201605 +2068327891 +74966259 +1594061438 +127443238 +1885971092 +19030472 +2135868705 +1368618724 +1408109212 +611665285 +1458581358 +1694479906 +593088662 +1376935565 +1249189779 +2036080283 +541368059 +1795246867 +1915309972 +1844349434 +1671696462 +605171177 +625255552 +1976286989 +675902761 +822641127 +513281783 +2035715908 +488399287 +1963141122 +1071433865 +409243530 +2038107382 +518011655 +536686768 +1776594826 +537042127 +525071825 +997729903 +1945151340 +1136737111 +308827613 +1492147598 +1729825773 +1685763179 +593853729 +1618422408 +79647590 +241616949 +1386248732 +1923997025 +1913313411 +1991419909 +401768929 +1742116752 +519839022 +1224410056 +107914887 +408071282 +1712809344 +2071056009 +1479505147 +2122052874 +1961679743 +1997516802 +511255995 +1590790922 +387075281 +1036327820 +441037177 +184742973 +25581283 +749864790 +1676890572 +1755407056 +288144321 +123260653 +1226345816 +367791912 +364877602 +465110900 +144305289 +130707365 +309047161 +546074218 +1872824117 +828886183 +1770484275 +1980739004 +1236957465 +1335809971 +1904311366 +568978964 +1310379197 +1718507461 +419012118 +1821635192 +1161814735 +806087400 +710479365 +1602851912 +990830373 +736060648 +205233055 +520237297 +343984057 +493377376 +643497951 +1570329873 +861169288 +1008375553 +2035440774 +1005474577 +1139082919 +197004287 +1551548796 +864423388 +1025890471 +1174549423 +697678745 +115364288 +362875746 +454506463 +684343253 +1673254943 +25530276 +1103355371 +1347406488 +1187345012 +1909442771 +2057885853 +642713276 +752789497 +646462853 +847946331 +1273026794 +990446910 +1341323708 +1916524745 +413293136 +55009348 +777416651 +301250262 +1060483926 +1916499570 +498254549 +464549074 +633439310 +1524145020 +1639098497 +1331118055 +1639509309 +2001974243 +1785624518 +176368914 +1527745538 +1811154795 +1279724285 +727668378 +851016159 +1041683409 +638070583 +1493729435 +1794472906 +1284533437 +194192119 +920016052 +127496699 +1535515827 +689057150 +540789835 +1590525175 +1466473801 +842040097 +503525453 +1235489723 +1340294647 +968074527 +1868929033 +716956019 +459689376 +1052563441 +208981680 +314179971 +690704311 +385350594 +1841925510 +354375458 +1665074880 +422110240 +1205391617 +559274641 +1060180824 +551637405 +206263899 +197230613 +745829524 +1126279951 +324727312 +133861703 +1815337101 +865517148 +1724386878 +1134327254 +1707557245 +80428684 +222333329 +900368244 +1048503211 +2091262363 +1617324264 +1508192588 +996342156 +1826305944 +1822372559 +1687046467 +64172891 +1516814421 +2041421926 +1729247771 +1938924662 +1099329895 +141038764 +851621838 +1650967300 +347302663 +1048852451 +249313176 +1473582614 +1373579763 +383174879 +1141436068 +91613263 +2107561758 +128279674 +1799170509 +40506794 +350613004 +552055105 +1089010005 +294391719 +21895721 +449718945 +1290733875 +1848201666 +124607857 +830296694 +1912374557 +1641422278 +724234972 +1494138680 +1432863292 +1823564868 +1635177444 +137001482 +1327048520 +1982480107 +1185853933 +1576361697 +1308579073 +411950049 +1959536576 +302531493 +503563312 +1919614686 +430811168 +155250173 +1960121480 +781424172 +707305279 +901647838 +1075815891 +729201000 +1351366783 +219066118 +429919018 +1475974640 +1049362812 +194809927 +969913271 +1773597785 +1688948607 +255292915 +1449679005 +1176642403 +392294398 +629243877 +1011638862 +1578148331 +58121926 +172734288 +1990098380 +2017658503 +475265781 +346178045 +1789789541 +906076949 +501428218 +1602427374 +1687501121 +1208733497 +356591564 +615833364 +1937934498 +1707958347 +834899482 +220369868 +1036449340 +1884262295 +415179796 +2006362611 +1510376432 +2104128403 +114171878 +812571789 +1133287159 +506466276 +1441815666 +2144926021 +2084614608 +1499937593 +170176661 +1927229340 +1370112448 +645442443 +125923737 +1012418341 +1551519392 +627351956 +467362067 +1091536866 +1836085453 +823953631 +1707370230 +1626536303 +384428331 +394786065 +1846906172 +1420877671 +131564712 +114602320 +1279756634 +1641941144 +71247075 +1393928512 +307029285 +1204534234 +1900394789 +1748844951 +1201976608 +1837525749 +1101298896 +1372153269 +1617271441 +323927696 +2017595712 +1743195179 +1336346038 +1421631457 +223063487 +1803708105 +365684675 +2059148940 +480178089 +2073054905 +1538201596 +864606420 +320357322 +1237624120 +138000443 +451922034 +1352226440 +1417757077 +2093863178 +1423473515 +664201941 +253408815 +480524102 +417113082 +2002253767 +1682500710 +107155183 +956069015 +907170331 +1724426625 +1279996712 +777282396 +1320138156 +468859102 +51430205 +1543201643 +125083559 +417114880 +1454866935 +605261648 +342686137 +845584883 +1469868068 +663043460 +2083209003 +1607868511 +1114965494 +1287951795 +878141940 +1061345025 +563941663 +1542343882 +1314753840 +1044465765 +1959456964 +1169523959 +579482827 +2066612148 +2125592975 +1486653158 +1643555125 +1258106039 +116451906 +816209633 +1726965141 +167882111 +211927628 +1852048700 +584996991 +1666794563 +309826701 +927683129 +364895799 +1779694769 +1590726589 +300621154 +1240079633 +558208435 +1588572950 +2118221573 +1619553460 +5030965 +1513081807 +786823653 +1049496730 +1325055124 +1956347612 +1628979557 +1244183624 +1934456939 +968149067 +740255101 +1045079330 +1084600974 +1556464734 +624560823 +1252483085 +1768392362 +329125876 +1837480077 +1287703277 +638952577 +617679558 +1652599076 +271163698 +60922499 +1953220231 +1511243331 +619130934 +1394309533 +1481981257 +91200747 +1399340498 +847579416 +878024400 +301353580 +25150892 +686888364 +1930333137 +1269334516 +473861656 +750998556 +2009589617 +1518940986 +1835599530 +1418570703 +2143501810 +940598968 +1039479417 +325144038 +630595397 +179699047 +964096615 +1248274955 +1832298123 +1235260313 +1309197454 +1638034706 +599019997 +1928328388 +884860591 +2081001254 +2019529135 +136717441 +781097022 +750069887 +438071021 +806247915 +1436958252 +220920510 +2075582431 +1910819908 +971919067 +1937688401 +1282277246 +660034949 +1208775456 +1278295408 +1600633917 +100771226 +1603439446 +83745666 +280470273 +420052413 +1332020621 +2112768396 +1655312727 +493734427 +1603319455 +106849076 +274579168 +340696398 +40366682 +146624655 +477413840 +821463704 +896694543 +915484861 +1627711619 +186169147 +1136405372 +1555810403 +2096989055 +2108324439 +1346015156 +1231782653 +620875740 +407306964 +362594414 +74026010 +508078190 +1966033860 +157771676 +788548463 +238602626 +1489792298 +753833212 +1893915353 +1983526725 +209669019 +2000764429 +110622245 +550365417 +2041131111 +257246901 +1027779257 +715111167 +1153941444 +1943264119 +195339139 +1340110591 +932185843 +1751149542 +1289615998 +893026634 +949681050 +373915003 +1513902374 +1356988014 +736509417 +1587928384 +1865066205 +555059630 +1745700061 +506131020 +793662256 +1088008711 +1259964232 +540093961 +924051788 +1469633251 +393374742 +1034674034 +2019998669 +287022205 +1291920935 +900294278 +1002133372 +298378731 +696074749 +1197472511 +1638489322 +1628260592 +801138405 +780621672 +373803578 +1750819455 +1154536675 +1887705953 +960323822 +1891046093 +1328150689 +677906379 +298622075 +926367102 +1184037399 +1092284331 +2014375813 +296517984 +1632378292 +790943954 +1766151235 +2025753034 +1825617988 +1638666256 +165291591 +970055275 +391476887 +1167424963 +1268434006 +1087551636 +217413827 +759439680 +568328581 +1018552232 +1540061352 +942132159 +621888040 +547114379 +682354464 +1582211862 +290676824 +2010505154 +112634593 +589298899 +789388608 +1296671992 +1681583230 +656280774 +1593189976 +1166477874 +1447224728 +1211857564 +1044747260 +1125359068 +703040172 +1210038851 +2095414343 +1094517059 +229980167 +1216364701 +34585048 +447393994 +1975804381 +602913629 +1465946226 +1368382085 +1545045788 +2087834266 +1915496464 +79916605 +1522562480 +58689641 +2090421759 +1635197073 +647988540 +732326719 +784385418 +182088123 +1388607493 +230091746 +1348565997 +688348573 +1441949310 +245829610 +1813707641 +2144989483 +1455868461 +1761638336 +1092022894 +1685848628 +830519389 +1126607942 +2133242622 +658840122 +1729521571 +1451705201 +2027222207 +1127083712 +1392055819 +1795235024 +1207000317 +767134652 +1853924665 +1149938428 +254848077 +354429557 +1882265147 +1039233495 +536517680 +1123388993 +1269325242 +1885083678 +1811737566 +563790904 +2130913288 +1477961560 +561296739 +1439298101 +1092116248 +1653319634 +977663082 +1922635638 +632443928 +963422056 +433992112 +214481852 +267643609 +313730672 +1341565564 +1659699429 +2108965696 +401082233 +279350433 +1815406713 +1551020661 +534198510 +22352622 +1285802160 +1573432006 +558870303 +261707505 +695273600 +296470333 +2073445072 +1259064504 +279899973 +1403922984 +1820361244 +1719198074 +348555584 +1326197230 +549377508 +123707574 +1958641158 +1512799565 +557699687 +25639362 +1780443174 +871430359 +1367204926 +1292658955 +832912407 +1768287159 +1572009388 +500835472 +1171824172 +2106207899 +523188094 +310142685 +1532156257 +1082058397 +571850190 +79946209 +1378528730 +497811614 +1339010713 +1658428703 +1901734598 +1011888309 +1230143130 +102806535 +190601891 +1779520638 +226514109 +1759402 +1144836555 +784213796 +27398764 +777796082 +1655644155 +1394603691 +2070455037 +341072914 +1015407202 +1494980778 +841908386 +39747727 +1453705029 +1365096481 +349890412 +838377638 +299671230 +921740602 +918323847 +1678199961 +1419552217 +109850912 +1189145016 +1173803167 +1121739222 +271804498 +1276609702 +1312341113 +2051325137 +1503123812 +1314100515 +1048678044 +139853960 +1341499280 +1826474126 +1795498116 +588619323 +1749445516 +2136571030 +1604026525 +1096942646 +830995769 +1643774252 +403164027 +48608602 +1993664664 +1241541665 +348279832 +767921619 +12381864 +2026479793 +39990188 +122232776 +1068141162 +1213793355 +1243971998 +1339945660 +342919410 +408829464 +1243787149 +1846043222 +1722929979 +144981546 +1985897182 +916945611 +1971455672 +1633911650 +1505564934 +1573417540 +1622999033 +962107812 +522876538 +306511154 +458398416 +926040565 +355119756 +304579433 +20098582 +703399588 +1072501052 +32480446 +582395734 +1112491240 +154713223 +1650536896 +178800947 +1398685221 +842998908 +521720357 +1807514685 +2086786058 +220279931 +1382961017 +84283956 +58693466 +152422980 +2055739628 +1692605116 +1657987915 +1481673521 +1168120501 +472612079 +2004550059 +1474631655 +931010495 +783106977 +1829751411 +1235589928 +803205559 +385667352 +160607332 +835686006 +968063086 +1273098572 +990399229 +471116334 +1451899520 +241600802 +1314115242 +1973619877 +2049115488 +1253417652 +46416161 +1284592857 +1337701608 +105109627 +1437015837 +1245957589 +1797714743 +947520104 +580147462 +818351597 +1420132183 +437213873 +145499604 +203659031 +1220320850 +1975251016 +1439248959 +2023526410 +213434720 +1599856292 +711728768 +1181497806 +725471216 +1702127997 +1652614140 +29887088 +1943728799 +819245734 +2003506966 +1845360639 +2072663387 +2049923127 +982469848 +1262881347 +7549106 +272002038 +361355288 +1805263849 +1219522142 +941502750 +476131798 +492170678 +1378716624 +621631403 +695829709 +451553826 +449398771 +2135078668 +327596588 +662833491 +1587451312 +1039325356 +1844331297 +165438881 +593969705 +1349461789 +195325969 +390214857 +21223875 +51349287 +88091848 +2093887262 +2101272414 +1070561697 +1209284962 +2108821520 +1342563735 +1570640250 +1766601722 +414602229 +364659353 +95249872 +906772907 +1743375977 +716881275 +1602602616 +47446155 +1166280046 +1590197637 +375042744 +1829113537 +1030165301 +1414368100 +1525961186 +1195604182 +2008337806 +727939327 +1390930152 +251069015 +749163203 +1442279439 +339160863 +695566817 +1396068206 +1409722560 +1904851779 +1357406078 +604802647 +1328008382 +976524152 +1019404877 +1692667735 +1071774025 +1926177784 +1288560064 +1788655300 +1381296753 +1336006219 +807451699 +824010742 +1711048963 +489081588 +1854176043 +977933416 +2015042775 +902296578 +838787574 +595498454 +145743082 +1089856589 +1344661657 +1588022521 +1429017452 +2040228475 +836607079 +691256365 +1797596606 +46529510 +1296059012 +978121340 +1023053662 +167980241 +523305427 +2094827687 +2094158026 +1811865491 +1735999340 +1327971131 +1000388063 +395967391 +4498225 +563953378 +885048979 +1858674268 +1541886794 +752608106 +613487198 +233190720 +1348106561 +759230280 +1323047309 +545284570 +199769154 +604581114 +438029397 +1036376233 +1295837479 +88142356 +1082905743 +444412843 +1066263696 +2105959406 +612393085 +1589569124 +2053303445 +559067463 +1253950967 +1641819137 +1887038594 +106855382 +2037786528 +1891536819 +670808761 +775351860 +1602727439 +65211907 +1527959966 +68730990 +298402628 +728582879 +827961270 +1621449937 +1273867450 +1027730424 +78547403 +1711896847 +2064106658 +1374384882 +1800039203 +999528753 +1818797726 +718819252 +958004511 +283707163 +160904728 +863824309 +842774626 +1414855695 +358159798 +582329572 +1521711078 +248462679 +326382743 +45036191 +1023814539 +1929110182 +110248098 +404290857 +1997841172 +408650726 +1132873737 +678318795 +2030100664 +259257539 +1706049219 +2108648067 +1971154386 +1622672229 +1335549302 +1623709942 +474717335 +1006863380 +195045546 +1432721846 +1290570543 +355950274 +149062507 +2133345169 +1770805969 +507222306 +568191093 +1145033399 +755684985 +894573836 +1190069590 +1779499524 +676200370 +1300317689 +36306733 +526557895 +1708968415 +1169180470 +1204876690 +1591585431 +1428438009 +763442261 +1552749851 +1252108748 +238630843 +740815505 +728335042 +713348178 +1747678885 +923380588 +2146070024 +890765780 +1279330862 +147648884 +876627301 +902653183 +654871190 +1444818394 +2047686583 +1410556175 +191908582 +1090272525 +1042572051 +868108952 +243106566 +1078878784 +1394666847 +1952074982 +100575607 +452059889 +1396176765 +1529013616 +1215502151 +801442968 +633638716 +1454132994 +1542258473 +1361973758 +19997524 +1142453710 +137870698 +18583900 +2033219490 +1417201560 +166232784 +762363143 +172371096 +821103974 +59697889 +72574031 +84176501 +251606471 +1162846556 +1126748552 +1119715424 +1405953123 +58143689 +366898623 +1210544457 +158719296 +818958513 +459237574 +1687732912 +2034460664 +1260680543 +173887981 +1341110010 +655455368 +1535861739 +1361107534 +1797909079 +1673732438 +1379691434 +1683644921 +943450350 +1545924219 +298524417 +1115821446 +219544545 +358222306 +1188395477 +303721047 +609828778 +203758386 +1430469599 +1729544202 +1609711509 +1488613288 +2096442825 +672772318 +1647332584 +767917690 +1132009892 +1187581849 +654894706 +245206787 +1361469830 +1996004716 +900662156 +749847921 +1209628602 +551087587 +276096711 +441836389 +87248860 +1219547062 +1987760608 +385773277 +187884860 +59821505 +743995584 +1376280338 +363542552 +1353824362 +1580038724 +1794012152 +935884916 +1042266585 +1135141792 +884844093 +1715038903 +634990729 +1652761784 +699565147 +1822572578 +160172842 +944771935 +1036558760 +8693911 +1845434091 +1786406681 +1218322513 +249038030 +2062503393 +1660158902 +336286890 +1134566807 +1500435862 +722060168 +1322451667 +1560257368 +1466055752 +551248357 +1923799920 +672396466 +2131287081 +1570328424 +1608281382 +1026070018 +557986569 +345641827 +593625273 +1192977298 +1998403611 +1293190421 +868066228 +11092806 +90478708 +1904624988 +19786717 +1935912799 +1543548021 +1238109230 +37467181 +1458567766 +750784485 +373754071 +445650925 +103736699 +1095814239 +1768102593 +1663994067 +414386343 +171867302 +1440310340 +1086782809 +155670736 +863155116 +547580543 +1181740754 +1421141685 +893222371 +1775366028 +466635335 +744142334 +921072801 +1334701563 +755235140 +1011551509 +1091842903 +775021857 +799980660 +487907277 +2013131088 +837447841 +1946475043 +616431925 +1211201912 +244642321 +720168624 +159532504 +2012744914 +236679044 +573918847 +37128568 +1676989384 +1660701657 +192799304 +392660852 +60798552 +1374540059 +1813802538 +954020923 +1002422439 +132954225 +1698163258 +1923495240 +1467655789 +305914750 +787563101 +412015044 +1080936608 +1587543761 +899922321 +946584048 +277507954 +698913717 +1563015973 +1488709866 +943556038 +135700949 +1648242370 +808817304 +372379993 +74677570 +845945872 +2049369377 +1735379227 +1038745177 +294546582 +1796177779 +265801588 +2108349120 +602715055 +1268224027 +93819697 +153394665 +1044235619 +1561475486 +459309415 +1831798720 +1973490531 +1540246023 +1271858833 +725929204 +339346423 +1549366787 +1424842921 +1902362396 +890593005 +220915311 +2038063346 +391351728 +1029732615 +262959691 +466029298 +1875678488 +164845421 +53924877 +766940017 +459392003 +1850102656 +1032741605 +420257475 +305334063 +153481984 +514077172 +458728728 +1197717603 +2075552659 +918038144 +882032675 +1901559542 +310800519 +6407860 +480005098 +650146943 +1555774647 +1904848020 +405025691 +298884004 +2125763331 +295605389 +690235732 +1008012299 +558565081 +1156265030 +736207139 +723410502 +1210189907 +1503147156 +1182802505 +912808916 +388405113 +1603059980 +1218142979 +541887097 +2117137152 +1676871708 +1739604700 +2045206163 +447426204 +474153727 +1799282057 +758226723 +480561587 +131803508 +1408373666 +2036336234 +2036651528 +1813399358 +187736590 +2014931211 +2109004747 +877972323 +875459862 +520086180 +2034237353 +1611667001 +1243496682 +1096943613 +967330509 +278815539 +2009752529 +1355735622 +1881875519 +1080411860 +1897622719 +1851529024 +609799920 +1489743771 +1749251539 +1057226124 +1963897498 +1401049949 +1815452848 +296975437 +1532853457 +1076342866 +185828023 +1422021337 +742258576 +373564614 +1289468900 +703779676 +1251536937 +17445115 +1223865856 +1138290642 +1629112116 +319878891 +87750607 +448958978 +598694430 +2097503136 +1804694600 +333086302 +1030431349 +1554833672 +37131678 +1640231269 +897093795 +1786383217 +549973746 +713507646 +1039949518 +217942946 +1010483083 +425319327 +1294285812 +1196311107 +1847340664 +2036544389 +1569875721 +989325917 +592840417 +673929010 +1006771032 +1816706273 +1812219652 +488399500 +2136585164 +1899970260 +937358478 +587795947 +1849989748 +594569431 +920882249 +732937449 +1919455 +958013927 +225685071 +899013250 +596913496 +775658817 +1612520896 +1636863015 +993601763 +475520332 +2062182342 +140403927 +1671831439 +1762039359 +29464668 +1094223512 +603881628 +622305085 +1768152522 +1610652660 +291527711 +1432888526 +2099052160 +280629227 +1185375138 +888926991 +868425174 +887881239 +1483496422 +1789307423 +1620818688 +1485415877 +599837702 +1846503759 +236945479 +1196751199 +474678928 +1849466376 +686130566 +1468280691 +177503060 +600829260 +1608684619 +1849334499 +215384971 +1638149287 +796074363 +819266599 +112970725 +416743237 +282435611 +404498436 +1849631763 +234004124 +685127663 +887523254 +1122931115 +1553552838 +1775404493 +458943889 +1195376613 +1248739533 +1944359766 +1795214316 +947759645 +33821597 +844481867 +1422438573 +1883287973 +1530612433 +743235617 +2060791033 +2131441693 +204436588 +1762641884 +199343017 +1842585875 +411232599 +1018609616 +1955556600 +827975836 +1301045228 +212571388 +530123952 +1535049352 +897699052 +1417647206 +510496819 +303768242 +1045568051 +969440708 +1499144855 +146823936 +766316826 +1146875523 +1094583581 +800138423 +1991357390 +369538507 +535942749 +1374486175 +1112774124 +449250134 +1358444221 +1317210712 +64408371 +1557787238 +1012312939 +475640970 +428913206 +820385892 +1303616807 +1729958434 +1032957280 +1833740759 +1117524138 +1930656332 +1103904317 +1628020957 +86940926 +1988720 +449978017 +1586085782 +148812656 +1216294843 +585477657 +1243396238 +2016433267 +429351400 +1612934745 +404892368 +1803837575 +578225221 +854142502 +1014798148 +1895435933 +918550873 +425101738 +760265224 +1394191844 +854014945 +1580651116 +550325003 +436489731 +466124749 +236582114 +1554013870 +249297433 +1340486431 +1034551179 +336238360 +1342475151 +1484529197 +1922324142 +1491287807 +553340392 +360318151 +587200397 +422290011 +789669551 +52651494 +827182379 +446023479 +630876715 +1681324882 +1460821627 +378829000 +452392107 +1885923366 +1139094225 +1846583951 +592454663 +572261693 +249425306 +1028944394 +1038386442 +486007420 +435474616 +1287683876 +1826493851 +1470025796 +1623922236 +1021485354 +807071345 +1398762730 +365289514 +1360411737 +1759080881 +952489911 +1782701749 +401266785 +1005141406 +462400480 +847290264 +1636018121 +2143725362 +160628243 +2014847122 +448633822 +2046551609 +1006457699 +147734125 +491522624 +1578719392 +397159432 +1520467019 +469622187 +883166852 +1955941635 +1757306063 +562177056 +1278483783 +1233744651 +1583662410 +2085555128 +485023733 +1948951924 +1298483218 +96620966 +753958188 +933701319 +497887751 +1759099594 +1396101799 +1345178015 +1247634067 +1392343514 +1505806259 +1114997541 +1840977336 +1404874220 +2121455240 +1988711461 +1896396845 +1552690985 +238387245 +1269380216 +2022313172 +1121554098 +1077838203 +1632135587 +1683731154 +208838339 +718396590 +1119909916 +146909819 +1203420323 +921378193 +1445393037 +1300041289 +1675336381 +231610708 +1797929041 +1286952327 +1627712508 +995623408 +387102746 +872572374 +353946019 +1502100288 +566066062 +1758820240 +1476071880 +407293875 +1507733437 +881279217 +645681121 +629630005 +756108741 +1767235219 +1707468208 +240760680 +1303482725 +1916306547 +959157270 +275908993 +2063216367 +15093945 +1197287186 +1361125756 +1315135235 +725139919 +1592736465 +965580628 +2012092246 +1072965325 +1961204036 +251711345 +1945537699 +167666408 +1753811633 +364120113 +1926486648 +1082399865 +771413988 +1286736437 +1963679083 +1417095109 +1916366442 +572304176 +1036846680 +1476351002 +813064857 +192845757 +1245173902 +1772222127 +468754751 +1160906621 +1787316073 +1666041937 +374548729 +954967660 +243698209 +1967285194 +1920548288 +108306807 +892766871 +1734268676 +360018152 +690820922 +1901935084 +2113829785 +1054941035 +1680938084 +1048746003 +1826355024 +820190873 +864941438 +1095966485 +589073667 +1437245614 +2132813166 +2065424670 +102826823 +178175275 +1163114924 +1875048951 +646930026 +176537897 +1514881376 +165488316 +551086626 +322365388 +409186525 +370888173 +95430028 +517493332 +1263655044 +1829698704 +877511485 +1954475967 +1584150141 +843857622 +861933354 +1117604577 +1892603625 +540804730 +1937795451 +610061415 +1636771216 +379385470 +2047307030 +1622100734 +297326492 +2650205 +1800276009 +1460441416 +1877699156 +299722388 +1636979313 +1245096884 +465210704 +40582292 +1567462272 +874397229 +411470465 +1662892300 +1391890561 +1675125509 +1345107357 +121918398 +1482117828 +781773850 +965776021 +196567535 +1899378427 +710895998 +737372265 +1689690230 +1320957414 +226659833 +2069075701 +1220780796 +1848760567 +218918545 +1223431001 +1501552929 +1679359962 +953646510 +1801275317 +1168855627 +51259746 +119002373 +1209437919 +1618722019 +993399602 +1620908384 +1134130671 +237806515 +1148550246 +331754380 +359724914 +483184426 +1113528230 +1325500935 +679751961 +865423010 +2036396933 +1417124227 +407629592 +1209870699 +1643784060 +329221645 +283167847 +1345060980 +548140191 +1506598849 +699130261 +80016505 +312761711 +352921930 +1248872132 +364021457 +471924303 +310826404 +1982743476 +1465323905 +1931734788 +969390500 +1703130420 +932801386 +1301144880 +2062855334 +1415985813 +267189463 +1240872621 +2095737774 +1132612473 +1129785907 +1365378353 +1540242065 +192172958 +861678766 +1869463711 +475340806 +59256098 +270120254 +1981939655 +758386359 +350136759 +147217718 +1111308289 +1599008891 +511239175 +1583232592 +1909835295 +346499004 +901072849 +1694086436 +1315889504 +456719621 +479404174 +469550736 +372091308 +1895389987 +736740199 +1612963929 +1843644114 +1869352672 +595266188 +1061538819 +1262111090 +787439147 +1923217585 +984091153 +1262779953 +1982473683 +1254211407 +1097235960 +593376394 +1604348166 +1244453678 +1704684683 +1055873409 +1755692853 +1140433627 +818225057 +2102191857 +2041506476 +364827845 +1270597713 +350742450 +844232019 +1740148450 +722833758 +592138359 +329405001 +188314039 +288298825 +51274026 +783580228 +1349837644 +1313385116 +1571019375 +1125571582 +149992621 +686315680 +960561617 +1404204028 +1783551640 +1553938012 +861068546 +880521670 +1111139047 +1916941955 +488730875 +104089027 +587683364 +443439085 +2145595503 +952511209 +1714036798 +348854305 +1796743229 +1306701600 +1071688063 +241397940 +1636106602 +1260002103 +529696765 +1687380628 +2043582331 +1879534409 +853282096 +1467118058 +857622343 +1003274717 +5950090 +1818183961 +259995097 +1789501730 +1224638325 +1121063643 +522539752 +188293724 +890521950 +1011270627 +292382751 +1478205315 +1454709712 +290494607 +283232876 +1021262863 +639348912 +2079976105 +180480815 +1711036976 +173890397 +1816587417 +823555431 +703587162 +1356484397 +719654114 +435637924 +62282845 +39288524 +1293260267 +1065557562 +45238614 +963960580 +1325552659 +1834740344 +41115257 +299132654 +209796448 +229408982 +1189654605 +1221067075 +521791733 +520376272 +528293140 +812286340 +803609148 +1549556003 +1451635253 +736101606 +1730036818 +1015188581 +909992003 +1399140588 +1838744012 +1613579166 +608141337 +410914478 +2049217090 +670424183 +450203002 +1194993709 +1735981745 +495441616 +11470642 +914050757 +182698312 +52585899 +1213183411 +392494760 +281994881 +255354368 +1613561835 +803786615 +775730640 +2141854975 +1616072955 +1579339789 +1543927330 +920224560 +167957747 +1126480501 +1935413141 +1077949750 +378137441 +1626673505 +544045268 +986278778 +2037587983 +445778710 +1656702961 +340307337 +1640772420 +1245201059 +835748953 +1652243062 +11768168 +1018447265 +1704828961 +1224951579 +1410942025 +1986823843 +1480305948 +877020213 +643126810 +108552940 +871391540 +111716117 +1687892729 +267835223 +1031940678 +1855850476 +1394315724 +819870171 +786316579 +1772453165 +299060029 +1330361847 +611248295 +189164364 +1776140558 +120467609 +529471702 +1269429330 +1365668668 +1365220655 +774188744 +1377436836 +236184273 +331534057 +454904767 +1647126298 +170874252 +1935210715 +376662863 +814001062 +2043763656 +1248054404 +925717180 +1584172737 +1515889627 +1957657858 +1292539566 +762721703 +630044381 +2078856145 +387691220 +929104410 +1261734344 +998939515 +1118268775 +890391254 +1119407124 +1647740477 +12336936 +337592144 +865477484 +786525680 +1715028980 +1101661757 +1118059738 +22450100 +601304408 +1288933990 +1957660815 +977967271 +2102935053 +1853940823 +78538027 +881168585 +1290629913 +1594427654 +691342795 +435685831 +209665709 +1321387176 +367058328 +597356929 +103007939 +1628792672 +1596296445 +1221276714 +371700279 +568219921 +721533543 +384037215 +905812066 +1587011027 +1170562896 +473357398 +541189137 +141138986 +495807498 +1142493545 +1430072976 +305984666 +2120460816 +1385524381 +12441841 +51515196 +119209318 +1303071754 +1645942850 +810552113 +1738757585 +1855608560 +2131939290 +2105815913 +305481841 +87463581 +1587124938 +1901778286 +1308740295 +1958825217 +322514560 +2030273838 +195378784 +1228326626 +1469801217 +1365941680 +1701684024 +2010990354 +1507080666 +50007875 +1006000251 +789669995 +355992541 +978977420 +27710728 +368434382 +1030492616 +146920047 +1671506137 +528951818 +957472160 +1262780074 +237076730 +941927802 +1221112340 +542558572 +1029391383 +660753630 +296853210 +190648030 +472095199 +619367770 +73438220 +667473983 +1847694396 +1543239438 +2033415664 +1401894773 +1406746144 +1393012682 +1451902648 +265262748 +35199029 +1807895189 +1244240168 +62909758 +28845923 +127249136 +209829805 +1700352060 +656200954 +1167301965 +815648487 +893277685 +2109229768 +2036760827 +1435836257 +991137503 +550030809 +1732689467 +1181785534 +1022126008 +204573590 +1255223754 +1689599991 +2052267986 +650979544 +1575532007 +1306679111 +2057725689 +821061042 +611098111 +175504789 +856260071 +271509652 +1419744957 +919169829 +300355576 +1546994093 +1128999634 +2000707636 +55711399 +148817952 +668872475 +948989084 +110564072 +558149654 +237341693 +1101701575 +1108180463 +1970031161 +136003461 +2130306471 +27121103 +1391227216 +1672422815 +2079389089 +2042206760 +1100471174 +1238584553 +1952448801 +1921532216 +1849682664 +2127953590 +630308640 +2121192317 +1400214899 +1549478469 +274064245 +799725344 +530994456 +127288233 +855436744 +679812408 +796160709 +1804425828 +790376480 +1354310363 +2041767522 +1892078055 +315007179 +1864315035 +2028081517 +297830002 +1891436138 +1271825085 +1970252817 +1823341579 +1166548197 +923240344 +914442484 +971513351 +697288912 +616641501 +951983293 +1327597552 +590350170 +204714545 +729592374 +864414415 +1004439889 +1260586830 +991702648 +1859876633 +1940399238 +1787863357 +1516818814 +583292070 +994690073 +1411102688 +327886477 +1309697252 +1127934075 +208484346 +1607527254 +871886565 +1480309431 +1430296424 +547744496 +499373981 +206053120 +1462186981 +1470887332 +903342032 +2078828482 +275386977 +83455937 +521695004 +480101522 +813048311 +1386109419 +1484541412 +2073635141 +230328419 +1196934397 +1866550731 +2018191777 +566269563 +302359153 +865398202 +1977372251 +630245630 +27611806 +957822678 +838729977 +1635139060 +1829709243 +171555760 +917951836 +229970092 +670929741 +1124004956 +1692157073 +2141817073 +2027346989 +1623501907 +269720403 +2110802926 +2145196911 +749821925 +776367589 +1383822682 +86879689 +702519082 +1614151101 +1283814087 +421586165 +1484859230 +1850083650 +723945318 +202773784 +1679972254 +1354190948 +230385590 +490311284 +45437277 +1865524651 +172536880 +216993038 +635992839 +402506972 +887922779 +1759997796 +2094664045 +882256205 +1639861137 +1570682304 +1151976608 +1603180415 +1568395567 +1901798533 +232064356 +804734601 +1988678223 +934583438 +271402054 +1125008662 +1356169603 +1756261285 +827608664 +2080114921 +1959035069 +360097270 +1286822221 +41937012 +850408555 +1332259499 +1907461663 +1022945435 +1549252537 +395970854 +1425452407 +289691668 +8485002 +1372632804 +1171947873 +1648346139 +795831460 +176440833 +1104042906 +216743379 +2078239367 +1336107262 +1021477980 +1919433942 +123207052 +1292880034 +896958956 +1479376655 +901657671 +1724567620 +1412007928 +713209093 +2084664891 +551346502 +755146105 +787589798 +1883606001 +515124120 +1810535233 +1285374890 +911094974 +1088503992 +1575066558 +919579977 +313653148 +599530784 +420442468 +1109484608 +775971617 +1524485375 +1326227987 +706727336 +713108989 +200222319 +478677630 +836316042 +1493102353 +1375636586 +168209049 +247276377 +952720559 +1580216978 +960485470 +889901802 +2131563480 +1715631575 +1677491600 +1867685833 +83272047 +1340543185 +1005577075 +994367021 +281563529 +433159985 +1913946998 +595216677 +1032690769 +186905819 +1704701285 +1808662387 +1711391194 +883445624 +367906075 +277016535 +1083667943 +846583706 +1113332577 +429286648 +74736644 +1281541627 +676563025 +1027457203 +714274957 +1637048495 +1917359005 +698354789 +1205196422 +1447366957 +418556974 +1288468469 +640426494 +1424134049 +135351843 +921990023 +1857294034 +2049298841 +1517206700 +742501156 +88721012 +1074424337 +403679895 +1800112206 +1957869961 +771585970 +2077128742 +894054256 +1618169676 +1042977671 +1323340905 +1692906321 +177035650 +1999903930 +572879876 +891310607 +1489468778 +342755234 +1589665396 +547181552 +1790122191 +2008222370 +1835650022 +283065038 +1284872771 +1971001865 +1205055061 +994683158 +1872817058 +574778114 +1737184314 +1961538071 +1649202451 +2140864209 +1614166629 +1459588765 +764966531 +1543811723 +206159373 +235652560 +439305747 +1529500278 +1928558881 +616341397 +1381920561 +353955109 +1507652005 +723905691 +696710343 +949833753 +1271087243 +339348887 +810572476 +959253617 +622413925 +2095445247 +782771834 +1827468986 +942644757 +508105245 +254763452 +532345423 +322159668 +1903965904 +525725984 +1936326297 +1216071021 +1290692516 +1332654373 +1422230394 +1526345076 +1771960120 +804247025 +1307420309 +240817869 +38683938 +1661375418 +1748469874 +762589629 +210602114 +550819980 +2033676872 +549951001 +1361392456 +845446842 +1172364926 +1309354055 +1628218676 +852350264 +104515165 +2136323921 +1107113717 +636860588 +310999941 +863595973 +1162586573 +99842591 +2079666994 +305795441 +1432496964 +1354413740 +1832140517 +1056973436 +11177117 +992077178 +1297791305 +49861055 +505968948 +898777532 +812450684 +716571062 +1449597512 +698643909 +1266522063 +663506320 +1544090751 +291403341 +1972860375 +1024825779 +1143753606 +2077375540 +1013666053 +103383675 +566752481 +1324665994 +966979648 +1729339054 +1424508585 +899162994 +2035134495 +709521901 +106093086 +1719791364 +1766495337 +117270204 +564384894 +916802995 +167131259 +1070353842 +1815580527 +979581944 +1786924905 +1117694391 +1678225853 +905963320 +1781200711 +1074832956 +1197366662 +1606577438 +2099658735 +193636620 +1536469331 +965841140 +297020295 +2103221812 +143023487 +1263999943 +1685077218 +1567532072 +15679289 +1572728065 +129570326 +121772375 +1145035781 +1896065663 +239042579 +1709420675 +665385010 +406173839 +632290869 +333481889 +1385755783 +271732126 +1451176280 +916497988 +1177695447 +1084893343 +1991330944 +227578461 +543987134 +1943506031 +421215081 +2080456465 +761863524 +718235376 +2036194629 +904887011 +1982235319 +1573788199 +324935435 +1997914608 +999032616 +454505761 +2119686983 +2144068397 +203087777 +211245915 +1706005424 +868472787 +617419754 +190812645 +1201954677 +2003175537 +462544772 +505647309 +772189877 +1640240219 +1590540653 +616037173 +1867818680 +2134527787 +412059556 +141550113 +2067500604 +1173923080 +859785489 +1956211585 +2078810091 +694537160 +1382516136 +256261879 +544968120 +234065104 +710767640 +517171455 +230649853 +913855417 +728417370 +1936655277 +1782328205 +1345837124 +2127467922 +836799234 +1201529013 +442529046 +1342446543 +1973718890 +2082769265 +785503548 +442272415 +1803104297 +772547687 +854331972 +1944654410 +692564643 +2028255052 +656956251 +501292580 +1959581496 +1351493411 +1883808716 +68359727 +1896461531 +2117873820 +779127367 +266149339 +201040025 +1692982785 +994566709 +2137695302 +1327827342 +192920186 +2117679577 +17142928 +1394449199 +412724975 +1359589471 +1220684442 +348010593 +2145093020 +1662956857 +3631242 +770157059 +369805181 +1948285653 +1462721703 +250576586 +457758256 +1964014283 +62674434 +1809251668 +1700339352 +131034161 +1558229551 +1670729524 +910161528 +1824378890 +1871769550 +455660665 +671461952 +1861981204 +1783488007 +864382138 +1832177133 +1800630935 +111347689 +97418461 +1012736759 +1332032131 +445429054 +1010346131 +847505341 +449060296 +1780503190 +1217310522 +249862301 +1095741245 +1467887108 +707620558 +912271881 +1530561542 +369388578 +465127585 +1661595703 +1927618129 +2135857109 +424273584 +1604513372 +1860143011 +879934249 +128491676 +1574640568 +515938609 +992873814 +1259334053 +169085896 +1104221503 +1356752514 +1181822655 +288769987 +1802181568 +44685138 +1136275328 +103758217 +1825188329 +206102202 +353620518 +773445926 +1673989311 +1061241076 +1685717807 +1057067205 +1430629654 +3361744 +571179261 +1210764136 +2139218854 +995452845 +667793860 +1851878217 +1875387094 +796285536 +1279035137 +243842055 +1789159350 +390885543 +412927952 +745897205 +1747638057 +1594750607 +1034667192 +1402335978 +1639435746 +23458872 +1506094195 +1317140427 +229561075 +1859714713 +2090586353 +1903550386 +773472142 +1628820513 +813133943 +56618148 +1632182257 +1384313204 +1267382284 +1623917463 +232282401 +1935176144 +1328312033 +2107669496 +583978032 +459863522 +204027903 +225653734 +850749065 +616955855 +971550940 +450903475 +64222815 +2006218132 +1853239453 +1703658561 +2029677005 +1211850000 +873315340 +111754432 +924081065 +816418045 +2015304818 +1697553207 +297754910 +680955113 +1754171356 +1929937168 +2065268318 +874069992 +1406370983 +150067071 +661762489 +587199368 +110252919 +1245740521 +1047062891 +314280823 +1471394256 +1897811956 +931236678 +295461548 +201231783 +995459493 +154196032 +2054471236 +551634406 +36389389 +1118837588 +1424949746 +148143821 +2042918654 +93884144 +15964991 +1592988213 +391639054 +696920105 +1199675921 +174092574 +614704775 +2073745914 +1580463558 +764771846 +588024755 +20179278 +875024766 +1833765276 +1067242169 +1189305589 +1157675884 +817570478 +2120542267 +1453137432 +1018802261 +968518113 +1607333465 +925789850 +1520152519 +1643722854 +2044627438 +797618618 +1791866676 +1940062444 +891502762 +1807831667 +1385567010 +1283141816 +357268124 +437759283 +1457234391 +971972899 +364021549 +890214301 +1736744746 +952046304 +910393579 +464285864 +638327933 +1977635749 +1653591453 +1796003817 +647722579 +1626650072 +1101657602 +1666524840 +447684537 +561507419 +444831042 +1967837057 +57746625 +341974833 +617972027 +1849613301 +134553629 +1509474789 +1509961321 +1520120639 +645132957 +1867229445 +1957879923 +2102367348 +691718697 +174417824 +845098001 +280979795 +1126464129 +1755491581 +745265659 +1764792062 +1585643682 +251373464 +1413312231 +85882613 +1878023536 +367486185 +1752407453 +178224426 +928993604 +49754848 +2146061483 +986740230 +391729681 +616549862 +688869883 +526283310 +2126024651 +51347556 +2046403950 +623673960 +1918577002 +1856800225 +578557661 +462812051 +2031218049 +1423655662 +743791846 +1010198530 +1031663595 +1489057505 +627506944 +469823629 +1740430969 +2040819176 +555706242 +1470970857 +260821713 +160630048 +1649195283 +1189815318 +210384896 +1647773118 +29071900 +602114577 +116839332 +717941783 +1128397887 +95380335 +769289340 +1027318189 +719054296 +540382694 +736634766 +1297611957 +1003194745 +620369168 +573783971 +1746986591 +1630567698 +1605447567 +1088560448 +110590995 +2075271196 +681507769 +3926523 +483493791 +4994978 +264748236 +644123839 +1654190262 +1454563554 +854508735 +1154479732 +1483635454 +1456623312 +1271319065 +54093590 +437537551 +1366699400 +823382930 +1464855741 +2085753696 +1363765624 +54006859 +1235882005 +219476721 +674376027 +1809665977 +1966463312 +157460078 +1267629896 +907540112 +268051073 +1195417444 +1589047881 +271977596 +1678911235 +1594042859 +536725832 +175551426 +1100749473 +1991289387 +1030060161 +107745558 +1327441193 +339199825 +1379064623 +1381534783 +776737377 +598280375 +57434065 +94109470 +536550424 +1421199689 +148116329 +1772432429 +1640676410 +822492357 +1434614758 +1459656074 +979952435 +554761006 +219712538 +1248003508 +1750178451 +1808760419 +1519981104 +1281606038 +1255319631 +2056706936 +1457157465 +208585456 +1900512675 +339733978 +316331014 +1080470221 +678933804 +1695395637 +314521356 +1455671181 +146192365 +371955422 +1549780651 +682742789 +1793155111 +1697896980 +307691570 +1286347874 +372905689 +1742306329 +598520300 +1352858124 +149583687 +818232839 +453377984 +1899762138 +479509610 +1973359088 +1033884529 +1734829241 +1882582377 +343558346 +1943414698 +1635611404 +683292324 +112262064 +568597977 +1362226128 +1807657702 +883119334 +670413661 +1953850067 +1255074756 +72710664 +489109208 +900746219 +1770607645 +796800778 +39610445 +2143513334 +391623459 +638130746 +1348887811 +541207147 +1456363585 +1802265795 +293485637 +1935873195 +1628141236 +1327370166 +1523218789 +1363239965 +1670928512 +1319149839 +851367721 +206737189 +1431411903 +1419965699 +1568963317 +1091585957 +155601385 +91893331 +897952376 +1410676141 +164603995 +1387061584 +163938712 +1935211640 +36378715 +203549158 +1931241327 +428002174 +841679904 +1132645490 +969209321 +150559841 +787427637 +1262694959 +2086433036 +268085225 +442581477 +1462168177 +1631325190 +2113509990 +633834368 +335209264 +172763531 +2065246272 +1755174963 +1741726848 +1009348581 +1910776348 +1833620179 +1907300958 +1173968841 +1998224175 +1146878894 +1337907553 +1785952167 +1183257609 +1541456711 +1569709846 +1611259784 +235652967 +554871688 +432985457 +386212808 +1342299326 +1695680416 +325162197 +1610384551 +2138261894 +1787330374 +1094226094 +2104288236 +273681095 +1429435358 +129568119 +191443719 +1037126673 +1871294967 +1200792300 +800419373 +1557431499 +960609610 +1974388214 +1408172026 +2107488505 +1164812119 +1046640545 +1143262466 +558785183 +468866744 +607038602 +794438150 +1023738432 +1040024060 +1180650959 +218554110 +588220828 +1505813156 +1828938662 +578999074 +1145659882 +775681108 +535803662 +1419340977 +57632818 +665371781 +1610784696 +1094759491 +389183101 +664093349 +1895178864 +1946614600 +1624702959 +1722083430 +1207302978 +1584707816 +739411901 +106459875 +580486635 +1298197084 +575326619 +1187525237 +2092635235 +1599065052 +80065649 +1125802546 +1817619162 +668286478 +484132054 +1499074176 +1247285552 +1629791936 +127271636 +1783089215 +901649266 +184904454 +300977348 +364950314 +1279663945 +690160449 +1029043663 +1027359161 +489291401 +506262975 +601958943 +1696594379 +2090970791 +1341370845 +1803054255 +523973778 +492084281 +230897226 +1711499016 +437235868 +1829962278 +1791564665 +1563038414 +1500097793 +312367495 +2047170468 +851688321 +1559653048 +1529478757 +978959958 +1195258615 +283644375 +1163864412 +1496235963 +648594689 +296044710 +38912765 +1677638353 +1323403871 +528204166 +36417680 +1925362815 +77314898 +2127388471 +1119250012 +1880369153 +503878602 +1611334293 +2111266379 +67893970 +2048570162 +1793745010 +1859458635 +1464124928 +1146359155 +24342483 +1363811749 +1998047476 +1583995531 +745806858 +829523786 +631770498 +1029451233 +1993388199 +2128006461 +1678045922 +141949261 +19435578 +1208200627 +1465353132 +547639745 +1244618307 +1243232299 +624954643 +1224523131 +214998663 +357840148 +1728401733 +1826332957 +321622879 +1796295703 +1727419471 +2115367889 +1508270690 +1044060751 +1114243396 +1532613173 +260388852 +964807225 +969125056 +1006195710 +1794331011 +1600895554 +2035646943 +1640235562 +1581418368 +1566209218 +1782184823 +1600853946 +626926197 +1100054308 +1010043 +1871544505 +195802959 +625964686 +948583988 +410801623 +983804834 +529502073 +89650932 +1305427714 +178314128 +1817070403 +1273311955 +1686584818 +713647506 +240071704 +1071714344 +974036359 +1204878929 +2040839400 +1980232069 +851726292 +1494251307 +1868395365 +344478207 +928186027 +1287120935 +2126663030 +381556325 +1914047132 +1079233690 +382566369 +1638107989 +1275036650 +1008531055 +439208329 +1685838273 +1992335890 +968710402 +1775489205 +1150279956 +1147024530 +1445075960 +276108263 +686125701 +11239818 +516179967 +1757840045 +985276177 +1721058896 +1651195797 +818024599 +425301541 +997963456 +538936316 +769779748 +1926149483 +1826057251 +748959130 +160222161 +1592620735 +1828192821 +542788530 +1083245077 +955745823 +1551319585 +1522453406 +494100448 +1396171827 +343680161 +122106005 +398968135 +1490704691 +1567181965 +675076399 +29346744 +1578421783 +1191256366 +1787186789 +416214313 +764831615 +1290898939 +1234238912 +1190133156 +141378747 +1773175228 +1959912904 +2067528231 +1451748831 +561388386 +80266744 +896885918 +242097559 +623055274 +1980130995 +1197843382 +26891211 +1355100754 +1691943830 +1423063039 +1698780915 +1814049835 +1822031174 +1042001958 +1233748152 +349623925 +1071348703 +664686288 +1540880292 +711051844 +1080900601 +158228259 +2001950783 +167655865 +1348361415 +2143329531 +1940831093 +1160790671 +2063374114 +1245096276 +1722179057 +2143640858 +2141982194 +1964276617 +619212484 +1974629542 +1014636351 +646103695 +1182246648 +559096534 +2069166734 +733543915 +225662721 +1743714261 +1775545873 +1459410874 +2093338186 +699410928 +2124097162 +1486734830 +1410462773 +1057514115 +1644963089 +1264929908 +1225169980 +845840856 +1260775791 +1018517425 +2006631527 +1176666257 +116130053 +1581326937 +1172823467 +110628599 +1398119906 +1792035951 +2085258141 +265272609 +290655999 +1120021141 +824369143 +212339085 +1853565056 +1050031865 +1956053346 +1481627282 +361959091 +1901907885 +33554562 +338572605 +1241159067 +1444017335 +1396086720 +738638509 +561463596 +473773052 +1584479365 +1822239387 +1492290477 +1443627245 +851421997 +1608420530 +877470534 +2024245464 +1719049129 +128106792 +1668797768 +1656823623 +393379401 +1959453767 +629361116 +1217748545 +24309204 +335442525 +120296762 +1980362551 +1817069807 +482255853 +1734786788 +1850624369 +820828458 +828462207 +1147158057 +69431530 +1567100716 +1708621653 +543204582 +1004096434 +1383377392 +2035495059 +300240031 +87315741 +1496431941 +1177710565 +2111561206 +1067997422 +1305817357 +1632875326 +577337397 +1699196758 +1444845445 +1206698514 +769461655 +1469154649 +1542141039 +889758417 +1302033552 +1211727198 +1372014270 +889336692 +914867919 +45359080 +1717798900 +2062025976 +114790610 +1137415968 +1623163981 +657995192 +2141512402 +859057726 +546006603 +294268785 +946373467 +2042438544 +1471979350 +910451025 +962952319 +630313059 +395842703 +1540289716 +182026170 +1840688148 +599504582 +951487825 +1162359150 +2141645621 +1841246243 +316909054 +1205889171 +1065776865 +1206245747 +2120757091 +1111135946 +776560999 +2035299419 +1225926556 +1913976967 +1510979753 +1883921749 +1908005722 +222553831 +282444704 +54790859 +1168927298 +177399601 +1526770210 +2079378324 +1140351920 +9599621 +327737379 +533157988 +191625791 +20941880 +1132662571 +1143113617 +1183301030 +1126824544 +836876212 +1500210084 +185230068 +1902653077 +558972183 +158503511 +866305375 +1335533182 +46319282 +2092231932 +1102026502 +1557299035 +1828670033 +862548576 +1779852866 +2111114737 +917339435 +801296517 +141030690 +296625997 +733191193 +1281382610 +306225619 +1060928572 +1814540599 +497851410 +1081870452 +799719522 +1640965027 +117687834 +1926544066 +330357591 +1617897919 +2111774134 +85527021 +29386454 +122793997 +951832396 +1364919637 +169113280 +896580680 +319462491 +1726412315 +577767065 +1182011067 +1358781534 +541398155 +2099350502 +12594403 +682428845 +248492852 +745785596 +1963811456 +554718471 +1806714168 +1630868407 +1052569881 +741100973 +283104281 +546051261 +858788807 +62164699 +876408852 +329203078 +26455186 +961935873 +358589533 +149249183 +1913768270 +1723509170 +318362463 +662865302 +2042971661 +2044774779 +1240632368 +1077499080 +1256072665 +1782030523 +1029365934 +1268667068 +316975720 +1277858786 +2014452664 +133303528 +1832577257 +1673683184 +1764171935 +737663491 +267300509 +2047276216 +1283714752 +1126089317 +2109440916 +12639956 +1455292395 +2135896102 +974575830 +1813881928 +137661637 +740860452 +1389907450 +456024101 +1403725754 +1285395463 +353315232 +496874474 +215410895 +1609387897 +131421349 +1244776830 +730571317 +448397070 +375151968 +597540333 +581700598 +60245578 +123739869 +198388886 +797909069 +391040379 +98181454 +2081623821 +1517129696 +60138722 +2094263777 +824938443 +48551176 +921355959 +491336724 +186212814 +1662216411 +1881244174 +642236915 +918458518 +1019155990 +995552147 +1415332992 +1234566885 +457456396 +1546754342 +331860067 +1188027713 +1995151412 +707012036 +1785568046 +429368362 +767257614 +1909307915 +627757248 +1565166683 +152864646 +725938703 +1499306856 +1669994342 +786077425 +1446086985 +347449138 +834628602 +219959297 +838785862 +1020841416 +1882175708 +572546388 +1663078331 +653150578 +1591702378 +511146830 +2068483571 +678785616 +968603226 +1467754265 +1010645683 +9147291 +1315422029 +1717657719 +1794715337 +1744790391 +337431685 +1556539604 +225063992 +1902598368 +1709404251 +951002695 +1254421576 +1231914945 +1737080120 +553024914 +1579364083 +424225074 +772984211 +270666297 +1445066490 +507676271 +843212686 +960661173 +1160826850 +287431416 +1471808003 +1081826773 +966217032 +292927581 +402097390 +1976862716 +302074872 +1717519419 +1547036787 +2096790209 +1314826162 +1884468473 +1505846166 +1539890154 +1639583193 +1067766769 +343409201 +746521122 +152198066 +2080489322 +1299546036 +1731562150 +357230748 +2072530247 +2002228447 +1802297239 +432722870 +697957485 +615474764 +1593549720 +985388902 +2087282768 +527892845 +1951605934 +232726701 +929990235 +1780985002 +534801574 +500026006 +1180538142 +484108135 +1814852169 +917522967 +1989954301 +1207258675 +409622512 +910237422 +1550667877 +1156143634 +1062435489 +1483673551 +308206022 +646513991 +1840904299 +233252621 +501258790 +1495717890 +665975492 +1199216276 +2111192655 +112041564 +37121530 +2050991775 +639934410 +1988727464 +136234828 +1569924645 +1622228819 +671036402 +2069950652 +655283313 +1155144538 +1737319173 +1572806280 +997615191 +797094200 +1982428792 +1907852614 +200278429 +991088779 +822804455 +1683951980 +1299294801 +1469318446 +1377372632 +1532547423 +1970577236 +725606874 +51039267 +1022309864 +689315881 +163080831 +1059431394 +592824008 +803015241 +900675211 +729058837 +225456239 +375420382 +1400095239 +147923243 +1030703695 +407756129 +1885242416 +456026327 +1405371321 +534852968 +290971471 +1165740287 +735131398 +1282060250 +1988544742 +271599730 +433871404 +1310379540 +1648972362 +1966418827 +1133473128 +227095589 +2017458094 +8299345 +916411470 +33055277 +1067730739 +1509235479 +836070519 +1968405950 +90810668 +1061526758 +196342684 +1490905907 +1209450001 +1227046379 +1898662037 +947208769 +1683072706 +1156549710 +1482061737 +1974044178 +174806349 +69709487 +1108620780 +15867443 +341309218 +1542492184 +1326246983 +1990281580 +1361427363 +312236463 +69893521 +1231401809 +320535808 +986304992 +1264457087 +1388266548 +348056823 +2100527606 +1209188850 +438867491 +1014570716 +1405531535 +1929773398 +76537069 +485094266 +1680951787 +1023745838 +20683325 +690017849 +358323927 +1994727503 +864824198 +428033415 +955864635 +880691641 +769342633 +350873172 +59454976 +612140565 +1712300535 +371691440 +682034087 +796218697 +692227248 +1668339079 +2060675784 +2080493796 +2016395902 +2013719742 +1142198999 +307779745 +880806810 +400246886 +90069495 +957343879 +885341152 +1771021283 +1981089717 +906024477 +313555484 +191929996 +753268332 +1178379683 +619963411 +1709132968 +2059071324 +1389306044 +2060006140 +2118526301 +2001446610 +1624823027 +342734093 +535997049 +273558076 +1034961341 +56852480 +186750212 +967971490 +2073248382 +52986306 +2110170489 +233544479 +933793116 +362933727 +323613974 +1891136995 +1248274879 +2094635257 +1724743064 +6815709 +260707094 +1916673061 +760084041 +1439086777 +389152824 +321733361 +1350674453 +1778458869 +234255853 +1321717106 +1632421831 +1859078881 +1664451199 +20935232 +2132636957 +551928893 +77787712 +171903522 +1519900383 +3552446 +224889828 +1482587224 +237096925 +1158682945 +1845520951 +560710899 +902336292 +946312182 +507862509 +479595709 +953127891 +768569603 +248785122 +1713211933 +60172732 +637937946 +2034945294 +1410847185 +268913167 +121717500 +585080644 +1901334998 +1980796381 +102048195 +1922270230 +1965949690 +653977088 +2000057942 +2137853212 +26393823 +2003610388 +215259393 +1508981047 +93223665 +1373942338 +1207018350 +653934565 +128794982 +5846885 +1161797074 +608390691 +958974776 +1930366677 +857175813 +524703061 +1990539409 +1495113760 +412164708 +1253902946 +1764026927 +533882208 +1838983590 +1517878278 +367194941 +1941031786 +1292664860 +185660983 +447525226 +1145239155 +176030548 +473919050 +1001365895 +391289941 +1982900097 +1094589561 +1765232279 +1042434800 +1748524126 +1894027261 +1048281685 +762837552 +354934305 +2007256461 +545720581 +1212110118 +384475875 +388776342 +559740230 +796640583 +1642679288 +176283510 +1330522791 +1334179231 +1694161788 +1697717732 +1127727369 +839343000 +1883378715 +1575252595 +1984582155 +2059409263 +2049171645 +838464403 +303215556 +1884588095 +1933053964 +2068447835 +779539247 +1534094442 +1814991449 +1827820932 +149448346 +22442106 +1687593745 +695168927 +1234552224 +2072069620 +1083945269 +1794292455 +721226555 +579140909 +1970575965 +2051749346 +1913320140 +1517254105 +1601983430 +893563861 +209113457 +1337878498 +321332809 +46211965 +1249804113 +223020806 +884676368 +1553019670 +2107608901 +670246684 +1473983857 +739664500 +56857478 +1141491658 +420001784 +206305824 +1163933764 +2107595530 +901474751 +251002341 +2032181502 +1985420020 +2045294796 +605924410 +417077281 +1868387113 +510190108 +182913774 +1238157570 +2112173539 +1076477635 +1447271027 +1302568389 +1397810444 +1493482992 +404888854 +1620831251 +230675712 +1957908524 +1580956504 +900922396 +1284408734 +173137357 +957779874 +278416744 +593139141 +1164085698 +1442350509 +553251023 +2065560449 +1693352850 +437948878 +1903496821 +1591163998 +1043873288 +173090455 +1312067463 +1554063396 +356004229 +402741385 +1518753287 +1432481864 +1850012412 +673838028 +682808661 +1196011757 +1078726883 +156156264 +1426687469 +889151759 +1737112768 +180126218 +26076845 +1910250125 +1137906092 +304493590 +355905619 +154508143 +1746844099 +909156642 +72584944 +1292713301 +1347105520 +1976081766 +736393651 +243495160 +1688573 +2048461114 +1797558557 +357692802 +303718851 +1168828196 +1790174666 +6247615 +1842666225 +325499679 +1202259372 +773909460 +481655943 +481463194 +1663061219 +71285064 +661589412 +1689138065 +1981535189 +1799495504 +1993631655 +189957160 +1954003647 +1592992106 +1099113803 +2026588592 +738221759 +298735675 +1855186710 +1474615410 +542230836 +1856875283 +1375592876 +192305745 +67084437 +1679311727 +1361133941 +1857259103 +1685559342 +1056316518 +35275135 +740335067 +1830225978 +516931078 +1221798261 +1345803550 +588216142 +1883387673 +887457967 +422267684 +1535399529 +733605974 +612224844 +1341919529 +179114432 +1711338647 +1221024473 +917336191 +2010074323 +928727535 +244467953 +404821511 +638119170 +1620060829 +597127256 +705203607 +1151888908 +1958261197 +414979062 +689964602 +867094068 +450254197 +1430299669 +549836398 +967185276 +504614282 +1895639948 +1555401418 +240518307 +635614267 +1977669102 +1775917837 +1369220241 +442410299 +970353718 +1548334673 +6265298 +43894543 +318187216 +2016339621 +972622078 +562655169 +273677484 +1610741248 +35232350 +870804740 +168461207 +1187121258 +681582290 +583440269 +1877085861 +1548676358 +1033694467 +1159901882 +2098512756 +2000879743 +1664516165 +1846669057 +1408797513 +1905034472 +334799676 +1238982968 +1533468661 +1704019918 +1681393267 +356338731 +1104870943 +1687658565 +400233274 +1423058160 +1556514539 +1372855352 +1985713329 +1830192023 +836112952 +2020945680 +553513116 +1004574159 +1060583290 +1235095406 +1588014429 +790185503 +636288116 +474225248 +1950087386 +587317224 +327621343 +1467119903 +286502633 +1736418856 +1224670727 +621302310 +827918176 +610655741 +177838580 +361827795 +966994472 +1282709523 +2049486361 +1367227747 +558284035 +1458517252 +592599451 +396513717 +1141225627 +1428712404 +269975749 +1694738743 +285802915 +1330559039 +782350501 +1873817344 +2120744543 +1418638617 +200558944 +1923348281 +2005955842 +528180287 +1242984536 +144974827 +117115496 +320171615 +766277137 +945033672 +930827356 +944115717 +1306861468 +1897821829 +79341593 +1208864181 +1117565928 +637625628 +519897785 +1710165379 +1034139345 +1661123412 +991394135 +1304115094 +1208378508 +1277197051 +487190486 +1990729009 +1003530747 +460451381 +1261883979 +1204089692 +236316014 +1120356173 +1732269979 +1479300550 +1265331000 +1849385475 +1799472165 +2031608138 +646935500 +582815874 +828240207 +1953796968 +333154055 +907581800 +1015177501 +1450719983 +1545207429 +1535075286 +1013401714 +431863126 +1048715050 +2004795850 +1735978221 +109609910 +1134509253 +75685059 +2100338920 +2138040000 +536136440 +1214739251 +1194646044 +772452454 +187611776 +779432376 +104269356 +1452942776 +481334203 +1903741521 +1337067266 +1128269703 +339073747 +17823826 +934583023 +672227802 +925405626 +1949760524 +2122947785 +323129407 +1337352162 +988865852 +754992534 +238583565 +846178054 +343487107 +348193475 +1980687307 +419172166 +301048747 +1971243659 +955308606 +1515787998 +1018406056 +1727761060 +1703399774 +1797838432 +1832030416 +1008858903 +131688987 +1588288289 +198442521 +1259958691 +1927362037 +216266347 +47058066 +452106191 +1141671974 +1996818591 +427570329 +1464801381 +1186687105 +1416436181 +72310267 +1425270670 +115130587 +415797374 +1773464146 +2095817894 +834969540 +2074512893 +1919577905 +1790278146 +1442817244 +790500313 +1370555558 +998733370 +440855097 +1055102326 +2007592273 +572544085 +495906968 +58551147 +1832502776 +275785357 +274817494 +1879560842 +727891548 +1416489468 +1728895785 +1155461877 +733807202 +768099243 +424414410 +806117469 +45886265 +539544997 +1221914844 +1819350411 +487879243 +2056884384 +1746379657 +259973501 +1699678883 +1041713253 +1050473814 +922750793 +2040446623 +1491328912 +1977853120 +1900555249 +2063872997 +326276440 +1959106396 +1748892125 +602061797 +86440242 +1480969319 +1329953345 +1502929711 +1062381457 +337931575 +89253265 +1830480700 +762345985 +895370734 +1876366965 +1301890983 +2117285578 +1548233729 +1789770226 +2026686315 +1147129738 +2049743727 +1578881550 +41359343 +952733894 +354148695 +2081805966 +296579158 +184518167 +1834877567 +212968507 +510794607 +1646500315 +1961860632 +1112856404 +1732940558 +1295346303 +295326102 +1088386621 +210244112 +633257677 +1177639886 +2040724812 +1395603662 +2073010620 +1769608130 +550010997 +2042812551 +1170358211 +192297576 +1922015218 +170004301 +94557655 +1353413120 +211363644 +1047291549 +1707561815 +145685962 +1343870707 +1892079983 +1980563530 +1556839214 +255390942 +1479580197 +1371216198 +1368247347 +1065037107 +519078854 +1663573449 +5940080 +729322966 +149347478 +1183579966 +622564131 +1544951140 +1109106939 +244688613 +2094962138 +1004435842 +1415046824 +139776066 +778967412 +1585051125 +234333721 +2132380532 +1796414769 +1281625271 +1692458699 +1942100731 +478012330 +1437055034 +1775180613 +2034851545 +1692445977 +1107277163 +1258584095 +913209676 +24830622 +1777662949 +429299477 +30770703 +359502268 +578646955 +1214350669 +982066399 +2123598095 +175973960 +1226755012 +2071076585 +1180409802 +494318188 +63369003 +1959377214 +2079369313 +297702725 +1944274098 +1728300434 +1579327996 +1489249150 +1522917517 +2057340326 +778820536 +1150614483 +1944708223 +323782865 +110407998 +1055808671 +1236992541 +135238620 +685987972 +1666292018 +166009323 +1045490240 +97455325 +1380359993 +2027556639 +73569773 +1556333953 +1106828003 +2144646358 +589260108 +1601146191 +60531714 +401153674 +1533031856 +358234439 +197944125 +1113848642 +1937562435 +1687193275 +489282512 +1847419113 +318530163 +1639896995 +1644643689 +642313029 +1750304993 +552968712 +1879305570 +1885543613 +1238956684 +1398113941 +2051552937 +136963277 +1495569266 +1284429282 +17036268 +1569139039 +693279587 +1123864272 +1566301750 +1282539695 +577526815 +1626833464 +1683693370 +2110558672 +1985067903 +1881637495 +1076923666 +1775146690 +1421347122 +1566206178 +1475082155 +1739877285 +1058619525 +972242196 +234706666 +661440870 +1525210908 +2114012237 +399500836 +616683945 +1364642530 +303570125 +753647222 +712728148 +1587999407 +770683490 +134383540 +133795346 +1894547762 +1700685290 +1416335042 +324590930 +1180035106 +952544764 +287665954 +1017619361 +686698611 +1364589620 +645282403 +2108045733 +783312151 +2120364558 +1700439370 +1841931676 +945123107 +1935146037 +355888899 +322850367 +1901674626 +755389735 +939534312 +1118833508 +1058959860 +1693181534 +1831561656 +499475619 +316381377 +1965945196 +633270965 +63445491 +1519146838 +2049606007 +388036421 +551698296 +854667123 +675702375 +1569317657 +1541365734 +2040291996 +67116412 +1501927819 +676120499 +39997323 +1054883542 +370568527 +985120430 +842545931 +726457426 +1307970797 +596736909 +1481847161 +100021462 +1715570417 +393323373 +1793202996 +1399648425 +892798992 +2109584373 +1218109974 +1526069958 +25546217 +589773164 +1428192317 +413582638 +1141471461 +135375793 +1089285014 +563305470 +1676741527 +982093362 +630421883 +1031185699 +1658213861 +670419206 +2086069241 +2028782388 +1655539636 +781131524 +607756167 +816026785 +1377868433 +2089603328 +916048247 +945955202 +335443054 +561767596 +198119979 +1228242046 +523868321 +1416229953 +606828356 +549414538 +2006003118 +2035020674 +962997177 +999990931 +22912819 +2052282191 +1563296401 +1699654346 +886891905 +46234636 +583356397 +397622118 +716653842 +521941990 +278920858 +224709830 +1303073514 +886677025 +1040736616 +533458299 +828796706 +1956784863 +1479413501 +1164239760 +371068811 +1677533481 +244998158 +894937133 +946279786 +851826515 +1444351671 +804799256 +739363541 +259865200 +1804790187 +762276360 +164663743 +1220602941 +314447058 +1051555648 +1266837577 +897803456 +1449177766 +1983491420 +1419745446 +1728098625 +60717602 +575335313 +467292002 +1101454218 +1108793612 +1296088708 +910755434 +440723466 +312844820 +1281824245 +2118256947 +557842979 +29277730 +917053085 +1409669494 +1473629402 +1721852342 +1549387 +1733494602 +1379158881 +763825747 +1898158346 +452278174 +1078272805 +802230346 +1719115752 +1976076261 +103924465 +1555123524 +1248338060 +1832023090 +1615841126 +1823673373 +151831444 +569811697 +784983337 +1447920153 +1480567131 +1225706803 +1760764973 +614907728 +1196480102 +171124304 +644185459 +2113533188 +1580793798 +2117814861 +1687901882 +1582343185 +1703825815 +919577115 +198685284 +1454500513 +1371855290 +1276958090 +109247212 +943487394 +1105550703 +213171677 +351127270 +206405115 +2045194767 +1966968396 +2030078488 +49542563 +389296445 +667578178 +1497462716 +1869863576 +1893284981 +1110744042 +337287657 +942281436 +1281868346 +981473116 +908330976 +715178497 +951804329 +448749210 +150038034 +508146496 +1368326325 +348723319 +1962647010 +592697967 +1625681409 +2071894222 +1536185361 +583748464 +137582251 +1887312631 +790153580 +35293370 +1706797380 +672748420 +84835933 +2096093825 +1340326598 +1582298650 +1818473754 +1086127932 +545559044 +8277763 +2028409368 +1827427390 +989750879 +789256696 +395122239 +1941555208 +1238005906 +545160274 +302218056 +458848583 +893883593 +117381418 +1051546551 +372081354 +41791992 +440248264 +955829818 +179374243 +180077248 +1745983398 +214667613 +1886874628 +271248171 +299503547 +1835484805 +1611574769 +1881802197 +1506474911 +550219053 +279877593 +1514752674 +431144773 +2107304983 +357019905 +1220401469 +354943575 +151091465 +310923727 +900103849 +453309522 +769772311 +1793987442 +570690940 +1821318862 +18585148 +612482933 +114083478 +974414966 +791857176 +294160726 +572914717 +1006524790 +33551706 +844162888 +1306028337 +1869036512 +308254009 +1040346886 +1228027775 +858473063 +1320224479 +595296802 +1289617836 +1280045814 +952316707 +362535658 +1634989389 +1103408173 +673459385 +387609590 +1556717695 +1443231696 +34113384 +2127408635 +1117066910 +52698532 +592407920 +1231150389 +1027113499 +1384265097 +1525311115 +1600028216 +243306239 +1558862822 +296707456 +1549334576 +1280415686 +604961465 +442197814 +360959813 +1463434528 +1762422293 +956256615 +605568717 +894984459 +1908573323 +968104375 +382490201 +864497848 +1641563760 +770099791 +273731895 +937311809 +804213176 +253656882 +2054378719 +856911708 +846064803 +1138045460 +1884025207 +82846252 +515872928 +1336569775 +326152491 +2074735750 +1633277231 +1875487067 +1207667788 +90755049 +170201233 +1568627601 +1554189577 +1932623526 +377400569 +12274646 +680124337 +138490244 +980379021 +1062614538 +1002988092 +474459134 +1832714330 +1276719987 +1411770943 +489443858 +1530376869 +1318666014 +1346355566 +228958024 +309227827 +1082897126 +311804276 +825100755 +271983253 +637956767 +752352857 +1905260485 +365960186 +1960020645 +1996015534 +536161419 +1381164598 +1402721463 +321301297 +1758565167 +1414996110 +1001425635 +1897055411 +247891483 +2064040173 +752559855 +722350617 +1749270855 +2029279842 +2134121560 +91231065 +1412173064 +1305303927 +1437586632 +1641131088 +1614531754 +373000110 +1952935365 +292148861 +644983363 +443408484 +1044501718 +402760200 +809368671 +857038715 +251292086 +1345530090 +90719665 +1654013550 +1666831388 +1849284833 +921526012 +520773375 +1598856596 +1169417495 +437329900 +203932804 +1891768113 +39117108 +85728998 +1878406025 +130348173 +1497902062 +1036226304 +1567934805 +991549503 +503274410 +1940934915 +797001220 +795423271 +438434631 +1240409704 +1839924989 +841194831 +2049778375 +549480056 +1092486918 +1247824818 +640199722 +599016820 +767172558 +342000907 +1520542832 +1287945933 +1940857503 +542476679 +1725275833 +2144790307 +286761144 +1764392941 +83035658 +17683522 +1894741115 +1580937720 +1053909826 +1315192272 +425003575 +1557184237 +1108643540 +1222004795 +205123860 +1547078171 +314930852 +2045048850 +240789354 +217225579 +447045258 +1333276272 +1465050397 +1087244980 +1932293092 +84739307 +1429245887 +1305352276 +1372685240 +1222619743 +1847828956 +950477426 +1219926402 +2134590100 +567386719 +1302962060 +4789974 +314644186 +736416133 +1058699801 +1629836459 +1161419708 +468400390 +590996351 +235940856 +673524250 +2138074522 +550871708 +571089452 +231380228 +768097287 +1018134711 +1564656501 +85664037 +2105379691 +1349465945 +170403344 +1387141931 +507334574 +1543088585 +462278026 +207679882 +346082363 +1682204428 +194786334 +913469082 +837682841 +199576309 +1228113269 +1574098974 +1258276110 +710466080 +588035034 +1726676500 +1301462431 +823975890 +252717102 +1292053305 +1374847598 +823806555 +1523433533 +2142944886 +1841941266 +940606386 +81125275 +1799837309 +142588684 +251528619 +1039495592 +649923258 +1794617204 +1501773618 +857603140 +2140699567 +1036494399 +1052389474 +906685002 +1874177240 +1251965783 +2134798271 +1300792566 +362758245 +697780703 +1888827600 +2089434745 +1999243134 +565319843 +194668200 +1143812791 +1940167441 +1018474755 +519762676 +1935628679 +712932373 +1460369063 +2016753954 +365286034 +1602957747 +120798926 +1404781627 +105397357 +1915416130 +759071597 +963000497 +1908632050 +1795565996 +2015389971 +667833404 +1522259588 +1119872107 +655148027 +675568506 +1482630352 +1352928730 +416912459 +1424581450 +1204688216 +982232302 +1619249650 +201017359 +774916095 +490240757 +720780035 +563061127 +1203173130 +33665450 +432331433 +1568459164 +1636623197 +553130359 +825757143 +1742020554 +321062842 +1584828741 +557537403 +82211244 +1232911089 +425443727 +750044648 +607687030 +1545315834 +1405192675 +1283255536 +880462538 +610637757 +1700167995 +157560340 +1815325973 +534916649 +1776809990 +2016343332 +1309832745 +119567099 +589639719 +1872893872 +1322740229 +623305170 +157741657 +743715746 +112444719 +710872017 +1569472889 +1854465274 +1031934859 +1006817982 +264519029 +1114146103 +92245424 +689962756 +1864190751 +699932454 +87794942 +1121899778 +1983187990 +968257481 +1732537535 +1535872338 +1125817821 +1400379860 +2070788987 +755144164 +1269239544 +1233138084 +874711263 +1858879263 +958548308 +49967845 +334700785 +1116289966 +793683591 +447145505 +1827161983 +215672832 +154127131 +711613194 +1222490815 +418646160 +1825759297 +1314736239 +1108608917 +1542466400 +2014668693 +1196403859 +516882530 +1850373035 +17177692 +101936417 +1238761725 +1142995514 +1502316277 +1162067065 +1898139678 +624072173 +247721501 +625367293 +335467788 +1206269810 +675335138 +670168574 +175076128 +1469018729 +1117314079 +2002238111 +1684691562 +1271441210 +566367657 +759698729 +1690087370 +244643306 +2074434968 +651212639 +1787109706 +1941620013 +1847616499 +156508588 +1644509400 +1864794191 +258445005 +735787478 +860306057 +1760761282 +1897854543 +610962087 +237349807 +2145576044 +1236329381 +572817595 +1204362206 +1911664519 +1242986169 +1379438334 +1233199601 +212816600 +1234192797 +770407515 +1484257810 +1800560454 +1530106244 +1026861533 +2045203760 +1457057564 +1678074172 +1684829818 +1251193929 +1378207023 +1841338406 +748219681 +1095517567 +2099783411 +1484007159 +1955823624 +1713061045 +1234378054 +419302064 +1950410852 +1232470451 +1655631445 +375744800 +289349009 +1419812316 +1618730969 +1668787344 +505528269 +1831547570 +755496493 +1275935784 +1168321732 +408573300 +658558380 +47699617 +306293412 +2115615944 +1725773790 +1991123231 +1219326225 +956497165 +1684977989 +1967545907 +2052014732 +1637277753 +1304069418 +1860354709 +1202855150 +390963825 +132173125 +1005782355 +1623434276 +1787804570 +1381527155 +1912783285 +1060133238 +852774476 +1434086981 +1565661508 +536838398 +42099827 +694113644 +1705160131 +450673127 +1352672025 +1752859748 +756966539 +1320804321 +1331149890 +600606122 +392646899 +140163408 +138100464 +212709158 +44694492 +1775378217 +1516778576 +1905049201 +830749719 +1907742401 +2037222326 +1836532074 +1383693029 +1677543248 +1070575581 +1148992667 +590192839 +1923350058 +435596000 +8370699 +312704808 +477695827 +702484343 +2017864939 +928368954 +2055156368 +1623241040 +1685335494 +1228477042 +806907282 +138457968 +1621123941 +947070690 +276558432 +1833833099 +991765183 +2051936649 +1203128027 +749330736 +735202721 +963386781 +639069415 +424251147 +199596162 +169129015 +1494826729 +1348588829 +759321854 +1270693139 +1784184830 +767692553 +1583397947 +114397009 +1470176897 +1453779239 +1042765964 +1377849617 +929536631 +580617810 +458843011 +1736443913 +719075778 +2079966952 +536030956 +995634211 +1766316403 +1527796139 +900087212 +821960783 +129643227 +1635289933 +1785347564 +768712642 +2059541081 +1984943726 +937841658 +1406884162 +1186048908 +1697163512 +530093653 +822750090 +317372418 +2113491600 +937147099 +1787549315 +1419787191 +1979913063 +1017915284 +201840174 +413047225 +1476758296 +1938284088 +1132123004 +1409241600 +326831396 +2127757215 +1028074356 +1854627535 +880360779 +1850035139 +1984270762 +368167065 +1487899055 +605499757 +280224498 +1325359133 +1543341415 +1687108660 +363924393 +1093021279 +69718665 +1186674483 +1410393697 +35726617 +2123821583 +1050459364 +1455513809 +1956250998 +2068374649 +1657353983 +221814576 +1397649297 +1448154423 +1353937580 +659407249 +1774985819 +1334211147 +1687481605 +1482129706 +67088278 +1390033096 +1318916821 +435255343 +730448503 +1924416578 +715479841 +2055807637 +1320274345 +255104853 +272248382 +265811976 +324823518 +1458922866 +1676205674 +360550136 +1435260801 +579181390 +1816063945 +1244028151 +500072391 +1325934280 +1465842727 +1897721688 +626605056 +672296659 +409645290 +254107227 +2006507806 +2097126895 +1736236934 +2073596085 +1339676344 +907670107 +361367780 +2070124847 +684603037 +1076847622 +1978448836 +2004877382 +1331952475 +103213571 +123205710 +1656775994 +1562136437 +1799411384 +2017326130 +849913590 +231109127 +1685906427 +2093941741 +731181518 +864357059 +1412300821 +481419559 +1490962115 +2084597480 +891064849 +1745069343 +1943621639 +840708096 +1333822629 +1869734076 +32900792 +94009088 +83618208 +2103025640 +778612125 +1160465830 +1933990828 +636005859 +344934658 +2037204399 +759211569 +2001710652 +1451857188 +411139306 +1871553134 +154287130 +642248433 +1409975913 +100745224 +1373429951 +126849324 +1513046045 +1854849510 +1617811440 +1450159877 +598430711 +1215397135 +1246297868 +1439138808 +401736116 +968548296 +1472039600 +495745204 +1052166505 +1427581592 +1274357329 +65148687 +1214088773 +1910363188 +410083345 +1103809524 +522091109 +264310349 +408183065 +933230415 +2135863483 +562470195 +1575478848 +1398355748 +663215419 +801425152 +1525205073 +28777816 +508791014 +995532865 +1478937694 +1107221726 +63446352 +577751914 +398876886 +465182468 +1546300211 +1870916486 +960927672 +450983068 +1151014431 +87801353 +516131755 +217619556 +1998164541 +926215101 +1321429080 +372772002 +1190525450 +1729612145 +1306002418 +1178905286 +144598693 +733997618 +429777386 +807814112 +1535422770 +1954982459 +836591929 +2044213785 +803031676 +168045975 +1003951863 +866478028 +745797889 +1402828749 +1331660496 +144614452 +1126261587 +145104520 +595597520 +129792370 +232905873 +1111729276 +347411926 +83586766 +2037944377 +1668841007 +456358769 +1080986179 +1250969504 +1762361187 +112407817 +1395568197 +348875157 +542185204 +55898662 +1884297928 +349684015 +892490591 +1781028065 +1152715692 +1060536566 +637496280 +2019193720 +1806334455 +2040325029 +1203370569 +1950948908 +1019102968 +1348475089 +399062780 +1148895339 +1581380963 +1510792056 +1496307265 +1664967729 +1401252785 +1017664624 +2121326498 +334755317 +121150481 +1736204037 +447163134 +1516718678 +2085079195 +989348338 +1572617340 +1821893475 +1339032354 +317624283 +1455437892 +344264398 +1378160849 +2092934172 +215974470 +1037011657 +1985775553 +1419345039 +840476917 +857394873 +620336481 +1239539697 +2006290212 +54233796 +602848106 +1355113830 +1719201525 +2004100891 +225294806 +1693044376 +191372560 +346445287 +1281764765 +638535695 +1863163966 +1219360312 +1627884033 +1288297658 +893770139 +819432739 +1605921942 +201724383 +1163697137 +836599143 +147174907 +1379671608 +1873610800 +2132950460 +651532999 +566604069 +842861686 +1271869480 +1806143767 +701668250 +1326103276 +261508225 +2056782080 +897821154 +118125468 +134593239 +443381882 +309498029 +481038526 +1725146647 +948033724 +196718844 +797023312 +428434109 +1485016503 +1690793451 +1247866849 +943454797 +1892517835 +264080338 +1780053940 +2039692742 +1643751946 +1506181093 +2025159555 +147801298 +2072785162 +720537593 +1419670778 +1731445281 +1422205843 +598290407 +1992953506 +1331504276 +1496111561 +2111078975 +1466097515 +1939493443 +273093356 +1947136041 +1517156442 +1221127080 +2143854886 +166696106 +1649561189 +1481387741 +1857489558 +749944390 +277358890 +1602523745 +1014024729 +2057412830 +1494732839 +510293027 +1416110275 +1372408746 +658094325 +1341411790 +2092946339 +2077765104 +925373423 +1367668535 +528571863 +770843282 +551689163 +2024683424 +734438609 +2017786678 +1816693219 +1007531965 +1817439071 +1186366013 +81175397 +1813810309 +1353062120 +1730736586 +1147714402 +1063068030 +333197329 +1425073292 +518108127 +1347222058 +1335002475 +2012840966 +1857515085 +603629102 +1237766065 +368125763 +1945040892 +1183228756 +298407219 +722930668 +403413643 +826979082 +1493773950 +955102806 +704178858 +80728911 +825405836 +373388429 +1088260876 +495361260 +1559754442 +1169436273 +161687921 +765332914 +752689211 +1309402324 +1828400944 +1085886540 +586991968 +199025423 +285624950 +1921994443 +64382742 +2143140036 +378139898 +1302148807 +363782151 +175697142 +337893915 +662189370 +898627810 +741307559 +1489168452 +244918112 +1696410365 +45863662 +325647023 +374332554 +419252091 +1413907899 +869693814 +1979006533 +435860524 +1031381735 +596855800 +1188549736 +193300411 +277773096 +126952628 +780292380 +476798520 +412577579 +554803175 +541181262 +408233967 +932943073 +1843330069 +772016118 +1108640216 +33740336 +1434205488 +2007268026 +775047895 +775890292 +104702491 +323974613 +821753954 +430349514 +698307167 +1241006045 +1844257414 +1568000981 +1072528930 +132634290 +451899068 +1669384730 +1321184026 +645199480 +1947157827 +1448136655 +1425491860 +276472699 +1860714234 +1980295035 +817653961 +121464553 +765754461 +513500382 +893480671 +1874394677 +547240718 +180202511 +1734179055 +1322288614 +956092803 +1838881546 +1646263227 +1777846757 +121747413 +197086746 +871369154 +1966004827 +1765087727 +1943898084 +2098639117 +69503147 +1465799167 +1272339496 +714702627 +1265473346 +572992503 +2140194487 +1541946045 +286223089 +1973005875 +212116358 +407687642 +591276688 +725616740 +1301168313 +318187717 +1272857458 +1481370824 +2052366772 +447662424 +289979979 +1743764671 +2093925651 +2067826736 +1865512084 +143528749 +791712242 +1684033263 +1908616476 +588126678 +1635188732 +1978119624 +2053925845 +760044580 +545338603 +1171915543 +1333037083 +538049443 +566377940 +1619260172 +363571670 +778494298 +2026947814 +954848358 +1504111038 +1180632479 +1273036075 +629484849 +514519655 +1177919199 +1077147273 +804499634 +774200222 +1023589277 +724842722 +492228658 +1167118026 +1516554964 +28778273 +928250855 +2104681643 +1663967006 +758886831 +2011123840 +276527938 +1304225434 +1035555736 +1609565022 +1842274877 +1601933676 +1081341546 +58362899 +232944327 +960805713 +1013211257 +1737055365 +2141438192 +138763684 +219056566 +508474200 +1316682884 +1296203840 +1312973834 +2090883106 +172309469 +2037816557 +435628117 +1339427495 +1406887873 +464406390 +120194702 +1364085868 +2128373396 +879081533 +1227726061 +257417687 +35823320 +115798149 +1866982709 +1878098197 +1717731825 +800840607 +1936461097 +1950676152 +1761646320 +802188706 +1540247870 +1755600865 +940952391 +1759304436 +116591417 +110151627 +908024628 +1429565251 +53551085 +1080334097 +1319898160 +489179202 +272277945 +579302386 +953585593 +392472647 +1943388254 +934475341 +1271554181 +1023630667 +1191893028 +1307377501 +1139428816 +911392089 +1037992050 +709676994 +1712232697 +826969499 +512869498 +1326395369 +1629158206 +2053117368 +934512586 +422626949 +1664938157 +1051104003 +532778576 +425479137 +333185607 +586329661 +1505813235 +1653083767 +1075508864 +1778091180 +84902505 +2029094457 +23080179 +2028290760 +816086150 +1294634360 +904437779 +2007979179 +454528213 +2043866596 +771887620 +1492520264 +606059942 +336636669 +172006115 +1118929440 +1663032039 +1801164321 +1024563161 +450060977 +76307622 +542017670 +1501164981 +609086198 +967496807 +1834350588 +1195415860 +325826394 +1339950707 +123441076 +2103917574 +1424853213 +5051885 +2126997754 +1305660325 +821138035 +1274148466 +62614456 +681633566 +1728676680 +2106481052 +1453521187 +1073713296 +565057346 +1790157856 +1245719411 +1683986787 +1305706247 +899400085 +561066300 +1755767225 +975707707 +1103083970 +1109448558 +1584793906 +2070580777 +796315498 +632726118 +248923524 +2136266205 +756167194 +205357450 +1413635770 +761219079 +184871556 +571812447 +1582357114 +1459020023 +634426904 +116507033 +1040213055 +593424308 +1570028220 +2113926351 +1158481655 +1212702428 +1212162114 +694984794 +370925028 +2111562199 +1256051094 +2126692253 +939786259 +211651416 +1088657163 +377096517 +134748545 +1884972661 +1009822635 +383672069 +1873755218 +1765989829 +589029520 +1139907341 +379725260 +773901076 +1711719788 +1962082374 +85437451 +198663044 +2078589407 +1125650506 +792087353 +1501133979 +1092093209 +1950569008 +566352760 +156771676 +498070154 +937277788 +120850227 +1754121248 +916486393 +1060636486 +1965772664 +2005143556 +1437733003 +2100521209 +1742632569 +300071990 +336709631 +1468904139 +2066061819 +925739151 +461327832 +298303431 +1699640227 +25563973 +112902158 +1785077679 +224227017 +44007917 +763244537 +1016314370 +1545141897 +1855337747 +819399730 +2111494657 +2012109423 +1317469884 +901288797 +2132959650 +924107484 +1817775190 +1046112489 +742396500 +1675435098 +336361844 +695434062 +1270584019 +636433835 +1032143693 +592004510 +555012006 +1957882844 +1053332343 +853315438 +1510039423 +1078896316 +966217596 +1147633454 +1303123333 +1010225513 +1910877992 +171954056 +407883762 +1618732091 +991353786 +371894771 +1483357866 +161340023 +1273183568 +1468833868 +1085447507 +943475110 +367462709 +1827844008 +471426560 +703824554 +375794422 +1742010579 +1340258389 +1407938115 +186531442 +1895270395 +1218337311 +1239863785 +601102185 +580893086 +171276453 +1567319781 +1728526541 +1474399786 +430061647 +1491920885 +1646353842 +837945409 +963169328 +490223981 +1209840181 +299043546 +651564004 +335540101 +1767877414 +1737011511 +1279015212 +2135340124 +1417371871 +1750441772 +691681030 +1793166293 +1344968704 +2031939419 +1053620760 +1531500146 +1779726166 +124474423 +623880283 +233344704 +705367510 +795156736 +1800664485 +286410403 +122072874 +83242484 +1778331288 +1768426717 +921187894 +594016968 +111167050 +2131028075 +893060514 +762731054 +319084528 +513454280 +352258917 +1598099740 +501310756 +1769630789 +1201057865 +1192991786 +1415313434 +398542921 +1077447557 +321450547 +1930043067 +709690076 +445924970 +406439702 +943034780 +1151292480 +1201596438 +596215617 +1437702883 +1323669312 +679458102 +1068550523 +944612381 +1600645996 +1662567491 +1055779431 +1584190423 +408144357 +1818510485 +1903274951 +921598638 +23285755 +1353891044 +1422909394 +1792916544 +407465261 +468417533 +1060746330 +806008182 +1545865090 +1382196877 +588567601 +108071518 +1828121848 +995007303 +1051106298 +831930680 +49120093 +1647321916 +122149916 +1372789405 +179296370 +1190700439 +169918139 +1779942366 +705784283 +1225697570 +1216649141 +1113928640 +896724408 +972440444 +2035527278 +920010163 +178847840 +1310953025 +565443059 +586313101 +1779370558 +1626189389 +1392321283 +1177752000 +860902619 +1980888884 +1285823519 +541540819 +828412539 +189446169 +1373471499 +877532632 +1836768085 +1495621415 +102838390 +2016064455 +538838207 +272756529 +1648523173 +1244622490 +1498454099 +717688666 +211067482 +247694859 +1690129111 +99111113 +1167705022 +1868976951 +1410064138 +1733148081 +307806405 +1041951048 +1211853823 +1700127688 +72219400 +2072756442 +1533532925 +1358042919 +466813613 +214461816 +1547489089 +1840285112 +1091994449 +1236773526 +1188422880 +1194832839 +1105354334 +1727261087 +1467589368 +606393859 +824399929 +818559819 +1324082526 +1035467411 +1066254679 +866727989 +1134578524 +86476053 +588221292 +397159014 +1819624135 +896027697 +1439110062 +883994310 +448671738 +1511329463 +809267104 +1982204663 +721888734 +1276080717 +49182831 +121894175 +968882181 +1141177280 +1358667702 +9821413 +188526471 +316538388 +1737082500 +1656115839 +922932247 +413998781 +327192011 +99531125 +1449466193 +1393446690 +966259114 +436561069 +1479922743 +1554480407 +833720084 +1152063230 +303024456 +125346498 +2036057540 +751696194 +1636675961 +697840996 +586417209 +211081048 +1973921713 +635600041 +332975223 +795320247 +1776777321 +1691642925 +805141660 +1965303793 +2008181313 +394740513 +1473935984 +783629913 +808739294 +1801127995 +883161038 +110721839 +1047091037 +1849420153 +547282909 +379530133 +1256416912 +1381002993 +1531593363 +1559441368 +1506349491 +1420167256 +163653915 +995541805 +2118008252 +750071124 +1206622853 +1944446318 +1385671165 +1539598076 +592282917 +1014964839 +1083757354 +1397424577 +832784984 +944455019 +1792165090 +159237320 +1728084932 +453420737 +1960365316 +463762323 +564142576 +859972705 +165698828 +1111425485 +1239502838 +1422115740 +344944830 +623612554 +834073460 +1851294322 +2043779810 +997727375 +699352479 +2014304414 +1747798500 +1905975332 +1811267084 +985986017 +1298089760 +256066353 +2000950856 +234363466 +1653490931 +686252192 +1178818486 +1298172373 +845489513 +759419770 +1751593110 +658371181 +1223182093 +168252039 +1518343886 +1388880921 +1279677524 +610363077 +663513013 +1624622355 +1233975631 +1497586474 +1328433029 +1130271793 +347830201 +2027785508 +997092559 +2095628701 +1786277192 +660875996 +934131071 +936883304 +916942349 +787598279 +1171246771 +422949632 +1473850472 +202581609 +1721122006 +171856337 +962001379 +1325231468 +830227518 +37699825 +1493483507 +201087756 +1426580746 +625677384 +811450833 +2090093760 +102816091 +2045426464 +1440196586 +1431249120 +1028214609 +1788026787 +1311550980 +2025307169 +1736171841 +950344524 +538699517 +522819264 +1887227828 +1455641866 +1310417543 +910990951 +1878591499 +636784367 +1113572560 +1452229857 +808640704 +2075573940 +629977677 +1638868222 +2113273765 +2123461185 +1839955979 +1392370863 +601654921 +503923164 +1334980975 +704471012 +401865981 +627693913 +2135720132 +1430080590 +268237053 +1299787464 +1307904111 +2004408894 +102648340 +1846603628 +379744510 +1989876168 +1154761847 +1690162053 +753383472 +885869698 +179462773 +1866956032 +190615907 +988103477 +1795046324 +820593584 +479488052 +1760836441 +796571121 +171960383 +1005723657 +1398226042 +675883547 +193220984 +2102697054 +1077749528 +820914898 +2090933538 +360346471 +1089151951 +1243237354 +1668250582 +946077197 +1345885694 +1367370563 +1325821707 +1188278215 +374648762 +868500112 +1941661687 +1260518460 +1047962885 +1661134071 +1451134367 +2036066363 +1308696748 +124244303 +368070767 +922049541 +920815425 +540031150 +1927773198 +171557819 +1215914697 +2120994183 +126771226 +146180578 +794425433 +70221116 +506527049 +1883577384 +1313458471 +27293983 +682170933 +511860517 +1394664546 +2007992640 +1700138732 +1769313308 +729009104 +1494316771 +882348120 +1776971990 +1007967195 +185998839 +1665554705 +169180295 +310243143 +2033625472 +1091229836 +1231058568 +426172974 +871519387 +1402616387 +1642087671 +845029922 +1529387613 +1788268249 +1639455355 +1599608730 +147311650 +1375549091 +765583553 +174605634 +2057720024 +1277444070 +1569270180 +1918229016 +830099155 +1191099841 +499754472 +176932278 +2073447961 +129242814 +1184899473 +111963153 +1794797519 +1354079768 +422206296 +1680939343 +297825957 +1653264864 +2107112317 +1169345344 +908397603 +1601716341 +2014375266 +290301569 +1242500942 +1506346973 +1889910299 +1389812593 +734412416 +508010204 +1564418227 +644648792 +1785454274 +986204759 +415394160 +468069781 +29820952 +915148632 +645002060 +2103268914 +1044391447 +1829901533 +67748419 +691705318 +1036497654 +489954715 +225161014 +1334323611 +2143219579 +184789683 +356185307 +904133534 +1786506024 +223076925 +1194435103 +881523319 +1729423898 +936861754 +123852264 +316352666 +1444871958 +1688270491 +961001458 +1082842585 +526991602 +1376395618 +1550912366 +556812555 +144060602 +48430778 +512597821 +1188452049 +1878332312 +580346240 +1880157368 +767346318 +1070300955 +2105318382 +2101669929 +1066036886 +142624417 +310371588 +1970170420 +1929130442 +533448513 +1017121876 +663170113 +115388763 +1953983630 +787022377 +431741429 +1251371941 +327809220 +1392742887 +186730878 +854800822 +621654857 +1737643244 +1411613377 +765715459 +1786074023 +1924211198 +1954167509 +1516922687 +357073790 +1686841229 +136785357 +1427374745 +1644675963 +90971638 +345927983 +1787300380 +401343226 +168614756 +1568947174 +934791739 +1185736632 +84633639 +1050180502 +992236614 +871656016 +1481921931 +96124907 +1199465236 +727181170 +282855785 +2054266059 +1348836027 +2020499030 +1318395788 +2114551486 +1659089405 +1095123339 +1921235347 +1028528444 +1452197129 +1460592928 +1165313801 +732088227 +957785243 +1256285439 +1078016210 +597601976 +1657628665 +1246630966 +19065502 +444936756 +284883950 +103699142 +1495117258 +1277120565 +975355158 +829555541 +1373245472 +27336747 +1556736711 +1656101258 +2081602806 +758089090 +1529116640 +1252514946 +725156928 +1040722397 +200154637 +498908628 +2069250841 +1652351767 +1959501556 +1087080994 +236956346 +769803152 +195882785 +1314972556 +1367405128 +1853511450 +414119875 +1386470630 +150964558 +699003825 +1490169772 +1646081816 +1976124390 +318041283 +328153709 +1201886215 +345378030 +1884890420 +710503825 +279497188 +495495862 +92136817 +1532012134 +1220652790 +1132859214 +1732166772 +1719561418 +1054626407 +1237034891 +1531579327 +2141707401 +1473991237 +153898831 +190106538 +641480145 +1521303959 +2043617988 +1055600020 +760290941 +47098898 +1754603846 +102977066 +1693180714 +1583244588 +421018349 +2021334423 +637647155 +766396379 +1758741195 +1348150980 +1045893567 +106753409 +1440287797 +430422053 +1327406199 +425663363 +15105177 +899483970 +1480289770 +1252140068 +283579649 +1474513523 +578647657 +437478480 +1664620061 +1220127803 +1958782439 +1560754401 +128244175 +571589732 +1607853299 +1882848021 +674566798 +1153550365 +1318608962 +1095585147 +1027401140 +1956256117 +1861981526 +638658687 +1156923450 +760391445 +745412096 +449727599 +1190813499 +2072818296 +875390963 +1205918676 +824818618 +208197085 +310575097 +1108398267 +1682710609 +889222754 +1545876747 +1199847022 +2109350557 +1357175538 +613117776 +90111085 +1928765270 +73487427 +1972959106 +455848421 +1227037793 +1144084420 +1551433568 +106955285 +952856890 +1265931447 +745613973 +2109780340 +2026322892 +1491026069 +412024291 +1069652743 +1416360717 +1287415254 +128087772 +93695687 +1495612340 +438662869 +1202093954 +1030839301 +1327885623 +600487053 +83202675 +1289752533 +1957662591 +696320451 +1379863618 +1738944214 +769807879 +1205339076 +47308987 +1996845672 +201939849 +1598742555 +2103800957 +1154796739 +717190354 +701931282 +1117093431 +596029599 +45473704 +1529117722 +1665682342 +1461834421 +669049329 +1793770114 +1555530109 +17178021 +84949335 +610140415 +1048017322 +1412834959 +1210627469 +1131219997 +555103844 +1020806412 +1827540449 +1934967462 +612266978 +449864680 +992822890 +659575965 +299226704 +1194762739 +110834873 +255544013 +202075830 +828025227 +957475296 +1319169261 +1424054826 +1002949000 +700803336 +942253521 +317299773 +1369852665 +588539987 +1872829882 +1387030686 +673489323 +335486650 +287564360 +2086324282 +1546114119 +1418784357 +493944478 +419436883 +1098841158 +281428292 +1031703862 +1548705838 +1274251182 +1691279827 +1847932542 +321530274 +1802114700 +2103476556 +523606104 +482656280 +913468204 +1842775366 +1906711106 +1916417204 +396095054 +701480979 +86233329 +1765947719 +1290020967 +1959063212 +1005494757 +1963510290 +147066214 +1293059117 +1902350924 +1693180333 +564359826 +248811754 +2112617216 +1663200985 +530240046 +996837430 +1064423175 +1804491228 +540633610 +764872070 +2126021502 +195264662 +720864978 +502143959 +677920942 +1634333182 +197435677 +437148401 +1403266738 +593530731 +1138629380 +1489500067 +211994802 +281166699 +1301079631 +1217489559 +97193341 +1448145845 +363065028 +1999544265 +993842530 +927424854 +100872371 +958976099 +443142191 +631112417 +1955813529 +1507565367 +288119998 +348963491 +124953789 +266657852 +544228154 +845818767 +768801811 +1222149096 +332668301 +966237488 +1659297497 +1735935039 +1559768219 +650443230 +1077951458 +1771763021 +931609929 +231547442 +841768932 +1028803271 +1679693287 +1204833960 +880863888 +526052170 +2132258815 +981736260 +1485028269 +427917358 +1612848677 +1293358150 +1935482725 +1900968675 +1642321642 +2060436514 +20142880 +39066148 +758771633 +788944691 +1261215244 +1091439934 +1755182180 +773029094 +679891325 +1167466751 +1423472324 +1757842784 +791746125 +207598605 +1989390226 +1633515057 +1236401876 +1521599865 +690865370 +2117265765 +2047652035 +675640537 +951518377 +1385196656 +1103557895 +416883406 +531071159 +891556973 +170368434 +25909153 +804509839 +190511314 +64975301 +1563281473 +979456005 +1326190545 +507237759 +587154537 +2099219639 +1187129085 +1754621289 +1375208315 +797488221 +398883766 +1582806921 +639394799 +2032398823 +671725149 +13511016 +575780545 +641507266 +2061163052 +1251421082 +1593025643 +1298876060 +207495330 +2009909050 +1829947219 +1099052303 +32793836 +1855856372 +1903562142 +223305150 +1920831673 +1319359967 +1202761155 +1099538571 +1826597727 +1789915693 +1051274562 +866243164 +1397053334 +278999230 +1663731385 +1795937100 +1861806151 +155642536 +1680852275 +386047652 +169153552 +109149173 +1027554919 +82832956 +1360570255 +473096914 +1381709017 +1568065585 +335522316 +1064172588 +519634240 +368316152 +772545313 +275712735 +591621302 +545893338 +1595072702 +1794382458 +1645431909 +1274186781 +1436814503 +549222824 +2140429945 +686384189 +828222054 +1656677682 +334837641 +542544557 +1812320218 +2015689916 +928592209 +1981473771 +2124839089 +1956147128 +2064306727 +1337925697 +281760395 +1298532096 +758507634 +617282711 +215221037 +1278141875 +985598864 +987766350 +1553854610 +1577220166 +1533659688 +1001443664 +1224118976 +1031607950 +128146798 +513449831 +1580830774 +121093095 +1199834020 +261569180 +1777770778 +1534671661 +804113737 +1442607348 +1402877930 +1732705946 +1276597471 +1380233371 +1541369427 +1193420551 +570675420 +1823129822 +344468999 +1329183055 +292928885 +559690036 +459841282 +1278527749 +1547456386 +2013695892 +708264268 +933632427 +867655908 +1932383244 +1965240377 +995802706 +298349428 +1398587503 +1116895802 +1498183448 +1660156683 +747182932 +885371462 +316786772 +42306632 +140765744 +2049492718 +1318904104 +1520999115 +1443378497 +364841007 +2091674536 +1119024671 +709310006 +1273373943 +1411953557 +1269000043 +1733215225 +542997658 +668972781 +1599427469 +1251261926 +1602605208 +319599729 +1036161523 +1420361937 +1315402436 +1334510951 +671465792 +284814590 +685210751 +184138827 +1031997522 +1570582213 +500925599 +1074304154 +1711347957 +402934670 +245724610 +1084863425 +1846313167 +610565617 +1029054313 +817854191 +1319875624 +154944608 +82324100 +441392019 +1888159833 +625321758 +1110364800 +1340103654 +1876583685 +565486361 +1659703383 +765261560 +1985848298 +827622171 +2099772511 +509830443 +1112436761 +637499614 +693969270 +2144434283 +60598180 +1194894870 +1071254790 +1771946137 +1597829540 +1316979400 +709325914 +1296659059 +1927545018 +1738380227 +2114513250 +1099936994 +1893324835 +49353702 +1541329013 +1634001020 +674675461 +504210165 +826621026 +403775498 +1069696526 +338840762 +1169037058 +908061177 +1166462933 +1121325921 +1417891620 +131416047 +1758825535 +2111860890 +128366682 +1819423715 +1159272112 +1199621472 +1443886205 +609618004 +369117225 +5728471 +1906277064 +149178595 +1744108699 +1873306666 +1249115589 +1489949886 +1922660369 +642960954 +976467259 +449852182 +1147171119 +1803088285 +853627680 +69383998 +2141929047 +2022664738 +977445175 +1160908333 +996507011 +247853147 +1292324380 +607848898 +212230389 +1420691062 +279788966 +1371502502 +472828887 +1723675171 +1981120506 +841946112 +1729403642 +1739913922 +991124707 +1326028693 +1465736941 +92756648 +668494932 +1240913662 +735717602 +1644962191 +1690765844 +1882888721 +1300566828 +396909876 +1952272719 +1295012228 +272090966 +782234246 +308436913 +1268597977 +1030087393 +1600761293 +1876446875 +1242317783 +873968707 +8752193 +466336637 +1346797594 +1732427364 +299973495 +41260058 +1314347359 +2039887418 +1032384765 +492892404 +1358140711 +1125141413 +1161387336 +451570725 +1860859015 +658865879 +2142336569 +1596264089 +1959432708 +391762797 +1401053160 +1106961288 +663853763 +35803759 +1415398201 +1932451740 +1065891152 +868675846 +1661414967 +160725287 +1742644553 +1670167161 +627061924 +941958500 +1255110877 +927035420 +983218558 +421974588 +819439190 +2015603324 +914866993 +30096253 +993261089 +2076254329 +481666978 +706636457 +587636561 +476519899 +155416898 +399585621 +868282696 +1556470058 +1506546909 +1532136459 +1592273817 +774461462 +1317104551 +510681322 +1643137308 +831035870 +671406609 +1238298213 +353719383 +1298468534 +32773065 +1608830261 +78020306 +1015991624 +2030804849 +897459496 +884111300 +798188194 +927555749 +1877372389 +726958876 +1409222727 +436525198 +1314595437 +1885742626 +591942096 +1714181058 +606541674 +928507 +1073244319 +2138678133 +1593202324 +1847705781 +1308299036 +2103883646 +1343359441 +2139334906 +627806608 +434174006 +345570642 +1926275142 +466947072 +1954400903 +2004295448 +1482938696 +1837722104 +754271296 +219566348 +488426651 +1681827045 +2096938737 +1215385527 +943566124 +385980288 +382497316 +681825102 +977922384 +2096678374 +1288366776 +978850891 +1022439045 +1279561261 +424569568 +722661178 +440376649 +380969566 +2066020619 +432227907 +1008776174 +352710977 +777798549 +787567668 +819658049 +584715804 +644379468 +155113097 +274954261 +1398650764 +374679445 +763380912 +932994161 +324134535 +1978766439 +1876560285 +710114823 +213780107 +410901739 +1688037207 +162974833 +1699268515 +519404451 +1185413878 +831346128 +943974019 +1908075056 +1271722777 +1324943585 +1826612027 +1703950685 +186236112 +31839356 +334265586 +973803780 +851497406 +918981391 +1618183249 +1006610503 +1193935652 +869350365 +1381289949 +1957316564 +1802344527 +1705424484 +1788599355 +1531421164 +268055659 +2002379462 +1942322904 +1956092866 +17870647 +1494107771 +328013669 +1203284525 +177970252 +1271987688 +963875933 +1449693029 +449447626 +643004312 +1006160066 +635683738 +674843668 +1340425653 +1609487518 +1526341074 +111923396 +1080187119 +385467930 +1305859048 +1949537485 +1766757879 +1115691964 +1604398364 +1324698715 +756807671 +988335880 +1592754374 +611703485 +783175136 +1401363592 +629574132 +129799260 +1729377262 +1832858657 +307769512 +853881302 +649250942 +1757462541 +1303328928 +1292255254 +616138960 +1939012666 +1967098922 +1956564613 +1401016537 +1345956349 +2068488009 +333720008 +1731424279 +1226863409 +135773845 +1350698510 +195071725 +1740172209 +527913577 +951879396 +581024442 +2120667951 +1563582881 +1364199578 +1374547895 +45673365 +1493998838 +956441509 +1878532022 +1801768350 +1810322812 +380299316 +1411747244 +966168092 +1672554570 +2027886204 +757697111 +1492169844 +1836967169 +11230000 +690642545 +1757971530 +344950008 +274583176 +837351291 +480723854 +1625281686 +1032423016 +73412415 +5711615 +1984302412 +654436857 +2126379566 +1400401645 +2018636436 +1353443814 +1446075010 +1365151626 +162401675 +1177123384 +1019436329 +1972724487 +1557422700 +283699925 +791408932 +1082493622 +164102481 +1549106043 +427179818 +2001069650 +1560336043 +1117822364 +1611557532 +1905286051 +1392405540 +301425175 +238526257 +870203579 +1333848191 +311938673 +875915194 +1170666955 +966375530 +854811113 +423584952 +837528318 +60771279 +1869659962 +55196297 +223172954 +899299698 +1074632626 +48413794 +309238750 +1358332551 +839822726 +1391732372 +1522435032 +241445121 +1818912190 +1376021034 +1801781164 +789250906 +840094918 +1559583567 +34172799 +1141520093 +1798109825 +904376378 +327884636 +2110048498 +1780291572 +1498551591 +928940380 +487619037 +1922136543 +1766468699 +548390316 +1644312857 +1821664996 +771563271 +396128907 +748813974 +819977065 +705367657 +2107146525 +1659799791 +2097100029 +1482097909 +1901244912 +1768528571 +710635295 +1555542428 +410295830 +1550730213 +967642347 +444468629 +544766658 +618268524 +1348845007 +872651294 +580833374 +981652931 +223719237 +1509773755 +1469271969 +2145855780 +1128758806 +2017662285 +1642684989 +802940154 +641741908 +2038813896 +1551754128 +1461718973 +596697905 +1511417005 +974035116 +546314286 +846031266 +727796380 +167359209 +1556666561 +135855160 +577655039 +959913126 +1103497508 +1022123668 +1504679784 +1721766032 +223485027 +229847430 +155115759 +1205137959 +453566667 +1664889514 +526926280 +451938799 +646164672 +397104917 +2094623788 +1449104826 +1038846826 +1985954036 +853375306 +353082151 +435168293 +217308663 +1327117268 +981482579 +1063339929 +2054913648 +1148841788 +472522842 +43285161 +1726496828 +1432435968 +1146782669 +601136848 +789632104 +721065053 +824621876 +1019479534 +876180812 +2029759835 +1473046201 +393586678 +409202467 +1924985000 +1039751350 +806307384 +1872125140 +341372528 +1845154210 +1710595528 +1194747834 +50752714 +2145763821 +1412056497 +1377869982 +979762752 +327912778 +1285299982 +2128604540 +800435620 +1328585143 +1707617720 +85387940 +327884164 +161270921 +875020044 +1048949218 +985892797 +1894499578 +1925130030 +868168984 +1220062131 +171233061 +1277371451 +997563483 +1210984411 +2083678835 +722204975 +1552356940 +1781349398 +285316855 +599621126 +1832102112 +283597028 +2011677624 +1062488446 +1263359780 +192106754 +200304780 +1244480673 +992542375 +1528889924 +804614745 +1077930315 +1856774088 +965885666 +1952950360 +758239658 +1951778463 +1699966290 +535886041 +672463799 +772544774 +707119102 +1949835250 +1770108257 +1918103513 +1886030438 +344829585 +1322976805 +1519896188 +630146440 +1922597932 +1204514652 +913743469 +1786791908 +119519450 +29619601 +1978898662 +319824230 +1274100274 +823957389 +1848714154 +2078715020 +1901887705 +1558004595 +897117038 +1707354417 +168760605 +701411854 +1259837059 +704646646 +1373875653 +2032381833 +1411765748 +1176227256 +1655006443 +1182385614 +914774046 +1999836028 +357878771 +287186586 +482498820 +132993055 +1491701238 +1396242289 +1919784963 +1611220688 +1425861891 +1751199978 +1931044918 +552478517 +427673719 +1632275425 +483709889 +182077776 +1042796372 +1380826928 +1889432193 +1211556977 +2082238782 +1001785605 +1916203624 +1308630787 +886683790 +1180485724 +337374395 +394206585 +215387690 +1252148441 +246558965 +573266462 +1539335027 +729057786 +706259517 +883552617 +2125300075 +478560833 +347289657 +1403678318 +82277163 +130850928 +1956156836 +509950882 +1763126353 +292383077 +692028659 +658439077 +1673210005 +433977204 +1869996054 +1607965139 +1435762809 +1638716030 +769112279 +174962952 +671718107 +1106486674 +569169537 +887105797 +211151468 +815728503 +1460372259 +1750486495 +1544786289 +19148129 +486555465 +1522602716 +497708962 +833845122 +778797387 +579986125 +964696050 +587470575 +1089937007 +580338755 +879853652 +1781965666 +1238777832 +405580010 +68459223 +961290239 +2013545149 +1504222032 +452522621 +635173780 +1679184984 +1124240728 +1741660455 +100870874 +2011346526 +1952811923 +916599377 +1324235137 +1555814770 +313902018 +1343383266 +2042370235 +1836504734 +1841092228 +728731710 +467818473 +273594705 +1693427760 +1055289048 +1363531713 +126282868 +1935142701 +998013731 +1365060700 +193239063 +1066472954 +178867291 +59300564 +423211339 +631389913 +694474345 +2102396323 +1755630641 +288651152 +55783549 +1619493519 +93979427 +972382926 +796245009 +1649794197 +1286284944 +2139628275 +1544680785 +975306031 +1833236856 +125928847 +1443124504 +2106831561 +1819356607 +350929905 +1322879626 +1945639475 +138588958 +173409710 +1163216528 +331828021 +1239882664 +1342083819 +391128585 +1663094003 +1973473732 +1085602930 +1618006679 +1581620726 +1374254082 +1673790228 +1053630597 +1468233509 +498689507 +1849875606 +970544059 +1784974451 +1842020234 +367741196 +612796834 +1527773442 +493670043 +2055921339 +1487121355 +165543002 +259367596 +662517334 +2111182478 +397956554 +835927044 +1126915358 +729784575 +2075809708 +321515529 +1120913160 +1591420064 +147505614 +59032443 +1061943095 +1729126340 +1433286525 +588249675 +635273289 +754036387 +1086939182 +337665248 +1724580446 +724429986 +32201834 +2092321642 +1337226820 +1559975276 +438508037 +1245664511 +899612983 +604051039 +1505032107 +1562130317 +567749869 +1902988661 +250573713 +1694665227 +485289588 +178899774 +2016180757 +1606202749 +1770319838 +16202723 +1665235192 +684779285 +1745329063 +951038069 +1273028960 +233118704 +1705074456 +212484495 +570783952 +1282171254 +936914481 +602985786 +1227009248 +126657653 +15477414 +1665517285 +1372322165 +915090398 +122084677 +729870624 +329737067 +689834546 +485375638 +580310781 +237016126 +970665226 +759210555 +105713235 +429384327 +382046745 +121915958 +2094619519 +1066826030 +1867245021 +898173941 +192371342 +2100363725 +455764749 +404855837 +523664030 +1737936004 +1341770318 +1126649816 +817461604 +1468427972 +1142127231 +335495242 +693266489 +2057217629 +457579919 +1423137113 +239471048 +1147414465 +1908512751 +819781829 +1384430591 +731694330 +1578992384 +1490143826 +1161078657 +1961039129 +1612059784 +1108214529 +880381511 +1331821157 +2006388470 +1072752854 +1284701235 +314669571 +1477608691 +1808365265 +2052605575 +671895362 +787531433 +722583532 +2140323334 +1929658664 +1058078774 +686106175 +1839392645 +1515658693 +2109243288 +2078863694 +515589510 +1870272392 +751161875 +1900020102 +454483074 +182670612 +1242680280 +1615561731 +2143709741 +707256417 +576292612 +876607605 +2039077574 +435197434 +1949360459 +1176295161 +749867006 +1279485502 +837176778 +654988933 +1951380864 +1624708212 +1377572465 +1944220550 +1406883228 +288167591 +482843077 +1098792226 +1803826284 +444602718 +1030172272 +171932147 +167391462 +1781334147 +2071952249 +621874536 +1964004759 +1167148881 +89952619 +1960230853 +1874405298 +666245232 +689354810 +1765999225 +1101442666 +491231621 +794810738 +1851309672 +1770717123 +1631987517 +358814958 +1574614340 +1109212081 +1736387423 +1371351242 +368611661 +2024555015 +1854194320 +1467403887 +1680897651 +151313390 +350092511 +1852829798 +318704852 +2131426659 +1777298399 +940579388 +1947947770 +796963633 +1030532007 +1760694975 +523885283 +1696777239 +302566137 +142400860 +650736258 +793797758 +937211599 +354562282 +417031234 +421715468 +713377240 +1991645574 +1530927549 +302281016 +1215513168 +1899539210 +179352383 +922223840 +1219459450 +1860250034 +1073537230 +1569551961 +1565596185 +1392242082 +1553494972 +1195410936 +185337822 +1353959095 +1992374569 +1215869830 +967170422 +368776205 +765163421 +1269736560 +511177065 +1415899679 +2063534318 +1448388664 +1770461962 +333081904 +1870104132 +336355554 +177243830 +1253548033 +638636570 +1392756999 +1005603596 +817988953 +167497191 +77579398 +530755340 +1241034422 +1647131359 +2096351525 +485792856 +1053142684 +1144278813 +671130679 +259618131 +989169735 +1887000509 +1226788553 +1357945940 +504680282 +349041465 +1869123005 +1920579962 +265092136 +1170028022 +1543558276 +598174040 +892648506 +1879913830 +775417871 +2146196540 +371066753 +20691222 +1004316488 +1189055706 +188188413 +1081895886 +1719811046 +1429222835 +581543597 +1668678923 +1915015692 +1634686281 +665474089 +438662723 +1894304412 +1654643824 +178179584 +973609318 +865106116 +682859866 +1322650783 +586745473 +455956180 +1587742919 +1756773495 +1999514456 +38433312 +501938354 +1731944639 +813851183 +500651246 +2103011392 +834542405 +1504967734 +1144583450 +1022730818 +439379972 +716910849 +304470006 +1020923569 +238106124 +72002050 +508126203 +903580213 +510664773 +254946967 +410740389 +688844357 +1228556285 +1275846505 +1371704223 +403723421 +1862591979 +1827660404 +1991466340 +1471881826 +1679691212 +2029899652 +1973820180 +1264152203 +696267187 +326987778 +1219679947 +1530809592 +1831955512 +216779750 +406056763 +123851836 +933690599 +710526769 +1144775406 +1171796723 +782528819 +1652901609 +2075376937 +1293193592 +1907848576 +338633678 +1982037949 +988921214 +1614480184 +1206258524 +1392644635 +1329588515 +886435280 +1236627327 +653986693 +418642845 +1119043332 +480323226 +1682795048 +1815310519 +807311004 +754991348 +1198636464 +491782869 +971771098 +1604693227 +615634705 +1905461697 +167736348 +1760410111 +929774772 +950265167 +1265828072 +857668061 +95975111 +1026193001 +1196301740 +2078013060 +2015114215 +663298276 +1136787936 +1260275202 +1992886791 +2023223217 +349418881 +499389836 +294382414 +1468462213 +979713062 +1977177462 +1136289085 +1787024067 +584685162 +187441901 +131323288 +1556456260 +1792135128 +746957993 +1314434309 +1959871476 +359884457 +96725434 +762652995 +1625712529 +954393495 +858628106 +504421882 +3211587 +789157518 +372052449 +666509863 +1925945454 +1632327651 +511913006 +1801685023 +1981746533 +1011302843 +2096067437 +1302725098 +1991015905 +1925761252 +291530535 +1630556324 +362962766 +478972436 +1761879612 +1919419027 +123623916 +361353958 +1086369688 +2083495392 +721238415 +1183095122 +698664739 +199467296 +2137488618 +1557292845 +703889179 +2140700205 +198966715 +1075941628 +659726421 +2124912170 +560785632 +1171639427 +1779113545 +395048517 +35458622 +1727697335 +1697773615 +2026474528 +1505974939 +1989304151 +1509547204 +1868937705 +320792939 +1123943169 +1640873084 +444416856 +1485297127 +579759125 +380428600 +59051894 +1762854247 +1079093340 +258519190 +1752859217 +488902537 +962408369 +1746075775 +687869253 +2038349998 +258318548 +665297775 +451651982 +1429957975 +296927672 +846700499 +1465416598 +2024625007 +396990466 +1344407478 +1383116298 +238810969 +706471034 +1104570356 +559603909 +1830414203 +597959792 +1004020765 +1168227682 +1177718917 +1384449365 +1227279576 +793089517 +316059057 +1485798767 +398465086 +804961595 +300723488 +2144540861 +1492830848 +191589838 +255375761 +10644975 +643241820 +1685333737 +307572647 +1489942319 +1003266687 +184714007 +1886932786 +200190517 +1567830305 +2125743755 +906661551 +524917013 +537864016 +589592107 +1122876806 +1541884781 +1757819789 +153112075 +778850499 +837615718 +946201592 +1094909556 +175930837 +1344666679 +1899871151 +476654325 +1341723892 +1245218351 +668244164 +1597099654 +1255863326 +1311485984 +1134949743 +1563435974 +653944656 +2138216430 +1748149981 +393393794 +190923299 +1168496638 +371653901 +1097584850 +1693413652 +909517918 +1687176957 +668806810 +303919051 +1297513099 +821918885 +1082769550 +2135128817 +1768120478 +30195459 +163576006 +965303509 +1930066610 +640230331 +159543753 +1027801314 +1308474495 +1756643407 +136180992 +472476832 +744109502 +1699616966 +1126421488 +734842284 +1300283299 +1519815282 +925765583 +321296290 +1891469183 +2023350434 +2014709942 +653503453 +1563043743 +536033104 +957422505 +713073194 +1357951989 +2040192055 +700718363 +978588819 +2070387514 +864294369 +1943892328 +1852970477 +1504524701 +2103436082 +733288143 +665515548 +1712595841 +869469135 +1137992380 +309221696 +421602454 +116930220 +1044063980 +1721885753 +1636745502 +1969829564 +2043182043 +1380731038 +1845696350 +1910408337 +2034234491 +1261256445 +298957793 +844173348 +1974329640 +1656909783 +736881756 +527564355 +488014954 +659785622 +1391858725 +284423635 +365272451 +748899778 +240376069 +1098560594 +1414415326 +1952971910 +1968029730 +404924059 +114709958 +242148536 +521854279 +1158773939 +1964034289 +11116134 +981119855 +1859732685 +1391847172 +679332557 +1622657374 +1278598015 +1940589002 +1921615168 +2122771364 +1767434994 +1431041303 +712169472 +147515702 +1919056257 +1371955094 +1539374427 +55996244 +1737227546 +140790557 +296372313 +688304492 +1555205883 +101860576 +508850574 +1960129942 +216570534 +750999110 +334500574 +1375344473 +567549752 +345616708 +208980680 +279798789 +1737463880 +888313237 +1902456163 +868578247 +681418592 +1676587683 +843865963 +301369938 +960145338 +1556035435 +448885640 +731717948 +780506882 +1988260067 +787714192 +370250780 +2129050624 +1084086506 +1058555272 +1536772860 +1185947082 +1567405847 +1349419154 +1402517616 +170921309 +1683919728 +630378442 +738471061 +2029536436 +839359122 +1018269850 +1619516668 +1727672360 +773242366 +340611268 +261607304 +302346401 +1184477231 +562977242 +1262491740 +593029019 +1011862883 +1994209688 +1373535901 +852639302 +634440232 +1743786681 +834206279 +1718526738 +654858305 +223495491 +756990172 +74780504 +1572914645 +12024141 +245701814 +1109350726 +642402583 +984172875 +991403514 +1481761705 +2002442726 +463436535 +1061950417 +628201444 +804047803 +1323557721 +930547845 +1988525034 +1886534964 +45555937 +434070405 +750914199 +2039765625 +1807606306 +1603553501 +526722210 +1403909339 +290276132 +97765300 +2058767645 +513771623 +854755473 +2133548149 +2086686269 +866779614 +231766315 +1048553347 +1509182197 +1215939191 +2039956861 +843460254 +1070898269 +355909748 +1905410672 +1699099713 +1159957551 +1081484745 +482163910 +1000998938 +820536061 +527719848 +1435069343 +1571450260 +420001825 +1095192002 +1027520114 +946724035 +351617693 +1317796246 +1044489336 +262901690 +1831567870 +1899244809 +248966192 +1770770491 +618540775 +480732507 +671840190 +2127722972 +1696671698 +564313403 +823699578 +620086319 +920223152 +581626602 +171702384 +2080180703 +1663111348 +653866295 +933695993 +336163761 +1181586143 +221281689 +1907614022 +1601587968 +1316473691 +787650488 +400828356 +1668091384 +2105446734 +1445317692 +1930993075 +1789530956 +1197078853 +32475619 +1412817799 +1815619628 +513208126 +2084657989 +1795858952 +62396177 +501487745 +472074882 +682482496 +1421710897 +1053701485 +854184881 +1354407952 +569329185 +1508051176 +140620298 +905492946 +542153671 +361901987 +665623320 +2143741639 +1678375678 +1453273808 +397086347 +1198983414 +1411236895 +1842404039 +982492841 +1053284203 +891999244 +1014968460 +318618355 +560135224 +1528176587 +255792696 +208510528 +1590572764 +757280441 +680585411 +125571612 +31507690 +1734286896 +979756493 +1385915643 +156132433 +340324021 +1526535941 +1061625379 +882477692 +1888437928 +1727248700 +878735684 +1419329958 +1033038860 +1275822031 +470829724 +296792107 +970742423 +1453322566 +1350076311 +1862741667 +320807378 +1668694666 +275393244 +1848983965 +1924487362 +483903772 +1292073081 +534284156 +1164489183 +1417644694 +565791846 +751292431 +249917539 +1951707489 +907424864 +590241561 +1330759782 +1969050244 +1472719253 +1071714062 +1548815296 +203971289 +343560372 +434370508 +1479793321 +814390097 +731162616 +303052096 +120229015 +2081238927 +18310115 +441036393 +1602449945 +293703359 +142536711 +1379453659 +777607132 +1434609792 +1913737815 +1942096315 +704770838 +332046014 +545905099 +954688378 +136269855 +1453329963 +1544929939 +1467029638 +1274896559 +870165544 +391260052 +676228207 +1074136834 +734820425 +1110598716 +406446507 +1549210522 +1841761332 +709498603 +1669439537 +1775516611 +727808718 +2110475930 +1230482908 +1021512078 +105528993 +462452919 +1799119210 +1540138786 +228707087 +1593731877 +97425976 +560753101 +2139636976 +1052114354 +697022956 +1445483292 +449560645 +16568946 +572896203 +1319726190 +407828999 +1249124411 +246379376 +1142649424 +212239479 +652825883 +544376298 +2054000811 +1362324486 +66332187 +1682033774 +2090133204 +29324469 +765033034 +964161634 +134853463 +1227485953 +615797196 +1674992249 +1456193040 +62045426 +1772418225 +2016946141 +54198754 +677048932 +566485450 +1499682046 +1126609577 +583054396 +2072578250 +298852119 +990883395 +1174219013 +545231495 +2133532819 +1386458492 +1198057378 +530425469 +1292975655 +412898216 +596757656 +827525781 +355547773 +626082126 +1592558815 +1319709407 +760935589 +672561120 +1935506604 +288444190 +2128754161 +1997552030 +2060862415 +1998216654 +2051750784 +590427699 +417218456 +1403949183 +1717037277 +1000272853 +1329043785 +2015889396 +1991156248 +355779150 +413637244 +1977205420 +1742237642 +1611694622 +360147241 +887729649 +2024592839 +956904898 +1715255430 +232656964 +1582987024 +1160330597 +1552366371 +196438965 +1832891717 +1340389327 +484883155 +1814162230 +1190457709 +398261922 +1664895237 +1094724846 +988689622 +2082113693 +351190381 +558243251 +934902898 +1680234166 +426648999 +778575499 +2036013316 +840286243 +608297271 +1630767310 +304497218 +968444512 +371013311 +181606409 +1925349410 +2086268741 +414263373 +1360852786 +1099115690 +1966629744 +1557291751 +784523759 +1159535424 +2042174906 +451202342 +202509485 +292953181 +2116097579 +1297234331 +1281642803 +2050727624 +1648424712 +1839886054 +838146875 +1181175230 +119051405 +1616722374 +1069704898 +959337649 +77535997 +552988560 +1263834867 +1045980509 +924001871 +1445441276 +823846272 +862786964 +1859704649 +37215410 +1961902654 +1678850745 +1594507162 +598942766 +690902521 +1489198420 +1050145108 +893412007 +1782151601 +1018759039 +43162690 +916310756 +922003015 +1691587403 +608713162 +1760149890 +725278985 +727764568 +1229388616 +1794983884 +1687102217 +1306924613 +200488796 +803453436 +205421475 +1124490668 +101411064 +1029267747 +1987277632 +1961115713 +1066483157 +1801696639 +1492482810 +513506671 +253155757 +35901684 +2002705092 +1303300865 +929313691 +1637373045 +174576256 +972476381 +406200154 +1096579271 +516580136 +1014913316 +709245514 +1241859122 +1742677884 +1938634130 +889359358 +1282296453 +1098075096 +1089848154 +2085749889 +1303496571 +66855174 +39677305 +185280670 +2054132807 +2000793018 +1251763827 +1708345798 +1345792181 +1765270499 +1961501555 +1381693865 +1620491943 +1117318772 +163523908 +1110381340 +1291895028 +1136000289 +1516581494 +240990651 +1652580426 +384011163 +950236165 +746955900 +2126689047 +741386648 +1636315258 +1261501853 +1839461744 +578679764 +1199768094 +995474667 +645534939 +1239445400 +1180755337 +552184098 +1092754770 +285035516 +113046248 +291063303 +2050306015 +2074547803 +1672757168 +1523314310 +1044382927 +1836281076 +486212003 +188794307 +824797718 +2002793497 +429784958 +329894496 +239321012 +1380021124 +1076850396 +218526412 +2121407772 +565682006 +1480028265 +1813385868 +1144361770 +532312711 +661376887 +1789896709 +1771758111 +1842132224 +194597159 +717029234 +2127167740 +307643407 +1008092537 +2029990108 +234707562 +533366058 +1405820770 +1279090489 +222163486 +1892032773 +1467884796 +1046961204 +1747342623 +1897669755 +1376855700 +1986663635 +1130207231 +306222448 +57706399 +1104131355 +871904454 +1537734664 +770033575 +2016266225 +2070047376 +1431410462 +1658679286 +1694321839 +1126059038 +1853276446 +263867425 +1105743130 +13436205 +1271959963 +988249590 +248143768 +1805326021 +246586713 +1527234257 +2027489507 +2138619486 +847635406 +926967064 +1738478461 +597821513 +156339116 +1577658449 +1728028744 +462561565 +1635364848 +684676451 +1334466019 +1025615865 +1454710026 +1203248596 +948179593 +738636840 +714444235 +495017784 +1864695878 +420237033 +758885210 +822955360 +433673238 +2030845173 +1811204951 +681817006 +1688687546 +2057791664 +61567616 +1568693405 +2048927502 +909203022 +348176821 +1639922316 +1507024535 +504515938 +1070097117 +1087569631 +967077503 +557978317 +1772246082 +154059874 +1583594182 +1079472460 +1357308471 +384290127 +1818109300 +2071752706 +879307912 +1535321530 +344506091 +1638193122 +210793242 +778179329 +1521554647 +2021998193 +1459996336 +1062758545 +1932306209 +1521563952 +483968302 +1833750064 +283283326 +832145124 +1326188732 +1790307861 +1336661062 +248802201 +730393844 +156254917 +806780518 +355156278 +310314791 +242891053 +1434628738 +1667623262 +627181180 +1105254390 +1591892320 +1506489092 +493092272 +1936398411 +997198566 +703885514 +567094093 +371269565 +578400060 +2027090429 +1434028110 +363222621 +1401170733 +1917996413 +49489037 +1684454059 +602657889 +1375677769 +1327278272 +1939318951 +1624479970 +2057672116 +2095573868 +283776841 +265344746 +258405011 +526667894 +1699973484 +1926028274 +1153849074 +657744226 +1370436946 +512854519 +1150836498 +1159351710 +1510053085 +1854722012 +1726445803 +1881322651 +285638424 +1606052584 +1167867113 +648861046 +859739669 +938379878 +698350083 +396710080 +1541037767 +2074027853 +1723988352 +1332873070 +1551024175 +1634176820 +1280963290 +1834801016 +1899521566 +1539368302 +213985262 +1452011402 +1317912928 +1367834337 +2109755628 +540866226 +1880688856 +1113108478 +1700217936 +1243258293 +820346842 +1279180091 +977097296 +1105985267 +737749027 +2144964410 +1754846313 +1597488696 +935860640 +305712748 +1994198776 +329414760 +232256953 +1570703480 +1662287830 +1783281129 +1057396652 +795767473 +1470598497 +809434570 +187652127 +1684583760 +113962324 +1505565055 +904934449 +76234304 +2046431281 +638139657 +1189342782 +1599165570 +1881397950 +2009689625 +730862013 +711011599 +968191244 +1468611041 +708492361 +575553909 +918616089 +1644353001 +881266657 +765331218 +1973767761 +1113523611 +188551050 +1488571944 +749321092 +1245947703 +136855769 +72435941 +2055382273 +324507896 +1757019701 +21860950 +1830072951 +514470502 +98095254 +1729020584 +1152610159 +1287438037 +1180702506 +886524462 +1149644014 +1911564520 +1597536061 +2117835258 +1232691913 +158544774 +545905519 +3824354 +1802897775 +1427172176 +769155572 +1629181889 +393212139 +957706623 +970270185 +1142533231 +56170678 +1107125954 +1214969173 +2111552951 +1431633850 +824505226 +2133413901 +1114223153 +1338975729 +84025508 +695760089 +344102240 +1371463545 +1876462596 +1230626702 +373623911 +1640543468 +680679115 +343975521 +725751733 +839223889 +889881040 +729576087 +494638017 +169569568 +1498731660 +2123819906 +562781708 +308954635 +946606443 +1705314939 +365125313 +2053732397 +772800464 +329194616 +1337882599 +1597305691 +315124870 +304622104 +788797772 +399150378 +1000382193 +1132900012 +1770613923 +729361141 +216043067 +2144237834 +222420961 +896722182 +340729707 +948172694 +1735946072 +1230610747 +1677748782 +83100441 +1400180315 +1028996794 +59436699 +1962962023 +1337951429 +1006043142 +1520793315 +1703076742 +912291891 +146110131 +2032271358 +102690842 +1743415822 +199912580 +407312946 +384729946 +599062958 +1407695139 +1517629959 +222193233 +2137056281 +1733673026 +218947419 +211993594 +482911560 +559677126 +1160166289 +71373984 +1790287873 +690431423 +154474425 +1042984541 +1719428217 +213911124 +858462916 +909895998 +1219954266 +231772583 +465489092 +2132246157 +377882715 +350276802 +87453351 +2121298537 +550189383 +494766297 +358544836 +1149252341 +1902461437 +1876174795 +1371445575 +1892034070 +1462364173 +1590392994 +2104027664 +1945275733 +2586473 +1116710305 +2016649718 +1792874346 +1807141728 +23640495 +688375239 +1379086297 +237551620 +1546838156 +141498647 +1457505886 +1778610739 +606987739 +1442268396 +9009806 +957264542 +1529721747 +2130308344 +1507453925 +2024488045 +341369532 +509222618 +1779465834 +70060679 +1880668193 +1524016256 +1532424852 +1323577540 +1480560272 +1330216937 +1326164013 +449786930 +1199383007 +971554711 +109445010 +1223023503 +1659929951 +1488531308 +1460575123 +1059284459 +1630029955 +770597361 +690411550 +89534047 +65382109 +699421357 +1046798589 +1595103857 +682246053 +406768866 +1472108254 +1023615585 +915991484 +1104090440 +1093676264 +649176030 +480623048 +478617468 +1972753570 +1961183320 +1808834405 +1151433935 +263486602 +860733765 +2122988646 +372931613 +2083757268 +1635434949 +1861462921 +1396848743 +547235760 +1344009228 +19962456 +1237647311 +1433543275 +85344566 +1937068668 +332858216 +1680448423 +471831073 +739627082 +1005073029 +1495446658 +1655618567 +2109163469 +441639274 +157310949 +442302869 +920256742 +2130064519 +256002541 +581607499 +1134014806 +519489144 +1442341264 +1109519804 +892420757 +1378614884 +597471106 +606400030 +627979979 +1144706866 +1950409258 +647942436 +234870529 +1236468886 +733287002 +24455549 +1569327102 +266251777 +496286622 +161470537 +1271324806 +1991733280 +1817089104 +1233004627 +285888906 +1974400053 +1675307496 +1206145648 +1956980924 +1931310037 +1787753148 +943512082 +303315533 +1082610764 +2053031886 +1195736290 +313742001 +503019344 +1802136320 +941721980 +1647726211 +1605061931 +1589664416 +1882596740 +694047169 +175467770 +1907052290 +115890623 +441719547 +255855264 +277361160 +1713044353 +100104897 +2094450264 +798565332 +385993803 +1921366669 +326389180 +1592139452 +1730863945 +110215570 +1232408952 +526892379 +413531103 +167536068 +432440618 +1609267394 +481278069 +935459962 +1263920066 +1423000050 +435702525 +721498349 +865180818 +170815618 +1415545518 +1040648589 +2077867908 +1531436142 +1482368136 +186239524 +1808797302 +1047928842 +286344421 +1755763919 +1846494174 +672338225 +1529646940 +25399707 +116994029 +1113027238 +135615277 +1349402981 +1639919617 +549146380 +1516939049 +2072360235 +10930126 +1998217119 +860336550 +1274850193 +1273733521 +1296039075 +1996348542 +2138914339 +1466854693 +1264410413 +1032079280 +1397238953 +648362907 +366963769 +1583478478 +309676561 +1414892611 +1869822899 +2065440480 +1113903137 +394677476 +1447603773 +1139302844 +511671505 +413147363 +1274918121 +1861074486 +2053066980 +1824064502 +1230529888 +1977943568 +1834994628 +1081263359 +690796470 +962361173 +207513232 +1986835545 +811226068 +198943923 +1306206591 +2075636481 +1231023204 +555961896 +576515740 +1597986973 +2139440374 +886192301 +865395936 +1861779626 +804149134 +1979299073 +108973454 +104269259 +971118270 +620644960 +517416622 +98552743 +334235798 +422999954 +1922617245 +1564765686 +253459874 +1610128226 +498545397 +944256344 +425005751 +706058629 +783608242 +1236231819 +905002553 +2089814833 +1164384652 +2136025757 +498293081 +1740900392 +1586529082 +490249808 +479609046 +304441370 +204545786 +1283758180 +136256795 +313519240 +1388027439 +1107375065 +934164200 +1905444061 +1205927809 +1268399999 +180960367 +981061406 +685682037 +434420242 +443705984 +1184227435 +1378676586 +868711736 +1890286064 +14801180 +2104943555 +647804969 +2104616013 +1121844560 +636347078 +455425447 +715261304 +75392512 +945675255 +1194870350 +379833882 +1150221041 +331144882 +516090678 +1463740281 +1719172321 +1623465743 +250420834 +1477132734 +681909904 +1518820833 +1658093102 +1662971311 +57019222 +2092513344 +2106677295 +1241246657 +1323706282 +827905383 +984049074 +1338507463 +785365291 +1631854043 +1295639828 +1907209851 +120717474 +1751065275 +474987507 +196109986 +549256882 +1669857858 +575943869 +1699477923 +2001002740 +1092034547 +1015734557 +1572691414 +568016642 +1266155391 +902340500 +1249926547 +637492576 +412949954 +765414210 +694511798 +357979650 +724607857 +1935758456 +1681685933 +1552513241 +772323882 +872709748 +190394884 +256694277 +20865928 +2097604735 +377411751 +1771931204 +425108594 +573521738 +173704438 +2094966452 +1149465607 +1873182362 +1948485545 +94016506 +741433271 +1373693311 +662033148 +2007588662 +128550163 +1911959695 +497597590 +541500118 +529890257 +1192109388 +899479768 +1254498115 +980384196 +433682053 +659527708 +1752708078 +1306391801 +849922592 +2009402356 +1327257730 +800043679 +239330459 +951705286 +1225152273 +812852197 +1125409724 +1172635078 +1962317804 +851108438 +973636975 +2056334310 +1592541709 +199846638 +570883811 +1452646723 +328396801 +335359858 +1950244313 +869896919 +865250116 +994870054 +1769376688 +2119748231 +1975254250 +55575093 +631792291 +1580478681 +1361966895 +1481714883 +1442397389 +541740977 +134274914 +1681727848 +1493446263 +1359427187 +347096398 +471372339 +384578617 +161930554 +1322480778 +1358215592 +70781217 +767538839 +1558062230 +641665028 +72701915 +1886459032 +977024886 +2022946228 +608872303 +1842275002 +870332634 +230765343 +1814539585 +698103237 +286340437 +298848228 +131098270 +1648307332 +1780563111 +1573495659 +42564661 +1914838025 +1107739859 +1536010924 +1126781565 +1454836257 +2007383263 +1511360182 +1616766812 +1182380393 +722092127 +1687548029 +1949919233 +132670709 +181729409 +2022621148 +2019129741 +1158754295 +1898083728 +480518397 +853545650 +620932715 +711283740 +520601587 +1319035952 +997624177 +819449816 +1450134222 +498447861 +452529279 +876146233 +541012522 +219883657 +1983886092 +2077023446 +1346665222 +1291238702 +1936923062 +710541756 +760521866 +971819807 +1432633883 +300586247 +774255392 +1565304593 +482315656 +649392892 +1436950686 +1641069951 +399992973 +1917469083 +347131953 +1020925688 +481269176 +867733541 +192477992 +1478893353 +1687183357 +1642612214 +1977341215 +2139712636 +371274799 +370870089 +212112645 +207677243 +300409888 +1558777867 +1498915945 +89849302 +121835976 +111954163 +1061669109 +1554469859 +412540410 +1835924502 +972290804 +894856066 +337833746 +261757843 +388442370 +737826719 +31743278 +735574323 +1758752407 +513012454 +1603307864 +1951230399 +1991905808 +1143007573 +1446358965 +1821763375 +1135236562 +1817633764 +45149816 +1347349207 +2025311008 +345559704 +758643427 +1376743305 +435409006 +880479403 +1488697469 +1497078116 +287465614 +1901237879 +1185518970 +1259756419 +648610298 +1523352716 +1521514262 +1037052668 +113695788 +1553257540 +1772626991 +1872448195 +2066269995 +1228451208 +1676194947 +1910692155 +223975133 +975070264 +1584971882 +1359211695 +645220381 +1630121698 +559077255 +523047741 +1975681403 +1317720682 +1899791046 +263606761 +50716437 +1241004867 +1760684877 +338182051 +994759099 +798720199 +1597938470 +1643369397 +174589268 +971969084 +532938417 +288285056 +377742977 +158081760 +13249603 +296529324 +1386532968 +1689444550 +59737831 +1610508102 +517031167 +1644709713 +822236149 +1162251548 +1127347763 +1381313404 +1685299289 +955545518 +551550438 +1437606687 +1219152280 +602266875 +531127907 +832353509 +940448927 +1525887006 +1631073709 +390903749 +1021772755 +1805662977 +1362872834 +1554711172 +2093948033 +1740615811 +1712792932 +2107197636 +2037145135 +951842253 +1649158539 +2096882966 +414866707 +18706058 +1594109031 +1237102856 +1180957606 +573973146 +470932613 +718773247 +1529518665 +1022483051 +8896286 +601187297 +1624749927 +540024193 +1433540806 +417715206 +2065911199 +917130867 +808618955 +940200306 +575310196 +24008141 +347427830 +521774581 +1764623952 +2060220763 +481488570 +1654285439 +864579368 +2130647109 +1603684757 +1279446075 +1869519 +1050310140 +369065283 +1182827125 +1624283287 +839997896 +1901600372 +1006318304 +1862480948 +1910496658 +1607505601 +1339747227 +303037204 +893562759 +1757462433 +221464755 +1810693627 +418597740 +1161665062 +238520175 +442605882 +1509092892 +760294757 +59746186 +1421830007 +1241783327 +1714031626 +138925727 +1224946788 +1170232735 +1418371802 +1226816307 +73059228 +1787437086 +262159784 +1697342515 +479951334 +16276508 +556177171 +194948634 +1926773166 +16199124 +1534695861 +82326722 +909761883 +1144674646 +303791478 +572971862 +1563272387 +1465456540 +811492038 +2005878269 +827065784 +1571786795 +2065624455 +101412144 +666086474 +1632172433 +240337871 +1891033262 +654921521 +1658709674 +970365921 +727980749 +1298663112 +1232525705 +277839616 +1778614446 +1248802213 +834016787 +1973563081 +1028091731 +850215911 +1360775294 +1110418454 +1759977794 +357966293 +1414209932 +185466009 +1921238680 +732182824 +996958047 +1779633301 +1559248608 +421261194 +1697774108 +1660660752 +1087347668 +1182462894 +1900998624 +830897282 +1837384415 +1412224650 +1801263203 +417881516 +563404114 +886305260 +695721132 +194534912 +2135107473 +1529737919 +20614345 +1015715556 +232470182 +1381389640 +2126134010 +1992447976 +1739355933 +1392860294 +30430337 +1513110965 +2125043118 +1027388384 +1145260618 +1536808079 +1448649578 +695551078 +1049985183 +388513598 +1878013972 +803500159 +1219410880 +1567914739 +68241161 +873190435 +1985796255 +631645275 +1759495695 +534033739 +826180188 +1747119520 +2063771658 +846794533 +615351429 +148758192 +80700525 +594001791 +2141206169 +1820056458 +1986862086 +24152858 +1185683775 +1964421556 +1051541243 +183460745 +1353745987 +352707173 +879011824 +256247523 +741220772 +609542148 +1059747682 +1960631652 +29973240 +1127988844 +686338440 +2015769495 +1759634119 +298350487 +402319587 +438330659 +2045470008 +318607597 +1285125193 +513337789 +467365790 +1365825718 +1107339580 +461088311 +1038398529 +946718018 +485241169 +76598656 +763655927 +1536782412 +260059402 +2117401914 +1889489586 +1139071226 +226165789 +483226710 +1748613374 +1285913472 +296374714 +1778586614 +266418668 +982713154 +1646872462 +2026052787 +1281063642 +2049192049 +316899799 +1179050002 +220315998 +1602024992 +1692387791 +687681788 +820367062 +652243723 +1148770099 +1858765591 +1598961742 +1634011269 +1935364248 +215134021 +1023310033 +47940002 +185052287 +765315971 +1187011228 +411218077 +1248542681 +788140954 +1697131549 +1544917396 +419243921 +1963550217 +380146902 +2066116383 +1842119356 +1661210544 +1967824784 +11535507 +692776898 +40657134 +1613560499 +237681041 +728338923 +286443914 +889924765 +1877109022 +2145209505 +341402859 +1363636643 +1933090105 +556536880 +239463029 +1981030107 +741589167 +1004779000 +1020557687 +1152807244 +105838034 +1808698642 +702455145 +1650755430 +80458915 +518521714 +2030902332 +2146575298 +213157423 +1544629229 +1966916434 +224692930 +89922479 +2007573568 +1838253430 +327603521 +588428843 +2124697344 +1217528286 +318054218 +2122423201 +1558931145 +1681690861 +1908029659 +2115468025 +1921153890 +1741576118 +709573544 +778449243 +614650158 +1862380789 +884287277 +275865152 +417352286 +387559059 +356324067 +935874001 +270977743 +355415717 +1149031424 +1815606972 +174848503 +1373724354 +1905529452 +34938423 +1064494136 +85649325 +623367267 +1041707832 +1303177611 +941421485 +1016647386 +714625108 +475628698 +777193397 +682609485 +249298941 +371285867 +1392183029 +1027748184 +985936025 +1107080170 +1912035461 +1261801177 +1524432457 +152110872 +1618125244 +312822810 +423088615 +1973540961 +1461854234 +91211940 +905816 +688094940 +1996741392 +35844240 +1752589077 +2082390717 +659211507 +646813261 +1238084680 +1600632992 +1663460647 +1952709788 +2076261690 +293170396 +487835625 +178076983 +664456264 +1880018654 +1205825167 +1650392289 +839615177 +970376980 +764709819 +216563986 +1122487852 +235351415 +529386796 +1545576468 +61408729 +1991241030 +1636788408 +62314545 +531852322 +1486046152 +98158785 +136957751 +1420953221 +757370292 +783771013 +511554253 +210519636 +299748012 +316780393 +139297679 +592918409 +804616018 +317374662 +1257374673 +537151024 +1523199830 +760283314 +1376766201 +346093162 +1524993133 +1593330187 +1468581015 +1760344549 +2122716983 +866673835 +1821753278 +1966474365 +355978595 +1884067823 +350843040 +1842024747 +1982226609 +487800791 +1115494320 +592113253 +1271571804 +1627048573 +802632890 +1571319817 +1943828966 +941930569 +16754578 +600961336 +1259305231 +1274129251 +1138112360 +635021413 +2034412565 +367394914 +981114576 +1411922051 +1960725101 +302211943 +1024782952 +1935958437 +1168885778 +699052582 +1754949154 +1524864373 +435636757 +2105792194 +1219405472 +270379718 +446109338 +187416144 +862492972 +1717681142 +1814464717 +1665125862 +1141517311 +1610810035 +459572783 +1158271889 +64287723 +1718878014 +284917492 +1202400083 +206415780 +171846410 +1569794997 +1187530356 +1583768461 +1383036451 +1489742299 +461067765 +1171511240 +511144429 +1160120347 +778976746 +2036008802 +1595757104 +737285293 +1107930626 +1866136823 +1183394631 +1295346770 +581146147 +753592125 +962327839 +98788361 +1895109437 +425654226 +558361144 +905897678 +489941949 +129755510 +1190815171 +1692342032 +336171290 +1362661581 +1114653382 +1523701646 +798946394 +350206185 +865960297 +1260014159 +1521717425 +1377104726 +272650858 +153210523 +1265629880 +1868407962 +890495816 +226076858 +1587061137 +2073890447 +1521423628 +20723636 +679998925 +336267819 +119511997 +427624714 +761922045 +677873141 +1333522392 +1251863994 +807628652 +376853915 +796722379 +1143799942 +1739515496 +1911375761 +520017941 +390978242 +114098298 +1385978238 +1650992401 +1635815723 +615599317 +1923643259 +1789026246 +1881229197 +1644567574 +532038415 +2107306056 +1084145063 +458445214 +1481246036 +1104868700 +1138444139 +1817513856 +1224380697 +1566068853 +431952253 +1902253839 +752107598 +1683816248 +562398843 +1128961513 +333054979 +1706198785 +720993362 +96947092 +78733078 +1111971604 +211045390 +1464711317 +615480358 +1846861113 +2080310634 +391639969 +1488403711 +1814056183 +2036207543 +2020442126 +1773878591 +972868959 +331403693 +1107640980 +2077737659 +1469847832 +777671188 +1154634708 +888433038 +1209623441 +909404899 +1640540636 +745956041 +1471803742 +622018501 +1079011020 +1030518880 +1343011863 +1175958112 +1109251958 +307499820 +1387003502 +426479627 +922980178 +1086380967 +359306613 +1314620147 +427301031 +25879149 +1203344043 +300259509 +1799757740 +28729354 +631663202 +759915072 +2106467013 +2101511035 +1537586260 +1113618073 +842460425 +599726054 +2023022973 +335517413 +1345682095 +1347343067 +957535914 +277209468 +230378299 +153064130 +1453167580 +1339630258 +460563950 +692687435 +1766109885 +1383544128 +1779068402 +2125416499 +550680627 +58885785 +3812000 +1754024670 +359145295 +1803569740 +1782754024 +990808497 +416001165 +1741737389 +944835884 +1953587425 +707871815 +1787296309 +405829831 +583411140 +2122813722 +1751511927 +1930754207 +932865989 +2028721395 +13648859 +1085930119 +1334405327 +1353279117 +1546494069 +2027092762 +971905354 +782554549 +1658677517 +949838205 +1333235176 +1717563302 +953650205 +939776199 +2076708597 +609736298 +575046575 +920033447 +1025737463 +169300317 +1864869331 +831841240 +877172132 +1504681993 +1237671072 +1460583272 +1480012067 +841699351 +1243853831 +265394408 +722937098 +1257502690 +1351324527 +2057342425 +463298159 +750334948 +1936951540 +1435203514 +1532889497 +1448145409 +237558071 +718641026 +1018225063 +1191208277 +1658417225 +947450013 +1800944575 +85980152 +1867483460 +679198390 +255280469 +1584869143 +1511039630 +1132452601 +942067488 +601227054 +445552225 +274595908 +1442926405 +1689406057 +539990316 +18379855 +799425099 +1891314844 +2075722281 +1262723259 +494166144 +1865190173 +550443125 +2027055642 +1165851934 +788001196 +598213020 +36593349 +1979209473 +109146597 +984043362 +1632670400 +195126749 +704043174 +164385142 +450407219 +141428670 +1675424773 +1582859820 +1083496158 +129168179 +2028412046 +1358092066 +1572094585 +1570334455 +1898082383 +1590474440 +222275906 +1641913579 +1518713073 +1484999165 +2136079723 +1236419598 +2035442290 +2015651717 +254787884 +675959839 +466381089 +291381234 +507685664 +575527686 +1275424596 +2140356065 +770654436 +1979467771 +157257559 +1221061655 +2120896441 +1832682332 +656437827 +1056908951 +1961850512 +537366225 +267517370 +1386461449 +2107700680 +18116105 +829452241 +182492939 +1660029684 +200681667 +1667492104 +1648625759 +1437101265 +1555450747 +1516793829 +1691889150 +83926938 +1983174918 +1983270384 +591612602 +411218957 +1111211332 +584485019 +1181873393 +943195455 +741742579 +255451400 +916608248 +426941263 +911889227 +1973517200 +241308127 +1449255453 +93550922 +1627769576 +1409472485 +111667027 +309738170 +1591965424 +1771696711 +510419837 +1111973881 +1272838822 +1947521102 +519940980 +642149003 +1491926604 +603867918 +477840274 +1327713340 +1195480520 +889059231 +291441025 +1779965540 +2070932624 +1234636480 +374224471 +178900376 +3761081 +801165734 +1090789603 +1977278281 +1042473862 +392561408 +2070829203 +522759790 +1802033894 +35012582 +832497960 +1246515670 +1806709293 +1342917797 +211005903 +932064467 +1142955252 +730946883 +1574213471 +487398208 +1334814801 +2052053745 +1815111549 +382811674 +793629328 +2106552574 +15293566 +717078304 +1193705406 +389518037 +895978680 +1197466487 +1190683771 +1986768283 +1027261120 +85673985 +231846044 +950606675 +608433776 +2033879938 +985619257 +1440931736 +1132911960 +644844902 +636365886 +1343917864 +1576909370 +1779321138 +2074864747 +1003639193 +119235698 +1262195901 +908209290 +1934347247 +1645007575 +1701838618 +1893416173 +1660301141 +271433274 +939637932 +2049819178 +1167411954 +2137104419 +1093019301 +1006696589 +1016881892 +1178693287 +1238542633 +1967488567 +1787127063 +1124938923 +805624177 +1080575151 +110367236 +1450469079 +1716941037 +1454285100 +879894801 +1348778527 +1381666199 +1883533994 +1468014226 +496378452 +644259636 +1254877825 +2141386027 +198614606 +1000810351 +1654203520 +470047880 +1940448283 +1556539050 +1637459834 +1930069054 +502074704 +496672776 +799467298 +1680767991 +1735215409 +619472218 +1320411406 +712670685 +1425096395 +253502909 +823037921 +728081826 +1970443947 +129839373 +1607976628 +1171738826 +1511505572 +1344026974 +492269404 +2007884025 +1988286611 +1747147230 +2001786404 +39417569 +600473933 +1508506277 +509465450 +393438568 +917561679 +2146925284 +176023974 +1419636383 +496114412 +975491273 +952920726 +83846174 +1594963491 +125848484 +796516859 +872576238 +379351394 +1619554780 +1600658064 +202311693 +1749394153 +1061151044 +1374050519 +1113416077 +257694371 +1866319924 +973816454 +98497334 +1465983506 +828119211 +137914903 +2066457439 +189141840 +647380353 +312412359 +1106703519 +646821990 +488436333 +378856255 +1142936402 +1463927606 +1331776981 +1226782576 +911407449 +1457625466 +2023299435 +1783983687 +1836976860 +1495370567 +1237158104 +2039288553 +1097281072 +150825500 +1265855424 +63213502 +408519871 +984691700 +1037029956 +507017205 +303191558 +1865149167 +644932109 +222165349 +2054291007 +1292312462 +534577708 +1013510879 +1939134452 +1023014042 +1392367134 +934587207 +339458000 +576660467 +13886135 +1250865450 +2034285933 +2037185571 +887365489 +1723779145 +1385072490 +2124523593 +1615584050 +334869915 +127865446 +733955827 +398083417 +536385317 +1718647527 +1435113373 +1043402523 +2021839086 +1152778893 +1688334632 +96520787 +1059586252 +833163446 +631098496 +2073097131 +624814251 +1654112538 +1317980617 +1559401458 +1993570538 +1894641085 +1573287593 +1096952340 +1781443370 +1462989516 +1984317830 +1357738868 +700578359 +1961357775 +825839270 +1035448274 +2089223221 +1559795097 +1433531691 +478124891 +1130958977 +721161416 +1521527414 +1005314415 +1873940309 +1062378398 +1101835202 +786042914 +1895541844 +1732933698 +711656397 +372872447 +1239562588 +2029637015 +1932273905 +1085649479 +1776794452 +1358077851 +35118171 +1410754174 +673583719 +2019436001 +621009394 +1374162078 +1833310129 +1446848665 +262126704 +1775049702 +859160114 +1695658395 +105690945 +1990119091 +269336164 +1627218359 +847949858 +2143276473 +542113109 +1949785061 +781835739 +290171306 +1535235111 +1493492137 +663043753 +627314052 +1375645504 +447834011 +1712963531 +1004956308 +1805911862 +1748081702 +268226834 +332011933 +1620034056 +889236229 +1706174012 +1305860537 +188601246 +1968300716 +933426591 +1047761360 +1516475464 +1039117537 +890396804 +1785811628 +518852248 +1738346662 +1781604453 +1060965358 +1540648075 +415956545 +1351136664 +928399539 +1909448682 +2014180417 +1555713591 +1137610538 +314530780 +1121193474 +2142566846 +2120442642 +721791528 +263310032 +304970928 +194341936 +1152546261 +2011144940 +1500202473 +1341147507 +1831962008 +286145417 +241425220 +1200953824 +1325262954 +1131822024 +839281804 +1844115202 +722685038 +473402610 +757596912 +115849466 +889359155 +2108733576 +1044249005 +651324189 +1975430346 +452478948 +1788934727 +142477478 +1573672422 +1784017925 +115436473 +147980302 +2047327957 +420407401 +342322239 +1052390571 +284068693 +1842524712 +246054430 +2116030701 +2128670129 +487479650 +1169500878 +1306449435 +1619301674 +2008782682 +1003080990 +194503065 +334701644 +1760677902 +310352531 +1224060799 +1721927831 +1354601536 +1875384988 +1549874529 +1807080484 +1516836067 +1692352007 +1233269258 +1153370344 +1807788480 +1381249560 +1053214654 +80712233 +1723571799 +2105605225 +364780926 +1418612864 +204176007 +333327980 +1399799345 +691655658 +1502828858 +558765133 +163473684 +1364127892 +1561846123 +357976749 +1698829537 +1175040377 +668329280 +775406688 +749484560 +2022930816 +503308029 +151875441 +1682527652 +2020144096 +1844227449 +768313262 +1026030793 +1504532281 +2079175 +2079245447 +1585244515 +1725650974 +2037367024 +1950025441 +996780190 +94059383 +135869773 +249095888 +785715041 +1638698631 +807861021 +949188726 +855342876 +222223496 +1307165475 +406688765 +1397263873 +1975494756 +1182095453 +2146748434 +1850941924 +1685403482 +151140227 +1385985929 +1558063931 +1995367676 +6815543 +436611076 +1352416310 +8894718 +368372875 +790177177 +1734545693 +258256251 +592718970 +583842235 +352315634 +728588744 +832938123 +1138030676 +219803727 +1640799144 +2087219402 +1075146603 +1863022640 +1246901229 +1481835368 +1112802866 +1074912337 +516447174 +1112067652 +778370614 +54367008 +1263207879 +16872895 +1612430939 +1111091908 +23688438 +2049042015 +316024570 +32583157 +269931242 +1106201747 +1767128850 +528187493 +1698920717 +203487437 +880503128 +280025813 +1036425561 +2018533804 +499829541 +529741057 +1958269558 +1574976144 +245280050 +1057687139 +909327865 +1358082916 +2132599477 +1425775039 +322666920 +763486443 +1480142047 +1585874799 +780359338 +945089339 +549483059 +804047776 +846647706 +865507629 +836630933 +1116578949 +1971709376 +456276135 +1644766442 +1523146446 +659763573 +377785922 +1803172259 +1696189134 +248836078 +155518152 +78446543 +59621988 +1730494297 +323726593 +1117309128 +492338514 +1681809509 +1102424957 +1918113553 +2004476429 +1865911400 +1250771952 +1442867581 +498787090 +48377643 +1992350640 +1302834866 +895025350 +710374622 +2139465800 +2011604299 +534600350 +448258287 +1508887093 +2057746796 +1108021860 +1886673016 +1713435408 +656727346 +2135509094 +1868953560 +735173890 +47647435 +1451964209 +1058900483 +1164956563 +1944302723 +593226345 +119897872 +1714932628 +450219126 +1985809272 +818220933 +1893086707 +337112714 +866598576 +1737953700 +1639947580 +1761623926 +300844674 +1631929732 +1625744577 +835445024 +2080188020 +987148023 +745708173 +1040726232 +726337391 +311659933 +1697453579 +714362837 +33129845 +285143821 +762010272 +1485094055 +1344044304 +1926966835 +1281913130 +1937270649 +2046864707 +849362111 +240006128 +1885190331 +1667583044 +2133092835 +74819397 +386697972 +1723562887 +1714766978 +838251 +2024407561 +1199213062 +1626582828 +712368938 +1131917434 +466247203 +1458077111 +25160019 +1192584594 +1769737044 +1722613598 +1906947432 +1802866889 +2007757419 +521474056 +1140477296 +1204318075 +300957244 +274906779 +994105077 +200338303 +1124268890 +1234111205 +2085528635 +644368286 +1219720392 +12864384 +1031066258 +795799632 +1727631362 +1031904509 +672723545 +779360777 +511003690 +1385092483 +1911278211 +977250893 +695685946 +1936438230 +22351840 +317939342 +1511568180 +1929299272 +2120806232 +1371841951 +303289680 +1113799880 +428676379 +604246924 +1388706659 +1422781456 +804585228 +365491901 +509409013 +742630215 +1009860187 +1729129405 +755494599 +2040926446 +377445389 +335642314 +925347307 +1050168935 +1115003091 +1436350997 +287777770 +878797654 +266118243 +983463717 +667752237 +288470083 +1301403059 +31836769 +70285707 +1274725643 +1403678721 +373575387 +241041876 +1832355100 +977822312 +1629748535 +1107652908 +1782407540 +1995240437 +1617061921 +377554107 +857616976 +1198707678 +1133048706 +751059774 +1576153068 +1468691020 +1676407082 +478838355 +436210463 +965274431 +766616125 +1315008118 +1231392674 +1750079842 +1982760355 +1519862757 +903999254 +2014597124 +1590148464 +31241249 +1270792197 +1963723852 +272283125 +955663649 +794062516 +1902031661 +2063316557 +428986408 +1749788450 +1532894830 +806540515 +459921778 +584118861 +1939589221 +1210981553 +12788281 +1260796594 +739904987 +491626636 +1697007057 +1705179418 +1258242761 +864531527 +789088445 +860838956 +699808234 +161467554 +1764838210 +566921711 +1751616019 +1796079459 +1837713908 +1567856223 +2068362585 +645893910 +214435091 +1822910598 +561726819 +643421499 +1425215400 +2094621650 +1449962014 +1885137178 +531256863 +1242067587 +948635083 +544045144 +355380533 +1688540070 +1035671780 +2052387591 +1246235841 +146430893 +769435470 +2035324286 +1007269849 +1469243705 +49308192 +624624411 +2036165416 +1800924211 +273220223 +1726395676 +1221296786 +194099160 +224805938 +1435731877 +2017009758 +786532758 +2079153376 +1294741510 +733670760 +1381631742 +1032395040 +1264927623 +476215682 +1981030124 +1808972767 +831596215 +1522086546 +697160899 +736500158 +620838739 +843591792 +1505935629 +508679377 +1850861642 +827695686 +557987570 +328002405 +716377454 +211428133 +601222628 +295289482 +1432724920 +795321788 +520095421 +720973149 +664847898 +1306628179 +652642878 +1959589408 +2040298939 +2034274620 +844500801 +1157742914 +363006654 +678047277 +819232033 +1194602870 +52650175 +1516392932 +1931103028 +673488915 +212501076 +1289555009 +1182168292 +2063362718 +2117250695 +1740155862 +243881476 +686144501 +1951583996 +845104104 +981433984 +1236825268 +1640425893 +1501529405 +1957798417 +157790143 +660673936 +462957647 +2117379552 +553489227 +349748620 +814396705 +1711232141 +712755274 +1492443982 +382980526 +1907358144 +1545094157 +1899373458 +1690977525 +71099424 +2111874534 +833048886 +1253267717 +2027753605 +802815934 +845939931 +124151433 +1488960435 +650040279 +969255537 +322910771 +1886865547 +462197782 +1824440176 +1697180317 +619987926 +337630464 +12654316 +589883830 +891119691 +362402936 +1404280535 +454868184 +1075158211 +749240869 +837848710 +835032707 +146851378 +589738520 +378526584 +217950803 +554129407 +1211575471 +1471218520 +434399364 +2014391405 +169674803 +558550797 +1355868192 +819715083 +1527806334 +1678778964 +559096982 +1990004117 +1355735492 +108793651 +462508395 +1693365957 +121447968 +1052392225 +437002000 +483850904 +309189112 +891870185 +1559009115 +1058429981 +1729718895 +246558175 +1205281359 +171973768 +625084759 +1423232162 +726103175 +1836660230 +746967034 +1160502539 +1703567987 +916641838 +1719053336 +911952532 +1736356921 +1099376022 +443247848 +147970255 +941896491 +1798983340 +256763907 +1404404886 +1344865649 +378211875 +309313463 +1781867650 +862062779 +618502575 +526254187 +273588247 +1676932556 +108489434 +520146422 +734730268 +280463202 +1145231181 +10478782 +1006566377 +834407764 +757445817 +19585268 +390492103 +1674087655 +1738638604 +1302444635 +1262960928 +690530979 +1745692483 +1410931183 +1632427470 +1397192176 +1667695090 +889348709 +594574177 +2045906965 +1198662172 +228958179 +760486097 +1817164748 +755212366 +1034074344 +1346613656 +863701801 +1554220766 +2081343924 +1144165003 +551968299 +2091822707 +3247733 +1386376063 +701784876 +22833001 +1776868167 +228388883 +1761471606 +931829154 +1491349811 +304518937 +530037990 +754797346 +1936946407 +1927230166 +275008789 +678811468 +374320695 +173432106 +1877473641 +603278875 +933918203 +1547154741 +1358491241 +1967992547 +746284749 +74709394 +1374729665 +680145026 +1218874398 +1926697965 +624484085 +1222122131 +1165590380 +1326268961 +1244955132 +794974899 +1554657844 +858943090 +1726804054 +898524007 +1163462027 +109358396 +1653321353 +952924787 +2036588562 +1928330142 +1631736255 +263425609 +2101762249 +1361726248 +866704484 +888196804 +761397341 +77712078 +708705704 +1507682091 +152421472 +2083435369 +40343469 +1371295870 +1862649686 +664827554 +445934353 +880756419 +1991096515 +1690889486 +1675731318 +1398270711 +402348928 +1255051724 +149311070 +1565810956 +1364410120 +1802632423 +371252095 +1253515034 +1583478918 +2002988350 +1516940644 +1537757519 +1217230951 +236161480 +278470675 +1978628292 +313873558 +987176379 +1338826735 +466295031 +923128101 +1379170204 +1837590901 +638294139 +2043997758 +136041607 +1519050558 +1887610625 +1826931093 +1047298229 +1138397688 +81796373 +154866305 +1287708758 +1647607329 +1519276426 +942857534 +2018859424 +625307812 +378852804 +1874364127 +2142248456 +1916610323 +944111430 +230926289 +47597350 +775256074 +544799847 +1034773730 +2114082810 +1011094878 +1957901831 +1345769366 +701202132 +448712322 +1242283477 +837243739 +1967762881 +982410454 +516691184 +867577462 +2120808143 +598487557 +1022443767 +1261033253 +98611239 +394236545 +56407139 +2117470663 +1019544358 +435259943 +1844351142 +1014309166 +204386618 +640978924 +1245235455 +251983969 +1416234999 +1790035303 +1286757699 +1382834161 +653646533 +1097175882 +581119879 +1354848665 +1545888204 +1823403356 +44608756 +1366167437 +658330163 +561299940 +86261251 +631654658 +1159787498 +1108705019 +1892687911 +1258398737 +1502941564 +1949095051 +1228385752 +375002274 +236871346 +925253247 +1389311441 +441257965 +1566232171 +487063248 +693241934 +834983522 +129614903 +1979999633 +70334035 +783261437 +929691867 +651453915 +2138110102 +328096423 +327373623 +35235211 +1694263861 +985703786 +596535151 +1780525112 +1617358444 +1756322649 +741746483 +1362562708 +867237738 +97204400 +1164174111 +2095623491 +472206674 +1401045457 +873393090 +1861518115 +1842303422 +292141613 +201097716 +388061708 +1127125136 +330712619 +220577693 +1197459171 +1113974056 +1150269560 +1848913086 +1104600511 +1478365984 +28803062 +1139835722 +1025146197 +1014506848 +1736370873 +658187661 +484381645 +1345209875 +1399934145 +1846944353 +64963965 +1497138545 +863634816 +13103808 +1969345219 +117196625 +886496898 +1683379687 +1959500048 +1178638512 +1884477403 +200078108 +158280000 +67706374 +420655802 +1355739171 +1181680431 +1570925362 +1057168610 +138797294 +901807698 +1085971672 +1278633016 +1926953895 +2100478520 +867520241 +437657909 +437376517 +65246468 +1837592054 +136837222 +130210434 +1187246951 +1000472038 +143314242 +1009108522 +1117668664 +1029811141 +545004561 +929685064 +60966005 +281998316 +1129763172 +219246005 +349704691 +1550418974 +1574985176 +1531385122 +973860689 +484670138 +1670182416 +1875668387 +1570641810 +801331784 +1655138635 +1523636683 +1668852025 +2092796544 +1961013200 +1734098494 +1782904950 +2097850423 +1864308928 +822668253 +950838813 +2007623170 +1831776775 +2068507477 +889950663 +229297689 +850708893 +950916668 +511296005 +1980472066 +1170162673 +861000696 +1383407392 +597664202 +244902170 +209784433 +1082334340 +1915084586 +2085452821 +505492503 +568932722 +1593107808 +2029129186 +90301100 +1538420704 +1842658738 +1824399594 +1173842006 +1793025513 +1541224874 +1996510259 +596380679 +1401364396 +1680803386 +517404508 +143831412 +1910101075 +1368113402 +1094748080 +273913433 +1201101820 +117427106 +1134914129 +437025564 +715091308 +1379816300 +646809998 +1797425648 +1147417238 +584779171 +155434503 +1716349961 +30403331 +37080041 +1806651061 +1568824035 +1879738780 +1483567007 +595182393 +1525280645 +877308233 +444209004 +2121661324 +131188981 +2125012390 +491582185 +275020393 +1887629818 +1859695587 +1369768474 +14059603 +913313759 +1487195580 +1148973732 +1350339323 +54803240 +381306384 +1997149321 +1852228888 +1528723623 +434444844 +2007663392 +1097589936 +464848175 +2044743433 +756757349 +2033672210 +1776998565 +92840708 +481370955 +1154795563 +970148941 +925579959 +1128973239 +1101337922 +903108702 +1620555424 +1376358316 +643254872 +1332767363 +598643142 +657314475 +98597474 +2085838722 +1806288207 +1448936798 +2140641962 +40110944 +1298602471 +1845387202 +1568834567 +1733047316 +1705566946 +518940855 +50411843 +1602826732 +1275698204 +2084084054 +1232341649 +1368538912 +417971361 +239653564 +191204205 +1343551321 +1368626804 +1292542127 +99176375 +841698580 +521416795 +742431247 +26982296 +1120059937 +1399745722 +125579770 +1058415011 +1058550281 +1574516568 +1051573325 +1098661225 +725635392 +749476880 +520012144 +311199060 +307560178 +1038952999 +361610903 +1910386910 +167167555 +298211309 +995244912 +1535706467 +716182671 +1234898476 +1726910672 +2059733992 +456041632 +871969152 +11426719 +1297740213 +1393385947 +753857966 +1324722509 +365962237 +6120040 +1450302279 +1424377248 +1064670321 +877335200 +328466926 +15847899 +1602970592 +1077943806 +535860043 +1914169652 +1385503984 +1574813043 +128296907 +1148407247 +1741980598 +426508217 +2143652159 +1130203418 +1142690888 +1231066987 +709630442 +1054941232 +1687108620 +1581599594 +1066367951 +837365185 +827501894 +1820225917 +14604046 +1193464131 +1826345957 +1464906325 +470357731 +743532630 +194757877 +798824657 +759380529 +1797728469 +1876768463 +1295240573 +1564414473 +1114788800 +722569968 +1692711381 +115712399 +317066918 +2119219598 +111880910 +1447270336 +1114426838 +1342947897 +9417131 +21884422 +882572869 +1591016725 +1088252373 +1719938054 +271034971 +760994642 +1734542100 +1464499102 +439856951 +1051964778 +1934856834 +1183389581 +1246722655 +586197843 +1942770111 +896967477 +315482659 +1090527036 +313898302 +1430271459 +1813097004 +2006609683 +1545983858 +2130163922 +1978345633 +1657864768 +1429950611 +945288823 +853329017 +1439367742 +967173245 +1735901887 +882900819 +2055425618 +1308356293 +1153935791 +668936612 +895414746 +470951245 +1108793563 +1947379524 +258324431 +144699497 +1046618531 +844522275 +2087469608 +1943586008 +1160004934 +1030512996 +110000663 +442792745 +696126352 +2116610346 +1988776603 +678806626 +1947472332 +1499157723 +2108757237 +745277507 +205003092 +1400641331 +1712450753 +1940904979 +136058503 +1620392723 +1101777625 +1289994294 +141845688 +1997192371 +1760945539 +1250639251 +1797088247 +2019269971 +1395338748 +696223130 +716308598 +1335324708 +492325491 +1876313532 +218354056 +602326154 +171622629 +914480408 +571452852 +12915584 +1593287035 +371441536 +1512073307 +1554560624 +1116719044 +1717076399 +807718308 +681686149 +1510497731 +943776811 +154595224 +464791708 +86287457 +296440912 +314500431 +1847232996 +1547080164 +2111588678 +1719019319 +794935264 +660328160 +287844269 +2130259973 +1152653651 +16674153 +201130381 +1754979805 +188296782 +1115610790 +178949010 +201212366 +561414177 +550390546 +1713285673 +2115974801 +1667109590 +1282878425 +776209461 +201312091 +645892508 +1719986272 +355907316 +1110684216 +1806273729 +652348228 +1425184647 +1506023078 +51944744 +1389289677 +1077558749 +846880009 +2049617837 +1365403019 +829656334 +1054787841 +1382077172 +1030786715 +662283998 +1570373955 +2146397505 +841233008 +1771586321 +560328034 +1391623555 +1337388347 +528819188 +911249497 +472783124 +1305028649 +1112561589 +1118675632 +877531274 +1468468905 +81876200 +536321355 +2120817133 +1507060847 +2042344433 +25278230 +748866876 +972419535 +872158239 +651001065 +190338906 +1701814573 +1705788906 +1572416078 +585117640 +220589257 +995306385 +584031498 +1061822265 +619409059 +1144359532 +305962172 +1956797406 +1673178720 +1217211670 +282096882 +830723722 +182289611 +1400772514 +1708254996 +1650758516 +1482648714 +97092703 +1624092001 +842225913 +2139437137 +1649370231 +1591092789 +964373024 +374044822 +94610206 +1154711930 +2075859395 +1800399113 +579644360 +513493388 +2020988370 +1574950746 +1097524886 +935326987 +46876157 +94400770 +1241289160 +2003673563 +1767579491 +311017182 +138286797 +450819565 +493306793 +1539059311 +11590913 +2144065309 +874224377 +108683616 +1620673662 +1716450290 +100637105 +1122560246 +1160059431 +1065010129 +1496605068 +1254669637 +72238411 +1424980816 +907585102 +651882772 +1938474204 +781089824 +79349870 +888515442 +1716416812 +126226027 +982916212 +810222324 +2129899590 +603012055 +1121239506 +120702739 +1053831620 +1614546299 +1659762050 +1065422533 +1611127960 +386502779 +1174106150 +1084317974 +2102953069 +1274743255 +59394572 +1115528852 +192269737 +1555999641 +222714841 +264508148 +833496809 +1130299944 +916390920 +624487365 +1911389768 +995740790 +1513002807 +1480322932 +1121966817 +348435371 +143061608 +1104382759 +951447427 +1264301114 +1225085498 +2005279047 +731363765 +737363900 +923217933 +195008077 +1123866679 +2097324083 +1279326052 +1079336100 +1224583690 +1338720624 +47381304 +1416853427 +747236617 +270096146 +1681361576 +1580733426 +1400396090 +450268848 +57737143 +1164302210 +1446009639 +1570739950 +497141495 +420492808 +1919175322 +640203103 +1524875568 +723139101 +1904504218 +602477418 +580934500 +488384335 +1339841319 +1504152433 +683392413 +316224350 +1453992868 +1962718465 +1395560451 +531092911 +1153955441 +1442941755 +1947946338 +1901192059 +1713037901 +1481824266 +1334441837 +965950343 +1932093115 +1392178981 +2130252554 +1230619106 +815435283 +479910401 +1651111914 +587126957 +1120113504 +1028503834 +1310266058 +877134074 +1630981253 +1891200559 +1365518410 +823338924 +1247869344 +2048910823 +1139563274 +554378565 +1864145640 +387640077 +1085471476 +870617433 +1830581833 +885934166 +624325844 +1396136086 +220274785 +1958767682 +214602782 +4884252 +1203463015 +197371688 +1235503358 +2018898298 +677282089 +739131624 +458541608 +1797395593 +1767635459 +1768807666 +527046020 +1251133064 +1512524577 +1892564430 +2074471988 +612910274 +1793991605 +1066551614 +1167288839 +1510653597 +1454191692 +105276667 +233787382 +1137289877 +991210833 +858113227 +385942315 +1211485618 +669397261 +600545097 +1216369870 +1872860276 +797916785 +304389580 +1744274926 +1475198874 +1043521205 +55332886 +1125110820 +663673016 +1824140553 +1652156840 +1914806080 +1189181482 +1397237622 +1841794420 +1802091756 +1043745579 +760862386 +821896947 +406915528 +67570430 +927173614 +640702910 +1204860307 +1918384448 +1498816137 +1590802623 +982386418 +20729750 +43864072 +51272641 +1893590026 +841780858 +355662221 +1490381305 +169496084 +1399183426 +1545714191 +1294606904 +2062856442 +1222371096 +799280096 +1830178874 +264068931 +49034070 +1524489646 +2066160687 +1092779649 +137868385 +740573987 +1499695177 +205438815 +1667747601 +2140398088 +1410299123 +1438648401 +1491730577 +853618098 +273551172 +1512460328 +897482170 +324823813 +1258566706 +1739263028 +680486034 +601464363 +1908759113 +2079669461 +2147178555 +1055882369 +1995042255 +1222066003 +1855162466 +1677737482 +1486134934 +1904196536 +1054743480 +1404811974 +849492538 +1192611865 +2145385961 +201704067 +1398050681 +1665649914 +194618507 +660866156 +956814668 +1686349085 +1514484254 +1230365840 +1051325765 +264482776 +1555189653 +162408823 +2003745805 +88192039 +763873187 +1765021270 +20377852 +763568094 +673419991 +2015420108 +1985634097 +381098809 +1545673942 +1324285384 +137811698 +452933774 +581613710 +987304236 +1645545640 +579516023 +1189008303 +896112673 +97682289 +1383626811 +1556978829 +1054496957 +922492248 +923979435 +137379149 +1973818013 +1188462211 +1692568802 +2136226836 +1044724368 +1780760842 +752616375 +662261990 +1801138694 +1516184469 +1335681982 +1669075154 +1354334919 +1716780791 +1067265448 +531136655 +1854592489 +1520199223 +1112750365 +694413077 +1018261215 +1692266388 +1883421381 +1914373888 +1789948677 +1119564544 +1323869069 +696961987 +2042056792 +100364856 +834341136 +1868391157 +1288827067 +379426291 +1857134345 +186067788 +12703485 +462267073 +848329778 +1813842179 +1978451542 +36528112 +1335433686 +1185302813 +1753308904 +255215486 +1716439468 +1460417745 +1775414709 +681706185 +7347175 +646192276 +226488925 +1890768556 +413082516 +2016437603 +862849452 +1736951585 +565915942 +757422596 +1837316441 +1400257078 +478330105 +978659861 +1779683369 +187980802 +1164727649 +1792386854 +650247875 +2013057427 +1458745386 +481215770 +2049585540 +646695424 +1666518583 +1655410796 +901910910 +1235474404 +968344893 +529841972 +1917180589 +975692068 +1176034248 +2143669515 +718976976 +1589116765 +2012623470 +1581826428 +1178584702 +431055764 +191765376 +868417496 +1831312842 +670095481 +1847077357 +1463512564 +858076284 +864321358 +1108415770 +1508324159 +729895137 +419677508 +1989539929 +631997029 +1066372932 +1508574865 +139924177 +1968283843 +596565621 +1108269071 +350642167 +366262562 +2083961139 +1526676415 +362448429 +655454468 +968309532 +227588251 +89797248 +2146894235 +658644015 +281562625 +867828083 +342473210 +951658106 +567421792 +1805985774 +1809734390 +1431743150 +766917896 +1170574902 +14154639 +1186595405 +1012631183 +646151669 +105484689 +373722400 +786075846 +2073768532 +970288021 +1894344917 +276927051 +1336550584 +1830822409 +1803603467 +1698999013 +338793229 +624429351 +1926587265 +428590477 +623839938 +437747632 +710153102 +1491668021 +780220842 +1661811209 +2059089813 +438722968 +1324061951 +1343349315 +1205640865 +347153205 +1357503955 +244752622 +1359784389 +2003655624 +350237311 +1733506789 +642247822 +276522196 +556311163 +389109092 +553449247 +1892861747 +72447853 +209569066 +1444377112 +411241082 +833998418 +1223480729 +839831559 +1457838356 +1661228362 +1549984662 +802022730 +293965556 +1064312223 +713628895 +732688525 +240890526 +2056978211 +1938329390 +588043732 +1266998518 +35598364 +1947828121 +1123170494 +385835675 +1533851262 +1765418316 +662357871 +2090162425 +7043760 +1215807119 +1835540524 +79491613 +1425376185 +1132433989 +490732695 +111890955 +208431070 +1330564255 +1569729312 +1869659432 +733065269 +224268394 +16141341 +1797377492 +937897289 +748829866 +2038268018 +847391852 +539675608 +478828102 +2114390370 +575273972 +279172575 +1090077216 +961109647 +1813023838 +708011885 +1623467519 +1755702615 +715055645 +691790990 +1443759492 +794547259 +2117167175 +428709833 +1285279954 +81574483 +637140903 +468360561 +1651303795 +359316688 +1201425830 +1875572189 +375458029 +851319674 +665985830 +1124287895 +742104045 +1513377683 +1663963503 +1220932147 +1480284405 +91753827 +1500104723 +422877974 +1052863474 +1165644913 +1130889859 +528847345 +773863880 +1845945504 +1220638335 +70139724 +493009115 +1190321863 +498849557 +1778289070 +1271896346 +1135990461 +99165983 +775716493 +1495307149 +1300591814 +503805034 +1870765178 +4427840 +1169790864 +847569425 +746531885 +535684899 +364049280 +1967464033 +2015969305 +455803107 +1320085108 +291363631 +1508666581 +338246373 +1422253490 +2037513927 +1112110253 +1120715346 +1110668614 +1182249978 +1613724462 +153506829 +1681099535 +1244529884 +1425403175 +669606348 +1343695867 +53636020 +17429849 +496804033 +557441054 +1888195027 +501231874 +1727231919 +588280804 +1247763759 +115433170 +952330084 +1067744144 +2131402475 +1408133191 +240345604 +275282458 +769316125 +578591977 +1697535948 +659346404 +1690702231 +670767647 +1770015018 +725468561 +137008461 +1923521848 +259084448 +1381538345 +1201441375 +928690797 +577750564 +1255077396 +946120646 +1074554598 +1812518450 +686832026 +1575786472 +1392266721 +1275112830 +676066583 +1507699892 +79959267 +1743810728 +1491618719 +1488092458 +1984156332 +1766901178 +109924935 +415264662 +1316953478 +769271339 +2105966893 +1987721125 +391802710 +683951806 +2124729586 +167840910 +943036254 +1358784283 +1369282285 +1871727051 +1936534848 +476876033 +670364050 +863605798 +141910836 +1357196076 +291908622 +1534177557 +484825258 +967975205 +894393801 +564784525 +564302285 +238528873 +2052876984 +400974970 +2005430051 +15318271 +816239632 +1174899881 +784589611 +774722877 +1015137359 +1176392321 +1458674683 +992383297 +1344233231 +254227289 +203683933 +566031868 +2125954341 +2140218781 +1042907902 +648834743 +856340931 +1184818738 +2006030819 +1148249553 +571512647 +343372429 +2116224758 +1465906449 +908156955 +533043396 +1704435322 +813550291 +934018366 +1562381725 +828868562 +1750257998 +589797958 +1613458173 +377497227 +1604935317 +642366846 +1836171910 +449834967 +1986600077 +2090399199 +653518900 +405148298 +2068869892 +646254033 +1448056200 +570220987 +1502594964 +485391290 +428768158 +503360869 +1056903937 +772140588 +472101979 +375326738 +1680297543 +1005145375 +2079762060 +346364186 +1939163741 +1494660137 +1175232748 +1541938091 +2084458096 +641207274 +1919435318 +1541909765 +1283574120 +1608123580 +1991744732 +1122690550 +1551039132 +497779984 +1527838848 +1472425376 +1144034017 +828411400 +2042646364 +499145333 +1313802690 +323930874 +1002506202 +223222979 +1096071462 +1474608182 +598549718 +628885357 +332269909 +530828130 +975249543 +123950003 +2025488268 +2998644 +1665888094 +1962462716 +644205918 +1437839765 +1356888833 +1927780038 +898479697 +1201149918 +902986940 +302035181 +1698929902 +283342140 +1774460558 +695480272 +1111753540 +1669623274 +1194625605 +278072582 +1993554148 +49648160 +501295562 +942141963 +1524256342 +1099845280 +1571027320 +1856526251 +1630673410 +398793216 +1980476254 +1508678030 +401791860 +1498880701 +1323657098 +1045997778 +789236818 +533062284 +826294168 +1687716515 +1734212202 +1729281109 +1989751697 +1285658456 +2012623249 +1616728607 +1981138728 +976893142 +1138868233 +1028280686 +1254965724 +984938733 +1077928846 +1756261286 +1927080696 +454701540 +708622918 +1350624369 +163744143 +191812681 +1749417585 +2144220398 +1700490711 +3725797 +1495617451 +876664162 +1049723575 +137370621 +1409726446 +1876017743 +1825087136 +996455000 +1457815204 +1667355185 +134629808 +1322954806 +1136600144 +2115768537 +152364300 +127984729 +996565575 +1407330024 +1112923463 +2074494421 +1016107663 +892520511 +381712313 +1724730581 +95661232 +545456456 +1916543262 +1845078817 +542193206 +1469550326 +1848804614 +2037810657 +198730840 +751044541 +27697630 +1608457286 +479578637 +1852784767 +457428638 +1937393841 +1372656304 +592058446 +1112864999 +361772801 +560343335 +1265229299 +489757530 +1556908910 +525075676 +1602680993 +1483919683 +1541183339 +347717857 +1865631996 +1118430272 +443379089 +263604805 +887489887 +140974259 +805798011 +209556565 +1989778873 +696125021 +408287405 +593339767 +723822651 +2016744691 +1072918404 +429123770 +326689681 +862828597 +1801780075 +918748127 +1975693597 +16069228 +1479091463 +1093439248 +505826758 +888516725 +1618514924 +2108507752 +224952761 +1012214615 +308741961 +2090584757 +2130644888 +752121050 +206705914 +870651127 +893095309 +1012503926 +1080207692 +735390535 +1708628947 +1488495097 +1328730302 +284967950 +1357756140 +254165058 +714091721 +1684445821 +1116993655 +368388148 +455710300 +945203604 +384457376 +1934801763 +2038642853 +890284134 +675834841 +1509674129 +851308238 +900787602 +374405097 +1160050199 +843888711 +357566337 +1912171250 +1050594626 +1228217464 +657782911 +2063098552 +160941508 +1393173446 +1624243851 +1649436605 +574420100 +1909211801 +859709097 +828585158 +475819874 +396671270 +1945578814 +844208022 +852381570 +743298770 +1228665398 +639699686 +634457975 +2118949533 +1315534527 +2144132105 +822774123 +68838481 +371053554 +1982824323 +912727192 +728619891 +1747511925 +1963321818 +1956837355 +257811188 +1878936722 +2117778863 +1650984635 +1355696925 +1619731820 +77921087 +1117425079 +331957269 +906506246 +1593244953 +728628539 +704601412 +289969328 +1581010109 +1447900182 +1518634726 +73226147 +2082358158 +1490100611 +1388760674 +2079006615 +165391087 +1457599155 +302576521 +731762 +222842700 +1031196412 +1748243687 +38680870 +840550119 +2006054875 +1917617593 +810845334 +1509555862 +1125830870 +283093506 +1587476950 +95772301 +615050775 +346499548 +1689017255 +1343679314 +1051100960 +1978986583 +777205775 +351517494 +1350137661 +850431923 +286392004 +692754625 +91708949 +217914971 +858145712 +1549308105 +520491492 +858877474 +1772150805 +1551687904 +459637513 +1810831675 +244754375 +318208740 +1580965620 +1055599709 +1827764603 +559312843 +1338693215 +1267757905 +655085144 +1953743990 +1614257453 +196618751 +1149939656 +517874765 +28121686 +1927145432 +869392259 +1378259348 +630093707 +1155784264 +2071013973 +721802656 +1373699235 +781676037 +123627113 +1894190728 +1640553511 +1895777918 +1298394984 +2100191024 +1559125946 +1543149360 +270916116 +992607918 +451265421 +2098680719 +1551920761 +1789958637 +1218954976 +59522258 +1596218979 +685728781 +256141009 +598674988 +1203603546 +284262696 +378336772 +2072995806 +1662522044 +1008430479 +1081296422 +1586052369 +1730233135 +307512009 +220244758 +1853860249 +54219089 +1860798269 +1602154519 +1352614074 +1813505645 +1013796817 +748279786 +2084421761 +2006404736 +1199545207 +2035618833 +1410841849 +842020196 +1107090161 +1470364107 +290755528 +1792818943 +1726505117 +889430516 +848938841 +2010767813 +1267767288 +774450999 +1525806209 +128714119 +1855747421 +964374930 +1858947254 +15775783 +1184619688 +1565323855 +69994872 +897934309 +1019994727 +1422608946 +563956306 +2033791544 +23405084 +500894419 +1892712632 +1222950292 +389029604 +1156070834 +2064970488 +1496119766 +478951293 +208242368 +1141455061 +57972762 +1097672884 +1990393902 +2068740575 +217956524 +617361254 +1447063136 +346670643 +325625027 +263954418 +58134250 +341400810 +1448574106 +1623458105 +411395683 +199024767 +495969184 +1834004629 +762981073 +382277081 +1857409714 +1263875493 +127506065 +932876358 +1652905097 +1283576899 +850363198 +1001541215 +1762528193 +1058605567 +2142996276 +1820500955 +8794803 +1985906531 +1741757883 +226751328 +455784137 +1041337371 +573421971 +781409164 +1305291790 +631556221 +1122809975 +606382248 +107530679 +1534205658 +805407016 +603499863 +1220726639 +1568388089 +985776944 +930652705 +684779934 +1113283010 +1863529063 +190201384 +249376261 +566408614 +1191742599 +2011904454 +1625014181 +1187255228 +1684921762 +1633808984 +1025678111 +1279195997 +1860560312 +1481462248 +173049720 +286498636 +115387764 +1478341510 +918054857 +1238197739 +2084723759 +1025585536 +624919749 +742647127 +1629085400 +1845646389 +163551568 +467378696 +628815446 +848331503 +1580661706 +344860862 +1038532887 +1830037968 +911269476 +82791838 +1694458774 +388800009 +1270047066 +1231896888 +2022608993 +148241529 +363609237 +1735685658 +1629703777 +536658958 +2022184294 +1745091542 +2015000468 +792755503 +835805633 +1952240579 +1818341040 +1460725383 +547404058 +1299942792 +1158888124 +710955627 +1767321488 +1787703570 +1559287130 +1200499547 +2132564432 +450336369 +883053867 +896350260 +533128207 +430028993 +1285150269 +1803175274 +1661925882 +1160275615 +1951416803 +2025535119 +748477625 +1433636933 +414710429 +623178271 +1031244827 +282227250 +1415933774 +1867050460 +86984181 +1086791166 +1180292195 +634388240 +239250310 +191696671 +1345343867 +2006571799 +1979400242 +757147349 +1059587698 +1964481026 +1207483718 +1942641565 +713347639 +1740611925 +225186910 +1998497908 +1396303551 +1887112792 +1011289875 +1200236707 +1765164264 +1759767500 +486389992 +32391045 +235462123 +1517634819 +314618295 +1651395898 +1237201631 +401602477 +590703416 +270010179 +1035990717 +829953727 +461706850 +233850936 +689041878 +293623444 +990998285 +1748629576 +110620823 +50998355 +1543787493 +823968462 +1791610280 +1768974403 +674982722 +1040430184 +1508603548 +1686272598 +93183243 +1126284164 +1298556450 +579573235 +1158675209 +1534018574 +2097208054 +1473293505 +1037930824 +1186926037 +1874895982 +1628634240 +1456936216 +763403051 +311104319 +1918643067 +997253987 +1000146197 +64782863 +1988252272 +601292125 +175403686 +2039250627 +2145079618 +999372148 +1683377259 +1766570374 +1674354871 +576323795 +1127690274 +1213143821 +669507038 +106490790 +364216623 +1249080273 +1265165999 +1898235197 +1198804679 +590975856 +788682373 +238247069 +318388190 +269832966 +1695183285 +1081791241 +580937285 +1466342704 +2079045228 +1581083483 +1531125568 +1919813852 +34891960 +1706529254 +1811580831 +32487931 +558417755 +1347474443 +1799058305 +85288978 +1923798238 +779264931 +1298432799 +445821629 +885755721 +1662649422 +1694901902 +3438072 +1413400972 +746222934 +594413929 +54599697 +984470003 +912802119 +324432663 +532169640 +1994593361 +905369949 +1998512345 +1926154941 +338969784 +1382154265 +1698485146 +373861744 +941199871 +1362582329 +406349675 +1499617626 +562573124 +57924332 +1584906604 +338887715 +837189263 +735855755 +784709344 +1722944984 +251021530 +332127598 +1726383057 +1664422502 +1078350532 +173313338 +1719022199 +2062820535 +1086115457 +2043454863 +447506528 +933225170 +801341164 +298535225 +711896464 +1140310948 +1680689490 +262897962 +1514172692 +474405713 +1625480291 +1920522368 +1974023340 +40569768 +1978446700 +1411446296 +379457483 +668152316 +2147302052 +1164166827 +243613652 +250839934 +1496294425 +1969996709 +1915262436 +427161310 +2143310047 +1486800987 +342498197 +1081941857 +1382772202 +790004725 +2015167027 +36629718 +1088539950 +579579843 +1176940666 +621745792 +842477805 +543629711 +1096151506 +320474449 +316668431 +922691198 +361044217 +147631483 +186653846 +740501700 +815783799 +186472250 +1904668527 +1059397452 +437312184 +1253479304 +881910513 +205090972 +1680640614 +877736913 +1691891960 +2023138812 +1959678770 +927180514 +665659889 +1827362149 +963810233 +1754199840 +259458345 +2140750899 +228461984 +1101936150 +536896962 +1324613490 +1422410599 +853565393 +99821040 +1783454816 +1001196877 +286474887 +376472868 +1816980676 +472947137 +133657747 +728894480 +910259322 +1387137052 +1610804994 +1115350294 +920294018 +341058259 +659758606 +795949182 +153253381 +1586939121 +1461609072 +1980615530 +403265706 +1068325264 +92590227 +396532957 +1296787248 +1194526378 +933429920 +473917091 +469453329 +1786995313 +573738131 +105424498 +640708542 +860213018 +481897366 +310205571 +1333160156 +615555114 +1039100051 +95935830 +2002692166 +502421397 +1211286124 +775502536 +843479656 +1871044731 +1571451719 +996733037 +1310500204 +885577143 +829864920 +1713765910 +1953902407 +922455147 +2110298867 +1103206007 +2116981525 +896245139 +1577123098 +438951207 +535756805 +3377582 +544375705 +1176465347 +863590600 +1026273071 +1486670918 +49267108 +1641828185 +378287322 +145202938 +1497036703 +880708719 +1356489063 +125055592 +1724188376 +1080050146 +1696507311 +573437765 +243066702 +434600806 +1403302685 +1956832612 +241019565 +178274185 +1919647831 +1344225572 +147772062 +668409323 +773865023 +586723269 +1204166128 +777242605 +1131098974 +233147827 +1640833205 +9888398 +1719818746 +1690100314 +1651716583 +2098106068 +1835303252 +1001269639 +831331139 +1044308667 +1126325231 +408035867 +2124358813 +675348894 +981473633 +219941867 +1109949700 +237292670 +29290831 +1350969265 +415566855 +1948938663 +547711189 +563338918 +469864338 +1321576212 +1150062187 +1674030466 +2098818817 +133677514 +1907178293 +1592168375 +143565912 +1479513391 +1134785041 +1795282495 +1430135811 +822604645 +649068486 +113983303 +1866913313 +1775393717 +522019170 +1843788478 +303258963 +1503492803 +2063730346 +1413208663 +1740785474 +2093021177 +616694280 +8868681 +1894476192 +1164405470 +572207599 +216856882 +338498034 +1722269787 +1890887348 +289833204 +1855947301 +1650581994 +1882001579 +1999513213 +982611737 +869302972 +1647312060 +265263901 +1691907617 +148896899 +379247204 +1411337282 +1924290616 +901266374 +1107642113 +80065932 +257275530 +1023888811 +1493274595 +1998061004 +969426340 +2109968876 +2006929685 +716418885 +1126890698 +431653637 +933275767 +1465388732 +6439776 +676679468 +1755221936 +1862387077 +179777814 +1489739867 +1714416642 +1162389551 +211559191 +1214245054 +1427653452 +1903466809 +1363141953 +1806900656 +1167320443 +1139948922 +560683383 +127478908 +1220014854 +817958913 +1151367719 +565805801 +668536269 +2120794060 +528291029 +527982306 +689729297 +1655181727 +959635943 +1623005064 +973086812 +966075719 +152200884 +580825100 +680979148 +331978698 +2070564968 +247912142 +1494368250 +134640511 +1462157197 +774538054 +2038107320 +677815502 +433955063 +1057944116 +1817764424 +994638446 +1185423024 +890295630 +1812597359 +189307096 +1456101432 +333649980 +162617508 +1984392461 +861632286 +852346805 +1492090541 +1821268230 +327868221 +317693705 +639860301 +480069106 +898518805 +1320839450 +812047804 +821600125 +1568751592 +158932406 +956240637 +883425141 +933470461 +846864309 +1561240644 +1367425524 +1904808425 +1231521420 +214580322 +942747802 +2121817051 +2027177681 +1132054898 +1430434835 +213344013 +1294672406 +1267343648 +1074976299 +2147019211 +611950541 +748760881 +327403784 +929644246 +1388621183 +807472890 +1828163052 +561976985 +1619520695 +502279529 +2130728577 +1778453101 +1458520166 +866670071 +564439914 +157900828 +280427067 +1931865438 +2062709253 +1511948487 +2146445760 +857973407 +1486281890 +2026139793 +1990028305 +769233077 +92000158 +1137217063 +2036576726 +1166976458 +1136752626 +501043619 +1915737339 +1464156411 +1430687866 +1156874874 +124145653 +1111367270 +1718851859 +1743666348 +1613646799 +1702096789 +1374635802 +924683318 +421283212 +1939075716 +1082584146 +701710279 +1723457507 +997809751 +66175118 +1722419619 +1855783159 +1552457009 +1601075765 +1698327816 +174206438 +1693075923 +688061232 +63299516 +712568733 +1824813858 +564343136 +480822425 +1141486621 +1995031002 +1637697299 +1265632275 +958914624 +1209065511 +861814975 +425077775 +763678652 +88967129 +1349761093 +1184961864 +2028042846 +284861591 +1886672143 +1604016705 +1282671343 +1952847261 +1178952676 +990970854 +1357820622 +632544793 +541815022 +1532027061 +178137069 +1229876254 +1595326577 +890705802 +907206465 +12186065 +1371528227 +2048693086 +2007217067 +861741879 +1166841713 +818648043 +2070807390 +2028656689 +1243725819 +687002394 +2117623818 +446003264 +1871964258 +1998183016 +730864856 +1611152753 +1454716073 +2013536199 +1416516366 +486185102 +857023405 +626853341 +1118729895 +1398838427 +11396754 +1296866964 +481231034 +1606723331 +40089119 +1388437499 +1618909397 +1411617346 +1289646937 +1478642816 +125875577 +309005003 +149807212 +49199319 +190178044 +1393533031 +736201713 +160318214 +1839536295 +460682323 +11017583 +422917503 +2071835076 +1465733656 +288970054 +1340867795 +1951918758 +1145993459 +1967721136 +923165006 +397348239 +1979117890 +72548322 +878579273 +1438357573 +112637441 +119533124 +909783322 +1524254788 +1409180061 +240942491 +1650130365 +1718185064 +390749703 +1699329685 +1908363108 +1784282734 +288047750 +2068681323 +1476335381 +748730074 +2079698906 +1899252885 +673081502 +1397948914 +40739291 +2013949297 +1202384025 +1186732751 +1834186785 +2125549031 +1584080990 +1665821027 +50613705 +315176615 +956694953 +163251147 +434709739 +1866478275 +1687505935 +1843889800 +2107420766 +1190152652 +1414591217 +350686821 +741998689 +1175470677 +2134969555 +1030046440 +1096668352 +1463821289 +1778776514 +1028883610 +1215590526 +304374368 +279348877 +1256329817 +170840018 +1481732902 +295578920 +2005026803 +1459798285 +1879659910 +1523364183 +1510411990 +47352877 +332575488 +1673663137 +482062616 +51570115 +1213685424 +178468769 +11507234 +256354429 +1593059986 +362194055 +998353118 +621047015 +349679963 +2028399558 +1717715368 +1813501252 +1659692424 +599115330 +881608130 +1964066793 +878464207 +2137937947 +2134906811 +212713461 +286033220 +1992449966 +1672511746 +18209482 +1368330501 +1035440089 +65562360 +1700905989 +561619578 +547624976 +1752476105 +1775305003 +726093745 +1763983339 +2031659432 +171670083 +2126177394 +882528902 +792717099 +328373709 +763444813 +362948819 +2141874961 +275653589 +962064149 +875999443 +92236734 +1840528357 +866453743 +79659897 +2053241818 +1152486963 +2072109864 +1578269917 +1170696445 +1292956717 +466226358 +1236258805 +846379059 +1027845936 +1783883782 +451371516 +655667291 +362493879 +67871207 +539843075 +534163963 +46564953 +1422371978 +1326881062 +374938663 +38333143 +1689829881 +369329976 +313986732 +504410382 +1245329420 +406223467 +197455091 +2111783163 +485883364 +103213262 +1116786478 +410509580 +1681483179 +139999275 +1703466298 +225889 +1376258081 +402361709 +1028071825 +1012658215 +853733225 +1683739117 +1375152094 +921604432 +76098544 +1909316057 +968169385 +1498470522 +1088713471 +1343108048 +1536803665 +631059704 +1712438025 +1850790398 +1135470087 +810283797 +109530217 +1332925178 +774583312 +595413581 +1436138440 +1891369790 +1005923162 +970137971 +2031369065 +561905812 +970363860 +1260143498 +964267521 +1998435686 +125318065 +1818000746 +1534691155 +1500470160 +592121530 +1610789699 +1262302569 +1560290915 +961776574 +203532393 +755915316 +351096591 +834592097 +320869693 +54403341 +1970062184 +1131153490 +163933558 +1155503715 +1905736802 +759347140 +444158507 +1649622944 +1765270302 +1414296479 +1533508361 +179692466 +237176691 +646168212 +1143959987 +88128729 +771486277 +814477085 +1622819884 +124472789 +1406598615 +1086125936 +1386775359 +819405882 +2047902510 +1590307752 +1575321198 +251515453 +277416201 +1896190891 +305918795 +99994738 +879860733 +469852353 +1255498453 +638113887 +1229199493 +1699656960 +140253183 +846986147 +966469791 +1673761545 +1026678613 +1203646483 +172446109 +23154952 +1291775212 +943932386 +837632037 +767111449 +1068405176 +96747004 +1853237385 +307696887 +916152887 +1753656247 +1898004639 +343990437 +2005171700 +27937192 +92697681 +163606847 +127931930 +972558414 +633459201 +1383430383 +1610672302 +1862658694 +935603696 +1750925485 +562161194 +1902073487 +1277203382 +1588839807 +958236322 +1449649491 +1611994760 +102527887 +246098230 +302143149 +869639336 +1314503406 +398890154 +575393073 +1622200293 +1315043041 +181565672 +1372721284 +1659033478 +39253724 +1400658476 +1751731159 +202860572 +1528590407 +576805926 +836319773 +764537142 +39994580 +551494819 +1700140838 +1790920065 +1113656013 +1454730678 +920639800 +555012173 +265483352 +222805643 +19523285 +368011239 +468903873 +321666434 +1237650575 +1783407279 +720556588 +1813043648 +1258123924 +2035599629 +1994609320 +483361560 +1547149460 +2033863045 +1884020037 +1151396971 +89239969 +1265126796 +1728202897 +925559742 +2029663938 +1768197477 +1477054561 +1582321129 +1411633895 +443226927 +889568159 +184790047 +998239100 +1155051511 +407595690 +1017762385 +1523062751 +876499564 +1339428819 +613229678 +512423195 +2059985408 +278789679 +1770547120 +1948101389 +125915351 +106425032 +1347767201 +12294748 +1990445069 +351680525 +101534717 +1108088217 +2079883422 +1027094459 +990268508 +1700597252 +356665373 +425105989 +964747499 +799892300 +1314674148 +1149537546 +1798131400 +322242011 +1557133236 +668410137 +1845304762 +286149152 +2007838956 +311050793 +798572348 +1920340716 +589840472 +421635820 +1720958458 +715755823 +528060852 +921242011 +728050572 +371022274 +1272922536 +829585289 +1479110491 +1205322311 +1856679749 +321895351 +758435915 +65861474 +747001340 +1723183414 +865753774 +2061675488 +725237312 +516401526 +236433852 +134886900 +1184811663 +2081738614 +421036053 +1045166971 +245305759 +1219608401 +818024040 +835146231 +1641244221 +391498850 +1550902055 +21821425 +1312740861 +131468979 +392843699 +438179750 +961054268 +1871954191 +1643502061 +670250369 +46365894 +254454328 +736111843 +793367235 +1977637742 +1601865617 +707559075 +555391406 +2118267143 +943992927 +690278306 +1155595158 +878247894 +1111314359 +53278482 +1123553653 +183439112 +871302522 +1958699885 +1824683333 +1262801372 +1362118292 +1846504759 +428058585 +1493587271 +91864810 +866238335 +307157891 +1963819001 +362256748 +977408261 +2010184896 +616711076 +1713520104 +656068483 +446865170 +1167902074 +1363627558 +1002256576 +1138685569 +160136838 +1692534883 +146797080 +1038384732 +656365594 +200075562 +14454737 +839804707 +1071378084 +1973154622 +517004392 +186695808 +1187789266 +216025503 +614754393 +533892889 +307890314 +1480992729 +841050781 +124225667 +1843249477 +1818459042 +2134410563 +312476906 +1384495498 +642995398 +759342076 +404913924 +2006622957 +1761598653 +1543599494 +19276147 +1306649888 +1690396574 +1057660879 +1963015482 +1890472136 +1072115616 +655336541 +814366572 +897786591 +1172340934 +1001062380 +2085575857 +1388366437 +1615816773 +471985099 +1696256751 +949325854 +1313035880 +1820482419 +645091684 +984011274 +1807409334 +957568590 +221023124 +302921085 +1716910666 +625937049 +162060394 +1331025671 +22052895 +181336541 +490191911 +1712449469 +1238997420 +305723746 +1455437957 +163629388 +961060287 +122320881 +1061415979 +2133401221 +1123383261 +999508189 +1374284011 +591716386 +1471493288 +923057114 +1541042241 +637045520 +596055885 +38650277 +1621056794 +255981572 +996218867 +1842079918 +558902657 +565645885 +320533319 +720963051 +1896671557 +342586214 +902299592 +239379820 +2055035683 +2141297012 +545103566 +1362989992 +157442752 +1506163854 +1485310873 +1218858732 +1492081427 +461210486 +70883273 +718881790 +1052926873 +1542376561 +1641938905 +446485466 +31938433 +90511142 +485135743 +1652995227 +346492714 +1481354610 +1347591497 +905395371 +2047000495 +1668124817 +1626358422 +1796188404 +2010711031 +381174366 +2035568225 +1918263067 +374987730 +433188143 +1133769411 +532430483 +1939351997 +471596637 +1751289215 +1283949777 +932807123 +1822172488 +2002831567 +1985733996 +1217065401 +1497286824 +284735814 +1249003834 +1587797967 +769871557 +754515413 +1934290681 +103742519 +2102106910 +692202405 +3259367 +1622748079 +171077179 +1799447771 +1485975463 +552251546 +1687532348 +1256754882 +927239276 +2120720492 +243040645 +1459669759 +1912588841 +714637282 +1063475326 +1049054970 +1647444406 +738164166 +904402890 +1485694754 +1955229567 +254206066 +1770430569 +1056749753 +1842004033 +392818478 +1811265166 +1628811067 +496560998 +1765888429 +173529824 +499820365 +1241152860 +344607003 +151784488 +579644675 +896858549 +1839316837 +1836399557 +1824097826 +1812553681 +2079440203 +1136283937 +1577658874 +646593837 +52275616 +479230197 +146554595 +790439782 +1383633087 +1632249350 +598185702 +1637839153 +1255196271 +1654935455 +1332359539 +1648014749 +1318716974 +813686958 +2144575747 +937121755 +987216782 +496912464 +30790967 +1331823785 +648696953 +610435643 +81198687 +340530142 +299351552 +1905296513 +5600175 +231308107 +894096802 +1583259049 +877901945 +946372418 +2062489246 +1024456540 +1736812201 +1298638685 +509222242 +187514255 +788994191 +1764418513 +1842449710 +2121353730 +1264949615 +1013683036 +787557040 +1262041714 +1950804791 +1774773822 +1758954179 +1981595759 +959113959 +260167484 +444547754 +1040312646 +600697626 +743899306 +798125511 +606297801 +975207414 +1692222314 +42073202 +1853109359 +491111084 +2104562449 +730082251 +80439637 +1255717486 +1239304494 +267953892 +2044711677 +856239359 +2110403603 +2018581759 +2121188974 +976602991 +658655151 +1235747041 +779924135 +285945325 +847217572 +614036246 +1245059285 +1107385056 +1058584000 +137888283 +1708082682 +1802483306 +936013795 +166896835 +630207072 +480752461 +208970037 +335832783 +971863545 +166048838 +1065915035 +1052303183 +1421766325 +157735881 +1320257075 +1318994354 +1013975240 +1283177030 +1190092466 +987680567 +112296374 +1848747617 +75943960 +892220509 +2134692943 +923161532 +1506256755 +1232268580 +2030546588 +417357107 +1370156863 +1591145622 +72356765 +158687010 +1758042457 +702563838 +639439471 +1967012494 +1038396621 +1611303017 +2133061333 +2104311656 +516122552 +1407344010 +114563889 +1836379627 +578854716 +1128539130 +972073010 +1768947182 +2116219697 +1084369384 +1470211152 +44680009 +1976589893 +1457420447 +967841541 +1335363000 +542205379 +850904481 +1752720107 +1912362242 +294566455 +1825076872 +2071049253 +2052608912 +380157062 +563005076 +1872137758 +1418553684 +26824445 +1857715443 +1375381692 +542946997 +1117575805 +1489945582 +231842977 +1696430522 +471001064 +1203915987 +1317894056 +439737113 +140801723 +640621560 +484417122 +2117391616 +2098042007 +1452258663 +1305270968 +492763738 +155679496 +910507427 +257642333 +450245951 +588100651 +181207938 +355371215 +968257714 +744213014 +80025325 +239327750 +771037460 +1937740769 +1614709442 +1313984457 +907832926 +957171376 +1545827434 +456779800 +1428172440 +602259773 +1774673857 +1867909553 +743061496 +267811769 +204843027 +712969464 +218370129 +1657101690 +2018240432 +711133867 +1812781186 +781264211 +968776200 +115543489 +1369364863 +1149984138 +470914704 +190138929 +1894197153 +550940030 +429466679 +517750965 +341197151 +2044176121 +1831735422 +1249030077 +853863850 +1230079209 +1705809878 +134552642 +1832338982 +1333000087 +2002462196 +427916831 +1600811856 +59821575 +1140886295 +1819181985 +1716923266 +1011643080 +382832205 +1382220804 +1792907291 +1351608405 +1497764294 +1014788506 +354108896 +1968678998 +1204927435 +100822401 +372135380 +1634394114 +618573366 +713332531 +1531086588 +302825140 +1962362609 +237466790 +1532904349 +1520688839 +372019432 +1217759684 +706205278 +226997980 +1645676515 +159533486 +286819556 +639079162 +1978715472 +2003742822 +1650722242 +214064029 +1238479978 +1296145886 +1565672434 +588760624 +163450744 +1919781330 +409955975 +1368378180 +2020603731 +782091355 +855288646 +491693449 +1495423887 +238891586 +794518590 +1310302848 +476358376 +179939291 +683508039 +848377809 +1397698975 +1389713317 +1075375789 +895891842 +1549246803 +1362195345 +1534971005 +1380478627 +1218454519 +1038209599 +1594542656 +309450850 +186871837 +1012731443 +898211474 +350322582 +785029125 +1308167449 +1718700762 +658149209 +2090258805 +426505760 +1149842658 +1438199044 +665397347 +1944361248 +601018244 +1141755723 +2124300540 +1284526283 +1990133532 +1374515867 +526755952 +918025674 +122924062 +2076002755 +132737371 +1657895067 +1308997735 +1351191891 +548621018 +756056743 +1660642741 +735492856 +1768788186 +411370567 +1085815438 +406333664 +1719538017 +657032552 +1064482873 +1662313174 +1083538312 +66841883 +953028570 +1748935659 +2011203132 +1554046814 +743207735 +1988020024 +691089449 +585857619 +1215052243 +1217845401 +1503883293 +1337976305 +1146364508 +1636620665 +848387724 +307878595 +840328908 +1397008743 +1063935339 +353488001 +2132501599 +685239877 +764858568 +1070833389 +1091573541 +336912937 +1727865941 +8572766 +1999226111 +663920605 +75414650 +804771033 +265372617 +2086617782 +211334199 +1008580352 +1927154158 +902423648 +1594437971 +994722753 +2120269049 +950837617 +185215411 +1119149910 +439974634 +1033603135 +1427028505 +1280303542 +283128230 +343480196 +1633791543 +268146181 +1028720074 +251166463 +1338979570 +2120293615 +588079401 +919361863 +2128866382 +439821864 +1583282469 +56797384 +1244592898 +1848655086 +2143415166 +1455927097 +709751790 +1923085676 +210867098 +156706113 +770324781 +183652499 +1107543730 +955540192 +1302802409 +1547518364 +1989143328 +582347267 +680338258 +124787910 +925827463 +166646153 +392934092 +1954547537 +417812617 +1731913662 +1927357505 +1005892018 +503791878 +1908740239 +1445713882 +2087074347 +1965537623 +542823132 +1788245785 +1961469141 +1998750230 +350513927 +1737071169 +62133680 +507220040 +359912302 +245786179 +1614763771 +1315452495 +1548588589 +1014798487 +1157112175 +2130935856 +1695136746 +1281900085 +909279671 +1861782899 +1674834177 +716343561 +132111868 +1259264192 +496217418 +1138003886 +1763056070 +257474009 +436234121 +1702646769 +75527984 +979057253 +1343408906 +2036997125 +830323835 +1693922833 +1626584646 +892457515 +53659225 +1986496948 +1138243695 +1668422996 +1154465795 +539348636 +535737836 +164094322 +522800844 +83390934 +1445994408 +1432080515 +1945173833 +973344937 +940428 +2077285702 +85125481 +497157846 +1067805940 +1848181551 +754631855 +1504040061 +1403344672 +830159839 +335613667 +599269930 +719673316 +1165937502 +145709115 +198774314 +2058395018 +199368341 +37787615 +1049155065 +1867791337 +1192253410 +1588503701 +256045525 +1356347733 +2111304545 +339436459 +654858493 +1395901412 +137126645 +1628203430 +1396841841 +66928699 +1713328912 +1893999687 +1134734639 +1414026815 +501147895 +491291053 +669887840 +1331307734 +826904720 +1269157770 +2050981051 +1992842222 +1414866886 +102271717 +1903753592 +1614235227 +140059332 +805425009 +1334542916 +1332312743 +246445062 +1590588442 +541176828 +210265959 +1930024901 +1196035321 +1606167372 +2067151546 +676755103 +855525565 +2134080245 +242600367 +602041604 +1121331237 +1656627183 +1103189499 +1612622290 +179031375 +287013586 +292043362 +1448189145 +190510989 +137401936 +715572383 +292782706 +2041155529 +182323962 +432842039 +699096890 +1516866879 +1765154782 +945541953 +959971673 +158847962 +1155807912 +742512926 +1354883283 +614491636 +662180825 +2031638386 +1470017201 +648777422 +126755106 +2072058806 +1770108659 +1783382289 +1027764657 +1235247301 +1962413664 +1314778243 +1527290663 +1263119161 +1505289232 +1664692600 +1978691545 +1798071939 +1558364481 +13531859 +83430330 +109977723 +1530398738 +1848585112 +1055519676 +342886763 +2007433074 +63843941 +1085399690 +1214832709 +678335577 +1747580515 +1098987447 +869131 +248874289 +1225742553 +2072927937 +2018982949 +861641194 +953208946 +1106746602 +676571210 +120503542 +486553618 +1939690372 +1625792774 +3762570 +1770898269 +1276381065 +1562127051 +1784430128 +1359811395 +1672104774 +1167345219 +1060912859 +580140803 +1510231982 +920862285 +643984744 +448148024 +2135694994 +1322320321 +48244891 +1087198794 +1323189452 +297119181 +165457699 +1248633741 +168618482 +1027098894 +54359040 +1275365084 +1703670104 +174862582 +1761918702 +1495876828 +1800655356 +1765681272 +1119291449 +929552774 +1180324675 +756237930 +141880521 +704945802 +1923583149 +1202793381 +1285086605 +1286331483 +2123655666 +1929071349 +1734479508 +2111867013 +1103908022 +1782724399 +1051582159 +279613827 +2079843580 +1217039858 +1528247568 +100978414 +96655104 +1582606608 +1376343499 +1800325209 +1757469190 +990778553 +1148718389 +1410640899 +608976178 +120526191 +192710025 +1789300853 +876764121 +334590546 +346763007 +652863622 +1537383927 +1631849612 +1939195105 +1513555946 +1413437313 +1526190965 +1477939311 +369861688 +1161431717 +382037822 +649475515 +1093791649 +1599077680 +30239435 +1194770064 +1695732785 +1612846044 +423629915 +1348574346 +1222831586 +1414408468 +349809087 +485988837 +2023384646 +470335278 +678698862 +1665201852 +1347099399 +1013289409 +2011964859 +1999963021 +403189688 +1496330824 +1791674479 +1916745634 +762284489 +1170381796 +1247201297 +1132146177 +184329865 +1629239119 +1781621692 +1278121515 +1080833152 +1811861128 +325407931 +629082289 +1277223524 +749037846 +1977656635 +352571462 +15962666 +179982074 +838560300 +2039347313 +650317353 +1517259162 +1557065517 +1997416752 +383064923 +1421546728 +1849896126 +786254612 +770393904 +1494086957 +555516598 +1532678394 +516985105 +1802717896 +517340923 +701314971 +1284473367 +151478968 +1979436486 +217822871 +1963340096 +157360769 +846905160 +1093079972 +906398615 +677078147 +1445651434 +922361281 +857060222 +136728086 +814224946 +1507377575 +1653987249 +223806815 +1357310679 +2037052172 +1645353544 +1059723157 +675823136 +268263800 +406326466 +1231339735 +1800942194 +923311572 +886573983 +170799470 +1624626543 +23563702 +322278438 +1456579381 +241386574 +138134886 +1613940150 +1088291734 +1231214858 +372855117 +1765369882 +529382644 +1295216398 +474946456 +666110731 +2109441345 +1982324031 +172614332 +185764512 +1192151062 +62182856 +1831118056 +104390572 +738005993 +2099381857 +510717038 +1969345728 +1752840403 +1434028610 +708436063 +1923639873 +911171505 +731999765 +98434663 +220267238 +973386339 +236569549 +1834207388 +2061678074 +1467784407 +59578857 +1679564308 +1997167052 +1354795256 +7027116 +515794135 +1316752953 +1989351147 +688408467 +1502517465 +1034018561 +750591323 +1186151874 +1138409133 +1488597316 +1138050083 +1649126172 +1310459396 +743406838 +935671134 +2018895459 +519563064 +1846842640 +603411577 +617997727 +2067109878 +1576797916 +854567277 +1753833619 +1490992342 +174868036 +1813412476 +1023073002 +24551440 +1020724084 +1030100118 +540345575 +189993389 +871967617 +1228754042 +1692510855 +1905986179 +1979345366 +731179081 +896911664 +1320459034 +1869229164 +398554188 +483434783 +465152354 +1334225323 +354846594 +984715418 +1033584315 +958258171 +1602713146 +953210545 +387572440 +309796775 +559560516 +1878564782 +484664811 +225489345 +754154137 +509216252 +1246213429 +1784254255 +1049561827 +1436206819 +508738225 +130832222 +981234026 +267240756 +2110177588 +1712413107 +1164152420 +1283152974 +1434158623 +1562706609 +1766587757 +1899310977 +749448284 +2121434352 +736542748 +1783032599 +932208875 +191772246 +588759496 +1319781315 +501569021 +1148320013 +1050862450 +986233832 +1373809358 +1805016587 +1495450084 +472539139 +1441787194 +397528264 +1908745958 +1950525419 +528360486 +742496336 +70282527 +491054426 +307425795 +1234434948 +1774207400 +1741584418 +649657909 +1393311510 +1493411748 +1399106193 +1367262214 +82470848 +1034655144 +151987441 +274243094 +1623414640 +1471768757 +775812115 +624251005 +375147559 +1762045947 +1998060363 +32680498 +1110012384 +323115855 +1474467692 +1507540648 +84378165 +1277509464 +2035901134 +826874502 +1347791991 +379471912 +1134300297 +434743291 +6195664 +728401068 +1084401200 +1399507174 +74329168 +336023745 +619285740 +156800016 +1370678889 +771273182 +431043110 +846609882 +95558291 +1206855225 +1470860887 +470705850 +821417524 +1321437603 +503386348 +1931429908 +1644553458 +1977854040 +1291486908 +1728931623 +1107879856 +1179904394 +408322477 +308188200 +1559376306 +1542622775 +742931491 +1565571971 +123540195 +1827332692 +817595497 +197869363 +15872789 +1436881238 +354669379 +1386551679 +60670772 +785712489 +85677913 +156229063 +1992567714 +1556538800 +626934913 +666501590 +730492755 +1130321261 +450447851 +227562565 +960691653 +1741934759 +1956494189 +2068571510 +774355506 +217333018 +229276062 +186248164 +1759955793 +972207553 +1751820135 +1883495988 +652056597 +421931985 +2081365351 +667929387 +1858813223 +288551082 +2054481066 +1919483995 +1074263571 +2140158979 +2075713058 +919347637 +1549214131 +555164323 +1585849228 +132223239 +1685485584 +2036297079 +359785804 +498693589 +1630748190 +168796345 +419781451 +257620048 +386129364 +649057513 +443868213 +2146085157 +1621265067 +48204700 +1882097498 +125838016 +470136685 +1815979201 +793767403 +181466260 +2104530284 +700764821 +2100950255 +1031310207 +693440152 +2029179665 +1950657845 +95170636 +436860340 +1389023425 +227393875 +2122345924 +1277836856 +587179679 +473555866 +761101398 +755976025 +893337317 +1018721447 +1142105389 +1542394831 +1462589660 +1140706898 +1016176250 +1510794360 +875320748 +1142014266 +1980931046 +543816302 +1935781670 +14913658 +500862938 +489062843 +2115863914 +1532173145 +1182502996 +1997559931 +1335347342 +1277673632 +286936624 +576887119 +1505067507 +261798900 +1854723975 +2092247186 +735354766 +468341726 +700739563 +1628692084 +1487063173 +1842844952 +1023603267 +802169185 +836068203 +2039779517 +165479897 +1711388951 +1034310135 +2146410943 +107721605 +822608157 +13840954 +608584543 +1311671001 +2129704868 +2140757689 +346690349 +1979781151 +1328621383 +1624363981 +119234127 +1905508503 +981947840 +381033028 +1612748830 +926711378 +1116387794 +2081090556 +1627450942 +597596230 +1420670081 +1322812246 +1621199497 +75355618 +11396801 +1513495366 +240835516 +1722785753 +400321854 +239762811 +1830507358 +1222930011 +253603765 +291608254 +387117364 +235824985 +284882295 +733807713 +68122489 +1613503678 +210688046 +187356616 +1371528533 +1192635886 +568389644 +836793716 +2119347265 +1684777439 +770400624 +1599314559 +134890021 +43587058 +774643157 +1756089519 +118942676 +786039959 +1122101237 +359778192 +361342064 +1522423091 +599541004 +44365774 +597869455 +853144769 +335974028 +984986819 +1088969755 +620856323 +1718794533 +1157092244 +86876354 +1929482579 +1344448860 +1458404887 +974634818 +1912838505 +147714955 +946498435 +1450132296 +918115580 +398329346 +1585022317 +961702638 +1172972503 +1193628188 +1080645314 +1959012462 +168245778 +1440423507 +172870878 +1690668869 +2039964511 +217236653 +141054676 +745625632 +553210681 +1126041496 +1834595387 +1174067005 +697352381 +844203983 +1260943359 +479351312 +41169196 +571864598 +1453986130 +1954007701 +719579554 +253000917 +1256656349 +1637695134 +651330263 +694195018 +451914124 +1824302767 +1887823207 +1532559438 +1635831581 +2056068985 +825499297 +1808702460 +1599254206 +717980160 +2025939113 +1740308883 +1463605793 +431666146 +718866731 +1150717532 +1605733151 +1416219112 +1994921516 +719192862 +1895570424 +2036090712 +1291057461 +1202072907 +1842614765 +2010637015 +1455073824 +951787466 +1500848501 +2106404088 +1645982484 +1952762625 +1783223207 +1386322043 +1337838415 +1271571140 +1294907380 +15854065 +932789952 +746677939 +733834225 +811245417 +339503174 +49956370 +1242911564 +1058369905 +1200673903 +701161067 +327105369 +1048111771 +1420353930 +75192145 +936718835 +563927743 +1277265052 +631849952 +427081110 +584855229 +1583637418 +1927929611 +543775669 +1082136254 +1733208588 +179515228 +320974650 +923563355 +1451086368 +1615882030 +939417420 +236392673 +215076321 +1673251646 +1047638090 +554579495 +1723208016 +143066006 +1612949400 +776398271 +844227074 +1940054769 +1824510042 +117097356 +2015246915 +613745229 +681025099 +1145028319 +1245595181 +1108106209 +1729883548 +681748951 +888552172 +126175569 +1763885206 +474277112 +305690797 +2084859856 +1397840467 +1756777166 +1553258238 +189774240 +1993169839 +1768334560 +1863025886 +893324281 +175430407 +1438750254 +1036390288 +1788379808 +67664878 +1880617362 +1580950929 +1892174920 +1997714718 +1448714196 +358436502 +531256169 +446258868 +1604031683 +1639362378 +28658768 +138296987 +380430902 +154834338 +1902182193 +854708014 +460525135 +1839558401 +105064833 +69818653 +1245332991 +294839073 +2062988492 +866183903 +10381311 +808829126 +1041614311 +1449131566 +1845219414 +682510471 +1516796444 +1578353128 +115977752 +1261487716 +1428584198 +1564691949 +1619924218 +1959840367 +2010950817 +1076472254 +1451719097 +2039609585 +1214769241 +1832149999 +46960275 +969467786 +539374365 +507485411 +661542539 +644439198 +577304064 +1906875530 +939278272 +492808909 +625575786 +949659583 +1301638035 +1667190097 +251307501 +999373801 +202216920 +1768103945 +430243281 +318194672 +882108014 +1858827479 +1882886621 +354548584 +1671184198 +1746353790 +1431020838 +975419647 +1638479728 +498306431 +660085998 +1685440003 +1467774217 +1199460363 +45441766 +2129316756 +1843899561 +622745831 +1888708639 +635694185 +1115554740 +366800777 +1585353769 +269709127 +2033990874 +1836661270 +1269082928 +88724146 +1457281568 +1699326209 +406918818 +191905934 +1410670040 +142321792 +546454518 +934370590 +1888675582 +1977475357 +1909790237 +1379671662 +328298140 +422392587 +917628018 +1796072358 +1621852950 +963069784 +1777905466 +1318268863 +1585815615 +1519130457 +1953963049 +553886707 +1885931234 +1391833170 +823595834 +1772438460 +1081010792 +2092678762 +1861162606 +390808712 +1644521323 +120597777 +582714646 +907707715 +262919569 +1129169165 +1842078305 +4111503 +959160874 +1604384894 +1383783166 +1287459014 +2026777481 +153927536 +936047724 +1501146783 +1116997320 +566469543 +671931999 +555329288 +2085600000 +478411400 +1109215995 +1824047587 +1870244570 +1932811830 +1449002399 +803771714 +1878006944 +1162681358 +1194580427 +1375044620 +1283279135 +1777295073 +135268687 +1546198704 +758980590 +1977346993 +1550310207 +1718141464 +1434248239 +786609725 +858116831 +1313542073 +940537261 +1794164555 +667205208 +2057534582 +213150450 +1339137207 +465380222 +151266803 +1817548607 +1574596217 +1975314390 +1540309529 +1359924399 +1276833141 +196597596 +1090447696 +292030851 +1391178023 +318008668 +1575309986 +1020989448 +453277355 +974025042 +1779970039 +283140700 +376851602 +1350627855 +1717388940 +1163461327 +61261038 +883447365 +2103998589 +1855425594 +1550652573 +2014049523 +2068576044 +742306133 +331946097 +72359199 +412371092 +1906542314 +2047673589 +1952680622 +1118983066 +1177023083 +1794570 +61947114 +1469053934 +1392972593 +379955782 +896880273 +266478393 +833233137 +1870905315 +2046448432 +1116373838 +100273269 +1249592640 +686279130 +1263734597 +1310853678 +1569726495 +1220249538 +1018795624 +972895420 +1086815413 +939888021 +1715201553 +1418761510 +1012247220 +2127572646 +1177820176 +912437162 +1932769620 +149319594 +2089460245 +1934564190 +211266708 +1411030531 +1180053135 +591222490 +160427156 +1446531528 +1424455628 +2031332472 +1345496313 +393345818 +2131605741 +447605305 +1079624948 +1247856690 +1758458983 +501867795 +320622580 +629770960 +1474763215 +1407437993 +1569658981 +1042481121 +678715855 +434422553 +1022570119 +1856536032 +1346859715 +807856091 +2005855626 +1288836312 +594936633 +69638687 +552383196 +1774989768 +660861177 +712810352 +1074037648 +2085316805 +596659176 +272050313 +331178975 +580781270 +719655618 +1410803923 +1828637960 +330630954 +1912671718 +1776893 +960401914 +1239951286 +1409214886 +382577247 +134948759 +2087930742 +816999800 +1157518878 +1796983126 +16375868 +1965374969 +1655355104 +1305212180 +412827954 +1724993791 +1857595376 +40334074 +238371321 +422922081 +1114371722 +176204478 +1019581257 +1386422036 +507383454 +1600362527 +2106077654 +1918187377 +1281516840 +289224960 +1683375448 +1283293733 +1249626874 +775843086 +545024971 +1632204121 +910791845 +485472065 +301720274 +2068310723 +134971543 +318096142 +1886202044 +1790326648 +1623308322 +151546350 +1367836791 +1333420051 +191880424 +1606208112 +1756342132 +1306252146 +1782412591 +628439741 +545190534 +142312397 +81318621 +503784541 +2060499774 +1362835461 +793009501 +1596391574 +498645546 +2042636376 +224751012 +1043670517 +1527356849 +1135542857 +1529142583 +1829077123 +1056369932 +1664114126 +2147173265 +795088328 +1306957126 +1622997940 +946634678 +527310270 +808934343 +1138515102 +2133518382 +417792827 +297283601 +1768447325 +1046232568 +842474135 +1910759722 +1127551189 +1346258676 +1823775849 +342903002 +2139268178 +1272683775 +841548548 +2034420906 +1497434788 +1885219066 +1414294107 +485493997 +1266878001 +1095887583 +1541863930 +783508479 +1095577200 +189468610 +2090465606 +571091492 +1136103289 +470292228 +1380025835 +127134743 +456326962 +1797818662 +424418344 +77290640 +696567583 +1266892480 +1988050362 +1824118772 +465667508 +1664342563 +19538127 +457452038 +789542691 +861086675 +344389296 +139493831 +598822093 +1758683404 +624987828 +1865700094 +707087339 +19368110 +501724926 +1802664539 +208836721 +444706884 +226272384 +1344940010 +914999112 +1606298219 +1472074753 +1371326074 +1256633234 +1896493098 +1448616714 +1953200817 +1015901930 +1289183429 +1629835941 +1481569438 +806042344 +1649374068 +1939021477 +1595585035 +362977096 +135927125 +1735078866 +961799189 +1894610529 +212583047 +680015636 +454214220 +231951157 +1181740562 +109395112 +440787878 +1626447446 +335667496 +1785727888 +393962910 +1941965715 +1110318994 +1765288984 +1051115301 +859328444 +1066422051 +856832470 +1875230374 +208121832 +339184764 +1209316164 +1014164176 +1988558832 +1000853993 +462265564 +204052280 +1136781119 +49860782 +1165851470 +883908000 +262443829 +1845867106 +1338122221 +494394987 +880124020 +1447517333 +935182865 +359087818 +1783184829 +573427106 +753050728 +1577666896 +1683746100 +370856064 +481298550 +395590896 +1437278115 +1338131020 +123337622 +1645399947 +1677315784 +1332653786 +512080476 +1518390969 +186024132 +974346040 +1722443249 +1322805251 +1024206822 +740811071 +59229603 +1286650652 +439194529 +1397351824 +1781045639 +1319318549 +697385509 +568744856 +1678406367 +333086690 +1142171962 +283973447 +1910753587 +678434414 +654829512 +244568489 +1074025310 +2092107627 +1582699509 +1197362932 +1590023927 +1112531646 +382533071 +2102104403 +483438967 +568557203 +928966795 +58398568 +1891362454 +1953173617 +799209640 +1950592057 +1092340621 +1238404169 +1200460234 +725902612 +410239071 +1897845743 +1294647469 +2088645438 +83448786 +289335783 +225135238 +1994202373 +967770198 +879964750 +91287214 +2041795508 +824588729 +1673986723 +1091674793 +267129008 +639034721 +1474207864 +221749763 +1122473688 +2042765067 +1150716558 +1180872257 +1786643873 +956406528 +1980081897 +1589752282 +2048747149 +1071002418 +642728868 +627166114 +1481241489 +393090964 +1921813583 +1422403280 +476539750 +63665718 +1647538518 +323258475 +1031435916 +380019620 +414545689 +925747777 +1204608349 +2088532412 +2017422570 +1471737358 +580083486 +1344146786 +1693487121 +1702557174 +1239428205 +696720032 +735945783 +878588430 +1653126560 +568544032 +320857064 +1554390061 +1639546451 +963585933 +34072527 +973304292 +1356676897 +1955886110 +248223924 +1833216647 +2019551829 +1895762442 +8991474 +903504097 +128298414 +423537163 +1829251874 +1332906764 +364585927 +1699190796 +657160474 +944669413 +895853934 +203163947 +499742940 +2135282139 +899883979 +1235688723 +866386921 +405526891 +1804232756 +1187243986 +1959916953 +1296295559 +3346271 +1993989480 +122116203 +1360023168 +1802391943 +370340128 +1045756167 +1674460124 +118618922 +1054747641 +430480573 +246917337 +1478284804 +112248800 +1579824101 +1842870731 +1811439596 +89500927 +640056497 +559809883 +292664874 +1139799437 +547608374 +1192548854 +228004512 +1413995296 +1598075745 +2032237268 +453755634 +1410509050 +1181049179 +457101905 +1257014883 +1303165383 +1817125073 +911923178 +1673505511 +715397592 +438899654 +1792124433 +1770145233 +869380227 +2039041770 +1100946389 +981629027 +1471382223 +796333472 +645584976 +1560883150 +1436389969 +1205394859 +1853548025 +428705758 +1753003233 +898613231 +656710271 +1019514881 +349205328 +541463891 +1473270515 +1759714379 +1722513071 +1930372420 +869245614 +878194806 +1600013845 +1781168792 +404216669 +167927789 +72584798 +48857454 +1938073022 +941965025 +2087899225 +891535763 +1923594053 +1411797800 +1687869236 +421695381 +825197303 +976775557 +1627090240 +531261680 +1405481316 +1232609825 +1429874911 +2062191587 +104641059 +1779080239 +456171830 +1577911574 +1391310970 +31201253 +1360800347 +113072936 +909396059 +813330544 +1894241728 +1313612728 +981258334 +1966826526 +1362470183 +771847708 +761307904 +1302885760 +1663383472 +537418309 +567199912 +1203769060 +959113690 +1392397215 +33060969 +438720282 +1923658895 +1438542285 +1671330107 +1206050158 +1353250224 +1775971166 +837646750 +1809422055 +1206399093 +81474072 +1840623308 +419715792 +194547009 +602535720 +1233046336 +2088788737 +1916148448 +66821022 +1908131616 +1131134983 +838668731 +521955872 +286537095 +354568555 +1059374181 +853737008 +1558337615 +2018487871 +98650575 +1591398584 +309724505 +2022309471 +882457222 +1981054612 +1080875981 +88223798 +1609542131 +1918522731 +1897645853 +668457576 +1999996804 +1590785514 +1088173368 +47060165 +45837586 +173736056 +2135848902 +1961986034 +240557079 +1896496870 +945637370 +1079225810 +270969094 +1232174465 +1433794365 +1330343275 +2085911473 +844648332 +1201347498 +37078401 +288563268 +1511072003 +2059387872 +1171020490 +1344642968 +992780205 +1259244289 +806701451 +763819289 +1009406494 +1475159027 +616332445 +452708360 +415848747 +663392610 +498545946 +589584803 +651757864 +313048333 +830141882 +400771087 +1258685703 +1909367692 +671740181 +343376520 +1195678409 +2002083457 +281804346 +2040326741 +1055947307 +318882747 +181406362 +419535663 +230786971 +1352426852 +1764178631 +1223567176 +464187493 +423396434 +1987386465 +1473593988 +1898555461 +456235262 +1926302348 +166920560 +1119627872 +277364647 +756505363 +1771385737 +590412980 +1586647246 +24673176 +1849098683 +1348531290 +696413357 +44991555 +396726052 +551013166 +326795901 +289569145 +1606960474 +645678648 +470975507 +2026496137 +876465619 +1823402360 +1643191120 +2100032796 +140106205 +2066587554 +1939935613 +1613700193 +1817659367 +248687228 +1392518894 +1984579927 +1368315100 +1669883541 +593601642 +992217189 +112812873 +32765240 +1016890365 +1961911556 +1381296531 +1713303723 +2006903111 +1778022583 +116833241 +186215365 +2067591728 +1723793715 +831894013 +391083588 +1602806204 +1708359633 +67002300 +1098513676 +1660908781 +207108505 +1017617582 +1453360746 +1820808699 +687793301 +1702047974 +1065843945 +524889580 +922879427 +588243838 +1118491223 +1915096616 +701056711 +1151256463 +784503334 +515484619 +385069346 +350323409 +374904082 +15608281 +467156650 +561119447 +2083200010 +43466718 +1393013461 +326799950 +1646272922 +953889446 +393802250 +597302951 +467314579 +600910755 +1614920533 +1920675325 +274235806 +155230187 +1475239652 +1340079751 +680119767 +250635431 +1928323589 +1798610990 +18248399 +481896652 +802383806 +802751733 +997381271 +1187453152 +1153075142 +1372285354 +1203061434 +1620231793 +1933404801 +1138777796 +1663698511 +1178934614 +1465577746 +1162487785 +2132824060 +1859379996 +1759790736 +452654991 +312807103 +1227227622 +225846669 +587042910 +1382457809 +1701086321 +1927122661 +2062577576 +1951721752 +1707962603 +1713704919 +1969970151 +42375607 +368605077 +625238237 +1039756879 +1556058229 +1778313379 +264558585 +611636015 +1251061524 +50479738 +1750413811 +767276387 +1229414353 +1068507909 +1929764173 +1214754765 +780404257 +1542071261 +1667409757 +1093211361 +621815235 +1893256426 +1680254271 +2004273044 +1446859099 +1459893284 +1919366973 +1251097203 +1020372239 +1485588244 +1073583706 +1062747847 +1854193321 +1698821943 +2102504726 +1262767902 +1329651675 +219579663 +1874403918 +433229551 +270059401 +1477334081 +1200505939 +1499473754 +398358343 +982786464 +566744872 +1178762600 +377374077 +86670981 +124490313 +999189313 +1979927407 +1804744584 +855978709 +1279302858 +1117154221 +627862034 +382916413 +2137526460 +2113450278 +1456500119 +1052790659 +1820159951 +1007838415 +1007811737 +935444206 +190006442 +1227391400 +662364476 +623235993 +1497450802 +2139698557 +1823741932 +849440908 +390573252 +659044748 +1416185780 +1569335853 +1036418826 +1502856761 +1693826166 +2035608139 +1335300520 +1351087103 +744103200 +467119730 +320757676 +1371965235 +850036143 +310800488 +1337931865 +159052615 +1363591148 +1010608169 +1166891030 +223919237 +1946052375 +1356897472 +1451310638 +460933203 +1980133465 +801277792 +453148112 +1656391750 +1650718700 +843721365 +167952850 +919420833 +265573570 +1204371676 +274793946 +1959399736 +1092496167 +1610094467 +1163003191 +1836599368 +2077214197 +1483760867 +1061080955 +779766693 +1794561356 +251529172 +938819308 +1010668856 +1262137341 +2105710338 +1234588093 +1060706068 +1315124162 +538415083 +1521639271 +1147773979 +1339692875 +1974787384 +656682081 +842927928 +671025101 +824634932 +1762348761 +936598671 +2029006608 +2037142707 +748514759 +974019128 +1499753526 +1911517951 +663134848 +1429484076 +1247795170 +1724215803 +61767121 +894872878 +1975744975 +1000586429 +1905541734 +1090398669 +958813119 +992646180 +3621089 +126453633 +1531061263 +1525260361 +1274227612 +723270491 +1352564097 +1930909694 +1566198419 +2023589198 +608060978 +1181063532 +812704221 +489583938 +1070722591 +1561218980 +1463603066 +422992470 +1325253283 +2126737914 +1852476546 +425564806 +1703470069 +1914243667 +1320437684 +1531731397 +767346448 +1078495771 +474646418 +1726159567 +2071141951 +478267507 +1852613200 +1454719566 +2003527868 +979357164 +30506409 +1208608317 +762783210 +1596704828 +1084713867 +1370844188 +630284712 +1897418088 +1860428127 +1701007304 +1311153421 +1176547545 +2123999774 +488923056 +1155801812 +1828992672 +914487862 +711788233 +1595752691 +87441899 +96035982 +215615491 +1165937670 +570682400 +1941775058 +1089595973 +1048949908 +1646904610 +396831891 +904994128 +478778126 +427338301 +2113602446 +1241561337 +2024043129 +1050832665 +464921877 +506844194 +800767106 +177866356 +60367850 +2111920527 +1354413902 +36883976 +453359935 +362732066 +1865876648 +1367847798 +1074520299 +1314145691 +1455289697 +1170556282 +1529761182 +473743719 +1741238682 +1324052592 +1563339692 +642704942 +823473554 +1960171583 +1547699071 +1302251680 +240026236 +1513817869 +396329369 +116585718 +417166886 +861251247 +623429912 +1217933992 +1039117603 +683797762 +1182370871 +246047857 +720681738 +1635730807 +608779923 +439074738 +856094957 +1683300223 +1753220429 +163901006 +706372857 +1135497963 +637644725 +300127891 +312066907 +53500769 +942832834 +1135540461 +2013672352 +343048257 +290308493 +106214941 +1856866126 +686637863 +222800659 +126549364 +1547889110 +846230571 +1344483357 +439523065 +1530028333 +379370580 +685570923 +103226423 +2015101387 +1294350846 +542301161 +723712696 +830167421 +148037942 +887613702 +1536540278 +1283535905 +1525258427 +1836668170 +1595602812 +1578759196 +632017356 +583659625 +1444947901 +975065613 +873968118 +1551162842 +684448091 +1560605981 +1773963501 +810997455 +961011443 +472710424 +7997164 +1400534509 +2002738757 +387367745 +2086105432 +2105965180 +254985484 +1232972630 +500782693 +978698181 +2063140052 +648820635 +1866311883 +1452196682 +1932356540 +1244086663 +1141381204 +1380475704 +675362211 +1773398560 +1964135329 +2120310112 +600980525 +690619799 +1523989306 +1285428616 +103742133 +1150469159 +2096426072 +1064753576 +1623179583 +2104423236 +317804437 +1478434692 +344307333 +256426221 +1436916224 +599292818 +1489398852 +1937698917 +1577990999 +1405055256 +439035904 +1296819234 +709768290 +223908796 +393422249 +1851149495 +1604384500 +1068784461 +1477064407 +1421036181 +1041610925 +2078044933 +2111655981 +418116584 +1215989901 +67914466 +1568585743 +1164932325 +1132668042 +1044281679 +1121871914 +1450472480 +375232723 +1466179247 +1706898701 +1812148948 +2065472065 +1048813905 +1602364217 +1495979416 +306385513 +2041400122 +645315003 +1016153804 +117825270 +1038737252 +719819651 +1722209771 +2107521713 +49400410 +995762304 +1001648991 +2127445343 +959934637 +1419765575 +1195951597 +1027849103 +840867670 +213400274 +13033498 +1885149349 +1335272188 +1463505978 +112898425 +653967788 +1022921031 +1925047373 +571956205 +2071734937 +1379927942 +2067935622 +230636802 +1273844416 +565766977 +1246790606 +1391669687 +1604504229 +1966610257 +966395810 +1564542295 +2016010668 +1962158114 +418707638 +1995972363 +774609104 +1838473213 +1044440312 +1802458207 +531857235 +1257840587 +1815491705 +269522937 +445629127 +1131514035 +382421362 +1099596915 +6951419 +159985087 +1671553121 +2078686356 +1539913029 +1592005095 +161839510 +666273798 +10288424 +1408630117 +2057943485 +1614792653 +1227756726 +876855647 +1031851300 +1096283746 +691530113 +1450558938 +944772462 +1466139217 +1141548503 +1989212774 +1121113777 +1673405739 +1099569713 +789121834 +1942928676 +1545198841 +1920635870 +177866390 +497312108 +1927587289 +337851477 +21381581 +1858789997 +1877764506 +1613386676 +2020629507 +396554656 +1623675100 +1281775976 +307014493 +1090984106 +362049055 +1183870140 +2122835406 +1458332801 +1875400254 +1425910697 +255621615 +1194055823 +419975552 +97350742 +167685952 +2093381291 +1196920455 +956807787 +1888826319 +594635648 +729960009 +2066692709 +1091947757 +510063650 +257060538 +1113329338 +221369999 +2134825045 +579232367 +94515858 +383896053 +55423819 +1376291835 +690910547 +1146407925 +1738340890 +1874780687 +1121759684 +1049190043 +1602697293 +400186733 +1304811659 +649269469 +820162285 +1402162401 +816955421 +766059929 +451599208 +1773763208 +507402600 +1046234857 +356239569 +426611662 +2138182614 +866303219 +683672200 +1104028304 +1087673218 +671013597 +1683260671 +1182189077 +1054909651 +1738684491 +410997264 +1745820198 +737608768 +1854506 +1473117237 +1859368452 +1051044549 +928330883 +112071537 +208372560 +1577600352 +932233823 +1610534961 +247072125 +1698293752 +2062134170 +2020835334 +58212704 +960885379 +229591255 +484824366 +951584345 +1095894475 +1168496567 +2055612649 +36084045 +1839510164 +1591389673 +1218273122 +746936167 +1182590516 +1629270386 +345272717 +1920199284 +1631124892 +1818389955 +1632084089 +534685794 +599237190 +1744155626 +743058354 +29353894 +528905801 +206109668 +276426019 +79715905 +120760190 +149777705 +137928610 +1081645569 +379368961 +622752976 +2033229914 +1475263436 +1791249543 +1941358915 +1511347481 +1483276060 +1385264940 +582136956 +82728579 +420371808 +63923694 +428001297 +193087445 +1695048587 +98907604 +1825171534 +82250733 +698144794 +1421843512 +825309087 +727498688 +1950749314 +1031418755 +1003924707 +2030465219 +1152178945 +1153702413 +20910181 +86340866 +1533071374 +643663158 +2119570780 +860851162 +287429053 +1913446048 +224714995 +1770705113 +1151227340 +806851951 +1853433693 +1571599149 +870775646 +133951342 +1764686594 +418340585 +232858946 +1442374480 +500591318 +931003740 +716734344 +1325900405 +1658502428 +520000010 +209835513 +514943487 +402981582 +1362014458 +1668645900 +423891763 +1448355325 +1054233626 +1067554921 +1420442457 +1915084788 +1354983975 +1186404857 +2139799784 +978205440 +190148550 +799168087 +684155485 +1761747699 +1669943733 +818106827 +1378950645 +2088284318 +1050965773 +673841477 +441391988 +1981969513 +1390575821 +1767292394 +1492988293 +1910575832 +1977127907 +2007931781 +166073766 +1191658717 +1529094033 +589965529 +492530394 +435844012 +1657520451 +1912972852 +203445152 +865020778 +951894061 +195761288 +1843226218 +1142042611 +994929376 +379898056 +756306662 +517389461 +1198004883 +2135257307 +458190132 +101487009 +661615136 +899582120 +2083456522 +2052190958 +519390866 +1428961168 +1815283142 +349035125 +1289409301 +1981356908 +1540693843 +671019686 +423838789 +2033224237 +1106863698 +2081359240 +1798713441 +1310308851 +798896370 +603123855 +1506070139 +494638941 +1745166466 +353515867 +874536997 +353989481 +870905329 +2072541880 +341763140 +1329095461 +26545241 +1003378277 +81193933 +2110001764 +908085587 +600584800 +1391479284 +575885081 +949619925 +533404937 +409758341 +342830120 +1204424623 +833597130 +228570710 +163804674 +767472723 +2027284151 +1474113525 +1566369093 +482924358 +832700016 +2061008034 +80607177 +1186215884 +788061383 +434596658 +2057121213 +713119616 +776359798 +1238733026 +739664857 +1779738075 +1319926959 +702182973 +540340014 +1920511759 +2093662257 +1116225095 +722648037 +479583546 +1525983436 +1065478157 +1684008170 +212096919 +1294048867 +1847812844 +979569642 +1173849371 +1174442721 +398455087 +1656773729 +2007142737 +311979474 +1737380906 +1045874973 +1100040857 +24493916 +955512538 +1813160473 +800853715 +46761916 +405341683 +433108142 +1366688876 +1107524656 +973448157 +1139716987 +1053703266 +2089673252 +1862365024 +1533286812 +1468173041 +780359534 +1069811334 +1680269960 +2074408401 +770140530 +512355954 +1100774124 +1944583251 +910811041 +610064206 +1804242341 +1222790515 +199961464 +702633666 +175347725 +224455381 +1658146205 +1988508198 +1025309096 +1704908121 +246366233 +1458417238 +924113349 +1353890890 +284381747 +2063830337 +260110508 +226571352 +1778711713 +1793397320 +1694744393 +411587599 +715725007 +1227530705 +338512353 +1485865537 +1739886659 +1439286477 +1282965141 +503214052 +2049350683 +939723834 +1726004568 +101828500 +1642357500 +1901352293 +326283881 +1153020057 +1742376843 +1351592977 +710444531 +1988743077 +662526567 +1634557880 +1195150319 +946908315 +1550904569 +1455260827 +1173479667 +1182132635 +1101174499 +720740412 +1593720234 +1816899506 +1948271117 +1932232587 +1155281396 +1540674128 +1224035417 +290762889 +2043888180 +1125902452 +1230486723 +1622409100 +1227730952 +725360575 +1376277745 +1554014833 +1878380633 +971170941 +758124162 +441341516 +812430370 +1420650730 +2075899396 +2007580689 +220075397 +1479320318 +1315357868 +1393555064 +513969305 +269048719 +2114295476 +2107689539 +2085948226 +1915082945 +1892438479 +1093745974 +1308273425 +968990248 +1384508863 +1204677957 +2094892700 +467511938 +679603410 +1175140005 +1192872513 +2055881155 +581671190 +923769498 +879568448 +1339795353 +1365111014 +1691998818 +612962435 +1293526763 +1552095859 +833037832 +625363433 +719970079 +79109248 +1139332738 +989018799 +45921076 +1099538629 +927483377 +1961004021 +844493460 +2021229351 +1121793798 +1813483708 +1258254566 +178988107 +1760892761 +1725766504 +858591517 +788549118 +771155369 +766989025 +1370220308 +1694924868 +1646557473 +562532013 +912552234 +1191072644 +1175494448 +58595349 +595684855 +2008532280 +683958782 +1315654935 +2087641528 +1823291520 +157190086 +2133562604 +775346502 +1084673463 +1947082977 +1619839962 +958419166 +921393127 +1285840023 +69190084 +1100381235 +899249136 +1794956588 +1958972752 +1687798254 +418628309 +578478129 +910534914 +2113553177 +77551955 +1473066928 +878621764 +1268624599 +501077728 +937217113 +1864309454 +362126361 +1621175896 +1032480741 +302284241 +1296983768 +1189670827 +288363198 +2072330270 +126860642 +87962527 +1544686585 +1085279808 +1009355655 +683042960 +1154469892 +2109736890 +1582292096 +801942832 +1921225994 +1122606702 +1220571142 +352220476 +2033141616 +1186640671 +429772431 +1358724896 +2065262435 +1698397030 +1859802625 +854995901 +1415222836 +74445338 +328688149 +300219930 +376729579 +1625671917 +1489890757 +665092777 +1550518540 +1616751400 +753055305 +947721477 +554547560 +1762410960 +1630764437 +1709017453 +1724664202 +1065572885 +363476637 +1498406548 +40695939 +1584047779 +1850627024 +2073837555 +623204803 +132915807 +1285078804 +540983590 +1831312837 +997397781 +1395979491 +1099052026 +1071843119 +1724667640 +1399271956 +1448572698 +1202855910 +741679065 +2113665476 +605890802 +210946817 +719237133 +1553612279 +765494378 +334164445 +1036893068 +327028183 +2058828647 +2102465953 +690504820 +1409751547 +2143161892 +127068952 +1112894924 +2069515799 +750273755 +1245810731 +1207110955 +1291257345 +929639921 +57025088 +539753189 +2028691947 +1128868207 +116937181 +1280480255 +429957258 +1319793091 +2022159320 +396139086 +1925683893 +85622490 +1115376219 +1331812524 +851116868 +1449540664 +221221944 +1178145051 +1360885663 +176204249 +1868649871 +623153562 +171882493 +1995718823 +1736048486 +93914645 +598508930 +834375570 +1301025600 +1889766276 +1764015491 +1358050689 +282035817 +1645223790 +339435248 +398972998 +778220397 +769392506 +1718766090 +652896069 +1165531592 +1496966335 +738518559 +133424163 +681295212 +1589635427 +1582964827 +902517156 +620296830 +796366842 +1078721406 +341463054 +1419520405 +1250603899 +189698229 +1008085243 +1344518544 +788207160 +1842460813 +498060497 +530489788 +1458992656 +1856111186 +812525605 +956732798 +48062786 +1211498603 +1734953195 +817455293 +782781045 +240365617 +1982986885 +132263733 +978884176 +2116411049 +813558945 +421035956 +1551892228 +1716076101 +1041332786 +200775423 +647313859 +1382795840 +1620295828 +1897917759 +1572494070 +480897423 +1094952655 +213217582 +175874589 +1593013152 +743707370 +1634867245 +1301640690 +1556232975 +444116396 +1349703477 +620247930 +31585943 +19675122 +1403028976 +271951560 +2002662007 +1535292709 +1250835737 +1971589408 +201368006 +1671871693 +1375997989 +1917444107 +565720831 +1576773412 +417274319 +1948516672 +1049585592 +167708430 +1373527094 +1530483015 +1262661085 +1586744676 +1706357604 +708190590 +182968398 +1193741202 +2009831280 +1739201373 +1637857598 +1212051109 +211965655 +1669443541 +1231726231 +1614994631 +1941395102 +1086904591 +1002803692 +1044747191 +911010351 +1204171698 +569135236 +139524692 +974132158 +1134856067 +1716298104 +1391406477 +935889091 +618400048 +1559114907 +161932537 +1399416 +674292344 +1748677213 +1707757020 +1382482934 +1931645611 +754014574 +1244830567 +1523363336 +244388524 +309398028 +1735328992 +1913832066 +1541124260 +1202839975 +1707743520 +480545203 +58160020 +605007063 +1391555554 +1262331718 +1174142299 +1531080247 +88980228 +161514718 +1099894703 +1480386705 +1097403810 +1718294752 +892017964 +1259336347 +1719694168 +1566310309 +860529913 +1279967540 +801309595 +644691876 +2033982115 +2046140162 +20571565 +130886991 +208054543 +1755900557 +2044719057 +1749178803 +811256884 +1604978929 +82240358 +869416904 +62502344 +1473795912 +2131748623 +1236644643 +857392511 +73245203 +1398159362 +1957287215 +1553631909 +348079524 +1528098319 +298166225 +1607415871 +1100308839 +1864476534 +320462136 +232792731 +518302482 +965154013 +119291198 +416958996 +985725578 +250178190 +625013539 +594142487 +147413599 +226708694 +1405399371 +1752392529 +308949052 +127332628 +1814894873 +1782744965 +111597603 +904055869 +492653828 +184842806 +154731583 +302457395 +1738474715 +502811107 +1830555714 +2036640941 +2110226978 +783380905 +1753633827 +283205467 +1016173637 +124452661 +1248359480 +1135464835 +541411658 +86601410 +1385643025 +1166425197 +680743897 +1533056625 +1393133892 +2086143268 +1137965506 +1702082944 +65992248 +805376731 +1337344261 +177589851 +1709432600 +1829998090 +362432658 +1864164183 +2132455485 +2100907373 +219491642 +1815527552 +1990064666 +182234973 +451424809 +1596214846 +465440440 +1467598446 +1720667507 +1713799920 +455579634 +114595517 +1800401330 +1841222659 +1281020715 +333661579 +1226795636 +526670959 +272321199 +217277494 +81270255 +338313448 +1022654226 +1418614517 +515903299 +584603178 +1101128959 +878335957 +301283714 +1086100796 +831759683 +520775356 +754144700 +674340701 +703010329 +1205569510 +123071899 +1168450769 +525684308 +1843739407 +734767041 +981263942 +1958334924 +387684723 +675002954 +1091871991 +721346302 +1901798590 +1618542950 +993667502 +2119076085 +1699813206 +1331980950 +994246663 +970944075 +1847884249 +1578849841 +2072073034 +578736559 +1880133555 +1010690182 +1410496242 +253425264 +1764834883 +2084836943 +956435593 +822920745 +60425195 +2124886363 +1348605053 +1904164602 +712169756 +182385348 +1715015878 +1099854480 +857388302 +659404222 +1821200782 +611703244 +130463524 +667384636 +583295681 +1830276730 +1999365586 +1577542344 +653737157 +1699766188 +1008908538 +578326543 +131019099 +741558445 +1589016726 +1541515341 +994983709 +1206367961 +1478868636 +1951419303 +2029288706 +1539293831 +1928822018 +1230410111 +1295974785 +493508126 +1412795459 +863507016 +1593362606 +122700113 +1522911238 +1267079741 +734403358 +1653374762 +1934464377 +1317699039 +1336167845 +1786346316 +747757736 +1989905002 +1338628856 +1756666274 +420747898 +1469647955 +350741071 +2009764624 +863679648 +1345724781 +1068648937 +195064636 +1149660436 +950453995 +1734358468 +930998806 +33380458 +882849605 +1424506932 +1446175918 +1746356621 +870385891 +1568876031 +1121784211 +2137465632 +155795741 +627675326 +1924446361 +1473494781 +1963843171 +1563309029 +73768869 +1806264525 +754454237 +1830435143 +79528775 +76618544 +33692566 +2089293399 +940298192 +1379417347 +1010458688 +1135362829 +381594135 +1960912683 +722237649 +1312592941 +1994293142 +1605087254 +589616226 +1292985412 +1203960228 +1460002117 +714377795 +178260791 +1449984101 +870173537 +805936117 +1226946814 +196184670 +622295640 +642772196 +269953539 +281076518 +1397226433 +2100388682 +360605293 +1473844978 +2134081248 +302415045 +266659522 +1366014948 +1312873733 +1402022351 +1747609083 +1126302769 +2124260000 +912718377 +973112263 +1581863607 +1502334603 +118614027 +638340187 +814853072 +832991822 +816600978 +117353525 +1703165359 +1622537096 +1344300339 +1899350029 +97349088 +1987072535 +21819920 +378425606 +1236815321 +2122208602 +739030900 +563176651 +2108806203 +1041445945 +829836173 +1327337503 +206836030 +84374877 +927462938 +1333138799 +61151229 +1840181315 +158767414 +1643014836 +1195032270 +277381441 +133871375 +2009885342 +1110373264 +950472354 +2127238867 +666054975 +425525802 +1324055559 +417921357 +522874890 +1163644446 +439741277 +901300497 +252976119 +414466232 +1640331397 +816152770 +375788787 +534293694 +1645988944 +1703126290 +741129724 +1730363821 +483105580 +2074268524 +1791515050 +175803248 +85552290 +1287046239 +1370835518 +362933732 +1420917614 +1233237213 +1473306996 +223906320 +1212992432 +2139361971 +649432122 +389564343 +409799680 +1172307013 +1553208790 +849540958 +2073607510 +1806184909 +1264007190 +1566455259 +474854032 +1639795977 +2100748953 +2120842976 +1195438619 +694395029 +1703723149 +1678544199 +621179905 +1347754551 +1854347447 +706732196 +487317142 +1077699318 +1069665928 +1908234757 +163452883 +395489276 +2132141077 +1376445315 +387367599 +634089552 +1766009659 +797167280 +1806396565 +1171734801 +1646708238 +1732520427 +830436062 +763231780 +1151492038 +1305290094 +255544109 +1104757343 +1278649422 +1450982728 +1799152372 +834888923 +982043279 +272848630 +35159827 +688907079 +979580826 +522476969 +1766606397 +2049246754 +283228078 +1930059280 +297252382 +267885508 +1159020947 +684619981 +901975060 +777546958 +1481787261 +560887977 +1949281759 +981011851 +145924756 +632234174 +1744243631 +1297416794 +1937524268 +1999787740 +254690489 +1068690043 +1303286820 +2053842861 +1903578966 +137846452 +179207843 +1938738793 +826753531 +1158788669 +313732115 +445876280 +1060551775 +596960193 +228451912 +1357804157 +864845701 +1387472859 +2042424139 +1766820761 +17536170 +1376727752 +180225090 +1966817929 +210255956 +326149846 +451568455 +1954499587 +1623566640 +241609076 +1806803680 +1878257129 +1310299119 +962606852 +1784616343 +1066394437 +1100453304 +1963824186 +857649583 +1927206835 +975129208 +1171381698 +225599467 +2035680983 +1768341891 +454051379 +1246001493 +485703945 +1841524239 +1140941984 +105041058 +1859060409 +370186088 +285266149 +1678394690 +580442044 +611415995 +2129963146 +387457984 +87498988 +224088574 +46778016 +1965756117 +1534387693 +1009384868 +1602888812 +453298482 +2109838173 +1419229351 +1310948065 +1889561360 +246874911 +334846115 +2115160828 +135072246 +2103188007 +421728559 +1381073739 +441408304 +115769150 +374532075 +546449362 +1974829559 +744718164 +831715511 +1505740602 +1325160208 +1443131507 +1488220100 +1712618192 +1530630495 +1712308674 +1759396208 +1348902964 +1099212719 +621297429 +804308129 +1552511201 +583651954 +76053832 +715975619 +325729666 +322928743 +1050821734 +293406846 +458000989 +1006526093 +715135406 +1839074729 +1447934397 +830904556 +66123156 +1994383760 +658250468 +810841320 +678615623 +16507422 +2136001529 +2121747130 +1504727522 +1701136073 +1504893977 +1069552548 +1313048634 +706313294 +21281619 +1934346063 +1510621423 +1573792820 +370514369 +1586675255 +142284791 +696244035 +1909603998 +1193106526 +989650882 +220121339 +52148971 +1704786288 +2059196068 +1500083369 +388207196 +2125319225 +1346983481 +1046457664 +788676897 +2025599104 +1062965086 +777194778 +1999862587 +420208960 +330847204 +1357272916 +1489761508 +1643895838 +2063586210 +1511043127 +1430758253 +1426723985 +937352300 +1801272622 +865915592 +1079637091 +350033009 +628035942 +125259969 +1339683891 +848157282 +177408941 +896986531 +759869702 +1677492310 +1285193728 +737705279 +876992143 +184167744 +1526382177 +755107599 +1247132831 +156093307 +607486538 +1667341791 +486940511 +1964759455 +1009619652 +2130836349 +1880862017 +373179131 +1414110954 +1160102355 +1310531431 +1067899928 +2026017947 +242684875 +1417932938 +506570242 +367944844 +610133181 +1354727524 +545353785 +1507119713 +2114597226 +75362447 +644829793 +704818858 +952354590 +828997537 +83717387 +1707462190 +2076130368 +239810694 +167465080 +1595988512 +726751206 +2132224535 +458124516 +710103907 +1865602905 +831303647 +2124214862 +878221612 +2141835079 +1044631142 +756755911 +237036306 +315080432 +1263326153 +604981150 +925213614 +470570029 +1150334936 +284849679 +437683608 +1225697383 +929679472 +1142502466 +30568326 +1758677009 +1226219853 +1738030516 +1687323730 +1466030547 +1905495596 +1135828594 +45298105 +1890236484 +1593953110 +755402013 +1608355741 +277773109 +732133227 +339093705 +272124540 +1776764369 +1095849616 +509160846 +2091844802 +211692122 +1114141997 +869574768 +682262151 +116993285 +1154424447 +1119945759 +1342690668 +2084103919 +114964577 +1373258994 +1695297280 +1341184430 +963805862 +1235137362 +659731330 +721817811 +223482308 +705029435 +464570647 +1817435418 +1460431448 +2072926388 +2095208528 +45081027 +264536445 +219849420 +1821845397 +1360386061 +729010267 +1766206551 +1572078183 +1843152264 +488297671 +106856687 +1960145549 +1642722118 +1226802446 +1155352569 +1579342389 +1341767024 +381127916 +1127156021 +535467806 +1344933778 +214809736 +1195199136 +2066751589 +438292044 +1900228572 +383838588 +108243815 +1213176372 +309281328 +55968695 +1258257400 +573817773 +275818115 +932619149 +1934203835 +1004828382 +551342052 +1358798370 +700496998 +1039639723 +1465655057 +513158899 +534878193 +544973856 +1668511469 +2114220582 +1886740880 +2049639385 +1093892955 +274725038 +1247089515 +1308702691 +1469924175 +1166357457 +1746994736 +1222669099 +1550196045 +1855238551 +288361823 +1859477374 +1911207246 +1546619223 +285811499 +39541713 +331754724 +72531686 +1044370096 +883096776 +1431330057 +1744867094 +1922736499 +749501466 +110542346 +310131044 +1294475322 +1779053815 +276867978 +1033732554 +1681209552 +1370760934 +1308457593 +780815419 +531979977 +630898120 +1947172876 +131491065 +1853567219 +1349885274 +1986729616 +2141929042 +1061879000 +1750453214 +1541064618 +1347690499 +1789994928 +1872819342 +1420222186 +686881376 +608432471 +704068595 +284264822 +383685322 +1453570061 +394807168 +693816367 +600561736 +26377335 +970684345 +1634294290 +1707586887 +193961631 +795268235 +340918659 +725941609 +1426166355 +140607887 +857432674 +1132249926 +1490493161 +696678643 +1126695321 +404888513 +299648209 +520276291 +1752579013 +2089643137 +245611985 +1025317551 +629040865 +854044456 +1729386146 +913305688 +1237729779 +1035472559 +1308112856 +1931546146 +1636034295 +1334490192 +754746843 +1122844938 +894593431 +948708475 +1918113173 +1235512090 +1674650084 +1196795881 +1376119978 +384599110 +181562159 +719129491 +1081277753 +1308257480 +1124018005 +1380925963 +1828533771 +729113370 +1323085452 +2074145757 +1754430921 +1952126318 +780706565 +1336333419 +717948358 +2018436344 +224322330 +2026061214 +1802498842 +1860356626 +1213067758 +409762038 +835717916 +2107661190 +1358470513 +606347441 +1195689632 +885636949 +1803143322 +424325962 +1270236059 +1984705482 +1143455454 +204030165 +1145479314 +119989811 +1584956128 +826529438 +849103181 +760557932 +753191547 +456050454 +565200602 +1533898112 +1792383873 +1283148960 +1404850809 +2016706203 +1161726527 +1059866003 +1729579181 +227310637 +1469628041 +417813449 +187488179 +680614906 +1024160891 +1383177812 +1566251855 +679820565 +1807503774 +689004267 +517042399 +803475580 +893034432 +1662521714 +923465391 +330506912 +341567504 +1772568572 +1091064844 +1094759051 +81135378 +1656265447 +481173515 +1873519251 +791930759 +1886024324 +1742741807 +1953657286 +798406680 +1324837340 +33484276 +120551073 +1742650790 +220972455 +801165980 +619328033 +1604150267 +219934187 +1299148598 +1264170394 +908938454 +1816190998 +2067645974 +1801972886 +1331229064 +843627718 +2132479798 +1672796568 +468712642 +1076060995 +620071971 +549848021 +584842794 +1101245486 +275883624 +1376773553 +839786163 +2018625431 +1182947192 +1638192843 +1195979124 +1216431468 +1758743916 +791146266 +1437403923 +412426248 +1410474299 +894070543 +632360436 +562139249 +10757289 +1541298890 +230846599 +2078403263 +1195788129 +1562075663 +774547333 +1180784279 +1087388583 +1243259976 +109361626 +1707460554 +1793107997 +694204420 +661222393 +2068991621 +2070977974 +1501008556 +1940133405 +1106441518 +991717751 +988628881 +175389338 +602978019 +1779775147 +1612793261 +1015404268 +1042765798 +359380156 +1647764704 +1604905047 +370137445 +1041579946 +1835751647 +301057061 +89884427 +1250343662 +1075604394 +1270668707 +190248598 +171380722 +1380030333 +1897709152 +1964488719 +2074234754 +411447897 +1885996693 +1997729080 +1912456453 +1678646450 +956686950 +756690556 +519791683 +1132076288 +1359668576 +152083182 +597385901 +227589196 +1194848980 +956766058 +1875353900 +652270379 +1326903503 +769450198 +340538378 +1627960564 +859334626 +1590882041 +556081311 +2130003333 +1781130639 +727462033 +1362550018 +1531356143 +544467105 +1289301124 +1942804041 +282980150 +1139546556 +1707776846 +1961626600 +2096233506 +316983755 +333934635 +1080826146 +1676652331 +486017817 +1678212048 +1904241527 +1680866797 +487494458 +1632111779 +185653528 +1814397961 +254078329 +526191907 +1294874878 +1113412955 +2117073948 +1850956189 +1095932640 +1750720939 +430934574 +310999011 +1134593434 +975401679 +1600300135 +929913827 +1258381829 +592363044 +490207026 +1072524781 +541112902 +807190781 +1406459416 +1621939049 +336359464 +1892477233 +1152667449 +93117343 +1425860382 +1640161907 +1725229122 +1611513911 +1307076220 +1979307451 +2137705818 +454467450 +945236759 +2107296118 +157939991 +2041169399 +1710533409 +588874566 +204684762 +697643195 +1564276245 +1804984898 +1627557023 +675174427 +249864294 +2117764049 +1747699208 +790977196 +777471182 +1006674977 +265432597 +1113830646 +751668562 +1418100046 +1206947989 +30045297 +910778305 +784693463 +1641559208 +70370878 +616517266 +1631781378 +524838328 +1561754025 +1591593848 +682778320 +1455439777 +1154643609 +1271652886 +1660124539 +1852286804 +688445483 +1317625789 +1332360179 +1363619910 +1567490083 +1302640580 +963835471 +210983632 +2080111762 +1970510448 +476416229 +1046458760 +574695362 +1894516276 +105923101 +604740659 +657810933 +890616564 +98816219 +728181811 +1507133831 +1730597597 +1253020140 +921404208 +1174707797 +1935798460 +229360337 +181867758 +1059967698 +1889484877 +2034154563 +1748413181 +1059627018 +1219031094 +964549444 +479633454 +374188027 +1928384915 +690617086 +306816141 +1751411715 +1167033315 +1353274902 +178623429 +914065943 +1459198003 +783364089 +1571876877 +202330920 +882180308 +152575040 +1709464751 +465294258 +1405595180 +483385311 +1640002055 +1193909992 +712745649 +1821869814 +106394042 +454746878 +1708540729 +1854807224 +1514373896 +780088175 +671873020 +1994007350 +1154276202 +452774287 +537140788 +1461092344 +56702354 +1704174104 +666883598 +235325783 +470756399 +2126081601 +1018689872 +2042633276 +180928873 +1900870181 +47724669 +1890393624 +218680791 +1453319849 +226295288 +1858682846 +499746194 +939040937 +1533069012 +606140236 +1393787815 +1094126093 +313463812 +760678063 +1874214269 +985336832 +607201766 +881006823 +1438111119 +1144342554 +194615519 +1494813473 +701033010 +861499117 +1730139257 +1171789410 +840097071 +601345481 +1066939038 +1021025944 +354732014 +1114663707 +763935921 +573412805 +420499909 +990231209 +284612004 +920246103 +1929272146 +1817681016 +1526386339 +1175576313 +764323462 +1839850152 +1936254376 +491054083 +677703336 +395972494 +1372060906 +2115814456 +1540315049 +1566676426 +1463144281 +93864411 +280691895 +1045799890 +1265653821 +1120788966 +1647145372 +185109212 +2141814911 +2001877386 +1299772919 +758267184 +427806544 +1720272828 +1748498393 +712418548 +493035283 +1530286891 +382615916 +2019421623 +558379556 +1146939378 +1711788127 +347150284 +1637993461 +242007815 +743122779 +862570720 +210338623 +135954180 +281763498 +1673482905 +229818591 +562455393 +571799147 +1495472413 +1683244360 +71460871 +1680581625 +1677575623 +2073338258 +832870896 +288359159 +353661154 +405660077 +2036857552 +1066079702 +898695360 +1419660795 +1448695618 +770633335 +1978040351 +448151349 +334937814 +177706987 +2086144810 +576945630 +920829766 +801231882 +787284253 +1056783946 +1082995380 +313283510 +1286602538 +1645450774 +885082658 +634591303 +1181211486 +956543529 +167689280 +711303461 +882398139 +1000560176 +999662620 +1236059293 +1406220253 +889036524 +154655347 +157431966 +161213671 +1603350966 +928065301 +2139254022 +2051502315 +1263003116 +169477361 +1990163477 +1839948746 +1090307128 +643911712 +479749351 +2147091074 +1726907092 +793032862 +1286209964 +1224874218 +1678115520 +1920801267 +258602056 +487175401 +2088490547 +969905517 +1369573541 +941567076 +1969568137 +458149186 +200303681 +711121013 +612804534 +357735647 +872334684 +68671852 +1285800949 +864105058 +2120174167 +401320417 +1033582420 +1962853996 +93785515 +2123889548 +459282060 +573534866 +2123496974 +38705505 +1366567728 +1262223291 +1263579723 +897199600 +1035540910 +1522181780 +1384375002 +976547810 +344603649 +606464895 +1918114886 +166688139 +1064614081 +2118418567 +877809152 +1677418615 +328670567 +1750143837 +1746090467 +1614471516 +466765247 +1718780986 +2015791933 +1500347667 +1534151335 +2109577448 +1476753567 +1993433395 +535628666 +1452766894 +2032138900 +1902196395 +567506537 +1148234976 +651912347 +1603047447 +522933108 +2036287349 +432111609 +867536757 +495268596 +202742847 +1034224896 +1559882678 +173677767 +1912034049 +1089817645 +502348334 +1514694238 +688424465 +2116819850 +1981459485 +259721803 +1985128135 +1334323505 +1793873138 +1947221935 +663593424 +1639822886 +335366953 +2116360318 +1524478138 +90079700 +536383207 +525229466 +741992048 +2139430655 +1048162574 +630795749 +424058616 +1915699332 +1126064346 +626801464 +802440580 +538463376 +800479231 +566990981 +1628281021 +1302827565 +2081685219 +169221838 +1272163767 +1915661057 +428943642 +1109808254 +1102500914 +75333132 +909546541 +1766094338 +1715156018 +1244913494 +1734971009 +1092150509 +1334993195 +123870568 +1617379975 +2076985243 +115817575 +518058902 +560297344 +539876192 +286274586 +1686361690 +1166677656 +1088715166 +77341418 +1967156887 +1655706148 +1705622440 +1122500804 +1589907719 +1874844278 +247180923 +1358085128 +156304272 +1356989177 +313102394 +231637405 +119052070 +2079196733 +1946793423 +1363965564 +1666684094 +891460284 +551475111 +1790554662 +361356612 +480976706 +1906372238 +879415514 +1041274051 +298764782 +1165690100 +580152093 +1465442438 +106921618 +657493512 +1285115677 +1762627766 +215632304 +260132833 +1205051838 +2090476582 +507313756 +415653318 +99297207 +1864302933 +728755713 +330934612 +1983355003 +660468798 +130244387 +1199836919 +179669244 +1021704672 +1751312031 +1970223906 +1383061284 +84805089 +1729112496 +114993150 +1126079140 +2027877278 +1280683250 +1706231234 +1345836068 +1387604868 +216241098 +483468097 +1002748987 +431873402 +743600930 +60317177 +374866336 +1250914686 +475970495 +474163543 +967733971 +1204726208 +805098155 +803605326 +1865195006 +935342543 +2003442246 +2044864250 +1957047215 +1607270629 +1867604509 +1192624851 +1692075718 +1449233357 +1307618001 +670671211 +1329626988 +440817603 +229418797 +527979408 +1828422471 +445659895 +1011447506 +683687810 +877533297 +1755048436 +744004987 +1252399633 +858479475 +1219975483 +1726563177 +1826213446 +277218043 +384177684 +482335125 +2142413050 +1319520227 +338293723 +2039793652 +1129083794 +1945564352 +1759914513 +174224997 +1490156422 +1061664223 +1481842998 +13343985 +243807563 +1922660601 +242762782 +771786971 +1603599425 +688422677 +1783234477 +139803587 +1565955974 +1390799266 +883808575 +670871960 +101795093 +2103784058 +249951489 +1928008539 +233518453 +634129173 +262860016 +228447855 +1953649401 +601153739 +120757860 +935249547 +399234443 +1880672373 +1109474545 +1889390866 +794852948 +443833895 +1902734851 +1038660511 +219010849 +2145497634 +1810447483 +1822610274 +686436663 +1446198312 +1962413861 +104908990 +689513930 +698738788 +775780950 +791309023 +655039198 +1025732439 +571833915 +888557652 +1659861612 +834693931 +1117005507 +1466027365 +1435847671 +1237763367 +253793265 +1835082114 +970952093 +1363267810 +1576989332 +1765805041 +1807101705 +1332240536 +656981905 +2026112554 +1330254522 +319945740 +1701239180 +2016691185 +1766144052 +1516169394 +2121600175 +308174335 +67424534 +749897477 +1099483358 +722463733 +1775629916 +1671317273 +1611021385 +1288007881 +358527557 +580543244 +606551598 +1794375228 +1818306612 +860344863 +1481973694 +641775057 +76129025 +911479379 +260096450 +1883230731 +96236267 +917078355 +1761859637 +1426490789 +1237024095 +1315615170 +1295698326 +855684500 +684300916 +1269814854 +1163858835 +751725450 +2019712331 +115858545 +1474189183 +1647858600 +1787175819 +937726920 +788382833 +2145703376 +1518270165 +1394934431 +1792594956 +1189093129 +107795647 +1127085002 +1830868186 +183924672 +2038564381 +2090964636 +2067155403 +2134800648 +860559344 +1681531393 +1413807789 +2097583439 +849662915 +562022468 +805784291 +1533963831 +1831837322 +1969643126 +138205633 +1704066005 +2085501672 +1612394817 +1204440957 +1725193843 +402638089 +1992823790 +1723413571 +1920908254 +1240274574 +1368524879 +962517735 +1348070221 +348126233 +645902273 +1531994893 +239206967 +589383262 +1451666649 +226523967 +1449942606 +985714394 +1640331757 +1400042397 +1835377309 +54870577 +58343041 +1221857492 +1886707899 +2027986167 +1360063125 +1443290256 +1966004191 +824974294 +500247566 +1543714386 +1227612384 +345587708 +1119644309 +1001036990 +1585862282 +340685540 +1963554726 +786448855 +688811774 +461973351 +170960101 +928018741 +1051356613 +1622626750 +1154542708 +353815571 +460857496 +647390817 +1753857969 +148751157 +702261394 +1812201010 +1370608649 +441485645 +1692703529 +583188126 +1884775902 +1511224073 +1408162421 +237539820 +907454811 +488291157 +583127528 +2027099121 +1489328147 +21506163 +220301013 +1305399225 +807955018 +909112787 +1767372577 +978915119 +1837131528 +671245542 +454058221 +844190589 +1025061114 +914915717 +1491581406 +631435435 +1063666874 +46359153 +296152797 +286791875 +487844798 +1988856326 +869980002 +225137052 +1352596751 +130658775 +462676872 +112567915 +618949932 +1045804401 +2139667036 +2108278079 +1067310564 +212484401 +1266193657 +1875265582 +1121597189 +886082586 +706697054 +811245069 +1557328128 +1160755275 +1655435658 +434905594 +2075670993 +999533417 +1066341029 +991854219 +1045892570 +1362493826 +1278646095 +1533737368 +1203866505 +1142449 +1758874421 +408979608 +131801224 +74067645 +521547523 +750751156 +1119872046 +513730911 +711545587 +39698962 +726215313 +1977739244 +1914964545 +1847812502 +716338182 +474177951 +511573923 +126182663 +1634933226 +19525934 +561088257 +1563120571 +1019059351 +1627429287 +407491143 +2064951921 +842439465 +1686137238 +1451205641 +2046305970 +1687279687 +1062596414 +307801931 +1819080911 +1136664060 +829349454 +422348419 +109052458 +1343080366 +1133894006 +148751421 +2069295679 +964149603 +2063715966 +1769624533 +1680487785 +390410269 +133714808 +1806670448 +2025343495 +153240742 +220275058 +1440980419 +1172300093 +1847704345 +1848471562 +1089768366 +542660162 +1387125152 +393490360 +441482485 +926921191 +1456086774 +749284416 +598518454 +445267186 +1578633870 +1020866873 +554319645 +774230588 +7277231 +703071066 +696042619 +971426834 +619303384 +318183504 +504430972 +1009713653 +451898313 +163617772 +887573500 +605139055 +383892830 +181070271 +1777439149 +84113527 +2029541833 +719723867 +626773690 +1269183337 +1113214227 +1068256175 +48620880 +421817354 +1817540591 +647139334 +867084540 +1248690813 +1668006207 +1421404185 +2022921402 +1675283439 +2124475251 +571480373 +499226625 +596294987 +889663878 +1003657597 +1606008640 +1341562191 +1167275370 +346098493 +1946701246 +1551168200 +527168764 +1576656747 +1635281728 +409226950 +148896967 +114571770 +1678410287 +1262111194 +1182827945 +1727031168 +1683928548 +852884888 +226686854 +403529441 +2101575701 +1894693062 +1824933626 +1977013455 +1422492853 +1801925230 +401010181 +1921719478 +250736569 +1290674059 +777893428 +1856745210 +484752602 +1945168798 +55360055 +283970200 +1348853350 +582528819 +1860626948 +836651430 +991755769 +2009523915 +951223200 +522682409 +1124151461 +2134051145 +102229929 +660596362 +839452385 +328916783 +1064125803 +793544439 +76126197 +741575781 +623074246 +1498619050 +396017363 +1024084427 +1272854881 +646753933 +167274838 +2050748309 +356015495 +652027440 +1848433459 +411375550 +935997641 +1049803161 +993904369 +649140941 +1886454592 +1985660139 +511181208 +690194144 +360858900 +1635332669 +676761642 +463088829 +148445383 +1516214027 +792005612 +1212571186 +162274818 +868131810 +1954146968 +785349065 +219267212 +202680683 +1809433492 +1492122093 +849434616 +1976708331 +1395386754 +1205450111 +481252123 +1096336565 +1616825661 +1417249764 +2146139727 +463246383 +2066390705 +1885110671 +301422874 +430088265 +427821167 +662281774 +2065420935 +1104582809 +1125370603 +66382670 +473313189 +1917376215 +1278953857 +635588007 +638024377 +1085617177 +1420937072 +857291590 +1288297860 +1082886917 +201930035 +2137732477 +912111600 +1597316790 +1195698940 +1393363723 +546169707 +665040954 +663129840 +544825786 +1128287337 +582036897 +282452809 +1429710211 +1012125163 +710273977 +2091991985 +930062450 +1814856786 +1069878940 +996445120 +140686327 +839771507 +127915329 +776274335 +1477795885 +1213532506 +49727759 +187603827 +354346719 +1132614676 +389533862 +344595548 +2044726276 +1986850652 +1540294488 +1290606352 +385536712 +57851794 +1953736192 +930362498 +1186139131 +388289441 +1212815308 +468365694 +1400414604 +1923089285 +412874031 +182993406 +1590462423 +1482752971 +1179438527 +1731148751 +175040831 +1307353856 +359939438 +1652836716 +373402715 +409667197 +1840440543 +727749434 +1542281874 +82490757 +1072344982 +1439524502 +2069341410 +465155822 +582647206 +307394474 +523007617 +388899750 +1237756972 +1709146748 +777189192 +303088632 +30028795 +30120148 +78694269 +442902826 +213113555 +1669156693 +1925655798 +1392552082 +1252821796 +2100696629 +552422290 +1612761234 +1606049697 +925825005 +2022428431 +1299006592 +1653574439 +1417226657 +1381497349 +578435773 +709267512 +1303355111 +1043591596 +1291914718 +1610749585 +1566599213 +1680814469 +701022910 +1128262313 +310520013 +1004111542 +1158291108 +340640161 +1082805812 +1601193935 +553753716 +604478857 +1379366085 +1946305798 +1857300653 +1332579066 +351244441 +1322578239 +791145115 +1277069446 +1197523022 +2090151707 +783160238 +467266032 +1324165408 +1361596011 +1176533544 +480036872 +257703959 +320964614 +2090786457 +1824303172 +2001779083 +644325719 +805081838 +164815448 +1648437262 +1963372946 +505455610 +583759426 +1417083233 +1059209326 +1188238283 +648965670 +858031477 +898055288 +1981544736 +1209275918 +73149879 +625206203 +338861716 +1270672901 +567874262 +1122021954 +1737938933 +1892039671 +336134318 +766988829 +224592895 +593838277 +1087953444 +167895704 +270657802 +942248879 +812221424 +1075739640 +1107064328 +313175038 +891628938 +1612519938 +896934464 +161228524 +524245616 +2085172747 +810194194 +1382277093 +835744387 +644255283 +444069363 +908894266 +1269461486 +782931080 +32083519 +1837335749 +1904953034 +1770022453 +1581891772 +93603704 +389527634 +1806484667 +687441982 +1477481078 +1974380371 +958099784 +272246310 +639118147 +2033839424 +1379310638 +952293185 +777984714 +844346928 +1849227649 +939213238 +1368592544 +1786916748 +1749407433 +603385990 +475177487 +246179068 +1047455353 +1384071753 +1515640554 +1830386433 +1416155273 +1205492655 +1587855820 +1038694078 +639900779 +1681459524 +1428221712 +298901798 +221417858 +758219143 +125798522 +1179517642 +1030465453 +764916669 +1065873418 +262292443 +1717209855 +1843858133 +1106639371 +1418953856 +635587723 +327748267 +1058386957 +237511508 +931134257 +1533564444 +483690576 +1978589611 +770152550 +1999331131 +1661492396 +38824175 +1057340138 +1101864568 +1077518253 +1697240918 +635840445 +358256317 +1996142716 +857258303 +1116475460 +2121941238 +2036775946 +2146940913 +739374260 +955165716 +261749708 +309100467 +651540201 +1368389079 +1728054323 +1287127925 +1696137347 +638957632 +1524639433 +479787956 +25038429 +2008330010 +310893919 +795190979 +1860177493 +1972386316 +834015154 +770033983 +926767236 +1911533407 +319791253 +1562607681 +122306076 +168450322 +272382337 +1238781537 +142907912 +161674635 +1238238802 +882282172 +1116840351 +1499988511 +1191382639 +1768380553 +720893942 +771953315 +908024830 +269547641 +1410910947 +285180615 +749335598 +1435949376 +146026977 +1060229517 +83656707 +2006204470 +885132185 +917671861 +628754806 +1811899422 +681721620 +948546059 +1227023455 +804027697 +1116996381 +1499405792 +2042809234 +1259904294 +1661080427 +1133564388 +2142186466 +630437131 +486069251 +1186085458 +251334036 +1206963194 +1958038773 +1159358866 +1476510835 +1221466072 +1444539481 +78362785 +509931801 +1590566459 +1138592303 +593588508 +1449287281 +2023724488 +1511260370 +2078042087 +1688140262 +45498342 +879104499 +767680070 +849526039 +1996100880 +119602214 +744851625 +1108521526 +1780682642 +1878416014 +1103224345 +263636125 +217001617 +141826155 +514970161 +1423964811 +2099864928 +1674329027 +752991999 +1173847352 +971384860 +831354784 +1683779153 +414467671 +1969947087 +129884014 +1863754953 +1846187928 +1641144384 +1794313392 +1386844542 +1686642726 +525934243 +7040964 +388685118 +374551476 +126643179 +1133536743 +1483073002 +1907325821 +864469109 +438813699 +23478298 +1081470727 +580639854 +538448459 +357951890 +533021134 +65293838 +1110943889 +1706868487 +1036678698 +1942298674 +1243163992 +1451146370 +1764762113 +1373048006 +1167417675 +1463466393 +866708742 +814247419 +702827288 +405867821 +1340181663 +709868252 +794552939 +1714733139 +836511431 +1928089682 +1050322493 +596353604 +645075144 +1489136193 +619831902 +1726545871 +2069776047 +1158280361 +2084497761 +455313534 +1223574199 +1047958003 +14698373 +112769250 +842773029 +1257862365 +1563915620 +460051494 +483426724 +583849647 +1923517888 +1350135466 +1398097066 +478861528 +1756003287 +590795081 +1188729780 +403072578 +158044572 +2025241212 +183678613 +1208367066 +474111168 +828753757 +550019611 +1093943071 +407815980 +472312010 +104739784 +344830093 +927625544 +1328313984 +1392788096 +942323917 +1441083234 +88077477 +52702635 +857515206 +548128972 +536129359 +1441364853 +324163212 +1886264825 +691978271 +803024740 +1494784465 +1282773353 +1991754520 +1897857043 +1440817925 +1869512084 +2081535656 +501701343 +196139605 +762805765 +1051720954 +1290082676 +1170621745 +1524032965 +1394822460 +1515451839 +304174861 +575652796 +760756287 +1246498779 +2016736030 +848833765 +1299201414 +726767588 +1396962737 +1835330773 +20648793 +1721125949 +1574111950 +712627065 +376667041 +921412767 +1995400418 +220937913 +671786163 +1288734695 +2090449998 +605838171 +1790436039 +139105955 +1368643937 +694673345 +1429188631 +391782034 +71222662 +676527443 +1907233873 +375397524 +1252180240 +520506513 +1621896303 +1121432622 +1369340278 +773614069 +1848200211 +618819367 +461461194 +1868849004 +192461668 +2035573144 +433992421 +569128709 +809502264 +281909191 +790066622 +1481288427 +1570643887 +733032972 +2087126598 +1213596278 +872138927 +1308286887 +1908269623 +153843910 +1700068922 +1979492286 +830371354 +1459819147 +207406162 +2082551594 +1980325660 +1829302465 +1056500568 +1202182290 +455432886 +757217131 +1821001657 +916894080 +478582488 +2013463325 +804983576 +912574909 +435108386 +1614485840 +1194484101 +1225175009 +948290619 +617644340 +1958207981 +887933570 +1831240618 +682863261 +48736809 +1592026593 +836707171 +1748805731 +1424035231 +1667078525 +1061141231 +1631441393 +1602146471 +893983243 +1313260210 +511163392 +2096165534 +1768693096 +1268380523 +1769683543 +538103528 +1746963011 +1635663221 +1343087105 +512054273 +2070771607 +810089297 +1706538374 +1148462968 +1758379917 +176699066 +959187302 +498829839 +2007939684 +1642050563 +547566648 +1452482629 +331274086 +148888732 +729034213 +1998352612 +1210029963 +212991958 +1453015435 +2104013206 +1526252169 +1964178827 +2052695092 +1147461617 +1085075703 +1674894988 +1685565146 +684555066 +1163074561 +881168603 +1196609339 +1086362520 +1691257900 +755664065 +87341841 +1302154169 +932363131 +1046529143 +1800984008 +792819167 +541096058 +201067009 +97818149 +872370144 +349955741 +826852362 +723239108 +1559985704 +1039844320 +28770896 +1516515262 +418612841 +1992949723 +1421726707 +1566074459 +930541778 +949138047 +1104155957 +1615096845 +2112212608 +1985324560 +664222536 +1051091480 +1529098812 +1419886602 +1138433321 +683769334 +204766085 +37478816 +337269694 +997585253 +578574874 +538336703 +1095403402 +1450945019 +888292444 +1922255764 +26700479 +300794500 +814616436 +55471375 +1817309763 +1233229278 +2048421099 +1091552822 +651820089 +831479229 +2040690869 +1755976046 +299092426 +2005419829 +1593816958 +963314963 +909027661 +975432122 +235717917 +2047460983 +1659201456 +440484002 +2084939799 +1996471151 +1438069255 +516031026 +387324206 +385989009 +1966976045 +1275616651 +160761125 +1993676524 +1576411151 +975377562 +2049147900 +1246237266 +61123192 +1950085351 +190306440 +712943281 +634080932 +83513661 +321435679 +933173359 +2088933490 +1915252637 +1896488322 +850477504 +743201111 +2132206239 +750454839 +254918920 +425206593 +687910990 +103906423 +1863275849 +1203942016 +491230629 +101781210 +1023434413 +1766847280 +262542336 +869627290 +1195774784 +1237919898 +771291542 +294528402 +1299043090 +573893245 +484834843 +2011986371 +1207974177 +568348504 +185938402 +2141147536 +509798347 +2101191039 +1890152210 +1360275851 +696908502 +1874874801 +2110730690 +951827422 +152597747 +651158032 +1055733845 +2015873596 +1855100049 +1546964475 +2117654806 +731050814 +1166328107 +232713494 +1600678104 +214619243 +1470633392 +224485998 +509147646 +622192834 +798379243 +993982489 +486695557 +2006353421 +1562330993 +672633959 +2000017309 +2072129340 +626341350 +1742685872 +1284921543 +1323249853 +1470077025 +1248168585 +127593627 +1622674772 +1899326618 +1183327473 +1491064720 +1606943019 +582808300 +1461235879 +190510185 +1749136407 +1693949373 +1791188290 +1963755651 +1017099118 +2015674288 +325419649 +1639291952 +666569884 +1319402138 +2125987510 +525439657 +734249483 +651137821 +377973318 +658895176 +1277479172 +2120659190 +1943816719 +453245377 +1443252568 +1044501657 +580839004 +918443692 +796344627 +1764166477 +262024765 +255803998 +199491129 +1723260644 +446314183 +1948627537 +1269726369 +90018825 +1764899540 +139341839 +2105693114 +2090319189 +1778633792 +624779350 +1262237679 +1757137654 +1150219007 +1996487162 +260791827 +1528192325 +507898690 +1538270999 +1501367868 +304231762 +1991516376 +797136788 +1348733419 +424871733 +1715580480 +2145078046 +41554562 +1977605245 +253398396 +241045692 +1553382241 +699712579 +42189581 +675624963 +789731405 +1807089121 +814966802 +747940871 +1749924662 +446116946 +1372720221 +864678693 +55770952 +375455580 +713682207 +316562780 +1903647905 +1221580898 +1854833779 +1257532125 +1525812660 +1698866508 +2054668913 +727062431 +2123738241 +1622765746 +724656829 +17809155 +1452887343 +978055225 +258854847 +858785937 +1677767804 +301044428 +1534410900 +320015561 +2108133549 +201894054 +1067956432 +1710574563 +648011001 +293193005 +427769608 +703781953 +668648585 +1141451816 +1020344733 +424812843 +215549066 +727694865 +1682344968 +1741361726 +279077725 +1589530234 +320940509 +255332318 +1064812332 +1045597338 +273141473 +370216027 +2023652563 +531996321 +1229001964 +1553936719 +833040749 +615929216 +1873952281 +793690651 +817823271 +794425065 +356781566 +1465834272 +1087618071 +784551175 +22132577 +1756266656 +1926002991 +1042477311 +33595851 +2141552057 +1770172176 +1715940820 +1735430135 +2049249901 +1157987406 +2056370644 +157098571 +75316090 +954484334 +430240044 +445532117 +830653249 +962236365 +1674534082 +237106320 +1795277115 +142979650 +2111058601 +441484118 +960802921 +758000019 +798265684 +279153545 +1845618090 +1582816859 +301286123 +1454401098 +1361336202 +1343763434 +1487996950 +1355404611 +966451962 +1056454122 +943351098 +868218215 +66957880 +852238094 +1025316786 +142273970 +1806722428 +1455556830 +587806087 +489892029 +270309548 +114856521 +726998350 +2065586663 +257836172 +690573303 +359587133 +1218639093 +1448573322 +1157852817 +1497792639 +1146707764 +593186029 +1799078762 +453625215 +1954522231 +995358548 +1941622165 +1162443195 +1961810510 +850592639 +2105794293 +682545077 +917550519 +810548740 +1707861863 +1059824489 +469787520 +1015935045 +1647630576 +959679550 +1286244593 +1762487098 +1686677900 +1204347608 +2020323270 +229767555 +1563934741 +1091478715 +1678340878 +574303911 +441787706 +677564994 +1167489940 +93382820 +1131190209 +974528523 +1088741368 +925328726 +2136971718 +903068230 +1775921365 +2095282364 +1585613307 +545988236 +758347456 +1145991522 +1605812725 +1228134976 +14442920 +1105959654 +40330878 +1300687513 +720963104 +1727008778 +357551474 +593802726 +1956776334 +1921486215 +1685281441 +1487633564 +348306478 +2127069148 +17714910 +1515796418 +72968320 +1148905120 +342841294 +1161709689 +2074233846 +332329364 +2064777919 +1702671564 +280128080 +1502907579 +101176152 +1038475536 +501415453 +1706988878 +119126865 +515858373 +665464884 +159457743 +1816545887 +1386427988 +1886466522 +26613713 +1980230714 +1695759208 +1948099928 +1518028507 +1035909124 +148922759 +1497614007 +1053624034 +1664719177 +1570582328 +55045506 +2007560471 +584808369 +2129279353 +192406188 +502102640 +1684467269 +472534268 +2005010219 +1785643421 +1511009805 +358942025 +1345148651 +1630136670 +874800398 +2010613535 +1789594413 +543862637 +1249557875 +1528577287 +570476350 +1082304941 +1076852847 +371092631 +452849801 +2112761971 +520015390 +1950463808 +1018902358 +37250919 +1373562488 +1073947864 +2044811391 +1958370857 +1055743569 +89733931 +312989850 +592727190 +562268199 +170516421 +230886964 +2073278004 +529458446 +1576035615 +1555931026 +1404258845 +1439165503 +1198041792 +1948121482 +541239730 +579135431 +371114185 +1623544672 +1655988279 +742206816 +2076394473 +1621266602 +1262222206 +1879374633 +492685312 +1299473125 +1105453474 +1566633177 +1196800868 +916340683 +474893098 +1286534799 +1229330533 +1067620289 +1848802999 +1399846955 +1298507253 +1774597355 +1929305401 +727059220 +1183044734 +1186080598 +18741075 +233602878 +986718433 +559980806 +812738309 +1357832618 +36041830 +321242940 +2100039434 +2112436303 +1942509543 +1214777992 +1844327288 +287711207 +366767469 +802297114 +1854344384 +1563568338 +1718637798 +181753835 +702619489 +800484683 +1249374124 +403938840 +52847990 +400397729 +31052548 +1982153392 +1127456949 +1214097282 +1020750342 +1146198025 +1447700160 +2007468775 +1706178831 +112954821 +1217817745 +1742220661 +434197762 +1170373531 +1707173316 +229223657 +237667875 +1404016956 +516934864 +604435345 +58830423 +223795601 +20520035 +1777468221 +405549436 +723139524 +430469256 +1654923560 +1127078365 +483317247 +2055321289 +1158130913 +317986991 +1035294590 +224744547 +1338737333 +34008967 +1672444707 +1198722461 +1740187798 +1785399528 +269056558 +1334924811 +72113642 +1439430090 +894614479 +301337299 +1677097965 +151147788 +818272164 +134049662 +209978211 +1042067765 +154569697 +1987446432 +1447617201 +877709222 +270432040 +955057113 +2004787587 +753749287 +862894754 +1015434852 +1071736278 +1898189344 +1240179399 +262989964 +1932198312 +765140458 +1461712425 +1524902462 +403056338 +1730768983 +712343626 +475169981 +1022715425 +1606958105 +776507280 +552329743 +1758105893 +1594779444 +686379405 +1968084104 +489363561 +840949103 +1808046888 +1936980762 +1718658325 +2078478929 +744554227 +1575962264 +684744568 +1607448981 +443913468 +1756480847 +1358154678 +1684092867 +2019470811 +1142869342 +301749677 +1333699588 +520288156 +704806015 +916984923 +1232631782 +1179975996 +1939700349 +692106240 +1956483277 +344546444 +302728485 +1403779073 +1030925849 +123328942 +1893142635 +1871874952 +1931375830 +1682639749 +1443049629 +1862371111 +279710329 +871528245 +399632032 +1887159310 +1315441713 +8629231 +1097830340 +852050932 +2028100042 +93216034 +1153800609 +1214315982 +613504191 +1858606625 +2131300905 +1846135973 +891098973 +1923517606 +390758565 +700098602 +120580402 +693487051 +2103877676 +1151506252 +816815993 +1849536663 +875897556 +600708175 +1384692764 +171463538 +315595639 +1664403093 +1042991783 +715227671 +1404078756 +210949849 +723856902 +354425448 +1063000781 +604473296 +447641483 +69317743 +1818789278 +1061145674 +1927924368 +1802606535 +759797999 +671539693 +1578640494 +1150556565 +1371638296 +1699220896 +1844043616 +1328032324 +703243500 +513375961 +1030085339 +1579141057 +1114084136 +267294455 +1750604595 +1429679775 +1931697549 +646112730 +2144907446 +1188292657 +857062579 +721280700 +1542718105 +1920063361 +1325753996 +1990359588 +1989381104 +997059626 +904021614 +1769821824 +652182514 +1663819614 +293877869 +83339360 +666892531 +1665516165 +1782560256 +363452499 +846064841 +338320109 +876828460 +1876150180 +1917461166 +1990912596 +2143444636 +1520582113 +1273108724 +1927658537 +19211195 +1270532522 +968467546 +876273775 +1991813223 +363702003 +648853488 +1170083571 +206577944 +490750944 +19659550 +1110599558 +113089120 +671842064 +626935524 +406966989 +755181424 +1293828055 +2072483155 +390258032 +1657280554 +771064348 +728578141 +386625366 +499730881 +498555659 +230054315 +495691869 +2019137772 +1503163039 +275866758 +2038348968 +626211913 +1244334304 +767139095 +470541488 +1608036307 +1415992583 +1640625060 +1814614251 +1906743527 +1660284610 +777730162 +2019832647 +184643026 +1404665686 +279315988 +939824450 +551010094 +204315495 +1330082482 +60807000 +975379844 +2058660624 +447432367 +1475110725 +409732635 +677486682 +1970802594 +281386760 +33166073 +99185704 +172252080 +659377986 +1343520008 +939391175 +1129919475 +804072667 +207900110 +623060887 +471203271 +2114643637 +135861849 +1248933433 +1986992636 +320504875 +506115471 +118824976 +1260329325 +1057125565 +323140472 +442928159 +1117932566 +1298520316 +354105135 +1565364933 +626147393 +763837771 +95367967 +449466339 +1045224531 +128534040 +548652043 +1217476611 +787912026 +1892172051 +9384138 +1917831501 +548761070 +217284248 +393408740 +1019964341 +184444237 +529270589 +121414126 +23953225 +849775464 +627529598 +142778201 +2110104789 +1684655163 +465918673 +405549301 +655104081 +1764438989 +759654436 +72985366 +243102734 +1523492207 +168353333 +692569073 +421233090 +296887373 +1241221116 +1638709701 +1084799400 +985909519 +1648093839 +855147253 +1534670590 +1865378087 +1248555994 +407151283 +2049822324 +1777826583 +528565410 +2073775549 +480118400 +1156095008 +69070103 +442739541 +693266523 +534988776 +848288842 +1348370605 +151944118 +1607943279 +1421355971 +395046852 +983951838 +1589709305 +1087615926 +1405184929 +1886596678 +181353394 +896410982 +823912430 +1167262914 +397021174 +1679059684 +554449856 +114915613 +780132030 +961601139 +17254290 +410474965 +1490166549 +2091029839 +890593365 +498777909 +12616294 +1333332907 +1192044433 +547605071 +34138101 +392931390 +699549189 +1642081380 +1814287361 +1094596041 +478549571 +1256513018 +34728319 +1883734500 +995626049 +216081714 +632661834 +1819538479 +1383344628 +1029683008 +1351114515 +1937794484 +1144598622 +2131246545 +751911975 +1161852912 +394237863 +94594877 +1105399103 +1284831228 +593372786 +1118015398 +470680487 +1785417219 +1665620469 +504818589 +30864961 +217686010 +2146899969 +1845152323 +1312282051 +477965892 +954181693 +1347010371 +214216744 +1949807742 +1563092085 +846878579 +1621862574 +798953065 +1876561587 +825493441 +589263901 +873676561 +809256339 +1341175876 +2035529473 +1203494202 +1435770753 +993444929 +340841782 +2029143540 +2111460327 +811522270 +1667077111 +1629597148 +1316340859 +1697942073 +1847283158 +1315757180 +1395610748 +1012081561 +1793723073 +202308793 +211608284 +2007939817 +4632888 +1774700369 +707334748 +1626495462 +426169786 +436412688 +304505255 +1015433687 +1310089249 +1113761594 +209125916 +1198135075 +169772148 +1644896669 +44096356 +510613931 +1526556561 +8073035 +1322136201 +1046150025 +1637670183 +490993412 +596608450 +1337469693 +1806750592 +1992219198 +202067606 +1452990017 +47044343 +413675891 +1313446187 +51677231 +40892612 +2020780935 +1678172693 +467062399 +309709975 +1982677949 +1482496086 +1619799225 +948955895 +1691622002 +670450652 +1118728044 +1189035024 +714547008 +1629341975 +568107937 +722620043 +803994528 +1614257962 +212806578 +1294987940 +63382764 +1550276271 +954254884 +2055601962 +1752343877 +259761254 +2102646306 +18536120 +1573207441 +6839889 +59428733 +1446504728 +1685012583 +526491132 +1756214704 +1520206884 +2008987218 +1228530281 +321679131 +1553125573 +1898980933 +1440407175 +594676949 +466044293 +922265502 +1162784886 +1188664336 +1726260030 +629559201 +1401470914 +873764322 +692941965 +804263537 +1828019207 +601060280 +409123766 +2087780461 +556222938 +427659887 +1513504254 +563062827 +487088620 +812525334 +100591762 +1013579752 +421256390 +1620798646 +875083322 +1649786671 +1942477778 +280725247 +1401283956 +1235401305 +875402196 +1867328249 +10183160 +2038187083 +908508937 +1736443190 +520262636 +162496203 +462723865 +1213204601 +966759740 +143259424 +1814264881 +1375883507 +83556237 +223004171 +1803543394 +1597060491 +786066999 +143148366 +262102177 +886658761 +1156728118 +683358568 +359973760 +2031811440 +185661591 +154967890 +165053040 +1586945548 +1390369195 +1040455236 +1306790149 +1400552355 +931158671 +67815439 +989511898 +1451421307 +230311642 +1452235763 +517142261 +1197071383 +1595495187 +183923494 +425471242 +1679051424 +406927666 +81530988 +1128628267 +1192994665 +224679354 +1390730444 +2079653426 +1381407472 +2074089012 +292143538 +1265735264 +112266956 +447111428 +1430788304 +1699212504 +1837480624 +323759893 +858519005 +1090549331 +1254918564 +926334444 +2080061229 +558856224 +1156646087 +1384813344 +1075998485 +206233822 +832824883 +1259921979 +631705064 +364392659 +1666849645 +713236052 +1493020926 +712360662 +937915406 +736267723 +644530441 +171839230 +662873087 +936673979 +1437574494 +775140043 +1383785408 +720879151 +326868899 +1073782384 +1044639044 +1185387905 +16848067 +152073960 +2111722349 +2096909297 +710930184 +1120884788 +1334238993 +1786928669 +1327118610 +19580229 +899367001 +1958823674 +383972888 +418732998 +524576078 +1876993815 +1131093661 +1462491484 +465777890 +1775624102 +1634330714 +1128650977 +564814433 +924421561 +1903791021 +1948599841 +1645300712 +83176272 +874898577 +542456108 +1268564177 +891746645 +694530068 +1232802879 +841172294 +1405460253 +206204019 +27927639 +1044905274 +1533322630 +47507868 +1944272275 +1344662656 +431480757 +215521626 +1869238735 +160990924 +1346615287 +1184246571 +626768814 +974755741 +671093638 +1755419791 +1539570174 +1595515199 +1511727164 +1340686368 +1093332263 +1594903437 +68101297 +1635788371 +715983966 +959847942 +182834791 +1948786845 +1801020236 +1588295044 +7507217 +1828947876 +485716671 +1540829847 +1876455744 +282505298 +738008855 +160452853 +498026924 +459763942 +321443777 +1844642211 +1644010514 +948212591 +671914304 +167620504 +556148735 +64000831 +1763135703 +2067875899 +1404687199 +708984318 +1515295688 +1472788496 +197289041 +83796007 +285152791 +380123832 +2032582852 +2086173027 +1968418877 +2040090069 +1767637255 +306651900 +1433436268 +1496609352 +589157198 +23961476 +1657062205 +1087184123 +483725418 +1978505983 +784342686 +2127735932 +779234926 +1456256991 +147872788 +1335383661 +1520257822 +1911008491 +1255775913 +777461373 +472509161 +623587953 +102766221 +669798202 +707383960 +387919012 +1049922035 +592483165 +326608392 +870857264 +485089586 +2094245647 +1177509164 +1918525855 +1443371351 +1766666362 +1942487331 +952949909 +706366837 +278729101 +783972244 +1490709524 +258981386 +1563207170 +799482867 +406854174 +751107184 +172257041 +170379018 +2006883097 +949718414 +642888179 +482987402 +1052484635 +1312686382 +1190371363 +1440403648 +215124769 +1782854528 +1767012040 +1085982033 +120460466 +1713774039 +116007549 +2038986321 +1009661743 +1882673911 +1833990004 +1962611652 +441557101 +2112719106 +599100248 +1932266625 +224216844 +14823770 +584265844 +631071018 +765930954 +756522885 +801450036 +625330403 +1706241299 +1444338216 +1108317806 +611242286 +609540950 +151205521 +2051645934 +824665719 +1934060049 +1671174326 +1910647752 +2054520515 +1237464718 +2026655301 +1946023189 +99642813 +1761845564 +1632529545 +2062254465 +55919017 +1597765003 +513871065 +1988185642 +1821981847 +528694835 +424967838 +305569218 +1294625790 +1181490723 +1107019254 +1919956193 +740248374 +403873822 +880790351 +1351490661 +1013414772 +1031995872 +1255652947 +1838080491 +818572273 +779343626 +1601244595 +725609141 +2016808344 +1480416248 +524148682 +2116451157 +1094778165 +9194579 +2031221974 +1150697182 +1606959583 +397609391 +991399177 +1281457782 +926304226 +1416367015 +1587027000 +73446368 +450374091 +546562607 +1993402562 +1190622465 +950436429 +726709265 +394629478 +1963851202 +1758705138 +1650282426 +1654448045 +429793763 +282142404 +1108208993 +1155402904 +151467100 +441141593 +1679551586 +120434609 +1535919758 +1688746166 +4172935 +539133293 +1148222101 +401782326 +1530532470 +282196235 +1328086552 +799415837 +1869223236 +1401532921 +1249789928 +268302195 +1247451835 +292928746 +1218738624 +1974161100 +687558224 +1035106178 +1585382590 +190357002 +542070576 +2015176354 +472499406 +1650279569 +1023095610 +623966506 +2091421162 +555163549 +744401115 +1479857273 +96426067 +748574050 +2018990566 +1244648168 +1150356376 +1402039388 +1526844403 +330959281 +53971577 +1248583991 +1732492202 +1303761506 +1516886186 +832460389 +1596690252 +588141163 +659137841 +136764828 +1623247341 +97036784 +327121831 +17834269 +2112213138 +799621237 +1668113838 +987825100 +1423587744 +1612051353 +1542988649 +20505211 +944424978 +1639414716 +769079262 +815931896 +736579236 +1919435638 +70487636 +115939992 +102911271 +124459213 +1364523983 +1835403473 +1428220719 +733926522 +520380214 +877427323 +1322067685 +1179518056 +1014192152 +797831378 +1276554840 +1341313983 +815665648 +1241284330 +2140935220 +336295838 +81625782 +1417039316 +1948347191 +1624614432 +1437544528 +745288521 +1116545500 +59140142 +1561220417 +1853124737 +1978575780 +1631708053 +1969064729 +2081487052 +1756167267 +1186105064 +1769406877 +1036904338 +1920031586 +142303444 +1914331662 +1094615623 +1321821500 +781040166 +1892447002 +450892692 +2122354149 +560629002 +1692177022 +2115805721 +896924840 +1773802804 +1385361390 +697788384 +1250933588 +675422270 +1443076905 +219995441 +734562412 +856813675 +2073120178 +565654544 +341038080 +1894701259 +499657948 +2097205347 +933322675 +121581178 +986626038 +705870614 +263884622 +753474052 +1800486237 +1585706122 +1534514218 +1545449591 +2036598814 +1509384719 +2106078593 +1581292188 +1477706792 +855519786 +1207611344 +715584534 +1553308170 +311061285 +1391006804 +848901427 +531056726 +2125569216 +1705715102 +456693256 +543740113 +2046753183 +203910867 +1043398061 +1996474882 +1137233542 +1164979239 +835617272 +1843104156 +1428863861 +1589091324 +1496106746 +867086335 +976121894 +894072689 +756201501 +338022965 +852667635 +190010041 +1815729758 +1708187421 +1397621386 +383830644 +1114011943 +1708682671 +1774837449 +1962913370 +92255749 +1752923017 +1521144825 +548949005 +149179482 +1420414360 +752859872 +1192577544 +1269405594 +1890093414 +210073135 +2105022867 +1585713923 +1638936997 +1546630543 +934337021 +358539684 +375268790 +1828409710 +1114741186 +713291755 +533593697 +1304751227 +381537865 +94297470 +554888965 +765368510 +1208309413 +116087988 +392722311 +1023739136 +208343737 +2145645328 +397400313 +757292742 +147341163 +1817814673 +1510152614 +1339918707 +939736619 +1252762381 +1549991842 +897275838 +690992656 +1041445191 +296422734 +1625329677 +1399984876 +671691524 +1306255739 +367242414 +1384983279 +1839849437 +1671993641 +1766521145 +1934146907 +79398959 +384406007 +994972673 +195486947 +777128318 +2018711809 +403830685 +775289998 +268628474 +1161123427 +922631161 +2086443147 +523792394 +115066220 +878696118 +1776554775 +1665058063 +1775971957 +320063783 +559019606 +2072394691 +1945393460 +1959004482 +596602567 +1104165551 +178763248 +1981585846 +796531340 +1850756890 +1600623343 +583194600 +1930155849 +1985029350 +1578167273 +2125642796 +614674020 +1449395434 +381989833 +1389964019 +1718023908 +1543113261 +165111532 +1656983407 +2066905655 +280177753 +388195877 +1695976782 +1945235816 +16684186 +2016040565 +356771774 +2089078877 +1813950377 +168292609 +538197796 +770632280 +347055857 +372299995 +1567163621 +50329099 +1972923338 +2874573 +1980484948 +1810469041 +1581041846 +1958644097 +277659413 +882953632 +193150282 +1667623432 +453493892 +1736263543 +1832734965 +2110477299 +1655685550 +2112912718 +351189528 +1204178684 +1910664886 +367873715 +1072735601 +119953012 +309468944 +739202330 +288245621 +847666741 +1509834611 +635301479 +1219966736 +929514584 +685630578 +1045406426 +932389157 +518631879 +708391819 +365947355 +329792328 +986051233 +1248900987 +522942610 +506191017 +1702394879 +111722506 +191442334 +1665388530 +1767408056 +156871404 +2016578058 +824103093 +2067536290 +236968125 +1896838694 +40005655 +546437070 +488557377 +328251276 +1394103811 +1998391988 +963552755 +466586899 +780422924 +1649183334 +1511993325 +1712812081 +20331565 +72901497 +2078759436 +350123893 +1058952730 +1180176775 +873066503 +1565143747 +735088006 +984789009 +1756586082 +252992888 +604713418 +1913457486 +122087298 +1428816511 +1833510129 +359055424 +1178171557 +1873515784 +905492494 +1666728934 +54283412 +152112657 +1517637274 +1017836168 +618699556 +150576550 +519535854 +2130692881 +1863388631 +539867419 +56110730 +1794664419 +889991312 +1115063460 +827357546 +1763057815 +532723560 +1562445552 +600363177 +141825994 +1815438440 +1205076595 +2055283480 +1937525739 +486409458 +1741309961 +149097515 +1664581015 +1467342097 +1054590009 +1183826302 +1521625510 +1206702666 +553979928 +391978030 +1825402222 +704556479 +911513884 +1808611455 +420461462 +1451381303 +1864722186 +67642234 +193888967 +832301998 +894999780 +1956946782 +1365025558 +309961685 +409826311 +1506851552 +2125400125 +1614902906 +1414651385 +1915442216 +2101312364 +1008477698 +2064539731 +1618409732 +328336148 +971646092 +654752386 +1849961658 +30865110 +1208732314 +94456040 +1856267332 +1913288793 +1005969924 +1517395140 +186266608 +309867579 +1234633678 +253908842 +503756546 +2066935676 +1148908622 +313219680 +1284477587 +1458870307 +723045992 +643845491 +1436786785 +190465250 +2058496876 +1204745353 +144293967 +919490927 +1121801437 +1762703699 +1247827075 +2093447529 +269972437 +950305085 +2124312640 +1478704751 +1044761125 +1833096324 +1244509897 +2050731049 +1203007816 +1430776505 +213114980 +290157846 +1684685347 +716871526 +209609875 +686110321 +1030091206 +1494087462 +2144980629 +1753137198 +2137932953 +1434283766 +1943602449 +2048946182 +491545471 +2087896416 +820953461 +1613346908 +1703116467 +2068780536 +1559310790 +1973088904 +871601973 +1536139782 +1304310007 +1916363098 +1221752458 +401336256 +1819610499 +277276627 +1832112761 +2032725479 +567434473 +1369314460 +602113357 +777044348 +2055424782 +1632204563 +123648162 +2052921763 +1237858114 +114097468 +1339721881 +1033976915 +15560002 +1831267352 +974389683 +836513463 +1297130613 +530022502 +757810351 +708957755 +355627758 +1629412324 +97613889 +1659937765 +1398291774 +1319366347 +2061274022 +1070418625 +1596642974 +1745903135 +955660456 +16593800 +967733948 +1557773813 +793638148 +875675082 +1042494728 +917286311 +781113197 +132869194 +1031383779 +2120835078 +1166846109 +1046943781 +1804618782 +2141235792 +1883457244 +954265747 +523774646 +493783947 +1663223502 +879402404 +2123196271 +1760837391 +391856522 +1374004397 +932720091 +305646896 +296939374 +381879417 +2051550031 +1252599830 +398473217 +871800331 +662889995 +1192111366 +1747475413 +1705384723 +2109397677 +381104962 +1838253918 +993297808 +354456392 +857616379 +2040241589 +11591527 +851368524 +1776215185 +965857274 +1375143170 +122515484 +481597129 +107061927 +98228107 +94950872 +498918449 +1472232504 +1027670963 +804565345 +1769171878 +1409550381 +708631728 +874288060 +1808023598 +1580432060 +1537178055 +852651316 +1180423825 +1095079130 +814565345 +1561528788 +785849400 +1807863153 +1915985180 +1643465780 +1700621094 +1927576707 +347350656 +1329352631 +745950334 +1722493826 +1451868115 +1227547463 +1829555753 +1550096222 +1322498335 +180990554 +874845078 +202685651 +985555899 +496533308 +1612236032 +1694187628 +1370821368 +1272775982 +1127136040 +760515775 +2125427299 +160076217 +1855594906 +792508996 +1721605005 +493960658 +452888502 +1490106538 +2137426438 +6025948 +1270199597 +337293446 +1335378580 +2016149931 +2059787273 +639763047 +1096213746 +1741859378 +42375622 +271228434 +1922849933 +917220700 +473914085 +760922184 +1413754009 +2086150117 +307626164 +637091729 +1211442451 +1434762204 +1397607505 +1189386102 +1594838422 +1105718763 +1981895099 +1168959779 +1599679421 +287299953 +511582669 +1589622212 +293325901 +1781782267 +1926915658 +1628704481 +1650448550 +1839219283 +120983881 +599178649 +1433595014 +163359503 +870407083 +1208961299 +1080580203 +1344321168 +1969883483 +346850564 +1282987637 +130026000 +983942294 +346946440 +1564788204 +234066151 +1536332543 +1012142978 +1339784914 +1370743994 +33619110 +791980687 +1658043947 +545201779 +234119251 +1951369848 +179500398 +13551262 +1432590682 +1829948949 +1852770545 +1553574563 +281643950 +1138881911 +1716934066 +1152051033 +200359562 +650030621 +348888553 +22759398 +996881186 +1631876190 +152785398 +1980823480 +1978822630 +1717573602 +67405983 +1367671525 +582232933 +1407190897 +590931871 +615852043 +51687936 +101492170 +1161053822 +285807188 +2052862019 +1340554221 +299358450 +1337969053 +1023019522 +4645347 +744059968 +1304663472 +1143527259 +313510386 +309230857 +1343886821 +963541007 +658119410 +1366646219 +1960422193 +142511952 +1519431617 +1793762025 +2121334582 +1089521572 +1861168008 +1341522460 +1671754505 +1120875257 +1932454331 +140122900 +1172563194 +2033946502 +1301176722 +1458370382 +1939324873 +494247295 +1757728832 +1129810278 +1517266817 +1762374179 +1873870246 +674446641 +758417790 +39896984 +983677498 +2102304612 +1003437991 +1641796908 +1321467183 +816376537 +1784308860 +693415153 +462654914 +1758159795 +1782936725 +176339275 +952198607 +1307207582 +1297214532 +737169290 +1447330482 +322294078 +623632144 +601023556 +1780664460 +415473369 +1095270852 +1390909644 +1545283647 +465054021 +1005800176 +1271670245 +1139500663 +1764217966 +1311567229 +2123178161 +1719038930 +167521573 +1617491422 +893022466 +983898110 +1254316634 +1586437619 +1446553024 +864992781 +1221890696 +1622892299 +1817191388 +381614630 +772623184 +406877031 +1828945112 +1094917262 +1030509175 +282485020 +728098075 +1445982545 +1377755872 +2119007719 +843782544 +1842809894 +977324247 +2115452790 +834826909 +594058566 +1279536371 +810521422 +165613848 +1447057944 +280529196 +1058636314 +283472406 +1534845831 +497590285 +1730025431 +252354964 +1719480981 +1205434082 +2069546353 +2101095611 +1978057266 +328939736 +1782557075 +925490881 +1359448911 +2065042096 +1653588956 +657947808 +1295314320 +1625113027 +1501730353 +990640566 +454953627 +1469699495 +1825467475 +1049012193 +601752218 +488505250 +1214626041 +2048810163 +769034446 +125778708 +184798921 +156396629 +623368993 +1914824352 +408751594 +195366327 +972774787 +330814299 +148978290 +803348405 +659754035 +1931535366 +1728839286 +2019202946 +1849093814 +1234944594 +529667107 +996924486 +712573974 +2031397460 +1987565053 +1167527601 +1353613307 +1665548880 +69056146 +1955365525 +6570482 +1283682187 +1856692040 +775604929 +1409460895 +2041490962 +932001558 +2032829889 +1808831666 +1340753152 +80712568 +634122805 +1671567451 +229690858 +1437471211 +183837838 +13742576 +1018826849 +55557137 +1862836390 +106287796 +585224244 +712277229 +818861770 +469138056 +552358634 +1986389371 +1822751363 +70423866 +2055445517 +1630633240 +76994349 +1191644056 +1339841633 +852599278 +453621304 +1233848947 +1784600836 +338967545 +895196965 +977870341 +419680113 +1529319771 +501954144 +649370971 +819307334 +685791983 +663113548 +1838134183 +741349120 +378466290 +1944421979 +1326573364 +1090743519 +615800101 +1795711420 +1643102153 +454705824 +1470979135 +1713526020 +362667693 +954128727 +1790520369 +1554311750 +146486712 +495635999 +2007933054 +1380335659 +132753187 +199416951 +128048977 +1110623528 +619097064 +1657368748 +1612577673 +1268468035 +329192434 +150886008 +1931581583 +19842969 +892235128 +162564226 +1964264949 +71324844 +1253307745 +432581402 +1867036264 +748926251 +887287227 +1190531751 +314968623 +1249954920 +2144660478 +2105488992 +656783022 +143663543 +453641343 +517232428 +1523999202 +586394530 +716649379 +1652048179 +1697018059 +1335746443 +1161933279 +1162112084 +456730831 +1491125713 +1312998092 +240828766 +1510968683 +57749572 +403392992 +1327749984 +129074416 +1656700738 +1760331386 +1996110680 +258143341 +500134965 +1039158783 +573111964 +1750089886 +1036335613 +531117308 +259389260 +1179999156 +984758651 +776621689 +556514711 +1571153181 +1493271068 +61079242 +1120687592 +681533864 +1223012522 +135316028 +1138264695 +566654587 +1448314120 +1379093461 +2077623270 +1506063692 +1782486454 +1257889606 +1635138108 +1291703544 +870737345 +1483765140 +1549846885 +1370872310 +375440275 +2122958849 +973478548 +1411775889 +506592509 +1232867809 +444291397 +1491351160 +2009489498 +1000806108 +915020693 +1355276918 +1061885351 +2035708286 +2036810782 +137414225 +23540666 +1027591829 +704068812 +1471854787 +259201643 +634208435 +830434831 +2041688097 +1892098041 +318089292 +1185907993 +615351738 +1801854432 +588271230 +1986224049 +29811060 +563746431 +812218949 +1441586949 +1070338940 +2045086758 +1885878346 +414206452 +1907092608 +739200807 +1329227145 +1114885879 +1801086158 +1217451783 +1004213013 +1938500383 +1240992450 +2031804843 +495085547 +565363589 +143522838 +1129293982 +1395798420 +37727287 +873908376 +1713887712 +1223635280 +1489260114 +1368258497 +1811906510 +1328000515 +1398069557 +228169293 +2140219465 +692172858 +1298508233 +2037822575 +430567556 +1712714685 +1797431536 +1169768363 +894458182 +764833767 +823370873 +2111909966 +1769046780 +614387608 +1205418768 +1653367975 +1109473156 +1770782357 +1796890813 +91283490 +1019097129 +1834618100 +965191866 +585501194 +910769732 +306968333 +1953759691 +575192594 +1634968848 +1204345600 +803361887 +1627704665 +1896518458 +2101870120 +1518043593 +179602366 +1667101157 +1167991481 +1349370730 +414075692 +1932825248 +25257955 +378502010 +1554388380 +639645564 +1583920778 +1060272708 +1749118720 +1207219487 +709679873 +1840402210 +78832968 +396814326 +658110429 +664334162 +1307584058 +965078762 +470610205 +1882776653 +452563962 +1674955805 +538654892 +2080268628 +1423990615 +493041365 +1450828573 +1603592982 +12658874 +471336406 +805480064 +426734566 +256678006 +830738019 +805236576 +1811066386 +1470383583 +241673706 +723855446 +1072018655 +1448893193 +1433535320 +764937218 +1527726162 +1830349646 +1423047647 +44576676 +990450056 +240642761 +515186882 +725743061 +693206723 +42659039 +1264397954 +625991703 +1466649655 +1757439319 +2076820276 +922758989 +1770098193 +400673034 +1728239053 +49349112 +657351040 +411493424 +854585688 +320933779 +1881877008 +1096259395 +1044789225 +806412015 +397668940 +330840897 +1571349233 +1925395102 +13706895 +846913232 +1969971779 +1004156952 +1087555993 +337675013 +1729900013 +1780762717 +380334052 +846814319 +259270772 +1846983707 +456769990 +188607401 +622259048 +79384536 +589280435 +203014453 +128733648 +1246631476 +614507878 +983319336 +1567565255 +348901238 +2079578731 +464870832 +1155313253 +329764024 +795711730 +579178839 +107675478 +809418625 +1426092071 +2077647257 +1813575577 +366164417 +267838622 +1395991943 +2146927134 +648172675 +95322614 +258714258 +347672734 +552092605 +447321659 +969931783 +631477141 +1036602095 +1172946236 +760210789 +135749923 +1787454114 +1743530125 +1703315178 +2136355352 +1675625209 +20702362 +1144184958 +2005389233 +816414092 +1723363797 +2113064711 +1625832718 +1001972220 +2043228321 +1291924647 +1368136637 +163583295 +540432942 +1367580123 +811755970 +635755557 +1626294382 +1159428705 +1187848162 +2073616041 +2129360488 +1819325303 +962734488 +1154823076 +432052444 +1098484411 +794793543 +28098921 +654315941 +783665247 +1703724130 +675018304 +1927850205 +1561629715 +1491432396 +1503730354 +1527210779 +969781466 +358218927 +1422955452 +114222466 +1726355564 +1586538747 +654655408 +946452040 +250811070 +1290410965 +425262774 +1410239775 +330775479 +351395167 +1392116615 +2617134 +1314129656 +399456043 +434669578 +265130419 +1194249586 +462768500 +919446361 +1977914834 +19008982 +1594464665 +1758281391 +1580638698 +938413413 +1114528098 +960365829 +1908194880 +1472747025 +235837633 +2022417346 +1051618941 +1822376380 +529589106 +1998070981 +2073187450 +1820000072 +275850107 +1335943577 +3291903 +627245275 +580576544 +5909038 +1941374931 +980032588 +440578616 +59021702 +26798526 +903347116 +978468063 +2004713360 +922356099 +425449080 +1615511104 +355511149 +1363862494 +582555554 +1315876978 +1124573726 +2055302579 +1551714611 +999507424 +959437872 +1226607343 +1529096530 +810025206 +1152311146 +1201612954 +1085875313 +340771075 +1204904858 +1713120588 +921347620 +1210813896 +1507011871 +1901380208 +1651392512 +1566033574 +1928178734 +407255981 +397017989 +1785408447 +1329612080 +822467070 +1253435903 +1685123229 +38845916 +1835991457 +853516559 +1163419642 +1743810388 +257747522 +15443418 +555764612 +1484354865 +1544539948 +1365789818 +489182363 +598669255 +304181484 +829953439 +1803574113 +2017302072 +1751301059 +866904361 +1376830296 +1505197619 +370813225 +795380222 +1285892705 +778069206 +1192398211 +923817504 +2107681286 +2014865281 +29769759 +1645320867 +2053711197 +1865761216 +351353778 +1069647191 +1462087956 +609101300 +1085090609 +2017852569 +2093456166 +482146910 +1236158739 +435154881 +1080816165 +1540340223 +1265108320 +736906630 +1410158648 +868925731 +1603810991 +639505296 +226639702 +1974624216 +1434885518 +1512532408 +605209775 +479800081 +288866264 +565407413 +347181715 +318636024 +63244633 +253409264 +36913592 +414598411 +1323056456 +1499001549 +1023699712 +260663417 +1369370470 +969672230 +742810327 +458045561 +1404827111 +1823626492 +1998385785 +522451784 +413049474 +1261060785 +1391377515 +2016860465 +1900566081 +1618017218 +1844001034 +1187967951 +983065978 +301727161 +1667768032 +1271932242 +867134574 +2014949747 +1590568266 +930379207 +120875364 +1627481859 +1344977619 +1443931820 +978999760 +221193683 +1704595237 +200886582 +1190865913 +299921917 +658932143 +448209376 +2123548409 +509834280 +970661160 +389114236 +1770895065 +214555028 +258491053 +1523977498 +1832572246 +2102492087 +564461801 +668154576 +256735600 +84746186 +1940086818 +1123870175 +2099695933 +1383171437 +2054249382 +73087649 +863169648 +1251743353 +1517019469 +1842169408 +1472937036 +1074131059 +2043055990 +516319301 +1374052976 +554504485 +964528678 +1350117737 +1064338766 +1935189838 +1739231973 +687750183 +2261218 +1997723027 +64244034 +1834833464 +1952731466 +628705835 +355504392 +61983419 +713452021 +148107563 +1185853594 +665664307 +1531279000 +1092619328 +738751956 +246965000 +196879034 +108287778 +2089134408 +1669816070 +1182418837 +1984706750 +38651724 +408988165 +391727587 +1003180402 +1759105902 +1456066353 +790886592 +1350854228 +2143816537 +793147811 +1201093607 +60576923 +480497627 +1006341425 +689282758 +836002020 +1068324844 +1402734780 +984109583 +106694790 +2068399087 +367904935 +1199314119 +659667395 +614869935 +1396193153 +767955173 +556520695 +918525575 +1950374010 +393743797 +957177299 +211878527 +785471384 +1960357701 +1970984430 +94054090 +603760646 +1174355010 +90386979 +1396908457 +227964969 +150963902 +1877406084 +1234306394 +840246660 +565924456 +155147591 +95497792 +1550034039 +261842381 +16413231 +1917938974 +1461156500 +676080627 +385325261 +709866005 +1444035800 +941845956 +1628391581 +1246926163 +1335589753 +438085232 +1458804690 +2121061138 +250959286 +1282305472 +67631580 +854719932 +309176834 +158018559 +104144741 +537141803 +308982461 +1981550825 +1771448198 +1149229121 +399991634 +1926595789 +1244726914 +1950025673 +40954522 +1261140145 +1720481000 +1502111023 +1937220772 +2105806261 +64493380 +1233772925 +900168570 +1692884961 +333215440 +88274675 +2130970194 +1792020130 +61852165 +234445832 +926841955 +129483745 +1089165764 +1236018789 +287502304 +1193310505 +1773160593 +596484765 +1027377682 +1397125143 +1745713887 +1427369316 +1176237284 +842957153 +1229911342 +1217191806 +2104097298 +802908694 +571819181 +1893834423 +761231307 +636312562 +980123700 +1661399877 +181713875 +1313339140 +1749674553 +165200421 +957875622 +1811526718 +399646253 +1884717577 +1941010464 +1488812017 +973252719 +81029120 +534638874 +598929664 +677513886 +1562016557 +1996054807 +275744125 +841902225 +1024808443 +1118701278 +2071813567 +94516601 +1075314928 +727238613 +666335783 +821665703 +1488469921 +1302648345 +1801789403 +1002386150 +1484362220 +967644895 +604577055 +1649562642 +1925520518 +268620126 +2049208895 +1662754447 +62146942 +1390537265 +488523518 +143176062 +1925176139 +1087453182 +820689948 +1339709048 +936024341 +1096434073 +34127626 +1960832784 +67651703 +2105941193 +2055349386 +1142966632 +685696159 +574201521 +1964632335 +26682432 +1876849866 +1618938091 +1029068582 +1213728438 +439099338 +1633645638 +715807432 +217136208 +1902265764 +617532680 +1879890656 +1964412706 +2008069945 +220930526 +2107588768 +1785762436 +1308383709 +780795069 +977987837 +96924402 +1877229142 +1012115463 +2057757187 +1944880846 +970573008 +1965622925 +940363830 +1656269167 +392340798 +757512517 +1682951599 +121707016 +228966960 +564536534 +1335435454 +668066299 +50698524 +2051242887 +885202507 +1952964288 +521291919 +617609515 +1769893346 +381878216 +838540042 +1729998466 +20157004 +2146923751 +363309887 +998144841 +96364505 +93055382 +2010260304 +6638044 +2037936228 +833349665 +1972260969 +830816410 +342135184 +217118119 +1588328927 +2025086784 +338825135 +1817295888 +442139670 +1674260590 +337878539 +492838194 +1578019829 +1223081046 +298318834 +2099311748 +1840690562 +2068212180 +333706316 +531746956 +1650726998 +353863320 +531187059 +2014036886 +1352008162 +627551564 +2107092268 +1214784818 +634189609 +1997544848 +2048134483 +458966930 +680877610 +242786020 +676085050 +121722889 +120389156 +1014910185 +1939018777 +562528826 +541687127 +129413668 +1055367020 +2119706956 +1352494715 +1353685854 +2071535056 +1045701629 +1274414386 +257757724 +1577448585 +777657736 +611621045 +2108635644 +644210974 +1963629207 +588703560 +603819594 +1030930377 +1222893169 +453880794 +931581213 +1681860100 +1134758404 +1174367233 +210461502 +1256481294 +1294756389 +1225371687 +1048016423 +1857285215 +1767058815 +1177430092 +765168587 +1739282123 +382441159 +2118854441 +1663333532 +1428142788 +1245785179 +1921091256 +858107725 +2023442915 +385228653 +819259721 +520170242 +201374212 +1407963281 +1123989836 +1232304590 +483372803 +1577870631 +16402155 +17749255 +565145387 +1190769388 +228210757 +1821626681 +338042129 +1453582444 +722159457 +47843696 +1073157611 +1899589549 +813012283 +664956087 +134547060 +784383076 +180805971 +1562689848 +2030168255 +2101897227 +273313925 +1906127522 +339642233 +1092573646 +278814116 +541016445 +353053279 +1402803953 +1773321035 +836426082 +833190936 +1789723190 +854175337 +1398336323 +833008930 +1082386094 +1072479357 +1171051059 +388484891 +1794638814 +1218894755 +1461642502 +1546744715 +2031907038 +2126598589 +1681291775 +668806466 +159920912 +1096497975 +551491073 +114334492 +1369811900 +310134948 +453976725 +314901898 +588949064 +994993170 +667955177 +1991753017 +620830558 +1504381260 +677460305 +263070100 +211072949 +2075796629 +1096079031 +1293459044 +1000792338 +119646442 +1681943935 +647947504 +1338541198 +996102789 +47208571 +1222964588 +975217731 +1728500346 +1891771055 +1135138643 +677514673 +295778480 +1249473135 +2047326573 +605913428 +1703449860 +214744823 +1194862493 +550959383 +882700000 +1039131862 +1171789941 +239597612 +1716592168 +1434860041 +450670562 +1644905149 +383455424 +1744129606 +498213839 +503101867 +1278589893 +1146161343 +1841643065 +127209034 +1193369914 +917124005 +1102426765 +774386612 +661411412 +90081761 +1451901285 +957189893 +1339554896 +1351744210 +1563103321 +895521109 +1566489033 +610482166 +1446480492 +301705385 +1649614029 +470786785 +541302998 +1218722549 +1905646826 +991973560 +716144050 +141618603 +588619518 +1214357889 +644720470 +1867209411 +213035584 +338879887 +1994418445 +1406405498 +1256003892 +949361563 +33308462 +1917415305 +1039443324 +1485209747 +727121550 +231514572 +689470309 +142741223 +1127035681 +108475694 +753223390 +426032525 +410181079 +255353771 +896819310 +951484077 +1474076320 +654982489 +1943457637 +42736722 +796601092 +384593507 +1257094611 +1441321562 +104319270 +1470130195 +1780201449 +2098737716 +729052045 +888721693 +900615631 +762360507 +658653350 +1940058955 +100086606 +1385774900 +24089879 +789556915 +1528516124 +1151125561 +898032609 +134255866 +1577158086 +1308213688 +389609637 +326493749 +112214118 +1863685957 +981476238 +2055671755 +1906422679 +1778077330 +292781615 +1016033642 +1071915244 +397100885 +338680189 +704633045 +348354953 +1067732234 +1593354738 +1248970584 +1830092741 +104524441 +1041545891 +1930179347 +1490299341 +1065635771 +572252614 +871331817 +69277684 +1470285223 +1005587683 +1646435770 +631015263 +1395197320 +1972929519 +743229381 +1111399629 +806922109 +651417489 +870338660 +437515791 +944199104 +1886372302 +1509431035 +1341299989 +77568843 +66580432 +1689654943 +1145301077 +1659935171 +791141879 +827910170 +1764459612 +1832687771 +610605869 +1107275305 +750839894 +1182858483 +1978607123 +820117578 +505660058 +836711158 +319069700 +1136675322 +84424831 +144515572 +1879904703 +1195824460 +951437681 +383838544 +2066163121 +1388953473 +1328037648 +1805051775 +750900860 +521853990 +1882620619 +817481293 +64025285 +880438048 +329932816 +855167164 +1708348219 +2094392428 +540371287 +171470440 +1054184085 +1291211181 +1354328924 +885307560 +2111328759 +1859988982 +1722018719 +282914812 +849180656 +1806443550 +427430384 +581601712 +854784362 +1378868065 +965440256 +773463835 +620337890 +145994257 +431031963 +1371238751 +667848247 +166168934 +41236396 +731873532 +1046606982 +371169212 +1587040696 +607471553 +318077992 +2127411984 +778941994 +1372262077 +1271139517 +2133270918 +110085990 +1234984629 +1845776252 +1832104709 +1517899441 +547473261 +1491064611 +1945329825 +1129074973 +198365325 +1176714242 +2094515229 +971829161 +1797052133 +93025838 +1402861124 +1020807236 +760874085 +1569030058 +1062043632 +1492747617 +468153392 +1433212844 +932304666 +1075624946 +1751290836 +912233002 +1854566940 +976069265 +35888871 +1840354210 +1086155255 +1270873500 +1538646814 +770776316 +641289293 +2086120075 +114357279 +439135470 +1067711400 +312722605 +1615849713 +1014742982 +1284551766 +1265418198 +1107768820 +539929242 +138741786 +1868642906 +2108959300 +1200785418 +1213906875 +429629044 +486514614 +2146211541 +1505253990 +90321802 +910960895 +1212337282 +1066391067 +946849767 +905207844 +5062675 +70239619 +296371011 +775838991 +711528913 +235007438 +890196271 +1150664383 +1302718839 +1202918876 +619030448 +169978173 +339986994 +1884448646 +1277746993 +879916236 +2023190432 +998906251 +841391888 +1076492202 +65329479 +1271020932 +1563006816 +64057372 +628791275 +1653328618 +975018268 +1841128557 +572236038 +1921868035 +598852754 +577298713 +1992107654 +895223765 +1353137704 +556152919 +1130231203 +95850327 +1706817303 +285466394 +1298769203 +178364103 +455444567 +1638756197 +2062812750 +1733191561 +371188785 +1938519534 +584614164 +1212580673 +867528089 +649943643 +336117958 +283051257 +714001016 +964909233 +1936379876 +1689019284 +658554142 +361132266 +1463403671 +1257406896 +938430979 +1308027677 +5147013 +144085035 +1864180597 +1135378217 +239935363 +1423514252 +1420844611 +1538704566 +1601878355 +1876289179 +1029977116 +1517207457 +1461997092 +1401165901 +1308243344 +2046611256 +466262927 +28287785 +549071252 +802380885 +311339042 +1263072268 +1767290118 +100235270 +804607904 +278360612 +461367536 +120527927 +1535767509 +1399798515 +1428555604 +1540914522 +1543883551 +1145252553 +528809091 +1783818914 +421283157 +1949653703 +1175039832 +2023161513 +1678459234 +57533300 +1392885322 +992972678 +1458699202 +553645018 +892100286 +1924962129 +581932803 +1441171538 +579859366 +893271846 +556760158 +199665836 +993507116 +1361368062 +478026448 +1454874653 +1481895989 +2013793957 +707189520 +762967946 +1407224832 +103589423 +1908220499 +1936033923 +1887408337 +182020009 +1738203978 +914964522 +57697874 +1269179564 +972497822 +1450583196 +114668594 +283713376 +2004228215 +1006768881 +61191857 +438677370 +300456771 +641051223 +1331949216 +857216930 +840717059 +177972685 +71101344 +1318743508 +1632847338 +1552997334 +1185053817 +192553210 +168481632 +444795001 +296142634 +2076702131 +233345277 +36067323 +111238492 +1971549255 +951031845 +168936366 +1093245172 +1923529668 +1619519563 +1207913766 +59759396 +1476264130 +67198999 +120951254 +1914941500 +367655771 +762002477 +1099407069 +1224872701 +1602719537 +1277379754 +1295974045 +773979397 +762743444 +701487731 +1959033214 +955296654 +869969363 +256344568 +1251439288 +799187847 +489689845 +1287506612 +910426339 +313755452 +91054809 +1079362706 +1407000624 +2014584477 +551398621 +467430743 +2074343874 +2027662751 +534629742 +47811480 +1795120603 +902285513 +809813957 +747044024 +2127158214 +265049846 +2024423778 +1275648612 +1039029243 +639683574 +1977136343 +850578810 +1594980229 +699622059 +1106923378 +698935869 +1498809906 +1596613223 +1986442481 +261752597 +1910368675 +2077497291 +1341115303 +1169885652 +1944598120 +1892513924 +1637316395 +1871458346 +1772693027 +24462489 +1919269826 +1420329983 +926748003 +581600136 +19890359 +906422569 +846649982 +2044314138 +34587533 +1885679226 +536514064 +2011723877 +588774388 +2131494293 +563862288 +1695697766 +682946515 +2062672194 +1144827341 +521905348 +176941143 +907712368 +451918991 +1518056447 +2077598020 +249033464 +1263086723 +1567430767 +2120491810 +888296103 +1591893257 +1892277989 +161142438 +371157612 +326394477 +181032797 +1277580181 +1173044459 +77863287 +1312167715 +911240037 +614377352 +1176407944 +1500014425 +598387997 +1740270232 +1048228543 +1281334512 +1655458778 +45572236 +1803239861 +1832399921 +953284605 +107675204 +1202972720 +883398977 +356708668 +318575796 +303346097 +329716831 +1206871899 +1895239354 +74511172 +1368014337 +118913318 +400905649 +1549047134 +1396493499 +1573950108 +1626910422 +561177566 +337706498 +93804126 +1737585510 +1837720923 +692192123 +1330372094 +738465819 +1973526636 +838347224 +784038055 +1629282849 +523263498 +1737322660 +1736958053 +1726236218 +473237990 +2093666722 +2044812014 +776584087 +275899905 +1104200265 +524339793 +350411077 +324730954 +643253111 +751316726 +1873778089 +2039746610 +177783186 +1353204863 +453440529 +515489684 +1447008989 +43542391 +205726960 +2139201112 +1373914486 +944192779 +1965244100 +64778062 +1728230834 +1447043301 +588041560 +1318069847 +1036517707 +166794131 +1791307837 +982700781 +64122497 +420408276 +1258600686 +1168322763 +944748069 +1609011763 +1493053717 +1588001180 +212844841 +1219348158 +1480264142 +390628027 +425069373 +1933704671 +906117712 +1872078362 +1977247063 +1111844672 +1863795827 +1203677901 +2056037451 +1681556279 +1268455963 +1636784637 +981115933 +1856497524 +807370836 +2017633640 +2023291655 +451195025 +852850773 +2087414152 +871603301 +2111451459 +1108253267 +1816351370 +1572979574 +453823337 +1256868902 +1785824415 +1673171495 +589649397 +28968794 +2098240869 +375870420 +935086506 +1822835583 +205633835 +2046931178 +1539147762 +1409311736 +1955484981 +1073220394 +530284052 +1444785971 +2054336327 +239297928 +104673159 +1924486319 +115105935 +555868185 +629853444 +55036439 +1427471486 +593821255 +1163289707 +1096339209 +19317181 +1617113044 +205724463 +1805141596 +1142800891 +795373860 +1834110390 +1093558112 +1171244281 +621713249 +768910048 +1376878116 +521160779 +160574162 +638706205 +329162113 +1233794556 +1168990257 +1773948084 +1140647235 +1408288185 +1878621243 +917649906 +1523394120 +287005780 +1547503350 +1578430559 +1714477267 +2141324605 +594236618 +663332828 +13158138 +63866014 +869057291 +1818299734 +1206666906 +1664431152 +1504926477 +152741370 +688191785 +2126639726 +921651418 +2065069901 +500316857 +1082225581 +556292458 +829478970 +168536489 +1725282715 +455943406 +1309183725 +986087252 +187081002 +79349983 +361997724 +474086782 +1626853334 +1940428284 +41080401 +1620694291 +387181254 +704413229 +1633852430 +451047269 +1573470521 +1304668516 +1657714175 +1090418025 +662111345 +1810455545 +1778609810 +641267423 +584623316 +1696196063 +1141584281 +1666848897 +105004874 +1971063251 +1835385386 +1830287589 +279523010 +997085463 +668891194 +466604012 +1076435447 +1030888918 +940690794 +555805133 +823833554 +981771196 +29015776 +1211014809 +1686184425 +1662868206 +1662062078 +1112171298 +820053075 +1172292605 +55105675 +1482164420 +835264502 +1833715485 +2123431844 +1419887818 +1382427901 +1117532477 +939253067 +1487432775 +941112080 +627154806 +1170236716 +1220635090 +1624240269 +1839127910 +1687239102 +553192068 +722533181 +480446249 +1108997201 +1546366735 +1462217445 +1138012978 +609897896 +1000918222 +653397536 +124476326 +2113089521 +1473450611 +1296768931 +20711548 +808131384 +2132033434 +1854427034 +784079580 +1404437604 +1089371287 +1901612057 +196207024 +429320414 +695240489 +823361830 +1599557130 +1915875580 +300118451 +1291201393 +1455631034 +853310520 +2013734574 +1936077283 +1962307721 +1412617661 +1250811080 +952837051 +2022515558 +104245655 +1606234588 +2146991884 +69851528 +932201551 +1296277168 +90563076 +1740332935 +1280826954 +1944990110 +376928867 +537780910 +886877749 +131057276 +733987934 +1316198163 +826297766 +1557349764 +768271646 +594689698 +1857468216 +2059473039 +2050320732 +563295088 +1925723965 +1838914368 +378119161 +1190857978 +942241800 +1330956213 +1065889888 +1046487455 +789707153 +1065398125 +1116338983 +1721908704 +214191645 +1206902060 +1314757992 +1495018599 +1004408522 +1691686859 +2032799509 +1891286272 +1822744136 +619303796 +1060000787 +501558254 +29169912 +1828272433 +1096247952 +1886638128 +1740261824 +999085036 +302449568 +1518502141 +690515756 +680568730 +561876472 +1632757557 +2011524943 +1627766360 +531761364 +653748448 +545680837 +1648100348 +228173504 +759872482 +707518760 +1542931496 +107407433 +1711927282 +1087134708 +2140206943 +1455729906 +762395196 +612027091 +368247046 +1263953450 +641197003 +49035831 +212717754 +380351484 +1789297656 +1211802790 +682801052 +1160316149 +1902318547 +1363369782 +1722192621 +1387592456 +1227411077 +1202475334 +1919353820 +1881159525 +1748156171 +1419970520 +2109333030 +360545006 +2127489280 +1504780878 +467952439 +1691932915 +444431938 +460675734 +1000179173 +1206827134 +1072702825 +1368426219 +323296936 +1713899829 +1417462051 +536014690 +2094251313 +1059276059 +1747817481 +629568717 +72108560 +1502652380 +1992938500 +1794301182 +742761188 +1072865929 +849292868 +514631360 +806541807 +449965391 +1934601881 +768391189 +810510397 +1914607513 +125688419 +1278462837 +1459056780 +570120358 +1739138571 +311752306 +1776947492 +664357749 +1680178525 +2100244429 +230773930 +950156928 +488775471 +177541595 +2009432987 +89109304 +807110312 +2081541548 +1591761684 +652565164 +1728359082 +187039224 +1725431094 +430168302 +701670585 +384489253 +880133693 +488788818 +1152880442 +1690644091 +255912683 +1278568861 +821623280 +1714969464 +1848689219 +413278203 +2026721770 +1478153064 +1077635952 +1559416647 +1430913845 +1308409882 +362089928 +1919689316 +1485951477 +224039267 +2008798621 +145578142 +158097167 +1453076657 +798143306 +1886456249 +1640115882 +376090752 +169140903 +194302819 +760580005 +1049274597 +683091637 +1913460447 +592435040 +939004320 +1044545661 +1414058320 +506490136 +745751232 +1827336523 +385728258 +76420648 +757488828 +1945144906 +1507334493 +2065898710 +159751186 +1279540162 +1404366540 +383790453 +1140855135 +1549944682 +541887621 +446448144 +200604340 +280860222 +2086564026 +576695093 +450001126 +133383197 +1337275098 +1499275723 +816474834 +1103251898 +2091710763 +1755479155 +313911 +1358285435 +114485643 +746065143 +1038138310 +500213902 +822485792 +1795627138 +297875160 +182336637 +1714042201 +457626346 +1461876799 +970925093 +841416799 +455248286 +373386127 +1383304420 +901696431 +573990467 +1664164643 +840776809 +1150685560 +2114165769 +974160007 +340477011 +1465957844 +1790634841 +1443728909 +1410184959 +1398630348 +1444042820 +620986746 +1513115992 +42624315 +1659125056 +2013329894 +865110107 +1307268547 +163721406 +1047446745 +873827100 +621347752 +361839896 +1844752193 +1462764551 +817088183 +70654672 +698585324 +1718784614 +644645139 +215266319 +412077775 +1795330700 +181948440 +1386237782 +2135807711 +1647906284 +1029388976 +1432052972 +910607595 +280535676 +728612144 +1531594341 +1793651668 +771236459 +1043235749 +1659497914 +1636346567 +203020648 +1823219320 +536309664 +1076847748 +297083424 +898149560 +774116293 +1759847976 +1715237743 +844770965 +310949652 +1286538709 +1489416105 +526215971 +1698616485 +1137263157 +708164411 +937370619 +1125587220 +208587047 +1966759595 +410156544 +1119194642 +99811624 +1138768688 +503305335 +1893463292 +1910005147 +1546541084 +1405477559 +1398868066 +1749561733 +1081213231 +1935177730 +678925833 +1378296656 +685843643 +1453042127 +990660984 +253597738 +150329444 +1301610636 +1540136448 +1639745549 +1827826607 +1091269285 +629525058 +388507370 +2028639904 +1755112278 +597094417 +1847915852 +17785174 +1716289059 +1947727476 +1156553862 +72110746 +1693707120 +919075362 +1618651830 +951701031 +170459780 +1220729915 +2032914263 +2105637511 +1899655749 +1263727271 +643997506 +1205214228 +106904607 +897595244 +1355543672 +1408515243 +290248044 +847805574 +1088858202 +1381517329 +1477330632 +1477365572 +1262673586 +1084959263 +2074459989 +963105790 +1102744437 +1643265400 +763349618 +111814652 +1715376146 +309573090 +1030890014 +1186544328 +1261274122 +1201349794 +259790596 +1146704737 +1159503657 +11962697 +262948360 +1803501163 +1217176925 +369852967 +553612760 +425236949 +1778368210 +843860804 +1273042523 +719742764 +77894486 +602889508 +49624688 +1340568072 +1687848771 +2124084677 +156190214 +643109560 +1619866429 +919539832 +754924212 +1187758927 +1229112922 +1785814226 +226819607 +342903396 +839680373 +486610203 +1489608133 +1999184030 +498572900 +1752556493 +1655201546 +1715749825 +2122409460 +61330658 +2140986775 +1753294022 +905191462 +1266545650 +325553138 +983085948 +1869435158 +375177826 +176170372 +1409800281 +351778855 +332360586 +2052909842 +1971645284 +1251900418 +660350406 +1011920563 +333529693 +298680985 +1238740171 +676433089 +1138361358 +1725350374 +18557575 +990061740 +76439627 +1771114068 +497779638 +1792189452 +1746039881 +559110296 +1785692579 +1351850255 +1464301759 +904754582 +1677403394 +299904059 +626706092 +2052581220 +476074432 +2036506374 +256876428 +808435018 +1941932568 +81038064 +2060335437 +454799326 +1092958628 +246381482 +753480311 +184215151 +922814571 +1891841669 +1909565525 +941372146 +734419762 +1986005152 +565002567 +1232199400 +1630710957 +163558800 +1791309697 +1268919888 +1515409055 +1108127808 +26190822 +1045328801 +1408031867 +652896915 +950426374 +1884106299 +541919641 +1207302802 +545057670 +336368561 +1288340866 +457909459 +791167887 +233815846 +704290941 +1544648199 +418030997 +1627105512 +1289006220 +180112875 +420994011 +2023425982 +18634379 +985996578 +1108141735 +1649345336 +1149555378 +751967784 +770781577 +517480785 +1860095592 +796972399 +1562809587 +1120643811 +1449869314 +365752313 +857266463 +1991788955 +1573055115 +1402324133 +180673868 +713912333 +1860233592 +971841756 +947728180 +417040885 +369006307 +1365759177 +2044146397 +1658012527 +1545872052 +317656760 +1533954862 +1564506432 +1303653338 +494612949 +1066368120 +305725068 +1246580733 +1837149697 +823205854 +959192677 +486638449 +238531793 +2079836488 +1936507763 +604284106 +789619303 +1780813071 +29855573 +44459788 +1961486939 +743767906 +1904693380 +785845047 +1691496086 +174250617 +1154851354 +909771616 +70913367 +665380234 +308160020 +388570127 +51851448 +1872666452 +1692223466 +546464397 +791550925 +1997948534 +1793045130 +481216974 +673670740 +604754159 +967855423 +912202533 +537106999 +756879539 +1516486639 +1326726303 +390208962 +1546342212 +1371186091 +204212253 +142626471 +1128395824 +990057301 +1834122557 +1302646441 +2144908655 +596410525 +1373559808 +662805241 +904570546 +1762129936 +714656689 +629753350 +1306869754 +1261121086 +1421304275 +1157334640 +906682568 +1902521250 +1831005381 +1511436727 +722893025 +595724266 +2048543727 +1479772564 +2112210906 +1227786382 +1869981526 +1511069470 +451488825 +2074193780 +1653695941 +1579884649 +916767433 +1340334851 +735047443 +914192440 +1936745376 +2108607251 +1576997682 +693832274 +1723253539 +144170723 +1323585625 +882639645 +1405291810 +597406252 +2039974286 +164490730 +352443854 +1723496019 +1675927458 +1075336880 +171736637 +1576987537 +407625796 +136463895 +657290271 +130123675 +1647533366 +1108779096 +56833807 +1153745659 +541180098 +973601240 +346596862 +1276227541 +1887793680 +135858591 +1237351144 +1317307714 +829690865 +813121036 +1461478438 +5792842 +1695760681 +719286600 +603199095 +1588251319 +883777330 +955642949 +1164263690 +412221140 +2030979829 +1336000328 +1989208677 +291121978 +1472464223 +499015300 +421245653 +972513941 +1607794397 +478079460 +2126259601 +1490847 +1451680700 +325372815 +1277718388 +1191990732 +461231406 +367585884 +361814799 +1290922272 +1180706920 +1823293237 +1296715114 +728983954 +395096189 +1899914209 +169751625 +1278873519 +708073511 +1334015316 +1691094660 +591569692 +522531996 +1532819689 +882691670 +1994996219 +2031834990 +1303937323 +820026513 +1492145739 +1782016783 +798802466 +1493636586 +1086213835 +1124175281 +623871326 +130720920 +1585406688 +991457210 +492535719 +728845312 +24680483 +168345308 +2025560426 +753664437 +563441497 +1777990988 +923416062 +1842315016 +338580851 +109947730 +1385926028 +930150543 +632479726 +771262070 +1812842214 +479992298 +655613412 +969295889 +1300018811 +275503 +603829025 +2098821277 +1493912089 +1690042860 +1075512910 +2117783415 +1820763780 +513435950 +961756977 +165815851 +1242281262 +986437460 +334161159 +1120358041 +1740101897 +897602656 +750865381 +516034312 +592434025 +1089446232 +625982042 +1978360053 +2019596775 +1258461769 +602138475 +1684955341 +1738454067 +1257751887 +506767583 +890989230 +1258027390 +1110596608 +842326859 +604455831 +653155820 +1917839769 +574755598 +326435953 +283792072 +1536512576 +492251804 +1526073334 +375466388 +826412964 +498947727 +2115568286 +1724015620 +1249813108 +484118950 +168965997 +191775692 +1110100992 +2147326051 +63888820 +221079113 +601980878 +1748844161 +1959533180 +1859732766 +108128096 +703038762 +970276508 +1218724704 +1545365621 +1574732340 +1871880525 +1315721743 +2004290 +50832830 +1599513815 +1538516866 +543084634 +978103501 +1913983255 +1369497598 +1477051229 +1882067893 +946029571 +579380689 +218703195 +1114995568 +771156382 +1328804187 +1114837971 +835045202 +1549883301 +1716818850 +436405715 +1361932833 +1429067968 +544533812 +2064971596 +251860828 +1763258516 +1462853569 +1826593168 +1487655393 +631091664 +1828597459 +1538488223 +83121831 +1219630677 +2081572858 +1061225333 +986130284 +1303586808 +390792914 +720714529 +102132731 +970173603 +939417724 +1217128300 +1741329985 +120738264 +184482623 +428891539 +1670621565 +1901301473 +865297255 +885070750 +1182885793 +1409831067 +802558698 +1434746622 +1025605935 +117928620 +1113856142 +365777681 +749020284 +794969953 +1904265904 +832142116 +2014600631 +1838355114 +1893367449 +853247267 +994458275 +136676715 +1573961797 +1096591006 +1106850318 +365895873 +166235658 +700696656 +486634137 +350718282 +1129588195 +9772054 +104536107 +1994885450 +894842805 +1287421901 +1257232869 +1697401503 +574684875 +135355157 +1815330123 +1688541017 +501132838 +416866760 +336027323 +257915094 +1249008876 +203144306 +2096270209 +994892677 +1056391573 +943244836 +1131569392 +482869722 +2039835842 +90936062 +848765596 +58587853 +791632718 +1335399733 +409306135 +1921220914 +1345171788 +513842242 +1768622716 +92530945 +1801264143 +878371938 +1789932448 +228465370 +1013727095 +1457778924 +1917006388 +1514859933 +1874645684 +105550063 +1772775027 +976170912 +308694369 +1721561588 +1971063589 +1365085942 +517322776 +955149333 +1847955665 +409674971 +1046085395 +549237613 +468262824 +1837718114 +1884637346 +877568959 +1611455380 +1082325486 +1391411201 +1232594448 +1174856431 +1045191697 +2110966386 +817305232 +1273657067 +977209833 +127600508 +1043179807 +344586118 +2002246192 +1148729870 +2117361146 +830933456 +1457424239 +1691439086 +654513397 +675026534 +61278215 +1609662730 +375498551 +470953186 +508264477 +924736164 +939216010 +198498943 +661889862 +1816784969 +1809954323 +1744215349 +1060712522 +895065124 +771588132 +2105904219 +858547862 +1588893364 +1232077639 +1835757696 +1716493872 +127773798 +32860166 +1571256416 +1276503669 +2737664 +254706224 +586444260 +1694176751 +909219621 +1261470794 +1755454966 +371398703 +1636969345 +78924504 +879663181 +414221861 +1018140514 +1078162124 +1076111724 +687441835 +740632800 +672843425 +1748154357 +1635697924 +1444431557 +1706574929 +346762138 +885841274 +791168920 +35036186 +454851498 +918942718 +67896353 +2026107915 +47962739 +70634017 +133330491 +634407000 +1764810768 +1042550113 +1895877794 +1372782086 +1413948816 +1385363492 +1451706590 +146128349 +1799585353 +322363456 +1224290474 +728213429 +1009805291 +1964923274 +1401056854 +610476001 +1453137550 +698004764 +169567282 +1799899688 +1583846038 +960736202 +1834935875 +2038697536 +1879678920 +1902832228 +1917321803 +1927641660 +1973466245 +2050652295 +414565012 +1590793366 +945718760 +162959158 +816091804 +212183928 +1548322650 +120314747 +358312278 +1200424356 +442678203 +1582602752 +1928637785 +1452483495 +1400042378 +1182210992 +2062959496 +705696280 +1880215756 +85043130 +358112320 +1316578146 +1045779332 +45564547 +1207792034 +777974604 +1948396775 +977630190 +558132616 +1774379373 +880798837 +972697628 +1217689091 +1826517597 +1135656787 +2033780895 +2038701525 +536495789 +6611994 +249530155 +1736920145 +449290198 +1832132907 +1518074283 +1901773693 +1084691637 +552801627 +1817249541 +1790387917 +285533735 +1902292671 +1016590 +1602111881 +800588355 +46581137 +662420267 +1578562959 +1994977913 +1640050457 +2136695576 +1621873638 +373365646 +961909556 +692079081 +52399595 +2097566343 +578376328 +2091101121 +486578485 +584988323 +193147628 +76014982 +1034278521 +2025280536 +1594089265 +788568566 +962488525 +2146890892 +458334459 +605392795 +284940979 +213143482 +606409385 +1887052860 +1013731837 +652990522 +401989480 +444811148 +500484787 +2042039937 +434023076 +2122358425 +267921936 +1395932633 +666953858 +320321531 +1346015328 +1245330187 +263939004 +1832593813 +1830318510 +457086633 +1908608796 +717113383 +334883521 +1355214413 +1505681949 +1297372046 +1354621658 +1964016408 +1902764841 +1639562637 +29676242 +361690578 +1379131850 +1043408079 +1014681101 +1781121330 +1488219227 +1515165888 +1675677619 +1922242304 +1490040666 +1943599555 +1170691289 +9510876 +116437439 +369222969 +1254841063 +380376443 +54333135 +937675925 +837463076 +1962941931 +1654789308 +1172346597 +1170672696 +1012987609 +322234996 +377810706 +829520369 +77516189 +2017373344 +859196611 +439206768 +1249021546 +1902604690 +1453887869 +882659228 +1243340270 +821570109 +410853199 +1018098926 +164127127 +206969107 +41306567 +173638004 +323406546 +410529536 +1428479067 +703782989 +464862671 +218671345 +1541246066 +280320954 +1873460653 +566109015 +1450993651 +738964615 +888344011 +1828804357 +1568484984 +965860201 +1698694053 +280197948 +1405066969 +800231951 +35318990 +711471190 +1682891179 +1278659260 +1533041299 +2093744379 +149274538 +1697168427 +153229838 +190581105 +1870806431 +476636384 +601110642 +1151801850 +1180419373 +1065973313 +1370473195 +574181791 +1346294268 +1096450201 +1140290807 +649804271 +1835414816 +2028634818 +331124980 +1256416152 +847011371 +2029819034 +1536614100 +104594692 +682567337 +1571933091 +816065882 +217974869 +703108703 +201623534 +164235600 +852383242 +1898791961 +317465438 +1042964347 +1622114744 +794101822 +1644074989 +626432946 +1974521195 +562564655 +1996906142 +401219339 +1908858923 +945872695 +1541510146 +411179546 +633803863 +1422661316 +742304526 +1890220015 +122189040 +624639912 +1279350468 +226783732 +1307207250 +703799911 +1042849615 +1525182119 +1406908614 +1244473149 +1689417719 +111808208 +995781462 +2006883157 +1154772556 +470412558 +653501331 +651363897 +1096845504 +480538878 +1213928552 +946267998 +881758217 +975303827 +1892140693 +275784715 +1386483373 +378460908 +1698446032 +2128787900 +121197276 +1820635072 +605944164 +1400547744 +2047418804 +1913151414 +2104347655 +942784771 +1290849885 +1363772621 +39774272 +832783956 +1475580830 +1035555734 +692183465 +482869738 +1505968292 +1345684796 +1134233635 +455330149 +1826223675 +200678540 +1401598147 +560498244 +1175982367 +1146255193 +836282960 +414982093 +1524716101 +387245344 +396286345 +1645913377 +60396768 +1002230509 +898977473 +2107815572 +767898276 +855841480 +903116696 +2058748161 +72130454 +942890968 +744048470 +1547711284 +1978446703 +1436231935 +2030581022 +1336931347 +634433084 +1017331009 +1792261496 +313173111 +1218009549 +1046375996 +873671355 +246508269 +45147541 +1709954315 +661490362 +1569863642 +2097199659 +1057776707 +1068293372 +10112779 +2060007216 +1967270845 +2117928352 +680421844 +675628678 +873561400 +591686358 +747759132 +1816452368 +1335734828 +147986768 +1647415423 +624483115 +31084142 +836863123 +1258916199 +1048415151 +481640971 +1572089310 +118941053 +1528016967 +298277018 +365449322 +1573164508 +2008231333 +1026939684 +995544503 +1957947345 +2084716391 +2063837875 +1968060124 +1997239959 +1883625072 +1938504828 +530178156 +411770102 +664582580 +1121864514 +1159529234 +333551301 +310115694 +1307516002 +1980966724 +934598809 +1338600144 +670346199 +46031361 +239531648 +1151987171 +1618120671 +358472701 +532520490 +1916397689 +723922023 +2105684999 +1777145375 +1750861707 +953745854 +1587609072 +1688094450 +870100081 +1408185548 +1537850761 +606241505 +1199206729 +2068028917 +1018011608 +1863789309 +1042409783 +30057194 +49856962 +1352525477 +1337573197 +2030823687 +139640639 +528689693 +553686238 +185672000 +768221341 +1705673409 +1803792671 +1126694042 +90710252 +1572706713 +1850616065 +48911603 +1202368440 +1453994124 +1002657457 +642493864 +994604926 +1872757538 +2050679412 +384972040 +331515395 +1102402493 +305517309 +1349527003 +818708155 +1347927093 +1379584198 +868565117 +552968922 +569673747 +751905156 +692609561 +1098363440 +1305591395 +878281561 +1866584782 +863781156 +534590585 +845795176 +954491408 +2107297298 +548927594 +1003403011 +1162182090 +2002921718 +2006060468 +1804675954 +850042997 +1731334358 +1707871718 +1235015037 +2062849754 +662790564 +1540532346 +1264893109 +1481498719 +740975791 +496993659 +202580188 +1293944714 +1066667406 +954485345 +1986554275 +17547199 +112593092 +717352189 +1884131981 +976374248 +1251942774 +582443509 +1930865657 +1211756424 +1131371103 +786785020 +226454866 +986809174 +645361841 +2031130820 +1836852171 +229212551 +1591518890 +924383560 +144578657 +106825806 +317432258 +1409471767 +1588324525 +1058408050 +1906465426 +1790904714 +204869116 +825649185 +597906411 +43939743 +843196384 +710499503 +761291932 +579844717 +1686873751 +2013234706 +1162288226 +1470255760 +1077507482 +146175682 +109557133 +1303962348 +1132984856 +754918974 +1187609520 +822353379 +984131525 +631644763 +1746736939 +1128710183 +738470569 +2064169197 +390698302 +179311447 +975093599 +149680080 +1970216161 +1179962715 +975329265 +420638924 +1223902459 +1818525649 +1131138427 +1985194391 +250886718 +670528530 +1850945450 +1413174945 +2140784291 +780969284 +1559350627 +102857776 +2084931633 +544851835 +857776750 +1125057505 +1367205214 +1841908275 +1756702268 +966458505 +823134810 +347689190 +883144054 +1213833112 +527000637 +1858237654 +1363513193 +349733150 +890716721 +191358810 +770372074 +2114619180 +2009884460 +1901510501 +1952329924 +113287530 +424555383 +1655791726 +1526462475 +417856026 +289277362 +938329454 +520713802 +226725347 +1483181289 +1378490552 +1351782853 +702902855 +1072915180 +961001473 +1669361360 +1896049990 +1308690663 +405021767 +962399455 +1835691300 +115775773 +178429000 +37940802 +1006492494 +369787810 +808312876 +973628027 +232188622 +562339729 +778474303 +345476153 +986895113 +286782381 +1871938628 +1404751139 +576059743 +662784435 +1925464942 +802785091 +2145965724 +1156471846 +7084296 +701384932 +81903378 +968085769 +223262644 +1977953369 +129292785 +628284411 +792869176 +1964984085 +744060184 +971298176 +2002924888 +1750552679 +1341085986 +663754116 +576697058 +1573274609 +1226093846 +1355171361 +1918750762 +65505311 +1641953742 +1643205742 +1470256450 +70529837 +158506529 +1248237744 +873314928 +156988606 +257225943 +880399224 +858373538 +339129321 +1848484994 +1081636182 +169599042 +1977777779 +1709920594 +962468218 +1795278216 +306497130 +1933766394 +1650719456 +2057049809 +1127368733 +166989925 +486263219 +553159694 +1393083771 +1841434580 +324426808 +1458589082 +1335904674 +1967632550 +781361884 +1406434512 +2126139080 +2029599629 +132265792 +135644038 +139341924 +1012665017 +994017576 +478471245 +713666363 +2075653758 +648070288 +543960494 +1638090704 +1610538506 +191755062 +1944587835 +1396821253 +1842474519 +1854153996 +376706338 +2009464444 +192933568 +929866032 +1255064567 +2034368148 +1254292840 +566170001 +1222789175 +1074441742 +1347531885 +481740039 +1053097174 +1229647866 +614005831 +1188741212 +1368989790 +1626670848 +35275140 +1847461036 +192853563 +2110928899 +348047676 +736814057 +1601535955 +1958586182 +928569120 +1398640142 +1207923787 +623559991 +1105310491 +1584630125 +485540787 +1298244059 +367012509 +1740605354 +1185128559 +1621305349 +159291707 +260434086 +548263444 +1506823592 +742174125 +1601360618 +588987811 +1356179957 +642618183 +1957977601 +835367157 +677893323 +1657954989 +1028220721 +641338574 +2006002665 +1765034778 +95390882 +1817105200 +546120250 +1494031024 +877545339 +1169680241 +451857867 +314691817 +1655221028 +1750101926 +681704326 +1248342734 +787746838 +155526028 +1407634441 +1048180924 +703789472 +766974386 +1790355050 +157666442 +1355962197 +999051359 +800284625 +1166456150 +1834418516 +1478177949 +676927492 +715155589 +2119516523 +535446509 +332706720 +67423757 +205068061 +878826970 +1561454782 +1082613401 +2048507212 +2013312649 +1397305218 +1556244592 +1615930928 +2079009544 +657103679 +256194118 +87051924 +2064738120 +1304375042 +790841396 +684228858 +947246444 +948507839 +2040191055 +1946297803 +1748792464 +1059163558 +1633232672 +1079486765 +1736091050 +200904613 +1051519641 +124053911 +533611333 +1118943398 +329121973 +1412438304 +532914532 +1411735374 +1313461868 +398743534 +661556944 +722222812 +2014674462 +593082840 +1379326491 +123384932 +680134765 +1296580964 +1427759974 +1470976161 +1980809822 +227522771 +272000352 +1873517230 +26336926 +2020792817 +785197140 +1659569598 +952795934 +373804542 +1860474212 +2004315575 +497858453 +246601897 +975775326 +826980426 +1659040201 +1508689858 +91232152 +825018421 +1907433392 +752789096 +1547241234 +1774624206 +1345871937 +779084077 +1898009138 +2026006702 +2075665041 +1178285465 +1349499215 +1908991216 +1405808236 +1621499568 +1635024798 +1432145162 +1494808737 +272738290 +944231113 +300121023 +646542832 +657221677 +156952951 +1144401285 +903823574 +1132728277 +1971381712 +415380128 +493934487 +2062613864 +1240398549 +253884232 +667919313 +640156135 +2028508438 +2013791250 +1419240213 +1779033929 +1892314304 +1347421606 +809835746 +1094329871 +1108929174 +68160334 +568345791 +596470324 +1500305496 +2063154528 +869208614 +297052961 +215791904 +1515751446 +954274638 +372744855 +512669084 +1858098213 +1505473132 +336567148 +125994693 +1999407619 +251697364 +1366393242 +105808203 +919616677 +2006549378 +2134316642 +785924279 +1278305943 +1765866923 +530754935 +478243901 +428219021 +1625084807 +1587173076 +496379355 +45946950 +36159752 +1996684851 +2109101479 +905368367 +146254165 +177409735 +273636165 +1100528803 +550154590 +786305249 +811143368 +2055627722 +1122872397 +937138061 +1907551693 +1374569762 +156047656 +2013359897 +146702791 +15113386 +2000192891 +932627071 +1293419329 +1618576166 +1463382006 +1771663230 +2046795187 +940983165 +1211352658 +395690894 +986930116 +1247512411 +244892097 +948547947 +5397130 +391146262 +1125957682 +279033295 +1491675066 +1676112272 +1065338545 +155334786 +1584256346 +40727294 +1092472848 +1344324391 +1415297056 +1248520504 +1210200640 +1561999848 +1263633890 +1062909883 +347143271 +409569571 +534002401 +1810525277 +33749153 +433313940 +604024795 +1245101812 +829004834 +1590954911 +345130575 +1073896932 +392019210 +350527705 +1465043194 +1517976892 +629561000 +809234612 +1046605516 +1694899545 +964569399 +483378214 +1735626840 +2057042247 +1827702605 +1003440248 +1158079103 +890419598 +417956448 +274229345 +1953329481 +765099719 +683798916 +339848235 +428141349 +717548069 +773162175 +1032166144 +1962649881 +1602167010 +475637407 +160296808 +528580294 +867656617 +510824513 +1993623488 +238149861 +1140385514 +655374453 +1284755377 +687801411 +1619943852 +1768133591 +275944603 +1529502451 +1448352548 +1279384852 +540097906 +191288498 +1697341300 +814327251 +2144617980 +314957372 +1498126167 +336982567 +743098721 +68190588 +1110144742 +1775264865 +2030840470 +564828104 +103418624 +43653630 +1093408398 +971075241 +554478144 +939548239 +1209225102 +1694863658 +1594922692 +346496831 +235181421 +1067382896 +2114630422 +511126025 +449401699 +1415499322 +1790510877 +989499605 +1606787821 +1340368529 +1803826856 +1603922153 +1655325901 +1154469375 +1940904720 +250940974 +1222659963 +903565814 +2026205839 +1106016785 +1468393919 +2129624463 +1149670416 +414318669 +953216056 +1704148560 +1353866908 +14957510 +1251528570 +801305952 +361454341 +1486709991 +1868688848 +328601115 +1997836016 +170606899 +1744100438 +1640863245 +1160106504 +1203404611 +833748127 +816449712 +659843116 +341590380 +1970919087 +453264188 +592531355 +1046095403 +1356830002 +471253546 +4628540 +677740273 +453394362 +1154298956 +1092058943 +1406610418 +710963868 +298442203 +1421567929 +1962492438 +1099748156 +1783022270 +1301718782 +820953356 +2111623386 +1152071150 +991560256 +1708240176 +645450748 +4183112 +764161139 +1479198875 +820632825 +1424004255 +1820789255 +644068264 +1877268443 +265836962 +1690163667 +1086614797 +737090509 +1694792208 +1764355071 +1190484871 +701607516 +708930366 +449611641 +1412571385 +1007372569 +1871179570 +1227580175 +2107120725 +1506718193 +381815309 +780590434 +1470857931 +1533886460 +1772150690 +1031614459 +31853560 +1776333802 +1795775598 +1511052435 +449482979 +1072296205 +1184358042 +1093551244 +802081000 +1450195005 +636231263 +1888695797 +39801866 +183539823 +1505567220 +1230286737 +885147340 +67013938 +1679898378 +150235077 +1074386508 +1403594301 +1377815252 +1034023585 +762828846 +1759630562 +1814614019 +86203129 +1146033374 +1439281061 +1117817588 +1177886934 +1068131216 +766109538 +541455721 +1517614195 +1838405743 +1725813763 +463681791 +493003095 +1028525120 +1099913055 +234215244 +1068326986 +1283452878 +1739782465 +151130075 +21116570 +1806796403 +1831028454 +171351647 +733699263 +1087139107 +1549166900 +1767722849 +1849967953 +1161313814 +1434853220 +1936171082 +159863540 +726650634 +906505022 +1337750474 +1794781850 +1672614560 +1879206195 +1164912397 +1363536655 +1457536310 +1628594189 +1856539750 +338577783 +581023596 +2090754994 +1406904769 +1864476474 +1683053811 +1558034845 +1885593045 +1342366567 +1241579651 +2056944692 +2076065830 +181235110 +1458627944 +1696305031 +2031203063 +472458110 +983674604 +1819890497 +632321650 +1710325238 +578911871 +1970072124 +1357623440 +104042783 +1701794671 +375052189 +1467579438 +1011847334 +2003646378 +1176635540 +1350425117 +437186326 +1119906886 +609846238 +154179153 +655477050 +20397435 +2039772198 +1997843617 +1261977086 +1949233242 +1926425799 +1443212196 +1260377539 +1475247183 +1326931611 +1732835649 +311438139 +999338460 +217673652 +2021763377 +1578250331 +40262128 +1231903169 +1682293114 +1742056800 +1606955358 +1002388904 +606420486 +1463118089 +31540796 +1956845603 +1900304415 +1151447683 +419208193 +2054483568 +1806924733 +439605629 +1946772118 +1657284702 +1701582715 +1748521713 +1436226853 +997311264 +861415604 +763990388 +176759227 +446767605 +1075428527 +1176097688 +664441257 +949708256 +606864371 +704703386 +34127777 +141673838 +299276538 +1641083136 +1144062742 +905697024 +956717577 +1175603539 +715058979 +709538344 +179567574 +1134267172 +616538265 +1986492307 +1573872801 +415826735 +1496293361 +1127971869 +16864800 +785036566 +2125283133 +878280404 +1549026955 +154558712 +1325048010 +476971834 +1330656400 +1989489267 +1426680091 +1937520772 +546709005 +1460807868 +2079194610 +845985543 +954407356 +1075773704 +1751682567 +1911124933 +103893595 +319257898 +473179630 +283461169 +1453525071 +1089717895 +122469828 +879914224 +1505544630 +1618763189 +2007886093 +1522409431 +256316108 +1985685578 +253206187 +1805343063 +2140244291 +1578254197 +134831249 +1323417043 +1420259817 +1561511340 +1113454167 +1966968822 +874835561 +1045165129 +665470718 +1829242917 +2120938834 +269669637 +1592884203 +77348781 +588927536 +2066063833 +360809951 +2042452607 +1008298080 +483279779 +774883183 +366359062 +2102042969 +635285629 +1888768493 +210875429 +473487559 +2141974681 +2016218492 +466248202 +1572745230 +3566093 +1789665246 +845521399 +1565077434 +755635765 +665006574 +292429347 +1800800895 +1330477292 +2121672264 +1774256081 +1600146929 +1567072819 +1851604862 +41590817 +1485653004 +64931165 +2084043424 +346467436 +548210945 +711442960 +712826499 +502770266 +1346728589 +454111344 +713645695 +1820216148 +448602377 +582380539 +138980703 +2021347608 +585946632 +1928645949 +719385359 +3540418 +536798066 +1384391933 +295969765 +190115313 +567385577 +270158382 +1964371394 +20048859 +1837231201 +1668492609 +61639676 +1175400558 +1733423774 +2145683101 +1521867994 +134151071 +709642413 +87210845 +636921337 +2056371002 +541322190 +1350567032 +1729103502 +989924567 +1932947571 +1868084205 +863788527 +371410556 +1649246506 +1583173887 +374950974 +38560925 +820082172 +670920740 +228676238 +1387467750 +941079122 +45563985 +1407516609 +630826675 +1714056594 +1469156285 +1806227233 +1299996720 +1467355738 +1180611580 +1434147792 +29514503 +1267822425 +2071069129 +2085885505 +1809144615 +1274152514 +1667505360 +651585535 +1059616437 +1388105917 +1515374062 +1431026993 +889868776 +951064301 +1805977968 +928429701 +1771146474 +329415060 +1157105939 +1011130576 +1270494182 +1202669924 +271163537 +1901320857 +769242870 +1740319822 +1560064443 +2069239591 +1060191913 +593192375 +1355903735 +1089706416 +1861014800 +1279489216 +1028108274 +1522675768 +406158082 +548129986 +26777655 +1465774520 +1936235903 +1542151717 +749317865 +678621031 +345732371 +407812185 +1607050732 +2116878845 +737227245 +616673024 +980525773 +2007721427 +1819342948 +1251689310 +1761558637 +441102171 +844525484 +1174139432 +362858114 +1904717397 +1767331807 +1718761849 +846940166 +1480862959 +850767417 +1875048440 +856055079 +1256925500 +275694778 +882832734 +575216372 +64447033 +277500804 +1324534237 +743068065 +623233175 +1732346423 +202635149 +592628372 +322090020 +819308173 +1573154145 +182327800 +491167474 +677359807 +1943886437 +932269645 +1521885291 +970542221 +1295127759 +1279119041 +590390380 +866405960 +2126059207 +2071253339 +1717173377 +1853623999 +779824771 +826615229 +2129318777 +1662657505 +1401831601 +46282162 +1940158309 +578882191 +789350227 +415907836 +163744966 +991985377 +1008536208 +485834986 +1811293550 +434206705 +668162786 +154977376 +1111566512 +464565575 +1087247021 +485968156 +1435107796 +234891132 +1765087197 +2025498176 +1101297092 +1743662756 +1949267868 +670986822 +1449803107 +581608991 +1497602051 +1431638236 +96782848 +751950005 +1477920398 +2036941158 +1330832196 +119786978 +305365346 +1494577162 +1111772355 +1313901555 +1980412148 +775582257 +1748108260 +501091287 +930559634 +712191125 +965656862 +2017806655 +1198159281 +253281011 +105214140 +815762830 +131295539 +1206511232 +411941938 +2080563407 +1877498054 +1861745045 +514688750 +1227616458 +1145899633 +611471599 +1979566463 +476336383 +500929109 +1162915011 +596123361 +806294455 +510008525 +1707895716 +2120196010 +342937025 +335994326 +1720820623 +844028312 +1266553960 +285528100 +1809685175 +1136876967 +1483687381 +2062966186 +1242091107 +151966563 +46778077 +301118692 +563908501 +2127341485 +31133098 +278169898 +494546587 +1258749556 +1424069531 +1106018186 +1090832371 +1900405914 +1606947295 +106263734 +349045628 +265758103 +616272259 +2056941344 +238470465 +959209285 +245452022 +1959291088 +1803237597 +1512005982 +97335540 +1465439124 +501399302 +1581022921 +1380921662 +1743490409 +1732989484 +1427699740 +2044609101 +149414337 +1407557577 +2075742200 +427584235 +1902104164 +1187008108 +1851653766 +860638703 +130356832 +1604576033 +320102350 +236620566 +1953621661 +585860453 +852892826 +1863079357 +824330919 +1812102111 +2108531380 +636138359 +1467856060 +1473053714 +733473900 +785811537 +1974453016 +167013173 +19249551 +1570459778 +1900002658 +1446949291 +1467585231 +2049416995 +707023220 +1395843783 +329517583 +461643737 +435368244 +33687701 +1322282440 +565725076 +1638263734 +1642384790 +802345642 +1444401747 +80761596 +1655238468 +1159997457 +905092515 +1319856931 +1121045189 +1541230874 +640229344 +446615255 +127221126 +1426040881 +273584624 +294234300 +1445290432 +1844044402 +46753310 +744756076 +1164145985 +2096170305 +1451779296 +412506121 +278204240 +1913423033 +847874365 +311891942 +1088221825 +1413599441 +1950155676 +583122968 +68461435 +1247073776 +663884564 +1723699904 +259587585 +1568977079 +896073187 +1380632774 +962724305 +1536302531 +1827248029 +1089945432 +814859764 +2100832653 +1384179732 +112666549 +1797393407 +1430933042 +857422625 +814055745 +1379619699 +161718273 +1226561866 +1657823940 +2075141307 +2074436231 +1969715882 +1015879484 +1340552024 +1772387910 +1599002452 +1409013459 +871978038 +115403368 +985229715 +1131565623 +1684380447 +1881302903 +364714749 +499621105 +1270121786 +44479131 +1589566537 +2084981551 +2145311784 +826262621 +50164452 +1795221544 +109712015 +907587077 +461793641 +1489331714 +1069305350 +1688355507 +999672006 +996963009 +1615308090 +821904240 +2012842494 +808376466 +446808503 +1464361298 +69906277 +1318786541 +1579764667 +1055135993 +302868517 +1116661466 +788955248 +667583266 +1616282571 +2059077034 +712062397 +1058365460 +1996574937 +709890534 +1884628081 +2046739389 +357628430 +1994340096 +806842818 +819422071 +1336188163 +1876148169 +360293930 +188376521 +725627530 +1975602020 +1010280762 +590986376 +636494838 +1457089265 +2055347675 +706401115 +628392158 +1487628694 +1761537108 +931260675 +456806512 +403008708 +1598843942 +2073089084 +314602095 +163422691 +983970896 +163693384 +873313225 +721115330 +62949126 +1230941655 +567971778 +869791944 +2050363726 +1904159941 +598456465 +263174008 +2092536463 +1324083996 +91292380 +955333577 +1915070372 +727787218 +264939194 +1822934399 +1434188334 +893331352 +1163079445 +1048241794 +1824592028 +1619885958 +1451250503 +1275952322 +1545491394 +1765852598 +1439375013 +381978642 +1929545982 +165204591 +1103093972 +1992495108 +1396146246 +1671065751 +714803405 +1299026325 +1427742044 +1313259870 +1562200333 +1372794859 +489860218 +1653492714 +180644788 +257446943 +233796284 +445583982 +2080381342 +1667984618 +1338915335 +1095977140 +568742765 +1016023715 +568379450 +2019993268 +144492389 +2113870844 +1638362218 +1583867402 +348365838 +1420424552 +1749071993 +1451459811 +1265436013 +997734592 +975041914 +1980239418 +149277269 +255300310 +1146015640 +1711477602 +1628095170 +1635875859 +1217486668 +1808739958 +1893322802 +1451282953 +106840293 +1826220496 +971783923 +1445755628 +774713988 +1540526688 +314295695 +1343093438 +1413036308 +458788084 +1309480634 +903914878 +2042655486 +1657846473 +176855783 +1644243832 +961822636 +1442291796 +494494776 +1936864550 +1275047566 +643772045 +44681212 +273579558 +207765999 +1672776382 +1909455417 +1425252668 +1334032693 +1655294571 +729051973 +1440872986 +1334031420 +1700835896 +739144966 +2108745408 +1093878937 +1053440661 +1304355199 +359431597 +1512228745 +466352185 +1263346476 +1407400583 +2124198658 +1440202259 +904160767 +938537646 +735010407 +1398655543 +727918548 +2010057973 +2042427588 +772599761 +136153883 +102709940 +297892495 +2045609301 +1527962608 +1631925188 +1553420224 +109530933 +925314526 +739967996 +1810366829 +1664459492 +701229757 +756762118 +570416505 +2005584956 +1116193716 +2082645250 +324453493 +232056544 +1342562186 +301168504 +1672258803 +99239305 +1239706150 +259785562 +1497894849 +1967624699 +122359887 +1392838789 +592740812 +258513770 +1495548729 +890633307 +156639423 +876027689 +375074848 +1710059648 +985558622 +1300389374 +302543996 +648441804 +817365219 +1003773753 +1405203922 +1387781724 +861875061 +373913990 +1322943327 +1186328555 +605970534 +518021865 +1487497059 +130745689 +617261170 +579719561 +390531251 +2115156019 +399860612 +512891138 +1360511161 +992601424 +771404909 +708576242 +1883234732 +928044332 +1584603932 +110825932 +490620332 +422678906 +1411215306 +793164329 +1071120710 +81096877 +1796938082 +328840985 +1468878602 +511329496 +702754975 +644338281 +1697658051 +1308725510 +1162360146 +1037671462 +1439471199 +1779621316 +1617391023 +1830002451 +1747293688 +2017251636 +195409941 +960321201 +862369412 +966814850 +1668897443 +598120496 +1894859183 +1106017727 +708946428 +237995867 +1528696634 +2120161735 +1031160196 +452333696 +53774964 +680614631 +781174681 +1522653566 +1191944127 +1483929657 +19508199 +742118530 +645171519 +1181868345 +1779789992 +2084642718 +814006014 +1249697367 +1767161521 +413816054 +1119465355 +1962571463 +1374137255 +1981834768 +781902665 +895551050 +432471616 +529278200 +2001568778 +1141418045 +767274068 +1382781764 +1114096132 +1798434264 +1835115460 +1167871096 +331565247 +468806494 +543041015 +1523509374 +1952736151 +562549214 +118144256 +450424022 +1744417560 +1897934248 +387583092 +410939926 +1000147968 +7260966 +824755980 +2119613323 +1969832429 +51409587 +1953964443 +604251446 +946960637 +238952412 +1133529647 +801045767 +1380370457 +1900803715 +36343883 +346982941 +1551754331 +1871459344 +1514854037 +1883319579 +192782190 +2057895052 +1259345305 +2145518341 +472960619 +1377489562 +448458715 +69894531 +1127940162 +836041807 +480834457 +2128088130 +843302773 +1305590437 +2100217806 +665651554 +1357000024 +1906698601 +1269903001 +156477013 +2145651013 +255949000 +957522781 +1378537822 +9269067 +993866664 +1725520763 +1561023398 +717842360 +1092891153 +1296859329 +910624550 +1003302557 +408720987 +908659243 +1476263176 +1786210549 +1357117958 +1546157707 +766667063 +45676118 +2026992164 +747271546 +888978891 +1185098953 +700005704 +1554630446 +394615329 +459220657 +677049799 +551092343 +457388023 +932998799 +1508615124 +1835925845 +942267866 +354998140 +1413962961 +355807616 +1072840501 +359370466 +1652666946 +1983465051 +1362673023 +2061387933 +744640647 +691452552 +1700114834 +2101758605 +90126611 +319298249 +2147434723 +2117118776 +1066569795 +888929967 +1154734081 +1766575499 +296076765 +1549349411 +78312509 +973126564 +2100441754 +535700532 +1906125363 +1461573230 +224142729 +700909581 +1816571370 +1638105690 +1056717197 +741928223 +1997476156 +561900495 +577909627 +1212665532 +475804780 +1322550274 +1904118084 +28435966 +1276825231 +1994244695 +347734216 +1276776307 +1963879823 +1414304011 +18222626 +971130257 +1033395863 +314299391 +372996020 +1111708372 +1287425955 +325954126 +1647408904 +1046067670 +1787527356 +1871551633 +1746977251 +1456615078 +1362173676 +656210800 +51059654 +1212166184 +1218111296 +628969281 +277348068 +1693916076 +1951519555 +33982504 +1722352043 +1080861138 +2028227200 +2070086259 +210153797 +1844623375 +1336906622 +228376423 +668269984 +222818837 +542675814 +1041266004 +1334527209 +1830101769 +1367220130 +834452465 +728685791 +1007263838 +558520451 +328179394 +316395269 +1920694127 +984390195 +367454923 +985376663 +55017843 +996424204 +1262724732 +1748933919 +800460111 +1296707236 +1323802314 +1881321249 +1177450788 +1246404925 +2091475047 +874590516 +435827900 +172367822 +1542860500 +658646737 +715043637 +436642857 +1993173947 +397661758 +1803862987 +680142764 +1126347550 +663643178 +1238663215 +1454526944 +980038447 +1011873694 +291433491 +1347493370 +1997250358 +346451334 +196433926 +1112491442 +2095385254 +996894037 +261715030 +1271703920 +730731638 +1439165819 +370625198 +674723037 +166272687 +806453098 +847090860 +1709133187 +1465099835 +1562134497 +2145776044 +1310790134 +1959796255 +1802155384 +1990932899 +938660157 +318314914 +1082112466 +245703454 +1298353361 +2093986161 +537136945 +498363083 +1943752871 +883588280 +694797009 +908760665 +831489886 +1691691046 +1170475695 +2103193806 +274939036 +462157866 +326335356 +949662074 +628430553 +1132788454 +1796752934 +190080093 +450404642 +1211403783 +188372489 +1761194776 +1023716390 +1990527873 +1604644027 +1962376548 +161359139 +539272846 +60596354 +1459712500 +485775359 +597733299 +1958075583 +282044582 +1481321579 +505388944 +1190805247 +165327817 +49596342 +213797294 +121037976 +324535379 +675955161 +447373332 +1274197453 +1304385714 +1580161787 +923466739 +1494465807 +2030566429 +2134870522 +1682838297 +1644277557 +1011103264 +1525882522 +1101437937 +825996164 +1687241662 +1640710783 +886592518 +999470514 +2126486142 +1484325818 +810062450 +261047076 +818163749 +1315451394 +1451852323 +983491567 +1365047737 +1665649617 +1104529543 +1689583116 +194121130 +1551902875 +816296921 +1498506845 +984581014 +1739763660 +845489004 +867663795 +1727150534 +380843653 +364457705 +590770150 +1906726176 +1465895642 +1416766315 +1446484190 +959122777 +155875185 +298471056 +938125271 +1640201003 +1108533506 +1199172347 +310881105 +276501253 +503541022 +1294372672 +1641548990 +21706991 +251418567 +1183648458 +215828122 +1803321442 +1999945379 +1714334967 +640418809 +1592225391 +412340323 +1508082604 +1171892277 +793183977 +1872540309 +1762662427 +552426505 +1190952303 +1031945094 +1998910695 +2591432 +1187820280 +149898103 +940716703 +680537635 +1258431610 +2139889050 +991418740 +1534932863 +495946424 +138307764 +1028998205 +517653416 +389726331 +65163015 +733481538 +45564126 +2065108394 +300332857 +685982935 +1509850137 +712673180 +46581891 +534258766 +1505857157 +1919122201 +149437545 +2058283662 +962590856 +1181382640 +1909710709 +965182289 +221719272 +2059608813 +1905898992 +902256907 +1170556775 +1898304395 +1893675648 +558005990 +246767171 +2031983412 +1587004195 +764420587 +274226096 +1652167210 +1497902125 +319790222 +1569791956 +1798234982 +1005773157 +932158445 +363424515 +1052355048 +1466417211 +1869281672 +823993601 +1615854756 +1780081687 +1786584458 +649753748 +1542308748 +604283099 +871473020 +1454433913 +362698443 +1773729928 +477507040 +113519190 +1519921928 +1035513030 +360286362 +1404421692 +475033577 +1124706949 +1678647788 +2127200787 +475125427 +1998438010 +1549509095 +125876761 +856727519 +334183892 +489301276 +1909082568 +1800601103 +211099301 +585592521 +1268972212 +1991180988 +224693331 +1918725960 +1386006088 +828976430 +642715333 +692956354 +1191674874 +268961613 +1170463394 +1305194064 +1788883541 +58492777 +1665480426 +1045821585 +533526354 +642703728 +576985726 +513243494 +1117829155 +427940088 +2062752589 +1243705916 +1284667608 +249452834 +1733007193 +1046266528 +2050053937 +1944106494 +1631859049 +1171542501 +1787803834 +1856552381 +942784814 +1026326274 +538045163 +1585500147 +1719282628 +1729720037 +1854461760 +742262375 +887430454 +1495861653 +800755152 +405427232 +394199590 +1334281506 +1048130960 +971185316 +1847525000 +18476467 +1399125405 +1762793942 +1262182384 +536309365 +2012246776 +847705929 +1582575893 +1914817065 +644328775 +1066951294 +938875919 +284648961 +776020027 +1881660733 +1310975235 +1314065191 +1319677232 +882774216 +896301580 +1026655344 +1625036591 +1783732034 +375033349 +278308095 +41675619 +769232939 +1612589601 +1089806579 +1740418256 +1312630954 +1108283047 +992060013 +927941248 +222981783 +1528369378 +792704376 +1070687712 +963461623 +560037793 +1715016487 +2030412917 +1498913712 +1999665448 +658949297 +1233090797 +1163157035 +1973014488 +405284381 +2045931251 +721832420 +1431939725 +1523484194 +358080807 +1806973074 +1801792289 +399756426 +428722366 +1266898243 +1489563005 +21656974 +432045549 +450362404 +1013716987 +1359986797 +673344187 +394602717 +5207525 +1744031899 +1358064340 +565245318 +1311564738 +1240993609 +2064159031 +1163746538 +1899942906 +1149766180 +179419926 +1725473746 +1555050562 +77867529 +299822519 +839506639 +1601351724 +657903326 +498996066 +1255660365 +1057659752 +927718432 +375074960 +399739109 +949375406 +807120509 +850101514 +1963092393 +19623658 +1523445701 +210211462 +24831183 +1119993953 +1568275802 +590076502 +284075043 +661785763 +506751885 +1447821582 +414245022 +1656518065 +1627241508 +2139718768 +1064084979 +1705109037 +292057639 +1903591619 +1158977113 +949960965 +255104037 +267153831 +2007620717 +1182822469 +642228791 +259876179 +2132197875 +1449349301 +1109977693 +1947806620 +1468972959 +485939746 +10534434 +1493804143 +1605933699 +1578810236 +2083880645 +1890008743 +93112351 +443148882 +1190346677 +507357373 +2099666947 +670104537 +499592494 +1016268279 +227729926 +791650133 +772376250 +1386707040 +1741611099 +1027480287 +1653860871 +1601748168 +62819108 +148606014 +1861624347 +47533335 +1597955315 +824118392 +1995339955 +919444627 +1310058139 +2005874389 +265765122 +768508190 +1437200977 +202162119 +511033285 +1530313328 +645311001 +1701379962 +2037670702 +597494300 +224000851 +389779548 +1613762579 +451730778 +1181429681 +238655181 +1838437818 +775557132 +1266135468 +1344815041 +229821653 +1328954576 +1493421055 +2091446000 +1376487911 +943892723 +768080745 +1224344218 +1863337350 +2078138884 +1082734959 +2129102472 +699163426 +372452288 +183780943 +1210196712 +1902765617 +829091944 +764093026 +1792952671 +1426586244 +988093878 +35248571 +892865176 +1439824656 +1216678252 +1131520357 +1130778826 +1992235385 +250172178 +328110219 +74573390 +1579126754 +1821531274 +18535742 +808131018 +617940349 +786616487 +2032475236 +333794051 +717271723 +967726548 +315412875 +1416435150 +1340178836 +499193818 +479148214 +1095460805 +1328285762 +1243241240 +740929828 +607388359 +83851470 +776178399 +1500253535 +1523676126 +1992856652 +484290244 +506971304 +1837608389 +734462422 +835081523 +1912181779 +166105529 +509129150 +1930717521 +974236547 +1127069499 +569850361 +859228135 +1460863551 +1287122084 +1826954683 +1776276426 +556073586 +1019649872 +127986597 +1035221800 +2115110677 +1456272359 +130979393 +708556858 +2063660718 +214830863 +1484735257 +1416430605 +1738506990 +1330108261 +1900720850 +97994646 +1020233002 +487699624 +933076170 +784931133 +653805153 +1442205320 +568165007 +1628041700 +421791171 +1138015368 +339786188 +1882654722 +277653804 +19257223 +1511447501 +833727391 +1038907095 +1639434098 +1868949191 +1006534125 +948222809 +1999928584 +1715090983 +864399880 +67275800 +1052342592 +133346837 +1805782790 +234967206 +2034067687 +1903777436 +1255200208 +374283664 +689369958 +2040131342 +1028088817 +2131575278 +460812701 +508646870 +405882802 +1598828069 +848433058 +141053876 +1876481873 +867690281 +1652501377 +562725616 +1906597377 +1144451827 +284191160 +765647854 +2092674637 +136636096 +333255189 +809590869 +203911896 +1385597781 +942937706 +2009694686 +1620564987 +829521746 +1765988475 +728281548 +1203805410 +307874785 +620929242 +84410579 +291966416 +1081741943 +593057449 +697849218 +533086364 +1441490507 +838903094 +262084589 +161697141 +343920824 +824810206 +2068294518 +1488372651 +1109001366 +686458724 +1433563640 +1245637462 +1019713913 +95670861 +1449549359 +257828046 +1038608568 +1311760397 +1878393034 +1868130314 +930265224 +459190934 +924452076 +1238140010 +1080120176 +1008862655 +1530106426 +14378471 +1601920105 +80471996 +547464835 +895926964 +919375090 +809549424 +1057624105 +1263295914 +1634359630 +978434975 +604184918 +595877348 +1664893699 +2037748558 +1841514811 +537123964 +2133419420 +1143580522 +794952011 +1024544340 +307857271 +525861397 +745191006 +1238122496 +985052331 +1669643082 +328778858 +2065172507 +531022089 +1858885284 +2079550978 +2132942194 +1939357280 +479532165 +881385511 +711248722 +1289081589 +1939009616 +1974544637 +775957572 +769960944 +431245907 +1371834920 +287370995 +321510817 +1065866083 +824494960 +307446589 +61962957 +1619446971 +1331990929 +369820229 +2145308368 +2077181935 +1607942725 +982877051 +1599341369 +1936721583 +900565910 +2130363459 +1648123219 +832633240 +2115822005 +1439996851 +1312165405 +849723868 +3761925 +453763346 +641249837 +1978306562 +1229720918 +1411210781 +262068821 +454072191 +1698581776 +583579639 +1519938274 +375593088 +891026228 +1581901232 +1995040059 +75533510 +1951721461 +1992864779 +5231797 +1412180538 +828258182 +1604573167 +1201418473 +1728824092 +1587452978 +702058044 +413973684 +1555791335 +2142054895 +1726139089 +258031556 +2145816820 +32418788 +899281393 +1976639735 +1262139706 +163008526 +91224908 +1716211897 +1861590302 +674804547 +1088666524 +89699743 +1565830776 +523084108 +2084739802 +1641364286 +327321921 +1930120934 +1646596083 +1739502459 +610895468 +1103685602 +793437284 +192235913 +543654932 +1495495328 +606209597 +2099446268 +1490066575 +184865039 +209994176 +1488399747 +217283827 +1109275569 +1317555834 +1479423533 +1272284095 +1408780743 +1048151783 +986390749 +2083585290 +2136818307 +1076090492 +1501932418 +512418767 +1013346647 +995813056 +839740688 +795983933 +494925492 +431759499 +1406879401 +1598611094 +1225196783 +1599115314 +2142266027 +573208463 +57841264 +2094228647 +2063275038 +242706303 +156739175 +1404191137 +459990130 +1266014744 +574263324 +1939413663 +390815191 +1983044067 +840081798 +1377205940 +1919145709 +829416457 +305812785 +1273594480 +1341835224 +1319159432 +121923888 +34092264 +2115143365 +616849380 +465851763 +1374539118 +67976827 +1691048546 +826170785 +62759206 +116773361 +884012049 +9504205 +32564751 +1126718352 +166243380 +1436755889 +1586708482 +1432258124 +2011019213 +1378638497 +1823073315 +1846579632 +71236648 +1052795607 +1618241693 +900653105 +1358608392 +744352525 +95004682 +530284176 +866276414 +129096946 +497943893 +1483125794 +594948710 +1872483012 +1551102621 +138513608 +551170149 +1613861827 +255286970 +1435182198 +1623366032 +287851721 +414416902 +1789609412 +1724607610 +2001125384 +1074383888 +1588143175 +1232280233 +749973555 +1287239159 +1303516881 +1802769163 +757997205 +56686339 +1013893907 +1502349730 +151691021 +1544178084 +221142496 +280787967 +2042121977 +1704268291 +875736677 +1767121341 +1107887264 +1014250286 +170807842 +574265444 +1269537256 +1605990040 +50147828 +1557388977 +2020406942 +1839757241 +1134512940 +1874048678 +766657481 +575172467 +958845264 +1516631037 +1862411627 +114878497 +1171916552 +472925184 +171564836 +38326811 +1975274914 +323255857 +1582504895 +48933763 +604043825 +1477143225 +1753202054 +1479780502 +1096780918 +713605670 +346547140 +1267588761 +1287871114 +1616084396 +726095153 +1338018943 +1025989726 +599018448 +1030292536 +13019018 +325583478 +1796950017 +588191485 +1284428742 +1166097406 +303119464 +1399307240 +190530310 +776044648 +1570872076 +228857122 +603835915 +1894127934 +1811362017 +652769678 +350688111 +1141021594 +258488084 +1830468613 +90318865 +972093754 +29532106 +1357907626 +112481221 +1645616502 +2084002779 +1450500164 +524122580 +535537579 +333309052 +537141598 +861121058 +2130259069 +1125333084 +2145549800 +1148872828 +1428452548 +1397373392 +1339403138 +57013549 +820761821 +1568260260 +660849464 +567406107 +1232138630 +1313619142 +918094218 +225676576 +1572107226 +601079183 +315995441 +396717332 +630611289 +1673903067 +509198553 +128744144 +1610422199 +1959698717 +652866724 +2145959778 +145524121 +1190008323 +859597188 +128299543 +167857759 +857663341 +1277172371 +1596310307 +107553085 +469091861 +1653323856 +928314906 +2037352122 +166689672 +1495721013 +1122007104 +1480308814 +266331583 +1347683680 +904932392 +867410767 +1663679122 +1301649725 +1498022056 +1190098541 +1810848278 +1626766200 +653037092 +1623063348 +132149277 +651513223 +1768587469 +1322157600 +1511110411 +1896887012 +1490015359 +221290104 +1026575735 +938842018 +328843190 +1495667597 +444682227 +1257158096 +1385536071 +611371899 +605395462 +360059527 +2091680714 +871727045 +1707743207 +849129458 +1739137812 +1223938681 +3295535 +1089676221 +266553575 +1814143814 +568958773 +919590667 +1289723514 +701108050 +1571103890 +910827335 +2023265650 +934730654 +660230700 +1365797361 +1156020758 +1686806435 +157155732 +1484863948 +1034990384 +601837959 +594538397 +273042807 +1213209858 +1199933859 +633102334 +1157406924 +2071660904 +193361894 +2006536383 +1663315069 +1417300575 +2009831918 +605507642 +1683854150 +1676492084 +1174466415 +455961170 +818731950 +1875574466 +2027065060 +1729559286 +1751356468 +814312066 +242306338 +969670182 +1970332825 +1929112773 +1126825914 +1307713125 +816619510 +1728663873 +1902251522 +1089662317 +794390083 +954701733 +1722764652 +1951797008 +878878990 +1916126546 +1810849743 +394710411 +1185943473 +1673198013 +1000218053 +722313976 +1202206450 +27200820 +1178275146 +2020938400 +1902775286 +1057856558 +1603014038 +1506648107 +1872168625 +1845320376 +328834641 +1695017802 +1626949502 +1455660555 +855247279 +296085364 +1036840780 +610015154 +1385747681 +1831230863 +1564716887 +961028685 +1635544223 +296112229 +729671583 +1298910318 +690822640 +1915615057 +824624684 +1691040693 +490445385 +2026831134 +1718241514 +1668720531 +1900285886 +1473533152 +579093441 +1355816277 +832697611 +303778418 +1053653005 +1161532252 +1998796220 +533118859 +469709159 +706559852 +829204223 +1506549939 +1316575006 +67468257 +1190297155 +733808245 +1028496942 +678357730 +1029920475 +1758168526 +1977268049 +1720743115 +1526299935 +654409085 +1264300161 +2016745320 +533756571 +835058027 +1537982203 +286558809 +161107531 +2117075644 +1642375086 +993805143 +273370415 +548544444 +7853747 +124682987 +1081663303 +477562907 +831242839 +1910867527 +1984112846 +334197 +1978335784 +1026926353 +734142443 +859349078 +1705284084 +1764062918 +470033956 +1535068485 +1337322385 +1996333891 +41993922 +454138898 +1865595563 +575750493 +1289196925 +1256094118 +862309302 +1450304457 +1225686115 +357200741 +296625952 +1499056530 +905745185 +304479699 +1623739517 +1987408488 +782042606 +307498709 +1750792367 +618671805 +307832906 +1581644503 +1645598158 +1041975349 +293509934 +1203398594 +658554619 +763543890 +590983431 +1995877005 +612394134 +632977353 +302532255 +330506049 +1208727846 +1591729181 +1586600168 +2071037149 +894549990 +664802635 +280754242 +1191175942 +16375517 +1186499427 +1495655641 +1640115034 +1026424267 +130214600 +1947613743 +629732987 +748886405 +107963002 +63893842 +247000915 +1149938351 +357403776 +1450399510 +1808492971 +1120947667 +2041382941 +1656886328 +1733341801 +526876647 +1959418583 +2063847850 +1735604493 +1403664116 +1502964370 +1659157994 +150730458 +20283357 +1939912236 +1341906400 +36658874 +978928015 +690078394 +1676773909 +2005352283 +820292994 +1476904004 +487601622 +1569179399 +1584867006 +551495464 +1816180314 +587321710 +908899241 +1119096176 +248331033 +2029846908 +1012995470 +1905217361 +1615705061 +1539872117 +1717152296 +1532069263 +1127992962 +973332765 +887549986 +639667309 +1124063223 +907833343 +432095897 +318485976 +944492218 +1411023913 +1008564370 +473782479 +1268892548 +1828857364 +1950686483 +1756494170 +1250553115 +1388069842 +160505986 +919249781 +1975391552 +1069405227 +2038345958 +76238937 +951768487 +903857780 +1981456298 +419989900 +296246249 +1551124946 +1952059164 +1424239211 +376974063 +692125502 +2063906520 +1501037287 +1599958845 +348518770 +1819523263 +396967415 +1759542683 +680603985 +870749894 +880951583 +361977701 +673952730 +489962105 +1612530816 +2062022572 +650468091 +384296949 +1889930476 +1719873319 +275159259 +1966169413 +524158158 +1179017039 +1800142063 +944148059 +1475263288 +1203783361 +748723575 +752018852 +1580757425 +1440849077 +668441724 +934311064 +893324274 +1016960494 +606350679 +1290291690 +629019529 +1286954664 +13557936 +1509971112 +1648932365 +687510666 +1999933217 +1113979533 +602049590 +502917661 +1498276482 +344496418 +75307332 +1773435742 +163182183 +599465490 +804969133 +1963324246 +1543613549 +132748774 +1019623960 +144853476 +884767626 +452897737 +1585702553 +1553209350 +1387208801 +331543180 +422686197 +1993559480 +1621834870 +1051705726 +1133030496 +1635392806 +414193191 +634479213 +175419825 +266642760 +1748458746 +777469415 +769560421 +1099251580 +1121965834 +844867753 +725203674 +1285148017 +1444333244 +1530172808 +1100988616 +840463145 +1662921582 +2120612576 +985316622 +400205560 +426026665 +423535527 +1953414910 +1813235466 +755078707 +228617459 +1659311298 +229429929 +1280323186 +644858146 +1864822736 +1694516377 +1279337359 +2040242561 +1961159137 +880312457 +670228328 +583235911 +1979564037 +1792194162 +1428103664 +557284064 +929858532 +724953260 +2087456872 +2030847148 +1565416406 +1602894806 +2003976076 +403249380 +2003100366 +282519093 +826784907 +1809031628 +2095754559 +1581863615 +2037649088 +1607582209 +1811293544 +1170488626 +104956707 +1528632632 +717521355 +1384294066 +1421391545 +531196844 +117122875 +2091619874 +1114432755 +2096686912 +1736330388 +395052772 +506487328 +518705272 +1120006032 +446460552 +402068772 +537938790 +2049355358 +258561200 +941188170 +1904972076 +541080293 +1767973078 +1566520057 +489351204 +1202353045 +1456685497 +2096933413 +866162941 +479690475 +54406472 +247311926 +1197211830 +1438700538 +1668703471 +1728408674 +1555823413 +1612839697 +695357782 +1505026678 +1201686438 +1090410554 +2011514006 +1720391710 +62932938 +310490911 +2122460483 +600871729 +212362621 +233538035 +1542059899 +2117334698 +774618329 +1162549329 +1536371107 +1263969533 +217418726 +845572956 +1213419299 +1083581668 +1325263431 +1267825771 +1330893594 +374991613 +559042662 +852113417 +2103400287 +2114866075 +317469467 +651274421 +1472409105 +1519155905 +1741684975 +1336439464 +1092063967 +1804617914 +1646930375 +1067040802 +258005995 +1859292996 +1300578838 +1800065894 +1829144046 +2075197167 +815131576 +1218031505 +1191683052 +1032550302 +2063604461 +257618703 +2116131970 +1241384244 +1525444475 +1299541916 +1616375857 +2084487137 +4171686 +1572292497 +2051869564 +321641153 +76083270 +1376795022 +1840797058 +1817768246 +565750838 +785377377 +1474902512 +65197565 +1852418180 +1732908507 +1924490561 +1005513370 +1385490753 +1606150960 +933226889 +53138681 +676698817 +2124909941 +1085688984 +592819631 +235044997 +1054337306 +1834203875 +1760489472 +206395575 +1303096085 +1697492961 +210567261 +727904934 +1601878877 +532208414 +803988204 +831190251 +225521824 +474272802 +1396941089 +1010899201 +1949175314 +1462138654 +715833733 +1534600173 +1239145568 +1721347103 +772607279 +697812880 +507090344 +825745960 +1374511697 +484516638 +1911434944 +1967331328 +719561635 +818288603 +1654051556 +332567459 +1024684178 +809663993 +2030060420 +1235251439 +1537568927 +1484455649 +1767459853 +194073483 +168162253 +1992981677 +668346286 +1565103342 +856397230 +470037952 +879758349 +1572230964 +2004638126 +2118903917 +1146094419 +629761757 +669233149 +1653184764 +1455507717 +2043744846 +2137701402 +1219459014 +1863592527 +709779389 +2037747617 +1370160435 +1042346848 +914948147 +32340780 +924923620 +2715938 +1569909707 +261895621 +1770175791 +1763983190 +430057874 +1615673820 +284845828 +1995161217 +324587402 +754883781 +727435918 +1896818366 +612038259 +698856187 +895429138 +1241800016 +1368089336 +401130254 +549824085 +1264350534 +391348008 +1769283099 +980459413 +1101127397 +1659547068 +203136200 +2143474245 +427011567 +235476980 +920914217 +429727505 +1805386687 +1182809838 +52419648 +1421886230 +1612867713 +1668093468 +1706732058 +1460545282 +1992680871 +314132191 +40497552 +1742015589 +926170450 +739353739 +489961079 +20486818 +2107443075 +891091333 +570310904 +1224309961 +1282439341 +192110355 +57285727 +236083090 +1851657424 +260421927 +232073687 +131185343 +495898908 +1152987904 +560912849 +153801947 +188314095 +613332497 +1575688177 +1801181808 +133942318 +1134936588 +1114243442 +2126623189 +1449068779 +1154740994 +1721155130 +227755582 +1894094733 +63632562 +248242400 +1854054160 +954723895 +818553304 +930880473 +89679589 +1010663660 +988166200 +325762679 +714837436 +1248588128 +557836367 +846022779 +1744487036 +1710824271 +1406935628 +1898288983 +1899138366 +2020268126 +1326493513 +1552836526 +6726796 +313946453 +519596320 +2133349985 +1763015232 +1674337314 +1707021467 +1990770814 +1420948399 +1770654029 +91529567 +1127518911 +577894277 +910082871 +2058399385 +667573866 +1920746531 +899081937 +993336545 +488100319 +186417 +1551172912 +1334123099 +1744673453 +1114513536 +593575079 +1495478789 +866168254 +466359557 +674488654 +271521133 +473086353 +988435107 +791117453 +458952690 +603966691 +317971120 +18490510 +447253858 +1738919519 +1789144539 +538783425 +718954783 +219555168 +1448866296 +629870520 +887129034 +1222129180 +1528952457 +1880465580 +1710229499 +1529138875 +1284154844 +896868950 +1126328680 +251184732 +1490444030 +474323821 +1117352987 +1956803587 +1148812475 +1388874120 +282406293 +2137247582 +32507925 +741358983 +593730626 +350479045 +759849493 +1040984484 +2089398565 +401510385 +1579767909 +660869700 +621065553 +881150557 +1290740220 +1508194588 +2103279737 +672209029 +1241176520 +1666025589 +53864256 +377847716 +415410891 +1180192937 +629032449 +1905854921 +1654516758 +1746385436 +1715174861 +655845586 +987775908 +1997581154 +645609520 +1020283833 +591456489 +1239340146 +1370762879 +1351305983 +132840982 +1312677796 +1752816368 +1712608891 +1973547496 +226398273 +446275801 +1116804068 +1734592861 +402071890 +1789013097 +828285733 +2068097479 +1842877354 +1206133450 +336024723 +875586643 +1835165899 +94395996 +382619753 +1434067687 +1809570857 +1038465339 +274359947 +1659668363 +1684074860 +1294643780 +103641205 +775931358 +517923011 +1454947188 +908772341 +1830600807 +1060279908 +473897584 +1656664655 +1286678181 +920173385 +625985075 +873787395 +1322245276 +267514525 +1702073128 +1242859107 +2110391879 +760722930 +1578883830 +838494874 +448405181 +1673279827 +1221114627 +1882472868 +1335367036 +112096319 +9349167 +847551752 +1796171179 +1303992948 +951192957 +424618889 +1821915959 +258656497 +1333391230 +1505033119 +1318936405 +1807288815 +1014214126 +458130938 +579978552 +1640199202 +1331918333 +1902223828 +1907713727 +886507814 +997599288 +1870621958 +1647230744 +428999470 +561633184 +2095635926 +2102279297 +1782747811 +1830625146 +1290162686 +1894844130 +1839974314 +2137714438 +1543531661 +996483614 +941423747 +1968150551 +670915925 +1200080244 +1154058133 +28465396 +371533001 +813863300 +1042679523 +829663939 +1393841853 +535395077 +14098625 +1148582033 +295625156 +900606439 +2146181321 +18763466 +400353535 +427697144 +580396650 +348505813 +382492793 +215660813 +31647312 +1672655479 +2110504944 +1871621626 +1662886269 +1506552957 +720621592 +456826368 +1327219860 +1391537517 +1656906612 +333794346 +1420002914 +2028439613 +1147657646 +315198789 +710619905 +394015851 +850593866 +724718530 +1542597885 +1146219022 +1625324969 +1541295558 +1164982488 +2025678504 +1968992702 +1745379138 +226700670 +204001848 +1961039951 +258347982 +1876657327 +1924061247 +2129969608 +1392059949 +1283130557 +703107552 +1848886317 +462866769 +2094645069 +1358309282 +796661115 +1367164335 +1239265247 +1944318762 +1682363124 +1949885152 +190850965 +385473342 +527120034 +1733448850 +1531692364 +4961355 +1127260761 +549191204 +2030639860 +948769815 +147086694 +109856882 +1152771663 +2108126646 +368204864 +881945343 +1884704245 +350690824 +126521644 +1020351154 +1053798376 +1975407961 +1483217924 +1000959797 +1186233595 +132395391 +220640485 +278015195 +2076714153 +1903003609 +80416699 +120081471 +140993304 +607536734 +1853530321 +1672685668 +612498089 +833307434 +74393225 +495654301 +1782077250 +221479919 +605511183 +787365265 +182122917 +973716047 +1669310608 +2066827163 +1324406871 +1795832252 +939694669 +230721599 +1623756566 +275428945 +1231681397 +662506513 +407824337 +1452321882 +940521708 +337054842 +1207841843 +1020938408 +457136313 +1348835147 +1628475142 +163182987 +874037168 +93489583 +996490421 +948430393 +589143885 +631084023 +1169910312 +1194655068 +1418449289 +1352033230 +20887468 +940276249 +1271376745 +1345294339 +588624854 +63587766 +1576015939 +64897772 +339016712 +660213688 +727404285 +746841049 +2112535570 +1667925994 +1083895891 +1172893765 +541380754 +1541032205 +374245265 +22372248 +1704215192 +1248282433 +115861831 +553221965 +49229178 +705005716 +1184305989 +1219139490 +1899660785 +455271630 +423689072 +1920548253 +1395547879 +1695065817 +1118358944 +1984172733 +1758653584 +546891235 +2049070505 +2097670296 +1207104923 +628991143 +697027697 +1172156845 +149433489 +1780923588 +197566963 +690814243 +1174472145 +571812228 +713186491 +731203689 +1820094661 +829048322 +1284425655 +1869323839 +1534054039 +321247996 +940979681 +1286231176 +776519626 +1364668754 +1059295781 +24583857 +912250923 +30171077 +2008756591 +523420859 +577062313 +1910343448 +473607507 +1784167236 +391850943 +1170635204 +808840434 +541284432 +804075145 +1006407397 +1232098675 +1978547290 +1578219625 +1945285166 +562267332 +1250830638 +626849841 +1846692987 +972670829 +13420232 +20457335 +1913650510 +1299651408 +796976961 +1130835616 +211463541 +821560818 +2043086540 +241634618 +682833761 +419023751 +818696931 +445693562 +892631259 +455380520 +837544505 +2063266463 +1264220954 +1378828938 +719857960 +123144703 +463443965 +550921603 +1701364328 +261245484 +1113188935 +804711318 +888095325 +812398274 +1777382147 +901515557 +832855609 +1543549009 +53683317 +1629832570 +526900978 +265146858 +303909740 +422503870 +506781476 +986743502 +841527621 +1325478408 +1432437064 +1734158880 +1780858928 +122497921 +1649941696 +897596234 +1501326859 +222316008 +1020740937 +1964770825 +773237611 +574621617 +78532661 +1886426546 +1379332935 +966627986 +551341172 +1009231434 +1868143543 +1384196781 +405296795 +1921826860 +866545703 +932197773 +39490070 +1170455444 +1354701643 +546271546 +9715298 +48745617 +1871749954 +1442152362 +1782904497 +1505125234 +1564650283 +1285362545 +255237820 +918493495 +1507678554 +1275978757 +735780672 +133432517 +1850600374 +814313333 +2019859064 +1082449661 +1780941319 +423716588 +2091681095 +1501601214 +1807913370 +349494243 +1275944426 +526975425 +1281692016 +1315434496 +1697430869 +488910012 +1861706042 +1707146167 +537655629 +1585972349 +1001814881 +173076478 +943613935 +418981517 +1458439024 +1198851756 +1337475012 +818633930 +327346865 +2073255684 +952066447 +30463592 +740085369 +824441863 +1112913253 +373543040 +1248158452 +1057110701 +1875144254 +908588174 +1406604944 +1003605032 +1435563599 +540813312 +171555880 +985510821 +1029723324 +2033261922 +545173340 +1567378953 +1471750623 +1546988222 +1740455432 +267880911 +1965969739 +1051410808 +1466732667 +1155961103 +1870044738 +1794079532 +1081733139 +674627537 +1824543124 +1821818508 +1499069401 +789972730 +47877900 +599744205 +1847083431 +1923022154 +1508332379 +1106204727 +779143538 +796412330 +1647018039 +950699418 +1781923151 +529257716 +836477692 +179612844 +2096636669 +160744668 +1726601066 +1689608453 +428625579 +1545087157 +593535613 +1895358246 +553564612 +316096703 +1541954130 +1635297751 +990724241 +1219013607 +1309632611 +342309994 +2008986337 +1357510511 +942054199 +1708586120 +1133049017 +302902930 +667307199 +1912192555 +1099315260 +166841590 +715408325 +733754764 +696099306 +1551886017 +913367608 +645252328 +1712630685 +492485026 +187377133 +2141256264 +2037572183 +780912747 +1889130862 +443653147 +1097009450 +1283601345 +2078950898 +2087733691 +355131304 +1241099861 +282560037 +216633993 +451126724 +1224614236 +1925220113 +1584175741 +1527517166 +445043664 +1348884648 +479348779 +611885254 +2064292973 +1213103543 +1307984561 +1468695342 +2126471151 +1953236889 +1033842380 +471472529 +2140614022 +1027614996 +361561064 +774043121 +769262211 +805214211 +1871052572 +2052863556 +736681461 +1811302615 +260511212 +1977781322 +2093862653 +477145205 +281424398 +1170993241 +254881670 +1865600139 +551026760 +699925334 +1067001139 +1030375539 +1311810588 +983810464 +95995434 +472311501 +305022158 +74982937 +278064742 +1338864538 +546455466 +271195117 +218995887 +908016530 +1045238238 +988258098 +1713230741 +768807162 +893638006 +302428554 +432626130 +1154149218 +132726228 +379005135 +1631294423 +414150626 +1549998376 +1886176093 +132267117 +2101025136 +438617779 +1199268256 +983917027 +1750428367 +35595072 +1079912461 +75256221 +340617230 +1154895398 +353320963 +1679481769 +1701350864 +624516080 +1898477656 +461883746 +1669754319 +739252106 +27630839 +291077833 +1632890112 +330059393 +723703963 +639555682 +462785621 +1102709098 +123366457 +876936247 +505223827 +2009542550 +1009203364 +458765315 +300676681 +60987972 +1442682343 +2051105048 +96583044 +375111156 +2126361269 +437200275 +1530006555 +332198585 +2116682044 +1083873771 +956714665 +1867676052 +1545757518 +478985336 +459444510 +1573388357 +770063170 +2092334622 +1903447751 +1493767133 +584406656 +218749724 +448992584 +707773113 +1095685972 +954216411 +569832015 +2104889336 +1412981726 +870508696 +18393661 +708180421 +774130096 +114976705 +1083291578 +753007718 +552176980 +465814485 +1085206303 +521375376 +1549688256 +2041920968 +241567780 +947962126 +373422657 +701012290 +373866836 +1143485827 +645863264 +129830939 +489769312 +1230269920 +348580663 +938761896 +1938043033 +1444266635 +1892978307 +360391400 +1401672324 +1158476386 +1230900096 +1420065985 +1866656807 +2005030193 +1535042690 +802464737 +610554263 +2087219671 +1268279222 +1695760566 +461111399 +670483831 +1590197886 +702679180 +1618445957 +1963620543 +1403691470 +1992312793 +959622722 +2049554735 +2122143732 +1449392035 +1132341007 +323240748 +240670283 +922900393 +1767507383 +2133648591 +1283291793 +1021696059 +1144641329 +366708242 +294278396 +863814488 +224254787 +1829321087 +1666279226 +834809050 +1769057110 +787074800 +383085968 +82684861 +1457558631 +1973283854 +785364041 +928520941 +1789420750 +41571864 +773350086 +601559824 +2091126599 +748010171 +2050951859 +1075983958 +1071250919 +144138495 +1998884351 +691274654 +130303438 +1134692497 +1712970714 +1274944767 +1501400739 +2007249110 +2138759255 +1725655526 +1689086549 +1657554833 +412980928 +1310660011 +297145986 +796066896 +1393344873 +1754704617 +621867102 +31225266 +535741910 +263804204 +72797130 +1309091997 +865364029 +16440081 +2057102168 +768832240 +1092424040 +980869439 +912970735 +943824743 +1672144093 +1043274173 +2078517240 +1237631159 +170735292 +1432434331 +1097396622 +162010900 +1010606209 +638999523 +1819565733 +1423587137 +1949659535 +2116711719 +72170385 +1195520760 +1723932689 +694037488 +1226746026 +112190951 +957841692 +1299543157 +1421282948 +1823205721 +1315983238 +1330901468 +444554314 +260923630 +164287259 +1357525049 +1204748374 +1836431353 +253315575 +1135781966 +926578864 +424050867 +420732650 +2023975486 +586061767 +1431338859 +515491362 +258143853 +707442349 +317667249 +227371924 +779612734 +1513188009 +1951304613 +1473650222 +592450387 +2063495565 +284008267 +1891993544 +1337294865 +2107213988 +1060493135 +520712686 +404284654 +1321416765 +684999945 +1761809704 +378681491 +373947650 +2015125279 +1514463458 +1300526515 +291692498 +1935196108 +1177018353 +877754266 +1219051319 +1692509715 +1135898119 +1926493668 +2010176964 +1363270043 +558622755 +1375881325 +1167091009 +2032272977 +1968331713 +1083102926 +168797596 +1712841609 +272914143 +128527937 +625851096 +793626829 +532812591 +1947267862 +1478626775 +147138647 +178465705 +1852574425 +14780278 +1692929163 +1005617292 +306472777 +1480641623 +35151998 +1184227043 +552209295 +1727661713 +172641514 +331219315 +1590355030 +1535911557 +889842070 +818752707 +555518918 +774631400 +639600772 +1638621844 +943428996 +204958734 +1911535988 +1071956933 +830809830 +557679169 +1604769525 +630594044 +2036305944 +1751908172 +809059750 +1741396722 +1766688451 +354505265 +599530366 +2073161228 +1835146889 +634682364 +1109904623 +239872536 +214860430 +1282546137 +571091851 +1805215460 +670974046 +1460933922 +476484519 +1226492965 +88081674 +1116085292 +717631161 +1031510670 +1321044026 +481683501 +2103467604 +4370208 +1039362671 +1560753481 +634964253 +928184967 +1165178005 +1444024003 +522098041 +784382808 +1798529268 +1121628408 +710060388 +1486192509 +1756310772 +1819965011 +1726065045 +1971171202 +955027500 +149673249 +1628903014 +1626001547 +1610607171 +2105387534 +705010864 +1698688845 +1073989178 +1422642025 +582715867 +247549556 +1904325527 +538699823 +251919764 +796204550 +2099453304 +886884017 +1724389517 +1117147662 +183424372 +99003911 +1901530470 +1981953641 +1220632319 +464107211 +1320662502 +829459443 +136588574 +899243900 +653146998 +1091616075 +1048917149 +134566364 +570133974 +512040672 +92470250 +1275144838 +63245869 +1166459428 +550303215 +645961736 +1414008984 +307145094 +1184661560 +1665928749 +1103349644 +1136631216 +405329118 +680255514 +106295230 +588753491 +779259425 +2007825701 +423223484 +1999891744 +324449264 +1743885986 +681867539 +461037838 +495646238 +1335014537 +1552653913 +1544563387 +1469580902 +2122787887 +2056604059 +1562051152 +1250449077 +2119849928 +581026933 +1800752293 +618328017 +1995035917 +2107897387 +1802989577 +1513481018 +1063763384 +792137145 +1918810137 +1744018898 +898432376 +360079980 +375794675 +758774429 +783303464 +228202771 +1083223693 +379705802 +910070310 +1544261531 +875352041 +97601200 +949431797 +272431780 +1567182102 +924736036 +181552192 +981749606 +27701466 +153918472 +1562776539 +1828453759 +772246489 +1410328809 +1788867498 +427752418 +776326179 +705147234 +1219889564 +547652668 +301682484 +2118321940 +907732648 +677477159 +729612721 +1691036112 +905679930 +1812836414 +2070741915 +1815750241 +1209614297 +798610308 +1913351441 +11562446 +1071042088 +1333049895 +936298483 +1252594280 +167315853 +963999949 +1406512753 +1730092393 +644970060 +31275594 +992937554 +286353910 +459028013 +1769263733 +991501145 +1678917577 +169432754 +1293183629 +1649755869 +1077165402 +1970660789 +231884942 +620717867 +728857071 +2044721356 +543976134 +397123664 +1106852005 +1342586442 +162991457 +1118414452 +266144882 +1496041352 +2054712935 +1518739163 +1663357206 +871229236 +777768268 +1245965951 +1516199296 +809043862 +91419857 +1802553206 +1268071875 +1860683590 +646570703 +799505804 +2030116344 +1939754333 +301778025 +959798099 +1762931474 +533662967 +1580515966 +344304897 +430900675 +2124492100 +741428562 +1537752681 +1319594894 +904420019 +508683485 +1585739776 +252977724 +415912772 +956995291 +1916334930 +1287142008 +1734763559 +1014817233 +655857656 +396323774 +1106237090 +310927214 +1664395649 +819437032 +957497918 +316417806 +702069729 +749768603 +618195831 +1661867828 +365216429 +1151858799 +1094900146 +709521326 +1582759474 +1071908598 +1450949888 +973028507 +244019844 +207886260 +1481711992 +1829759620 +460863984 +1897624764 +639271264 +229715266 +1037283124 +226551175 +1244532499 +1693140780 +622874949 +203285941 +2004067995 +139786951 +1022722973 +814082265 +456204757 +1724792702 +1563850868 +1074400588 +1239176882 +1929067297 +78775739 +186593380 +491104975 +1661535214 +1258501978 +1942054864 +487080073 +1502521822 +2457476 +1968792066 +1184797795 +463321460 +1718933182 +1824069059 +693036726 +608732659 +2050620234 +1937569225 +154389791 +526011536 +2140855166 +10974138 +665798487 +1016094491 +825056403 +1122003244 +593403546 +241423623 +48920184 +1832580428 +23007272 +127695924 +2019173809 +514112248 +1789231138 +1130192139 +308683464 +128827563 +485230314 +311140940 +2097619629 +1670028109 +774462400 +1669069164 +1346613520 +1467499126 +130318175 +1249750106 +1257584703 +284707966 +1775761642 +1250956221 +295682105 +294076481 +119567064 +1120738508 +1416079725 +712970610 +1362162132 +1464999910 +398067391 +1385169404 +1592695834 +269757552 +1899281652 +1234443324 +1399949691 +60481468 +1363270887 +1885180005 +371622408 +1313406869 +1407724466 +1146084808 +834992385 +606854338 +466100286 +965310560 +1856604445 +1723684989 +1250018526 +1484882439 +827157562 +1545700631 +1778958921 +946724627 +518955492 +1047554998 +1659695237 +1881117624 +365071260 +2057762628 +1118803380 +1957767094 +180036532 +870601385 +1044726770 +1579986224 +931082853 +260514010 +1317682581 +1302705262 +1573920879 +577923400 +301306422 +261429616 +1184777738 +767406709 +1226740176 +893898535 +343608050 +329275054 +231297327 +1170765613 +1874975686 +2010256248 +2117490240 +246447530 +910327598 +1629701829 +2127565154 +1275398859 +1539980810 +1098884886 +1085682305 +1720017342 +1969486271 +2130409076 +1152519918 +753085477 +243439438 +322718852 +2055790739 +1817360317 +900642252 +209613513 +2078789933 +2085419990 +977020222 +1158046461 +831834878 +1320628273 +1487321515 +1063132205 +343910238 +1214813553 +925904805 +313916830 +1461261083 +1836232403 +1943618659 +1441342589 +964147614 +1336115821 +392743828 +2049829920 +908649516 +214746451 +2032755348 +2061169434 +967831928 +128711138 +236404638 +876139019 +1946071455 +1137046890 +1085752533 +1877377740 +1074983233 +2062772755 +887940553 +1906818111 +1235917380 +227778420 +822466668 +1579827618 +1442591974 +1748371473 +1893744448 +756369409 +1437120228 +1689879460 +50228351 +253784195 +878511633 +442972179 +156130467 +1787161149 +657718630 +41402167 +1700846936 +1625550559 +170113305 +1937251574 +354205930 +2116184760 +926814817 +1439958463 +1846078852 +2001798050 +1355247571 +586535757 +1761132513 +443681303 +814314177 +436115533 +2023508922 +109422503 +37003358 +1769769722 +865791913 +1474123586 +1312165534 +916020264 +1727907781 +43193520 +1358992443 +1884038248 +1830354669 +2016711073 +1925440415 +1383717957 +1494777984 +2095553720 +1173485884 +1848983915 +2064254832 +2100300701 +1141458730 +1762850036 +1954615103 +349222653 +201902145 +1568263968 +792903957 +1016216323 +2004379501 +668929231 +1125638826 +2041382859 +291215305 +1991430739 +1368022797 +1603380840 +759967355 +948446931 +1646574360 +2118959798 +685001531 +1329445381 +1988187224 +462958299 +565679691 +1335481560 +411028371 +1739165575 +1036981827 +327799556 +1691982628 +30956910 +2090649592 +1499114083 +380179563 +145068090 +919894403 +1173083520 +1161284413 +776790256 +1842012751 +139439591 +670689467 +2133228057 +2130870331 +2038712264 +1589125249 +743354038 +839675547 +1088215961 +714830189 +1524677079 +270177694 +555533765 +1987635378 +835857385 +1891015325 +251180101 +427539312 +780513505 +578979657 +2119521940 +811470415 +522145602 +1471152375 +1191649978 +667213692 +243563130 +217249851 +1828498105 +1020353386 +2059262602 +1967937696 +1691042853 +2045007011 +1951324379 +1582271470 +1486648612 +547194770 +274463369 +427380925 +1262024959 +1799140448 +697558620 +1817558724 +1639292178 +1533416005 +1561090401 +1890472280 +1960955318 +194120258 +321968289 +1932993610 +1005590673 +844113891 +1256662338 +49757004 +1511327583 +1500225468 +267006855 +1192342040 +373095207 +178785809 +1012796089 +2064138060 +76309173 +816636820 +1498925882 +1562957785 +1363831590 +1773389252 +1990338711 +478372901 +1425046052 +540413683 +148447977 +916854583 +2073829688 +1709538379 +659843215 +1887301358 +1903658637 +981811504 +1672811321 +761765663 +1825925396 +781990011 +811522667 +1189769331 +134731831 +1078529522 +234627724 +507827038 +1257315331 +1247423813 +424481451 +1333624504 +2064060633 +1923407333 +749098642 +1280408576 +1549312937 +591953705 +1758781477 +826875342 +1132367388 +1907229455 +1743729925 +1058713428 +1469284186 +256089492 +798531139 +1225459175 +1237900996 +323858812 +1987224838 +916342744 +1105848823 +651263857 +2106112076 +1240580654 +1729793379 +193256152 +1748407693 +839625063 +1440679965 +25405496 +25765919 +1357256950 +1948812829 +774864561 +490181878 +1350642119 +1366818266 +101479708 +30033813 +351702006 +2008709163 +1773763738 +1410415435 +1330509701 +2029853230 +61462926 +408485228 +1120270578 +385321738 +248226419 +2036613323 +1491170561 +899490276 +1995241751 +584267567 +481800008 +41014255 +185191612 +1321425071 +1481694220 +210597108 +1347190990 +691467522 +11926290 +2122055552 +1181649401 +1362568409 +1341390170 +1283129109 +1392602222 +1693092177 +1144354624 +1018882312 +956023964 +327380677 +901251894 +1017486890 +735865905 +2021522472 +1402808628 +984092324 +1910652147 +746495541 +1883582601 +1758410250 +1330763108 +217898961 +1799424505 +1515954721 +1539324032 +1133635077 +1726551829 +739031374 +1825102600 +1738478119 +713603278 +859268353 +953562880 +2054993449 +2142397462 +198681454 +1600601978 +1139268438 +1217563766 +409142294 +1466649115 +2118815660 +1426629184 +55031372 +1992854485 +681954164 +1039123697 +1756022984 +1428449705 +775222650 +1366949587 +611729165 +993121611 +1018890444 +2127683886 +384961995 +5041874 +1706752068 +1123993369 +1830144474 +1297746539 +1837596648 +541929179 +103825772 +1745106449 +536842993 +302507226 +1198224779 +1676111431 +1520070993 +1607367073 +995276898 +1491403005 +886512609 +1050308270 +1336773842 +1568466773 +2089431967 +945313179 +849432830 +717170969 +164779118 +1461161995 +1710292580 +1183669562 +1441362234 +2095254575 +1188711436 +1000630654 +1071764297 +871372262 +150893545 +761877297 +1413301441 +254719317 +359500098 +1950144434 +557226544 +1557724877 +1478772217 +2077297537 +1017608302 +326565467 +1421216894 +1904120911 +1376873738 +610507089 +1325104036 +1318822057 +1555820268 +27053218 +2035993027 +1720599386 +1488215213 +1598801959 +756785300 +782093799 +1546572887 +1945496737 +1782724453 +470853536 +669385351 +1933617999 +1232730833 +2082686793 +40853668 +1592230931 +1885347579 +598080212 +1002472160 +1216636149 +527894101 +2020080462 +1543201616 +1949110996 +1776717725 +772591706 +412134437 +954338113 +2091413764 +1967954705 +981391331 +1979923143 +1541070443 +322122896 +1431241454 +150372095 +1104216696 +830330693 +2095868832 +739457501 +1301184229 +617770536 +525591852 +386431414 +552973681 +566445521 +1978662345 +290837612 +1164525733 +833650857 +1507473761 +1692419835 +706247671 +903191730 +1494047183 +335481748 +1675783436 +1906181620 +1289819861 +1619713552 +1726652677 +123727544 +1452153047 +1120239472 +445850441 +735910854 +1270611567 +1550067137 +1566241547 +1218996752 +142040990 +719942129 +1836767288 +667632843 +1106373543 +242257321 +1234078364 +937552241 +533094933 +251120449 +1771203098 +2040568695 +1943540284 +329967122 +796276777 +1290103819 +665448870 +324576565 +1048801791 +1955268732 +1944290118 +627970820 +2078996276 +1248959517 +1748210292 +377363069 +1984870371 +871338212 +1927430206 +1403628271 +2090334964 +2069471197 +2123570400 +1779618604 +589620392 +1082460295 +2021875925 +1823698756 +2020012536 +407487210 +2074819205 +1643731987 +300572257 +1870875842 +1973699109 +1096849034 +1013496013 +491664331 +1421425600 +2062297805 +299449415 +1218232070 +542784977 +230962044 +319707939 +143511622 +608325113 +157094663 +1014849834 +388271672 +1560722934 +957701150 +310259221 +1536809686 +589836106 +899879613 +471786333 +464228383 +576094721 +344315222 +871715593 +503430278 +1988047209 +1172287851 +226822472 +1814262670 +121653237 +1240318486 +158443353 +1543078837 +1155132643 +457892769 +613827259 +1697917620 +688854813 +933535199 +1841429242 +1297179926 +1090629862 +708795428 +1685451598 +503869148 +1666496578 +1995710819 +2040678834 +108849036 +748106784 +364981519 +573077419 +1324201505 +709296741 +1444793013 +1827631784 +549860302 +469597216 +2054454256 +216639324 +591250453 +1147289094 +375082678 +2134329291 +154938089 +832975447 +600672902 +1852855710 +1521830260 +1534208101 +1546801304 +671526538 +477354315 +108113085 +209494489 +981223463 +1774609663 +57721660 +874418649 +1883458700 +805828445 +1239400169 +309052471 +2130029950 +1948696910 +1753845484 +1810178086 +351073565 +75959052 +1717148695 +567712889 +667209506 +716954141 +942795567 +654055149 +871892231 +1775771014 +1254728051 +577264293 +1150117626 +641452505 +2124065597 +1821644165 +1118806820 +84695034 +2031138654 +2100030284 +1859304698 +2088860314 +826965285 +1595279750 +747205111 +2066365454 +1904332221 +729751414 +1867578717 +1510694058 +392445852 +71168634 +1586653110 +2109594547 +638881523 +106378968 +679065041 +1581677091 +760434117 +1550957272 +1209964457 +2015162169 +2128221565 +212598436 +509131026 +2104803514 +2034242601 +1627937846 +42014901 +1917897607 +1580484482 +1901319599 +1859274273 +259966120 +1349115701 +458995737 +178847926 +1105964274 +1188747151 +2046426643 +469174684 +1581193003 +2117595277 +2055827795 +1543303903 +608993153 +14723115 +74885296 +43186596 +775157233 +1625842568 +1253151053 +642835754 +1606580485 +1465749489 +1151966780 +1563900351 +1352508442 +632420978 +1605915252 +1122922401 +65421813 +1359751203 +834713027 +325387933 +561383256 +1293708764 +504235859 +1667347531 +334972267 +403178855 +2136522215 +1916165270 +373290484 +2044866362 +1311985525 +982283637 +2059589478 +1386870821 +1025470233 +687263063 +865229741 +131137639 +1330098817 +324326578 +1596887128 +334581949 +1888226930 +801911923 +967002927 +1346658534 +1924834324 +1032424740 +558926090 +612063703 +1357812673 +1120309346 +1905772467 +1862048533 +640173229 +93261086 +117743740 +629211797 +2009426357 +491034224 +526594511 +1173928234 +1473317862 +438700341 +413315408 +351304447 +1125963404 +1278545149 +482442086 +308578573 +1602871728 +2079329215 +643160522 +1343615010 +733757490 +1610163450 +542789896 +511108166 +495104542 +1101715986 +1123171870 +1852917216 +74541685 +881460689 +1567482101 +714714914 +974721776 +1685225841 +1343926711 +836664485 +28776417 +1870521223 +2010592719 +1502094279 +161737916 +276424479 +1853398727 +1287701321 +1554969629 +188357165 +1596279894 +1010357709 +120202732 +91956769 +206489071 +853960222 +1702120219 +749278967 +1365068389 +49741113 +1850994954 +340756611 +1902658329 +1925536639 +1222217300 +1322656782 +492767905 +49455428 +860398975 +1836694617 +886119913 +889175393 +1559732192 +749228985 +243786024 +1721470108 +1025653464 +2097184751 +861687781 +433139445 +138058269 +310484028 +1443497154 +258261001 +402440797 +1649986225 +1112221224 +2104561016 +251781545 +329805965 +6818481 +2102776499 +670562576 +1909476811 +1880829490 +1892779876 +1084649945 +226113747 +1942235305 +1945048921 +2062808364 +680871570 +686740666 +1475056908 +1430100555 +930526690 +1049043369 +308270372 +880227794 +1910731150 +741409817 +1018286063 +73731530 +37423324 +1276547064 +476172327 +1687409549 +241284640 +433249695 +1939191094 +571090605 +440068177 +1894483945 +1241653181 +202061340 +1627829787 +986949410 +1286711285 +1853943535 +781701067 +1084276558 +1769268251 +1462572637 +1771017224 +1096841512 +745189545 +554060267 +2145884881 +1053459917 +1434288061 +1909132383 +1794869734 +305090476 +1982863914 +1832293058 +1581637540 +311552593 +1372218960 +1822922181 +744802289 +1163926406 +246529138 +1184870466 +910926704 +1488182320 +1386931806 +391272843 +327648082 +526159443 +97732730 +1109349149 +1610436002 +1867000982 +424438138 +1233969578 +816358846 +1169627683 +1788029845 +814760079 +75603952 +1074834258 +576408814 +1870473687 +1379924734 +411789080 +1555283097 +814078627 +723341674 +780018409 +489517160 +1468143963 +1943944816 +736046298 +505530781 +707387872 +76744970 +1892462587 +1098660715 +404393052 +271138382 +1196393446 +1513742201 +1881574384 +915910780 +1938180340 +968060315 +1732269626 +960324375 +608606512 +399546057 +1035928328 +1683440771 +975954871 +758918367 +915881857 +1387743952 +166717816 +1729960484 +2111085626 +946736226 +71993996 +1431745941 +743197394 +808040295 +1937276722 +1450585266 +884785265 +1682255661 +401762333 +1289178318 +1953394043 +1598155779 +655436871 +1687484780 +366582911 +446133563 +508061447 +2098852537 +1406457939 +1116667959 +350914946 +294902619 +652625082 +1326869818 +1053820986 +1568506940 +567130122 +1220538802 +1150983776 +530732100 +19791380 +1222977773 +1962478041 +762988774 +2031018068 +1752271115 +66090392 +768319685 +1287043128 +467852726 +2057498003 +1092953523 +2066008505 +565451227 +632954655 +285107769 +1011584790 +1141016102 +236476658 +270559081 +110200414 +587391605 +565461700 +762825496 +1914261423 +1619282686 +183848788 +333907897 +692337841 +1334832565 +864639997 +712129221 +410326690 +679634390 +1475117996 +293861110 +284421857 +1541208388 +1062180795 +1571464985 +2009061114 +972195151 +516934860 +1927585972 +1537646378 +1149889516 +65210093 +401747520 +143421970 +301686751 +672306602 +253622384 +889078356 +1237768302 +1016447881 +655856131 +709567341 +1200296669 +989764028 +1401905182 +387645586 +1854404025 +2114034403 +797972276 +386554767 +1441668751 +1091833386 +670976624 +835393492 +6530534 +94957961 +696970958 +978725685 +611892822 +477073282 +368888415 +1761782338 +542283375 +770635935 +1905204308 +843970127 +1442942537 +11343045 +1733048483 +533227192 +1027790926 +241420967 +1242794533 +80603947 +1231184995 +497216067 +468249534 +938105373 +463766822 +1266221810 +1324660140 +1905435574 +210571549 +1995636765 +593345418 +217102083 +2090594726 +1290316376 +1195827768 +555003900 +1767389659 +1564716183 +169302590 +162189386 +187868470 +2074506899 +1006159513 +1630811008 +2085849944 +591724349 +16554552 +966157222 +833145316 +1259349085 +1046761169 +2064330311 +1756565152 +1515010703 +854952036 +72848326 +633748866 +32128529 +1978283900 +844320415 +2027765294 +424145670 +1061422498 +1970876372 +1714462047 +109766618 +378396625 +1334368058 +1674482801 +547699215 +1496557444 +1862351271 +474722466 +355233310 +1345678631 +413088762 +946957659 +1362233183 +1379245984 +1780102975 +474098620 +278523506 +1696949638 +83180124 +1793534209 +404418027 +156028451 +279799427 +436546556 +2134312351 +1124119842 +316828202 +410974374 +38058692 +140220926 +2125436421 +147825310 +518617551 +1312320831 +1822308111 +1066316767 +661394627 +1537175735 +1541039233 +1016627937 +735370718 +1954127996 +1963585596 +2097603902 +1185890332 +1596204923 +424218874 +1464413838 +1145670914 +507398999 +1110464400 +1550088941 +663427450 +1390263827 +1986635497 +650256153 +366900022 +155980051 +1061230527 +404958714 +296200977 +1039183300 +552784025 +814818529 +204020483 +227608488 +1881135296 +865415111 +1764784223 +1274690881 +1882043048 +352671294 +1081335229 +1698144997 +302791548 +119741914 +1146866272 +727010422 +1584155752 +145053538 +1234409421 +547136504 +1695142479 +1897836871 +1937400332 +1534294328 +400609377 +156816706 +1690274379 +1461839904 +561775420 +1986475357 +353539557 +1114559445 +653810238 +557560040 +1342167934 +387461886 +1422975151 +959468509 +1662152767 +1157534552 +1312139803 +596004349 +708195901 +1614931351 +715746263 +1855062173 +194458126 +152418367 +2000115712 +1428867547 +699554872 +1547774543 +1179220771 +489471556 +934585224 +1579830148 +646288262 +477375955 +894186404 +1208063682 +316367664 +1247725961 +175139480 +970177902 +1805286002 +1517307414 +1357639788 +1080777505 +329292275 +872308908 +90828409 +1641432079 +1468313257 +799024310 +1108879782 +36575872 +506602836 +1303337908 +188994239 +359234900 +584721808 +888549111 +1907009443 +1763942579 +1378020667 +694111019 +1196289079 +2024308929 +1171486975 +2090475483 +1084888964 +1487854639 +1190717797 +1260028444 +310548894 +848520151 +629852210 +1668188682 +1929297656 +959144485 +393013942 +2020126066 +453092916 +1861327199 +671666728 +1561972699 +1897903071 +1178269564 +717826959 +2086897311 +1537504464 +1302548767 +827962774 +1297030260 +919007698 +58499794 +1991141279 +2115296777 +2082808723 +1015144606 +2058288613 +1020214039 +355515598 +1101522762 +132758835 +666064492 +1950042913 +762611045 +186769526 +1731856921 +1721755531 +579783469 +1604499339 +27364799 +293627020 +128682420 +1589337498 +44046444 +1306951984 +159680810 +2130943755 +696972801 +1462229577 +811422881 +1994003061 +233753628 +869922675 +1837660692 +201566757 +805247751 +705321651 +112371722 +1825461790 +1060837249 +1213894484 +1958220626 +1726901741 +1016453749 +573348023 +1913671267 +600827023 +147619906 +345971088 +57842714 +174984706 +639598109 +186525134 +1764322204 +683644553 +1493477119 +1924003014 +667104660 +42966272 +1238748944 +1478527541 +2036969333 +1472502572 +200966569 +1727146377 +1674069329 +1006214320 +284984380 +1786441052 +684192462 +1345821629 +852851888 +494929440 +925239722 +1869305638 +1068277464 +691427342 +322649013 +1215897370 +1037398430 +380491727 +1390882076 +1676996539 +567016862 +1007720633 +213157444 +2060493981 +784239999 +880262104 +2103460253 +2022988943 +211305998 +1992945938 +1348007867 +412272567 +1572608667 +874593549 +1418486887 +1857593048 +513550953 +2102679349 +1055931029 +1366402841 +450125142 +1981170752 +1088224831 +1518402606 +525114446 +1410873844 +586816328 +1562512876 +1791365572 +1977698405 +1092025768 +210898786 +837935390 +1305183212 +123909119 +1622175389 +37961669 +79885724 +1497680685 +249267667 +2072831662 +698204904 +661540234 +1497956681 +1572798453 +2080027121 +1208066081 +2086349406 +2035222822 +116513463 +1305268600 +337864316 +2097684215 +246009783 +1856266922 +475315013 +1656883628 +295599603 +2037827889 +1300765552 +125814360 +982370009 +1511664338 +963749750 +140069574 +1635573457 +438441491 +178031243 +1715459181 +1936122176 +427298910 +1640807195 +486843433 +1088839144 +991280228 +2059641886 +1021382617 +51862662 +1998507645 +909121791 +168376125 +1156292597 +1246986108 +118576692 +1402302380 +955769382 +593891705 +911702360 +1251368985 +484235946 +64984264 +1377183345 +1466605956 +1576648602 +193449447 +1606675530 +1064738411 +631890939 +1784706773 +632713944 +420529467 +64522035 +126037491 +907372900 +1153361179 +1117317720 +819531139 +27260148 +1169180382 +670555136 +936381939 +1337556507 +1826847733 +35884399 +1456133199 +1081666465 +991653782 +2050024904 +1993368826 +95539119 +386777202 +2058353090 +1472722465 +1853383158 +1487518045 +1666171912 +1312575040 +404772808 +150579203 +949798165 +1037486753 +571108671 +1014320200 +1163524244 +1478481571 +20197731 +133358316 +150529062 +47457879 +1302538698 +821084198 +983839819 +492611557 +500448283 +1019724218 +1948744756 +1582114749 +2011378000 +1851286012 +1427999927 +2106917120 +90579567 +1338869369 +1432155937 +1943962725 +678903766 +950844201 +1109054118 +1083676575 +1101423405 +2058852283 +2121163328 +1672532076 +925688836 +1137203924 +1003529999 +945886567 +1270562241 +1154059062 +993344447 +425617291 +1975143260 +1977184266 +918228849 +328107896 +849424836 +719489957 +1910222645 +713319189 +423292322 +1190738924 +672752661 +513871889 +382124645 +2104908598 +310350966 +1061028412 +908269151 +1419405084 +2144704987 +2009692556 +1330773720 +2118384667 +1534740984 +108978908 +1108104943 +390787336 +1054865475 +231183536 +1544846398 +2048209922 +656800828 +1372506010 +1877910540 +1575029677 +1700613906 +579851729 +147035986 +1463352903 +1293170918 +570328308 +506608179 +1965923579 +1084200197 +888732825 +1923348529 +1394551164 +1949761237 +684134032 +666472600 +1946982576 +546342941 +1997246320 +1917883595 +2081083925 +2106225228 +878504890 +324387613 +1013607056 +1109688427 +1869234011 +914333330 +1766489255 +1094256374 +644760223 +1194035284 +647386632 +1224611952 +1341071270 +2110739536 +370299222 +1911399579 +469864067 +188739153 +848116128 +1358596892 +2112087682 +95183644 +1160874481 +648738066 +761656245 +960373409 +1195081007 +611418917 +730773356 +1128681285 +570160498 +1609278247 +1453068898 +1583767554 +571483026 +1174819262 +350617236 +190488633 +121591988 +995377459 +1384523917 +768978620 +72505763 +578111539 +732234508 +442804985 +342027470 +1202098576 +631544138 +1190143599 +413211820 +596148172 +1285327243 +1574086302 +1244886239 +2046983488 +386976063 +292483598 +510918758 +1117749420 +1421164883 +1081079256 +579544019 +726750134 +517363162 +1151027045 +1901569396 +867980398 +1341515678 +2023161384 +1863357858 +578555947 +644656356 +1935863621 +1156667486 +1376890865 +231184959 +1498694957 +431505793 +862729097 +541354908 +844717613 +1458877270 +1826682151 +271320267 +556279861 +1726181992 +658296331 +848763459 +89617102 +1776045751 +122444695 +1170696358 +208106122 +849194829 +1688059520 +1359133167 +603280577 +408556270 +553165197 +478958313 +124430480 +1131721144 +1123614669 +2060294102 +140904982 +353021886 +143995413 +1639599939 +784527679 +1006724510 +33471199 +1629245293 +318118132 +1860153351 +1900565560 +874397993 +1438851695 +411378243 +1723161453 +1528468797 +39940346 +1845606148 +551681507 +248046468 +547317329 +92257379 +1607179635 +1150597906 +500813649 +12861184 +1629556219 +625244130 +1144582328 +605687240 +538054584 +1285487311 +958709127 +682049997 +777603602 +1743236806 +1688774507 +811074802 +1224998451 +2006892640 +523744505 +978080364 +733806985 +1962596200 +1389458607 +309484790 +1343581349 +1429398954 +7607290 +1895262856 +1677445422 +554924619 +1987520235 +1137141410 +1705522525 +340850236 +1150002594 +1187595096 +966094366 +147101275 +1793282337 +1504148950 +1432588586 +604507816 +38715299 +62708540 +200260974 +1727489807 +873783342 +1425259426 +1586898799 +1397527847 +255856142 +173222136 +1212640399 +1645314749 +482706927 +408738100 +927230055 +490314217 +156517308 +457191830 +1045238837 +2144037543 +1594333240 +603277714 +337404132 +596852186 +1790872811 +1303498498 +743953461 +1436671500 +660163801 +29058399 +2041179316 +698879100 +91766940 +93956642 +278885259 +965550282 +1519216068 +1865784058 +215594482 +1775072210 +2039006195 +1428234881 +1272903312 +374229474 +1836972982 +52649719 +864543691 +1993490290 +509841549 +1909782528 +1990044186 +2104174789 +365576595 +179964670 +553543328 +8965758 +1483463168 +1297496789 +1445637258 +2143626969 +1326555189 +1339332926 +695022422 +1418322129 +1433289568 +973907681 +236388763 +805021989 +692208092 +451983245 +432610551 +583730639 +1880218127 +1705513863 +957960113 +1569707461 +1758163583 +1822503804 +1415714103 +120521484 +1584802685 +1258274641 +77212626 +1950379280 +1438239311 +630755954 +1959345038 +774218832 +1928252743 +1257498648 +770362153 +1107324284 +449347926 +1465384575 +378162765 +1882637494 +291808609 +614551529 +540175835 +984016701 +1066534774 +972786387 +1567747340 +799269253 +530816602 +378223805 +221493066 +141496537 +53243961 +1637207170 +262018022 +1638046646 +747998163 +339230648 +1440942278 +38753827 +969986602 +1252803668 +812972659 +750755697 +362818668 +1583334812 +1858079982 +812166594 +901235740 +88759099 +547320441 +1193044349 +703310628 +1087496276 +29577402 +1769845403 +2060282663 +1597324742 +421631008 +443615618 +1975548547 +643124075 +585112155 +2028792508 +132847597 +847130177 +1519355507 +880845760 +1186360825 +812814137 +919599587 +8863779 +2065617806 +1732572246 +759619477 +280952826 +1168423411 +470215811 +1093119421 +2069659151 +558974910 +1640439862 +1115219852 +1262285539 +580452490 +1144797254 +884647294 +493251506 +594638348 +1306278302 +936867124 +422703247 +1949402377 +1521979279 +304012107 +2082249974 +221625809 +1823367614 +815612087 +1407986634 +488698104 +1735211674 +1416850414 +406832262 +1320300273 +28986243 +687785088 +341240036 +499202054 +1780904509 +263415539 +1058176964 +1273860723 +1378635391 +172978855 +1854313214 +375948997 +1057626149 +200081072 +970587345 +216420804 +1136948196 +1393290592 +18339533 +511443827 +1697302699 +2100589508 +733069636 +1373186666 +768717947 +2141056271 +1861884770 +356445973 +1410423037 +121233384 +1676746246 +1439409280 +809018472 +2017986282 +1938611334 +442439334 +133918173 +849304650 +1716300057 +1512553564 +1022283506 +1423129623 +1888502561 +2079909655 +1623210695 +711606258 +148846811 +612675243 +2104896850 +167186345 +1124119071 +1654715902 +120292205 +1857188707 +880418920 +889010152 +1850761330 +594820042 +1245456125 +1113700719 +716053426 +774718724 +405626351 +1525071898 +645221358 +196754037 +1967511232 +779139532 +1046058688 +1536327642 +144209448 +2068342194 +811973617 +2032712010 +2000768201 +287700665 +596834620 +2131365 +900375908 +554247823 +169317710 +2024494979 +61480077 +289609915 +1734200039 +941898997 +1178620067 +1437477721 +1536719039 +276592544 +403694793 +105288817 +1051311268 +809321144 +1630360715 +1696532627 +1006075182 +1450388300 +328188511 +2052133870 +839232294 +472397959 +1972992416 +1651205911 +357626321 +1826276969 +1938906576 +954460942 +1828408334 +691798837 +1508708765 +1997726044 +568810168 +1570188842 +139852311 +155526559 +364604191 +1318472378 +1593004281 +1901323230 +1595064923 +1996699074 +2006612047 +498892543 +658536570 +1489489114 +47941522 +1664611752 +792393766 +376130033 +1569261974 +1631626060 +848527993 +1394770742 +1135348324 +1206154314 +1073564064 +926771252 +13131608 +754488750 +1618570089 +1521840373 +604731147 +39896610 +944545567 +744583458 +195423169 +1309149758 +2063055837 +1788427450 +1062989340 +1510637112 +1637642876 +922117739 +2009529655 +148695799 +264123206 +2057471178 +1813307551 +1056516972 +286117563 +1235085878 +540659385 +1134645556 +482372972 +1676007709 +193316223 +1555937036 +455295313 +206447831 +162942139 +2073865403 +1728288205 +767673286 +2113762013 +525350124 +1512256744 +161701534 +1834499883 +1427828933 +1950128985 +750005575 +790982397 +1440288213 +1672123315 +653028405 +1588984012 +1936246521 +563015935 +1254807916 +845279845 +849133498 +342410146 +1385939230 +1983779055 +824783118 +914463291 +29611630 +233236507 +1369758605 +236059461 +396178646 +1296140360 +1964347666 +1163851932 +1262418725 +342214143 +528625028 +1424120259 +29230378 +1956453962 +1226765596 +779235953 +599952711 +519570162 +303875620 +1252981116 +2108554174 +92638493 +1815997051 +1215878442 +937918339 +517646902 +1558288588 +176373921 +353942309 +235588059 +1090837213 +383553939 +468824566 +313112170 +619613400 +865003212 +1609252530 +436477419 +2028855144 +724187607 +778691562 +409996524 +824218 +807921940 +218966838 +1227589815 +1587157893 +818919550 +1747159977 +1891033514 +2071900666 +1708230503 +1983672007 +1740414070 +776625298 +774106698 +110577324 +187430238 +950480620 +464519633 +423018297 +2041317833 +848073572 +891842863 +206946355 +1467686972 +1756846075 +1816198885 +1904164391 +1638217571 +392902844 +535372305 +2048214096 +393727062 +1343294245 +119697286 +1621316877 +782968491 +938616836 +1220993206 +526518357 +863033855 +781740062 +362706716 +455964277 +1558365360 +1136813415 +566541601 +1745795598 +2087294035 +1031061234 +21330248 +1981128220 +1879134806 +913173111 +40590927 +1199338130 +522535539 +1856789812 +956018874 +13269462 +102209008 +1491391179 +2061483558 +495936070 +687201777 +33697197 +2117252948 +1470170268 +972314033 +1190762506 +1996688625 +1835347888 +1972502568 +211911693 +143828517 +1383384280 +1348725108 +710370118 +981696231 +1288535495 +1741431352 +1003026479 +1122180067 +1473082510 +1916199590 +1162770994 +524936993 +291251481 +872077158 +1480955867 +304520944 +974286166 +824863398 +218520854 +1470222237 +1512065175 +252218051 +1439991537 +834751795 +1224532085 +483270395 +683956772 +912396325 +308289316 +895868466 +1056224843 +1691673596 +97109926 +1766594961 +525886179 +1385645422 +1360542666 +1528912658 +360341841 +686141528 +1297628601 +1523112836 +1211078521 +1588880082 +247706346 +544550740 +1893401026 +1221992513 +1369414139 +2111921881 +544731102 +733995666 +216656284 +1984722639 +1568747462 +1441188369 +320509386 +105220586 +206101047 +628798702 +1001089052 +1262325890 +172988651 +1098198979 +881437203 +698874830 +336360753 +94496221 +80303841 +696702594 +780637750 +1377932442 +72331782 +1991716271 +819328876 +320038129 +388783364 +565246255 +1542030642 +1758197503 +529684488 +2086761744 +344709521 +746340772 +1924000735 +1913456983 +40045494 +97026473 +2018677570 +246146541 +725825176 +872282974 +1508472431 +898813827 +1970481953 +242425986 +1597688657 +159359058 +336922208 +1677992498 +856061653 +1117559958 +908441292 +928393435 +961792581 +1727770169 +1248431564 +1350575945 +145532776 +642978558 +961289800 +675217264 +582256654 +1305999322 +1421558036 +358773741 +1071972657 +1461603530 +455800215 +943166579 +1707750071 +1181625391 +1815449554 +1068738854 +2080439218 +1638447859 +1311164841 +1530644227 +1797806918 +1648087049 +1061153078 +506384923 +618163359 +1969594370 +1434778358 +1579955940 +1549880891 +535726275 +783048238 +1695413667 +1178704833 +1744338038 +223147283 +1760961488 +902853712 +1644705320 +2119735229 +1974826370 +958825202 +428051796 +770509301 +519091626 +1609677187 +438475207 +1587830480 +1542632757 +2076923067 +751511673 +925793337 +1727246337 +252115074 +1986946415 +86147612 +870278433 +1809057137 +1520925970 +302750726 +1211454381 +2056652245 +1085798964 +759384400 +1087873431 +682653354 +982531684 +701351271 +1585507067 +479753356 +673602852 +1412849789 +1438578558 +1101654649 +35875442 +1957670184 +563848188 +474350650 +1398017017 +2106480946 +403790069 +2045042 +884790635 +2131036406 +254160117 +724253402 +69700370 +1124438550 +385826891 +1590626340 +1427189276 +1597281272 +1499794938 +365504592 +209182025 +440184721 +1048157947 +1191713709 +1141535992 +486181366 +1671467065 +1815138844 +1899031155 +962561975 +769309845 +1934906597 +772748512 +1333158034 +261773599 +23281881 +1292155332 +665563668 +25326923 +29462319 +649116426 +279487040 +753715721 +718816796 +1403925591 +1139542612 +161959489 +683631219 +589340237 +1661754427 +1049135812 +798522262 +2101939148 +2097293759 +1990235971 +1095991492 +435991477 +1514219388 +763646688 +187538984 +329297715 +1532956534 +2122445581 +1102046227 +718630920 +236735533 +1125328108 +2010786252 +902299201 +1150655032 +2040248571 +1551415628 +1430142072 +646480644 +122748776 +686584015 +1786023256 +284708265 +1370215235 +227879845 +1946462692 +271867399 +1026402107 +1900918192 +221677510 +869154430 +849426036 +657668987 +235890170 +1613072725 +845207971 +565187886 +998545611 +820169904 +1667234113 +1717176531 +1056905437 +645078574 +1580479135 +1959204639 +1795733606 +1473244058 +1363136619 +1078392030 +2119724702 +1485885395 +1764976046 +1758264310 +1770593661 +987707633 +1986144156 +1569572705 +1259575032 +865062615 +1323007250 +1481252542 +1734217046 +24949638 +2138921529 +1970107216 +1638022363 +836645852 +387811454 +489084326 +1656815756 +2055045568 +58777209 +566237546 +552640494 +1639256344 +377958537 +200890452 +965016754 +1741095156 +1279282482 +937257808 +1079496903 +896774880 +548038471 +702606916 +1884482513 +386698979 +124695974 +996573897 +1251761594 +1447703224 +330342791 +838494992 +1472652862 +321780672 +661118561 +963191578 +1158426524 +1048930015 +1452275904 +667758633 +956491935 +1511053114 +1233996179 +1509132429 +1002825810 +1611954716 +1710022881 +1967842565 +1205566224 +841821716 +757616725 +137579479 +1738596596 +1305655196 +840186396 +1475595462 +1692354175 +964882370 +324685711 +796632122 +265101946 +655028503 +1635127114 +1737754808 +976809175 +148762027 +553462738 +2135235700 +1197692043 +2005738643 +655510685 +6700330 +1369308109 +1889506864 +1515832760 +224650271 +1353977932 +1078371993 +45009188 +412060508 +1920193709 +802625914 +549639987 +1511306658 +2108281110 +1389826383 +839418472 +1653151638 +207225105 +1164104183 +302300112 +472327051 +1819132686 +1937427226 +62598212 +648458214 +2086189254 +616060950 +636210266 +1136397649 +474315945 +1291720951 +1143097979 +1843624054 +1033744167 +511447091 +2068274326 +240238451 +1589819085 +2113283514 +652298959 +1362529146 +768425780 +1201938946 +726352156 +729223243 +444281682 +1565770628 +234891233 +651506787 +582391164 +537191345 +1123833839 +254040202 +327134923 +1186432051 +902498416 +265840529 +1802493001 +1538708682 +1402238178 +129325299 +682945985 +397852510 +1972949353 +1716690152 +909299601 +1893740031 +1956928603 +351635038 +1859539898 +461743914 +1714164185 +480482030 +1663682861 +293032693 +1209705273 +2107964543 +1858803322 +1444596506 +611987682 +293710838 +1981787851 +1735821521 +547751040 +161439127 +774769924 +1450249457 +427279656 +429779278 +841474491 +1829517835 +559104577 +1524420477 +79886697 +384570282 +1093626981 +989186298 +130826666 +903071937 +1340821337 +1990366564 +1364815851 +907501874 +323364946 +881015064 +1200534567 +1533070220 +841495959 +911854241 +830183078 +1453483642 +1205565079 +664487282 +1041821515 +1753316120 +825926409 +1816591440 +1056081929 +1253206065 +98887070 +1897556420 +935240252 +657991647 +1274493249 +1015126949 +1042561929 +220636583 +2004313248 +1173388595 +1123708520 +1197650937 +1016271511 +341040723 +2105152811 +1339636458 +1222055788 +1158203730 +725223030 +2063551747 +2070057972 +1555406108 +1369551741 +1128139403 +72409742 +263889609 +733971875 +898336151 +2080481049 +1790053804 +4058569 +31884471 +1540126577 +939298821 +689876118 +667136178 +1954425771 +1732438047 +887772761 +1811255371 +758342995 +2011481281 +861422660 +1774614506 +205038357 +819091823 +966767316 +1427094145 +1977295553 +1691990346 +1343162244 +1899869877 +1099912807 +565230338 +880525633 +1172322549 +829119947 +1614497508 +2070658701 +762117348 +1257067665 +2074717270 +794001819 +649710594 +866532443 +1483877937 +1316846772 +673474566 +1068832336 +57135886 +337246289 +1827175331 +2068617167 +1198668949 +1454306190 +126171876 +2017760772 +273589858 +1553266021 +1847572678 +1965580205 +748944618 +1599958907 +918009364 +1314174956 +333000892 +2090331913 +2143294903 +1947498401 +2013506966 +757928603 +1057082418 +1940740588 +1551930422 +1706793012 +659789384 +888324711 +876156136 +1333263950 +1957157047 +933292022 +1670510240 +1636848731 +854425542 +721695541 +943671273 +980597418 +591972666 +1217261131 +386379792 +292061696 +1035357688 +1135324410 +1892020603 +1953367052 +302015718 +77537848 +1896215318 +297826973 +2025036249 +1762238636 +1055755576 +934635019 +1555495577 +460202350 +493944383 +67801313 +1348527061 +1370100519 +1401065263 +1158200460 +155908894 +924091855 +647565543 +1010334436 +1645787397 +1591236816 +1990931854 +90276415 +661014300 +229827998 +382338111 +1696371988 +1365152408 +126875066 +1502255393 +1667168126 +204412914 +1250987063 +1964995099 +81965515 +865742051 +873267027 +1016600534 +273753980 +1333469377 +1510544917 +341555293 +534512790 +733161789 +1742620557 +1692713251 +889070683 +519228764 +192795146 +1899405119 +17532513 +1784031963 +1742853325 +107808928 +297562615 +1972681324 +490147039 +1993934603 +1190350084 +617022106 +1348706348 +710034563 +821435020 +452209763 +527546014 +903400536 +1317951815 +1400813042 +1920001070 +1591705795 +586798771 +1283062340 +1933261089 +1121311562 +2016224129 +1528397998 +666541165 +757811164 +2047626762 +859336311 +509732635 +2065159276 +495884626 +105102312 +25484556 +793447241 +2077783636 +515631596 +639898197 +1120650073 +1132653702 +1988604545 +1830684636 +1954088722 +293330661 +210747002 +710005610 +1611282476 +1611560044 +482523033 +1055504623 +50875168 +1765585373 +841282064 +1172186730 +1634325854 +222196414 +1838727895 +244653370 +122339529 +550580558 +754386005 +40015157 +1046465185 +859488317 +65499713 +1839912426 +789788306 +581131309 +332326975 +1910438379 +1713785011 +173447873 +1593639367 +1520390086 +466778534 +1804386369 +82912048 +2078061010 +1268462766 +565435081 +986081985 +1319337934 +183536806 +1827364050 +344041016 +1817862660 +2049560464 +35285263 +2062516030 +24416345 +585865821 +669418387 +64431502 +1632331006 +1528906705 +129931216 +1324759785 +171211363 +711062525 +1657086760 +2081649742 +277363889 +1830534633 +1527805461 +1797753975 +149829519 +1184708182 +1880666023 +80406881 +305687300 +298617457 +1066488867 +1625025234 +482154263 +746369269 +1969066250 +152533276 +648446085 +2004351513 +67565658 +672862431 +442733687 +736984046 +737293933 +2075064693 +118407103 +867225149 +1252340830 +289618466 +1578287675 +761943943 +223784560 +1855651564 +444994928 +1751590021 +1505921891 +594824448 +788814555 +1239104266 +675231329 +1094501856 +1537721723 +1741720196 +572043442 +2019875987 +340605817 +393626045 +24925615 +989051903 +250493910 +92491273 +1661914334 +693227597 +829475319 +251724619 +620808643 +947882422 +1118949769 +1873149473 +1237500888 +549753796 +487609768 +1461285448 +257921712 +932604697 +1065391821 +1763843603 +1527429145 +1854206377 +855464221 +55176826 +801224585 +245702297 +1796897023 +1373268027 +118094636 +2137502840 +1766894072 +143020251 +979071095 +2017387983 +235511524 +493501781 +563131932 +1064986844 +745226401 +1183940575 +2012869266 +1864176170 +909606401 +1102886507 +266446318 +1397216169 +416688307 +524368030 +182337218 +1482080129 +140727985 +1709766363 +1188802858 +996192206 +1764943190 +1990027443 +1241894503 +1414356565 +1215811822 +1359989139 +1404375757 +835222247 +1503009390 +235963205 +705126582 +1738520915 +729464986 +1268258514 +656024111 +1474691387 +304715442 +521409729 +1191383909 +1214321843 +1624296236 +1457830227 +464054364 +2040984544 +1982198257 +646391583 +1375581025 +2122926242 +208674298 +416900235 +971634801 +1973617488 +259444030 +66045656 +1240490405 +1475255852 +1426034796 +497382515 +162994451 +781560538 +733345720 +868121033 +372597805 +1462810706 +2136379548 +1028621916 +790018446 +293611342 +1550031646 +1981402355 +1507933185 +1026844234 +1291748935 +1971987549 +920345130 +1126463544 +470895484 +148442507 +1101906139 +679569783 +565342742 +2073540940 +505703623 +824786772 +2139586596 +1746194029 +152558977 +1418137744 +96092896 +315553428 +52214635 +829438616 +1183674462 +424812440 +144765674 +1172570362 +1453434357 +934784120 +1466181704 +855982355 +768702828 +826631241 +1882826589 +2060451763 +651135142 +655688072 +1039431659 +1122030627 +804130579 +2141337798 +1801600410 +1369473322 +2067395090 +159820385 +46776446 +2059498039 +1906014414 +199335423 +1330152135 +2002107310 +514888852 +1382366770 +684062278 +1698563314 +1807179211 +828827953 +723650028 +1113129920 +1763612073 +42348084 +1969112275 +384831253 +868979325 +1704455216 +297799368 +1520114467 +212659640 +1337231028 +494661446 +1016790220 +1331085178 +148778208 +238779894 +1250996621 +308598594 +285556340 +1163011012 +67129360 +484891764 +345679499 +2069236671 +999780616 +1728046270 +605815301 +550860282 +1387741833 +1434643254 +1274510310 +353388105 +1050771680 +1316858394 +175016732 +1435602933 +38354071 +1879471948 +1733402302 +1558468538 +2092131589 +923149682 +2053129985 +961438161 +106751212 +54424545 +1200218055 +1357747833 +363023139 +1485774395 +373275197 +430152500 +1970666159 +718954697 +351905523 +822963127 +299517319 +957720824 +1373823409 +1687259152 +244880431 +500850071 +2040647257 +1295652111 +1817708465 +68180341 +583771396 +1856062536 +1947652289 +169690050 +1267047427 +1892300230 +1092839732 +1172693764 +706254743 +1199590945 +1227118309 +1906472798 +409855130 +1590141449 +1244763546 +783130328 +2020293949 +1067946057 +1502085025 +224715824 +1890909185 +1801602344 +1182436648 +1117248946 +1341377848 +1427317079 +1618099018 +1234541457 +575485542 +1288323835 +1302721798 +1159256939 +996902724 +1102890439 +1328946989 +116466503 +847707022 +274303074 +1289160267 +1553961765 +1473894019 +368794928 +1312950916 +1883749149 +1958936377 +410230814 +519395829 +1831746678 +1478176871 +2021480854 +2056462502 +1221602408 +1675599550 +1091415503 +191367707 +869493750 +371248934 +1809466725 +2104035207 +946734477 +950306912 +1259273357 +2105991416 +1947209636 +214680149 +1287454757 +2063676139 +1062387171 +1561757831 +1205352758 +468865288 +888168202 +1574147687 +1781816204 +624433704 +1385600416 +44563370 +1143829533 +1069863447 +1522740242 +1017826740 +978842301 +596859002 +545942642 +2070257804 +788226709 +1415436393 +294023091 +450209786 +1371987952 +1240757568 +1400516699 +483777662 +1199265336 +1200242687 +698457811 +339236445 +1116435179 +1760844982 +1900994277 +174304289 +82226622 +641678831 +1748451976 +1864042827 +1266112535 +986568745 +1908606197 +262458421 +2056432192 +1283862791 +1280285161 +887790845 +1880721794 +1826227803 +810565002 +521464855 +1094180548 +1104588093 +971674642 +318684853 +197862013 +224707693 +802462515 +1397127349 +1424950380 +1500920326 +1736363794 +393901911 +1114281660 +1489874423 +568206201 +1196508282 +2131553255 +169174529 +913067461 +1250182142 +1155743274 +674190011 +1512640563 +1064691818 +1958052802 +645442076 +1952482664 +1691290948 +324186232 +615564018 +65272156 +1418366780 +1720152111 +1036946798 +1737051633 +1918014124 +1261654491 +392030500 +1167657825 +539121223 +1892950826 +756537971 +933023135 +859748838 +98928747 +1501229336 +2056257121 +82998354 +1670403865 +821840934 +1333180496 +678663492 +1496030945 +698337412 +1743355310 +1306600100 +1343779488 +1548354326 +850407400 +1667965720 +16434696 +915679556 +938848853 +1736586807 +1952626354 +528416838 +1507117283 +1066797197 +920447339 +527291460 +1605918421 +665914517 +1283829432 +391457908 +1525663356 +1382758179 +1892687244 +1434436829 +1465756533 +1415607461 +108794115 +651453381 +2094270953 +1604825061 +1349790793 +1690142616 +763941513 +546086634 +1091013294 +1614348913 +66568706 +1107447991 +382544822 +1005417559 +696551150 +187687528 +1533834398 +56184786 +1254484726 +306798089 +583476246 +712919499 +972712606 +1867305678 +1104377407 +350892314 +1102580209 +849581003 +1785329143 +420853094 +117704816 +1894123259 +1072306476 +64492122 +1351464672 +274613621 +1754634738 +2115406185 +820700255 +698164384 +1582271450 +887268962 +1805612375 +1964816272 +1892686521 +354679878 +5020153 +1279037271 +410864664 +1259504879 +1585835360 +994340910 +1972424378 +411064319 +714162941 +929318137 +761956633 +1816743150 +1778899140 +399802129 +90112597 +1896603956 +146441740 +1162419073 +1961096078 +1497906412 +1437032694 +1568247168 +1465828949 +110249302 +118927905 +900616751 +997518264 +1924540280 +717949376 +742721137 +131736510 +722969529 +2021758409 +542601174 +1982474408 +1460110121 +1536942085 +1807415138 +1871174440 +103621378 +589249627 +485647426 +1920364528 +220665119 +885449555 +2010477125 +2117269075 +1031891295 +1025412550 +1930881506 +382314059 +314961597 +1351645026 +1848143008 +425210899 +1470572931 +601276111 +1422729163 +1247629564 +1319225487 +17966652 +1379366074 +2042195016 +2039725061 +1921967249 +1877185776 +1352351535 +1311425686 +1537117266 +1076042327 +1415047064 +2126366893 +1561689753 +1187927944 +199548364 +299655660 +1050921422 +169333792 +1331546955 +2076333972 +2100215298 +1713861014 +243811921 +1304376676 +1414520374 +669022820 +627465960 +2015796486 +2091751983 +1875095524 +1187538325 +2109718636 +1106977950 +1082249694 +2001960049 +881461551 +811951822 +1206827936 +45403589 +201585441 +135386616 +1460450653 +180468686 +1697076369 +500894950 +380017051 +1996732030 +1551816372 +549350843 +1180795337 +1480666696 +502082493 +747172704 +1724478618 +1806459169 +14209430 +246017790 +286441481 +2030005916 +190286126 +14053357 +1070060594 +152521114 +1121031308 +4826640 +6997515 +2002492859 +816778462 +1213825452 +2047896449 +1018363903 +1349212068 +1360863454 +1198832590 +898804789 +1861758404 +1578849641 +748053171 +1266091128 +2128200484 +1928848509 +599274177 +482799329 +528537565 +176269147 +141774850 +542746995 +422286937 +428216332 +425269264 +612573063 +442269689 +1495329858 +765094177 +1563300997 +1500156498 +772091693 +1418310209 +169451312 +1985917145 +1318723010 +1187815216 +1187645565 +532102816 +239164158 +2086450354 +246377573 +1818013799 +687019878 +1512468701 +1798730635 +468384739 +2111742878 +134046316 +996922304 +140528377 +275821166 +1539669299 +562815315 +704037498 +1964938563 +1175388378 +1146307188 +1312784773 +1940482556 +562124537 +665457623 +565090601 +1980434746 +834908936 +403524098 +1151674108 +2022724152 +1591169663 +1683776925 +114404662 +1530136369 +1930154498 +1932418461 +69672599 +1295139551 +1583665448 +538057338 +1259398782 +1717711764 +1534979642 +1399927159 +1993532930 +927165294 +1962742474 +550086781 +744620209 +990647205 +1696393969 +2057404983 +783646113 +111034858 +575378958 +1348736714 +2091469605 +1410287894 +1752260812 +1095660065 +1285528398 +1195946827 +631953342 +1399933060 +578599548 +414624192 +1184867873 +648272148 +1709763744 +621049673 +1186329486 +821678878 +191277789 +573825481 +74122389 +37327072 +1500990775 +2036864864 +587413853 +98127336 +880028421 +136324174 +8048671 +1663674534 +247359032 +583427630 +864927600 +191344989 +1993715524 +469704764 +1287005055 +1131760275 +1665651591 +1918958397 +384209687 +96767491 +186098942 +1569077561 +745039639 +1895862686 +42643586 +1931369126 +570057916 +233921376 +357710959 +644180305 +271248448 +1858701734 +533561521 +858662301 +1956829070 +1413589942 +994986475 +1964877742 +929780828 +1242345507 +400821724 +1794708428 +1433690497 +247053600 +116929544 +573211904 +1378813875 +1782581135 +344686653 +1763023563 +1879348627 +530785595 +1184617476 +476904618 +279164633 +1227261062 +260790096 +849222549 +1461182438 +618501055 +1493402855 +1732430886 +329719141 +2026964376 +443609539 +139064564 +1293070671 +1438596014 +2103942306 +75367851 +533457874 +357280382 +1870076280 +1967148371 +604333982 +1987005824 +392876627 +1983147858 +1622103312 +737563280 +1598687773 +1353968291 +1268348876 +635821601 +1830872909 +1547513509 +1863082663 +2091663006 +249252411 +1176781454 +562680413 +1742655266 +761728692 +892399555 +1622135994 +1205338232 +1031464119 +767723017 +496450598 +987922777 +843090869 +1029908472 +1345203159 +565683501 +849573195 +1949537141 +405205677 +1242449822 +1785201351 +2027308989 +1980013103 +1236405476 +1233793632 +1100878331 +1872227077 +917182894 +500908192 +1587826093 +861362252 +750160603 +617123899 +1424042665 +345332221 +1378852591 +168958572 +1967468216 +436707175 +1200422691 +587707585 +933157774 +40861820 +1430798454 +1963066246 +1386064979 +1996481955 +665155794 +1188118473 +254203985 +1907605616 +825836176 +134029326 +1740135071 +2062241653 +1367822959 +693529754 +1786985082 +137522205 +1194437947 +1227327527 +998884457 +1944598550 +1844451426 +275443474 +142447124 +1075820370 +444402047 +2109915340 +1512527545 +1644824738 +550139277 +298201671 +1685686559 +1980937732 +113784270 +924267890 +1829936039 +778940064 +2112386363 +2084140024 +539062032 +790738892 +70685703 +131713456 +705496897 +1438508662 +825243210 +344998331 +1576030867 +2019681157 +1572325859 +427431676 +1816796060 +1269293637 +702875150 +1959243184 +197630359 +1147277197 +1921674876 +1710157905 +644618288 +324330505 +2008359576 +182821199 +157784589 +2122143846 +1107089089 +1987720629 +753600262 +1071991805 +1924377005 +1292662295 +1862730697 +1995062708 +1424375751 +420743946 +1286087722 +102135313 +765742277 +714634941 +2121816471 +190584488 +1142066617 +1791128883 +1459878126 +1844941768 +1602888419 +1657508485 +844735317 +1377079647 +1220182742 +1489353605 +1701410152 +1081058671 +1672174804 +1859194742 +1055718869 +631780246 +1699431723 +1809319132 +1703772051 +1476325080 +954497779 +1419019100 +1323904141 +231389882 +1839763046 +462508215 +333525195 +458021675 +1177143157 +307858018 +648606164 +171726126 +2098986901 +2108484290 +2016667894 +1554391672 +1618509127 +713919564 +783987671 +691208222 +55789521 +337914176 +1772266893 +1727964326 +49625270 +680502114 +212260924 +1749056993 +342337598 +1916032975 +1077898425 +1296835377 +1187568427 +254318918 +1528225259 +879847825 +716827134 +1861750455 +1337869500 +1893970291 +22124825 +1986475664 +2065696417 +2121111727 +1947476306 +1934880664 +1528019751 +1418501786 +501316580 +164523775 +2109710008 +557106101 +502437951 +1734493253 +137586779 +552063221 +267511719 +349847703 +153636566 +609849318 +118397030 +1231534991 +1906684695 +1305965457 +1485853910 +1287426307 +38329634 +55197396 +1001693114 +1376199135 +1949167687 +1023817939 +1215191151 +1867380456 +997446018 +1015183810 +1654777472 +377982122 +286201948 +8610404 +542505897 +248428308 +565716506 +1044943848 +1982921561 +703303285 +1597007069 +102949632 +1053150989 +1750643635 +712798950 +1171548019 +834694978 +471999998 +330029829 +173065240 +1759426305 +368359463 +228262636 +613635771 +1744558598 +29946675 +1637453710 +812266102 +1897327132 +487416081 +1827449912 +1404620956 +865398203 +2113651860 +1413231361 +1407904100 +214596520 +1978947867 +305364300 +50034433 +534767504 +1902371369 +152984065 +1587918493 +1505531356 +865783016 +611982865 +192742686 +1337783014 +942012694 +365807927 +949725671 +1310372157 +594070563 +1563361442 +907447108 +624017239 +1053331504 +1719713210 +373860723 +1540747585 +1399679474 +1778481679 +258662140 +1365847686 +1044229392 +1666566240 +1580444206 +875693611 +1971930540 +1630478639 +1410461116 +1726818261 +1783462704 +850895961 +1084865969 +501762072 +1462878826 +1277608656 +1839545086 +257407872 +1643416583 +641787109 +1567780030 +90003498 +57664903 +327743490 +714020737 +1110996408 +2047456700 +1087881460 +504260345 +1299652526 +718879492 +762922486 +518016564 +1763108884 +282005078 +2098460770 +491318848 +106451971 +1581455761 +1901779964 +1833270232 +1217434817 +605192277 +770652554 +1719196890 +2068071104 +2048261210 +1411258328 +177995328 +1544194145 +2053045438 +1745775358 +1634197643 +2110710341 +2073518848 +200734733 +1074223101 +1973491900 +1288616193 +1578483447 +1125660778 +2007495685 +193922285 +1643677342 +1623120922 +475927363 +1594654464 +2114439770 +582379334 +1028626577 +1868736086 +268165919 +98577747 +326444715 +1038818473 +1817774637 +247032171 +939596035 +1081549317 +425027500 +336306532 +987111107 +23319210 +1970504175 +950337801 +2096838059 +23755260 +2024560902 +1922846311 +1312371454 +1455560701 +901023442 +1172383491 +1649482986 +397217136 +648020765 +2125410350 +1991871601 +614976887 +560306036 +873014530 +336229325 +828471955 +971592277 +662674041 +1867290428 +641883266 +909706212 +659402815 +1723432584 +1334733712 +995709347 +563060043 +1358052923 +818729875 +1513397844 +1307407334 +842485135 +1390475099 +1082769997 +7372941 +698552152 +1983793439 +1179756433 +200551491 +233526928 +1827777198 +178478193 +77914881 +295270438 +738784229 +950929411 +631499763 +1567256185 +1922521689 +1294173804 +1287062965 +416921307 +56396369 +1946465781 +2140353891 +1391130081 +794691480 +555930287 +601699356 +1613421355 +2069328131 +1909106690 +308422843 +1312319582 +844393040 +315795784 +2010871735 +680702831 +1495552217 +63939578 +914229759 +1175845768 +242417771 +992144640 +1471116206 +981202000 +1943074052 +2102615969 +400974537 +1718112093 +1249306126 +1688037503 +2135033400 +1305702495 +1487019636 +2127903644 +549348928 +134227468 +536350283 +1151048285 +1747648824 +458194766 +912671327 +2056071667 +1770514349 +1757064367 +224383803 +1633902436 +290283551 +1719936021 +1697842014 +1204513310 +748298141 +1940259785 +49174303 +71930699 +773978137 +1992248355 +27063020 +1174952675 +1562876800 +1276369146 +715506530 +1550426552 +434587993 +55042518 +1530846548 +983936922 +189269986 +2067196831 +2134985207 +1936918810 +377907950 +900172886 +1845506829 +938651 +509753606 +2069890633 +1634841087 +800037157 +1642343006 +1185199453 +2004550467 +243157499 +977975590 +2053724770 +315088198 +1751953727 +1898489477 +342151218 +779422754 +1313882629 +1618520365 +1494929284 +716825534 +2053108358 +1549971802 +100188434 +889561632 +1739241789 +19901618 +877063191 +1528676951 +397809568 +1777236078 +1226700133 +398748219 +139506036 +1149107118 +2033589306 +939543193 +643966476 +1071305111 +796610012 +887123975 +2049280701 +702851135 +1202212173 +1653750780 +453856964 +1544363391 +285689887 +1767739594 +1015400108 +1780619171 +337081480 +921024819 +1183107326 +437269914 +1810586451 +774865467 +457171532 +540165995 +156058770 +854981100 +169918425 +1382758903 +1253729319 +309424461 +384382373 +1139834977 +1248967654 +1028348849 +63656440 +2045577666 +1915472824 +2112937141 +600945153 +970201349 +1619204274 +1054802118 +367081093 +1904894161 +675058064 +1382481201 +1538029684 +1012139544 +156022372 +573653362 +1449409458 +1966608824 +1348518829 +1906580991 +359291171 +1504577600 +614078443 +529209596 +739852855 +1867807763 +838634057 +1124235229 +860159092 +2087601711 +5100430 +923815533 +1985695729 +1920573255 +889269026 +439157235 +743290956 +360989652 +1493959353 +1110372049 +118400165 +21533769 +345369603 +1656429850 +1033673313 +501391975 +82599564 +335599123 +320517151 +1431118394 +94696466 +679808322 +788212346 +708774910 +1209017918 +1528065201 +429099025 +2047651975 +504816782 +1289258117 +1987770038 +509917213 +65590002 +1825982120 +283006820 +954859029 +117655707 +1026297776 +1315848681 +1611615060 +2136669826 +1434248847 +1633148829 +334555781 +943195049 +519338494 +835947756 +1025794613 +854937617 +1156464908 +309429359 +949634084 +1836273230 +1097641705 +1658408994 +897807501 +478223259 +2087508019 +797975828 +983040041 +1229282488 +638262219 +1492957254 +1294872491 +316760691 +1775964074 +102247872 +434416398 +654778203 +1418096553 +2046031458 +643964381 +704861752 +1531696639 +978520162 +1648056801 +2051035133 +1814467918 +526367767 +758489102 +823449178 +835797126 +1708123186 +512238761 +1933438832 +1219048532 +1410046262 +264178443 +1159072903 +60538442 +1247218484 +240871744 +698800661 +592692091 +1535744235 +1015561352 +221172517 +1637992107 +1449977750 +875950720 +908605012 +1348525560 +1519915101 +1613466765 +732738551 +350951615 +1114039918 +636290036 +17935886 +1640407685 +1394779139 +841385064 +328721164 +955418677 +1353623825 +114676348 +26983562 +616186439 +378854791 +1186056465 +676724882 +1626073275 +1426928209 +1375525543 +71281718 +815188796 +243603248 +292454236 +305697255 +1693580998 +1168404956 +1214302268 +894622911 +540836410 +680285385 +1627361462 +891788025 +1794325303 +116167851 +909723911 +1287249341 +1510946990 +1751108976 +1615970505 +318882019 +957249153 +1730646853 +345865581 +1573435593 +2109501644 +1531922047 +102676827 +1588091271 +811366608 +1478202370 +1659372990 +1626555405 +1721805618 +1951827226 +1932252660 +1267902969 +972748534 +999071280 +15042232 +1513584944 +1679356665 +1642403694 +257889322 +1326198321 +1758571545 +1167613233 +465964014 +1122034887 +771238561 +2081934519 +1440916907 +1728487715 +1665097724 +1786782488 +1154439660 +1627115720 +1171220887 +1257116487 +1067723343 +1982587496 +587835209 +579612685 +1461659253 +162157180 +383956263 +1246428265 +1430060149 +1356704798 +98015898 +1445102381 +722806094 +1777372563 +940022427 +980695416 +956087236 +551110325 +825002 +1422051250 +1673145212 +772063563 +1356502121 +966578471 +353067630 +874116197 +605877312 +1507507290 +353748269 +1777098199 +617140129 +1421471613 +1612202047 +1204975339 +2001084298 +926377652 +1367132519 +237556914 +25322270 +649709020 +1594261712 +123338168 +2094811401 +169584158 +1900710731 +887350180 +1150279575 +709314320 +1438460505 +1151104577 +2131365570 +964122070 +1923168140 +1340384044 +1930700541 +128752123 +67016593 +389094205 +1636259413 +420764863 +18708757 +105915895 +1842236476 +1630910804 +1310891234 +1695837126 +409804809 +530540105 +1933394040 +435127079 +1180249125 +1380172104 +558465247 +1127576878 +1549756263 +311692330 +2014927058 +552552190 +1021006650 +1305903916 +1703656767 +1004888573 +122542338 +1479341259 +197788969 +2053242879 +1608093382 +264805562 +294853437 +1096869148 +685570425 +313562194 +1202785043 +380323253 +1944472998 +366192629 +2076160380 +206794159 +896732734 +1862070772 +641921238 +2076981859 +1094759229 +1200386485 +1057075089 +497031844 +1512078816 +924518499 +1049584034 +385601818 +82938767 +605757153 +1390490391 +205481105 +2085098412 +1588279360 +111240337 +1545708147 +1853084923 +406093774 +495093647 +391171700 +719655968 +1697878690 +771494954 +516645318 +2064071319 +700171686 +723439478 +813320405 +414758810 +1365360716 +742818616 +1509518039 +418263554 +1799893705 +2006549883 +1930342370 +576928556 +908650269 +168460540 +659867324 +1514407422 +1558950932 +865348429 +1452022187 +999746644 +976588766 +850246686 +705347919 +1382682540 +1345340333 +1096519620 +2102338508 +895735375 +1868014574 +471500179 +812323046 +420702612 +1194939657 +1625643451 +835461422 +412816725 +220978419 +197495814 +831080279 +2020872124 +56562049 +613939001 +450317032 +965212319 +782399542 +1110184356 +332136093 +193866826 +1975532786 +1784158280 +1193613470 +804637904 +486921318 +1898961390 +39836797 +1832261651 +847997362 +2142175305 +580513378 +568528288 +466191836 +1392836424 +989230900 +1661131493 +870996227 +1824692322 +2073948219 +1091974646 +2022188136 +757544850 +965363122 +2078750186 +1371483852 +1415680155 +896478857 +6399746 +378380863 +1228614950 +200266572 +206430001 +865289583 +1393880042 +1011067906 +1352210901 +1145357784 +1050904703 +1036988905 +1993355146 +1045596360 +1617502283 +414399786 +1511788197 +862855060 +1403630686 +1025436042 +1733851287 +1080839361 +951900613 +678342286 +955543849 +1709445464 +1643705408 +886810387 +933445668 +911901915 +1783289244 +939845414 +1290282779 +864420547 +1140111986 +1496712780 +1729710130 +386508380 +360297038 +934437383 +1531866165 +1411201741 +1971426288 +1377737663 +309314454 +1441444924 +1792137450 +1821102651 +156816336 +1048284488 +699055045 +1890667623 +2129123849 +1650955659 +421526261 +937184051 +1212917475 +2065231670 +1823994438 +2146363143 +829649937 +1459800035 +938724909 +2119932716 +176736934 +2078836895 +1469161849 +1906447064 +317861627 +1829458887 +693400799 +1849727792 +1093176981 +517343440 +1079981808 +1402491435 +1958788364 +724635610 +1076110438 +2115604700 +1772920098 +1775165483 +1858788675 +1754560300 +1278637494 +132831289 +544260703 +344071321 +50579311 +220771493 +342950816 +880229248 +1680571528 +1281675725 +852678317 +1857308462 +1213028972 +174356518 +1616271878 +1530890600 +2003815405 +162189030 +1233134744 +949508738 +679532470 +165632904 +204516525 +490837186 +890268514 +1280626963 +458958238 +515704965 +908308799 +170263265 +122781617 +39462645 +303094554 +667042320 +383533967 +353673865 +887813813 +726484783 +1233903114 +420901694 +2008160509 +2086581431 +130726508 +1073705833 +113454301 +1746998387 +457112785 +2117269706 +1909187417 +1690247530 +919294797 +441236239 +1855880434 +1123811322 +932073425 +598665301 +256954638 +1391031663 +1114370266 +1165263437 +1561294928 +1237151883 +1204726082 +1864389483 +1904194203 +1588260049 +70579700 +644524368 +167261185 +1304482814 +1065426062 +27938046 +1243580597 +1196152571 +1101643879 +1357034898 +795667310 +1558756665 +1326820957 +557371079 +1101520547 +98632106 +998607318 +809917333 +1222443428 +1930680743 +1408582634 +1479398066 +1174228758 +375469252 +497177855 +588040038 +1612621135 +1701903938 +304945873 +1369331690 +1142680339 +375525574 +2013856059 +1309941524 +1680008388 +931798473 +1337879570 +776105338 +2127951044 +292039802 +2133140236 +776134706 +1850796467 +1312477545 +1333505785 +804833366 +1411109651 +184629455 +1614750699 +486069432 +2115310198 +875849686 +1965467498 +1142055308 +1251318938 +315161706 +1730095347 +716456426 +2017065644 +2035041220 +2085788116 +1012262335 +263083146 +1952160527 +174720212 +1943091535 +736475353 +1512599782 +571713225 +716942749 +1804639584 +557369813 +1493077456 +1507952403 +1869847359 +679099593 +165302121 +1133473362 +863729049 +1780052821 +1619542794 +831555599 +508418859 +1437526645 +1973610908 +1759737797 +1752688351 +1556222607 +328710575 +1622270347 +1443780179 +267015044 +487049034 +1706863326 +71691923 +661769246 +1502471213 +808167276 +26885381 +2074184438 +1525110026 +1831524965 +484070603 +870703834 +1191993721 +206434314 +1549803427 +1357295842 +1339907677 +266048828 +989865015 +811966823 +1097604428 +1498283874 +102009820 +923731688 +1110538024 +1854698171 +332470647 +1439248599 +1329484870 +1776250826 +1706263643 +1816533905 +1335630504 +1777955567 +330819503 +690618069 +438639195 +357704884 +617318859 +1963749221 +41746202 +1101389463 +686969407 +1233739923 +1307823777 +89289187 +443552117 +500247806 +355338015 +1433417133 +1312214630 +1452942443 +784217359 +1414224450 +229190483 +1894755383 +1121438974 +561661130 +1186520335 +303440196 +190428309 +745300330 +2119974101 +1526058813 +375772249 +303309957 +69193235 +814411445 +661014841 +686512094 +630677018 +702761043 +1787901557 +1317646426 +1936500966 +948241687 +1406935613 +232569436 +1448489493 +1762273628 +1665986569 +613220475 +1067732424 +302720280 +2027444926 +1296922907 +49992016 +1001400252 +1858584038 +1236512351 +1304840448 +2049012347 +1981812681 +1277330902 +1427587512 +210101283 +1580640859 +1496780747 +1024512728 +94172052 +35809194 +1655189746 +796933096 +1823710751 +825352524 +585950414 +624468790 +84804489 +818519850 +2072958284 +1847078118 +337022771 +538695111 +767326894 +639743052 +418656389 +2064249801 +689735068 +1420056641 +1775350191 +1926247419 +577413442 +1676878890 +1760576452 +1854744344 +956982755 +1970677735 +1287901555 +306279854 +847706815 +1382073607 +342089048 +355412914 +31523055 +18316152 +1180765438 +617473470 +642784942 +1265569928 +1435993320 +568259578 +965164398 +1773016092 +1106954690 +1732491292 +265275496 +1525611079 +1649257445 +955010564 +798184073 +1277123989 +733774335 +1375597515 +806519231 +346867139 +1082858211 +1763501986 +170061227 +223276118 +2069781841 +1017768042 +1605349725 +264387241 +1373180956 +1636872781 +282703393 +406462747 +106862603 +925488336 +1672032675 +1542855923 +1493747914 +489713425 +1168388367 +453218956 +74721069 +1433663863 +1978830036 +1723978514 +241190779 +629530461 +853618855 +974965114 +2005127976 +1660138087 +1321832254 +940502539 +1276156425 +1491893481 +1163778657 +1198454618 +362177875 +621644734 +1462841860 +1735358832 +111033867 +1745545253 +2141821579 +217896470 +523549941 +1666370606 +1760752394 +2017297856 +8600383 +781657113 +323033164 +83321452 +67837329 +154379552 +1807299966 +309028108 +783910013 +513435174 +1283993223 +641554341 +26089613 +458341829 +1582056880 +1302246038 +1950235310 +598351889 +353217009 +164929537 +1219996624 +1816058869 +1900288369 +1331030491 +1414120474 +1894626300 +1548926962 +1937670416 +1413513258 +1162195708 +1807484624 +1422113641 +1943852821 +2130517788 +1505435093 +2011690150 +137413693 +1165251412 +173234611 +921323706 +1678686586 +1457227834 +1562878048 +1704776199 +1915569663 +997451280 +859538589 +1718321325 +1595803170 +1212755598 +1883250862 +668316146 +881330819 +1636055584 +1999346637 +147967646 +1383198236 +1400789951 +2085638062 +649227847 +415502011 +1745639038 +2071341488 +211871185 +1728673178 +1429292934 +76077687 +1866086871 +447060698 +249312298 +639926930 +2125747284 +1706540132 +55321330 +1683039835 +1474626147 +1052772610 +395094776 +1045463824 +501092132 +1607850375 +781231039 +1169408278 +341697546 +269802975 +1021271268 +489665192 +1653001211 +274577571 +427819606 +154745410 +690079583 +25974996 +78603251 +901950768 +1754648175 +1507896185 +978028455 +1473251398 +1954956883 +1227340754 +2113178328 +1933220519 +786397238 +21016010 +1468776706 +113539738 +1073788621 +1863871482 +1159003562 +1574880753 +1324238209 +1940234601 +596805384 +1665935756 +62553928 +1618076652 +8117300 +1715555140 +1892654223 +435936907 +1870300550 +435250158 +461911903 +1948903801 +1337200926 +69076430 +1309316338 +167745734 +1542327829 +1116789573 +1395086488 +1508022509 +902526444 +34000078 +1529038520 +223819502 +147539816 +455343493 +2087690985 +1306543379 +2030224246 +1264445546 +1099294332 +479545982 +782897654 +1161848261 +2097622634 +791014955 +729919753 +1842793210 +1226951862 +452736655 +130559720 +1688863765 +254156809 +1467760647 +1757940196 +1563473147 +1635506381 +1152784377 +532779073 +883109221 +513323238 +1435305517 +917109299 +2042361758 +1659125020 +1064649116 +350221603 +1599332357 +223708847 +232962202 +716294255 +1323003179 +712508184 +1499191910 +337367792 +662647171 +142723217 +1067287545 +357956733 +1369675079 +1520024201 +488516453 +911055196 +1774181010 +1956277100 +521511744 +1190170509 +1444299833 +1674296121 +1722949582 +179925406 +40135712 +1010771452 +1097034706 +2082497470 +522412824 +14200174 +285235426 +2121745181 +237909021 +518197628 +690555788 +1560912200 +1230705812 +42264050 +1898279993 +1893352983 +184987267 +818083890 +103826068 +1554662346 +190624443 +592342522 +318233895 +1964805453 +401135974 +839745639 +1007492315 +1845435808 +366558113 +582958249 +2025361214 +406693825 +1593729701 +974912272 +341707647 +2116142525 +989112446 +626943073 +2090404058 +1227021467 +1145140701 +633476199 +640450020 +228362866 +675740249 +391246365 +2121715849 +860727517 +1209330255 +78058270 +267906215 +1399954699 +670400792 +586140110 +1217276504 +1071536766 +1425885750 +77285171 +769488926 +1792443863 +660243421 +647366493 +51654040 +106489474 +1622278765 +393361687 +75148352 +463907564 +1020304761 +18068762 +1690929031 +17961814 +651544961 +183895403 +246324680 +1327285211 +575141768 +220556882 +40529080 +1784472024 +298615152 +308435295 +1036943075 +969015944 +894575406 +106735931 +2040552710 +172977508 +184021103 +662557989 +1965421371 +844264524 +1309924482 +2017075411 +950753998 +784719599 +262953450 +1025902350 +1248627163 +1283258211 +1043971113 +792072547 +1301220026 +1695516074 +975967950 +1547544706 +875317637 +1551109719 +1768101588 +915846717 +1188098095 +2066716740 +1224282013 +77557522 +888249036 +2118857419 +184293453 +781318099 +144351279 +368314556 +1443876088 +2109772650 +1212579080 +606316922 +1979364413 +15849431 +1391036521 +94834215 +1041751781 +492180037 +1378092427 +2085722894 +1284252584 +531828805 +1633755321 +112736886 +2079373511 +361589310 +1663846605 +1699991452 +1277436028 +704461052 +1619224544 +354234393 +782018574 +359989933 +325608164 +966312028 +1141308032 +469959443 +1334626584 +437700472 +432248445 +399722017 +1044017394 +264129210 +415571448 +287570267 +358963425 +1457323229 +779750304 +1737055852 +1395562476 +2064002888 +121401009 +881834149 +29256127 +53290873 +1243423459 +1693102732 +1753282325 +373375839 +250080137 +1225023221 +727610232 +1032098711 +1585013154 +1053218396 +1998410739 +578837538 +1523177839 +1185553676 +1016538010 +1955426284 +1585275693 +2060555404 +72071846 +2000847141 +200642024 +431035272 +1310686722 +980392328 +20607476 +558765550 +896911569 +142008486 +1440599699 +926167696 +195299359 +536539511 +471786780 +1948581684 +909915350 +721866917 +1026121257 +1637525583 +1753965629 +463650764 +543260331 +1604892720 +1042488302 +2066438171 +642962748 +2059026313 +1874380807 +80754793 +1972098069 +1946452654 +2081601934 +25256445 +230004278 +1244805009 +1005648774 +250611754 +1803570559 +1902560343 +392620240 +1096686611 +681244391 +587919599 +1633226122 +1153031171 +389017635 +395657824 +1874898089 +1415138893 +2033183407 +1481380070 +1878789657 +428960091 +938789142 +773794311 +347914614 +1581751891 +685336976 +74811773 +1662506684 +509951398 +2021264427 +1596624971 +535207843 +103785057 +693946332 +1540856617 +354396812 +350033243 +1295933312 +747017052 +1446719854 +1977177703 +1334936652 +932462328 +982725227 +1723954287 +1328120153 +710139668 +991609532 +1213819912 +44036090 +722915541 +1642780003 +982825232 +1496709853 +1990694617 +417093475 +34563181 +2065506391 +2079600160 +544514579 +1939287170 +1528741483 +1079722423 +2043072228 +75204167 +473095392 +249985392 +425237410 +1769028705 +997002444 +1871957265 +1598722760 +184455448 +656935945 +433964339 +1908409736 +1985056098 +1144104007 +752535620 +1051392363 +1188140097 +1475451162 +546688718 +23481682 +824677367 +389899688 +440575157 +859240548 +307922431 +372691669 +1403755128 +99725953 +1901433152 +335993903 +2142798181 +1976637319 +809089295 +245299925 +254391082 +430634352 +1242302370 +2126348347 +2029357113 +1426757818 +635800644 +315837804 +1187683906 +473373095 +1459941812 +1940219527 +1524765458 +500598261 +1268187041 +2071454176 +524079943 +2092864408 +313870216 +964655101 +804621308 +621792647 +1337346770 +60892788 +721518601 +1091296275 +396886691 +716833134 +920449946 +1205975987 +962133060 +1174841028 +1636610339 +56951782 +1153705727 +1518483804 +1483709600 +1789506372 +1834321609 +523909859 +115395819 +1146779773 +316645738 +1640161277 +1647378034 +1584832779 +1564131805 +23974330 +1530213539 +1878002022 +988629431 +187351199 +352311021 +178492553 +248243988 +1073829622 +1269788828 +645130679 +1790662757 +42755127 +1851106666 +605312169 +1217596155 +1340233358 +662263951 +223818235 +711233514 +2145973551 +2013324607 +398071475 +522399762 +2128720426 +1544851248 +839045500 +1621398055 +1044745635 +276394631 +1038046212 +1068719965 +1806608170 +768564586 +2057349396 +1993959370 +1120875608 +88358301 +94719710 +47221582 +1358147130 +739850389 +1837884339 +1400902257 +443473408 +295712860 +471014764 +1783706766 +957976811 +694832999 +347456632 +956466715 +560673958 +745528108 +1478866477 +541910736 +142895708 +170428330 +15825143 +1187641343 +446822961 +1053871356 +108877660 +105947484 +1822435942 +18743408 +2099906854 +795827902 +107101710 +47142916 +843049485 +1465248840 +786993305 +533450176 +718667449 +1230466713 +829163037 +1189682213 +866689831 +1787139848 +1884515213 +1214146464 +596122915 +297705523 +1959674572 +2074989393 +839616260 +2102570280 +97934075 +855441403 +1142727976 +544757036 +1909312759 +1251605636 +650704520 +1584265054 +1270349045 +603127726 +232609308 +1377450755 +650270642 +1075658793 +695215947 +1437263948 +1609108970 +1413883396 +520247013 +290788359 +456081961 +1386936845 +2077928207 +193113526 +453599661 +526567475 +490819050 +265790585 +454073220 +1330435310 +220877217 +552007295 +38393065 +1363605193 +1096764331 +1947705825 +467727182 +1747468852 +1384487231 +1738076227 +203112930 +1617096539 +968043334 +853383573 +545271685 +1663259281 +143163873 +6897007 +929659029 +663410886 +297685366 +1385740990 +2050347731 +228129925 +1578854517 +356463744 +754697400 +2069673567 +622254329 +1208770620 +1252625229 +843131547 +1760777915 +1291018294 +59253092 +710058599 +1091240471 +526980274 +310043803 +328244054 +117572853 +513156733 +1945340594 +1085616187 +1366540306 +343128631 +601391820 +1509704179 +350025638 +1531050849 +25631418 +647711004 +769308192 +2075979149 +875840929 +200679061 +284959246 +1630538330 +122868980 +907213575 +691825302 +1375494209 +1750345122 +305119570 +519028855 +1809598215 +1015178169 +1610269327 +189094841 +1325221972 +1938513381 +306667695 +1838378705 +1736370327 +1392283882 +1057435364 +2079498958 +1993675703 +419655895 +282040948 +1377242904 +445287313 +929751952 +2146551096 +373782815 +1805592882 +199746509 +658742061 +1288647564 +322615489 +1565955636 +1980472866 +1698109698 +1168817111 +138108788 +69654906 +830931678 +1153286957 +1679924233 +1020026519 +331025281 +1470953966 +1326694214 +21920339 +1059840646 +571494449 +1079355703 +991855956 +417686504 +1499011598 +1273896905 +1794929408 +1944298912 +56165209 +1793996857 +170598079 +1861758091 +1993743366 +829340140 +1002922007 +168875208 +247812128 +835911226 +1866984906 +1416629239 +974020014 +1936639812 +100077269 +2127306972 +1469080397 +1120103789 +310848605 +792550716 +299314355 +332768944 +1852391362 +870808804 +1412124647 +696763670 +1288495308 +763652598 +1970660575 +935941069 +560467862 +2026825785 +582454278 +731065941 +1741100228 +428713996 +1560406081 +596538588 +597589204 +1808218209 +1432449814 +317090463 +1077363801 +258986180 +106246627 +1177441070 +238809504 +1575327025 +150061211 +549658110 +220394093 +449375567 +882427054 +2072785455 +1320184371 +147068054 +622065477 +461196032 +910720652 +445242405 +1397137101 +1471188514 +324584542 +1979591379 +54770807 +2065684770 +260821727 +1615176888 +514739710 +858410932 +1275911449 +1947189524 +1175501395 +205791602 +58692057 +1281748022 +1383232673 +297501561 +709591399 +1533293884 +847159671 +929985492 +1982669451 +1729586726 +855287299 +1155370175 +1876654780 +1477352777 +1616566207 +639891784 +1922595182 +866219660 +2111080298 +99696076 +698327391 +18367457 +17897198 +959149118 +1633544345 +532636909 +1817560050 +761972146 +332342785 +845577797 +967763749 +391034842 +2127325820 +203512774 +688536404 +689433571 +1736806658 +1535696075 +1619419064 +1571992462 +1117799153 +327222715 +579878989 +846970285 +1804575492 +48961548 +1486862069 +1579687026 +915181208 +1450458719 +1679383102 +1613508599 +1468826176 +1697280301 +425174069 +954886873 +82433562 +95250472 +1716859020 +414776347 +940828269 +537139121 +805811190 +920670441 +740651895 +1494347594 +1610104013 +329974905 +882560021 +1082039429 +1901967367 +2000359175 +1409262144 +334362708 +699845812 +1066353989 +383324256 +39224234 +498557367 +1298505464 +1489682953 +30456822 +764530415 +811025482 +1727737123 +1189704485 +1765912355 +1810170685 +1284954957 +1335287727 +77463384 +78299578 +1872426848 +883274574 +998970020 +465595095 +230138520 +461590385 +795570001 +1112698542 +1543629814 +550053720 +965574069 +805408310 +884416429 +1665419881 +1871762299 +1267740685 +1704644115 +222836019 +418762502 +1046843421 +253292841 +1183292917 +1857868903 +1981029964 +225513754 +1476297610 +1643717001 +1510468711 +664101690 +1721180385 +1588768290 +389044890 +456971312 +440254662 +854639986 +687109832 +901845047 +1650209987 +1799808374 +297991213 +52780059 +617898795 +1103399523 +937196488 +135835029 +827678175 +57453526 +1840479144 +1050514194 +476216028 +739838917 +1303807035 +1659508945 +450224172 +1137353351 +1885022700 +1926521783 +633586704 +1248007763 +443139825 +207283441 +689292405 +832184715 +664254753 +1129547067 +1686824701 +1351364586 +2031392114 +1189551040 +1003689312 +181899679 +1242331100 +1621588108 +1285299203 +32043940 +1757423137 +2112977378 +89497466 +1450418633 +1016007924 +565713494 +42773903 +172331311 +77738792 +492998075 +1309684662 +1962761492 +272036210 +1943271366 +1063285607 +715176035 +3071159 +1752578013 +1547360751 +667325913 +734641432 +1086701804 +2018690499 +618549899 +128769197 +874896163 +800449578 +1371100297 +349000623 +2085748781 +1403144237 +2106423760 +2051242511 +1492641704 +1409358746 +919766787 +2058355198 +1452132649 +1092098098 +2136093990 +1945130724 +254299112 +1951371834 +69683287 +50086830 +867173794 +784859322 +53157990 +472268159 +184736425 +720483903 +1206909591 +1271438230 +591690754 +1825459490 +1400207427 +1466586917 +478425421 +623824076 +1815587541 +416690554 +2026968313 +1774527653 +320449418 +1372126369 +1036402751 +1240216205 +1282997920 +341051752 +184830656 +1271608262 +138698829 +439129768 +1075496449 +208382116 +489216599 +1942670243 +993241438 +542374589 +267454754 +1177977864 +1262858492 +1474364345 +301932446 +1854549246 +1152340188 +1702139873 +1173652515 +1630765609 +178480301 +841756408 +2047456163 +57964966 +468800414 +220421933 +1430091336 +1505203165 +1460638139 +565605608 +1846254918 +1645468795 +1837213870 +1984953747 +2084598563 +765226671 +45852215 +426331514 +560413266 +1039093653 +968706103 +827868020 +69587869 +84080947 +154748718 +371520315 +1938630193 +1307088906 +2073660188 +964799061 +790370867 +104656841 +1806555469 +690343382 +162621808 +127872235 +910765316 +1592713144 +1633075401 +223919807 +10835104 +1331846671 +1869388602 +1848048974 +1169316770 +1806503517 +465791998 +1215168985 +85351384 +1026205264 +106778990 +1054057487 +1854073285 +176366860 +1138138435 +2008822003 +547887175 +929284980 +1168427261 +474063716 +1894084041 +1958798128 +578720557 +1553155863 +501657862 +741342365 +1681028098 +1412423178 +186571861 +1166619851 +1636342985 +197406965 +350982874 +1358247939 +2045455940 +1520299644 +1017267809 +363764290 +587984981 +1102619193 +1389969554 +694763972 +9193032 +1096559191 +871130832 +1147331467 +957897546 +1419018007 +2076616448 +2126324807 +1893081723 +1823216841 +1937639287 +324318633 +1228889056 +291813502 +1065660998 +762433507 +1704236680 +1252232860 +1929053358 +1193096018 +1449639825 +132552585 +403860309 +1347612117 +1652852229 +1421128118 +1711376407 +93353563 +376263663 +953862314 +788117535 +385456696 +2050421505 +1659248367 +1532788163 +860835404 +930782726 +1461920963 +839676563 +676380802 +1137654157 +629832203 +1000699435 +219059565 +921645705 +2066360433 +981493072 +478398737 +1171109645 +763062783 +1671494755 +473265823 +895615368 +2075355065 +1820877940 +400983949 +1348999535 +1384770700 +494337512 +1725263199 +191149366 +1282455047 +2110719895 +94087223 +794219766 +1496024410 +954922627 +1725002493 +810461726 +1794599191 +253899647 +1948115883 +276947746 +1254599082 +19691800 +1198593451 +1173475867 +1001184873 +1676992188 +197101865 +1764247656 +1201003296 +670367688 +512379376 +1128874713 +343761980 +913363325 +330390600 +1728532680 +1407700838 +2055653799 +1919682046 +542672237 +2018890046 +2013769270 +1336892004 +1367430809 +821208249 +914410849 +30408887 +468323792 +1168310496 +1978524770 +745271538 +275425930 +1998216570 +1943864989 +1448901797 +851917795 +1473373530 +1646003662 +468681803 +526893178 +168887702 +981061179 +1655767891 +512649683 +1894424505 +1986158491 +93698715 +1154641695 +1894328643 +2013380762 +1697313932 +1765735041 +1879666384 +886722288 +985682202 +553390985 +1801133137 +1016091089 +1021714778 +821959985 +847132211 +1766986316 +1097385915 +697865134 +1563367658 +398804065 +1549782929 +889257540 +2044807727 +2018464733 +1416150718 +66211782 +852042264 +924434961 +578861465 +598983121 +763109804 +672560180 +1753624816 +509954799 +538457294 +1303455101 +128206193 +270640030 +42693741 +1113888395 +824031016 +1843826879 +2129979485 +1845745794 +518303216 +829628048 +1465248462 +1615689132 +1527493182 +881132472 +2014493197 +929792464 +1770390012 +1911817276 +800773549 +1039057082 +1978029058 +1652815813 +1963492043 +409406875 +104315287 +579118200 +1081967056 +1857940103 +1089072999 +1620424350 +1013911556 +1217279192 +1891064381 +1056605298 +183683940 +567611749 +752948529 +166179777 +265873895 +1271251745 +995807825 +1731122357 +739457229 +375817360 +464771182 +606466778 +1305609824 +87677546 +370800407 +2106383373 +1126734629 +201345817 +1611715538 +942743024 +610752693 +1716030825 +1521861224 +1692719749 +1426487281 +463450576 +1165660451 +292915189 +1680729768 +909241184 +1349520487 +1864413708 +1476852933 +2102469016 +2030593485 +1742726828 +1226237114 +878917663 +1326365538 +1965694343 +1254735023 +1791136720 +424677474 +412861199 +1878814266 +795477881 +371760924 +858065247 +996823698 +1983476462 +1800808272 +1607576391 +1552023640 +1175185848 +1152812492 +831027273 +1638636424 +170989296 +1123942462 +1171882545 +1080230480 +325979302 +888812605 +409599766 +280964670 +771922443 +4842946 +1507201784 +1650840106 +1331208484 +1325412480 +758091481 +974861556 +1750089954 +1170952680 +706192175 +398084187 +1542713604 +1564257422 +1394907885 +1378706418 +1217582046 +855000629 +783246410 +245284247 +2007813121 +1614273683 +1883920671 +31318769 +590732498 +908319568 +1111549250 +916711800 +1797132174 +1521149016 +1197676470 +421570969 +1525991962 +557394607 +2072411075 +709716799 +1882807087 +683018908 +1684578355 +1485413393 +1853971588 +243286882 +1883497580 +1249201544 +1807544305 +1130921817 +480424314 +877642703 +1985922446 +1263670725 +1122926950 +1846251920 +730460760 +859363974 +1877570689 +1321193258 +1767683542 +841636291 +90421410 +1417332068 +215301659 +1288097881 +1838903037 +1741293622 +1845492488 +1763830464 +303526773 +1580815927 +299365724 +1988105128 +918745672 +5853664 +83908363 +654759604 +1255055208 +1891452668 +1785681421 +1735479523 +621611723 +1624120220 +851666600 +1744538674 +1322888492 +1582127360 +456419000 +1052975533 +755836971 +76618894 +1894611825 +846258381 +1493950963 +2109913484 +2134356262 +1185370352 +1703723458 +1832365102 +801717169 +2007250231 +1265697381 +1101082893 +1847871712 +36959405 +1106936558 +1931780075 +691719009 +214508118 +1675749095 +329916783 +1949987641 +149877170 +1954037003 +654170593 +1894415844 +1129441847 +88814306 +203351196 +34933732 +844651277 +279970091 +1929545557 +1690909658 +1773921054 +1891975394 +1677782273 +811807758 +1448215204 +1362663727 +1613524927 +1307981788 +480877461 +567124173 +1008369852 +517836866 +1674060731 +792666279 +1209555876 +1888568849 +320931726 +1539472659 +1691072843 +470808896 +1346026014 +197759788 +217741093 +327984213 +286574094 +421092289 +362917945 +1131225371 +701062380 +144979855 +674651382 +327499786 +2036955249 +204950007 +1139307545 +1337686805 +1567613734 +605348824 +498184945 +2048491195 +1172472997 +1506554797 +418844414 +699050080 +151737428 +1628400290 +440135282 +472669154 +1020389301 +2131208125 +943478051 +218931667 +181484265 +1161219144 +546915880 +468058360 +1582311433 +909833825 +1599283731 +135890166 +1054813680 +126451465 +463389952 +944285281 +331401472 +1602697497 +134488439 +1899015207 +60562674 +632673384 +1800022754 +1233035671 +2139228182 +71383520 +1932085752 +143481962 +1699783810 +224737386 +616151117 +572689463 +208461863 +1559629168 +791621130 +389946128 +573364664 +1338537010 +858004488 +8192449 +100887188 +309804572 +144082615 +1155700868 +436256037 +607472568 +2099986150 +767657510 +62686417 +86990941 +519189069 +123249091 +719664325 +171728175 +1356284763 +711408859 +243111696 +1140886867 +854890822 +1942895506 +1365624253 +1471041939 +368101322 +1574086116 +883187459 +1159722452 +1964032244 +1456552123 +350775815 +674553085 +1464744572 +451663003 +984357657 +1608827188 +1607363871 +1420613694 +68816108 +1559866373 +40787556 +131502525 +1646857314 +559976625 +254751617 +219037992 +731704801 +1611036380 +930446851 +974816497 +604439599 +1785337673 +770228355 +1970063852 +1108895964 +1138329677 +1396666320 +1992083423 +150568482 +1213214916 +1301151898 +501344297 +1887768001 +618412823 +953007300 +724642010 +79756363 +412887523 +2145255705 +148572471 +1972753897 +38559613 +280074996 +1472127563 +598536239 +534826613 +1691165555 +1330241040 +2145862993 +474128759 +157573889 +602818944 +111982784 +927802244 +425399148 +1220878749 +2066131922 +1822065468 +1065478524 +69216756 +887796737 +219146775 +570561053 +628081090 +837559598 +1523568353 +1352723101 +917315961 +1936455876 +1350495158 +1065888432 +1761726125 +1389054771 +1345963428 +1086370041 +1987591010 +1880790042 +630051948 +1170348402 +1879169387 +1104180707 +1327922291 +334504684 +1216163492 +108240888 +759903832 +289558593 +26889162 +434485653 +1355037117 +96105918 +1322282390 +1574183892 +666666971 +1950363480 +264259842 +42751676 +1155602933 +1181575803 +1979207552 +358614443 +99980587 +1593450030 +1747669215 +1445944016 +532336423 +1587776577 +1179250410 +1162388371 +610641332 +910936149 +119085431 +1938563623 +1245440833 +1335248923 +2046804511 +2005344666 +1624807516 +2073693673 +292346671 +832360985 +22315943 +1614629061 +259061230 +688982914 +1417508893 +523321072 +731734590 +425628179 +1704896876 +563458495 +784242622 +1804877463 +9424877 +384428189 +1103337831 +541761300 +1972204767 +135104593 +1704149671 +435362451 +1046040743 +1823235102 +226442426 +143997928 +1011000377 +125763290 +1858946 +488324245 +51973315 +294205617 +1320685231 +74289259 +1908834678 +1579746461 +763272173 +1178859924 +2103067533 +1495006764 +1604488103 +1660480761 +2058465259 +241247077 +1317874577 +2067890136 +625675267 +273728760 +462167788 +450396386 +408833354 +18833811 +885758837 +1454874097 +1842068914 +1112201263 +1598872025 +705585643 +1237964553 +1600730972 +1193909889 +1289937869 +1894936589 +367111472 +1364227128 +1656287620 +1946857933 +2127499301 +687663896 +1902441818 +1475022417 +144668351 +1415438932 +1386004028 +385915428 +585829861 +1306410516 +1011590695 +859558621 +1768578304 +1461987081 +1268391975 +1787412116 +200262270 +575782424 +1481997382 +1312463534 +27170802 +40099377 +402944439 +1627901774 +1234009266 +1692882308 +1375354715 +1601120738 +909625788 +884158687 +1400495023 +889641442 +1571822583 +1155453194 +217180211 +1716490934 +423408478 +1603184240 +2102406363 +1009238339 +762111108 +966513410 +1868796960 +383205765 +281016844 +989705288 +23134233 +481279114 +1565487712 +1505131615 +1793742648 +1592658514 +1545230992 +49203440 +1073076640 +631756611 +1742085748 +300947708 +85393701 +504227889 +1185106395 +1485888725 +1393869331 +609445331 +493858271 +1611049542 +178452617 +917266749 +1066750134 +133375332 +1926505088 +1828861243 +1099888743 +1647818400 +64583360 +1380905587 +490040040 +87717593 +1862184701 +2055527753 +1592849208 +1508443702 +1500702619 +990596552 +1557647142 +426295612 +1622353163 +1152249242 +727243320 +1707746865 +1656477131 +1912349715 +1046151942 +902862814 +374311398 +1540010213 +366428709 +552764016 +309793314 +1433178843 +686139348 +88814754 +1114556438 +1786028091 +1736633154 +1179139798 +1019450030 +79189547 +1266857391 +734151084 +2134717300 +712222951 +95111138 +1487936271 +1702819504 +1652758280 +1914231883 +1177689019 +657523874 +493991555 +737952236 +166517358 +258857623 +1784104178 +1069380172 +633169021 +1176630743 +1435808881 +1185933037 +1486424057 +721504077 +1872072386 +1575238811 +1836060515 +1510616829 +1164388318 +867716666 +382583212 +1243577865 +2134574057 +1116734296 +1230811517 +699313361 +1211845434 +571264140 +254649217 +717120066 +338012376 +1432338236 +1374643940 +832003931 +22806825 +1541161298 +1090861554 +1806911003 +463057823 +1724030576 +836058099 +1898866704 +762479965 +174998508 +472887133 +487068703 +1750237320 +161464001 +1997685533 +767141990 +1029180667 +232785097 +2010719855 +1016271076 +1349519393 +1094047724 +1715584437 +413881179 +1665311864 +1970233654 +1131001245 +2003324240 +1255088243 +358161537 +687844524 +1277895068 +1899322836 +1778706078 +937322423 +214897011 +1355253006 +1773380522 +2113763715 +2117732972 +1948379031 +439167201 +457318027 +1551132703 +600631202 +307519912 +170791045 +1629811869 +540305009 +34027252 +498599297 +1889824402 +1128074976 +66700087 +156221933 +645903192 +2036933741 +1287223178 +501743785 +1144538336 +1645384716 +1189588309 +274949756 +1397223904 +820810739 +1212272180 +1612120915 +28580098 +838169054 +1578400982 +2146313070 +639064437 +2017568183 +456147449 +42713492 +470715737 +763667362 +213504537 +2100527606 +1303972371 +247531789 +451643256 +1046313126 +1375606765 +518343343 +1202535059 +2021509958 +407793436 +342274590 +375770095 +1552331773 +1987659306 +1565358404 +1827281529 +1237399562 +238685495 +892070061 +702036829 +267265593 +1730239116 +132954163 +266095015 +221819905 +3038699 +722242465 +264533398 +473754436 +1485909827 +478037935 +426798395 +642398550 +725569725 +878441651 +1688711676 +2101176490 +1396784994 +743763088 +1975202800 +1804578430 +1086037678 +203489247 +1209426555 +926213336 +1768847651 +889224437 +16129250 +2007533147 +1781294498 +718166079 +127315092 +1364049966 +851120242 +393410108 +1585869872 +854158941 +1115652573 +1850403270 +1327913378 +454078752 +180957557 +1754711773 +1096477302 +906527282 +485669776 +637705331 +860220125 +1882454770 +1381468419 +687939277 +1539549552 +320022449 +891428525 +601492460 +1246235785 +512792528 +1490716897 +1262365035 +372842027 +1124527747 +1980531114 +500157120 +341094066 +684167708 +893567228 +1926963938 +1538326650 +2009219801 +1629883560 +718756380 +315814905 +1810841117 +325984505 +1412292207 +569884752 +811654281 +2049997538 +1430104877 +546625403 +1283982309 +2118044154 +2086174955 +1604004758 +861989031 +540183767 +702756895 +1374781560 +2030900664 +1965121930 +1747623587 +1007944764 +1798169396 +100297059 +1349038830 +334853457 +993864287 +1128519120 +1873180107 +855600440 +610919032 +444452839 +1171415345 +274276501 +770437344 +436223905 +844161253 +1582091625 +338737795 +126782482 +2128717028 +1622720105 +97342989 +2067408335 +1079241215 +959332020 +460108455 +1781998111 +186629932 +343525471 +1599636393 +1934253520 +1351470235 +1250322142 +2034550579 +553025417 +1585175599 +880931219 +1681544537 +1310872058 +1736531659 +144979921 +1755324897 +760463357 +419256423 +378278593 +1196687262 +1263417676 +1960370218 +1535425057 +1390200159 +1941603598 +1010661514 +1487543148 +1861528285 +2089902730 +299391520 +174153092 +1724417193 +486021453 +517678564 +1176569938 +272791325 +1869148799 +279408432 +159858256 +274690569 +1864584031 +1040789475 +1956235106 +1027972441 +629837487 +2101215028 +635813690 +1390300844 +372987803 +1014092283 +439504458 +1636405479 +826978853 +1974929515 +879121990 +621098803 +838107382 +219181490 +335143441 +780526464 +518573011 +509296533 +357460009 +1004594464 +1026975097 +1534029947 +1277385789 +748640249 +1813438380 +1437244045 +1023330818 +1530538763 +330549873 +832082276 +411027557 +960387360 +785813656 +1046841247 +203204556 +1158801459 +2060933531 +642709014 +647723291 +740428736 +470154881 +1526845281 +1361527540 +1308262263 +1746026772 +1696670981 +2088788727 +117116135 +58483866 +298765088 +1121710599 +1085458964 +1832795036 +251612740 +1834099213 +1498749768 +1688856785 +709946383 +881804883 +2019406658 +1542028659 +1292832440 +832310370 +180358668 +192190040 +1035514926 +1339160127 +105639923 +1678223940 +1986883418 +846068659 +895174 +1366245052 +60112551 +1309157437 +964788176 +1756783532 +1250462517 +1081904311 +1815267399 +1549227605 +56131262 +753242715 +1234538993 +307744002 +439858280 +585805113 +1996600787 +1149804663 +1467609997 +1868523798 +544349674 +612958789 +553350520 +724708342 +805148829 +1588865447 +2063868470 +910788752 +1119605739 +1903268240 +1756857412 +1120500913 +1122029644 +1816969963 +282174703 +2086817820 +1426269848 +1532637220 +1021238483 +1094053599 +934381177 +1077369745 +1847296314 +21436523 +1385113747 +139670946 +607241636 +1234230887 +1289475609 +2074851633 +955271037 +1833825283 +540326775 +1508621557 +411049978 +1345475604 +950003356 +327434800 +108780709 +2069609096 +83219392 +1865638121 +1042626361 +1205249037 +1535124436 +1324801064 +1144583209 +813910636 +709954636 +18338045 +1907964235 +1644335814 +1095707790 +1607776901 +1665772337 +333337890 +1747447847 +125530325 +1567568777 +889439808 +52898311 +375356166 +575781444 +593225086 +1883977723 +986831422 +1938700690 +686497432 +1314266222 +2047481399 +608622880 +1397485614 +1765635872 +1651249241 +455251003 +1153276661 +828566658 +1599834213 +1967187297 +1538521294 +1618172258 +1727667885 +1035373460 +566396400 +1187961138 +553662149 +899734290 +787925338 +679192475 +319819419 +1677365146 +732090786 +695175585 +105662942 +1325315872 +431669661 +1092494364 +1116532914 +1118167093 +259276938 +1016530666 +1726789973 +1656762553 +634682890 +1230555566 +2112013556 +1787959551 +2059122224 +1564364121 +1607663201 +1450159871 +1035052731 +1187847438 +338049683 +1601449132 +228324928 +891711833 +353699774 +1016250266 +1570904308 +673519194 +546131765 +155511446 +1368694779 +651794707 +1480827318 +1800364440 +1744289072 +449876584 +771047885 +2003566010 +1466407250 +350354210 +1512844915 +2101090141 +1580909777 +1477374824 +1741566044 +1492548353 +894255297 +1201745597 +795224576 +1929308029 +242109387 +1133274260 +1383273513 +470434316 +2024986093 +1736973287 +1486684582 +1448406753 +263008833 +2032816347 +1603918199 +1631703613 +537127407 +937261869 +1284584405 +133932831 +1387138453 +2055632291 +2137498841 +706062056 +258502853 +1502860109 +659668549 +1839412630 +832751285 +253750945 +1184477336 +1727006582 +1455496543 +1979701912 +1508830963 +1697605930 +965492524 +744620828 +20556598 +842994969 +334110468 +1507241181 +143918074 +597119301 +1392573880 +1747836273 +81339266 +1929701287 +537614494 +1365923672 +2063634118 +1924752948 +1274072315 +2053649312 +483331356 +1532575168 +1409025773 +1142999905 +1224504151 +94293410 +1396750850 +261497839 +1821299992 +704763745 +93716103 +1182647308 +254886028 +1059208628 +1927268136 +275442626 +1902203597 +113894956 +1782683807 +2046121672 +711014258 +1027774040 +1646474297 +792353524 +809991679 +36605144 +10793548 +726142150 +1961358092 +1284865863 +632307814 +297205800 +669957384 +2041333587 +1440205705 +1894461535 +2135626997 +689472907 +8475726 +1809443341 +1394236653 +102191829 +844607001 +1649122681 +1161400457 +624391490 +1924565307 +916120407 +738286446 +1559765467 +814758431 +1449300704 +440055859 +313749080 +94170581 +1250047538 +350354224 +104964129 +1976189688 +164228668 +1389829993 +461013854 +461434468 +2059787377 +354863793 +1901640173 +1806765264 +343007142 +443629433 +1815240990 +4966836 +1837866086 +1917432819 +849573837 +1339505119 +931349629 +1473965327 +1116586778 +1847470036 +64768126 +528868597 +514744819 +1514068830 +968924456 +828493899 +1608239411 +71488347 +1178848124 +1713203541 +2047678035 +1343076792 +955549886 +361208242 +1804511261 +867853615 +716072035 +1558667786 +527135231 +1059079178 +2002297219 +194892573 +1064046014 +1692679657 +2112325392 +1913619851 +884701128 +896191373 +1240101531 +2001287907 +596177761 +1304869657 +382672856 +1110922580 +671454839 +1351597313 +1939416480 +132210603 +1423085660 +970780956 +1845414144 +1323280047 +166374100 +653480382 +1684488289 +1970885361 +1521333997 +253076677 +1382069500 +2048469228 +1312155855 +1236883071 +95878153 +228718221 +782079081 +60719897 +2142338072 +1666780209 +956911271 +1234955955 +1520584468 +1553089032 +392341964 +1903257325 +516527965 +1063796804 +1107370990 +308460797 +1196007407 +382973002 +1279241753 +893937903 +1706253049 +1445615853 +1547418285 +1243257691 +1269017567 +921268634 +1496334368 +503603419 +822254214 +661006575 +1740486490 +918132367 +889724796 +375081923 +978852264 +884579220 +2041862133 +1935763535 +2119535176 +1414962953 +1341368920 +364393492 +1170736630 +1857896885 +1428190296 +130623972 +18874034 +476714055 +513596974 +1298115787 +1370651958 +72366376 +596247992 +770586595 +1315624067 +1865265559 +1691855229 +664474787 +221385330 +366625795 +1325481362 +1961871821 +1284758162 +67722510 +189470096 +116126779 +952301730 +83848581 +2051890314 +924353258 +1498811535 +1245775586 +1288746751 +522064517 +956188823 +569453399 +652688490 +975062857 +1046167455 +1166285464 +125694996 +269335765 +1238651840 +721942989 +1039922361 +406792259 +439724900 +584293942 +1071267046 +661110231 +950919738 +249264760 +475498404 +88194252 +316987270 +664968500 +204321031 +1269289001 +748817082 +108727698 +46158611 +100144969 +1354503284 +1334905362 +622209486 +163208460 +1904358762 +1274897976 +1138271317 +803042569 +293699793 +1263966314 +1072378334 +1532351633 +1985909303 +2112300695 +1939143893 +278150555 +549110990 +862927291 +939260786 +1500030728 +1112192052 +1414759190 +1588224980 +1429179322 +2079727691 +1792546012 +550984675 +681061125 +1901273710 +597143287 +781206094 +1108293346 +1932048649 +1403415580 +1271501806 +1688923763 +530829909 +262289476 +344482684 +824529702 +1526255790 +1416861019 +209397687 +1364681445 +1381678066 +1057932 +1642832000 +1930789056 +863985224 +434609139 +1283336136 +1976177276 +1849368329 +724077469 +1257872950 +1781612372 +369139833 +1808857626 +315189849 +122929895 +258517265 +1096395943 +1231223241 +43082266 +352327876 +355241400 +1732006030 +883157785 +617530876 +2076488714 +1707687487 +2143786666 +1345866085 +1917085174 +1360984463 +580060504 +1918143107 +856332815 +363365912 +634644683 +1290941954 +1646702049 +463338311 +992826636 +223295870 +1721211261 +626955360 +592435703 +1382585239 +942145210 +715365598 +1641102504 +2038541153 +1946588839 +1684184771 +243385381 +154346591 +1268707153 +1126543166 +771877467 +1197712219 +686747005 +768180485 +396094657 +456348532 +2129164948 +976155161 +227007991 +838014116 +1339521073 +861652674 +2128956070 +838739474 +1324990985 +974299058 +1062035344 +898718598 +1601254419 +1654471047 +133820190 +395915981 +222352997 +1774922694 +286973486 +21458189 +1311623817 +530358868 +175804780 +432847322 +1656902034 +947682248 +1630559542 +196165392 +1715862733 +2026654199 +652513924 +1697544034 +855325712 +879521915 +388074502 +47363137 +1741174589 +369546924 +886102612 +918681926 +1343845983 +1948137956 +1817400524 +797616754 +1455125356 +1951220714 +1193532735 +1677478353 +1578659761 +1480506221 +1698936542 +742799930 +2010865089 +1874741323 +1175647253 +1520283476 +674939923 +658723147 +1716448868 +243319008 +537893698 +221479144 +1940863042 +1393219410 +1101001059 +181453896 +1440582547 +694692000 +551000821 +179201511 +1613373926 +1894846804 +2127339468 +1283290802 +544979910 +1434981176 +1087027869 +1738512645 +964975881 +518203982 +1071535218 +516428776 +1261003912 +934916660 +243686451 +289167517 +307716488 +918626374 +947890664 +2024165356 +1161945382 +1485784362 +98160852 +955324777 +731520124 +1199161911 +1136778673 +24619024 +1893853911 +1687779494 +203820535 +1359744189 +1435142650 +183676355 +495551343 +1980122560 +1618657531 +1582579212 +1571151557 +436149765 +2100783194 +495203128 +952578541 +1214303459 +1430119788 +1196264992 +1503470976 +1737836276 +2114891366 +303877993 +1614517984 +1129353100 +1789662355 +1712678836 +2084677877 +373698832 +764357099 +1073972903 +398317856 +510727362 +614268749 +602138391 +1870471551 +2049411400 +785814747 +218539246 +1882050312 +256988630 +1801118459 +1305718222 +693138395 +1754418005 +1800921350 +1645716936 +821237816 +1083557490 +694498280 +177225145 +673910118 +661905998 +481103138 +140944454 +1791259099 +123281845 +1853623290 +1728453328 +496980677 +470496741 +654942583 +895298533 +981224103 +1269211333 +1497436925 +704212006 +1171139085 +135768024 +922751252 +905705749 +392756654 +576386063 +63940323 +1085895050 +183320421 +1864861673 +584128338 +1004558237 +800935515 +1278626619 +1181783382 +1474845633 +1940532617 +1662886520 +1615790087 +1584308068 +1786168366 +1321929729 +1165277749 +135665395 +1792426470 +1820220332 +1030963929 +626166925 +941948017 +380917206 +1330378931 +2113087102 +516685230 +105646536 +871309204 +909441884 +682032599 +935249527 +1995336934 +865353020 +652627553 +431981625 +1869911258 +1453563068 +1710608244 +904210992 +780925054 +1503657213 +419613865 +249231493 +940481634 +58298583 +1571161223 +2105759383 +193963978 +1216104045 +1778496067 +1224927907 +1842270971 +572960437 +1605845113 +1025166254 +538563891 +2122530343 +1130812790 +1409873095 +884488580 +1812845390 +197638975 +732341866 +530714762 +850266528 +1164323491 +253142372 +156345948 +727448087 +1157353365 +937271002 +83621653 +1576967230 +1186502496 +1024103287 +1635265813 +610180071 +982379022 +1829229791 +1826284116 +613391441 +906674051 +1521071439 +1186351878 +365035516 +398754046 +1724915770 +340082212 +1529566836 +987305217 +1224570792 +1194928578 +1184944192 +1956912658 +1725643341 +2035210720 +973752502 +1978785713 +44073021 +1701200589 +988655430 +981344023 +1784822242 +418139012 +20362871 +661441881 +2053404825 +630542942 +1643820903 +1735150969 +309343411 +109728697 +494341372 +1830414850 +1296080575 +859376888 +81685248 +873512697 +1199459100 +1611252085 +1860817915 +276546244 +658697015 +898278459 +85975255 +236856708 +786005532 +1059727757 +68158774 +830078553 +613444698 +1056814204 +1811422576 +250783293 +1474953217 +1831785448 +912225174 +1380874394 +314844742 +408562430 +968541715 +624188153 +518291127 +1462883087 +307119356 +1814371702 +174776328 +388804604 +540400752 +1374235428 +2000056689 +253735019 +1650781673 +511270057 +1152013478 +1736756928 +748126765 +1938019010 +649001037 +816285539 +620613915 +1262445735 +1873099744 +284552844 +1513229028 +1200569313 +2116338292 +277970555 +433960059 +283699386 +686532985 +1402501775 +907887540 +1204824112 +717901214 +1215006896 +871712166 +892677542 +1603811500 +1412112918 +119429323 +1456384542 +1665847937 +1770210996 +1967654599 +670377768 +1359484276 +568297716 +460913130 +2008485313 +1384583256 +1081527046 +1123447400 +1110199352 +1366079890 +489192781 +163285017 +1334934534 +767163336 +597245076 +1618633920 +1453696321 +1999746851 +379037812 +511036785 +570164418 +1594044708 +1382748951 +1462841960 +1050372561 +647378222 +1582271283 +359273455 +165742511 +1204998631 +179444406 +836120279 +416999259 +747742122 +1297033410 +278000924 +2132325378 +231076808 +1401448325 +1095041082 +1597156698 +1890641106 +1258326099 +784607584 +510320794 +1855571176 +255757856 +1964017115 +1707834379 +634795669 +327570252 +130515149 +81356729 +1710319203 +1593357110 +1131729290 +210213777 +1028144745 +1491002745 +375956289 +85659729 +1670447151 +1212076568 +502658988 +270705626 +361626330 +780659913 +255547356 +592703138 +34624590 +1350588439 +42376188 +1925265696 +461430890 +826983772 +288102842 +169518418 +1082741629 +104636309 +1877352798 +1717537298 +432206561 +2007867947 +1798894027 +2142525764 +1453741409 +783139670 +205255894 +334402507 +126658767 +581212183 +420062236 +1797105919 +1793288751 +922721224 +2067811545 +7431434 +1703381137 +175875253 +600134572 +1738005727 +1526463692 +642510761 +1515787775 +1987894583 +1469494533 +1803890617 +9929353 +404752514 +1908526926 +1887282151 +2122289812 +193249839 +1747666451 +1773700192 +188291956 +1053924212 +409356214 +393547850 +1388326719 +536014981 +974760033 +1808388955 +185637252 +620565136 +583626532 +105965149 +627996570 +139524021 +281840403 +1228131143 +1877529749 +1808304095 +1870641904 +1245833876 +1648715030 +1192652789 +902240846 +1658644384 +1597405304 +663284124 +1398442887 +1572211468 +856533964 +998625690 +1198428012 +1044825920 +2052549903 +1607784226 +1438373770 +1293392974 +2143799208 +265650155 +954298282 +181952812 +886215291 +1537924814 +287917962 +1514211862 +1677448835 +569758365 +594859357 +1407494936 +230578812 +318017613 +505845165 +1879293843 +1510670402 +1408086011 +1390454579 +960592058 +2071370135 +641413818 +385319879 +780420451 +1640039509 +1583747891 +1825246371 +1545105764 +1044048470 +1116136493 +691015090 +1040364030 +1381786648 +1645313372 +1222316842 +120518292 +1035754538 +1510234804 +1634730154 +565719726 +2079993169 +82105863 +1973214662 +163088334 +400123476 +331576179 +2042382177 +1910793878 +1739662190 +1285353108 +723902289 +1663548678 +1926766926 +1109222168 +296485481 +1419322787 +545486411 +2121731853 +816944903 +1589534881 +1090384698 +1507959994 +482415263 +324687699 +1005789718 +1704732106 +445205991 +2041544257 +1067483262 +2079936145 +459780335 +999992784 +14558360 +285511349 +1163081118 +414681836 +617087529 +1057979647 +177992066 +209266071 +195849107 +901894355 +1872814749 +2122616033 +2011116523 +21816583 +1394455173 +409119287 +2143548436 +63916428 +1998654168 +1086449486 +1571876422 +333585784 +1411137185 +430182493 +2038317890 +1856343176 +324243102 +958317504 +1788795673 +784023437 +1958310288 +1803354033 +1069534786 +973907758 +70552221 +1686622315 +2031887405 +248544288 +1895888387 +80252864 +1150438643 +1621219488 +55385250 +1014071519 +1643036071 +1449840423 +1423190806 +1639100859 +1513756851 +1274361326 +578066698 +938149626 +1607947110 +1989203883 +1368332119 +1498781352 +1698063412 +1692575221 +309615209 +1339375437 +329115010 +120441849 +995245823 +1398649796 +1094349608 +1065798044 +937788464 +978753365 +1314342332 +686193203 +1059006230 +317297328 +159929043 +1114391480 +1331368847 +1802965115 +416748255 +607076005 +1294582326 +1930505106 +1881437331 +1872649024 +721171084 +1341900794 +1714369260 +2089503203 +693198498 +1264949024 +1634594776 +1002813707 +456840813 +1963709786 +1123255557 +1452086636 +1214875935 +70121517 +370401033 +5180751 +1048874882 +1684743365 +691373954 +2107881112 +2002040693 +851302997 +1074788944 +1185925892 +506784464 +1491537199 +1793001897 +1801366791 +1274558658 +1526955581 +1526532167 +1995729742 +721372727 +1093417779 +1937749298 +1414571225 +210883155 +1424860426 +269901285 +667723969 +1241086565 +1393156842 +2119810605 +308478852 +1463278359 +342727990 +313659603 +364669593 +2027471356 +1005033557 +325067058 +1882028401 +1856336554 +1399856002 +920470646 +215637371 +743909554 +565988895 +2017004162 +2018468212 +2092944476 +1396052681 +1866714306 +666833555 +341986813 +1656979956 +2081404781 +552869968 +934356735 +203822418 +1220593937 +27959652 +1596979260 +1192920895 +336438504 +912773971 +1535648885 +650098107 +1277443564 +1415636593 +1655131664 +1602510622 +1150181347 +1363984570 +854882977 +2070651993 +1579621941 +1598792531 +489157240 +1449142455 +1469777095 +434618069 +697711489 +1189007753 +1101451624 +1039698302 +698504062 +1035372757 +1592568270 +1632860797 +1239195175 +665678560 +1660820449 +688690787 +1858599455 +1997258953 +1601464758 +1246764692 +499873412 +731424675 +514917638 +7521428 +186451649 +1665098985 +1371505998 +1041334626 +1588267330 +803644292 +492643509 +2077424570 +105303099 +1962420604 +364558991 +803014588 +1003944710 +1466010616 +1842712890 +1702448772 +353899725 +1287797513 +1187825921 +1593094901 +1953476073 +701162722 +134302040 +1664591880 +550938027 +1735766799 +763872924 +1050811439 +319707826 +1278790562 +1058332867 +506159475 +796405899 +282355217 +1547494102 +237189581 +1085999509 +2040137611 +167130504 +1191302609 +1855074568 +531689495 +1994317197 +711535630 +1997700111 +1689546440 +266500754 +204116189 +829860305 +1454326675 +1797211090 +635852730 +8005749 +1931513130 +152960962 +558943776 +1519796281 +916833886 +1609755215 +1839504107 +48140801 +520604434 +198179935 +844546700 +802959651 +1745674037 +1081736282 +1888959161 +1638328000 +1248866786 +932778122 +1345918920 +1780556281 +779611671 +2057454550 +1630772745 +321674463 +176471656 +1834888934 +1151534768 +1630798331 +1484616376 +1787387498 +1638804080 +1268645858 +1940348460 +50264208 +640958492 +709698699 +1660019423 +332978951 +757839500 +33140209 +531158886 +1602386200 +836099861 +129349275 +536638834 +577575374 +1767677276 +1785505620 +1510353496 +966112548 +1418578254 +142481519 +876083451 +901867351 +464155983 +1052555107 +589272637 +1615690751 +535869791 +2073889013 +1255594602 +27190223 +1195051223 +1048459414 +77454432 +1836009715 +1758158113 +1737473855 +21505019 +368513965 +1770614065 +552663905 +1970900166 +459230278 +682013181 +360055352 +1036805652 +302206809 +2145560973 +399675500 +1268319357 +1416655579 +542157019 +2144402808 +171039282 +1006313002 +1049474268 +760311919 +474520106 +1585344059 +686717284 +1730114708 +1612534282 +1881768507 +631090474 +1689988714 +1570294575 +241764940 +1279978922 +1591799594 +610278905 +903109339 +2144463499 +433695423 +1362339617 +678993032 +793750776 +251661621 +981199841 +791828101 +651337121 +102035551 +61000032 +1193494140 +98954711 +232039314 +52323495 +1148428979 +992351233 +526843601 +586289390 +1679068517 +109474661 +51340025 +1413353376 +740565135 +1741328739 +836164303 +982330075 +873824013 +280480249 +1592608981 +1776933352 +277460101 +2026304404 +991789321 +956453133 +672571532 +1243450942 +1937652975 +1464399633 +1894788063 +2039688526 +1525399665 +940798556 +2138643237 +1757438979 +993122051 +1139588569 +602306564 +1519965652 +1725877959 +133891433 +1629440313 +1777217984 +1547244810 +222521800 +1371063076 +235925465 +1204851876 +97403441 +516405715 +649977209 +1874336794 +793865816 +528797965 +718642467 +1750318949 +1201369498 +1962093410 +1540488276 +518285483 +1709397825 +1432693154 +2043685149 +502712733 +1423852744 +1653640480 +1495834784 +415957665 +108463397 +868316788 +2141835624 +242354830 +350273453 +1771569961 +1789599640 +572795254 +995149389 +2025525106 +1777647130 +1092552830 +394447173 +280140691 +819405976 +1188312989 +808938656 +1538048444 +791148290 +2010308154 +1352658206 +184152919 +381109990 +914572383 +1616846073 +277311491 +1417285117 +893215169 +1930951971 +765636253 +1309172834 +2039415368 +1633953042 +1303524811 +134286551 +1984226495 +927611124 +1923886191 +409538101 +1922760513 +1801927649 +39701583 +867829695 +48891174 +319842274 +1687235672 +1237204163 +1128780931 +1077800468 +2028352454 +991605437 +282975026 +65021725 +1372715427 +1197547409 +1681867798 +1650026918 +467348878 +427599320 +1433495242 +1232985132 +1736772154 +1325426962 +719454526 +892813317 +1459713513 +556197373 +1820424441 +1236116057 +965735475 +1595701306 +890560058 +1005437058 +316047354 +939451233 +1325279333 +2003283026 +29171748 +306576616 +933599846 +2057524202 +1298182053 +1216574872 +2122545927 +523413833 +266638633 +1656930078 +25957103 +733987512 +2084529398 +1459452345 +1966972644 +1673817904 +637395660 +538943522 +419147574 +2097109173 +1095140895 +92088367 +1185741582 +2060876370 +1687789674 +2076301641 +918829781 +2003837028 +868269226 +96625466 +1859636406 +897440974 +403202082 +645752604 +807481529 +1701384135 +1862327476 +782543808 +77314320 +2128966109 +291990238 +103271424 +715469973 +229035988 +1562723769 +534958969 +1902853893 +52635781 +1073902491 +174517819 +2261307 +21559739 +266606186 +1188002889 +2082436109 +1954395860 +1116820882 +853782242 +1810749240 +1985090108 +950407708 +1522901998 +735047435 +1353609790 +21170954 +1542528964 +907510278 +1883498430 +177589124 +984824598 +1864980892 +469579363 +1088096022 +432967217 +698615351 +503336144 +967926187 +453985596 +555971925 +2041828678 +628503415 +558233232 +2063388417 +895109602 +1746236122 +1998340879 +702021814 +715573356 +704639473 +365287407 +553179817 +1655047182 +1888189405 +1288227252 +861173324 +1909360360 +683272568 +1768683602 +1645375142 +860861692 +606024553 +1362872386 +1330441055 +1694120575 +1795839604 +2029056407 +49973071 +616282143 +335558355 +605944997 +510627173 +964061771 +1164178229 +426531943 +1859171373 +762930703 +277389174 +413709539 +1478504060 +982028647 +778996946 +2031683877 +489592181 +519702704 +1172427481 +1350765506 +281579416 +1855700049 +971965460 +1926954558 +569078093 +1577990013 +1142343297 +1899519149 +1124626941 +790699253 +1781091908 +1174600012 +1406981396 +2116650263 +1780545009 +1917608569 +933228386 +797239591 +196656864 +644916111 +1560170294 +474046038 +1058625651 +891190706 +1456074686 +1837622597 +775390935 +1945666867 +209841653 +1947818416 +1148948725 +491421069 +1656034817 +2120914186 +270891980 +77629263 +1551420551 +1413235277 +1977148412 +528563844 +56450882 +1610756672 +1703163857 +1463432278 +1579923287 +1336225218 +1233557199 +365668026 +2133464809 +1430214064 +1010584137 +1546151456 +1904260102 +2069209788 +289858514 +1212851140 +1759348738 +1065249450 +1011034360 +1969190391 +865584218 +12499437 +313127813 +374135388 +2133413623 +584019793 +451764651 +1537350527 +1997255070 +281429415 +2065914371 +2053705952 +1892186087 +1621594580 +1369654582 +1324625726 +810336151 +455728133 +1690293752 +796317312 +1885942197 +553394242 +194985120 +1642718652 +475120382 +484843635 +708086144 +86985472 +1550093085 +1719120504 +2056175864 +268193655 +1731619942 +221820029 +642329043 +1717549917 +805839822 +1094093694 +1107416796 +655611244 +1375523109 +1025847520 +561833548 +1120225548 +499958452 +1931488130 +297367627 +1310294603 +239732615 +1987661379 +2106611916 +2125674813 +393571973 +154113388 +1620909817 +868692356 +638957023 +181512313 +955677828 +41566460 +1900632818 +864370044 +309760116 +1484769112 +1086190073 +952089159 +1054835381 +1892029895 +2046182854 +14768530 +400157491 +1274222315 +1040616050 +961991039 +246964216 +1540574502 +745995521 +544331843 +703385458 +985728137 +384509574 +662513726 +963919302 +778081548 +816627114 +437345471 +1646773904 +1455584138 +618857784 +454968084 +1497150598 +372006954 +1319338129 +1806910714 +1856776066 +258044554 +611516226 +764127800 +2590802 +510215432 +778896330 +402748293 +1784437747 +1819512380 +1364739333 +2031401963 +1212603234 +2110734854 +428250158 +1915988692 +948979343 +812759733 +431018770 +1912898645 +1590841281 +1247645885 +202760468 +1090131537 +555746375 +821618253 +1545099621 +2052896973 +1193625207 +716954102 +1712324040 +902917626 +974998657 +176356618 +1667045426 +977589459 +686572050 +298458108 +1380337752 +323526149 +2117970488 +597593437 +207444465 +1183090074 +560844644 +635694623 +951595119 +1509823987 +1448454356 +1382613889 +1275238985 +891811989 +482776126 +1477999453 +1981943526 +1038522501 +152134058 +1379559500 +943935827 +1345759266 +2096513602 +508776219 +101193244 +924028611 +685132837 +1768238670 +1901618070 +1371704887 +2066696778 +1134472175 +1695231036 +2037183618 +1732065612 +1902675501 +1072790044 +145426608 +390886477 +2024385163 +1655250596 +1839340833 +1259515405 +783005933 +583669175 +1742291531 +113521738 +418129053 +633330385 +265655797 +1797688553 +1577266212 +1611415063 +1746718508 +2086042431 +1712608307 +523263471 +623691620 +1333363329 +277397894 +1995396507 +1252576459 +1411870069 +1543143895 +1142276429 +996452033 +1298335749 +67582825 +1141878642 +1689222226 +2091967989 +649645590 +1381079411 +1203999746 +1432651523 +1964748586 +798807629 +1546173261 +235393992 +1432138014 +1811829058 +2033082545 +861920578 +1275760473 +1632317405 +800479361 +840885132 +8097229 +1424170981 +26764813 +285495123 +1272083840 +1279341272 +1697365192 +667744088 +274134053 +546333577 +1966079837 +341716879 +1688212219 +1507818415 +286201220 +190374161 +741414178 +1490200966 +1623025684 +558679117 +141524947 +1021715298 +794073109 +1573662962 +686060708 +679672006 +288099892 +1961821182 +164505764 +1088579254 +655222666 +172602993 +365266587 +681987480 +458098116 +1637350428 +1961328752 +7979660 +157610868 +87979158 +554313237 +2123690705 +429696037 +95041809 +1484025472 +715897257 +285415970 +77956002 +58614575 +1908441655 +636635119 +200139522 +782673305 +1430708228 +1773802484 +1468734013 +2110380235 +2061902377 +1283071547 +127402351 +1002997983 +1938294214 +300005344 +1368264570 +472798046 +758103460 +858131350 +286643150 +766083120 +1015742218 +374622308 +1320396357 +991949275 +804318345 +1415438166 +328491099 +1520215602 +1700854137 +406447102 +1578830177 +1461812144 +1043082221 +1778969700 +97001801 +326306802 +1405288536 +1565735814 +289203389 +1319707265 +701323714 +416605740 +175221600 +492134280 +716611084 +1543486171 +964932326 +1474714544 +254133873 +1251575476 +93314016 +1269876092 +1626197785 +1413710373 +114341719 +283032482 +681664892 +442832819 +1803248085 +235035381 +849279921 +1234594614 +1696847525 +1892362142 +866080666 +1793849326 +71185296 +123885555 +1212101492 +360388685 +1443592820 +1913425206 +776994425 +1618814421 +258075838 +1493605509 +1014816944 +1223008164 +820836405 +1268950817 +327099993 +914150421 +391343261 +1953297778 +180377147 +505684981 +88846612 +862042039 +948517800 +1892094697 +1097077420 +1797797721 +979205664 +646441297 +1542676215 +1845286330 +292806975 +1613861512 +1969171885 +1504908467 +1974250197 +1265281058 +1270850026 +603760975 +736611831 +1528925864 +2097366484 +1751428775 +604450381 +770719242 +872895944 +931550374 +1684869663 +1264239206 +737364504 +1865246810 +1769924187 +826211116 +579805201 +570958339 +570822166 +1676882621 +221272412 +1550027830 +175840270 +1763948627 +1247830512 +468647245 +1230326491 +1069518750 +1973555713 +1057093041 +187316160 +1096922091 +1660854016 +923927991 +478364307 +1610736852 +527873118 +1082814688 +233972446 +1400769062 +2014365062 +1918842110 +517524620 +604245918 +1636605272 +139965159 +1430457035 +68926826 +710923498 +2001279201 +1745809447 +932195910 +1403823383 +1921649718 +548660890 +504170247 +242813315 +1778987381 +1573688997 +68885380 +688596774 +1761005157 +1165807471 +201967142 +537449500 +1644171779 +1812703995 +1065322618 +579502819 +2046676441 +318608033 +446384234 +1818034903 +836132653 +1050630152 +1307156528 +976097813 +333603539 +1376083354 +1687021311 +187399092 +974409153 +471733574 +1591222475 +748575223 +1020394464 +2095392723 +991388539 +651898197 +1521598072 +1060273919 +1340494972 +1135119582 +78597743 +1542462114 +1672569082 +1722769522 +1207682461 +590408053 +154788693 +1106875255 +909016086 +601172927 +777426510 +1745148739 +1651803080 +2084583038 +573762904 +1985406619 +1313182744 +113300568 +25322064 +140108250 +585034142 +1616544539 +888683473 +1605428606 +1564453614 +1880072012 +109843155 +938568039 +792862284 +1450338127 +2073687621 +871460027 +845316594 +1598773055 +446745901 +2052999055 +41697460 +601534594 +1012390662 +950713546 +1202707522 +1789817173 +548378638 +707026954 +1726916563 +1122141542 +544949925 +892615660 +1235442110 +570271989 +1032723910 +1820476252 +39332881 +1921407383 +1278421210 +1603786495 +1653995748 +1388264366 +394870886 +299374384 +691118845 +321074859 +1170834411 +1536435439 +1919847915 +1617580312 +1441950847 +1961545375 +71631258 +306857861 +764775274 +1274338780 +2096675034 +1313153912 +1981365734 +1676107950 +287811806 +378832012 +421239962 +1523253917 +949104001 +1453963872 +1196246521 +988436882 +1227887607 +327184084 +444739730 +734399707 +1715448450 +839610616 +1033774091 +259083647 +1160685476 +57124854 +1795519087 +933049743 +1674705166 +1089986286 +747111470 +1746336425 +1396844147 +1511886744 +873191557 +1346035534 +677557008 +707073644 +874659836 +965368815 +1085905656 +1295899798 +341139084 +2035009657 +602380022 +1537385605 +875962892 +1830267629 +1864569689 +1320702622 +417183689 +1432534491 +12829590 +1450957780 +1691618139 +1173515066 +1508082635 +1339653578 +2106564809 +1035304153 +282156216 +706192632 +634156930 +1679000363 +70595728 +1507348488 +877552249 +748152737 +66938484 +1752212085 +1713521552 +1152844140 +900628235 +2054660636 +1040370149 +1503008257 +1444562593 +1916333041 +1185792239 +1161648635 +1089552015 +1602975928 +446699478 +1102381606 +906450060 +2138317617 +128413024 +267049047 +1330487547 +87494186 +1302353201 +1612643763 +793686818 +1936510131 +1144160479 +864282546 +1296374971 +2021712728 +1612435283 +1363313455 +1626441166 +1178473187 +368673947 +379585753 +1085650175 +1409044097 +1882594011 +382729121 +1177893490 +920902602 +1544377756 +119961858 +376394882 +1991077234 +1222343464 +1282844942 +1981911204 +1350756488 +1549893990 +1164915103 +1438250674 +704763543 +630075219 +84453844 +493790026 +1774235698 +948736391 +1790164998 +1648464778 +413688026 +1005994805 +1127422296 +1592161214 +1374668753 +1507008050 +530327741 +636229202 +1242118413 +913056862 +1814122692 +15537367 +309950970 +1934084550 +391932249 +153544557 +1008944366 +1674777191 +2135455761 +212217207 +1077187533 +1152887216 +1650467881 +1781951076 +1782962435 +1734921726 +128257455 +1409714485 +536174469 +1918422453 +910695616 +949862495 +776933610 +2038117912 +394540061 +4118715 +1397642314 +924867803 +640347917 +492277079 +1837924665 +306986962 +507814446 +391988 +93587864 +899746695 +153936545 +1102532231 +427040239 +141908658 +1314749438 +1504227772 +1294795874 +817733671 +1138695201 +930274662 +405171749 +1266952656 +192505499 +941346218 +1037891461 +1103201115 +1891208714 +1814825071 +993835380 +138265127 +1818943787 +243994046 +1063132930 +311808056 +736271126 +753573948 +618795018 +1244085572 +753965936 +712382883 +2143832268 +907902481 +1814915114 +423388859 +1049811139 +982180904 +1927616631 +197123365 +1799914575 +918828184 +1127398027 +57602677 +38297192 +1319903527 +998948895 +1076188653 +275620994 +742673961 +743530077 +1269456374 +880939089 +414990216 +1513450421 +1944072019 +726798272 +102237899 +550162319 +1345593291 +1346323471 +1304128255 +2057976174 +1342672091 +64547088 +1725407640 +1766060950 +1114358227 +560104896 +1546193934 +1311481593 +212535823 +317538470 +291395972 +270138500 +355835663 +1611299499 +1269087396 +1432024316 +1886920494 +2011761357 +28070745 +1008893220 +745216798 +443060961 +374859993 +541805170 +1169859234 +477097892 +1091967489 +367968877 +1823421364 +248612097 +278461403 +1018609807 +313159185 +2003869043 +637187110 +1427517413 +416490291 +35897396 +591515358 +629026114 +353435866 +882911330 +899164615 +709271529 +346727182 +20768363 +2141295846 +86164028 +2032529720 +21882943 +1095057248 +630262871 +464943905 +1469917242 +1172068041 +1634803139 +1947015134 +116551882 +2002772016 +1622952850 +365163979 +133749771 +494079010 +678323165 +2137618814 +1131266120 +2105840578 +406625457 +1167163516 +549872288 +1035651571 +1520599382 +1432783618 +1934816186 +82387264 +1779510800 +1955584549 +76199462 +1865674828 +1840630622 +98082405 +813248429 +323409845 +563026310 +135682023 +1495477886 +50345801 +2082697157 +1612029768 +2053117817 +1558166360 +1977193748 +39383940 +2052245370 +508033265 +29519106 +1036027842 +466390195 +436144563 +55707710 +1016262483 +1471796135 +1576307092 +301562453 +1259128673 +1658694356 +2081073254 +1067229575 +1734893818 +1799264434 +760376549 +1832976224 +465029215 +1083786394 +248518886 +600711238 +431780632 +298864688 +535924748 +2043810400 +204498857 +2094091108 +1873520500 +243882798 +1998852830 +234070117 +273401904 +887397024 +700460312 +709546468 +943104734 +1716722795 +33858955 +371928178 +2018285249 +1292987628 +2030622535 +1951874855 +212733555 +1618032705 +1603655641 +973110104 +1303525281 +2068684857 +2056896498 +1552044168 +521912447 +341193482 +1850908856 +1057837195 +237520235 +2055407713 +1004444655 +2111040735 +151806863 +855813837 +197627205 +425208768 +1743210861 +898087517 +1134755236 +538831947 +467326665 +1168614191 +910760126 +338128266 +314118171 +793899013 +142519473 +526851727 +264448070 +1746175114 +1499961831 +1567973352 +1667376323 +1409374682 +972533872 +41805123 +1750568164 +675959080 +1099642318 +1988088399 +583883145 +2104086974 +1951645487 +735690009 +812417163 +1789044 +1160898777 +408144377 +899876561 +148170365 +946976324 +1367203226 +1316784556 +1857736450 +1705331492 +1630902727 +504151815 +1847850965 +10270806 +768599886 +1446542432 +1510232638 +189089590 +966435107 +772123672 +1161623462 +1008240230 +375208188 +1837582542 +2107882549 +215812940 +273982039 +2064485875 +19974779 +1009672048 +729419390 +21763823 +23087177 +1137563767 +921640384 +171257542 +2084540092 +141359963 +1488042098 +1794792894 +1846691455 +971461178 +151461062 +1547058773 +981731984 +920060948 +846117557 +344480974 +1109150538 +1812552664 +1116604646 +123290352 +673309247 +1491812835 +1960872894 +633708148 +1707625775 +87371285 +550710375 +1727600554 +1097043334 +1280129765 +1749364377 +1120130511 +270209885 +523521113 +1291388054 +207266329 +664881076 +631946504 +2002059223 +364088884 +1603407682 +6036637 +1911147657 +437656019 +926097585 +609781566 +782136993 +2035248123 +274850582 +1898741640 +11054827 +948159829 +1243070827 +1971927721 +1581867977 +803212954 +2059299007 +2132578352 +383329860 +1008858693 +1265224470 +2132694237 +2128989204 +1535434355 +508731702 +1272893610 +1742700684 +1173612779 +1904840115 +1597276259 +1537701663 +1360764149 +1603312897 +1301365672 +1798420168 +381926834 +1911147238 +433073514 +269691310 +38514172 +184331506 +280746137 +986674002 +1427402333 +105190211 +421058331 +83131639 +17005570 +406153036 +466461499 +1025864263 +1671377506 +451672088 +1007369819 +1059328213 +960403790 +132779782 +654545249 +2134016569 +2037619897 +104337860 +1524234584 +1250900398 +1707650757 +678116608 +901836919 +2089577592 +441780198 +1334910433 +211785254 +480294371 +1519241939 +492531391 +1466968373 +799160624 +597721602 +1888026704 +882292263 +614727172 +146696092 +1348753762 +1640591435 +1818073598 +1800425850 +500477607 +729918163 +613345992 +633257389 +1384463412 +599878914 +523393638 +1488801273 +2124113498 +1774294036 +1048968382 +654746459 +528647307 +991062326 +1096526657 +1863557740 +1202847580 +1576821028 +1235316031 +1695378972 +896305753 +2034476655 +145616926 +636848810 +769285270 +760344099 +783544902 +2118039032 +253451886 +454134853 +1770981234 +753929493 +1184053016 +236843579 +1387186882 +421032781 +836722493 +1910580520 +1909834054 +813352343 +1537390909 +811318788 +1468098802 +2066038216 +1802381115 +417141812 +1782112309 +857745047 +1993962840 +869944692 +405640371 +742784946 +756937700 +551257298 +1379633756 +1526222970 +1311601397 +15695010 +1496778355 +1565053283 +469829863 +1120275941 +171499129 +1653882880 +1357119520 +1558686011 +2074915661 +46358365 +1321782884 +1837266067 +859710709 +711690145 +501101207 +180325863 +630244713 +155998674 +597467675 +264873374 +1013743722 +443946868 +1134818067 +1419384093 +1186731814 +1891755767 +1970641391 +418881922 +1270495089 +1134759140 +434576932 +619789796 +552328776 +904406796 +1740065738 +723827905 +410806028 +949701610 +135030268 +338238041 +996059976 +1456813152 +28020460 +1855770685 +21019649 +529121667 +2036096548 +651264363 +685120342 +486080576 +916137737 +1698864064 +930027444 +2050955804 +970764509 +2116759258 +1795227923 +793922253 +388157532 +918239365 +1928681393 +822734464 +1538029161 +333526521 +1727141260 +1130611251 +1057354426 +2137947288 +2080312862 +1192384695 +328701681 +928889190 +501714199 +356722141 +637176227 +522733849 +885843809 +525789127 +1173998212 +1570964151 +1011869703 +2090135949 +1122344567 +1941897147 +1993608106 +2093109076 +1911172757 +1641352381 +739547681 +151846641 +412108098 +520745427 +974581106 +1950137260 +854271948 +554238718 +933264863 +1911626375 +544702359 +866094077 +956527422 +873404040 +1794983267 +1458241621 +1230126182 +284675846 +1980975470 +2115969991 +810464974 +1007490034 +1539450494 +1822334677 +950142336 +514311413 +1616748177 +796266794 +459936841 +1380437286 +290135527 +1199484523 +1532283928 +702243626 +1720229950 +359381386 +504897238 +427018250 +913620104 +1438162101 +191160977 +1458322463 +156772531 +1147688399 +184242856 +1951755798 +458446373 +1414369038 +88947997 +291938195 +1382855381 +899412971 +1299428230 +774822227 +574264000 +102086918 +1289133640 +43528529 +898353712 +1749070481 +1423965816 +1188489239 +801071356 +808766096 +1890732865 +373817658 +1168147482 +248146455 +800835909 +2081767586 +1686308557 +991996886 +1392606402 +1843081088 +2139685286 +1576849258 +1647353238 +450648011 +843734648 +1736301235 +742586206 +79106381 +488230558 +2042014436 +853928608 +1062494559 +2144101354 +2143062248 +1106023088 +894971418 +1744649081 +382505256 +2083460658 +398236790 +1191271352 +1826709875 +772054448 +211935186 +2074856331 +1572890357 +146219125 +1613681240 +417403596 +1538825527 +1309278680 +409605234 +968191137 +809148270 +860253245 +1811925785 +397965858 +1602839451 +1891032166 +886196416 +1497370240 +597477126 +1948690975 +1493987946 +593055726 +907230416 +241475717 +190221159 +1289735672 +177452727 +588457949 +333523377 +2004162602 +1360512398 +545458563 +1931535285 +785919107 +691677688 +1397732877 +1203322703 +83019567 +559527909 +1612927937 +1051210704 +1368676180 +325697534 +715652841 +1766642038 +1928536986 +459201359 +505354806 +1278423578 +1056678485 +306562134 +624927876 +1649734211 +1213792550 +866403593 +1839955371 +356044574 +1043856320 +280929672 +689567951 +900535275 +1641442070 +1235026515 +684586912 +279877530 +1926704203 +2082319790 +1483200233 +2009723771 +494364051 +948644523 +913450827 +1863040231 +1274342057 +1629103669 +1482198621 +1055395395 +2088305028 +1987553428 +186335325 +997499866 +146631914 +811263202 +499750429 +1360424464 +1677666795 +192222152 +1716469038 +574039468 +473151825 +258553342 +1474574743 +2114593895 +1493579857 +11678007 +246987777 +1272800412 +2093997797 +1730188011 +1135040535 +440878201 +531348886 +2048491363 +156434784 +1805690943 +1530111384 +1638633406 +713602691 +1470932764 +1478703186 +899938016 +320948982 +1625335100 +1711201218 +820699412 +838275916 +1241384366 +1012921564 +407261306 +1815423834 +1486073389 +665814648 +1142514929 +1453183637 +11910857 +1154192936 +1700171414 +1284711270 +1100707086 +1282875777 +272268157 +1541585287 +1814224663 +173275872 +1698020071 +1472431959 +1703387256 +1189169829 +38551002 +1026836373 +520389367 +938489018 +1347785355 +2145724467 +502206589 +21001119 +836516735 +1743590955 +1033922684 +1243778042 +1411531141 +372512425 +1909592690 +406562422 +1825696062 +1921503548 +1560755358 +1378383829 +1058731170 +513978796 +513775958 +1330999327 +2055564083 +180516974 +1504275200 +1606100507 +1652948933 +1060178808 +647786688 +1691499935 +2087015181 +1168176056 +482505305 +1287316889 +1166416875 +984711894 +1308318008 +2002933611 +580819201 +194757044 +1099228005 +1992350342 +567269470 +861337047 +251429116 +245481884 +635356947 +1812184475 +1623865713 +1694088117 +178679623 +2137641672 +877603797 +86760059 +170674998 +234395349 +1692860566 +1823623931 +1294574157 +193163606 +1367640218 +1234105691 +1361339662 +1850145523 +373938932 +380272890 +687373770 +1682256940 +235722853 +1268192971 +1877013985 +1334950858 +1113059666 +296799807 +48804257 +1364488782 +542281691 +684161205 +1029189609 +18663757 +230765674 +1207869233 +8821781 +1108369471 +1294629292 +179496779 +1342764820 +840006210 +2003120710 +489855330 +1033169816 +1223277280 +1723961021 +247025831 +925939155 +2097899953 +627298721 +1613312925 +1632673245 +863021574 +734022249 +1362203582 +50488784 +1847081915 +1659003389 +99293041 +1064087049 +53801433 +783454246 +2093276659 +72465190 +1014219921 +1153662244 +81286971 +2122589392 +300807888 +260783750 +1317870565 +1140814098 +116420812 +1807725895 +26500266 +1339698092 +1384203268 +273526097 +118153599 +1334619573 +900824818 +1731466525 +819809170 +1763846392 +318005126 +34529105 +1814335176 +17603393 +1693532494 +1913628218 +1081690442 +1747333927 +549598816 +1027483453 +1819799117 +1563818737 +33662049 +1901086088 +1538924482 +334469937 +14386190 +709311399 +1475284035 +130807002 +369553646 +1501784302 +1470505094 +1753756914 +1775310399 +1588658694 +940892839 +528651570 +1172641571 +1760702009 +145014314 +1490646697 +1795231114 +1959349491 +1508250090 +1341279961 +1725494061 +442456884 +941130240 +127609229 +1469940338 +613445710 +1691427967 +1503602387 +367048150 +1082868801 +1838072325 +381434341 +1792180200 +1165872712 +512241343 +14250198 +520173366 +1982746438 +1768007112 +148000118 +1423921484 +561416303 +676651688 +449079407 +174634664 +821666002 +1939726104 +1969865779 +633531845 +1300492546 +1163662092 +211542258 +1742949430 +2104792332 +339151488 +1065406120 +570754394 +2030579455 +421524860 +937802545 +965964608 +112113537 +1319236886 +610661160 +1277986249 +1831478229 +624911358 +1798159616 +1666741019 +245434822 +1946159734 +943178855 +806851125 +475327774 +1392258262 +981485789 +1296993776 +1184500718 +803867920 +1930525622 +337509616 +1967530012 +2142067880 +2080459047 +1924838697 +333735720 +998381519 +348109443 +216831527 +1419906379 +1285911988 +1182796135 +1532019916 +457665226 +1793457295 +662522518 +141659808 +270885005 +313198486 +1808400827 +516319827 +111874572 +604096035 +1323170952 +587202346 +1996354297 +157173094 +1884196122 +1033371368 +961041014 +1667238096 +1370880984 +781087379 +1661822329 +1303856383 +558442428 +1995558049 +154754255 +906551871 +64905929 +1574660634 +44980212 +1247702064 +959196903 +502645438 +893675712 +1621719421 +644305246 +1164560717 +1934917907 +305222426 +1680880545 +2046792479 +909318461 +856567849 +486511177 +758189110 +1013740943 +223223651 +1791560478 +1974781958 +1890461748 +1014957815 +608385689 +1404800429 +171330550 +1166828117 +1252874830 +326084805 +2073379988 +1317780759 +1900745440 +2118360200 +417999176 +712458695 +473521991 +1311674888 +186694468 +1117827237 +328751957 +2121612375 +1423049663 +2009632502 +2020921206 +184884476 +718716704 +359948735 +943073587 +1732457647 +583172386 +587150417 +1559755957 +326150486 +1602108232 +20657998 +1730950915 +1773438783 +1187486115 +836342098 +2099523588 +1113382456 +6639209 +1852785380 +1084259008 +424638385 +417760427 +1557780999 +1736313273 +604454895 +528124589 +2065065231 +578583622 +1951174252 +1927214085 +452021180 +2136058729 +498447141 +811969915 +931648668 +83421141 +1395142302 +1518799085 +1643177098 +1721292788 +973423670 +1663835097 +1304760056 +599378805 +703837564 +2141102154 +551418745 +1817220020 +257715 +256720478 +753995381 +424896101 +674480905 +164292732 +13725726 +1278935801 +692417321 +2078790957 +1857519423 +496107926 +1858521395 +162056956 +484683007 +209484888 +974026871 +1416331675 +292906029 +221685525 +787647112 +1936083128 +1942978314 +1761070782 +1452434577 +1100254722 +212965939 +8788493 +1093873228 +764384685 +1826008514 +1094130943 +1021105163 +432520247 +1519027044 +1695586068 +596812979 +1532752771 +827038221 +1289230301 +1464060080 +537073997 +1785338227 +1175097827 +699130953 +122537586 +1384582716 +1673157824 +1538869261 +1677488745 +1894843350 +179032725 +1466088225 +1690338016 +1940103508 +771039154 +643109090 +5585799 +779827648 +1736982318 +769970484 +458352514 +683629613 +1791075647 +890872761 +55173010 +1339178068 +1487685740 +1587925781 +18732641 +629432393 +904502213 +555806638 +267286972 +2079600041 +1254937591 +389824558 +1316699109 +780611768 +1928693819 +846704206 +527971470 +2107726545 +165308784 +70825838 +1900346405 +936347938 +713934928 +1905932204 +1716175586 +303433598 +528419041 +27044452 +987063211 +172011040 +917917213 +1042236221 +1511189108 +258119306 +482678354 +1529921750 +887551699 +1387180568 +2085728388 +1154838672 +1319296961 +1193182332 +1544663230 +488512422 +1973794100 +1325873402 +1335216628 +354281922 +1286116299 +1500525412 +425107760 +1038979056 +289389703 +1139042688 +797427612 +2005565289 +1442476286 +1325846653 +2032609742 +282055849 +1497857694 +803043307 +1324292071 +861563154 +1061162613 +1806970425 +244001256 +1948714313 +1046667345 +182245997 +956069337 +218480658 +1375428329 +353248919 +706993080 +1201738781 +1679122321 +2042209709 +1556020703 +817754972 +1395251473 +1981128463 +1856734028 +1684641176 +972687503 +506677993 +1542722818 +267680141 +1832524646 +1427848912 +549735990 +1182898692 +83408571 +1874028061 +2044461847 +1144571185 +1533514839 +140979455 +945801850 +432698536 +323225452 +1901871187 +651179195 +1698653781 +107636458 +1358172275 +752908914 +1786758780 +1252898336 +161445969 +457030104 +500666162 +2142574432 +166280485 +37823690 +967778287 +672958478 +1580546508 +1235458428 +357999476 +860911772 +1785194419 +1540898169 +944320344 +1511738832 +1437876368 +2088891529 +897770023 +1578855823 +887209731 +1330468560 +1902081276 +641597270 +1981647755 +1453251409 +749233728 +1192336382 +58676676 +388508860 +297751071 +220122645 +845538965 +798417233 +215213430 +1011819450 +836240923 +1182991717 +1684777928 +269303784 +270966498 +2042777404 +1130215556 +2056160917 +1436191925 +2074535900 +1420416101 +726584645 +2015943781 +170702477 +157956821 +755669864 +1501171037 +2060038097 +1397267134 +1335335144 +1365805858 +2146500863 +380187878 +1424482534 +387526075 +677938949 +1644605180 +1233065040 +1476356182 +1859818610 +97400842 +165113458 +895326679 +1782178770 +434417242 +1166293177 +1677472527 +1564632798 +1074970446 +966180804 +1491685051 +347902900 +1692765450 +1360145184 +518605377 +1850722271 +2115815049 +2019776414 +1763276720 +1365598535 +1207627910 +981598930 +1364615750 +1587815788 +258597817 +1752141826 +118271090 +1903202997 +837723218 +1594627272 +1615537959 +935124061 +1759740730 +363380990 +569819183 +46674324 +1529674168 +99808062 +1611307123 +457160966 +1065988867 +955508526 +805063866 +611270669 +168170062 +1323669243 +314509292 +136501463 +1195962009 +2077786012 +1502099999 +256106271 +911901294 +719232101 +1843922060 +1170499111 +323890279 +1962193150 +926218460 +1161613498 +1409336774 +394272771 +2096737559 +1021593857 +757653762 +519073094 +1068268181 +139844282 +618881157 +532091656 +597005248 +1684870024 +1487600182 +1402069115 +148657045 +1655770245 +578254710 +463166337 +1792271708 +1774216720 +393468701 +1146888059 +2030322991 +1305369995 +1866120161 +1726761403 +328385459 +42526792 +1541470905 +1254603919 +1204140290 +803324032 +1648876691 +1153394201 +1824917889 +259046805 +1672467296 +745702422 +398891087 +143864805 +1277794079 +995896335 +1828734829 +617910613 +250481802 +1977391874 +126197210 +828736513 +293074563 +1918468919 +455469585 +686543264 +917873330 +338308928 +1991913259 +636509843 +2065070332 +172815070 +679036636 +1459057589 +1427418990 +1883176926 +114897973 +928812033 +889087480 +1939815862 +1187858838 +414071128 +538034637 +1586749925 +557935933 +1815828716 +435162612 +239187114 +286255681 +685644415 +69095340 +412452892 +1514380928 +362169903 +183438163 +1969850513 +1048713167 +1101311493 +160675793 +893142778 +1737821337 +78262477 +1065957849 +269374325 +1537320067 +345893191 +5067603 +1652218040 +1274705224 +894155083 +1444550255 +315080414 +1308226211 +1982584892 +1901830339 +1866162144 +1650929960 +189509303 +2105349258 +1937185641 +875153718 +26960950 +202154885 +242050998 +389130853 +385593048 +64417863 +1437844020 +1486904542 +225093657 +183503151 +1077242231 +303356134 +1249461000 +1346616556 +1840676201 +1595354191 +1351684159 +1345410594 +722575767 +98355595 +642477201 +1037656181 +1406581806 +477578445 +792002872 +1125260303 +2128508405 +981512175 +1083125913 +1918210398 +1856665894 +1110086864 +2120365284 +2098716892 +1499217717 +358474684 +15651108 +789578090 +1845379226 +240744765 +973081241 +775137809 +544100899 +75058593 +2121754365 +237293453 +1670412784 +1325954877 +1582704047 +245504903 +1424310472 +77697600 +1283161084 +683408630 +555276045 +2075163956 +1808668933 +536300802 +909192483 +744311199 +307027552 +618374729 +1854398063 +279909188 +569607974 +1206132132 +638383873 +585259082 +1995710222 +336279451 +826003847 +821307815 +1111417261 +1370104746 +896366408 +1085687978 +1607398199 +419295544 +264159207 +1042618598 +664800447 +1688469679 +1120316198 +1947961531 +224394662 +1675592243 +1875641839 +2033063595 +64409397 +637350675 +629891146 +371436950 +1255725404 +336805561 +651346138 +1825333378 +1542937694 +1289730011 +263108812 +1391164268 +1626009463 +1089112659 +64988436 +589943076 +311733758 +961354844 +1675631054 +1919131957 +1380650389 +1939790262 +814266908 +2045450836 +1480776293 +1934583106 +1845928720 +1705170955 +1462691702 +1574086911 +1590750903 +1527101099 +63953938 +73158401 +1898538049 +1319679343 +409963963 +402400540 +997529073 +1952901657 +1692130551 +1260637886 +1196582277 +1170656366 +202266897 +1261570713 +1760599442 +514000655 +75441910 +1288746849 +285648965 +1456092299 +1081053463 +1099915873 +1354059487 +414346108 +887015331 +1052504559 +2119517064 +202223385 +479107823 +1562784319 +1729324485 +543061761 +1635942720 +1480378886 +1862741104 +2045906683 +1882779426 +712786530 +1851324692 +1427426330 +1973424416 +900423322 +450599048 +28207665 +14510387 +63714843 +542208321 +89952297 +1352461692 +827857286 +1546044596 +286031507 +1927773159 +752620436 +700377615 +667304842 +1805124995 +672411031 +869528228 +136749170 +87711702 +451369065 +679810932 +1723654423 +1931747951 +395068388 +1622077458 +1667043730 +1107854918 +1325918503 +946986412 +933795686 +78858177 +1397585460 +962003352 +93368564 +1461300303 +1504211673 +183320862 +666278347 +184585311 +1729365458 +952309854 +2112358470 +334502246 +1652687470 +632179664 +2139627242 +177614853 +1501707892 +128892764 +265326556 +1953076957 +808703696 +1988980979 +1737341261 +1203772085 +1463574789 +1256901343 +164143355 +642009644 +56404107 +1097939042 +720867821 +1453989567 +2059942394 +814236386 +767806223 +1416670419 +997557248 +1434084570 +1601255730 +579439058 +238910777 +1566130552 +913941305 +1891598247 +50826568 +906084899 +2069213100 +1552534461 +1034977663 +187056008 +1358127770 +1843681360 +28553339 +947985383 +899969797 +1492128129 +57403078 +1064113152 +2134137773 +113807185 +14568546 +707521947 +1567796753 +2074510940 +1521758333 +188119328 +1343697711 +371831933 +1622203898 +797469793 +951270991 +1861114675 +216116697 +1865212296 +1605229274 +266943266 +623813547 +1526958727 +1819477727 +1658791211 +1714014735 +1030121849 +1354988923 +1742568075 +1978107233 +107475072 +1087212556 +2035510311 +1171588224 +1073866681 +1833849 +1186156771 +1781388628 +1569630602 +1113184063 +1155663313 +1757749930 +309398127 +1527495246 +1232470180 +1106867920 +331282590 +946101208 +1322984618 +49011238 +403846834 +1589927884 +672824786 +1930805561 +1261921963 +184132349 +1497336649 +144560164 +1539121272 +1092421076 +2122667397 +1646596344 +32149984 +2010694061 +670700920 +1106016665 +2012527910 +1856857691 +739921646 +1434674864 +822558107 +1895584959 +1044941146 +1131956234 +1275596558 +129927678 +91340506 +1606879148 +1076028886 +1414325124 +1655890386 +1479875721 +856769360 +181231524 +1263197634 +2118691323 +365363873 +613050635 +115767840 +1904485145 +1705471711 +90951589 +1403597841 +1737621695 +2101645650 +2074298762 +696154713 +1966689912 +1783672805 +1436076359 +1253881128 +458747264 +1184177670 +151338626 +1590703498 +312290580 +281266305 +1682044005 +1919169728 +1357295191 +948885481 +1427576467 +689687264 +1805654842 +1608807991 +1952884899 +1776862517 +1974171865 +418451886 +1892630357 +1731173362 +2123923598 +1983581947 +987287556 +1714061645 +1937743949 +914102670 +262732710 +1756950214 +550291827 +1698809069 +863347694 +1009039092 +735503092 +1014686321 +452258942 +1047793672 +1295952626 +2134302947 +819479753 +505764169 +935704781 +99572572 +1195451434 +593875975 +1708380563 +1000852685 +223254844 +1535068780 +1419304571 +2115885202 +1118758495 +1395744521 +1951983501 +2106046051 +962322519 +1742243802 +872665073 +1225055229 +1351710368 +1422956900 +776380651 +67574415 +284512344 +1511883743 +1082260736 +736771287 +412193767 +230729714 +723590586 +1231673520 +736493883 +1659295367 +1331246092 +1931945317 +105687694 +892143008 +785314354 +328942539 +279728140 +57135278 +297344093 +1398486635 +1452879799 +101843946 +1357049038 +267718670 +1844087748 +82230463 +1492773900 +1048314469 +1505187364 +121670903 +1115888884 +1789699708 +1633554646 +50665972 +378987347 +2045748413 +281395686 +1102577934 +1129938286 +1017889569 +614389653 +313700730 +802351239 +720077348 +1205843738 +1587665593 +1049019887 +1485571879 +1644800871 +1346363980 +736574866 +950197023 +1448207926 +2093623905 +1217915693 +1144812026 +28370720 +563205945 +45642847 +1533558084 +684876848 +1161531731 +1175774145 +170947846 +1212197703 +1554761492 +69212612 +1493593389 +509855778 +1199150898 +363999311 +1124245432 +1512851628 +1166350550 +1844322780 +571211719 +606532495 +745859019 +2056783598 +103849719 +2092222999 +645874816 +1054046742 +1392947277 +592015073 +124478787 +390275655 +620385794 +687684733 +435918503 +6460230 +1372561581 +1597450234 +1182234375 +1543509428 +662164290 +589512220 +1612722040 +8274031 +1099367998 +664389290 +372273342 +76129782 +29757270 +1538623892 +1920452562 +600968989 +2145156388 +518827933 +510268939 +101522459 +463567284 +1156143756 +1155569201 +1856514561 +1748158829 +1280047988 +99306569 +221060975 +1967732721 +535225072 +227521206 +1192810655 +2132675306 +1409755581 +588836435 +647355948 +1999267801 +54074827 +655629980 +951152152 +718464117 +1027903322 +1027281934 +748221387 +419043567 +800250849 +1349190377 +416716307 +1319078782 +1859459316 +518238766 +1782646067 +868119424 +1673807967 +1491676980 +468794606 +806372307 +1590983549 +689855581 +626621381 +2126208621 +917376787 +1819432036 +2111400280 +179648721 +260784823 +611272580 +31432874 +314859650 +1266902560 +982585026 +1033323767 +147322235 +2009866961 +1781545154 +566365802 +662634162 +983251883 +983082109 +1981712944 +695227552 +1501320875 +1616875363 +1563346976 +1027645194 +961068696 +2032141582 +1834017501 +404568597 +574513516 +313155234 +383293571 +1491890303 +2132587270 +347210203 +1671539024 +245888445 +958482783 +1702971899 +560748095 +77901696 +538073277 +1594071862 +225223931 +400456590 +1228133369 +791589733 +1063090752 +63901604 +1774671842 +897320049 +759129156 +1128509069 +366711764 +174992485 +8670615 +1327780460 +59650419 +1842688116 +1732349058 +634163935 +8359703 +2115642629 +2126054239 +2140946973 +315369184 +1650109615 +239351771 +1273851967 +1205597866 +800099866 +1351753663 +1743671144 +246688081 +1576977594 +2144127734 +1474821450 +221083679 +1059734839 +1538723054 +1995755521 +1957054888 +150368563 +976780942 +176283004 +325361048 +985451557 +1504063465 +385011467 +680656026 +1088928875 +1019175403 +689015729 +1057087856 +997745994 +682479054 +1372457040 +500371961 +921830825 +498825359 +1705969828 +1721930692 +1850579023 +1302157324 +1968618773 +1280072969 +1298801410 +1295956575 +1501156649 +211052601 +687195981 +1349428522 +20623841 +837564544 +178725817 +196906846 +1162925592 +1164177374 +1700970311 +1547937060 +1844833400 +642415538 +419628815 +386365481 +1699503394 +1417374809 +1068844536 +924476786 +1917746770 +1990675361 +1423302145 +1476232950 +1565122405 +1126397520 +630906626 +1386257530 +258986842 +1929708037 +534730457 +1760143491 +2140760638 +1221926439 +962088365 +13900832 +2059490983 +1140814182 +210807678 +1074932928 +157507909 +1911777989 +475386340 +2002341309 +406709879 +895015155 +241223143 +2106213273 +164906316 +1310067679 +883206411 +2082653086 +1153259392 +159024908 +1411402389 +570898150 +1285422429 +2042309015 +1957155680 +1544409271 +1824533404 +344402490 +1157069114 +1817810395 +1566328929 +2119157479 +1831711227 +1478336264 +1112488014 +2042518905 +405785544 +1269995923 +1806813246 +881171884 +1124853584 +66039477 +1776187039 +1366076727 +24769102 +1941093355 +528660758 +907975513 +1876262794 +1681920151 +1067000421 +1140181535 +105334653 +204939202 +1035006902 +2062490333 +1749348473 +712056659 +259409175 +758933939 +382383406 +1825738104 +730607771 +66610985 +1156590721 +1843095785 +2109129890 +1562376265 +965608060 +1768459488 +296064502 +2090461644 +1834498965 +2072251541 +1309054724 +1859268067 +1865861249 +1837715482 +619759932 +1594640395 +1372151985 +1686760353 +587338282 +1477486638 +1891699556 +1622345184 +1392493324 +1493564381 +186918195 +1651902499 +105014673 +569301601 +1330156956 +835622444 +635912586 +339264029 +531234581 +597558828 +1901640294 +1496842641 +218534668 +50221148 +1439820637 +2053033633 +2122472690 +601391713 +1764818052 +1840850291 +291623548 +237094336 +1288007038 +1663775533 +1923854690 +1875345320 +993778524 +1668070598 +1350206856 +238788200 +1014151331 +1537125052 +1890690699 +1119166004 +2106426653 +1073364007 +1954788448 +594855592 +1412628036 +338539381 +1192414420 +1166784683 +1835382022 +1410949089 +1217005831 +1127719012 +1316499074 +1191994873 +1729110725 +933833479 +885361516 +2020734273 +1170927815 +25884906 +1537026159 +947298857 +1901230226 +383321035 +467885807 +1103953435 +622109235 +1482037139 +493594839 +365316286 +453719495 +452537844 +1438680294 +261024296 +1047393436 +703824682 +599563677 +92324209 +1870609365 +287462052 +1503273298 +940131549 +1415181064 +672288724 +2132126422 +996808141 +1606122203 +870004291 +870058767 +629566371 +895889197 +259601278 +1576865228 +649635776 +642922313 +2044751036 +1753589211 +1265031548 +1379304527 +99700402 +1630347834 +1833024022 +552238246 +921544480 +2094048318 +1599631683 +1625369163 +546128348 +1691955892 +1348494880 +833590400 +1047745542 +141142781 +101287816 +1720034266 +125785556 +1098095957 +1178672822 +995789847 +1968154724 +1808239193 +1891679044 +80272354 +1237620773 +393831172 +723194667 +1134888161 +2147420383 +1988226215 +366709040 +99637137 +1471090402 +52249415 +651875384 +245151234 +2146297733 +104023419 +1870520397 +544942433 +1795979311 +1071531630 +1378532833 +696241205 +1212674411 +1479820649 +268791823 +1338459967 +430432959 +1447464645 +186766166 +251104035 +1108220190 +2078445211 +331376390 +198357316 +324792735 +1054571057 +1333245477 +324729471 +895313625 +1699954518 +424366608 +218920379 +1752203933 +1076241992 +464071613 +1751018018 +1180265411 +187108363 +148476804 +828761074 +1258639993 +1527009637 +1525002279 +323830756 +859346639 +1793794103 +1662290724 +1289779598 +1093775100 +1849056890 +1540883633 +54511643 +1780018453 +1872260023 +252868959 +2104811189 +779347433 +1586114436 +282057012 +1674661058 +1138585306 +706423620 +1893581437 +743305591 +1782665613 +210169402 +346839962 +815447376 +397277765 +495316766 +1644208451 +1655917758 +2022326403 +1021727082 +1979748515 +734189394 +668037537 +1494555591 +2023968992 +1761812638 +1196128833 +1417368978 +1816324281 +828663639 +1142145353 +2069193240 +785991180 +1921492786 +1507824028 +1068048192 +1448670196 +498925687 +1774471812 +1194767985 +1242231278 +1409653777 +1404937388 +1589071240 +77617506 +1802215153 +2084388006 +1721825957 +1310649264 +1959230762 +596069391 +1142914131 +545936508 +1264106929 +489986074 +422421853 +878435919 +1686114907 +1839790831 +547276552 +367294898 +834452536 +468986144 +1153286078 +608461675 +1976810172 +73850622 +2057131871 +328252211 +1848322435 +1104416209 +1570483490 +1110492564 +361869949 +1012071082 +1188110070 +16601454 +948975441 +762452379 +1327250718 +760722555 +1358521771 +322681201 +1306659063 +475145052 +812667275 +1729080916 +1353580971 +351298535 +1421388099 +1900857523 +718593433 +108356988 +222360019 +1871879512 +716818663 +51686543 +1945730134 +626466886 +379938755 +1646568921 +1730883095 +1950422245 +609577838 +2092753044 +815009679 +1797687908 +2109354499 +1763985120 +412656640 +1289121569 +377224027 +1771178411 +1611802771 +1683883091 +98839815 +276986398 +1265480359 +1452420786 +628284933 +539384811 +1205794661 +1346878367 +647741799 +1428154680 +1071274231 +1364560462 +1479841223 +869520717 +1991027348 +1859779978 +368605991 +1574426796 +1662718575 +978183829 +1519696192 +330244607 +628388089 +1481567043 +2094229727 +1041044729 +623204965 +323970107 +664739492 +87524088 +2007853198 +763579307 +364510486 +1125849909 +68516445 +992795420 +1665234720 +1274311106 +192190139 +165492871 +554982138 +1263464370 +1530053333 +2034823362 +2132985087 +1373597034 +1747119692 +354107430 +800540182 +1262354620 +1332291259 +172752726 +1592599227 +1960679349 +1654319770 +1539345306 +854240430 +130041087 +1863315413 +1518979923 +217565175 +1723684963 +135075582 +582075661 +702051225 +203592028 +1574871081 +219802297 +1477903134 +1767061220 +385295169 +2032885273 +883041942 +1915348502 +1920224987 +868543382 +1141461888 +1519861031 +1222650812 +1942002070 +634732003 +407458424 +2114754797 +79847582 +220654125 +1621590919 +1619192889 +1074894555 +1751632006 +1335024654 +446390830 +1969197181 +911225970 +581466413 +403789194 +1613277195 +785058441 +1978660276 +1833079492 +115477927 +1598237848 +70891013 +879552 +333796143 +1986239516 +1921104539 +1202339525 +980217756 +1293481923 +277506689 +774736179 +1928213926 +684965113 +742007328 +2008061509 +905619238 +216114599 +1479770750 +1980513794 +1967746605 +667311756 +279420976 +1789460138 +1578537726 +860887389 +45765684 +1044331273 +1645945830 +2024425960 +729927118 +1761423758 +1475180161 +800818131 +1762303310 +1808976304 +639573999 +1535924202 +863832181 +1619791756 +681922477 +1141338870 +247044287 +462652755 +1826303984 +989051615 +323230616 +584439574 +1205166214 +1803001366 +417469720 +1025429171 +322829475 +696890697 +667405661 +1901367201 +1557778086 +713171345 +798214827 +1056240269 +590113658 +1528141945 +670180379 +2065293819 +181476428 +285000041 +1726786475 +821050428 +1820924243 +443135008 +293358536 +355363072 +1584473878 +540402823 +818015828 +1263294214 +1529454438 +1141246444 +1847733789 +587137004 +796764163 +117719861 +1612566175 +1119593638 +814610558 +132488188 +873477191 +224904997 +845659533 +1671692018 +1281145266 +1435773191 +1052350315 +1951325645 +1353583362 +1233826744 +88842038 +932886189 +2054877172 +1909766282 +1376021197 +200752060 +117645706 +813011428 +741154883 +935661534 +2076305642 +123125673 +2076907979 +1776555783 +710262677 +726188494 +1894275645 +175345204 +1845782132 +561402555 +307833392 +571775675 +786307552 +1153492925 +95984046 +2067452818 +441782469 +1148334361 +1871294815 +1795365831 +234677457 +1960136854 +580768373 +142070981 +1722419488 +1956789570 +342823041 +1840065194 +622317350 +1083977924 +628243081 +551139345 +1207103597 +557667412 +180211480 +1917366274 +1283855906 +2074487125 +2092711478 +982154390 +488406033 +253061222 +1553930065 +1274713585 +1406554148 +1649914111 +1194682756 +1848336617 +650764825 +918493923 +1496218800 +885442282 +731147129 +2076987173 +1027513264 +306082969 +1886293096 +1370336305 +2146148164 +361126798 +306830582 +626907597 +912266143 +1513934179 +1184575009 +1092477624 +1283816806 +320947267 +1019481101 +1229044636 +1303101657 +1507887134 +1482105859 +709548074 +635117072 +741176359 +211978538 +1829799828 +442029328 +862743363 +600810103 +1938248128 +1748185645 +1331957233 +1867751654 +628215261 +1638040202 +1606561102 +1998551567 +1636704718 +1967687900 +157898501 +116128667 +732470396 +1671832680 +1300703676 +1824948020 +808165838 +1621650943 +696945473 +2037210475 +777268952 +57348960 +1371832686 +1486817027 +692466032 +2113009045 +1698795565 +374782212 +407554725 +414055280 +975592315 +198319205 +14757277 +160065900 +2066070859 +642972539 +1798106103 +1525148313 +494040458 +1287327173 +1345352566 +651938959 +1403455841 +2077822962 +176287991 +556675869 +1755287334 +984453830 +30843165 +304749159 +874180657 +808112117 +362098119 +98529695 +147445496 +1054564151 +64055092 +1846241061 +1429346363 +471609817 +112812693 +257455031 +669929022 +127569971 +417520931 +588516234 +770542510 +68143386 +2113664547 +1264582968 +1355470560 +1311533465 +1916521927 +611442753 +1241872779 +2092809918 +1168118622 +849676465 +929780100 +1198961787 +1154425625 +1803960757 +2007073905 +1516523744 +1902490452 +7035753 +423604248 +1966545544 +1853276815 +1852950611 +290671713 +1966089508 +2110405642 +960600736 +2093659479 +380442926 +1549116970 +716718341 +448586312 +1515297869 +1981301309 +1804056872 +679347687 +1750339588 +268015977 +1921220466 +1695665859 +1436134600 +623413284 +477962311 +487612739 +1777838909 +134439421 +347202996 +1146879005 +2036929873 +354238750 +1570483253 +1855991770 +60031917 +1275950217 +2146663483 +2026121425 +1238872211 +959780571 +1972297257 +1619315137 +361413893 +541531950 +2067901450 +1876711763 +375349612 +1724474674 +408575802 +2125689200 +1992490652 +182312620 +1673871411 +1281141604 +805725904 +4350075 +1768754343 +436081165 +138789496 +2115957340 +1582960171 +28235721 +322712442 +1005959776 +1884227491 +382744359 +134426345 +1883407327 +261382136 +1373298557 +695704250 +86195745 +845130046 +1057118144 +627727696 +765547848 +786346259 +1003077308 +342538875 +1194922061 +981282860 +187545879 +1377234681 +507670624 +1468687483 +35476938 +512020699 +1089958178 +471558103 +650810195 +1058431870 +2054518274 +679045916 +1381144312 +912994403 +415789760 +1763888671 +1047420748 +151713439 +2025270808 +273235657 +847417689 +2111466553 +1118365704 +1904535833 +591710601 +1883913552 +543398444 +1594787909 +78968779 +1738320505 +428587122 +266514658 +968071539 +936257746 +1735202141 +1003548477 +1448278445 +677676672 +1475106580 +2099088640 +1736108542 +1382141207 +630650908 +969769207 +147651962 +1046440668 +586174230 +1195072710 +1198154107 +463961390 +1468308368 +2045571797 +427944296 +439190424 +1802623982 +1019654897 +175620328 +198538779 +466959159 +254589108 +1936859284 +895546281 +521103766 +757447175 +1831804027 +108822260 +1760995652 +1132598824 +786498932 +1088618585 +1084203816 +375123826 +323276144 +1714854724 +1344893033 +470928106 +613811745 +1931067264 +1666000816 +1811965852 +247545006 +986825536 +1710054001 +675489302 +1426015960 +1365194336 +1695144200 +1601636289 +1563733115 +14619711 +1856225397 +1353108751 +910165992 +229845515 +2110555927 +594486371 +338667775 +1724067931 +1727085195 +1125166707 +665202868 +663805363 +1500290534 +988479012 +231176439 +697699919 +1459407118 +844988184 +481283535 +977924287 +509470389 +728828542 +1964749823 +72040742 +1404317844 +1243282136 +1437235078 +951978396 +697434777 +853484545 +966598107 +406176526 +59109649 +1876764099 +636022041 +22181928 +323766822 +974689817 +1746249859 +2050852017 +2099856524 +263969080 +567173732 +1452663410 +1252448092 +798350172 +2879682 +564371563 +1643338356 +484163217 +1542295850 +5325097 +1212991759 +1359562025 +77365840 +469825956 +455360513 +1514600918 +1421804352 +1152795290 +220601816 +240918812 +1558971816 +279711465 +2117682911 +47510210 +301893393 +293966086 +1022200027 +2048143252 +197334455 +974572903 +164628684 +764508188 +279752666 +1417076777 +1562858360 +282632348 +1981448340 +1058713068 +766795565 +1376260542 +1064038166 +1979787325 +588338919 +1141404006 +302129633 +1043699433 +508521276 +1723933985 +49011075 +729123092 +1964852797 +1607982892 +1008834557 +1935052061 +1655493102 +1310727950 +81534499 +530209481 +1211387555 +278868954 +1504782384 +1376016239 +1043377142 +1784535050 +645609368 +458751854 +2067167398 +479574060 +1517464923 +686479316 +1855834602 +434019441 +518782993 +296689874 +1575423447 +820912626 +1340389307 +2083944723 +397362963 +1389400382 +665584168 +214732113 +849899626 +1674418725 +2300526 +357909080 +837663028 +83835025 +888118561 +2049050583 +362703979 +245417298 +1277583174 +1406081122 +2029952348 +1923192543 +1864832976 +1949636099 +255282955 +1234814251 +488631767 +2111117558 +1668833692 +1007414760 +260323784 +1096773491 +1828327386 +1600713091 +1033234567 +78206701 +842629825 +1698818735 +292938814 +1692529452 +1225753812 +295239340 +2050438532 +2063416840 +379074365 +791073446 +1964983775 +741778345 +1036490744 +1095083302 +375819 +918959444 +870792197 +1865208795 +721111895 +1126075152 +952539399 +1209743662 +1089709062 +473889443 +69674774 +1350032846 +1570662935 +1898002160 +803262289 +456413854 +1976208862 +1645892115 +7748941 +121664028 +1190937919 +1233502753 +416903369 +1093892803 +1149435946 +795977734 +1884966249 +966936073 +1537756079 +773973345 +2062019375 +1538131898 +1692932790 +785327924 +1255857046 +266561037 +1911403077 +60912797 +1476304700 +853628491 +534802240 +1545979474 +56177690 +2105465175 +1296497987 +859439979 +414395381 +1125223201 +357848446 +422144322 +1246887229 +1548786365 +1655647076 +1663790598 +495195521 +657599374 +312284685 +232678122 +1624535447 +1850040764 +1006651468 +1539071175 +1240689015 +552100610 +176915451 +349062413 +818661647 +2088318528 +409975210 +147482699 +794463372 +944777450 +1693462174 +850641062 +902758978 +842476513 +1710081041 +1317154359 +1967699714 +2067929488 +1739298682 +1067103295 +1469232205 +1247462110 +583410246 +1964427726 +1905061484 +895694931 +49622201 +1382113283 +598252047 +1056273669 +773700810 +1838941062 +1608374279 +950616262 +40519827 +279552278 +891451142 +450495037 +427034978 +1685914514 +1395272488 +2120497152 +389071928 +150547818 +815490017 +2099152970 +1467702177 +635706083 +2019598810 +1059517211 +1702809378 +1341347367 +159495673 +138735976 +1158291446 +2064557157 +1034430907 +1207913647 +1299186793 +1632682955 +116703668 +2072887603 +1324140369 +1725077947 +876020217 +1364660197 +2004630225 +1767471360 +1815155234 +284181555 +1305902226 +1062944074 +257195059 +1694974155 +1213491892 +1072685076 +1646643477 +533710422 +1708391159 +1518758639 +1593227633 +1263716890 +712622358 +1752723307 +1402452866 +1870913804 +1669796816 +289400126 +931343803 +821499961 +1922083081 +1048047471 +746903917 +1098739802 +625641770 +1622924134 +315916351 +482788348 +1242911846 +2131071586 +766969903 +401330425 +1046532012 +1024164963 +2096304580 +112540257 +2096850039 +1595464409 +646250679 +1657757551 +966739400 +91994664 +773990793 +1679361758 +1844717971 +28960011 +1402791915 +1367031140 +318360137 +186652070 +41047453 +92959570 +1234699542 +787951370 +1191699373 +1860341312 +263391857 +1507615724 +195646012 +1506303703 +1491203662 +962615916 +1907634128 +390252027 +1986780879 +1856455060 +502792284 +1936147270 +1304435821 +1149042963 +1446421173 +123691573 +1241037627 +72928318 +1803053332 +938271951 +101888330 +1058361599 +157819443 +420248467 +1245013669 +198866896 +513208038 +332229563 +986818267 +1704907411 +45087228 +1250210124 +1065039487 +240733240 +609030179 +408759502 +1203349156 +369180660 +799011529 +1042646387 +78152072 +1301803813 +831310010 +1382587894 +303363128 +130247535 +1506279467 +1544400755 +203175854 +1161849151 +335189058 +305064184 +72727102 +493008501 +725312651 +1317740772 +691875398 +1238520689 +1649970335 +1678693665 +795944452 +1695057563 +781420141 +1860983940 +1935790804 +1390450320 +122259794 +991656312 +1759630980 +921271323 +2034302700 +1837783053 +75591488 +718129062 +1072887299 +378954616 +848376597 +431683118 +1923355371 +1051552451 +1593532270 +111060782 +1356616635 +1666259372 +604069283 +2081929287 +836516496 +1295944681 +1172966328 +339003184 +827154698 +1968910781 +2034060747 +1608574839 +1682411073 +1822367903 +851541512 +1804670867 +666540568 +463688844 +578458542 +553359620 +153988249 +654050030 +1271488682 +1226875548 +1033004646 +2119865279 +1658558667 +808876369 +1023934083 +1104607289 +919937151 +233067070 +623383013 +1524006435 +167512709 +1459899510 +672467468 +1340479038 +1798902694 +1499622167 +1161906171 +1685479793 +960713358 +696833596 +1360364049 +1812254870 +354020815 +2026904617 +128460067 +932479357 +432780589 +282448316 +1586529387 +1704269271 +1509323865 +472050385 +1676650902 +1020398884 +1280926754 +553101337 +2125006173 +53380258 +786168408 +600905538 +1577386693 +953681117 +2060805048 +102370513 +146676507 +1712224094 +1601992680 +1308582678 +1250220240 +415222391 +2005416274 +463100641 +79993613 +211953441 +342521610 +208453680 +1144432798 +775302199 +490901997 +583478537 +332087822 +2000225862 +1055528922 +2008738724 +873141098 +188972029 +414356414 +850663623 +242352287 +1200524822 +1451569161 +1819738980 +6722291 +1364890562 +1922109493 +153398799 +929631008 +1376618526 +1461981477 +32367600 +1791840917 +1319914104 +495468241 +1871834530 +1531867545 +837989851 +2080288211 +528816696 +1613292050 +423706560 +1112295233 +1945379872 +276448774 +20340508 +1806634949 +1149589872 +209312537 +73507715 +2000253495 +451664824 +1274032537 +1304339008 +123920156 +1280754828 +521745922 +2046029649 +1434153627 +1451376931 +1275164527 +748651457 +1483744531 +919521796 +2068565561 +1979212773 +643872679 +1452949458 +669718976 +576677242 +1981766154 +135527379 +1000383802 +946577740 +2080907251 +1276832576 +966918248 +1740058552 +278938800 +1176230785 +1813566267 +131708647 +1627895609 +940115156 +1436047655 +1751815765 +73386337 +1957793578 +1650361766 +1507539964 +1261686861 +778042646 +108707773 +597947744 +1697564442 +29789686 +429676869 +193953473 +1482739145 +1099395846 +770630715 +1317021651 +1234923225 +1771014517 +116115743 +1168346828 +900363445 +1083033991 +760921733 +1179302245 +111781128 +427004352 +1311010892 +1739676737 +1367119509 +599574900 +1344008854 +1440505846 +409884830 +846886973 +800562162 +1671571691 +1624929619 +909269936 +122035787 +1175010413 +939059622 +551712657 +1368963887 +274315119 +1651108503 +2139594602 +1591336771 +738548080 +1763125472 +1707452514 +1906894908 +516005269 +643002858 +520332993 +1695307515 +754783986 +947337346 +858834759 +346977076 +166973207 +1458409659 +1690985930 +1607479053 +1868294489 +390389255 +260557567 +1392382532 +2015318874 +1169827503 +1514418320 +1042845640 +2108887126 +2066130977 +264325879 +235718597 +1569755832 +256436833 +1827055368 +160820264 +2019562305 +1387024235 +2067715172 +388083927 +2030027093 +440564518 +2083391442 +637327431 +1387901864 +794742553 +984304507 +1554875071 +105668565 +527806790 +1014870476 +1973963054 +918196045 +1275428043 +1218861939 +786031272 +297771899 +585796611 +1828876912 +259175377 +504443940 +2093202791 +494893974 +2074199772 +202155976 +174465695 +87536388 +74234634 +1561489930 +7767912 +462318561 +1444033375 +448332430 +398226355 +2081360806 +1836234294 +1192968908 +918181666 +1243625717 +1298637473 +1445988456 +111012545 +1125116880 +216700853 +1386440589 +196495171 +1002732125 +1684212488 +782291782 +684125389 +1943387865 +1286735722 +629844532 +290798191 +1213451846 +832000509 +465263886 +1300988234 +906235143 +2026753816 +1308756146 +1368553704 +1323303543 +1757088577 +1766780059 +1257180702 +1445839223 +812265319 +27878720 +541981293 +2110902793 +1473867176 +652993838 +1088536025 +1690568029 +2039434427 +1285031196 +545816507 +1576163267 +2067322978 +1229941896 +1372067484 +1206575052 +1859786429 +1662865676 +272543250 +544303290 +2128129562 +1573531484 +1450538433 +2007399731 +734803982 +671608489 +1183219626 +344408911 +290904900 +292916680 +1790248135 +1103170219 +320795400 +184745780 +1066589364 +1794662576 +837739618 +7641741 +1337746958 +729690398 +1292672937 +1883563465 +158370017 +1212512267 +966021713 +1530437502 +271603671 +678324494 +1045819530 +544146921 +1222627784 +1026465444 +2117678405 +525682569 +886381527 +704998740 +1197291058 +2069601154 +1049407651 +1488195958 +215034186 +692172138 +443882530 +535829587 +876917918 +1510471894 +183008515 +1714657537 +1518113636 +1520755473 +296864287 +663302925 +1256835290 +455234304 +1875815193 +75373356 +1985671806 +2147418864 +753697850 +884007688 +544082138 +1976325635 +1910473133 +514276895 +354524556 +649371012 +1219275635 +1551815615 +571488518 +121199639 +892527925 +786522705 +813371777 +1336410455 +1322352292 +1690289696 +699398702 +1505360807 +1257463585 +70028690 +878632633 +1554327872 +733331615 +2135467923 +2009562176 +461663160 +63357631 +1847750335 +461598377 +817055482 +584274375 +1005680515 +645897469 +347263860 +1519957410 +1000422025 +996634873 +591749398 +404753992 +1568123391 +712949037 +1297281918 +207162448 +1526320814 +486208725 +1529514740 +1069126862 +1185607427 +887391900 +179106799 +1255636117 +1766024533 +1733434671 +1988967733 +1754008808 +1595513200 +303147245 +1817366440 +1295779887 +764745622 +486938274 +1880054262 +1770426137 +1132835743 +79834475 +1142899900 +2133257768 +1076469348 +1734649298 +390528113 +497109091 +300114687 +1687810031 +704271540 +1826435501 +26535108 +86302632 +748078716 +1212142536 +973694532 +927185515 +320295005 +592235417 +513136539 +161779090 +198760578 +2108649739 +464926336 +2016127018 +1256945978 +1229671958 +355581644 +989516592 +852614448 +1488417387 +1069351067 +1995514348 +1474191507 +2145820415 +1582679998 +1864719620 +495445859 +1882794685 +1405046003 +1199717399 +1561746538 +1431581112 +1286020031 +162341606 +496240000 +112230916 +1089527122 +816535005 +704466333 +1602663661 +978314096 +903226911 +1563829752 +1443240432 +771870281 +673292082 +525428742 +1127451925 +1662808674 +1378043190 +468385664 +584676094 +1226073890 +1942577172 +583012861 +661270240 +1659813144 +1078458720 +396581277 +917375500 +130692471 +1958327816 +201472964 +1416712503 +2120669422 +697712964 +1528943419 +1062712896 +1514247969 +85926104 +517892909 +345078417 +989153016 +2081722661 +1788318849 +1761023297 +607531095 +166263944 +740991575 +122856122 +1544307134 +1209377239 +707532216 +622897377 +1004470763 +1290545077 +1284167617 +516800260 +221520150 +1680748895 +1434175760 +352212621 +1491593063 +1635648724 +1768925124 +1464778837 +185878040 +1150384895 +380008086 +1700126009 +1236311000 +897900995 +2045204427 +77980368 +832140009 +1686039628 +1839003665 +1439671104 +1852303572 +432511592 +1562527226 +1249127059 +1641888832 +122575794 +1872024436 +498875947 +1413120872 +1008708405 +1015676207 +1634641022 +541973652 +302368319 +1986853643 +2033566715 +1938017043 +1608295120 +1350861905 +2123895083 +611196367 +1730869991 +1676537445 +1847507367 +481287338 +1574258224 +1925487735 +1313427347 +1112814204 +1617007753 +605614804 +817634129 +2049519345 +20658382 +2066761188 +1543924529 +143234177 +1791301976 +2042800477 +1556355049 +652526733 +910993036 +1043512423 +1194500386 +1213361356 +882882418 +1080583453 +1003894751 +343693890 +283961710 +980306187 +954890258 +2014831701 +509359984 +654913977 +348635392 +2083618208 +432918065 +1662062739 +1048948764 +2049925818 +120193895 +1866582893 +1951961515 +140852278 +1785860433 +1348402397 +284086455 +1429678761 +1243719226 +1840441504 +2082205495 +7228614 +736470279 +1129222233 +1220589970 +1619352697 +62322038 +77001074 +1963046588 +346283749 +1057307261 +770453198 +213631802 +1566667245 +1425367175 +562267194 +1502801805 +1858285240 +76846286 +404266921 +1760727410 +197040181 +123366167 +1565205278 +337892459 +1909226600 +766124027 +621978914 +1191421714 +2009843253 +314936770 +1126143561 +2017071867 +1051407049 +107882146 +1090178190 +523276099 +170204184 +1167179264 +338839039 +516487933 +77002877 +1109292237 +730119736 +1643670122 +387175764 +1292386930 +998988279 +97977357 +1369233216 +1403255200 +1858704767 +1566273398 +1526621367 +1276426397 +1904165857 +1288364320 +2042550424 +378661124 +332302386 +1904910029 +693597894 +1458445947 +1774498249 +1745004944 +1566328093 +717192791 +120797395 +1736532277 +1884372055 +459636434 +105536563 +1961374932 +1568928671 +835656299 +1457561406 +1956104435 +2128043229 +309066037 +2054081792 +1349792798 +1712321237 +1765302912 +768582548 +1091458957 +894245661 +525264757 +232339629 +789312438 +903925881 +564642015 +546738819 +1597523776 +2023087962 +173753420 +1195045072 +1441932407 +890946211 +1315842467 +1030981036 +627834618 +1775478901 +1136517599 +441725902 +1196923924 +1972173898 +1899287308 +1005544711 +1952733480 +60869697 +912142856 +1155042630 +1773190935 +529962120 +1923625178 +717166244 +1424207781 +301406287 +949505873 +66036571 +1205332169 +1514147888 +612775391 +655372297 +1389752202 +786528811 +1850417369 +684200961 +1677475023 +1018776188 +1715181997 +157825993 +646771441 +704215949 +599551896 +1843695365 +528906199 +351355556 +701756428 +334156031 +412225254 +1613899284 +1489198661 +37932541 +2143861404 +1265340191 +755098785 +1420585538 +1566746479 +1704604658 +1486622109 +624595000 +1071268898 +2099397500 +1279967297 +313537452 +738442664 +982901018 +997738413 +268434039 +2001677206 +565436762 +426260032 +500964999 +1269652711 +1025811928 +197176716 +1798558911 +1377167485 +898933144 +2132714942 +1789392739 +365348781 +1474429956 +1827325280 +361726537 +592286499 +434940417 +1782312075 +11549330 +2139545075 +1121450537 +636144330 +1063330325 +1073364389 +1916111627 +1376867777 +1811807053 +751528997 +227122542 +2080241092 +605722555 +792559304 +359017477 +1106687554 +2062212016 +1384829405 +1303864270 +1713287279 +614513242 +55313767 +1698518573 +256422333 +420662548 +1025464881 +2083747613 +782389085 +1617751381 +371204382 +417217513 +1629300711 +363265809 +1538668050 +117961394 +1426596134 +464548791 +2034073021 +655980263 +128872197 +638118371 +883102805 +61629641 +1243840926 +1675662110 +420647118 +203044833 +1590390478 +1805476524 +1506909103 +1156194109 +272506118 +1562222870 +707229034 +528928452 +1982885418 +1732693916 +465192417 +617790856 +1202961649 +836396800 +1035008369 +684778712 +1199662609 +426192771 +802740106 +478775096 +890741562 +689329480 +1134755359 +1019613759 +1327447851 +2017858165 +1081243401 +423805129 +1546036627 +1501890519 +626849962 +988943457 +1159883395 +2133759066 +2145137566 +1432389514 +1548498288 +704882952 +1961317966 +1383900059 +290093220 +279026735 +2001690915 +1493054869 +1115423535 +889215636 +30349934 +167602497 +1315408407 +833090040 +646377593 +58666321 +1522419520 +1781132952 +1078280081 +702383723 +1651507469 +12039834 +1126188853 +1050060448 +1513930353 +1753038815 +2039003905 +526330101 +1739314233 +2036657823 +1958719615 +1140328874 +594057128 +1772553933 +376745285 +884150348 +2051580668 +230952552 +229721570 +1019520556 +1120168188 +260071504 +1187123053 +288092947 +1093161544 +1833500646 +346759268 +468097417 +1467149950 +1425039349 +1170481140 +971173772 +1437079183 +149186345 +2021234220 +803525889 +1902225161 +1912754478 +1329855990 +1494055746 +1801928653 +1141091957 +486900972 +248502133 +766162242 +863646257 +1132652482 +670259262 +1094598809 +1362374052 +1689779818 +67283349 +1622445556 +729419223 +355376296 +568123452 +415436221 +702135565 +1036220869 +1882586172 +2127174914 +59218362 +706276296 +1416770450 +208404707 +580026868 +72812691 +2110629868 +345297698 +1402668681 +1457201967 +2147226352 +396276990 +1944102939 +248244837 +1162439232 +660265549 +1380897319 +1832698494 +1754864358 +595787723 +1374994665 +1822147708 +70749631 +2104413888 +30040356 +638873084 +372366462 +732175921 +1675093953 +107468986 +711867188 +1734312315 +813745282 +2128637638 +1942717023 +1393772150 +53966681 +1905863243 +1739069849 +1456635362 +1215581562 +1738812553 +1852912352 +1012200854 +1987057390 +867867936 +1672466403 +1220471062 +553082782 +1279847113 +1816258785 +1928077447 +954511173 +1887008417 +1885007688 +984551530 +378397853 +109890502 +1716727451 +2053491806 +217359488 +281110991 +1640320474 +1031104770 +262264981 +1435553849 +277393272 +316231662 +1193933444 +2016463121 +1772867024 +262031359 +1607792026 +1478295728 +1274232213 +1447365769 +198680016 +799214968 +520353183 +751762799 +2079062081 +189128320 +532356598 +886089607 +2076136737 +269880638 +1870641137 +307050942 +379771140 +1439884940 +213059101 +597130628 +1720995932 +1853379575 +1628235398 +1983260913 +1141449776 +1905628671 +152008928 +187899572 +1774608144 +1924875952 +449930931 +1234916523 +1255688033 +1724163144 +534798644 +1454368049 +375894464 +1055151827 +58647200 +307472898 +1244280147 +591003799 +1193562505 +1172933237 +860884437 +916719994 +1479984179 +1240655578 +209121286 +1693043280 +1837786206 +1930117218 +1398939207 +1318537957 +1765894484 +392905335 +1076682980 +1917903412 +580804908 +703807476 +1695295716 +1030735839 +1938723999 +803500101 +607415336 +326038995 +110384503 +983309800 +1381190822 +169031703 +1290782698 +477987322 +760035502 +336861555 +1650920559 +1620919940 +1253581549 +983421090 +714091870 +1462702836 +528980723 +404394428 +1245336406 +1927919930 +1722932385 +863747242 +173341618 +652131717 +634167006 +754146526 +1355939194 +181979075 +1784882365 +1147179545 +985479176 +244814053 +1473218541 +1095863679 +1228123854 +706925715 +1264895383 +371422904 +1184913037 +2024930885 +708284460 +688349948 +1498367177 +1961866009 +1671771039 +64975399 +1277085197 +53268114 +469369828 +374937956 +1981188044 +44818565 +1238685198 +7046014 +696950283 +1872852205 +761192540 +2052889477 +2054831280 +398591258 +1052585374 +892826808 +643405311 +378320267 +1988690488 +1871529165 +1085245983 +1106102223 +95468422 +122675372 +983549460 +803752882 +811025321 +334432990 +618135243 +335312712 +399408389 +1895220441 +388580826 +868778217 +122674749 +222285222 +913596783 +1361359947 +229331237 +1610547066 +1086728504 +990523777 +1515952895 +994076136 +1389115035 +421054621 +1886902945 +2032520347 +799374889 +1728109785 +1756565864 +1884620872 +686728360 +1852034286 +2007296244 +1670277820 +508303520 +670837917 +2004710810 +1126438764 +1006150629 +256635552 +874175557 +1394731455 +1125413769 +996850306 +1617016678 +2039010552 +210726605 +1846347915 +1502073970 +1297455110 +689388044 +870543217 +144047598 +2078503080 +1291597839 +2030950543 +1963539779 +2090972728 +1611576680 +1572621995 +1828109952 +150821392 +1277172634 +1687922548 +1821099213 +1785476154 +211276818 +1678326375 +764431270 +1217427447 +1934961927 +1638606827 +464675255 +912892049 +487973485 +2081691933 +804418953 +698700091 +1780556200 +159009276 +1996155201 +322460596 +1029552493 +2140202799 +253480028 +173666684 +2023669695 +69536159 +117155764 +1487762727 +1642158155 +1945265716 +1638584120 +771847141 +1485704617 +1312199685 +409839647 +1696981435 +843042412 +1174270918 +766925234 +630520692 +665394097 +1231600489 +1543412741 +1153367583 +1165808774 +200348046 +1852067674 +798881326 +359357322 +1700739227 +1121341923 +1388909816 +1693458378 +1374821951 +1562576500 +1569644425 +1444358111 +1679732265 +909923505 +939032618 +1477514333 +401023977 +1710879759 +815735302 +1713223662 +2120719406 +365233089 +408782426 +1147506676 +1132158324 +1039303118 +1812900774 +216275165 +435232211 +818784709 +1382083940 +635580258 +523368735 +33481618 +994937580 +76624314 +1154823541 +236363748 +1770082692 +382161845 +1798940249 +1192243470 +1826519956 +1331188866 +2102166975 +618068926 +661219551 +355707304 +181465037 +1476954854 +2068930966 +154700795 +1842187943 +330229744 +1302207472 +826862619 +1369532863 +967624598 +1043137785 +1804765074 +1786409307 +277738077 +292861684 +162294394 +311219695 +1287799265 +238918708 +1466043237 +1524163013 +2009001400 +1848205082 +1175619614 +1053761222 +1527241390 +359324832 +1008444549 +2145310316 +1020544384 +1364151853 +179291705 +350015590 +1285599171 +333992500 +44719885 +1615828916 +1636199972 +871582505 +837878131 +456340922 +1914720290 +495159557 +95266581 +44974719 +788021242 +257560975 +356194414 +2075820507 +496479683 +1822237651 +1452499872 +357997436 +1522959085 +480635839 +1411758658 +902716827 +839960671 +272719560 +900543495 +1860505055 +1636871413 +1079835200 +63036997 +774986937 +1413827701 +107756883 +243332205 +902544025 +979339388 +1081210336 +1358884948 +746576030 +1576369893 +1454151529 +791550749 +216907487 +1711712505 +1147745163 +145244346 +60708540 +822499167 +1597744219 +418705976 +197974604 +2078380058 +1830464635 +1100691432 +770857081 +2103184195 +2001234927 +483878489 +1592571960 +933586480 +546915486 +220075249 +199930533 +654672369 +463407454 +1102474558 +1634011757 +1544617790 +313875858 +233104139 +973504036 +1768027388 +1024654888 +1190411523 +1332256245 +24916404 +1335655870 +1392964785 +847415571 +785916441 +1811670762 +1045390175 +716812851 +1494651749 +2146081607 +1487669932 +1450352296 +1999832887 +1971548421 +895440608 +785935719 +370980260 +1115515858 +985866252 +1025652629 +1578923312 +2088340810 +512180739 +976057455 +254733021 +745284878 +1949561491 +2022760409 +1769939767 +992489366 +1207533006 +1794856171 +180661588 +453014143 +494788094 +966578029 +117201257 +1540178269 +1683390880 +1611853006 +1538776229 +1023577165 +914721654 +1391125468 +847641938 +1810162263 +29577539 +1218622198 +778194473 +1015443791 +96791180 +209634137 +956300953 +608971919 +1185691592 +1211033974 +1354256797 +987769435 +1086310735 +976712916 +1980258802 +146360093 +624085439 +13436742 +599374237 +1118873533 +980014772 +716575494 +511568155 +515922004 +180944853 +2050344384 +1539499169 +1095666507 +1293986204 +239657460 +758345122 +1323563743 +1458279658 +1536539595 +191523886 +1555070838 +1746173733 +1147824839 +16559109 +784381677 +211375166 +1370815907 +1772151113 +1297685901 +200045175 +1604926267 +1444045995 +824130615 +1618363009 +2043420232 +1943004148 +450894133 +612512078 +307088655 +966816138 +793456931 +209949391 +358831659 +1889123439 +1503935595 +598489119 +499984913 +680015690 +2056768778 +2036524509 +871539576 +1464355968 +1635214594 +2019364416 +1480915078 +272112623 +83255934 +704247337 +2044263736 +1380941835 +904292512 +1501706355 +677504182 +1728423127 +972585717 +573440766 +1523943628 +1423479850 +1185952845 +1831032283 +242812340 +1979409776 +2040981675 +601644000 +1721049567 +1397433622 +1200133119 +73550833 +2077449313 +1109418249 +2110075342 +801505241 +426290570 +1597806288 +673386009 +1907205648 +1869918911 +756641943 +463969337 +1766699000 +2137583779 +1368261849 +1120921707 +667604313 +949201329 +2093507424 +1241045080 +325661309 +1369503627 +279514277 +9209944 +1612315967 +111440405 +2050191619 +66476319 +1832489973 +1300141594 +1266609439 +1906040806 +1230107259 +228544040 +1868632500 +2031612500 +654834610 +1318955140 +557514862 +414556610 +1041390403 +1314156805 +878525947 +660605755 +1304256936 +99304149 +1781527463 +1971861250 +1048505478 +1727551239 +1065422682 +1374166787 +949571218 +1344936959 +1383376731 +414403538 +1456377364 +1286084703 +480879857 +1141383689 +438742649 +1747489296 +899940847 +1668849908 +1976033337 +621089699 +1552978760 +483384299 +1940044839 +2110493622 +897940910 +833951595 +1277166780 +1776466857 +1494557350 +433940068 +1875771006 +1128601165 +258317670 +776792836 +708668757 +1323740352 +3475975 +1658239975 +521193663 +1386852707 +2072643513 +1977571028 +525453762 +406039723 +971471069 +964196411 +6045371 +1871411917 +485562671 +1982078708 +345017968 +2038541431 +317979360 +137579160 +2001551406 +1215920270 +971530755 +1131234538 +844903479 +318604457 +1565174606 +573190838 +1447205623 +1823492277 +1349983674 +8390732 +999748981 +1353459650 +1666630707 +1520942645 +592828709 +1591790573 +1351030025 +1118282471 +1997830296 +175017446 +2082478882 +2003875667 +2046429363 +420557905 +1838470728 +243963684 +311615688 +8966440 +381542844 +165683446 +1224886710 +1353073599 +1296917984 +2069790189 +1671678056 +714608943 +495497379 +971400031 +390617572 +1845481054 +979790763 +1390366553 +1051457056 +498937823 +763825550 +1644285765 +2090728396 +2114855575 +615084588 +1941075044 +142389374 +550079822 +1797467063 +41335089 +970637727 +1488454143 +285298773 +1282253415 +1497420583 +666841617 +1447936862 +574823645 +2019915216 +597371198 +497130187 +1544109625 +1311980141 +992627566 +368026008 +1702597713 +690624972 +1347816772 +945480619 +1742082028 +1846754595 +1709306169 +1238884145 +1789999343 +1676678097 +1853968733 +1583590739 +1819067471 +256564907 +1233574154 +1860402560 +1227202634 +574544650 +2145701334 +361972402 +2071965233 +665059303 +1809909264 +499305231 +537490872 +259796814 +996435418 +2081600497 +1571776956 +1989062984 +302142857 +1126891021 +532204309 +1649959629 +2072371640 +126802689 +1349230576 +1634194162 +1365686835 +991746271 +1163388611 +1072171920 +427853362 +834972434 +1328736828 +1661427517 +547891346 +408455814 +88488519 +546109032 +770428216 +12970104 +1211168336 +432853832 +512275335 +1748659208 +692650647 +1508710753 +1682776057 +116943955 +1350290090 +1984918914 +1243834976 +1882494399 +1487394896 +1168722969 +2009297088 +689141824 +655433483 +1227500275 +1680888096 +1818822094 +152188548 +2108741458 +506310880 +1480925376 +1622685327 +1054202226 +1889381190 +1711173846 +1600311259 +512325759 +1724143951 +663995947 +945179591 +88935638 +265171507 +1637830238 +1597646392 +1947947564 +1754774193 +800452834 +1785382830 +851125522 +535463585 +1125294078 +2019848491 +397277025 +1814435903 +527798326 +1624777301 +1347840351 +199136772 +1776965849 +1309098161 +705447652 +1110407577 +784299841 +1759649878 +852305119 +347990039 +1212477489 +1364630878 +2072133990 +1876473436 +162326822 +13585981 +2141644943 +1800157060 +1611232373 +1942108859 +1407447606 +264201559 +1580008042 +111089480 +799665144 +557818472 +2130937971 +1196942169 +224770727 +511252649 +674235822 +1572611078 +710389421 +303718023 +734225592 +1415837073 +1414125600 +1518525433 +1028003303 +118947072 +1866515472 +92997145 +1483577950 +1791165815 +1969470581 +1645904772 +1804751796 +1963631877 +1298578185 +1268500521 +1758257088 +558542143 +1532702080 +1190781482 +669631623 +184883576 +1748599955 +653085946 +1381825745 +1973370682 +1164338595 +2056061568 +1398498113 +1874728016 +212295943 +2132723705 +1143081441 +1626421544 +1503765490 +23601096 +1745368616 +1222797314 +116598241 +1081462918 +866479481 +2086068823 +579884043 +523747629 +1902217052 +1878462228 +1792248150 +1512990492 +289520723 +1177466582 +556288327 +959152346 +1362350158 +157404634 +1612238292 +596692256 +2130775316 +629093239 +505270176 +1381789781 +356337607 +717566119 +1367029838 +1499419048 +196504015 +723311680 +1523020144 +1941872631 +1946108995 +1639618386 +875851902 +665104828 +1578203561 +1455735945 +1188852458 +1332936965 +1186714525 +833616960 +698443809 +1476235248 +2011083543 +1254732136 +287903946 +1225950053 +1412136770 +1900142238 +1822642309 +1395428439 +381751829 +180428837 +629734572 +738089436 +897994957 +1996764411 +90024836 +1094498972 +572592443 +1613044980 +888887956 +371217790 +1105179718 +1764739858 +1036322619 +535899631 +1072992155 +77691429 +1868836596 +112223032 +911308389 +419796758 +1588458280 +774908284 +1674528894 +1876362226 +2000858338 +939182017 +1629020816 +1676016999 +187126808 +2010772645 +1856445837 +816861380 +601378433 +606957146 +666142143 +691403269 +1701456118 +1238734587 +156964601 +442860426 +1609952377 +1262144320 +60116636 +498791348 +1798043951 +1133108791 +576482777 +1519396900 +1245331823 +1487791167 +1939193658 +686306455 +115215803 +1466238904 +415185033 +2116074141 +257937273 +2044205849 +1644607493 +445064081 +1907494846 +1353569682 +1261925462 +361389631 +1960526828 +1928067605 +1052792900 +1514499298 +1019318544 +1209757502 +1957359725 +481787274 +324418174 +2017476361 +980578622 +2122462125 +1003101505 +1557061400 +1494375377 +100949680 +897368919 +1286085387 +787256136 +1012584722 +604840644 +1202441169 +981175216 +862777917 +1099163371 +478299061 +1307841999 +859174569 +1831868743 +422283813 +1220564201 +1644911923 +202867770 +125873453 +1011927573 +1222186315 +1335630955 +821803650 +1703973589 +1660049129 +691796364 +537068563 +1635027607 +1694897869 +2094129963 +981919336 +1795847549 +844015234 +120521076 +435620037 +1856599957 +725361720 +1638061207 +690291525 +1588139637 +589740930 +1168590586 +748497988 +1448915499 +852975681 +1170781801 +521996052 +350403956 +1373649572 +647869506 +1362331529 +448352239 +1983500461 +36651532 +4842180 +1496065943 +728447896 +541910743 +983609902 +275862117 +488557059 +1965529238 +2071709666 +1332572293 +2086050314 +359846056 +1041688602 +663928386 +1997907263 +1731980127 +104584376 +440164545 +753087065 +853082364 +1889080044 +1606062746 +2023864166 +263592449 +1956466702 +1250030090 +911461955 +1171314584 +1698382329 +747478768 +1207966116 +1703224509 +96061063 +1936414012 +97651604 +1079670965 +64792481 +586208663 +897716556 +2136502147 +1918780957 +836283222 +348864555 +812985911 +1500211609 +199288170 +397482391 +1604795985 +639452715 +1150569456 +310394701 +381049112 +609148555 +186775219 +644641561 +418131609 +1436805309 +1556103516 +1589446193 +987703990 +156098636 +649928661 +543444851 +252159700 +438859025 +641096456 +1331830665 +503651506 +1227305119 +82063573 +492670006 +998602428 +918346796 +841534561 +1811588340 +271074757 +1040822732 +61587083 +1875870742 +1680275447 +1212156539 +38781795 +2061324559 +1821305094 +225557015 +558482472 +91953056 +1662362324 +2114585988 +1681399249 +502582667 +123200977 +183844263 +1046027518 +375360677 +622703288 +1687123974 +1707191342 +1126354795 +766945446 +1789254916 +1619024801 +1765547874 +560118064 +313075714 +1429652566 +831192821 +1353898446 +1491239649 +559579915 +886690246 +555912541 +598361710 +800531157 +229733987 +823918725 +1359013630 +321687043 +338797402 +1326115970 +2003086293 +841380069 +1449316947 +39446908 +1887407587 +1824677624 +662150196 +1427047914 +1384385319 +1788504991 +46509712 +1026156587 +1260046144 +1812057586 +1586274651 +1573121859 +1094226505 +269983824 +779536657 +437982506 +829563739 +1666226903 +993895047 +1427925449 +319274413 +1223629035 +104360527 +1678288043 +1545316078 +443157929 +856920365 +1400918723 +1284537998 +158753665 +1440365631 +1024461937 +1983431289 +2102515828 +304026203 +1220332960 +1743537171 +350535915 +99005899 +856099668 +15109854 +1685280550 +281737879 +1109336359 +1955264374 +1061274536 +1547318865 +637344465 +580017792 +393730265 +2065269915 +899292205 +1617359300 +22146794 +430096600 +1015191730 +465304723 +1287016965 +268626806 +1749842721 +1445770630 +1708992437 +626821010 +1281718272 +1664024617 +930847214 +354567584 +1260078141 +1281383129 +453573484 +2116177809 +1296492983 +2138854034 +250432040 +258345694 +1946634761 +1311706576 +1805664560 +436495578 +1891724368 +51911177 +354281845 +643532925 +1669270477 +376428639 +1073629525 +536978559 +841733362 +213162843 +805605365 +444092435 +1658933473 +367114155 +1070913446 +793168097 +2031138772 +2001760660 +1147735682 +1143733265 +1135660141 +1601309166 +1112427426 +284669477 +1592679552 +1362859466 +543015171 +1391830665 +527082395 +201196083 +1828326244 +271323115 +253107260 +35124441 +914856041 +1922377737 +411553081 +1988485566 +311872649 +1253286443 +54164761 +1117478014 +1697378879 +1713098235 +1484592169 +620808677 +358782684 +1368247294 +475085689 +1506518366 +364496911 +1610745830 +960343884 +1476924338 +1895415307 +405539789 +692300156 +290946831 +1797370454 +1219382551 +492142914 +1478213050 +1490705667 +745250175 +1513337492 +258078060 +520144264 +1924890573 +99079978 +832016913 +1030693368 +153244740 +1949494928 +580588599 +1866342975 +1286603449 +1201397276 +77642011 +507367095 +1676482965 +1584160378 +871864007 +1139745148 +397020614 +201304697 +887676807 +802560403 +893604853 +1178623638 +452447210 +2112987405 +1670766553 +1930660260 +1456209424 +268533080 +1296514104 +1714287484 +788677344 +1073921029 +1813367462 +1620694258 +2104614398 +1966612202 +1422705538 +537719349 +1685471529 +561825339 +1739116626 +1763113541 +1069192435 +1268115943 +1199790271 +1941056442 +260377443 +1596810885 +2142361139 +1148054251 +251887641 +888482344 +179194241 +704334851 +853986101 +1849960794 +487511463 +162711877 +2118493874 +1784025568 +1876999361 +759687571 +710462949 +1542883176 +232898181 +667593699 +1362011730 +1655603719 +1205313049 +899999612 +69945410 +796946027 +515629505 +1139137845 +2065061970 +1715419776 +932710639 +177955766 +1164747013 +927588130 +1326010017 +1416634654 +1816070475 +1505204258 +2120969505 +522572928 +1207681405 +460997321 +685284806 +1178691631 +97539241 +414800519 +1938379202 +808002190 +1957683695 +23793735 +1475595890 +1172211778 +1679397454 +533425291 +2072211390 +1749342865 +1330371318 +440357247 +740997062 +1247949640 +8293375 +1673707702 +1425905406 +1173040388 +453812184 +604431775 +442191395 +122399011 +2109636034 +415677252 +644971940 +1169833791 +876674573 +1330256746 +201041774 +974213814 +1745057265 +2139420977 +1782216005 +1555257313 +15731064 +1110328247 +579985443 +1695128519 +1643753538 +504713185 +1296987736 +826641208 +945070432 +2037984798 +2074590848 +953363807 +1564208852 +1353012607 +2126404195 +2018021037 +1957444382 +421111942 +2140420048 +1919596768 +836789195 +637908340 +941946911 +1713463768 +1968165086 +1142988686 +540193935 +1565738704 +1134926015 +174926292 +973512369 +1150657079 +1285254539 +1553497812 +698301950 +781524429 +2058210997 +1995289686 +1608165637 +855797781 +1885790837 +1535272837 +1809161588 +1302516041 +740801796 +1788082135 +1173053430 +550762531 +61710430 +1165989831 +322875651 +898499625 +1803898171 +1264822563 +464479745 +1624579610 +260327601 +1004673680 +1042834666 +1395253616 +1179599972 +2016347035 +398427047 +317370863 +1422361199 +1096728998 +1098895292 +1333088548 +944535036 +559577281 +41402681 +682842225 +2094850119 +1850564269 +1985358267 +688168267 +1491162756 +1010928049 +1238930798 +1552873186 +29434232 +1561806450 +303889163 +1833332404 +679145365 +768368909 +1310428366 +939472966 +1773042589 +205779384 +187242934 +805158914 +74642771 +585669981 +1122529777 +1497003970 +1682398979 +73941422 +682608870 +479450368 +633518703 +724011551 +1162292593 +580885174 +427092172 +1000167212 +1269053442 +1918254928 +2011095262 +360500592 +1323644467 +2040529494 +1922307042 +1627533630 +1726378250 +453968759 +248418891 +889322968 +1393441725 +2021461481 +1095102352 +1580684659 +679136747 +1169745123 +18870993 +1801666524 +519265445 +1701269972 +1875607946 +1201874315 +33236692 +361643002 +1925885866 +1195529286 +942528176 +205494390 +48212850 +64097970 +2123749319 +2059308112 +424598563 +1299910138 +1952353959 +199421957 +779960120 +1531248561 +653390717 +1028379012 +273087882 +2046832442 +902356845 +1368190234 +1480033454 +1581493592 +390451710 +1498904447 +1235676468 +909717155 +1052690771 +963800767 +2111591471 +1085927464 +1325443769 +1889993689 +133973102 +120488297 +2095488080 +182185952 +184586268 +2071753751 +94010417 +609184831 +1224180241 +2046364376 +808606788 +2004140361 +1430129289 +1461997505 +885035725 +1703217171 +1361346300 +1787392570 +923923758 +693896106 +1221402514 +1314375468 +45316905 +309595335 +76608975 +1098007676 +1273396102 +40716798 +36451492 +451356223 +1930710488 +170424594 +571844520 +1878714920 +352610547 +756430788 +1802985023 +446620964 +1365615619 +879681616 +345501692 +26738760 +736338329 +1775630981 +1488736265 +1621374055 +1331364505 +702598917 +1261282977 +107804615 +1396495023 +335201844 +1422180083 +1441811928 +644797179 +1498789058 +392335957 +1918193281 +1539505857 +428787449 +222065856 +1322732697 +599212044 +793910376 +1053963969 +951822591 +1550341165 +709465344 +1398443555 +768473136 +1589146960 +1743945247 +795211896 +178001641 +1372092580 +136464514 +1799375696 +555973437 +839063431 +913175026 +663778052 +88074807 +1248376870 +2085958135 +1529886735 +1893174049 +1437263546 +1922222692 +1663883682 +829285755 +203526494 +1885949538 +4534804 +802738538 +532376266 +1058498773 +1754561129 +2082717431 +1767964117 +1005521036 +703706920 +1209627429 +601982635 +1498918816 +1387629070 +1974075215 +1635383330 +1039521119 +382565005 +326963114 +1952696145 +1046343057 +415037921 +1053589367 +984817545 +1944924656 +799279768 +274597443 +1719663701 +315679802 +1103883198 +1923190195 +54145692 +1108418002 +578445085 +586521958 +19433127 +185522566 +521755742 +1787397244 +1191043602 +1225462662 +849541025 +1793026237 +576897830 +89686447 +1619617804 +64797513 +1129207566 +2002182809 +391760627 +934420063 +901042219 +806798548 +1988009430 +1885859764 +604239556 +639805550 +12973559 +176419609 +955485352 +1116856757 +2099609804 +1009631044 +77791111 +530571241 +1596153003 +97224238 +716093807 +2117908745 +1884621482 +1907137409 +1195887759 +586678859 +1552679998 +1772785589 +676365306 +1024814155 +1837583102 +1805572873 +879513316 +81860081 +592509288 +1780555535 +888658629 +433035071 +1518931651 +1492898186 +1072840621 +1531905210 +1669317795 +2028325974 +501278319 +1621443952 +890473370 +579069430 +4531545 +339142725 +676293668 +720625353 +309567822 +413431502 +480279114 +1505455581 +1000110361 +2032959113 +1130757523 +1676475668 +910289620 +820856977 +1334564893 +1789802936 +902717059 +1927074181 +1422874824 +1791375688 +212625604 +794322827 +1136790226 +1285466226 +178744390 +658624374 +1166308552 +680022709 +132584678 +2056781922 +1259092140 +137116223 +248441000 +1935385808 +857741576 +558008822 +201333663 +1338020691 +2063464404 +1201444024 +1223496156 +1046738279 +730436044 +2133785776 +1867595256 +2065000937 +1776105064 +622828667 +1844591471 +1051496240 +266720708 +2057217075 +1845819068 +1403510934 +1195199653 +2024563458 +2062135308 +214024557 +557102519 +47236338 +123322832 +1816194659 +184352562 +371763832 +1604096820 +1042094138 +929772654 +1805430483 +232631181 +845753410 +859390859 +1456127337 +1892491689 +1589826904 +1442429465 +1612603298 +1507344193 +1071050882 +87948317 +1204452016 +2122547122 +354669025 +1114185444 +1820882542 +1758179960 +161901449 +1697962352 +1672831620 +375926007 +107581224 +1720067959 +499248839 +1923775883 +1904420521 +871012671 +1380389055 +799031011 +1800785325 +1038335890 +1031662193 +499055088 +1897726750 +340305882 +244063129 +1340070006 +1782735348 +1856666427 +699930551 +706302582 +1944614745 +1904382568 +681366056 +151800122 +871084364 +354764951 +1909980082 +1032985813 +2052727303 +1435328055 +1408911820 +12824879 +1007912366 +1908160659 +1936600763 +764849239 +631689682 +1169506170 +1563880250 +284991360 +60358413 +448058795 +784046448 +1958085163 +788364678 +1028109577 +1150671521 +423616378 +737292357 +1850602072 +1129918960 +534423454 +1607500992 +1811285016 +686223576 +331101708 +18566319 +448720011 +1364087522 +2071293623 +1884048066 +625515694 +2084118502 +744476784 +386192706 +1873235617 +1509326023 +1017882388 +895258140 +925722625 +1302873748 +955616553 +1373781421 +2086920196 +766218068 +14662451 +967546126 +1916889589 +438278829 +1704838483 +1620008013 +1568197789 +91778289 +1080025358 +1231999157 +778001865 +1411127066 +1250565477 +1226721876 +627730940 +1174375452 +963286294 +1253246635 +1111010306 +1707763078 +1639439341 +836762276 +1069605453 +509838081 +1732020416 +1995328079 +1812711830 +540153321 +1221625852 +1752148378 +1306371389 +1236288303 +572210856 +1075777330 +1674567132 +129565691 +548301695 +1095281273 +221343980 +1628327053 +179796782 +999345846 +891970472 +1430362259 +78584074 +1519701412 +457254063 +1041870369 +625464399 +1568264370 +602149799 +117420092 +257542998 +1671755253 +627258174 +1989563414 +1519599684 +292486356 +382233087 +593741888 +2044634734 +1688604476 +1830030191 +469361943 +616898158 +1357113675 +598927634 +1165199853 +304911300 +820271615 +646043259 +484708082 +1819617461 +1538013731 +1915070342 +1898201535 +910231495 +224840757 +792588256 +1535695895 +1793105127 +1394738056 +1653115987 +2050648125 +919009661 +132890513 +1892727891 +291125697 +425376869 +127477330 +884867585 +322527956 +1816081806 +567414128 +791889899 +285496316 +1924527803 +1390817533 +1450696170 +81955455 +63605500 +2096739429 +566663537 +1883222961 +1487269512 +334250231 +1633940849 +250017359 +559090989 +279045457 +1785713254 +204712468 +1673783513 +1291345594 +107876946 +445309526 +1424236107 +2000604837 +736435223 +1849612977 +2128082168 +1621302808 +24657285 +1796680326 +41233288 +816547184 +2082176643 +1965761091 +59881069 +1385389165 +2047716546 +123486570 +1334644946 +466896436 +2006709531 +674430810 +801146667 +1493166732 +924448169 +1360237656 +1772212190 +562677776 +1564950125 +1298512055 +1854023370 +1672827071 +1743821582 +1130775829 +1525948260 +332773157 +832905158 +1506546780 +1954075966 +857562443 +1155743459 +1995309254 +1674109627 +1090436454 +1813586698 +1733990697 +328341971 +1713819596 +1857477267 +1662986917 +33232384 +1716703150 +189934079 +834379052 +1062386235 +1114382248 +47133060 +687114777 +1677060024 +1612083185 +1985626832 +1383599746 +1137426608 +1581964766 +366891928 +515891221 +1914737924 +1199797086 +2022438001 +1721330242 +2057359530 +1030697812 +1569155848 +1583985509 +2121134266 +1235258898 +1170492558 +301992589 +801594847 +880486177 +1964979506 +834827231 +449705680 +7429937 +1669206283 +1512091915 +1121812186 +1716339344 +51723044 +651388562 +1180938881 +2037349876 +2034988309 +170881842 +1471830995 +254396589 +686773063 +1239085271 +1454193675 +561727416 +812931865 +1364069557 +1592425229 +234604065 +800571419 +1566075847 +1469862964 +1971063977 +1868068437 +123974163 +704066507 +1685564295 +958801394 +1153772187 +1692994233 +480524030 +518380454 +667322771 +49379726 +570103498 +1318711333 +1230318607 +459969726 +1206215994 +1401200449 +1931800721 +1460612583 +2087973512 +1023402344 +767322611 +502217281 +1836334209 +2131392168 +2094642510 +2070938275 +784479939 +1513234709 +1393317591 +608060269 +1233819498 +1517291754 +1312126776 +771900146 +328609500 +318415315 +317410731 +809133530 +836795769 +984733502 +858513256 +1406899267 +155961187 +2088831864 +1866868993 +1362177182 +1342548665 +1651186067 +675306117 +1283038530 +527104763 +1442628728 +1785255811 +215955325 +1426537249 +1732414673 +139409952 +63533540 +1098165734 +1532727543 +671593809 +184501585 +902535649 +1983720585 +956401731 +1231145149 +154652252 +1273812462 +2040278680 +991448021 +111062316 +751308288 +250863640 +267023503 +692656504 +2117732634 +1629200685 +2035205170 +1621435053 +157023155 +1170760052 +1056168 +1599651883 +808532215 +217011493 +878705484 +393463240 +356421445 +942239025 +1491628974 +1889148988 +1613832834 +1676130559 +644200989 +1450069772 +485048642 +1875346139 +1604722024 +1758861104 +1768141171 +448686398 +1869923420 +371965811 +699550038 +2136946924 +1064622316 +669799024 +1618663961 +952343838 +143750429 +1775687116 +2123103890 +144806598 +1227855352 +784152457 +361818091 +2106560836 +1177615697 +718239537 +901316213 +521761023 +459904877 +367665400 +50407935 +1104105867 +1817735172 +535456577 +831968358 +1274973548 +146834034 +452625881 +1723659946 +2016757454 +824591692 +275726337 +2006220730 +1889214008 +945525361 +1477401044 +694074198 +1089275791 +1105604512 +669694440 +1234082389 +185976216 +1453846897 +1595900480 +145053405 +483978946 +166656369 +1046369618 +1005739970 +626561247 +1414035018 +1056147905 +1730667114 +1084286542 +1591604482 +415151824 +211776443 +1738438516 +867777705 +1935436389 +1607712323 +1692369397 +63679078 +1466449405 +1434099758 +1009204440 +796366801 +2128173956 +2098480231 +1901971314 +650384749 +1185078972 +2087947530 +2104231646 +633495804 +85517287 +440726945 +800152174 +1131886906 +1446466915 +1426713421 +398438276 +355131172 +1009896887 +1482724819 +1946735654 +1425048711 +1694501262 +1537690523 +145342768 +1482454003 +997919198 +1837712165 +1546133082 +316884955 +1124328275 +407853874 +1113251757 +1105018584 +358850457 +867739423 +1755403333 +1543929429 +808203305 +1712151331 +29941585 +893720593 +5394628 +830093759 +2025607499 +1451861543 +109323532 +276562127 +1806992715 +1119220419 +1759286946 +1606244722 +396785482 +1306304560 +996451597 +542128250 +641274916 +1994370795 +232356768 +39924350 +163772102 +1356685043 +447778224 +1277023859 +314219979 +806628681 +2144763282 +2069623312 +203074462 +805482940 +1634290996 +233016047 +1699203533 +1639685624 +1063109807 +1577327384 +944063520 +1172433339 +1853889511 +603572587 +144170111 +1465692810 +62333661 +540955593 +624513722 +1058785258 +1083083844 +1265788638 +905672405 +1315440612 +1305712988 +1069444508 +524642007 +1753491212 +198984719 +838861987 +412636245 +196264354 +761001651 +615710707 +1001747294 +247808999 +848726755 +553467179 +1887494624 +1911836562 +2130794563 +684074496 +936786253 +1837200426 +1287647083 +1080956364 +1155409588 +1349980745 +1621911958 +1779923311 +261282355 +557512154 +898228301 +1166954761 +1872952766 +56457642 +88915621 +250111125 +1809948854 +287900340 +1088973112 +75101452 +484164694 +1849974764 +690812159 +1485911988 +2097783763 +1539538914 +2039379167 +1837794739 +1303891828 +2022690082 +374385587 +93194434 +1712406861 +1662032671 +1174150798 +720332801 +864529768 +648579108 +352772464 +1125812123 +1206091262 +1251000766 +145283236 +931560380 +1307458408 +234198857 +1181671506 +969923614 +522099198 +123160970 +1045025066 +1006263892 +1973135734 +1735837226 +344692233 +1923435850 +1127892492 +236587752 +1613746941 +284300673 +111794187 +1988132529 +377495107 +1824201048 +1502681552 +1551645905 +397050201 +219727672 +52741366 +749822666 +1345539795 +1258832628 +2000823432 +1490823032 +42909361 +1160798192 +1725021889 +1224580867 +2130721806 +99637439 +1347741837 +1028263225 +1105901332 +1173393924 +616616803 +1450593565 +949346126 +1744509295 +1687181317 +415609419 +2028809968 +1798975504 +256258300 +258821427 +1475692904 +1758939852 +1810467333 +1872743106 +1978667524 +1863208699 +475082124 +1176723672 +974557679 +328421908 +520063056 +1017467040 +1489220100 +97601297 +94564259 +1472458258 +197238737 +1442306097 +353237835 +1303140069 +468216373 +969854638 +606249986 +1417562499 +566880286 +145947655 +1833171918 +448206606 +1944923160 +2089430219 +707028034 +1273132416 +1700886423 +370011719 +998391874 +1532070300 +85736770 +1473473998 +561310324 +1060294449 +1801895906 +1081373380 +2077761490 +1143632358 +1178974677 +24842101 +468606969 +1376213414 +1467148198 +821844804 +531869835 +1935364571 +1791699443 +1138119821 +1205443422 +211096081 +1284067477 +891131693 +659302687 +1081506989 +833078264 +1366330721 +207155757 +386481039 +1736342440 +1205547632 +1918551339 +1822079210 +531537982 +332378015 +734890012 +185950241 +1413751395 +665167854 +1329582599 +445242425 +690009955 +1798189568 +1821455839 +9674506 +472550725 +205842027 +1945039077 +116766520 +1343961848 +1002998852 +327862601 +480545677 +1894130545 +987165288 +1562052666 +579725161 +206012362 +1769208424 +966206200 +1942354802 +827272408 +737273892 +1616950365 +1358810390 +1069651907 +204356729 +1544760631 +335919655 +869524583 +726859583 +781162080 +1559534538 +377565503 +455134271 +1569209044 +850116228 +660976298 +1366764474 +966882748 +2004938147 +222279678 +1294745349 +338000176 +2116410223 +134426990 +1900052843 +548651736 +340439352 +1521777619 +1514857936 +135310506 +201566379 +104648180 +1752260871 +1560376769 +1174300088 +1956617600 +957653753 +1510219743 +678658535 +1684513336 +143898175 +90709426 +2062078839 +599032446 +1659918470 +764711420 +1260008745 +879199296 +1731594168 +1117463244 +1101478974 +878855870 +1455463420 +1070405549 +1013282860 +1208032615 +1619057285 +1353722212 +582326586 +986431574 +1489032718 +783892965 +1091079754 +1093809942 +196786087 +117896194 +902943894 +1154439840 +1628115937 +1581602430 +691469528 +1772014112 +1672311856 +606064719 +223562911 +1184746678 +1370776139 +1483571656 +2063945975 +954886660 +453551252 +1017941301 +1833742530 +1909014672 +2088346851 +699541742 +969563640 +1559920488 +2053263954 +1551890226 +398868414 +1394813024 +188299544 +1489948169 +341139318 +385085631 +1607844363 +1244083213 +1539525471 +1088476653 +678201995 +83511351 +713007117 +203030203 +689576070 +936570028 +1387776881 +2060352210 +272658036 +1304239208 +867755222 +726209288 +174696862 +554014104 +487740313 +115560065 +1253555846 +1457303953 +1675480553 +1159336152 +861710531 +2074348968 +406665528 +1050010075 +1416813489 +747804847 +1435095706 +877174204 +1991888060 +827137529 +1965650857 +522606407 +910648880 +531174327 +725636610 +1600224951 +1467744355 +2113413491 +1513093513 +1740402392 +1270169052 +233365087 +319128032 +1444865914 +787379191 +806868345 +1560425979 +2040935037 +116688650 +1088422884 +1052787541 +978399182 +1015288204 +1459453069 +2028409257 +284618045 +59774268 +1316021316 +1161792250 +2051662328 +2143158845 +979959459 +426785087 +906324078 +1511133786 +1152421697 +359065381 +831394494 +1118351541 +1872158894 +424313238 +241036945 +2105523981 +743441270 +1685902859 +745419524 +1550309616 +1098845190 +638870913 +1666998266 +39784426 +1691658454 +497913800 +1055072631 +1003627875 +378839410 +1339690676 +1063402144 +1694860726 +353999278 +967580824 +1690535923 +1333958738 +1394365912 +449376353 +697608876 +399303961 +808441734 +1529003370 +1517655502 +533116980 +1953316608 +1758692447 +491157313 +549274231 +1297111658 +1236576837 +2099583847 +248473200 +1875447750 +1619098465 +288257627 +1419622556 +2117012266 +1343330258 +275766784 +348368028 +535537286 +1339168928 +2043228754 +889536565 +159266104 +1586281029 +76011655 +1553632016 +2035657383 +773620531 +1952935978 +696615469 +155140254 +1323107832 +1229732450 +2108456862 +934316632 +1720889763 +510247445 +83944642 +809982953 +462347644 +332417843 +537947055 +2081446110 +620675470 +1957569612 +2050974728 +1964005728 +85852748 +251859108 +352059366 +1425021676 +147604214 +1241595931 +1584287780 +1733885243 +1317607586 +990436149 +1622058978 +2091228118 +795888479 +171190800 +98884724 +2118996311 +1400923250 +59857938 +905829295 +974329365 +570105384 +989773938 +1784312318 +1032453028 +1322191781 +174775726 +966415490 +1942867251 +2132345338 +869906570 +1759389331 +70714438 +1121765678 +2111448697 +1495736114 +1269369892 +1205560981 +932540246 +855771488 +375684919 +1922976395 +330346818 +319429389 +571381226 +501537618 +418314113 +542893890 +1902460868 +478172052 +1448723185 +729306586 +1048277436 +291013475 +366135256 +2080730464 +1613205256 +540910982 +899662307 +1408588859 +525772672 +1769568877 +1020494542 +596487110 +743850908 +984459592 +2092223224 +2013220800 +42536925 +877279823 +721508640 +418221844 +652772570 +1051855459 +737651234 +1224153797 +1553393077 +1155965347 +1767047687 +1308370298 +1634137399 +1068287224 +2037676884 +534931187 +1359300700 +256328492 +468178004 +825022308 +797239475 +1367840311 +86127520 +1323012147 +989925540 +1106622062 +1919499258 +1733776448 +2091081654 +1864238834 +1599513601 +2133618579 +594035009 +173538593 +404356776 +1246807580 +1225394052 +1142008010 +323477729 +631303482 +150489709 +2090525416 +1939673780 +1784627109 +1011328992 +1829867016 +172074648 +223146044 +2086195508 +640252652 +1048168353 +735951335 +2008092963 +1134295873 +2058963483 +850534856 +93434287 +1830979093 +436827656 +37032294 +1547734279 +2036341257 +23167225 +2141769289 +62396203 +427524001 +1241093221 +1287790255 +1569532011 +1564570950 +1919093737 +1720021721 +1507612718 +1711283869 +1357165182 +371458062 +1393667237 +1529239830 +594604107 +1332379098 +22008835 +1642772460 +2068330433 +2030101798 +629584685 +1979810268 +733153006 +723018972 +1663305713 +1169980663 +760051266 +1063556345 +1058838272 +783218492 +1057841986 +1121234475 +1210742493 +151451559 +261541083 +632790857 +1716022509 +33151172 +205328930 +1076151579 +1744435042 +1562494112 +1447609641 +990618631 +944250294 +2042213748 +175514081 +966259129 +1537502560 +96360867 +848877280 +19603597 +2076171135 +1582030286 +742622570 +1591993201 +604527301 +1502673836 +508065898 +1663365574 +138408680 +1565907884 +637116401 +1349151174 +1717359443 +898657484 +1981942031 +1285898304 +931808657 +39787313 +214566235 +528760051 +1602281425 +1662175876 +1519378682 +399048071 +1556905977 +1694892764 +1365307201 +946924889 +1791253631 +66700833 +966528487 +1719941118 +1648731119 +1709151057 +1164450671 +105774773 +1064341245 +1672516569 +1769140347 +1202749926 +1090940805 +258773100 +404417452 +660816600 +1157430585 +238875835 +1946714904 +2089239242 +278663148 +13797491 +470515645 +1880944573 +1675973368 +1989894327 +132508996 +1085395697 +1537303443 +1497816197 +2032320586 +1181073426 +1564517030 +851365425 +753530897 +1065764502 +413032834 +1917981568 +1171539275 +1477374080 +1443014490 +793195974 +532640358 +386471647 +1051969074 +937057810 +1047288248 +61916011 +1175933645 +846519504 +3671605 +1454596793 +860316996 +474187250 +1188057718 +388806716 +316597930 +1320566714 +1474202413 +1853901373 +670899264 +1359039351 +887491152 +87932646 +62921129 +1641022049 +1153697148 +475953963 +1411519969 +177752775 +1953328043 +707050811 +970948749 +338484753 +1093522459 +2022917824 +1275542563 +2140810707 +2084833835 +303992560 +839846563 +2088505441 +1758589353 +1700163559 +415209043 +799163423 +2088970275 +731806973 +2119730138 +1415689040 +438224699 +643145754 +627244744 +1325715851 +731078400 +690165873 +819254252 +1884775549 +1166119836 +83290573 +2062528324 +971964232 +790341385 +885993426 +1310448985 +1883863844 +761427602 +438507901 +1877190903 +698777789 +742500461 +569553818 +639799582 +353606167 +122233730 +1055008626 +1152769590 +63720357 +1786815599 +1125016080 +1479409398 +77556650 +1768161834 +2106654142 +1403272501 +351756587 +649336367 +75043105 +89048488 +1815456203 +158333679 +4093164 +639936787 +948675064 +890086590 +1950385773 +685055260 +1651514192 +241410026 +414762515 +202808334 +983910487 +984316333 +842607916 +1337516654 +1106550063 +1897616542 +342802597 +1170270421 +1536948494 +1467818677 +502196171 +1614505144 +1088496864 +461366665 +870293998 +1440253451 +1110703032 +945337103 +1529301939 +778675587 +1103670782 +1533395103 +1418612375 +2052345846 +275998046 +1221514500 +589917458 +1927512238 +1462924526 +1004679973 +2130320572 +299351365 +1988996307 +825444841 +1636868020 +948062722 +575577735 +1979670617 +2118333143 +2112526229 +1300005646 +473045666 +1579547726 +241018862 +934412331 +302358076 +1681272313 +2045115363 +1247695179 +1063090604 +676307303 +203882314 +449002060 +2094919678 +108744512 +725000106 +1168950530 +698661971 +505028696 +484391408 +1703341944 +487865621 +783742773 +1544854603 +1313310462 +273127145 +345433678 +1888888197 +105314114 +316283173 +1853930779 +1405319761 +789328840 +1285994857 +1646338623 +1723741171 +1588352933 +1180127289 +1621372887 +688564464 +95734245 +150196542 +892446778 +544736305 +97632572 +1001191291 +1269736411 +1266583102 +1699853262 +1774765108 +1750974510 +1255711558 +115147081 +387233635 +653082514 +1428457543 +660360781 +998516192 +1169862092 +765674895 +1314799365 +876309223 +23511008 +2104128205 +14820432 +1669849632 +1680385729 +1603173365 +702493273 +1154274968 +144254182 +798227518 +1304471510 +1036700960 +1342963824 +1402104082 +2037892251 +465216587 +521203536 +1590261865 +92498047 +124694398 +698489776 +207645128 +511928033 +1351572290 +1636102671 +1172288814 +202604834 +658481116 +1937963710 +1517404199 +1534790339 +1961474718 +1474048757 +1549610772 +1483840702 +1006950838 +1005300489 +38850327 +13742158 +1149554671 +837077846 +1318213668 +38771984 +32558022 +572834102 +2076664235 +497774609 +1094037638 +1519442453 +590272657 +1218732036 +70448581 +797917785 +1730660069 +1422020871 +286536809 +755465236 +1624625705 +945017925 +545945298 +994546256 +332324616 +359936368 +321111365 +1881935388 +1843777071 +1328062203 +739752230 +1882627398 +1341804361 +1889306901 +572221596 +512534381 +1928078885 +604779618 +1085368483 +1857259473 +1102554228 +31922473 +1229218278 +1692826885 +1250654509 +1299666859 +343261022 +833830931 +574204082 +629797831 +1589296167 +51346139 +1574815756 +2135241465 +1045892395 +1907140373 +347694185 +1367003761 +1641592113 +43987608 +547582316 +233860695 +1926615007 +1889386678 +2123167597 +351352955 +254437411 +1903762834 +956132574 +1339805895 +1613538659 +2058686802 +1371728368 +695273289 +1604030039 +474899230 +1994940148 +1947291061 +1308730161 +421660582 +429605245 +750542680 +473006721 +2004421001 +738300497 +1518899117 +1764077726 +1085994682 +738419230 +1258186192 +1129982291 +1286001546 +1492046887 +909113650 +1027904576 +1467730836 +1260466605 +1282341988 +1224010023 +69115531 +474664235 +690065034 +2127802333 +1846392603 +1385338324 +1584348724 +173808185 +1232794824 +1384156138 +1482538346 +1654455407 +1813761383 +85597378 +2127462128 +1670698736 +823897875 +1498877597 +1287292815 +1909892558 +89813179 +397995359 +892391201 +1375814726 +1890042246 +1801504851 +256235654 +1210289435 +914487808 +1538577642 +286815810 +983603340 +2013241877 +976880844 +963922025 +1712150833 +214735520 +400787102 +1885959018 +1447530345 +1784943240 +1221013717 +954502104 +1451220975 +1306611095 +934480584 +974436063 +2130508971 +285874534 +114245230 +1892917881 +375687713 +512240589 +637825434 +1751502439 +254799188 +291846637 +2007738094 +1465088623 +1206334445 +1398832088 +1751904433 +42454137 +1264590318 +581301629 +1006376163 +829257503 +796037150 +1407163265 +567732873 +96083847 +1044622857 +1788746590 +1050585951 +348360184 +947874038 +1985066535 +1322796247 +930899361 +123457421 +1437041478 +676333594 +499145135 +1949282067 +1314159028 +103163926 +56597607 +1606005665 +2110902020 +1521686230 +664856462 +1362250461 +1126107015 +707310600 +479357131 +1707408645 +1713686763 +1308614634 +355962147 +973366380 +1876347507 +452045994 +2017989237 +1517610450 +1502631945 +218865773 +318000840 +1340214832 +1541662020 +1248900201 +1463672254 +831219850 +1925233795 +1962817389 +633018270 +1091909175 +2065981315 +689615877 +550431192 +2029399688 +63818460 +1215287654 +1244166501 +1189925475 +1922598254 +1723523632 +749850472 +1488801369 +884654618 +1105812619 +314684101 +613518477 +1557858613 +185189690 +2131128927 +913006910 +404055463 +301646119 +105738095 +1945717484 +1550546320 +1569410349 +629453686 +1328296467 +1384744090 +1262471956 +272721994 +1303241757 +1952087834 +823153186 +1185157797 +2015906294 +2038440841 +281840650 +1058348121 +1813555447 +2005364282 +1808198594 +1154873169 +742535252 +766527565 +1469557270 +1356053730 +176902531 +1654746961 +1339699009 +1089909441 +2058802424 +1641345129 +1195647536 +1857036260 +1044407801 +617574237 +339006299 +225220621 +2002318327 +1601478255 +497942615 +1158076437 +1406082441 +1321095802 +195750586 +1274505087 +1212052995 +477591237 +185369561 +878124794 +335471871 +1993568155 +2032997963 +1078007124 +612612072 +1355071586 +286577206 +789514603 +862334899 +1626276215 +1879424045 +773653675 +1120137696 +927587933 +483206288 +17061850 +1545162171 +822212587 +242282471 +1399996850 +276207194 +740225086 +410589639 +1682289636 +2061320888 +606340226 +809311075 +1125890235 +1083931463 +994680636 +2004015030 +1419403334 +840765143 +1889529345 +349926810 +1453377216 +1097117283 +636504016 +95408171 +1959452182 +115296584 +1974832216 +585622210 +1235434280 +754936502 +1068828498 +1252496130 +152615025 +1891041085 +1494778601 +1552611875 +19764631 +87520040 +1963201515 +1702054267 +1357280 +422058093 +363881695 +1127247516 +1505989556 +1358562331 +983778898 +777909242 +51843827 +725824595 +1127836053 +1505221043 +1822941879 +1764340069 +1600629214 +1634910413 +1879636653 +1427977783 +73048975 +967587286 +35430637 +1141877473 +72599768 +188045662 +885434910 +1567378370 +1740657537 +905199542 +1654898410 +1556375404 +459770161 +1656255690 +1978433497 +823651856 +636019558 +1336939405 +34730540 +1619798456 +2114848648 +86574367 +198139404 +1095201053 +1591795410 +2021081283 +712057474 +1044940976 +1508508048 +444210480 +325435111 +1581557024 +1411797766 +360865748 +575950849 +1484397534 +548911410 +1461385760 +904292256 +142085300 +219101654 +411707018 +1698460704 +678871815 +2067962709 +1529410554 +1502523672 +556498619 +718866311 +1537254212 +28813428 +686231311 +1623828579 +226952832 +1781432364 +1068140341 +100550467 +346006191 +2113081317 +1609058515 +790216671 +291032781 +1043131891 +54530789 +651898529 +1619082741 +1538928323 +1200809940 +932984853 +295736932 +1342895240 +1152086507 +707443950 +893872296 +1830958322 +627923011 +275799202 +1185998346 +1184421631 +994665514 +575768910 +1213235059 +1680896825 +52113841 +1440187891 +1314845542 +1120254182 +1540738358 +1660851733 +1085851852 +1002313225 +303584756 +1376884633 +2045445117 +358115545 +2028783162 +1517044210 +1897043868 +1082109454 +302545415 +45297152 +277521046 +1454631922 +752741103 +1171393343 +1138106596 +1380664114 +1447192545 +176621295 +417602097 +294374411 +752390205 +1630837156 +1975271237 +804504047 +923541399 +1142633131 +1924758229 +316796109 +656001216 +863126433 +1319109335 +959585972 +92527418 +1217070804 +1317701517 +2121310581 +586631366 +1067261737 +1055936387 +889176781 +1112558890 +1333457434 +196325055 +1865299993 +357367129 +1334431651 +1098480459 +1804559674 +1511052946 +1516082557 +2098934086 +115959504 +999436065 +1926721675 +920463551 +1922977465 +921871158 +697738132 +92289926 +1577872374 +1560864566 +1411399261 +389974698 +1653391984 +480986417 +1707676215 +1627218917 +1067617783 +627454304 +535671657 +1956794564 +1740013194 +1869129091 +5635971 +1457829539 +79012572 +1340067623 +408826351 +1883572246 +703636921 +1924908908 +1835022684 +819596425 +776861325 +1614260711 +1740059976 +552355142 +388648221 +290314461 +644645069 +1966520595 +1851179027 +2056044330 +209011645 +1357087363 +389547100 +1916687860 +836822633 +1457164883 +396658517 +1372494290 +1266475800 +2136671711 +1094139733 +1272111771 +1447017603 +1173152305 +464695746 +1855843954 +909240903 +1168332668 +1633269214 +596779940 +1987929093 +262646891 +63557003 +1580505422 +815002034 +452205225 +1870819883 +1459647103 +271242172 +1574515262 +1368207785 +480253818 +784118977 +1757754885 +249458030 +1620941610 +1067436121 +646116547 +845952252 +186428273 +635304611 +1940091985 +1458540044 +2082322214 +965760642 +1923235791 +1790682520 +1875001546 +944084811 +1276468086 +324297838 +784530256 +1539114977 +387854841 +217552030 +206633363 +840060066 +2088371913 +1666280466 +1111302239 +1515403527 +887004604 +1591556057 +152038857 +497275841 +1841014087 +1772980467 +1564711962 +339646987 +471449072 +1751140235 +974951598 +264057409 +1062196632 +909790164 +1229818052 +837948775 +552989036 +957335950 +1782033586 +1829457122 +1281633788 +419080194 +1221088451 +1669488629 +636632225 +1427721815 +362065048 +577520490 +946518633 +1473367287 +2092924018 +1833523237 +917439696 +97479227 +183315431 +610970135 +1870459694 +1748027393 +950617122 +194425118 +1351683981 +1925568720 +458482528 +266396965 +687875236 +1688300580 +1104345740 +1240864272 +498152882 +738895678 +922837746 +1779786670 +1157975872 +2143926198 +1301791651 +1794608097 +1424164365 +1663856699 +224644940 +223199350 +989740338 +170085310 +2056722588 +1907180034 +267564537 +92554371 +370666522 +2138024231 +1840581764 +1321283644 +184965702 +1044782097 +1099368717 +643448230 +1311179062 +1787243953 +184265162 +268041154 +880624578 +682418044 +1006936832 +1803462324 +314721066 +17429057 +1799904874 +1616512717 +1812037154 +1076585591 +1132885769 +2036682094 +1299784942 +2122626107 +59283756 +1209023882 +1882322494 +326848293 +1301578253 +105505368 +317388877 +994676369 +1426789012 +502354579 +2039458467 +378674081 +1145802809 +1203153881 +18434387 +1330067971 +1471195036 +899058965 +2012486015 +330648220 +555037641 +179723433 +348077277 +207458868 +1796236150 +12630784 +1284044459 +781638271 +2049312878 +436345753 +756780731 +2108596635 +1645369635 +491619577 +287961280 +799464240 +597124945 +605350157 +1794140610 +2023913957 +1107704736 +1686115429 +255104391 +106023897 +741785662 +273538778 +1436091868 +65497050 +1172597743 +1301094235 +396145271 +1727635384 +1480817668 +744222548 +1935094252 +1129570171 +756853332 +1071655064 +1911208442 +658682563 +1508000817 +520505525 +619795550 +1005886805 +1012125102 +907756830 +1805351045 +1609250047 +1513106988 +1452008007 +1485680357 +473328076 +990639788 +1740784748 +579351974 +1732425451 +2014323526 +2015443842 +1797922501 +1039437621 +1169054430 +46584124 +619589357 +502388450 +790806673 +407199962 +1631958621 +1547660005 +1478855026 +1395683416 +58858920 +839372195 +1916188941 +678654470 +1845259000 +780830396 +1586411301 +1503126398 +242596795 +952034641 +807650757 +1728277152 +1425362717 +1798290546 +1321578252 +2004714691 +1383232349 +1188418130 +1872674886 +1033671202 +80372103 +894245668 +1080255327 +699961461 +1396634118 +1871062000 +1107161423 +881109092 +1271238357 +438532801 +129308860 +1330097278 +1277904996 +2045497801 +2008751748 +975680349 +678844549 +1447679401 +331323099 +921441345 +252230394 +1138973856 +502234849 +1677593112 +789780754 +1823813102 +1534824155 +25529455 +864747584 +1260015393 +1059200658 +945119688 +6777413 +2139455985 +1645081149 +1403411532 +1863034337 +604758924 +137036976 +986789046 +1043291725 +266345836 +169402676 +173713073 +164359989 +30670777 +1149393422 +843204539 +1478350178 +1480716521 +1764645884 +1730580573 +472206730 +119397085 +1260690037 +1261987484 +1943210187 +648030544 +1287516940 +660474124 +1908045938 +199233950 +1605593812 +1914823351 +191206287 +1103191313 +1170751235 +2054240624 +1707950237 +1307788211 +893546022 +603758314 +1574134047 +1062948699 +777471387 +1738494037 +1093619476 +1926864810 +434214928 +424486006 +1260097683 +51377164 +7582931 +1732304413 +170774249 +1268272968 +846808250 +2113984437 +1916303513 +2134325190 +626974913 +1676865803 +186075492 +85085077 +1444205506 +377281779 +1188276390 +467473094 +284038755 +748742979 +1775261305 +1177584777 +1352501293 +1201911705 +93049828 +2129972680 +792922094 +1186669304 +1909353842 +1227137022 +1611155311 +1021967878 +1278514186 +1618738242 +606788643 +1449288435 +739527563 +1453596893 +1415789224 +508347428 +1440438435 +2042764137 +37729583 +1626513927 +2127849214 +1481935089 +2003795706 +1168641956 +1949408183 +140350813 +1917384935 +1577185841 +1317935591 +1122402580 +631613898 +1410985419 +1104891613 +1424535992 +450171076 +866761807 +504189366 +2061326387 +1888729685 +1782703552 +1532580981 +348034681 +1084508339 +124624896 +1801631574 +352813916 +632972324 +1094586362 +248094405 +670701907 +573616641 +228459972 +5153349 +429928700 +1397101928 +1954561532 +570279513 +1167003216 +1384263725 +1888215104 +141922148 +2015877623 +1151716876 +1246813761 +1292929967 +1601887952 +2113575569 +1797119333 +1515730691 +1854821606 +1432339237 +900828024 +55372639 +369363929 +1025452921 +1857004214 +722177845 +1658425245 +804106928 +970272250 +181643505 +1377723569 +1198732222 +186796854 +1807652269 +448350503 +2141358386 +230448135 +1615353719 +1378138464 +2118663239 +1757275867 +1246532439 +1122896467 +856605981 +391978759 +577300771 +822697902 +41614444 +2093031462 +530035860 +1473953682 +846375839 +585408500 +1843317611 +1871828760 +294929066 +418011808 +1382770357 +1099035994 +1388284058 +1564413862 +329275915 +439532633 +1751210716 +2136928185 +887883136 +1745085455 +219892672 +355753207 +975740271 +191072263 +2113029074 +74789062 +1313968731 +822151407 +466767821 +1891269502 +1644849309 +508382266 +1836817317 +27401522 +1982335948 +535709508 +612810022 +1678169911 +260054620 +907739088 +2096181719 +1642824977 +2006775082 +1336982129 +1059755192 +188567349 +1776514762 +663482260 +178011886 +516914250 +261084067 +397904558 +872667457 +1236824338 +588976822 +838212884 +1311613401 +1902945553 +1660364291 +1778381222 +1646731407 +1157729953 +139279840 +1336065076 +1185131475 +2121615788 +1871774584 +1797941497 +1652302051 +2131829204 +558196937 +1601000122 +1627170534 +417488371 +790498604 +539442078 +606055720 +419529718 +1202924338 +784067607 +936443969 +1464008406 +1181972165 +1809111426 +553349096 +1770948987 +499840662 +1864962497 +1526410892 +12721306 +1495860072 +1025658652 +1170451259 +1635139912 +214240080 +208099086 +1609272053 +2086014665 +2006040583 +1114090456 +2070360221 +416753872 +567606931 +1550047107 +834242243 +1358105535 +2089489185 +1440297963 +1777635253 +1144929876 +76881922 +566595574 +461454634 +1258854088 +228223353 +1014803730 +882319427 +728064015 +732282580 +261246672 +740785321 +80659004 +1286905324 +1911236580 +1715798916 +1501145404 +2119335666 +1177587321 +1439676421 +1977892601 +144194130 +1362552995 +247162825 +711801061 +765116454 +1081405068 +2069906596 +707121992 +374219384 +1700058201 +1852051868 +451101306 +119170128 +166022854 +1709955394 +347393481 +1180826584 +444791174 +1075457496 +1913109164 +706037846 +1816242818 +1993768168 +1992943170 +1579995750 +1562083437 +1346604926 +1551847769 +592187110 +638797700 +1382256722 +736381240 +2001350695 +1629419548 +1448182301 +618983501 +563340968 +1370605249 +1326105493 +937560352 +923179803 +1030673713 +1388661659 +1042349931 +1196696567 +951133405 +1389743412 +230039504 +1395924579 +317717260 +2143148668 +2101962425 +2133960078 +1989433189 +1947421947 +1566472181 +1404032978 +1146543226 +970836302 +1996220088 +1785340926 +205609376 +585117681 +1639207973 +1835028924 +2033299982 +110707826 +250886245 +1256421584 +1436813320 +1188446597 +32117739 +320003385 +429624608 +1074467670 +1516699953 +1380758014 +316727434 +1746739457 +629198945 +634444694 +1742404477 +583677723 +620921125 +1584354018 +383616022 +39909658 +840903348 +1530159248 +1010745960 +689639789 +1168016526 +1216355336 +1274757470 +659740851 +903900613 +1160573804 +770448678 +1154786858 +269511740 +59778350 +195749807 +301629479 +379781735 +625374416 +1376097149 +1896481688 +2006132430 +1692824583 +1495737497 +487847727 +179785630 +1090658327 +1071525450 +800706755 +527528697 +1455141473 +840616413 +1368432046 +837817073 +1851362373 +2058071835 +2005833600 +920234061 +1185345657 +518090803 +1824134674 +198435813 +1288539481 +831437884 +467947554 +1348317831 +1027187692 +769577033 +1728099567 +1652562108 +2145674183 +1477097607 +1511210890 +1691015118 +825351457 +1999058617 +1870800748 +1916009784 +923100420 +524023855 +296054833 +230758245 +1364640268 +1664486879 +1068575318 +1068518993 +1575075066 +926925270 +1988753055 +612937075 +1445016074 +1665404081 +811372889 +586071907 +349358318 +1279320443 +1934389739 +1376546010 +2048897476 +1515005658 +881624470 +2047088011 +844619617 +245351712 +1590619482 +1669971074 +96926681 +1313936582 +1438497210 +1020027101 +1837960438 +1734552044 +1250785346 +1055117058 +1251555275 +171877017 +2123636052 +679146694 +1098802287 +1964905459 +1292083769 +396334713 +1482825892 +2103456658 +982406621 +1832184210 +1235293453 +769312712 +1061246572 +1136707282 +136834722 +1942871042 +1036311645 +981454339 +40739106 +479447479 +503941766 +137665788 +1793384062 +1942438976 +1157692889 +1483860852 +1529507372 +260994588 +391494262 +633579000 +432871605 +367646666 +1312725694 +1531673892 +185068477 +457325815 +1928008606 +1667894370 +413298826 +762931579 +1352594932 +1648592279 +1532244291 +266357857 +637815913 +1669079013 +61745251 +1674127559 +503049704 +102484358 +6091390 +1006991470 +240150146 +1799475452 +801946799 +1397843035 +1135852656 +183970523 +1658837623 +1527346919 +817549523 +2091709228 +1894993585 +2130275217 +1475899473 +2080062063 +440117385 +1256424431 +1600472785 +853416211 +2019356010 +805584069 +354524842 +1404116653 +1071941926 +992340756 +925712018 +1133687178 +518984667 +1428761722 +1236171536 +525076057 +288269545 +1476321682 +177067862 +1090216344 +726681069 +1312920518 +1274186867 +238035045 +692783789 +2091736391 +182260625 +440293727 +2074527960 +1658160098 +372872142 +367161697 +767100881 +1973344927 +1220577908 +638973243 +631445348 +1575102751 +2043089896 +1703387275 +419959859 +821318266 +689590805 +938944526 +102596341 +1925762341 +1464020583 +390865886 +1254600375 +1641088445 +1481082230 +1981281444 +806525316 +607785449 +71832841 +1499309105 +552038192 +254093467 +1939602832 +479082505 +1912253565 +164991326 +846244202 +531870799 +2138336253 +2066822111 +1170844042 +622297954 +1494441214 +1066450291 +178201581 +1914401073 +1887768557 +867792386 +705861951 +1990364898 +646071079 +22398886 +233747136 +1900671454 +1663487332 +1714829366 +1734469250 +322529000 +175131168 +1806302092 +1821838105 +727169360 +2060395559 +1613957290 +1206251865 +1825165476 +1778948616 +2052496068 +209552627 +1769801222 +1971834531 +1380396670 +244615528 +1318792097 +299363313 +422817109 +1085709522 +39648222 +1290609495 +1791571473 +2030013121 +1936680574 +1813970359 +116276609 +1689868380 +1329974043 +1831105976 +1276853982 +1652503043 +2006237144 +935672426 +1326857501 +585922856 +848584337 +793331143 +1792174722 +526266166 +424796111 +1697187142 +735818793 +47113685 +1521538025 +2116215463 +291729213 +692846474 +268095128 +714546322 +1778555996 +307743351 +2005155817 +1422643821 +190272824 +1794352743 +1089130532 +306549433 +1336737475 +271620928 +2137655409 +466107810 +1924123971 +1996408905 +1401780236 +1103497824 +434848114 +102880926 +1896828967 +79539188 +629147092 +174141431 +1776726330 +1364965885 +221255116 +1150780707 +1333697701 +512984330 +1843627181 +1601792829 +1227530652 +1474699529 +1909536180 +1085202822 +749859702 +2099809004 +732071917 +1838990234 +258874790 +2068809393 +2110611162 +249046551 +387433555 +1887251486 +97971809 +1789213791 +843265662 +532819923 +1892094717 +592610982 +612359111 +373758161 +766752413 +241601793 +1738724047 +988007529 +1392382500 +924938100 +1500991859 +1088526033 +379247281 +581038864 +415741914 +141299814 +1666241686 +1165601616 +93625170 +250829955 +857108202 +352499960 +172155700 +820235717 +601546512 +559589255 +560003555 +699518321 +201319399 +1403269217 +1232338244 +2093414116 +1995880199 +1844697355 +319688630 +615148964 +2086299148 +2058412677 +1603156494 +1331198000 +835867129 +956664705 +272240385 +1215114410 +1537703569 +687982299 +1356414224 +1056461607 +1853583915 +1450039395 +1307291563 +563208469 +1802539355 +1479447263 +1383444186 +256602219 +2039036519 +1943447741 +956120540 +92872270 +1199233311 +40975136 +38802738 +1047629862 +1885672491 +358491368 +1662778827 +1824487991 +269420397 +1118451673 +1008202343 +1105287526 +2075116378 +1280442728 +172918289 +1465336300 +1968425027 +1529332513 +374314259 +1674525294 +831888260 +1681605822 +90250116 +486943968 +1013569438 +1473694302 +743546187 +905122309 +1269658396 +1699666728 +997994579 +321408059 +1740641864 +1036797317 +1369037921 +1478830708 +1395288686 +884333100 +1155835051 +1664709083 +2002784773 +16553747 +622512962 +1930417504 +1296996475 +795431251 +1248270156 +1117937855 +177280116 +1622584415 +644979501 +1009168377 +1156706590 +735229617 +1496112345 +22792380 +61440272 +92174884 +927914689 +1331098668 +1791841612 +1925909268 +1652506727 +1384999829 +815222937 +874061000 +716346889 +63027975 +1758394101 +1872181940 +1727737059 +1613695226 +1888735687 +202766373 +1396629082 +1038248515 +998197624 +497415590 +8702722 +1175477740 +2120000006 +653682223 +37162469 +1129222948 +1388911841 +1533274814 +1152015328 +1450352113 +1625449699 +2079930017 +633967133 +1269807663 +1858355637 +138990212 +507323844 +526094926 +1013051212 +1223670733 +589122902 +623961665 +948369026 +169376313 +90173244 +689621065 +372142686 +1486802326 +1727869580 +1370340310 +1984217917 +1736572302 +398334402 +1956734275 +242770878 +435496872 +938473575 +1631682719 +1968771686 +2090488903 +934551184 +1446737737 +2022935272 +1568518317 +569061753 +1733807261 +1707508529 +1076385597 +112418539 +573076093 +152572683 +701541441 +1197037759 +1100941709 +870917754 +1287211003 +1790562774 +1243060440 +626529681 +1370948707 +465917102 +463263950 +960037361 +864251505 +272514577 +1202808239 +1299748377 +1210988152 +687007310 +1121036415 +1153993407 +1621558494 +420290505 +1029445031 +1042593163 +989352258 +615768644 +602618044 +2065737855 +728187184 +1175694138 +70826890 +1429728625 +225248249 +1171768599 +153162732 +1512459252 +814847726 +1396223172 +2138988933 +38312785 +1862140275 +454769236 +998350146 +578908132 +727283813 +53674738 +1878656509 +1938271966 +740682048 +852209276 +944781725 +214756895 +1272499781 +1974226757 +1257350058 +114368391 +442511753 +1859968103 +32622599 +1170698937 +888178593 +103449489 +452943915 +1113426842 +1275218089 +606106647 +478402446 +2090065815 +2002329819 +469907731 +2128378600 +1716986446 +924676967 +979245098 +148410930 +1651960781 +1032919836 +2027067439 +1442749099 +1773601885 +731793068 +240047176 +1988358780 +2004292849 +66790285 +1098225190 +2118661241 +509302039 +810709645 +3800192 +1680000976 +1698888238 +107249681 +2132944891 +664831432 +1382467770 +591567890 +1143233878 +1325049937 +446414062 +1613141610 +1305944889 +15916860 +390334929 +137706340 +164327791 +2042295710 +1170626176 +43911582 +1337561161 +796744413 +775704650 +1577608338 +637619545 +632513852 +1644398623 +1735844736 +603691445 +6217014 +399070733 +607491637 +1686217991 +2097958972 +714741318 +1671679234 +615306756 +2097209089 +115763477 +1758540635 +1274775378 +562177539 +1224198597 +433236620 +578094399 +1614533526 +570942960 +742422190 +1509345589 +1741569136 +786333773 +699423102 +390829902 +1562038423 +129547792 +1028449447 +47068627 +1773946416 +616810535 +650760072 +1780163430 +1015881269 +1258251709 +1318897773 +966356593 +1972993028 +843093360 +1581663349 +1922718469 +958856837 +1192720336 +1050010199 +1521034376 +269435285 +1483246819 +2099128775 +1883968812 +2054189779 +694067318 +1245830753 +1648275268 +1480401091 +1945253855 +2039105170 +894955866 +2074801648 +920070969 +942024494 +1701264416 +1536881505 +1592784566 +1333944198 +405279126 +703552628 +505358324 +1371635719 +529062008 +1348451684 +805815420 +304296829 +159824873 +1998535757 +1354307028 +1680859249 +120487394 +690070200 +1632504376 +2004456206 +596776331 +179088046 +1102803311 +97567951 +1659489137 +900573519 +2136673121 +406961356 +827891519 +909260443 +1348985850 +381672287 +298658300 +794286768 +1715616485 +703937426 +1497839396 +73491161 +2075573145 +2026901404 +1421942845 +733904917 +183714585 +1581767718 +584957026 +1538021614 +1115143319 +705444421 +80608166 +600164048 +562416979 +677384497 +779252094 +1665220291 +774952449 +291257584 +418310162 +764141922 +698218940 +1246201681 +1673402365 +2047204790 +1627873968 +1972060665 +694007910 +1196006805 +528514443 +44363659 +1269497967 +456603940 +2071265063 +543957164 +1190508858 +107496001 +2125724883 +1775465884 +1645517615 +1093384554 +333426657 +1726125781 +1693548602 +895843637 +256026630 +325317049 +413580280 +1030979079 +616574633 +831890442 +1795121002 +1314793573 +2078092123 +1321039719 +1214514715 +1558482443 +1145616737 +1908522625 +607005600 +1674131180 +1952886284 +1876503567 +2130735121 +1876667700 +272977084 +1173760331 +1984163701 +251218319 +801742567 +1482197668 +1344602873 +1135169225 +1060839801 +890667828 +2031012862 +1316866431 +1215984877 +297109494 +200361863 +1832559510 +1128999936 +1995482865 +999869435 +1059608411 +1169038936 +66900502 +470607206 +167172025 +1975423127 +1077612806 +1841303206 +1780825764 +806632726 +1824554679 +1510009816 +1079609810 +850831362 +1346689869 +1330828129 +1652573929 +681403889 +527947354 +640259506 +1742243690 +1418615182 +523788720 +911626473 +487116411 +820898214 +1111988336 +172192273 +1949898150 +959987553 +1172061708 +862022913 +2129026490 +1238962210 +1332630119 +148714867 +1066901690 +262759278 +1990018073 +700243806 +1069392004 +1667089104 +62769974 +1518166 +370436818 +1409459843 +1332346295 +2023010748 +2090863732 +1860293649 +515786606 +1685623774 +1131425184 +1039575327 +449766599 +1618541595 +1860473541 +1561754936 +1790733869 +1662888044 +374258841 +815311929 +377427309 +355801683 +2054274140 +1710057429 +504516551 +973692182 +1972816707 +347050976 +1673935988 +894725063 +2014140081 +1736705962 +896243229 +237093251 +998682157 +81105876 +112620351 +942062241 +1941399525 +628406958 +480202367 +925341061 +1667982285 +929968966 +396399009 +1380972178 +344240254 +39649230 +896376574 +718499096 +854961159 +1273803884 +1074300779 +761751651 +836377665 +1578817330 +1735443833 +661710724 +1925868307 +1261896173 +1556435787 +1792524740 +851118487 +305195368 +2029617991 +1849800644 +386301244 +2142238343 +644379237 +180217121 +623161653 +1124581604 +1105558183 +143660290 +2054550571 +1501957192 +1524632468 +251307177 +1541606422 +273525395 +969806273 +249083933 +1547329279 +2044107053 +1010835585 +236223296 +1475440735 +598795770 +897934020 +1253825394 +1860691944 +306886159 +898866486 +564326783 +612081527 +781000830 +266643780 +998382771 +775755525 +911023017 +1178599892 +1398917178 +2035604622 +136674427 +1542577468 +1942671545 +1638631619 +919726288 +46495074 +1032754393 +1193251683 +1016301348 +1281838327 +593097314 +912924753 +145190264 +829320610 +240881840 +743986034 +1727254630 +1494707235 +457194330 +2034140789 +246090073 +1021521114 +498738668 +1027090903 +1288164894 +1497121439 +1802846428 +51704263 +528237684 +1054279958 +2087308885 +664912111 +449373778 +1882496782 +156060083 +1369100067 +1928991857 +1188814476 +414868102 +797809557 +323169155 +1007965417 +1710734310 +468359419 +1837286027 +1951616150 +1212345454 +1417057010 +1298839737 +1669539784 +1303714151 +1544929811 +543577250 +1802452820 +424537066 +1831742144 +1152090611 +79899847 +1883446408 +1680328295 +1134179805 +1823271645 +197756759 +1583553584 +1558284780 +353816842 +805170003 +1339792989 +1542631318 +1220038105 +2137602546 +1865800474 +80519874 +1700853208 +186676245 +1917805902 +1504985710 +1399021699 +1187379264 +656341800 +921077836 +343609767 +53787963 +1464655086 +2146062587 +478325029 +1148913583 +1150669551 +558224876 +884876343 +683514198 +1692404682 +560664340 +881270957 +1128474618 +2118949120 +1235087799 +1933644621 +1311258461 +630235470 +1006199078 +1301377359 +348552296 +1086718953 +854746919 +535228541 +857041207 +212248982 +1934250241 +2044420471 +868590782 +707844429 +240546590 +922378745 +25015867 +239125530 +1400703774 +1173929450 +1389795081 +1958928651 +2058805793 +2073309279 +1503849685 +471986486 +807096589 +484840655 +443451958 +2042184388 +271001628 +1754710420 +524936210 +1277200706 +908604131 +873488506 +216436011 +1763351051 +1408717048 +1073477218 +1975600033 +1195483641 +970414041 +696707167 +1903328070 +1210960632 +1619085912 +1928343937 +1450086162 +872306038 +954789740 +692397595 +683751041 +866111885 +618223226 +40117078 +1338098371 +1425319815 +524957733 +1781550330 +1320020556 +795959361 +1388777102 +1844956766 +2073160068 +149897585 +570961625 +142112431 +1913248636 +1979678673 +1215589650 +1741365021 +1027678666 +38520043 +290588540 +783523088 +1249480675 +1909674452 +564383377 +552083189 +634496843 +1519173117 +1244480784 +1318247884 +237801355 +1862704011 +1358364963 +1575899726 +1140540178 +1883322696 +1209966408 +313077086 +531798410 +451259862 +10550205 +457474830 +601157448 +581511830 +599587261 +366922436 +413706855 +1815176911 +2108287458 +1441385521 +1853696955 +251392350 +77424961 +955693982 +13583155 +641808338 +1507777172 +648079998 +13497808 +604774308 +1966327882 +251299163 +319994671 +1177209197 +1827198889 +1460534850 +913048246 +889681650 +1773611936 +1444846656 +1340941512 +1784162141 +1902321486 +1942098960 +218190323 +354425099 +161537749 +631897178 +22118363 +122341559 +2073282699 +1875815318 +373733909 +3224012 +684025652 +387317064 +645032351 +44319176 +1035397062 +658530159 +649093485 +854241297 +909829322 +969088156 +2031450494 +589544563 +282139358 +797015092 +1479226213 +2055751295 +94378100 +672684078 +1692429788 +1996699586 +467299390 +1910620112 +203641038 +628837139 +395033642 +225759401 +751178698 +320832694 +2101574719 +1124912608 +324056706 +638116723 +1512229672 +969089057 +682435900 +400143087 +1627619216 +1331529385 +1254384384 +389964890 +153133893 +1138351230 +979509454 +435273252 +1935366323 +311252019 +343540899 +2029744423 +983936097 +2035970687 +1878960362 +1451235488 +1799107151 +2082601400 +2080072627 +46657146 +160877153 +683767678 +367489840 +114968224 +1808680286 +691546546 +753084947 +1173426310 +1660635604 +1435520847 +1573569397 +1140771172 +619566584 +680470133 +1530736063 +772700478 +1818821364 +362761869 +1207973730 +1606704039 +674013888 +1551514629 +1488964814 +1657949986 +1440001668 +1220441528 +961701826 +1091625172 +1155559280 +894290805 +1138282318 +1316436433 +1578058483 +1505772158 +1431404657 +1239255121 +49835056 +37005957 +265197784 +1710470660 +1472526804 +1838767181 +703758185 +2092093389 +371753667 +87010600 +717310219 +43091383 +449772469 +1925283949 +1649795422 +1123786357 +1329314930 +991276588 +634252695 +621832950 +64234469 +1595954521 +1713458122 +1219793749 +342761679 +704256792 +388746535 +1920820162 +62545302 +1820151192 +1012591636 +112380359 +1857157149 +1277789420 +1822851019 +1182200306 +969072953 +379125556 +1126810047 +1340826620 +466136156 +1844120266 +1383918003 +915908625 +1621920567 +886229777 +2039694983 +803751849 +1877506366 +526464030 +1425584799 +1941740835 +2122418552 +991559274 +1014050936 +317696583 +1695816066 +1402797471 +91033097 +1758361369 +1075465016 +1103624733 +1870741728 +785138517 +233930505 +1546109099 +1967338823 +1203003459 +1925234656 +946665222 +396346431 +243887164 +643301840 +1780264435 +1159795790 +117738759 +519010564 +1052007125 +921490608 +249033282 +1578471155 +199591760 +43290469 +1553406059 +1191151034 +1057341406 +1871102642 +739483452 +312655229 +1962135740 +350361173 +1388120245 +918276825 +73619253 +25775115 +1152207331 +1619728353 +1993113938 +207727142 +1397479361 +792295513 +604073573 +1641366525 +1435597353 +236854360 +653678667 +1553336113 +755864925 +1705685792 +327343073 +1004898207 +1136673300 +526934833 +1048188677 +542595711 +1718085867 +2105530083 +266214706 +310085672 +270701664 +80866798 +660446845 +1658821910 +999143623 +734066099 +1684597025 +3867306 +206310804 +1530227315 +211594448 +1603790165 +175039180 +815668022 +1097673042 +1610636534 +1052522382 +1751351710 +1016488999 +1808387307 +1309553854 +1343832072 +665801867 +298743506 +1870766906 +1713990544 +841339218 +1441369125 +1672036979 +1107553924 +1751454797 +1942738643 +1188420722 +264417995 +1454076905 +40080697 +998484094 +991190282 +43948004 +1204794898 +373933950 +255542452 +661101415 +548973130 +1071210474 +1758774457 +12126016 +2123732857 +1362642519 +1028615015 +1784636516 +524712726 +224963440 +302954735 +823456232 +2095730346 +2016945279 +1664795450 +1389615823 +1541498610 +624865726 +993586973 +1336753606 +1813286448 +1258004968 +643346863 +1853367146 +109005414 +1634537146 +1897315150 +1313800312 +2008471096 +5373954 +1974901727 +409960578 +1076584429 +1586192536 +422086595 +1052833638 +801351408 +1450701610 +689986506 +1326064134 +1675665050 +992941242 +2036718 +1623911748 +862402873 +1666832169 +866043924 +256417836 +144214247 +1859630897 +1593171442 +1957500696 +970152217 +89034657 +1663384194 +1079157631 +1723571803 +1413215696 +245474295 +1584559251 +1418589650 +72892374 +1994519830 +347690431 +1659084910 +269122777 +1400524069 +312952670 +1719824387 +2090510576 +1639016804 +1248005790 +935968170 +1641053523 +724433890 +1798371043 +1160402044 +1590477814 +2054788879 +1304616291 +1302625063 +1500476673 +1114633339 +125293632 +1589511331 +630533885 +1204451263 +1165599486 +2043749581 +1449925558 +602675090 +1314855584 +1522817932 +449711272 +1662546015 +1034419195 +718834049 +915586437 +1347371865 +291174788 +858613365 +838905022 +1539180578 +1794581535 +332474897 +116130821 +1445468930 +1492876941 +1706608635 +1352774162 +650009584 +861750051 +705767187 +1764642924 +987043683 +147794870 +247693161 +44011299 +1313394357 +143959095 +1493936857 +1916069447 +1458814679 +869271142 +218297071 +973877046 +1903690337 +937131120 +1889463483 +1103578554 +1228305908 +600593200 +1942483576 +620002839 +247691087 +127474825 +736133660 +1693160018 +1620351766 +295258647 +898450532 +122877703 +1157008698 +1604217719 +1887520627 +2144052382 +1752012590 +2135213788 +40580033 +917923299 +131689235 +1534516890 +686509098 +1590503914 +256304384 +904806169 +416897313 +12511073 +1841937289 +158877148 +1116089628 +922759549 +759470349 +911089556 +1542762388 +1007161436 +1038564382 +131412400 +552837806 +511432500 +426671048 +1451288338 +634310203 +1583679746 +908022410 +374347182 +1580248480 +512551352 +362077323 +1620828513 +1430474651 +493766558 +1007861756 +2116983749 +2084270473 +1264166140 +874306270 +353684138 +1276677214 +568759911 +512561286 +245283194 +1491519460 +1272031635 +1156372750 +886798201 +131709424 +47453484 +1018210601 +684547230 +558885985 +1444881649 +2135835569 +1193196188 +881077748 +896374331 +1567543371 +313842580 +1408925683 +1929620694 +1934671094 +691916686 +275903604 +795049202 +661416787 +212690429 +2059215342 +1535723057 +566374567 +1188408908 +2104482968 +1078935854 +1433692102 +1448518780 +203483841 +442581205 +187833333 +335193265 +490034689 +1206043935 +1019740496 +1048920674 +503441936 +1008092417 +94633215 +1384519684 +1904466748 +1662176586 +1698362265 +1165908783 +1444313632 +1485549711 +1857825469 +1720217236 +133115265 +371758608 +1932907666 +44846959 +1907481665 +351798585 +1233255868 +1864480985 +1430734439 +519464322 +1165516117 +1634218281 +962045527 +1353349451 +1969411546 +1452080217 +411909738 +841668394 +353517243 +915351674 +1849760811 +448150458 +152387711 +1606743911 +2110327044 +1850749976 +625169046 +1407157028 +1188816039 +335510867 +979890617 +1321931304 +707269475 +765314635 +1366778263 +467267492 +1117113220 +452550483 +184264829 +400364012 +972014806 +1349780947 +2034582293 +1934060333 +555646750 +1856510191 +1238656902 +967556488 +550694938 +1592174146 +1882908162 +252972101 +2040324604 +2035295873 +1859716013 +2003168001 +1738562201 +337401411 +1262841381 +779894592 +672912279 +95248350 +2101825896 +1380181754 +860562985 +1321120512 +1847449247 +1977676206 +1773670995 +2031714076 +230556570 +598202153 +1234011375 +117655215 +384778839 +1789658125 +1974165406 +1623435741 +609730965 +377376696 +1068126239 +345155480 +630348798 +960967196 +232967705 +342581163 +816651549 +1971529907 +679982574 +2079492930 +603940851 +1352894853 +27257633 +558283100 +585592960 +887820618 +1879403612 +285558559 +718013176 +1505590959 +169788987 +948569746 +2103793113 +1403800363 +1066224961 +341088304 +1045974840 +892906720 +1964524045 +1655705806 +1270283416 +885166637 +2000861286 +1900632214 +1846133833 +86345343 +95729729 +515301734 +2057875250 +775712304 +447311016 +514332454 +2128607157 +474568649 +1072615554 +566716469 +1362389268 +804535518 +852275028 +2080402444 +162642829 +1022064016 +881488543 +118952294 +278380731 +1947713504 +460040598 +1324355571 +693136576 +277080996 +832577729 +1963419993 +1162247633 +685955367 +1716568559 +860897818 +772300711 +1812298289 +1376199552 +682692313 +440526945 +1823510568 +1197024767 +421650454 +150595570 +122156673 +988366924 +1512984838 +926692191 +1840641952 +1445903634 +1089335021 +715222320 +179908529 +1208287315 +993603051 +2127622034 +1668327914 +170474975 +673274962 +1945408910 +1003052704 +489211307 +960172895 +1689008072 +58296219 +1821070713 +313825135 +1870594508 +1049786617 +996517448 +163637805 +725813537 +46058568 +585288259 +876409107 +168215241 +1573655183 +241910297 +1094907433 +1266813488 +1687813932 +36758806 +1982035808 +1867722461 +1245046121 +828155212 +1847860847 +765890387 +998630187 +373652162 +563815649 +2001682891 +862863469 +1523988544 +1543207315 +921159688 +1197575609 +1857032450 +644270548 +99878578 +706066251 +807908353 +825692116 +752124819 +1393196613 +1702101223 +920340060 +819368148 +1944011521 +2015247493 +2086181636 +1484341805 +2052006299 +1920733797 +1204580618 +1149568773 +601405361 +904957818 +1915459160 +1600035548 +1278609980 +331791162 +1454234791 +2141473449 +1855779706 +849958459 +915149490 +905871668 +559507261 +1559420038 +1005750246 +1265573512 +219844744 +1831442362 +2017698331 +1613041357 +1386059938 +790554744 +284925857 +1182587811 +658318589 +223623846 +519445968 +562841241 +2144357643 +1724026586 +1712410014 +598279356 +481500756 +1480385526 +50831256 +1760110736 +1812176688 +1505066047 +1754100538 +1520472747 +207540858 +521766380 +278860767 +767048120 +2081186418 +1284611013 +2032621632 +153547514 +968569728 +1902836316 +1766588871 +207146018 +545907412 +2051514729 +1389733829 +1204226001 +127654927 +1909179797 +1767067242 +124528922 +1485722735 +1331993608 +722808278 +1967223492 +664895487 +773639534 +1579850580 +329588527 +131221933 +1186467470 +1850061274 +338762792 +1708233850 +2128922041 +1105810912 +1641936621 +1266049407 +990948896 +1795484135 +87135487 +746301564 +1414589359 +294281505 +1292208976 +1318620440 +1684015334 +348951330 +1446275367 +1445711483 +2116018572 +1570804289 +783950570 +1300528533 +146128919 +603690414 +1965424020 +919768453 +36057347 +147528899 +1050990386 +1222524817 +1997590174 +1389753178 +783275020 +1979028567 +348080442 +277727993 +1097594326 +1339029339 +2073212128 +1184729813 +2085330903 +1340317839 +1479011318 +1230056232 +511454631 +1015543004 +1579007562 +1957729998 +313770839 +1547542486 +1381050639 +1097721410 +700587371 +1527179558 +1701411824 +518527743 +299464363 +1737469171 +666056643 +1350454750 +812510341 +516163169 +592724280 +1595785361 +347708088 +940804723 +1873513354 +1445302415 +132350414 +1799241834 +482548580 +70197669 +992076026 +1961559899 +1300253901 +1503530657 +829619255 +731777815 +1313777008 +1143390095 +131836654 +547343999 +93627857 +832424025 +2074523558 +1795039681 +1350951769 +226504273 +1385025205 +2017008412 +1576959023 +50051898 +385687933 +22199656 +1645837259 +733396021 +963004379 +1371866965 +31214788 +1095354793 +1023625151 +513763369 +1165552462 +2015701177 +327839620 +318322716 +1371748187 +1157458875 +1050100531 +538041547 +153365322 +1181937185 +1085385546 +246993179 +2014361211 +1012425456 +2042032861 +1217829332 +1238929730 +1279574418 +1087354096 +668405105 +1329626316 +1473042029 +690604761 +827979927 +58954402 +1653609140 +52363244 +90169191 +601480285 +1075988395 +603932560 +1767032748 +944205925 +931772180 +2085355464 +168470464 +2089231055 +987972347 +706512011 +95112730 +22425885 +1791897557 +342105909 +2036787096 +656839366 +236655122 +1107132780 +1895769096 +1516229540 +47003228 +416690553 +698372208 +1520045257 +1107295315 +1526352135 +1578999659 +613420807 +1578715379 +1669168850 +1214901093 +507220127 +125617762 +834450193 +1451426052 +1057389942 +772322009 +1619896516 +999137350 +1760294356 +178924879 +1094250080 +1782720241 +1970822436 +1436355989 +1672023689 +480178154 +1673011112 +631672821 +228463602 +1041757004 +678676049 +645154156 +1740129213 +51237658 +1752449471 +1118997700 +1630237318 +218386630 +550229432 +1151922520 +1433287723 +1057449559 +1277540283 +120254268 +361391963 +187446577 +892576277 +1981288479 +1186583927 +505386986 +12729710 +133350359 +140623579 +1983552146 +1569706349 +1812647269 +316246653 +1095233813 +296836442 +544710255 +2136990817 +975512492 +1189864411 +1729636382 +1026750150 +794830234 +701150435 +509503820 +1013216865 +1251379867 +1661426341 +299020940 +161345778 +791482976 +419275209 +522737741 +978929553 +1311851486 +356542572 +18029833 +1817238472 +369272282 +151380192 +1957862052 +205340780 +1721086541 +1623025673 +521587433 +668836706 +1919862115 +1066297689 +658343876 +747890959 +108678452 +240496610 +1774641110 +903508687 +941647045 +136661282 +1916725552 +45543264 +1798087623 +68262844 +206889042 +442086951 +487538053 +729626783 +1421016505 +1799389540 +1086169355 +1439046338 +1469144364 +1455441637 +1590426530 +1279522768 +1660782418 +1164029424 +755064793 +34886203 +1832866130 +527443261 +1101183892 +343726358 +1275334220 +1209862345 +584222969 +902491682 +2113371032 +1525870014 +1039152965 +1882612936 +1571413279 +689756940 +1950875780 +1778302321 +1131843892 +290930186 +360445457 +405376749 +2090319726 +1446614812 +1844423087 +1411980442 +754572802 +1287365969 +544019563 +267871572 +303911745 +1299084356 +302757775 +2136777876 +1826527617 +1403941668 +333020586 +954378190 +466320365 +917243555 +1856869872 +432207749 +295629922 +748539189 +167337037 +1867043201 +1438296130 +2118212817 +1497861874 +422656374 +261659355 +1858307331 +828033123 +204495433 +1157438496 +524972562 +1616475876 +1912011298 +1812338531 +13011791 +32399222 +2116250277 +1312096147 +335156997 +2105544505 +991140117 +1739098665 +291081443 +1945518307 +57935382 +1208324999 +1654904531 +490143131 +1503954921 +255960073 +657480168 +1223514474 +1694256203 +628209338 +573892700 +2116912577 +889868693 +284716384 +797462052 +1094364127 +1442154880 +1322434614 +563356355 +1206682530 +987289497 +576368146 +1239081752 +956056126 +1888464293 +1574238749 +914116983 +732120762 +1165853767 +1205198427 +530155421 +1223789149 +266039778 +37576305 +1713932281 +1769994699 +293536378 +223928801 +846025525 +1987792581 +852138139 +1419918225 +1957221510 +1742006833 +1704634609 +607199914 +688887312 +999305841 +1929634528 +1252243667 +58504723 +769440377 +1828611813 +1297586475 +1725496504 +1569592458 +724341577 +492129839 +154229573 +1890195344 +1697328266 +684384994 +966500845 +1963368044 +721961299 +532949478 +1585879095 +1015497677 +756878280 +284420972 +855806610 +1609016419 +1704339198 +665544472 +1203539604 +1261490159 +1272744386 +1892426916 +113312353 +1054895266 +997186935 +171817076 +1824335644 +678315100 +1469403552 +1402348500 +100423911 +46261481 +1894478339 +254653484 +1936456825 +1444322958 +939038478 +755474022 +1260207354 +1660999778 +1288423501 +698602802 +529013807 +2045301781 +983023774 +1384820418 +1506834552 +539879324 +2050364890 +562890509 +1801369484 +1175625629 +307833777 +1914681837 +83037247 +1305020713 +2086498913 +1907372891 +1983335813 +1408418817 +1162237743 +2083759724 +1454680298 +909232435 +190929560 +1243653475 +206071745 +1129968039 +1999127498 +1466279099 +643484169 +1140067351 +17398253 +1172497976 +1037885484 +1000422028 +409834746 +397236388 +1540301352 +312715989 +960126897 +1194187188 +1488341618 +1267960675 +961385377 +1571378865 +425497740 +900400643 +1331268109 +261349905 +161335812 +346022204 +197625982 +1616016111 +1255254639 +388555542 +712185938 +1461326384 +1518523581 +563829788 +780121836 +14524102 +1703897139 +797520089 +1187022079 +594298975 +1797942117 +1596856825 +991535364 +1190759822 +1909572814 +1951662261 +237463362 +1250430784 +1072139288 +1198848740 +674326002 +1497637028 +2099249383 +2005594111 +1758986934 +113101547 +204132667 +1956612916 +1729117658 +1459387307 +197684810 +293819949 +773230043 +1716208392 +857649737 +1553351879 +1730732494 +414063229 +203388321 +770270925 +1008362204 +2001330438 +219644103 +1999897568 +1044606612 +2129216917 +1804076182 +1282069975 +1232164054 +728731822 +333435067 +1906490056 +78885203 +285200802 +1764600519 +1837872137 +398302349 +1968733186 +1647001405 +2127420008 +1280636845 +1844686215 +273756309 +2053866889 +1413410959 +1131406046 +1459735120 +996659806 +1545469275 +1663123441 +1766930731 +406347832 +1516970232 +1986574834 +258761752 +414093196 +1968308104 +2062837934 +1696163171 +1052988510 +644086109 +2029598238 +811994918 +722971312 +167315392 +429111789 +413359801 +565617742 +250361327 +2060361206 +545554102 +1530998173 +1757563773 +819310411 +1437381414 +1023491085 +1950716457 +749632886 +2020150891 +1348702085 +265272680 +1639597974 +1755049917 +1782242912 +1478689161 +2013811669 +48852460 +1299513617 +1929165956 +1745015632 +205018479 +425768417 +1627130222 +1017013397 +1148739729 +1794445615 +1446125186 +1562099530 +212579709 +1696486513 +1474977088 +758133811 +1080001038 +1085057213 +1577444222 +369898804 +2108548298 +1380677031 +1119531691 +1981215541 +581895468 +1384804371 +1473329868 +189461737 +1019563635 +804535381 +55789759 +1068416095 +2104048998 +1984955715 +665948079 +161583829 +263240484 +145594654 +1178597226 +1411980213 +1940040269 +477238764 +826596095 +5136330 +26241629 +154089535 +763270141 +1106242668 +1239146748 +193230715 +1476141472 +1200211399 +1573907746 +448189515 +1033943292 +8319567 +1832993886 +359789512 +197781304 +705073873 +1164324893 +253571063 +1773489969 +1120890243 +91043130 +291954400 +1282474072 +354283614 +437549054 +313587650 +1766263827 +230105675 +790826414 +445376274 +235242005 +817068044 +599465809 +998512146 +1923310712 +1838612558 +1191742861 +1251968536 +891340309 +618166960 +1700158052 +1925283601 +626486527 +1385668290 +137589466 +824267831 +2090742164 +1301914359 +1077838895 +1716748485 +275320955 +1168882025 +2008702885 +1557795027 +1523165640 +298768292 +1871382678 +1141945819 +528873967 +514725444 +1587322094 +764115973 +1331793488 +39304255 +1762628119 +1107620552 +1877916813 +806887333 +212105441 +621773474 +1425054293 +1912263493 +399573428 +2051540820 +1150448135 +537162894 +728325003 +1093706651 +1839077253 +1806163898 +662971488 +2114398208 +827562276 +524190726 +1524709588 +203244268 +822959018 +1248608618 +1345190087 +1351832985 +1763334062 +785028533 +2115948958 +947643903 +824332789 +1731093430 +2055264455 +554765954 +390497115 +119886248 +1176539429 +1815551408 +2032149741 +1576112857 +1719608580 +1035114229 +2113275751 +300449935 +2128820880 +1804869356 +2106613834 +644308721 +1771783917 +786692462 +1168499447 +1149009857 +989936730 +1991458465 +250134827 +187643169 +1195807802 +2013468889 +972671703 +1164273113 +813629144 +1797004492 +747882895 +721409952 +204286798 +1138380010 +841296200 +1380826227 +806447770 +725962294 +809455436 +378572702 +1761076523 +775247539 +679022637 +1742413755 +432633248 +638152823 +239238828 +56933517 +1424845285 +1407738275 +1205943374 +267298367 +1251713092 +1456078201 +454941537 +300037247 +1322063442 +1427613240 +1464310360 +2135692587 +1077134084 +64709607 +709618891 +1281420882 +1203089617 +1550915091 +514763462 +2009537387 +129393737 +1324218898 +240626441 +1890470260 +2099466438 +919649078 +1485400368 +384616038 +1557801902 +1724639196 +441549555 +835163539 +984893824 +1647492929 +1102461907 +89123268 +956087482 +1557403444 +389160515 +130667276 +837533036 +1853470875 +118876215 +1914667120 +1918180482 +828495106 +1048604354 +973786451 +231926550 +1563367816 +835840190 +361320287 +740103067 +1076466631 +104306900 +692085857 +1996115710 +1589707268 +1076701895 +1406433964 +1166862816 +1518251450 +94113855 +4272992 +1018260731 +1196575762 +93396261 +1974348213 +606495558 +482556776 +2105015489 +1444028594 +188544004 +76408057 +1211212066 +2106724486 +904903163 +112332773 +933027290 +1136829713 +1675700589 +1768867480 +1498150001 +268320008 +697850464 +1602456901 +960405865 +546482526 +1044680521 +2037107760 +1952916490 +64059689 +1407875562 +2047030345 +68332682 +278652645 +1096122460 +161728943 +105517210 +1702618018 +644285719 +63049052 +999162965 +832829723 +139457109 +62891383 +792070562 +1044360272 +175224156 +1725097852 +33706338 +1850924746 +1346481684 +1531856339 +2119244754 +2044332148 +986829592 +932166972 +443331026 +2031510113 +821791084 +248763868 +2095569802 +82182999 +148310566 +16418836 +360835644 +1244433026 +178147779 +466352855 +799567396 +822433499 +529401907 +1798730361 +1655263222 +668859016 +1861621745 +299850136 +1713219288 +2036845901 +2024947988 +1746925626 +1740286999 +1223946025 +1131298317 +1712048106 +1120794525 +2118127909 +496731430 +1564125552 +2002154374 +1318522514 +1812889420 +1950240529 +1400705513 +1961199986 +1966659365 +1761541158 +1058149364 +2144807145 +80410365 +1857716761 +819756996 +609812272 +1508963474 +327536570 +1278671288 +1223101571 +627386707 +844406928 +1112463825 +504851047 +443848907 +705267176 +1728797072 +1575147224 +269831634 +702107950 +1545791486 +766563064 +118749854 +1400462212 +2085085579 +1931639274 +1203219093 +1338307444 +1745355613 +1022394811 +952364954 +656021329 +1019718308 +1032775319 +366254442 +1839475304 +1642587591 +1875217917 +19528226 +773775231 +950835840 +646914933 +1618182160 +2063299665 +1151765981 +2062031067 +621083194 +733079405 +1489694643 +890914828 +1435187355 +888002481 +1657477893 +1553937209 +140981046 +1595079824 +1338092836 +1344200139 +785903620 +935964801 +219111302 +1738268575 +1591986130 +1238829610 +623560246 +1958240573 +930821266 +118664190 +1685974842 +950349493 +892439421 +489327034 +1597264426 +363137933 +405143052 +601546759 +277685352 +1026226246 +1334626165 +1767379996 +1917141074 +622329872 +507898829 +1427135319 +28783434 +648879875 +874731495 +1366876270 +1993080015 +1660635116 +155357423 +64707669 +1251420043 +1747343553 +1303537280 +1874980289 +1558100478 +86874898 +1993644479 +1096591672 +1037224391 +738600253 +1585918707 +487005170 +1101738186 +1991061759 +1088551929 +1379423539 +869804357 +275694446 +999319887 +639461783 +898024319 +1507218716 +2066597103 +926807753 +8614944 +793844950 +146200375 +2001694959 +306996418 +301557798 +2066402628 +1558416461 +2048901351 +1222456260 +1285913103 +1459518182 +1309331159 +1132073934 +408626206 +199071902 +1870674187 +1994544913 +686077072 +824928726 +1838123024 +1774629002 +56868617 +560443733 +2050323448 +1056188504 +1199905517 +800864119 +415923572 +1119018972 +1727671872 +424538516 +1912863922 +1873872247 +278749827 +72376693 +27946397 +197668808 +1630793154 +2076847749 +1420125068 +769222609 +1388882283 +581972579 +1901296544 +1797508489 +781044482 +1624487083 +1644569755 +1467121554 +301932161 +1335209131 +1094266908 +358800778 +1895652865 +997106709 +1414989282 +948074734 +1797970828 +1830912855 +2067093706 +1378159053 +107967723 +1832473980 +1104547652 +386717551 +1904850673 +1132494050 +584386359 +1388160180 +1061858151 +2004511427 +9899141 +303256786 +439000359 +1911195685 +2100765275 +1220044841 +1388199121 +1597851382 +539682747 +1690131282 +785576866 +1633949656 +2048932061 +533746083 +483572717 +1316437695 +1481820817 +134059897 +999866902 +1401430875 +1512218950 +1107834626 +1086421207 +469282955 +1494552177 +843788233 +1601777005 +2078938536 +84464765 +516151508 +1935966315 +94363906 +819408294 +227483026 +2005559592 +772689921 +1447527867 +1246275065 +223057656 +1987210615 +788922699 +1008634522 +1473676623 +690371112 +1542380605 +1957249340 +2006808808 +876717774 +2091309237 +859192062 +130665001 +1456044540 +1967026688 +1217086208 +1925327495 +1314095217 +2060874441 +1379620852 +1245550105 +2145339206 +1895772360 +1034032773 +92219465 +567697006 +1261515799 +2097779057 +1340386927 +561560019 +1196570474 +1563444583 +401286986 +1985493173 +424595457 +1874963609 +528380638 +1966976062 +1684729301 +387705798 +696210188 +1628554890 +1246897860 +826875189 +937115782 +1066440901 +2043961398 +714959629 +233052470 +1957352191 +2094580481 +1478602576 +1955207750 +1842869193 +365151701 +2047427215 +263082551 +1626667500 +1997722624 +1603469479 +40743871 +1046809450 +1019430414 +442030857 +884818975 +1444025872 +169510818 +1413199613 +1263518286 +1854240119 +1800905411 +1959728475 +1335311362 +900319624 +639120016 +124943496 +1966760525 +535597766 +839903126 +52329347 +345466310 +786999959 +1530931923 +153190412 +482385505 +1896083624 +53133979 +745468056 +1375267477 +2050856603 +201453887 +1416011348 +950182405 +1220884302 +1858042206 +1835001380 +517426526 +2027553024 +1100717346 +1780944812 +1734309496 +754139109 +1593189639 +922137210 +1654458733 +84826008 +1047080706 +1473735610 +620423774 +1886983832 +1526064958 +965890084 +526500144 +909513233 +1119080496 +1008885649 +658113210 +1172214475 +1754353705 +2033380687 +1075587430 +1955807593 +1301908387 +2025769835 +1029208247 +1012466945 +1713287568 +1546634773 +892536322 +666521266 +1180095937 +479362170 +1420660375 +625801929 +1401499380 +927635461 +710627937 +301096438 +253887423 +1331051711 +40596623 +1779952381 +149458148 +567096767 +541981967 +1268538644 +1575982416 +1200095177 +293269472 +1182852473 +1085992216 +1368856902 +991176418 +240416955 +1247143090 +2020384665 +1252883901 +812947010 +1419535790 +2145420223 +1479468276 +452148080 +477298745 +752645003 +1077950009 +1878798125 +1680280464 +1788577946 +32410915 +1934167888 +972146009 +73007538 +1566636621 +1121604157 +640104305 +2108618588 +242659154 +68603073 +1161230117 +535928626 +1251455547 +99738685 +1904785528 +95148317 +340155641 +1004444970 +2115532983 +1593039542 +1817391980 +1387585125 +1590976117 +1149376608 +1839733205 +2068274862 +1902021612 +770199566 +1799589339 +1434818428 +411293864 +1832000254 +1221502668 +1383439874 +1905007793 +640655642 +357560383 +397628450 +601790582 +600219537 +466231524 +1763020700 +1136148163 +1717687071 +1862759385 +893450044 +1812835388 +55431378 +1897895014 +1780884723 +1648470920 +1567803347 +1020986201 +1091963389 +569696307 +713235758 +1012754603 +324234271 +1483435325 +664860294 +1759052700 +1894729189 +349376901 +833071720 +1130685415 +106901046 +1473727362 +1488245799 +504529496 +2075517945 +2088465336 +970761020 +1691054997 +1077129852 +540964443 +1406330734 +1970579896 +206316184 +1461762113 +1720991262 +1987200907 +962749385 +1141310961 +860703460 +2054712775 +1711007269 +1573939219 +919983730 +2035241540 +909890896 +1584844025 +1646810592 +657136437 +1934220926 +332398665 +1787821853 +2041121972 +1806126027 +1128584004 +398167820 +1734160324 +1069565692 +1368928841 +1277731673 +2146695544 +1909893284 +536578760 +1969791792 +2116209468 +1998340873 +1543299407 +1955926728 +813606610 +537126720 +669146540 +720835737 +100650341 +95602111 +1640819468 +2135891882 +1005493007 +1078179845 +1635218826 +1662629445 +864917123 +1967617491 +1302967650 +758555447 +1626259871 +284068006 +1156723267 +1212936547 +1353633698 +378168460 +343184573 +1352845595 +140578097 +879763333 +1175153739 +109303917 +730620558 +570969498 +2065230645 +1544227168 +1108096219 +586893538 +117579258 +1208746560 +682495649 +1758398726 +1197154794 +1687988657 +689094923 +684889973 +1203134454 +1554012046 +505023816 +358618456 +165083845 +2131283687 +642686462 +1321807112 +1196736587 +1996320160 +1699975573 +1539921160 +1201682107 +1840553670 +272200845 +229352199 +1949857587 +1002821403 +800321697 +1867604585 +399564923 +1908417916 +307014475 +517144181 +969680829 +989510124 +128059259 +19351975 +530015133 +817154182 +704241948 +1733149587 +223682580 +1209265765 +2091768043 +388766425 +1193065804 +586970857 +1710573538 +242318743 +435807370 +1263065463 +1782239903 +1637489477 +956135485 +2054440748 +1866841676 +758509424 +909778503 +519679726 +478630361 +1309343427 +280613994 +785644836 +1826487608 +1250294823 +1775154961 +1954546868 +1269646799 +157686446 +624217402 +1973888747 +1890836034 +847899983 +1035670864 +1835120429 +1236666408 +81253021 +274607639 +799756298 +323571764 +710415009 +2062821761 +2105811668 +200420838 +871473598 +2012768768 +2067262515 +1629983023 +775063624 +439458593 +2108613384 +2084407051 +720072587 +746774573 +1763411011 +1970367411 +374445886 +1570474231 +1092530562 +532132332 +47207986 +918935661 +275484718 +895107969 +1954606526 +2110605148 +2131774377 +2035859547 +237729139 +784047028 +211947663 +948144148 +699385141 +170275683 +1148564986 +1570858740 +35560804 +1068343853 +1053358115 +810624428 +1507802446 +1014487851 +747547831 +80391386 +1761262424 +363475194 +2050758797 +2135708310 +1933949426 +995805711 +520356995 +1981157412 +1914741372 +795841713 +728781733 +1721864250 +758963213 +713072462 +1610240149 +996692352 +1497119490 +1822187813 +1944836500 +49020984 +1992463496 +945917839 +1619879724 +2028024300 +2014261692 +525754191 +691165080 +1374580491 +1540242042 +1438712911 +1454971877 +1154020819 +1802188106 +1358247026 +1142245481 +1588653884 +206569089 +1662602476 +1422327648 +2121310461 +310960542 +3625733 +1695691064 +1069923755 +716698195 +1158447565 +2066616108 +66334038 +833151730 +1863968960 +115355022 +678131579 +662403151 +1735234746 +558672231 +529181196 +113505289 +1249837312 +1903761687 +1653747331 +541066575 +1211249916 +660284502 +195771033 +422013294 +1802529984 +1784424917 +628582383 +1317648812 +1059268917 +602409196 +1628609354 +1062894650 +150616612 +551049462 +1779592846 +1309064178 +470181922 +1845926884 +2142215908 +186667234 +1961281906 +672863839 +849070386 +1549033004 +1231536071 +1378251582 +1662538293 +333889735 +1134529621 +1168801976 +874956310 +198295889 +1829086479 +1070727344 +620309183 +1484132815 +707668613 +1248891566 +654297979 +1766937531 +1851300762 +135423686 +682348533 +2001917375 +686473148 +314457731 +1163497905 +1156655070 +12900967 +1158230165 +1343322304 +1974182873 +1831094005 +44909042 +1375732229 +915146428 +1423160624 +890786874 +1249036163 +410206597 +2059588851 +2123992473 +608502486 +1741191682 +1047236169 +1228811669 +1077840849 +1754904783 +330219587 +1732138828 +1374358666 +34036702 +1867562514 +2056707199 +2035954077 +406552014 +223681283 +1051968334 +1563207084 +236582250 +62714851 +759045741 +63281476 +1893808856 +803954783 +1439013705 +661471636 +79631760 +182316932 +1910507799 +489838357 +94422135 +1887016625 +1098340844 +1835613817 +786769146 +179668865 +765971018 +394190281 +509888453 +350626198 +1768548947 +543925155 +70705065 +1677772499 +432395584 +477257079 +1901453782 +1484363918 +2040464164 +2138036032 +1547078769 +652026257 +53833860 +1293403978 +1455981040 +1492847566 +1954875614 +1535612800 +1675164498 +1717899766 +2025451158 +1769586633 +1457432743 +976308354 +1457716802 +96718241 +1155977219 +76204172 +490908523 +1665865672 +426830370 +111973822 +62307179 +497535435 +1789746321 +494702763 +974792515 +1543716455 +1979066681 +867773031 +1534268840 +1378661803 +1519799288 +1588102700 +524582133 +828296680 +933466618 +331974099 +216425833 +461147468 +2049873865 +94393343 +83250453 +1359822960 +1070701697 +1540967255 +1456541202 +79195268 +1617171427 +1947449725 +1745060941 +2044001798 +2059423547 +1807368120 +394053585 +1701686221 +154587236 +1368846100 +1097919028 +2133653917 +89135483 +484704220 +1364832072 +1608934771 +2072806921 +1889414205 +289747804 +858789891 +73904657 +506173637 +1319937360 +2123778522 +600566980 +1403187813 +1336117835 +1671268677 +796671421 +645175389 +1750463945 +266359200 +445141466 +1348041238 +162877350 +357081365 +1007925711 +556930936 +2058767586 +1162512947 +1925777036 +1009202967 +1148683216 +2014912520 +1493907187 +366031641 +1476363643 +1419230460 +107962198 +1766111447 +130536704 +181866855 +124801436 +1450474064 +158161730 +725368416 +706178229 +1494279565 +249153445 +1502849650 +2139454954 +1999617391 +1769208851 +437112772 +1200174981 +1932086201 +794194137 +60617044 +341533489 +705478076 +1223129991 +119826878 +1714681043 +224329560 +2134739398 +1061104582 +590361201 +1463619393 +332851395 +698323399 +1082247193 +463388099 +880190255 +1207048629 +1913862163 +1038351985 +1932417046 +472556744 +385147902 +34086843 +1975406395 +377119208 +2033704234 +1597131598 +814231980 +1086395568 +1381734151 +1608426117 +1147012612 +1723267641 +166420545 +222658956 +1843094519 +1881101588 +446988516 +1830350269 +794722523 +1037349717 +1146486014 +1127573918 +1735673116 +81249559 +1590962017 +468379723 +1288298189 +1357340532 +1506731708 +1073231587 +1829897276 +1891879610 +1107318430 +1657820023 +121515170 +993539017 +1107467973 +935747150 +2079934585 +341718477 +396689620 +1079463549 +2064986118 +563110165 +1302122505 +1760596989 +296728106 +1749111021 +1443463610 +1091450629 +638977090 +442465976 +71540899 +227166559 +523715536 +1662502916 +695546282 +1812013725 +872359800 +54794343 +737761664 +554773428 +1946673953 +1845080094 +65109804 +2068189124 +691135463 +1172577777 +856452626 +623586400 +1514296254 +1253142246 +1703049950 +1431798724 +1816252412 +857688807 +1044912065 +2112980518 +459316181 +340892027 +1056947499 +1098293271 +783358004 +1128488398 +1325459830 +1307073540 +643507666 +2021006113 +971603617 +1515867466 +2075800456 +1709365281 +2070640894 +1874990761 +1406961727 +2135750698 +1795696237 +2098097191 +1160844828 +504665216 +574199943 +527657434 +1757807462 +129766245 +1959456159 +1426576226 +987455053 +856884576 +1392073096 +1446771234 +1197776604 +301536947 +397580857 +1981134608 +1430025345 +1723040688 +1140724500 +2073533011 +1596563153 +2112328117 +1441916829 +1524879961 +1674209750 +1365074076 +1252387074 +933687829 +1353341126 +900599664 +884301372 +366702306 +1405264880 +1458501316 +894359741 +1015588694 +1588267561 +706332252 +294681273 +428238966 +1563216828 +1686754369 +1875010200 +613509784 +1988291317 +125107410 +447160744 +1270833014 +1848148098 +1587885244 +1196882378 +1297227603 +1552729713 +491315559 +674623916 +1079455815 +1856389635 +1927010990 +2013143645 +1062247114 +680127006 +749961369 +1428949420 +2085391886 +60979037 +175825513 +953496933 +1649246599 +882157765 +1248178206 +2077485565 +297890946 +787448927 +1805012118 +911400730 +628256596 +1930119528 +1358561475 +1899089611 +1630783978 +798963071 +948488341 +780527933 +204209137 +1439803900 +1455151849 +1283664952 +1148709888 +1234679191 +1149324949 +63473354 +1914806198 +1899286319 +1492422774 +1852714436 +1960265356 +1668248288 +658727721 +1462028307 +402922405 +1906905927 +1392030225 +700813351 +546871207 +1049558695 +1612214082 +1175127803 +832194575 +823291909 +926733766 +315494905 +1622254980 +1875222107 +1096022838 +1826464117 +1167542360 +403691039 +962645422 +168768600 +1638370230 +2111970371 +232241954 +1405692780 +1863773042 +1724664728 +1110923569 +1676554751 +1245429368 +1769651290 +991099410 +1648351774 +1529073570 +235645987 +201681477 +2075944777 +1285204682 +1813895559 +1103588932 +2117399257 +489703820 +2030322699 +285410514 +2111958801 +1758061158 +1381433352 +1790939270 +778119870 +1785124391 +606101044 +946888470 +1276010974 +570587768 +1179130424 +534220106 +286877162 +756311505 +1645143675 +1963431913 +2001740873 +1267311318 +807047676 +1502608999 +648901240 +1042693663 +1704290477 +577362369 +180414698 +1370702388 +1680951301 +150330307 +1860406209 +1563790352 +435740822 +1824881362 +1174367863 +1817174174 +1468336984 +1952487733 +1454814918 +2074438029 +751892556 +583342244 +497542149 +1931022980 +1117562350 +784419311 +539850837 +615222378 +600367577 +394108063 +1882533696 +1407415253 +1896717062 +383951288 +302625268 +1453523891 +961313657 +483039966 +676742632 +494781310 +633370274 +389665193 +2058571663 +1069111096 +67062907 +1085455878 +738801622 +1535399891 +890459963 +46132892 +1462354272 +1642352519 +629475136 +1959896421 +1425891852 +1747037487 +596832085 +1965742689 +214776217 +1197199662 +212367104 +2097309913 +457131267 +2109084167 +333777553 +759756535 +1415124410 +1295091210 +1242796502 +2091867042 +1789872520 +1876166776 +334048587 +1700960535 +797794224 +401111494 +638932765 +1536595846 +1936511386 +1529392729 +1582728739 +1251382010 +1024261600 +64720227 +1063794784 +302669804 +1811757714 +1660626869 +120928846 +2026533931 +710342883 +333295950 +1976360196 +1167474150 +294896469 +162654101 +1927230685 +1710020880 +1457745311 +1022543539 +1654404274 +1100134184 +751226667 +1988452862 +653611071 +1549020891 +242080708 +1292543837 +938133090 +31108446 +674452918 +373378181 +1282490457 +1698714518 +438098408 +198801593 +2001384323 +102372475 +1859428462 +2122313169 +2128906406 +422287697 +308125471 +1957782955 +1589761847 +603021941 +2120437056 +1369508884 +165559173 +1430698720 +244568776 +1819963447 +383349256 +995795443 +1660932661 +1036960327 +397332687 +1903013370 +182020516 +1335465777 +1934121816 +856473434 +1708843958 +1069128625 +407704305 +2146942366 +1267930218 +261604980 +101831193 +979875032 +236434501 +83253952 +1402162729 +544559972 +2041036907 +844440928 +1147581913 +2013990315 +66466165 +1313141086 +1297205387 +311034941 +985620886 +1680554643 +1306830384 +499069899 +570031323 +1704163071 +254599621 +752051839 +892145200 +41237790 +1608525274 +453505510 +1110366415 +2016229579 +452964229 +230812986 +130350911 +554795422 +1210688018 +366785412 +638049374 +465367100 +911345384 +531602633 +1309808028 +2058927298 +398109301 +1376274193 +1224584736 +1695314688 +1687309134 +62721974 +1228385684 +846655871 +561791874 +1798417007 +403335294 +816391495 +402985198 +1295480495 +857629285 +2011510472 +1748986005 +1967995701 +1880256403 +54466586 +51325039 +2010607314 +609262009 +1262013057 +229909078 +1247311383 +1727380157 +1141254463 +1778914017 +889704538 +1052698113 +29539670 +118495083 +129799201 +1724854358 +1805804218 +192521176 +805756394 +504976441 +754313050 +456689753 +908311735 +1570704545 +859674952 +56308582 +280850183 +723701776 +1805294588 +101362236 +456474532 +1859761174 +152687275 +319598198 +321539535 +1414700332 +549507277 +1568850919 +994596842 +1690761740 +1200281288 +1884301380 +595976205 +1229820958 +2002796463 +725775406 +807191668 +1661117033 +918296582 +1612948063 +18609826 +1672609632 +2069637816 +926921562 +1095830530 +781829120 +983230144 +1376680713 +1505530897 +641041084 +1478042949 +1962005429 +353318611 +1630730224 +134119979 +674858146 +897946908 +683627256 +96225417 +1892543750 +226905348 +1296506705 +1629361482 +822881553 +378844015 +1484674298 +1548656960 +1186035684 +998307683 +319469894 +651500099 +1016917510 +1992079527 +573654267 +1943839072 +940426409 +1355483388 +779585568 +169623474 +713530637 +1420626653 +1647666423 +528052418 +1773945264 +1130912999 +662172397 +301319762 +2028859907 +1345799654 +397545180 +1773920010 +1572705002 +1694051885 +1255797844 +248102908 +2072895901 +592988494 +1796759868 +1111447937 +1591296178 +2116229762 +1762948036 +460730040 +1960825641 +189118655 +257085464 +753768402 +1544602043 +1036671032 +923391876 +110649032 +309814037 +423574651 +638701450 +2083759301 +1554487650 +1300873848 +237595416 +1435863910 +499189854 +635140596 +1062300272 +2071894856 +181708833 +170614468 +172514116 +107121086 +763602963 +1969273984 +1218569023 +207415493 +1938020099 +834033411 +668145533 +1751362092 +1023152067 +925230997 +357646847 +420270462 +1961902029 +1281038723 +530919495 +124232419 +1704613375 +1169620945 +60508072 +1111617377 +323011145 +298103488 +399997639 +822200999 +933244084 +1462297911 +746612208 +1114952918 +1632912380 +919126324 +1222074004 +249031695 +740916661 +293159380 +456447188 +531453112 +1127192791 +1124592721 +135331556 +2861210 +2049823718 +492978403 +423131673 +1864242099 +1774017127 +954051168 +1988474518 +1331146854 +2123672113 +2048982591 +295280583 +299199611 +199602431 +695278223 +1121400610 +1132846516 +10092486 +1868012818 +100315786 +1643004866 +639655495 +1322389790 +1892036561 +1380572156 +1615549170 +201000101 +1912025268 +595258314 +1325592822 +2047356824 +598119524 +1227932892 +392851580 +1021251197 +944691344 +19385059 +1975302365 +785682214 +1350531913 +1951490831 +687181157 +1645812496 +103206794 +886783589 +193607071 +1224607404 +2019630105 +203699558 +945136575 +2119945891 +1846704424 +1584792070 +1294852033 +1591257338 +817880578 +762917556 +1792257439 +582422198 +1358175870 +970366614 +482295374 +1956295394 +50815858 +875146954 +830062944 +995507202 +894532013 +657881661 +1781189417 +97580278 +461888844 +320886926 +1743392775 +565095638 +1207670515 +1936999846 +1789703043 +1079816972 +2140699404 +587355970 +1052279215 +1839920181 +24664392 +199647601 +1283693871 +842544970 +962565157 +928467662 +1424967168 +173257379 +1898834276 +1907262542 +2129552773 +1949650135 +634925849 +812132069 +797673689 +1529457862 +1470013731 +431379458 +1627038141 +1931902575 +752266385 +1222947268 +349514566 +1959936900 +1012463466 +2139217609 +892270225 +1005679223 +579089931 +1944549440 +698115756 +603754323 +2144197041 +1981809627 +1446299293 +959278550 +762793641 +723782813 +1132535929 +514144270 +483561707 +1114605055 +316310757 +1118487556 +1926737124 +1113984446 +500461771 +1249267207 +1545363905 +2127499912 +1033686135 +150146642 +1202963532 +1383200701 +2110083542 +67943350 +1374934662 +854870119 +1073622573 +1954024593 +651935912 +1771738329 +410295268 +648649305 +1606064308 +1856594561 +1607927856 +221374302 +432893726 +592980137 +735518572 +916455433 +1707585192 +1051829329 +2034942990 +1486838669 +18330127 +387921113 +588622228 +1563694032 +367937377 +1622308363 +1713840674 +1570900909 +858025416 +1676440569 +1638844259 +85476430 +383827040 +564983185 +2039501023 +1035762952 +189237866 +302312643 +1684412258 +1795302175 +11423556 +1144856466 +2016676477 +444317282 +1737836603 +604711401 +1360772716 +1297938148 +1656540730 +1248232058 +637293169 +1674870857 +1636153171 +1225915397 +1091081242 +2004090548 +700740113 +657438268 +1427507809 +1558765529 +186395189 +918868420 +1644241960 +570222230 +1483851605 +1536259335 +1605985182 +1673089472 +1838571979 +1142913792 +1320907999 +1849995535 +140286610 +1190100828 +146829170 +1878123214 +1794812229 +1507601886 +1028577714 +1303869311 +608350296 +1665870883 +831256520 +97019819 +744302632 +1922337762 +2101110367 +1445042745 +432292383 +1381134528 +856324627 +618687572 +152519300 +353082939 +1188909802 +1636370906 +1889342274 +647411337 +1161976730 +1580430605 +1790325129 +335401081 +1282942493 +1930611740 +1525501909 +1429771663 +1661251306 +1172830490 +789889901 +542345372 +329216153 +1398240197 +60732607 +1160472673 +1495260016 +805035239 +935326788 +1448886735 +102594337 +1367619171 +682537615 +958918964 +1986306743 +835056915 +1312001903 +1027732898 +323944173 +1053860529 +1675144235 +1485920903 +486807487 +1317985716 +1821321984 +1769749980 +1101113808 +1199340245 +1052037995 +614881466 +224687087 +1841927896 +1157226838 +553903240 +1092684445 +1217959445 +1714375914 +440460813 +2022994685 +502219054 +1889347548 +2125589022 +1869838225 +424401515 +937024338 +1708661320 +1259458430 +101542593 +588910570 +1583402604 +1155403122 +116571157 +921839859 +1642210609 +1434556874 +595678196 +1264476941 +388187034 +1795018441 +169031288 +1003068501 +2019705529 +2010959184 +12811691 +426125121 +956159981 +1230771137 +2140501035 +1396620794 +1106282174 +495236441 +1138484694 +1084387548 +217591018 +1562886209 +2021411886 +1926252339 +674860992 +2122954479 +367679261 +110779948 +1130873953 +484250419 +1032619807 +625600915 +1918807293 +1628298003 +1890077856 +159510679 +1275832797 +2059109145 +1162579180 +1148054678 +1922584681 +1175390872 +1574179799 +731261015 +258678361 +1567197187 +2127881809 +1364960535 +2062433628 +1118882856 +301864435 +132540999 +534285417 +175792673 +2058793338 +1209146409 +151263504 +278988951 +1319926357 +1282137457 +763239370 +205062517 +1907738372 +534563015 +1833360520 +1650332581 +694073695 +961709669 +1561958078 +1856652875 +2109764347 +1337059111 +884560099 +1536460499 +2068320126 +1143238460 +956174038 +2048718288 +360715347 +871124018 +1020117496 +662579782 +1003665017 +1554402913 +838372455 +914974707 +616065675 +989635959 +1193963659 +1935992032 +124289769 +1957203029 +2141054549 +2032028141 +344282397 +1826931422 +1534877074 +1038356092 +641157443 +949351504 +747525319 +603438143 +138926968 +1632085419 +2139898642 +59763446 +627840231 +948589032 +2108481734 +988555579 +1819713050 +981115582 +1651135361 +675894420 +388034848 +342024169 +1590869127 +1004100523 +1331660128 +637349138 +792608907 +1455949897 +447068520 +786179809 +1340494391 +791350917 +465627583 +727887817 +1829707009 +1106785026 +1677239322 +429748680 +1710223169 +1816166290 +2061834099 +1702638163 +1875929736 +542190683 +503743547 +1836927823 +1530746262 +175972950 +670559757 +1034397975 +851867370 +1058594605 +1376422144 +295252849 +2062695128 +560598625 +932601988 +707820388 +2016548522 +1379670508 +1494000197 +1209559265 +23537777 +1959627780 +1937447083 +1853244786 +918929158 +1467202757 +135509818 +481668680 +1135885399 +49860270 +36823195 +864331487 +592050953 +540566743 +553775662 +2122797215 +716539693 +1224335420 +1009711542 +1568407063 +135446377 +238650039 +1863659912 +50657858 +799248664 +648778252 +758478246 +668313538 +2028448760 +104994795 +1877872804 +2051986537 +2064622575 +1667836239 +1757747675 +836068085 +987555348 +1893257494 +1317736765 +2123440747 +1943117764 +1354559961 +840288586 +387685069 +1895126704 +1394064249 +362998636 +464182749 +470916021 +1372710178 +2032589812 +606362398 +1611360217 +1748766076 +657020256 +263125233 +250060681 +1415498502 +931438772 +131025793 +1520493297 +661827928 +35528683 +1437632224 +182180519 +1793276358 +126216662 +1169735867 +1539050204 +1443953427 +1145692966 +1334684320 +651029740 +1985981552 +1722369389 +398672796 +1232562153 +2085368025 +862855545 +1703478174 +1310594556 +747961709 +162356925 +774471125 +349244138 +819377181 +1037596359 +599304819 +87392036 +1969035131 +730330612 +1607885333 +483379411 +765859295 +898033910 +665559930 +411652006 +1024250572 +1835295797 +1950702210 +320720351 +833505115 +1137902883 +971750092 +672003019 +712788624 +1370422888 +1904565173 +650673002 +85794786 +1460559699 +1961267558 +833756495 +1622916624 +588255035 +1183000633 +294810158 +1625851394 +1782305452 +382202194 +1447402877 +365152417 +1990087527 +1930782288 +1131011712 +740637789 +448858570 +1542663718 +1764888361 +136670719 +1345882281 +2085608713 +970175834 +336301516 +909875157 +1642178854 +1049090140 +132814397 +1399260379 +1699763142 +218609183 +712336430 +1513547052 +1052365679 +187769407 +2101802088 +87882664 +482579565 +1580169834 +1870188117 +864781759 +880089064 +87856886 +707385638 +663387704 +1218868598 +1448023428 +1112246275 +614048669 +1065428141 +1248916994 +1959930950 +1003553206 +71609181 +148748818 +1913428363 +1713788035 +1197838958 +2046242761 +965564766 +750118453 +117368296 +1677901196 +116181857 +1169733975 +1865670603 +70500297 +1257616640 +200766520 +1650670132 +980321109 +1065548279 +383275548 +1068177995 +1772933918 +1046663252 +139562945 +1073473698 +11425879 +753611614 +2138901839 +1260342874 +566058916 +994971398 +1331952055 +714807734 +760916113 +898256442 +1912646693 +659675226 +1863821208 +515281498 +777043523 +1394238756 +631463355 +1946777498 +1112425712 +701963653 +1056910490 +1313192232 +205150137 +2037231599 +231256864 +588425685 +957925946 +2004190782 +1635088937 +1097488892 +930180832 +1646514817 +1851100506 +921599023 +759374043 +269675775 +1916570421 +2091326098 +984483509 +530002887 +842098892 +749646554 +1189678113 +558436452 +1264928052 +1966721636 +1952675208 +1896391408 +1766015487 +917617272 +450871413 +675442329 +83325857 +656021550 +565190281 +314582721 +1244447235 +1523116227 +171289855 +732052524 +473121471 +1101470687 +231083693 +176738330 +2023069710 +990457736 +446414105 +1792156484 +934300186 +1430897614 +174675723 +1776399078 +33060521 +1364353836 +187351882 +1297988573 +1183591825 +2140027091 +1046896333 +802123664 +910160715 +1497767746 +1477565993 +993486572 +6305648 +2042756274 +1308069293 +1250752883 +1418388854 +1479359148 +1982805408 +1891510325 +433346187 +66405453 +2068248655 +308932250 +1056863190 +367179112 +2101088734 +1991163376 +1798076727 +128280809 +1620078807 +1831137248 +1492634645 +1807430689 +981642173 +528742822 +1799974132 +2028538507 +1330866486 +562651200 +1378822605 +660948832 +1556137772 +1385128254 +556221458 +716723418 +488397489 +1974610312 +48598918 +323719249 +1718636990 +481945106 +390124703 +1639401997 +790877356 +1446987893 +2006581110 +744482442 +1290667621 +1657174189 +872763251 +763262780 +1340827789 +217914248 +423209822 +174986314 +746657071 +75700306 +56041173 +2077523557 +638351506 +1434863779 +590988741 +47005631 +672508385 +1147210200 +763729049 +1160905874 +974336864 +812327967 +1484625124 +545490206 +1294273073 +1874749827 +37408556 +2085150429 +1174254072 +2043989666 +682149223 +317438045 +1553680207 +1554912474 +1080700826 +747024348 +1772826723 +1503910648 +922010662 +372000146 +1579610954 +978051836 +302040055 +70478813 +265431967 +893028797 +117484444 +937940352 +2040238997 +881213493 +2098846226 +867092213 +1693541460 +1435987702 +1412582420 +840330886 +1163253881 +1449990976 +777997667 +190024305 +1346496994 +1460146891 +507462351 +752693553 +867575717 +1588163177 +1499717901 +492918792 +944590177 +274244915 +864918938 +376717483 +1252296751 +1166958994 +447196296 +1517728718 +2059987791 +564680740 +308185422 +1952743140 +1445894233 +259548001 +672351705 +991952046 +1695535703 +2084934125 +1832282932 +711305937 +1387441453 +462796951 +901330242 +586454799 +1922943842 +1408792593 +1339148352 +643035912 +849472122 +691382605 +1135954704 +1794062299 +965627521 +2000873643 +23296135 +70440624 +1020348989 +470492431 +1588169343 +932853132 +1035173172 +1896354765 +738112624 +333583757 +8419118 +1410464329 +1325535803 +1703954822 +1347914807 +1010335087 +267777111 +587872612 +1473132039 +1169107353 +1174327412 +1248592233 +430416299 +365992116 +1891628145 +1279888421 +1057374722 +880099202 +926467073 +2023002243 +733489197 +949763208 +2093442867 +1753838186 +1420255639 +1534128562 +539207670 +307945163 +1282999680 +1277320294 +641528921 +1291418798 +540300975 +1967064724 +847889972 +1888215782 +829916164 +1115667083 +328604747 +155564555 +137290789 +1502932159 +1404156788 +567707088 +1868924275 +1148301286 +1847595509 +778815349 +2028400488 +626578934 +654333944 +614406037 +1576342142 +600293164 +220760575 +849114134 +2134421726 +759968245 +1157059297 +1269937758 +2037288539 +1798588218 +413872909 +430105866 +1618169295 +1261762881 +170838001 +300601811 +229946317 +499442748 +456166366 +367237106 +2002374907 +1860323154 +934944194 +1723815534 +861140792 +635056055 +355147236 +742057632 +1261634990 +1009481180 +1356463669 +690493484 +1609774344 +1577224244 +1539607618 +1596712423 +189708841 +549183268 +719166533 +79513732 +200287838 +1133039442 +509619599 +1818457133 +247318676 +680457600 +2119058944 +477264993 +1179900348 +427741662 +844502099 +1034791607 +140581169 +1779446293 +611123493 +1001721961 +267018700 +966270729 +1743779594 +1528653690 +1975751910 +952759615 +71663527 +1438042606 +382500212 +1611271145 +887271381 +572209053 +12970765 +1606437915 +651722786 +213258604 +591993709 +1161342385 +2031715737 +839312385 +1841799985 +2003291034 +1316577378 +874216685 +283549048 +13595829 +1909008292 +424130217 +1793042122 +372648137 +1425852179 +2060060823 +1338918867 +1022148125 +1441230865 +1167187129 +1974907740 +1512894392 +457746087 +209924304 +976681890 +1345017469 +782133358 +989652655 +803971736 +1433856144 +1202911259 +1395965445 +447714881 +1087143349 +87794183 +142031218 +942950735 +1404371561 +1016247903 +1226499783 +1417967391 +777772547 +1650630001 +1063525865 +1150420684 +928998532 +976103040 +341855903 +1951146657 +269850258 +1509043032 +1778570749 +1782744650 +1966789120 +1988495054 +611942892 +1164322941 +623144764 +1601595548 +1968294677 +2057000908 +657023159 +1216776474 +357232141 +1744166508 +1304570657 +499263359 +539633595 +561458571 +1515511262 +1766133379 +1979425962 +145800161 +1269279732 +895468179 +1296220845 +50794616 +1871571220 +1638076749 +2001941273 +2141421478 +999636133 +1633028374 +1776682480 +818941605 +1474039780 +241141725 +1983264546 +2097184544 +1842737273 +1804075575 +2006701804 +352276784 +873368402 +216450297 +2096443293 +30455411 +715713656 +488593240 +591913982 +83741270 +107242971 +423856296 +229541431 +1376522703 +1319324476 +1525762277 +1427317319 +1043412048 +1016355378 +1281774944 +1037349878 +2015991511 +767319671 +666548710 +687449469 +93875803 +907690435 +523230367 +43576700 +602944060 +179822295 +2050278504 +955220845 +1053190697 +119245154 +904180490 +1083646108 +834958810 +1392773730 +1675560091 +918700081 +1500016702 +2099416387 +1148241512 +729055757 +1271257215 +526520141 +8889429 +167185615 +1542875519 +1290664373 +1204535493 +1411383383 +2057984044 +1871084204 +2098832852 +4376200 +631290991 +474579571 +47952900 +1234235052 +654401866 +2098231404 +41972249 +1707592563 +69992910 +946152739 +643755024 +904951721 +191442821 +171831467 +1823651802 +1691459523 +123764206 +824409666 +273031633 +1395021422 +1350929808 +281921062 +1562207037 +746321679 +1572585435 +619258883 +10221414 +1483085832 +342859439 +2109054266 +1487462032 +974150430 +436150190 +1535414932 +60901834 +1090552056 +1486162688 +102874083 +650660972 +1556155599 +1049026822 +1294415996 +313623672 +1240469644 +1466247463 +2137275474 +784445519 +1590011669 +814201492 +1057477152 +837549443 +17647652 +1339398214 +252272833 +763969332 +764500002 +871531716 +774190746 +100102186 +1214391155 +735761365 +1587564218 +41057937 +1171911555 +975495502 +101959772 +114979963 +314174542 +204833855 +765640935 +1870330141 +1253860678 +2060056931 +36470165 +346846674 +1378820746 +26261991 +1131292193 +821348768 +840463484 +41285698 +1658898211 +858111136 +1380683912 +1911171044 +1622080468 +2145183914 +635219112 +248787567 +97802452 +1849610267 +984548932 +1685366670 +1890668205 +8976839 +513378524 +1992627977 +123956802 +827553067 +49978184 +889597738 +550399560 +1303838862 +802171021 +586869726 +1650685536 +33508120 +613131717 +634494082 +854856888 +1453595201 +675779780 +366271451 +164222690 +2056463692 +129958848 +1786303158 +2054163959 +765177960 +2035090725 +4482763 +467304580 +872156009 +1689849434 +210489137 +881132848 +55744310 +55633466 +1005089651 +883297377 +105611650 +1894687389 +1433696938 +1409450513 +549374762 +2020566664 +912652401 +582882882 +486214733 +1547146483 +1437739770 +1939809935 +75442615 +1804011222 +2104032625 +2131906308 +1933970070 +1742852135 +2038586619 +551664382 +1630459213 +2043069382 +1018968962 +355131574 +1585435168 +1229458099 +1236264423 +1641179479 +1285091565 +93870426 +376993208 +1390703216 +1988557815 +1810690146 +652670081 +390448929 +1683773162 +1565322482 +973331812 +22504248 +964985318 +263587934 +1962314183 +1040427933 +2067599156 +1918863160 +1024850593 +1854085578 +1514231647 +915953564 +258266313 +997207212 +811539299 +1277235275 +1352338787 +249490819 +359209727 +441119562 +1890670298 +1644301292 +534989988 +120179859 +887520860 +376064155 +1930870005 +1540190941 +766513084 +1467159520 +958029776 +1739844896 +1489663768 +1923015094 +2003432831 +1304494303 +815959379 +1923548339 +1075873815 +1840809973 +1630150270 +442621814 +609279889 +1888416583 +1439829027 +1420819188 +1018168210 +644684166 +1670310008 +1377377937 +1085803728 +1413496658 +874195582 +1620793716 +1533676517 +1761716442 +1996857871 +1317062875 +1154423736 +615887307 +636738747 +2112453512 +208248556 +2126402515 +1887984958 +64197739 +1283413170 +556460689 +1987746078 +211803337 +249787014 +1470412700 +654425151 +859066904 +1211345635 +2094254178 +132402444 +82030198 +591454696 +1802712452 +1459408135 +1677258424 +1068725463 +186120069 +1150568492 +454918332 +1947836512 +999942715 +1771981207 +954776600 +1615830023 +261236306 +919746464 +1824078579 +240155173 +660247774 +1888276318 +1523568343 +1216708463 +1728538748 +1735371680 +1466495478 +1051467801 +242313184 +178078734 +115329788 +189083714 +310481178 +197359986 +780538411 +2113193631 +1656768122 +310313187 +1034435446 +1842888191 +1460881680 +1489353778 +1643241055 +313340747 +1113851338 +450534007 +1929170770 +1375087644 +1370280471 +1605765701 +1615242818 +2030528245 +1346558371 +991327513 +1099753061 +927613472 +579215546 +418764891 +1979081273 +821528730 +596843625 +2094411061 +1010612444 +907324803 +144287400 +1791150855 +873034786 +1801055522 +2101464043 +1907470232 +1496460065 +1414862075 +1249340363 +992217473 +1728202822 +215708053 +1442751480 +1509889945 +1590795697 +665548304 +968171998 +1058554867 +548592901 +167246722 +2049882381 +1648345962 +1094860194 +481614279 +2067110853 +926457819 +1303143009 +516470830 +873385232 +166271805 +1423795634 +1017672632 +1957422661 +149346772 +671244506 +1911403056 +2056817005 +20220924 +1178781483 +1158673720 +1012438397 +759500657 +1374381773 +307706229 +121906954 +817693822 +973254533 +1090078953 +1876248690 +1521847435 +1257325675 +1778647423 +1022709749 +204702221 +112778054 +942336955 +1131160040 +1415921063 +1458807785 +2004545272 +1582192868 +735119771 +874734257 +1392131881 +884466544 +1545978763 +1156051289 +793799901 +1566199687 +187349124 +1952473621 +431154436 +946849782 +1179371746 +738860666 +1068756736 +1997065568 +1712115199 +11352041 +1725830610 +1086478986 +1268677716 +1356994385 +2109188736 +1473379937 +1469772439 +904042043 +457056329 +738209854 +215366180 +314117954 +172919075 +950485952 +1188852211 +1565050956 +1834952496 +587347326 +573618598 +481268749 +6063366 +760967722 +286258722 +437217802 +1707817504 +1465630468 +1176078468 +629090593 +1315212388 +740710020 +640442634 +893559351 +1827189006 +1909120351 +103070088 +1788894094 +1235016640 +1572842528 +545452489 +1692072970 +163568734 +760818670 +2006190924 +336487809 +1711304622 +1047559487 +1901538766 +1398773470 +1634906813 +327673716 +1880042219 +1640970179 +1088641438 +18817293 +2078187982 +648975295 +1484447761 +1106782802 +1278065888 +652176501 +1847492822 +1918508522 +1545735852 +1527198181 +1680145225 +1648805941 +1168608627 +767678218 +1074164821 +1714061117 +312267540 +1237733555 +327396139 +170974816 +1574221365 +2038700761 +1218534303 +1328276483 +1289990583 +705957468 +1655950199 +1022549154 +199444000 +597107989 +1041366447 +130148334 +1246083284 +378330560 +1236931136 +376665524 +1030507061 +936940311 +147690399 +428759266 +316654844 +1827835624 +2077565207 +1485263471 +448030194 +1004246380 +1051840940 +760297734 +94496287 +1379237079 +931272550 +1668717652 +1270454192 +2323205 +849510487 +412961127 +708280674 +357977038 +1435510281 +907724674 +955085028 +329393080 +1037873008 +53684664 +707723640 +127320496 +430350189 +1738230702 +1064260807 +578040588 +19506320 +1380915651 +258392564 +2097071527 +718695475 +706422759 +953834259 +1770536415 +1466720493 +1048330546 +1002289847 +250509396 +569564551 +125260391 +252832601 +1419075038 +538221519 +961113275 +1777052077 +1973731800 +1868837949 +584653457 +155641233 +759227309 +638338121 +863364873 +886547806 +1068688310 +454111927 +1950808613 +1646728898 +473618247 +1184240617 +1905121463 +423206126 +1902936092 +464060574 +1377040385 +1525988859 +1930781067 +277887284 +380795058 +33806815 +847451835 +506055450 +286639417 +119043225 +1044276969 +1247752692 +1896095302 +870525121 +969106994 +333265111 +1026166354 +1728334303 +971603233 +1889531228 +467398461 +2040291543 +196159507 +270723427 +1539536794 +669777755 +1454964044 +1297174609 +1092983881 +1210416488 +1761235183 +322540619 +588921699 +1544532602 +600427903 +969716758 +1578339418 +1447879738 +1475772208 +1864978835 +1566922963 +372565529 +965247879 +1315534618 +1243090650 +1934354873 +1648799729 +121773357 +1515205529 +472919314 +2011304585 +1982603990 +365727210 +59980444 +105843769 +1905264004 +729758199 +1560807813 +1054954965 +1822742081 +623740653 +668706500 +2145282700 +1212662353 +65755454 +598226955 +34895463 +1644094872 +2046106693 +1510667671 +1361590059 +1465546008 +1883233200 +179354291 +633596978 +978840202 +2113709164 +134913060 +1100613559 +1481431045 +607832374 +964434496 +1316551388 +973559584 +1024414941 +1422395157 +731339940 +1754173140 +835719323 +1786294905 +1429431573 +1459459976 +307517757 +1427230625 +524638681 +373273212 +2025457580 +559534144 +2017368084 +1924080625 +2070201815 +1231474496 +1242142986 +1805951367 +1410828787 +1875739964 +637307922 +1377054303 +2010653024 +1737921481 +711001701 +471001751 +554872330 +2027553089 +1444561335 +1579287271 +1302464598 +28417628 +1185976763 +2138183921 +1814712533 +467924689 +1450160250 +2122230291 +1895155314 +1974798931 +348019855 +1773129247 +386849428 +217904291 +1549726224 +309567595 +1449378787 +644385562 +2115518963 +712723926 +372641879 +605343237 +2089778230 +235811255 +195781070 +653296283 +706813006 +750653400 +533365724 +3890694 +182457023 +1835830322 +32308322 +1368433787 +1826530596 +1847020855 +1836358476 +1129207198 +1821767498 +1584030142 +956522481 +22303705 +1209675741 +1343371909 +240207997 +611918318 +1652939505 +1689586784 +1256303880 +1620974820 +254827063 +1628945759 +78834409 +197121645 +1864757015 +274615479 +850417928 +424086373 +1025268880 +1383783652 +427977067 +1207725903 +1072130326 +460285389 +428676042 +751177274 +159822597 +117550870 +1880384472 +1981590095 +1701581013 +689423306 +2003893801 +763773106 +2032795215 +96618150 +1375691424 +1538251072 +1786204934 +484511657 +1011742244 +2041031997 +2113457416 +1090576653 +90669994 +1830730783 +1365192133 +941087922 +107333509 +242977365 +177387926 +535310576 +1450703268 +1249518253 +995595966 +1879379311 +2000695527 +1155418563 +1996930181 +1733596352 +989525010 +1551027546 +275536010 +845935163 +167317005 +160847577 +942553313 +1543008429 +1699098650 +581274600 +2027520086 +563357246 +474822949 +1993493855 +1653933900 +565492944 +1676740990 +871642385 +1506580866 +1784074499 +1114619750 +1683968793 +171901428 +417839370 +786003398 +1167497394 +149735033 +639215277 +175432309 +2146665215 +225327981 +1164957319 +1550209113 +500863991 +2010892483 +1717526118 +661711569 +805962148 +1113050900 +213326571 +1387236748 +993087338 +776683817 +1862059698 +839097545 +283134069 +280068994 +368354888 +1154776454 +1786649860 +4945739 +121912556 +1323135005 +176847167 +539751927 +2109138403 +1344344561 +689486960 +600870033 +1519776870 +688668527 +826198014 +537250542 +91393993 +1327062006 +400659377 +1808920111 +1988773575 +1206621525 +774487363 +54616498 +446374626 +1767574702 +831300315 +160950676 +459188599 +1114434385 +441019670 +827543487 +121727191 +80185882 +832489227 +243639748 +1403320888 +1009336394 +783391675 +1364975643 +206197308 +1472878635 +1965845676 +1725974178 +14063515 +644560043 +115741072 +105457508 +1971622049 +516400449 +1914377619 +1812911976 +1723021975 +541381335 +1867528474 +21912953 +161472389 +551345141 +182863629 +620660988 +1665779526 +623883299 +1448204476 +1787506718 +704069181 +133210055 +2031146466 +2107390069 +1142546449 +667054493 +1324882065 +1348743757 +2139933128 +1143244093 +927234288 +6512995 +1787804136 +1042975360 +111970503 +1611942537 +1559375810 +2026348123 +1277370865 +1134914137 +420245810 +997415691 +1156827090 +581718199 +1548760833 +1339690719 +1202379187 +1067056711 +1963574018 +503100015 +707079781 +520159551 +636310070 +590742599 +480065973 +1778856520 +1257797092 +1804948038 +980116629 +1250246573 +800708483 +1907350917 +1256759568 +441028972 +802842630 +1368730072 +2052971509 +214734792 +1247594547 +1182858727 +1349648929 +1667840357 +32790770 +358992371 +102074908 +1581551603 +1698683090 +1304454095 +501124667 +1514773460 +1807554111 +1208204448 +2034933011 +296380533 +1798947048 +367515336 +2075237053 +909260492 +24979726 +907870035 +12023417 +825688210 +667737304 +1268782986 +1266717182 +1470579934 +490029410 +1172205043 +1685314726 +1737623957 +207580122 +887480007 +1257980666 +240370893 +1246472378 +1360055574 +1821922496 +797671820 +517026021 +175563515 +164961632 +177096484 +1383767964 +52410996 +473477018 +1035231364 +419926332 +401230423 +1944491856 +444906059 +1309100458 +1956515274 +1270594269 +1976837763 +1077814612 +389827803 +1299934049 +1567844022 +1562032846 +837765128 +1157984331 +1769612969 +1725245135 +268481349 +2009983862 +824233866 +1628536923 +1684422710 +1621905686 +2145562944 +1859986226 +1786867319 +175175781 +1096270542 +1839278315 +648652799 +2131501906 +111720999 +1049883222 +1928510114 +556627058 +211500033 +1737541740 +1827221327 +40854148 +667872704 +69565482 +1340788197 +88233078 +1631598329 +31069677 +1246217409 +1253727650 +1756314813 +1514698758 +1116227864 +433065031 +995752033 +653166926 +2054970717 +993831330 +365669504 +1694354388 +1169007111 +1461940046 +1386149055 +1817659910 +1445958304 +1497870055 +720059484 +1226984771 +2054497113 +931559517 +817042863 +1734234793 +972413665 +1484915568 +1803800275 +165718215 +1573148646 +1287914956 +196787892 +671882408 +394158958 +1953102705 +39097518 +1510386822 +238684088 +1034849552 +16070101 +146171158 +2028680882 +381739605 +1840525546 +1050204345 +1843679652 +1079190954 +720380607 +1142154308 +429577361 +1440440091 +221655431 +336590826 +224515961 +1038698295 +2070825619 +1196929626 +376130215 +1727142247 +1362647841 +1949278861 +867573555 +1559435734 +473677621 +1261732514 +1365054791 +512775140 +624635688 +1603738880 +1547624692 +640705789 +1749910038 +1428821926 +1022445395 +1442951936 +331542623 +718641399 +374659242 +1051923230 +1860795707 +804236603 +344879673 +2082451139 +1140827430 +569395634 +973665786 +1064169401 +1766325261 +1349796001 +643828000 +981489454 +1151591214 +1511401556 +393441540 +1625268836 +625650422 +1758496332 +2138043976 +1250286110 +1214751564 +1538185020 +1890991900 +817177954 +819523298 +765953647 +112646242 +1151065921 +1484595046 +487305485 +55505503 +1197907105 +1291542088 +400385176 +1132874596 +284885870 +969780811 +2106540382 +1349055272 +588622424 +1308852735 +1992883272 +1570111878 +312960302 +1356801180 +1963553419 +1938229138 +1982451602 +1574566103 +1928789466 +1085254065 +641834019 +1319490838 +828762317 +1459011973 +2139014136 +1594715964 +1571658215 +1142596409 +931827362 +2058963700 +1198101912 +2129734467 +1203022141 +1598487088 +1115125416 +1487908011 +420784251 +1074182150 +689479635 +1009406675 +235551238 +534879260 +432034906 +548511540 +1891680440 +248104677 +339257030 +1726648395 +1822670780 +120562848 +664418812 +317021151 +1440053686 +1493181129 +1776033124 +1431584174 +940413445 +1200207691 +426696935 +1872240807 +1111687744 +1624798847 +1854491626 +167226237 +1075802287 +822133394 +1655134248 +1496586539 +1896315545 +197130236 +358509566 +2131866783 +732009496 +790544472 +532894675 +476206288 +1038649149 +872151705 +55371035 +713836281 +992714553 +719789847 +1030857432 +285284591 +65487328 +659406908 +1716868765 +1005900773 +1859614600 +2143565700 +730657932 +823818696 +1620880899 +437665911 +991044933 +549199538 +1259799305 +498695533 +2045786077 +1008631202 +695825769 +256811996 +993014337 +1427835265 +1047356468 +1525909012 +1904041554 +2086005618 +250577069 +1959412589 +652358251 +1243291622 +531718789 +1683215684 +1528576213 +597206117 +195138944 +1097961330 +1603106891 +2054753544 +1094043382 +186281175 +731088592 +567440633 +623947086 +1722133525 +1116640172 +1883746392 +73345411 +1014942601 +744893946 +769171180 +1271754597 +1737908284 +49522798 +171627418 +1116333648 +1953564352 +110149388 +1366910718 +1765493293 +762507639 +462718692 +149728434 +298239675 +1991294906 +746934552 +493378620 +941772588 +202557795 +400648516 +2035815971 +388838970 +1131737109 +455772956 +1012786057 +706386986 +1572413128 +749048801 +779732397 +439872082 +1493942747 +1548903578 +1711626679 +1084367383 +1598426376 +1883254097 +53217384 +1404507080 +1993403485 +1420128102 +1022516725 +608427477 +1882846794 +1172245160 +906667152 +1726658052 +1919179712 +1400045772 +520946993 +2121737507 +1800694289 +409279316 +363092829 +784947750 +865052272 +1375878886 +1491334736 +289981753 +2124927687 +123583486 +729853835 +1471386787 +1672487064 +293996866 +408270522 +1123429792 +29767316 +461487906 +380453224 +2023170801 +1881616008 +1402969949 +484114630 +1616979155 +427731461 +1390781783 +1196153559 +199427525 +643343907 +1717100552 +173681384 +296554548 +2126379868 +536774214 +1081502298 +843948493 +1912653100 +425353387 +1133930246 +1890097140 +548936873 +1863784081 +1214000279 +73940289 +10297299 +1622270801 +1197370081 +40064615 +2083758708 +1577823305 +2063235417 +1817891068 +833309606 +399866399 +1287386575 +1261041068 +1790648182 +336056487 +1460468593 +286508442 +2053157039 +1634149978 +583062990 +2032053260 +23440544 +1664565289 +728518105 +1936093644 +2089918676 +1862448351 +1678707136 +491371901 +1578748784 +745223767 +565312190 +1589046083 +220010921 +1762682271 +1629110699 +156285981 +1193021928 +1544862468 +1974177049 +2026331534 +1944728867 +1114079977 +1139888954 +1587893402 +1450136464 +452873900 +1874401844 +1355809855 +2087023878 +309981186 +1240379467 +2110464422 +1974546475 +1968897572 +1899074418 +1916981503 +1683862275 +1430297907 +260869756 +1115127411 +28038026 +826181946 +556689847 +248048947 +441380569 +38316898 +404334928 +1634402497 +1583179366 +231028330 +1513250384 +1380424585 +1345108307 +505655690 +820834339 +647761123 +958529590 +547752535 +2003570978 +898069820 +857733722 +1096466798 +861050594 +684796549 +917880722 +612641365 +454294405 +454259350 +2042939272 +715164161 +1569386761 +2070977298 +1541346108 +2126076608 +171542598 +1982726677 +16909858 +575877526 +1469645527 +1600089224 +806905856 +835412263 +833030162 +4530515 +1341067953 +1653864501 +652291638 +152113896 +54133389 +508378969 +1050183716 +911867111 +1604845767 +1911234311 +1596663660 +375242841 +376392028 +2050958065 +829502191 +271847652 +618638579 +251405305 +195341302 +12501039 +229998265 +366883900 +1995227716 +246908124 +942761427 +1317389595 +1846997348 +1749667283 +5318210 +532543862 +1754197799 +1346386164 +38924716 +259005789 +1498500060 +93058105 +767384758 +401200128 +1004925216 +224746877 +164950791 +454105228 +599989719 +541342819 +357579646 +1429491910 +813190471 +976218225 +1680897215 +1008531774 +988719264 +1910895481 +1375415674 +836463332 +10319957 +170693453 +6369280 +1857317305 +1920360737 +11687490 +242377520 +1527074888 +1358073654 +281302236 +1786080677 +709090066 +374360341 +405981788 +1110290195 +1379285557 +630728665 +1275240986 +1833390785 +1230718384 +1816583806 +43486783 +512726647 +482290629 +1019705008 +46140214 +1490822403 +2008424272 +1957035695 +718754430 +697403957 +1967355652 +889447883 +703773237 +1677189310 +662324972 +715460727 +1919566830 +41916212 +2073534382 +53385418 +1827996890 +635140800 +427745759 +86495030 +1745430995 +1807031316 +717223695 +873188334 +1492938453 +1947942080 +542288492 +1536425237 +313185079 +1024579121 +408646597 +359325293 +367917877 +269587222 +168877341 +1086672307 +966991179 +2136232993 +1976120190 +1670764416 +1665938655 +490961515 +238741495 +1438021837 +532877727 +164792229 +1491407255 +213390969 +799933030 +1919153014 +299885999 +397880377 +1578700682 +1017109695 +1271068711 +924155488 +817568127 +1813357203 +313097077 +1130753206 +690452677 +721743674 +1490078499 +1058370554 +991330896 +1658955840 +2145042861 +1958322075 +1647705186 +1973679403 +1481602843 +1166160193 +317157270 +1720344339 +456698383 +850034998 +1885136568 +1948105638 +1063425967 +537585950 +1719775005 +1363311967 +935466328 +1150992039 +232938014 +59051391 +2075147527 +1050506141 +1872408595 +240760956 +33775699 +415377624 +962504631 +1523854198 +1473748178 +1953835527 +1035326391 +1471307391 +1764673955 +535547929 +1297503146 +1098793150 +1701708122 +1614660417 +671653841 +10922857 +317211767 +409306762 +1959028496 +1380637734 +946892712 +1531319853 +596466053 +1882359040 +534828244 +829404067 +1941410432 +462492124 +1879910208 +1666335379 +703253080 +1913685907 +2081713003 +1665757711 +1290056458 +1407977533 +1472109591 +177899201 +731801276 +1089299898 +713447130 +2029304422 +40609400 +267671604 +1496481191 +712263242 +278594462 +1813692958 +1121570004 +90139310 +1046847045 +2068462716 +1621459163 +1643313098 +1803338109 +8803759 +325233518 +1597264893 +471295883 +57660078 +1116116624 +1174548964 +1971345986 +1050345979 +692823027 +1113918796 +310839864 +17448970 +1291817997 +1042641140 +1106748868 +2005265127 +924461914 +1147358269 +125453083 +273459458 +1859621511 +404047545 +2087152416 +833707867 +494186855 +986515813 +754686935 +2115646018 +482345264 +410541396 +2124449778 +807578782 +2007806289 +448262013 +865238860 +976439265 +1622810977 +689101198 +2026785244 +168150357 +1803019994 +190141460 +185599327 +947354343 +1232782600 +1292348196 +805135822 +9760867 +292222817 +930588906 +283220325 +4360680 +1334636451 +222889093 +838068547 +1828823307 +1209404907 +1592755482 +1796985677 +1691750171 +2003296879 +1773951807 +351845305 +1863619520 +74730173 +1217084165 +692575138 +1697541150 +1906185364 +571876734 +1865691507 +1561721710 +762018195 +2051290835 +361592406 +1994800795 +1196155383 +1166728228 +2004561662 +1488378200 +2097317134 +140298339 +1492738880 +1284469938 +363187433 +183323779 +965809597 +1572592340 +1776079261 +615311626 +1116858863 +1631892492 +241779786 +1468704168 +1348028365 +316509959 +538304685 +2040603503 +2014051109 +297006401 +464996589 +1732258969 +1858728112 +1227014784 +1636066156 +72836870 +1074331932 +684737891 +1239565098 +931409946 +25632443 +1189398585 +1071708286 +1518371323 +326384875 +1434895719 +1701695102 +1292194472 +860004411 +1330290715 +1907506098 +1976863274 +814699560 +1802236 +1298083794 +15244277 +318312195 +1836388479 +2055847780 +184879657 +2133394881 +373360721 +1917138626 +1844639345 +1600375506 +1405721134 +1917476215 +527223790 +2090459025 +1009557665 +1458633736 +2116091468 +51472602 +382858374 +1486979143 +377857477 +1817754093 +1041190597 +1670051949 +530274856 +223997664 +1430074400 +359654482 +1038697224 +1431876636 +1657738276 +1053941501 +1750188832 +1346643108 +962305633 +1935068489 +1332554341 +1335666355 +1704723467 +1029710038 +788558213 +962960953 +799702605 +1315782003 +905936330 +1809260270 +626932091 +874544150 +1860732873 +1009790466 +214039645 +91106702 +680060911 +1255230242 +1761158652 +1210335768 +1479227906 +1043749404 +1569990250 +370441483 +328142392 +1080244879 +1424382984 +2078331224 +279404339 +239204970 +1865916065 +1611958680 +1574871325 +1423155884 +494185070 +215945890 +238633189 +1293887675 +1531727893 +1144569519 +955664297 +11176336 +2019113669 +668913522 +1020966802 +85669666 +760020225 +1701027714 +1340899908 +373695229 +763879834 +672644167 +1417444633 +186386436 +1043085650 +1745587025 +1266631315 +319984986 +1676434602 +1546035654 +559189956 +1394867019 +1010510686 +2134061281 +670539256 +1504695756 +202523523 +909172445 +651099783 +1734251416 +2053741965 +1606764081 +1745427753 +1925371986 +128193955 +618910907 +2011041653 +888214180 +172454973 +1204457913 +1261909409 +936334807 +1877102080 +531870394 +1122721244 +772704082 +129973772 +241868911 +1092689069 +1806408374 +1787904566 +1651879025 +1053791745 +650931604 +1638456659 +1724331001 +8143713 +1840980182 +486019799 +659243496 +1427747951 +392278116 +118523929 +1025692056 +170166454 +246717885 +1644602963 +33724459 +1134932065 +1817057937 +1238182373 +249357827 +605909096 +967800805 +781228221 +1728630340 +1740504888 +911201993 +1970499252 +685710309 +570126719 +1610920170 +190105686 +1623918465 +114368126 +1828562345 +1200765818 +122511839 +1522058880 +1686785617 +781755336 +802323183 +2079063733 +900279265 +1828015239 +101746540 +1146997150 +1325134554 +135470999 +134445568 +994708843 +1373653372 +383803395 +1600617940 +193970530 +1165031616 +1181764632 +1934475418 +2076233610 +1004780236 +472702079 +498876681 +468216758 +662807765 +2122795146 +582584885 +343886463 +1176077317 +705096724 +1865945343 +715379286 +1486852060 +520784878 +646959372 +239647678 +201316469 +748705912 +1386644828 +1526451023 +884176911 +1521090396 +373676219 +110346636 +1904893791 +1974294159 +304317166 +922441760 +1008575143 +91308936 +851191722 +2013355380 +564011015 +1350068403 +334088490 +1226818780 +1325379902 +916673375 +1570705243 +353973571 +1621770100 +1289166938 +1069352857 +961138512 +1809951816 +1716312229 +1200786190 +2011268285 +317534493 +439947371 +1390235661 +1201711405 +1961037767 +1763911880 +1312058041 +1718447911 +1590722391 +1616375207 +493406023 +451813886 +1707684143 +1344597745 +317685618 +124211510 +547182500 +651774109 +1351030290 +1872562402 +1568447484 +774251886 +79052325 +1042733936 +2063418824 +1148405183 +2003872449 +1725886993 +717233764 +1057174991 +1589671630 +1034768258 +1497122362 +832423643 +88996015 +1310676482 +448851875 +1401054056 +881640745 +2039574266 +869945615 +1375046768 +343904505 +430146110 +572160865 +661590123 +554357620 +1119343365 +1313364232 +1905387910 +844422120 +734328069 +532156148 +923474445 +1777062005 +448091325 +2071879628 +1633450806 +26494670 +641629745 +543142150 +1616166300 +1676398003 +2040264512 +301106296 +1765394018 +1203457346 +749958171 +1018964426 +2085098091 +642048790 +1888910041 +1312661211 +985953295 +171572503 +1884822076 +1647543418 +725930123 +856681794 +813424003 +483834385 +1701103914 +1547752072 +1015990534 +477094711 +1177330429 +1464081859 +401490692 +663297588 +1490576529 +1043120437 +1206439738 +959259181 +572034792 +1099220602 +1260365477 +189945162 +155194301 +2010323649 +1208909588 +92808744 +504888791 +950335981 +1405469956 +1490842086 +1121908484 +1142808384 +990901856 +1847838607 +1999490178 +1804325859 +184189344 +1553110444 +1204594283 +1200179878 +2030205156 +234441065 +516778089 +284212200 +897738653 +2007354618 +1327332637 +2104178391 +819130152 +1899367429 +1055915345 +2079495629 +2089312591 +1211109646 +1942335630 +1150738531 +1303918391 +299740773 +2101074512 +561904699 +1790582859 +1075499348 +1704713083 +634001068 +775854307 +1556719614 +290843279 +960043651 +962346410 +1495437563 +12739882 +845067918 +1729878628 +529517971 +1129280118 +480133633 +389388942 +309129107 +436828376 +1208519094 +61012888 +1492743721 +1140531075 +2841831 +556369720 +935383058 +1153580362 +1860288111 +1235123831 +1107171226 +274709162 +878223043 +35186926 +1979422245 +1512224111 +811041233 +1388658211 +1803067390 +1771084885 +203520974 +1151021305 +1783824767 +1048588892 +733416285 +165859090 +30385363 +1213549918 +555248032 +339514470 +1650378294 +1763767126 +400527359 +995638368 +756814554 +403369190 +1552008088 +1692197612 +1556949553 +1264812551 +779837795 +516637131 +1539521713 +1658060838 +551824058 +1371460310 +1022801301 +1362865291 +612634874 +678385044 +986466528 +816155848 +1829406349 +622807647 +1864744740 +415338987 +788666738 +1895130103 +1628888905 +1343914770 +87160926 +1131783552 +960198249 +487688285 +2127421920 +1717012803 +891057475 +1531946360 +1261726767 +300523380 +649275263 +2041564562 +817160512 +41313328 +1552141753 +1368984570 +1412773638 +427459406 +584366213 +2025408512 +1105844450 +1570832742 +694080712 +787767152 +46156741 +411341805 +1203106139 +834823479 +158988260 +684511396 +31254602 +246149186 +1816294948 +991452851 +733837471 +1796233220 +560982006 +1624894947 +1180695932 +1822708773 +1925418327 +1829971195 +1716789687 +595095191 +1871284523 +1121447792 +1964079761 +1136574514 +1548907199 +400962327 +1014499378 +507268001 +1971795069 +1708580091 +1295035153 +2017951810 +2119921896 +350657644 +705291642 +131426508 +1035169041 +736546244 +377575695 +703980341 +1727999095 +1111413166 +352729914 +141497453 +588824465 +1533425846 +1964206226 +366759145 +1215913394 +1533512265 +961854336 +939714269 +507476410 +778450450 +2076288783 +2056383609 +1179412777 +943304514 +416167962 +1003724198 +504400957 +1711203116 +874192360 +476839205 +2061860760 +1579484002 +608265713 +949546153 +168546598 +985841408 +1653526495 +1896545693 +2097254575 +2006256409 +2038043146 +538595392 +1392198607 +1854765724 +905354537 +460628353 +1240794342 +1867208874 +1400342623 +1748270752 +498175676 +1329147758 +1657170713 +1677588453 +124968624 +2073338675 +533829003 +629369581 +1637058143 +1408021363 +1106208786 +1551435256 +840021718 +1714474500 +353497761 +1008568316 +552832260 +2007024256 +757630362 +502603187 +1865797017 +648189860 +1041198580 +1110511977 +355471937 +1946553117 +1571140330 +1596266279 +1666278343 +823999305 +1197053383 +16970371 +5663416 +706740448 +1694558824 +130632040 +632595475 +80904179 +760001622 +122169971 +1488925543 +1866210408 +1673605227 +181463613 +1433201260 +2027102988 +1190031929 +1986033521 +1886643597 +1947662291 +341153060 +1604956966 +448368504 +1382351640 +567985295 +803840441 +1181421110 +2139125626 +252623072 +700215805 +815641283 +1449676455 +717186177 +821304699 +8933255 +264261353 +951936740 +641528730 +345165533 +1711938362 +763698701 +1834091076 +1430665122 +289820280 +2015554689 +716382735 +169439621 +1058102970 +554932608 +2056083218 +858281614 +896085668 +1513556536 +1306650118 +130953661 +2081541832 +2110490559 +1312374771 +2073183810 +215629983 +2012590576 +741341445 +1665306438 +582293105 +1562646145 +1674239693 +846554459 +367099237 +168284775 +1191719992 +2079037599 +931983477 +878327420 +1362219073 +1221803757 +746398461 +2078601808 +1391243378 +1804501431 +486050768 +1299842948 +515299397 +1382136437 +665915837 +1821949515 +1513090098 +599974021 +1784956426 +677981221 +525674183 +2000586409 +543088149 +1267015628 +1518409199 +1125381255 +682178125 +1045165244 +1971935714 +1049277362 +1213450020 +1016172058 +980831313 +2145433497 +1894499478 +195566739 +1219753606 +493414291 +126684899 +463513337 +150432074 +612735668 +1763356285 +665731472 +1994872105 +281788474 +340197339 +1360478555 +881762495 +2125153766 +2038459776 +1407436678 +1978256527 +434064277 +526968659 +1349182079 +1559445532 +1209146784 +246863675 +1383897598 +110940499 +1460313695 +252586008 +1091771812 +1458263544 +2147085486 +1287338551 +530533503 +493016129 +1414023451 +994046840 +643448204 +2026759119 +609919477 +1309179676 +1874147576 +891707952 +1649377015 +1087142483 +1773470447 +1627047133 +978118611 +1033423478 +1457820013 +1412182888 +1560392137 +659518444 +824144773 +622055273 +906382119 +60558723 +732995772 +219212167 +313144732 +1824767585 +1677475711 +312746570 +964622488 +60525566 +805762700 +231162291 +1054572406 +1449210904 +110437762 +1664491884 +610906932 +1984585338 +408716188 +112800299 +924244173 +34702987 +1739847433 +1902362784 +1068126465 +1050183798 +1167062025 +481034954 +1709702242 +1991206798 +1103090228 +468600713 +2051765521 +1836086000 +687812880 +217426605 +1513369937 +217804944 +530173176 +330508778 +278330510 +1335935876 +561671069 +1332902917 +637663132 +672108832 +849911153 +1248570064 +509210522 +1258627341 +1361370363 +1433454696 +1293330328 +953734148 +1188333832 +213973146 +2003917946 +207912209 +695008100 +1566136540 +51635359 +1798098328 +2034737254 +2103400881 +1486700681 +575066486 +173343838 +852586970 +792871430 +703517014 +1183095748 +1071201941 +2039452890 +1744766818 +256621210 +529632374 +269392002 +1106532363 +1778202438 +778602524 +217676056 +992089154 +64573572 +1511006384 +1945823302 +1252907405 +1724979530 +1802257601 +1460819614 +272503983 +1220910493 +1512454974 +2070602311 +1108164099 +1468372207 +1409819344 +1683230586 +1641716045 +114922667 +328618368 +197749412 +1298018415 +1399820309 +89718654 +895301585 +1656441519 +619351029 +1164693587 +615490234 +250069819 +1943296112 +833166290 +1242158973 +2007869684 +196689027 +1040498628 +1113293441 +1921668557 +695272581 +426629408 +46688892 +1916183074 +1939084382 +2117291204 +876863526 +1259972941 +1379626900 +412610464 +754205338 +1494549567 +741228832 +951954750 +645084335 +2141049142 +1041673405 +1540385920 +1650007013 +1661024434 +557595860 +118013600 +1911094253 +353408324 +951179890 +1005769579 +213794360 +1147868917 +2046268207 +1327087802 +922053827 +594057140 +1753717210 +968742719 +362756566 +1545317944 +938550275 +1239620092 +657807237 +170693528 +1652230556 +1412012575 +1665243095 +245975741 +216483678 +162843782 +239541235 +1258157083 +1703229703 +1889548248 +771697869 +113341915 +2007561848 +535308474 +466750239 +811258091 +1541078053 +680544599 +1959127008 +1439862612 +2007632401 +733697187 +2033919752 +1613865963 +1702439907 +249192671 +1011700259 +493506534 +1488812763 +1669507496 +664200062 +993559672 +934036424 +181959510 +1239535413 +1150520102 +344803292 +1479076648 +261193537 +2048032995 +1221141248 +1032891406 +13891262 +1081219449 +1568199880 +480641501 +1892477540 +961794286 +1161186101 +1704120900 +254173250 +1021334854 +290334440 +140609355 +487717170 +1992774347 +389802026 +1499417429 +338797233 +1878614789 +1021441278 +1002997296 +724690813 +1955477702 +1184956806 +1964226226 +958514156 +1529760098 +1295819226 +1219707693 +1430309446 +369476827 +105115451 +1444200708 +1450696276 +1673315331 +1924842210 +1195690168 +487625969 +938544663 +752327420 +741799220 +1959879517 +1042661860 +882408575 +300113039 +887952559 +1272210601 +1799530469 +1226749793 +1003341742 +673488099 +82263441 +1728032556 +481482153 +1267220247 +1544775134 +1439996309 +649496697 +693110713 +512220354 +2079806143 +1062587540 +617335805 +1376523204 +365800168 +143167488 +1153881766 +1561490336 +630793458 +2092426429 +166334108 +1372592678 +1904822298 +1208995969 +107517605 +57451690 +2096948528 +1379728206 +1856982159 +1176214673 +235586300 +382986610 +1258478114 +1963618856 +864468763 +378214713 +1360910343 +156981424 +1027711411 +2054021056 +669201778 +960033906 +969124948 +1286537583 +189073462 +1334925116 +1429705071 +1342955228 +748931804 +2060498529 +1287898009 +915265912 +1285607559 +1045236660 +2124261881 +1393125164 +1102688350 +2073726762 +625369722 +812186861 +1102457787 +860956023 +1195173471 +213452254 +677091231 +2059642234 +591666967 +2038001574 +69140010 +1619378378 +1944538982 +738341788 +431928637 +766180282 +2024879371 +621002099 +2101105398 +1307100794 +1963957328 +702553554 +1220115676 +1104371689 +1617819467 +358239587 +2124701 +1594597700 +1751364752 +1104813051 +1520840814 +229250826 +1916999912 +475814954 +1090206849 +964689735 +689267208 +1767298081 +876848321 +1280934175 +1657816007 +945988331 +752828906 +1454871342 +1684330119 +1184757543 +73567976 +1561725842 +1805759642 +27189727 +721342989 +1622233322 +729743281 +1941458665 +579121364 +200079100 +152214604 +581246065 +1794676801 +1903579356 +1686059117 +1168033967 +2132830183 +1455575381 +1643848921 +1075553384 +272781469 +185632481 +695367817 +1149629790 +1466566657 +205700177 +2095618122 +71911915 +1660571519 +1632464593 +1256669458 +1734139495 +1046706788 +914945452 +1761329222 +1768049777 +389695127 +343588856 +1562024794 +968816491 +543667956 +1714239398 +1550062556 +190861109 +1470335107 +1088638025 +1358895077 +1455681642 +396729759 +855260350 +383751378 +669511228 +1040892832 +1079119196 +1819141018 +359975841 +1284819373 +1767275492 +431887756 +797907244 +1252256438 +1688557214 +384563091 +151479578 +456019018 +2145892314 +1919529355 +845714145 +341997522 +1334070501 +1814530636 +885665478 +900826251 +1217109545 +1076526588 +223677710 +158263922 +287938017 +1679359352 +554993681 +1143198367 +2063110731 +1224504909 +36607551 +994746279 +896162280 +396583392 +132082004 +515954124 +828471148 +929989248 +1768210562 +369544714 +1314552339 +1919690140 +825563733 +1312961005 +1691735847 +1671277878 +1654958527 +878322700 +1338324867 +393140358 +1779148952 +407950764 +1469666946 +2002826662 +566214686 +1757604963 +1534702367 +1121208368 +753319682 +1450329450 +198229629 +789927234 +297592081 +1094391909 +1186510626 +429674085 +1610346034 +2014981775 +1359663333 +1231072948 +237042841 +526732024 +1003279441 +1062606574 +1839693030 +547531640 +586400805 +1347167909 +1425854341 +1924725672 +1740308267 +1057519645 +185192788 +1062491565 +912862659 +751407474 +672612880 +300081378 +1872615842 +1425932563 +1750410828 +2070845472 +68376149 +2048002909 +1017753733 +1254886775 +330193346 +480616119 +1122384902 +1689856679 +1711689068 +1359427744 +69105056 +567484861 +274550670 +1908798086 +1115016501 +860951475 +1108482347 +393387194 +638193499 +701306967 +1450906839 +823386287 +1763798532 +216285851 +1574793762 +288927765 +516367229 +1299925956 +1714860328 +119294410 +1223287780 +1783236477 +19813671 +93557866 +890639604 +350007018 +574173985 +2013024507 +2039863697 +138379405 +1224968603 +2108968753 +705864266 +1499519273 +1870283191 +1820880768 +212987101 +831281891 +66784314 +851180600 +1532588858 +1517691154 +1674566888 +1148903742 +1733977005 +1101877002 +1437831507 +102860586 +254319310 +1005208187 +222154996 +1477607091 +640961016 +241968668 +1571164957 +1531600621 +591975686 +2145338942 +1397141480 +484355735 +136234700 +474626435 +445840841 +842098966 +1974145708 +168640384 +515496086 +39649161 +999922275 +582280401 +890829762 +385027485 +2099971555 +417913002 +1533931228 +1686464912 +1519790004 +824279087 +1789325498 +1774109314 +1829487275 +2011480495 +1104232757 +322964643 +105965515 +527914066 +1854565264 +697941201 +525769361 +1104223096 +1182296936 +662004061 +1578849531 +1628137777 +1504103027 +1405511592 +1796778162 +2019599114 +1445160753 +649216789 +454395867 +188506867 +1034244275 +406883774 +606419869 +420691855 +2093348686 +2126209873 +1244970942 +1735190536 +1752835540 +926974569 +1599187383 +709584649 +1249939213 +1705152898 +1237498716 +957020829 +255610451 +1763268077 +2061243926 +1437907388 +277788490 +1492609809 +918561517 +1781891517 +750637753 +567856031 +1654006983 +48314859 +1217072821 +2108402850 +236821726 +103833448 +367802976 +843241596 +524525303 +313668014 +821967821 +1769496245 +2048858551 +427319713 +548987167 +1500562286 +1136904363 +1798926380 +1058231537 +226919431 +608463561 +1313841988 +1990187508 +522223839 +604265728 +120492350 +2014833649 +1522827246 +1902383867 +617987754 +2090683277 +1408907203 +666302613 +1160272450 +1369826405 +903124340 +1264105898 +1737629382 +1746365936 +1788631201 +2051297396 +420850109 +1410643799 +1952672299 +848169823 +1959630966 +1305750938 +1985074186 +1611073698 +216498827 +64509969 +72053611 +1530340815 +2054697477 +594277451 +2134606544 +27706179 +461627452 +1509950142 +1930090046 +1079615206 +1453149771 +1191513601 +1745917820 +465938574 +413856359 +501558512 +1730044472 +4002093 +100440800 +1371192026 +2055299489 +521290909 +634352177 +1860488141 +1369460732 +446499495 +1018755431 +1207051270 +2057573193 +1235254258 +1271561239 +2129626804 +618111425 +1178775068 +576420607 +605234321 +1206481247 +1038048059 +2115184463 +989087646 +2117663266 +1420850587 +33117599 +1716097438 +1886789161 +446973958 +70172302 +1469349985 +450976051 +170613102 +693058363 +358791893 +691904011 +1327410540 +71796386 +2061364744 +1773910035 +1090551817 +1120932366 +1683999580 +178322427 +245009958 +1666142737 +796433852 +1423785026 +95079696 +1401668174 +482782626 +1133127756 +1369368989 +1471870272 +1103307374 +642735928 +1504987871 +671921164 +382041441 +1951961830 +742093466 +1851391427 +255454233 +912706568 +396966142 +614246126 +1604610579 +1724376683 +686042512 +1518491675 +1350803070 +1776594329 +491940394 +887319003 +1954916756 +736950352 +405978092 +603866961 +13251730 +501057788 +2005535135 +496034356 +1634185544 +1227420476 +1967904628 +590009270 +1870156405 +1325408852 +1261930434 +104714198 +1129887034 +2004023900 +1956105625 +1385341267 +769246820 +205588120 +1999587394 +226373752 +1929964803 +538146258 +1744865427 +1133284225 +167256940 +89322173 +2020603228 +2122173696 +826272525 +279097672 +578557009 +839524256 +780155461 +436608496 +1335558612 +266857357 +1664028973 +1155979593 +856866628 +1386701730 +333904797 +2118797062 +1491415928 +1463791831 +1975337315 +1300037906 +701649450 +597100487 +1505626026 +553753196 +823474239 +1288107181 +1091899455 +420856019 +273907758 +1259156395 +510178192 +147027339 +1233846443 +1336450718 +426125011 +1812403453 +28491326 +1206280472 +101528301 +1364049938 +1473137830 +1765557274 +372545883 +182520810 +1004775356 +706450680 +153834224 +348707637 +22758863 +2129171539 +1648745543 +724408314 +578788379 +1006887921 +1278161510 +1402262618 +147511454 +222577317 +1823118637 +421419212 +1481733712 +185813182 +568446551 +568096508 +1522263900 +994571563 +233016313 +1550755226 +53368387 +334544614 +767321516 +1526506217 +2100101889 +1139867400 +1709027027 +957393597 +1846318080 +1862861252 +1306101234 +1869076944 +1844549143 +807363129 +446001610 +275853874 +1814251050 +1724163120 +1678116493 +1961762504 +1946740438 +1353751482 +235698069 +1280990502 +1539564664 +804144620 +1849087010 +914344916 +1798716183 +2082103323 +317616494 +1852084571 +269164290 +1084938011 +1231107140 +221782531 +77321763 +792650520 +1179176128 +1923639843 +508028124 +337793715 +1645233139 +205093619 +1145156844 +2091234749 +480947494 +811924247 +1667914222 +11580339 +626203103 +1467171012 +1365331821 +861901172 +600677866 +757412838 +1666045793 +302281229 +1671757754 +1317278328 +236900904 +1989374249 +1021879251 +506065194 +926828612 +105502744 +727847725 +1004150375 +898153264 +1907023854 +780306570 +1406181388 +97333921 +278056062 +1611275007 +1242490765 +221807163 +2092222501 +2054415012 +1889721385 +2103802840 +533134468 +1209408749 +1321651014 +1395035640 +1810086616 +2079063852 +913597785 +2112367845 +1603337958 +83392466 +201785101 +1445228559 +1105271717 +707850296 +224573523 +1210774461 +1435698021 +1228723898 +2108927725 +1195238227 +2009030469 +1367625465 +1292572148 +139602883 +831416825 +387579266 +361410046 +776155678 +294510630 +103647784 +732474871 +827645098 +1313056533 +2054125885 +75197091 +975659501 +1985706089 +988794876 +940543698 +1441560399 +1072187342 +1142328800 +739305311 +29975412 +1850179096 +963878834 +1240749873 +1138393469 +45119085 +1202193951 +186148049 +2054149554 +422335768 +1478720197 +46268789 +1253752593 +1866299463 +407678835 +2029908272 +13326446 +511326619 +614899495 +840971544 +1824383153 +521541732 +916168635 +652559006 +359764173 +1904963512 +1593102705 +1801324572 +829667206 +587947857 +393146235 +859642618 +290643305 +1357025070 +2100392492 +1429036774 +1402144155 +1155102795 +1615184823 +1308810061 +1577438563 +946421373 +1355078850 +683707509 +665237188 +1762757685 +566132133 +678563634 +126600657 +1181031628 +1519535179 +1950983810 +1702573360 +288220166 +456059168 +2062337533 +45700030 +2049161873 +1716178457 +875367237 +489626082 +2109324693 +1735009855 +780269387 +1318866115 +1687918699 +61822514 +573526622 +695537846 +1677007337 +1882336683 +125492762 +475945062 +1089931885 +809200271 +1141182251 +705205922 +1375332404 +1819745885 +831806579 +408880384 +1191797416 +635306741 +2111453744 +1480017583 +1091365910 +2026307629 +1525717613 +993044135 +1595002438 +253601202 +1482670218 +1556843483 +1988611058 +115455957 +728225950 +1529046109 +177278471 +1301752572 +77100308 +1854285809 +1036605607 +202593070 +182747223 +2126537492 +1011793341 +1323929474 +684259767 +239642097 +996191712 +1516066346 +648522481 +40505480 +3889440 +612492577 +1520523063 +1095255350 +491316558 +898757029 +2088299485 +2086318996 +1152358231 +1423486055 +1495678832 +993485641 +1538942013 +76421134 +375048103 +1716220484 +1378173707 +452148411 +1423022645 +267295666 +654741481 +1605769869 +246349511 +1666534822 +782215695 +930609278 +1906176919 +1778407407 +299191976 +407215752 +1818912888 +303081416 +1019708329 +1191952303 +1398336766 +1511024887 +2090709332 +1339152604 +1449860235 +1095583916 +615155011 +798055419 +2089069557 +6613376 +874476554 +316634012 +1722833861 +105166613 +768782423 +998372858 +372462279 +1423523904 +456659079 +618811790 +942575078 +1238874775 +1549421068 +701268349 +869798534 +1848613045 +1108484101 +541227774 +4210813 +2128192430 +1733180078 +1402547580 +1491733669 +1676405762 +594216536 +794110257 +624506030 +1209371547 +1592165676 +566091940 +1215984924 +319158582 +882725952 +791335137 +424325195 +1651508376 +1789707995 +796787475 +927548632 +98883427 +1415599265 +1870123711 +1337758202 +817536686 +423908412 +60073088 +518666083 +1532392514 +601300863 +522876896 +1513101296 +186997293 +1925424476 +857351318 +1863403055 +372157364 +1651461575 +340425438 +1581528912 +1096143603 +906517378 +650030188 +1415302186 +1789243330 +1441365325 +1839627381 +1293268058 +1083589672 +488931208 +73333043 +1182473099 +1904530474 +1943456754 +372747653 +574583512 +219881518 +432820742 +1093249595 +1752274032 +1034121605 +1616126491 +1117891681 +1221118898 +1394067320 +1975242999 +937038305 +1766224684 +1479220926 +1277463743 +1200269948 +427880881 +36497473 +1850300136 +1843183067 +1825740804 +1144181813 +1535326801 +971525214 +80287838 +2024258009 +1044858257 +1262760937 +1781304835 +840831363 +1635508591 +208404699 +1060712882 +2068329333 +1301654294 +665503266 +954967290 +770297138 +1783394947 +28602540 +16880810 +1611154298 +965640845 +1783105494 +942891576 +95620941 +835891795 +1370772458 +132118414 +538708283 +1066471877 +1957859218 +1682890097 +454315030 +781900785 +1763177935 +331089392 +1826759042 +878455224 +2112394227 +520106758 +366480167 +173315279 +1580819640 +287325852 +1474969573 +98839258 +1242293142 +97783063 +1882234206 +1270895682 +114663873 +1345904856 +89052880 +1897769368 +141312785 +184673821 +586177515 +1512085243 +316792235 +1124885798 +431073472 +127167806 +660292247 +885388503 +909068591 +275986534 +1216477895 +588343985 +1154441759 +1181388474 +1108450743 +1520921926 +1354703753 +541786735 +1808247779 +682189679 +640625994 +903057273 +779972742 +375376552 +26469308 +894636616 +1721281408 +115522188 +644922336 +1862594193 +300196009 +1231099851 +1227195788 +616988244 +208502001 +1658269261 +744156050 +868794249 +396174116 +1653224641 +1144780783 +1612652011 +94084979 +151738894 +646556837 +1202535722 +1672660821 +2001260591 +1744322458 +1333424952 +535966622 +237464804 +88998577 +1315939364 +612841356 +115467885 +63092332 +186639116 +230990073 +708014668 +2049233310 +531186082 +1939114519 +1128945450 +1148174327 +132873 +639731063 +1892330377 +868927122 +1035905179 +1398071371 +2013707905 +501073542 +1492156350 +17963152 +1147630380 +547208424 +1690623973 +1001407323 +144047234 +876565277 +1537373945 +381512038 +965563854 +705829661 +994353394 +1081031740 +768921994 +1180992511 +1312021813 +1476936662 +1082742173 +1843207896 +1268567534 +64203975 +843898575 +1268700407 +703935039 +588745304 +2137627529 +1739840218 +1986816675 +2003851786 +93430113 +1331489377 +2021814938 +1241060493 +1878697802 +1564955263 +94984168 +2022745036 +294036892 +1632358113 +256773427 +1259600747 +190704126 +1251126821 +193148839 +959626120 +284635684 +1505170652 +289079135 +1367377857 +1200894900 +1557646669 +1431581833 +2044793475 +678863428 +2135516872 +486055132 +669007309 +1727873442 +325388159 +525375447 +1821303555 +1656877537 +399706738 +914880400 +1388091691 +1964662001 +1009864568 +1263353079 +111215246 +494739033 +1520126506 +1370815993 +685443160 +623769680 +1563964832 +1645069280 +908405364 +921651836 +1934148415 +128299574 +2122546737 +1344311436 +1559881407 +2019856564 +2023174864 +1547914631 +358428048 +544698525 +1128304425 +683816208 +1070073973 +802124333 +193210097 +1469780711 +1717004733 +1581301788 +1286959064 +579385654 +697171219 +1398174310 +1074124687 +69814078 +621506655 +1759567847 +693583758 +37987839 +1257153480 +1601989122 +959639676 +1043818247 +1730288696 +934702765 +240646036 +1142686455 +807075681 +116337252 +543117438 +1165503730 +661035778 +1671421864 +1849319938 +1731109751 +326062549 +2042530035 +1053406814 +2043067282 +1476348175 +192882230 +474969288 +26035746 +1591056541 +1549093976 +95849824 +65079548 +1161178175 +789433582 +103067388 +270848007 +243939057 +1062707064 +1314666255 +1974227753 +1997409829 +1555312291 +969430561 +657001862 +1671649543 +1512547999 +1822505592 +185201673 +1036486215 +1524341882 +1916311424 +1362548764 +1419388269 +822234590 +1258132399 +748252796 +1015116821 +1733101687 +774288543 +458689714 +1134712015 +870138367 +523769262 +148406543 +1659571950 +626836650 +419254550 +1903511007 +1689543714 +1733920805 +1730255112 +1539469895 +1141749448 +552202025 +48988110 +665915344 +2064750025 +1871493702 +851117017 +953752592 +1248351937 +619944794 +168817709 +520256558 +1442179384 +1426950108 +1268509355 +309812557 +1012568147 +2042797898 +768502271 +2147280163 +765452617 +1292271534 +148203058 +277540919 +1919108184 +567457608 +33568278 +1461168251 +153894766 +1763823391 +853154498 +1295644214 +168541768 +902142608 +1961559558 +85808145 +626152663 +665192928 +1039560738 +1874504600 +1285137722 +1208378447 +247277510 +579833458 +487844907 +1515786865 +889646016 +1500413054 +1411101115 +1658148287 +1500209569 +29070085 +802936173 +1648412627 +306611004 +574560710 +68386588 +340179283 +2035728961 +222281354 +2104002674 +741399811 +1517925568 +125060794 +1643542420 +1332001479 +210868940 +122211435 +1997194407 +1250429678 +1996716035 +1134848481 +311324477 +96509897 +1714681939 +799169384 +1612296763 +456844307 +152098790 +875914230 +2114992595 +1652308360 +904984315 +770445120 +1153237339 +1211595320 +1345005830 +1221623927 +1551774603 +1233251143 +1443905281 +1508293629 +1974650955 +814347202 +1633354423 +1470709727 +2146348681 +1844223363 +1592921162 +1996059440 +947169393 +1442153549 +983424273 +1258493870 +1538663446 +550622564 +2057663254 +1003476561 +1007466872 +62278397 +1879390792 +974975819 +1714586757 +636891459 +1745420939 +720340448 +1848486779 +942943122 +1941964376 +1252777734 +28710617 +1238386009 +613587715 +2003361572 +2052733211 +99458491 +1326587651 +2051598244 +1943681854 +772025165 +1900174036 +743367600 +66695066 +736114661 +2001861470 +1605358513 +1286737226 +1912041077 +461351426 +146720450 +1974319474 +193258570 +1121696269 +1541422583 +830150030 +719633560 +114279383 +531153161 +1662576682 +2056243759 +1783930896 +1691287300 +1147146121 +250034963 +1547165224 +1052395684 +349493454 +726269228 +956510281 +145691661 +1498294393 +709200669 +889059261 +1564989460 +1445315331 +743437083 +1022864325 +584568909 +507994512 +1484215751 +731289359 +334830338 +1677474322 +1852985628 +1876252921 +360140704 +425135540 +1990532305 +891293865 +2087712223 +1899292416 +527741113 +1631515875 +898954889 +777776077 +1031197451 +1951350574 +1127269531 +1757466679 +760377207 +1272961192 +1108277425 +1469577876 +14536805 +525783237 +767409559 +757973889 +1548647562 +1351978468 +1265968401 +885379665 +2083267827 +1600798740 +415370339 +1788769807 +1329568013 +775511043 +66421700 +1172616670 +1666804909 +6650275 +924425439 +47062374 +1638166150 +1823380328 +824838451 +521879953 +1627247254 +1952107983 +131862985 +240140813 +1077585527 +1240140410 +1709718690 +1092122333 +1765923647 +329644601 +1850096222 +1167087561 +1681623070 +968580975 +2052467226 +1617407249 +421896067 +320353918 +1258693409 +1751464081 +1095864961 +1325115109 +776597103 +615186222 +1331765384 +1701022542 +662248597 +822447886 +1376919223 +1487087048 +1344327839 +856682829 +1291711383 +1476190824 +1096823643 +221813263 +568847586 +659058685 +1313935596 +187287585 +988703286 +1016548170 +1354375146 +522842708 +1985129145 +1259358725 +2140249958 +259541565 +1579712643 +1251459719 +2011005646 +528093956 +429091180 +640119101 +1143280179 +1760856564 +193657996 +1805528776 +435820802 +1570577219 +1145132176 +1780148641 +279776400 +289359912 +1108855818 +1376600043 +511173175 +1677703404 +2035658728 +1825108771 +1864990990 +876878367 +694173293 +1071882488 +1399721075 +531818790 +183757565 +1392487385 +791360355 +1763470208 +496463456 +654882353 +144080517 +925554636 +1295001455 +1287360696 +538927552 +1488659451 +945405824 +974748354 +911753022 +2090538000 +607413348 +1191529422 +232414264 +1716269166 +420645818 +743587439 +1246488922 +308820898 +421212562 +963996264 +1185699265 +1115385855 +2035878753 +437936693 +1647204646 +72152670 +1830424078 +291081353 +1835622879 +179403887 +945963707 +1979703396 +1104958523 +93481514 +1119580444 +1643886076 +1582140965 +2064986268 +471150782 +346410339 +2008040620 +1078564130 +1537939761 +92971237 +647349648 +1958585579 +836558676 +1893838571 +119922830 +1257771239 +710351187 +1305622095 +225673446 +598746292 +1743558788 +1872878092 +670898963 +1426499219 +16475798 +359038194 +1605903106 +962439505 +191257942 +563377981 +1055921019 +1310838386 +59780409 +490578336 +1228341006 +530931192 +836988675 +1088897978 +1609495322 +227444788 +1181869215 +109361323 +38546720 +2018427892 +2003199894 +158469550 +1128715483 +566067433 +1464091645 +1354388929 +1164813726 +1060166786 +1079783374 +1835712689 +339182357 +1096259172 +47267235 +1945085463 +2058698677 +238525177 +360979796 +967136048 +1549363563 +420760206 +1457714384 +630220921 +951691398 +147219411 +1719118899 +413703072 +374664199 +753504467 +523064395 +413210919 +624448711 +378780641 +571680469 +1753164194 +944848075 +2035772115 +960069475 +2109661801 +948455253 +2039852849 +1797890842 +1287637610 +988628373 +1845158077 +1085239425 +899843402 +2083683254 +1446219221 +1866979450 +1485563169 +1866979427 +1177210186 +2115784090 +671187177 +1324429597 +1687419341 +1084890250 +1699093797 +293440160 +1607954645 +2112304716 +917888871 +1986735287 +536501538 +523569417 +784099714 +424790005 +1483638893 +746277867 +1373245258 +1376008094 +396685061 +513399220 +217152820 +94359490 +1598638645 +1116996222 +30559096 +897374218 +836492025 +1516122265 +616869998 +2013702211 +1484422707 +1288057175 +1190648161 +1024358400 +225463777 +742258310 +1317798561 +1833418423 +707079378 +88203784 +1672670062 +1243580916 +611773202 +309286128 +1668370921 +2095412095 +1055563995 +894132531 +1323936541 +1452249056 +1407531751 +1541089361 +1546608546 +858686748 +510601936 +1577167642 +1756060967 +1347093961 +945806259 +225447317 +1213312524 +282745318 +1513504492 +256477037 +1307103718 +1738968270 +998735347 +477418631 +1424903045 +1705814726 +565622416 +950089459 +801911994 +1177395618 +1259375587 +322799268 +1125324065 +167455934 +1216931799 +301776958 +1619704990 +476979903 +1842866320 +1018829888 +1335666651 +205984608 +448513882 +944243970 +1553078569 +1394320141 +1169691287 +618907445 +1677065459 +535712132 +875384483 +836685529 +127196754 +1874119830 +1314104161 +1552099799 +1432450908 +1879726577 +354705610 +86879255 +909638547 +1614081197 +409678523 +2034962612 +1781537131 +1626610322 +189255922 +1253758473 +2103590225 +2032122242 +125104713 +1291773229 +90623202 +573618595 +88533551 +1643701771 +1967938736 +1258224839 +115125569 +1497520547 +1793936971 +990510052 +186722428 +1921133725 +717146234 +1500826589 +1325749876 +2113495 +1233069518 +1680455486 +88992750 +2142708065 +1147053035 +498671273 +2030187029 +781106518 +2125281595 +71959304 +2034864991 +2081388173 +2104081546 +12486056 +1225677754 +47221101 +586104651 +1314211305 +1690922872 +406559739 +424952496 +1806048441 +1904080286 +71405819 +649074845 +2090802714 +1992539544 +1366221080 +1444145656 +1170805772 +1368334575 +529731526 +703777610 +1457327325 +524955944 +1850830645 +1955998598 +407659325 +484453515 +1933796545 +479618629 +371834858 +1867701070 +436216528 +384320914 +945895176 +483437629 +970425565 +112622834 +26876853 +1376985304 +537575330 +1832925295 +1133581942 +608981150 +334516492 +1076901009 +454037046 +1700737572 +373563017 +1624842819 +921588499 +903294543 +181136781 +231432176 +1428250487 +2031967427 +39947126 +1835909813 +368937294 +1973743672 +168044794 +740772153 +1693961094 +604261322 +1125093067 +492372623 +1087698951 +2095518633 +604995457 +1114575805 +1325020289 +1142570787 +800017452 +311118584 +1751551937 +1134533944 +1388019593 +58105336 +687787869 +1761582610 +1682948155 +1609376368 +517393505 +1864084936 +1840808545 +1945643993 +1748568715 +1880755671 +1634070158 +2117506010 +1707015695 +1802114952 +710794515 +1253493142 +258892627 +1835887582 +1745865765 +1346591578 +1783922567 +203377574 +313683735 +961459209 +1345948361 +1113701187 +1272577793 +950016651 +100751484 +513113738 +1008121987 +788539353 +127212700 +543586494 +250432073 +644606205 +260187782 +2091240618 +442766550 +2008756498 +1824512642 +2076836708 +1978778860 +1384044689 +1731468013 +542089727 +490054183 +1990360640 +230493661 +88436300 +1189468570 +2014416229 +291813874 +1503152306 +828391790 +1637762236 +469369845 +2100969583 +440295239 +570121329 +466599673 +1448417226 +1358660682 +593812373 +1992003720 +1609092756 +1238418578 +104707854 +1552849726 +1681185129 +2113464352 +1229878720 +1610538189 +1944759564 +466439762 +1194522554 +339365643 +956493945 +1037399546 +569859305 +1044930246 +79384469 +436791886 +1336744120 +1582536775 +1265183676 +827022708 +2051906620 +1218669611 +1267317947 +474544302 +1685269284 +568251525 +1833204984 +131598009 +412771597 +1294814092 +1370016587 +517479452 +700180171 +903718068 +483460156 +1930058891 +366772610 +280736073 +249015005 +1561295164 +620101716 +1205508951 +451211063 +1189961021 +102955549 +530595532 +1626752907 +1439699669 +2113132307 +744452935 +119238730 +2017555279 +1963122546 +1386556677 +344615933 +1500908182 +1954808203 +30337270 +1632506191 +220096152 +1325151362 +855039131 +737575604 +2025331533 +1758757199 +1221035761 +1807906777 +2125529809 +1501771834 +2056921782 +1539341326 +2121873550 +1114947085 +1990552389 +1164350924 +1217902634 +373664273 +643620183 +510118656 +339312932 +1388073119 +629357386 +209384563 +1203712017 +2015914063 +554000497 +557136552 +1823238618 +584337767 +42159095 +2043334771 +1909489129 +897198226 +633426727 +1787337015 +508471778 +1854462488 +1447760144 +486517939 +1208750674 +1357198278 +2025859265 +1183140577 +324661716 +1868928006 +200007853 +1542564350 +95108631 +843628036 +2052683006 +434421563 +84217507 +534556744 +643806127 +1287929525 +402987160 +1197806624 +1845066077 +78742130 +1782144391 +1887225172 +2122076901 +1544149872 +636939751 +608019981 +1184003239 +1145411529 +314998821 +484279735 +1631929468 +1523749496 +1841478014 +1510305086 +559406425 +18656082 +1231749444 +759414278 +1561220432 +1326858076 +1603042314 +1466419791 +1761279639 +1687259822 +2000976535 +257602118 +827705699 +256480047 +1455408742 +525288128 +335222178 +1090069485 +265029652 +309815431 +486735710 +901969403 +917835412 +1670738949 +2047380932 +1232834234 +7535037 +1531826753 +609100082 +1849013051 +894648191 +1168506507 +1867669133 +2126397635 +1927920785 +1281405917 +1305772063 +1383479451 +600342060 +919568055 +923255625 +453834948 +1177170173 +1750961324 +710314995 +485095268 +128765804 +1045537173 +1575164753 +393795457 +1355352605 +2061900463 +1295764860 +125704369 +1585155765 +1195662145 +1358538603 +1592690802 +580005250 +1967638685 +1294220205 +1474653441 +988661544 +1014405690 +1453567428 +769098681 +148327959 +611855844 +5094485 +748670020 +1531423899 +928350110 +1202504968 +561110424 +531827787 +1912819963 +1046205692 +660593591 +810873489 +473886798 +1054389048 +18742446 +388303613 +202670261 +144446815 +1973459378 +1398332406 +1502985419 +1418666532 +1978337656 +1323140456 +565403089 +1305507449 +164318353 +1579808779 +611591229 +933417034 +1728136739 +1223447073 +938511519 +329323111 +607387324 +1866861630 +1531828079 +1168497749 +251205769 +1297164394 +67219793 +911799360 +2108037883 +541106591 +1966188409 +2126780329 +929410205 +21375022 +123743497 +755385935 +1419707428 +1626728916 +26568820 +1250561436 +802385724 +591971909 +408585237 +966704077 +24297041 +1020176466 +1900121112 +1752433780 +96139892 +691148983 +2081756891 +703527216 +410526965 +1466101322 +1872024965 +661732734 +615782068 +1939244759 +1573532095 +576336304 +332867702 +1392236856 +555632985 +1262277907 +1413611878 +679376482 +2017663843 +685835658 +158621750 +2044232663 +1936397094 +961007475 +488720924 +197498683 +1927711552 +513017965 +1217675149 +1680349016 +117968097 +1313815041 +224014352 +52241340 +2017342258 +634541317 +1518342662 +1741883575 +1296274052 +2134124731 +1533644686 +722322499 +562977387 +1866512389 +2114559355 +1118610372 +981306648 +1380687585 +1797986855 +851486843 +2066523243 +1956608605 +748235858 +1855436689 +770132432 +1236956783 +2052935372 +550360337 +1749974748 +1123126873 +83225705 +1867942846 +289458267 +307240057 +1920184186 +159316877 +941781375 +1291043201 +1901200452 +90571779 +1277684284 +1287361491 +812894278 +1840661671 +1006390232 +779969985 +811788395 +1987696880 +13173922 +462291602 +691700076 +2079697165 +271416560 +1439935934 +1787650206 +1041548992 +529409069 +1693101930 +1591909329 +131900170 +668745155 +1675135035 +1999843016 +958203422 +1982375092 +1772543554 +1117520299 +776672819 +916103107 +871237104 +867244598 +46303743 +11114947 +1680138876 +1886965414 +1017505179 +312625213 +551270162 +857718411 +325799135 +1013561764 +1549418487 +258012652 +1284978324 +841870774 +2045662858 +179043669 +1371279843 +1591281140 +1770952998 +1503180013 +112542648 +1298604385 +1355539381 +1070746070 +1133495830 +980599288 +40782722 +1910168649 +1896702395 +912019826 +629929600 +1943006139 +923134773 +162584828 +1682487905 +1940639952 +475210042 +86274419 +650874715 +801009177 +1099836184 +52809555 +1059021830 +237330860 +894680329 +957201040 +416374529 +118476524 +400998533 +39843880 +1621656538 +513541181 +1338448265 +829712271 +1584287251 +324460447 +1810311559 +1625069973 +87145449 +1559530307 +389606151 +717075049 +1355052798 +1312740924 +879659877 +890057055 +1105897228 +1354869919 +976331475 +1756771944 +8395449 +2076167659 +1809581499 +1067417279 +166014871 +556778180 +2024618319 +582389401 +675254704 +278133204 +622233281 +149427594 +791674385 +1960681546 +979139866 +228477989 +137658346 +641967777 +1853547962 +224803795 +54014436 +95670466 +941878844 +1409067234 +1408411390 +1821538721 +151640642 +366824971 +1028924993 +1127972117 +2123596915 +1037320442 +1056656128 +1785694766 +2104737721 +1222670999 +194989298 +1981872392 +1805060400 +870244002 +112521949 +279810033 +1019671597 +904196334 +93007932 +1998811463 +1132674323 +230666278 +493295592 +838738638 +455470073 +547310029 +934409104 +1397348917 +1956377263 +195336846 +1071403990 +2108017905 +562161817 +2100328983 +1088506374 +538275084 +990165777 +2145162502 +176486202 +947419850 +1220349854 +371475500 +781808595 +877926606 +1241719503 +894330544 +1157736640 +113907452 +1798526878 +1250744572 +2112718915 +783717554 +1481410850 +458530859 +1622456192 +1936880923 +1005840888 +409381648 +1186746192 +814734504 +604718494 +110666534 +775268761 +1166880312 +63511870 +1863775136 +1705155396 +1053677647 +1861453990 +1881641599 +2001097498 +934320196 +105633451 +635422445 +1812246803 +1347352954 +1529752989 +822499795 +1461260406 +1180796219 +2073244367 +1426495673 +1964513773 +1407171569 +1885026533 +1439486317 +1196568844 +743383773 +1848867965 +235831388 +1558118277 +306102812 +346497922 +185903391 +1472983124 +410009792 +2049678527 +1030654872 +1463687440 +1763648869 +764812823 +1317301290 +550485418 +870446275 +1952723735 +215248573 +70315581 +1334993076 +1037748368 +1531575988 +368305647 +963509087 +810588013 +185335773 +223197008 +548130898 +1624822090 +1419765852 +1291514672 +1326206408 +1655597240 +702149301 +1632309220 +2002095162 +888052692 +957808696 +264621307 +790247571 +1988463568 +1728308747 +406412793 +605792744 +898126389 +956898211 +1476239019 +703366476 +1172146784 +1546554600 +2038359552 +62411504 +930646940 +259181551 +1025920591 +1741234954 +444517324 +1249117599 +141882204 +2069339415 +521399803 +1433396876 +1248062175 +29513395 +2135546178 +732887747 +2031608557 +876115222 +1690696443 +148746216 +1666362794 +1531676363 +1877054963 +2072775587 +2137469107 +627697704 +882190150 +1466224478 +1331064180 +2054336934 +865295431 +1221940084 +2116748438 +1795942371 +1481121636 +995185381 +1389693677 +1925638960 +96819332 +1531575882 +1847494727 +618219135 +817489110 +948073254 +647732530 +805551640 +1680961001 +531857439 +1681666863 +1224173796 +680603656 +1200546009 +608366512 +410174971 +1125837948 +598351971 +1037872676 +2008028098 +2064576450 +221453208 +1914881384 +782388233 +1443393293 +1884146174 +430846956 +777031281 +731847907 +1820540634 +555186593 +828667239 +1204632868 +255197673 +1446886374 +2022121978 +1203270927 +2094618904 +680189971 +736748281 +478992695 +214373186 +1960922077 +1159596351 +1414919195 +421804941 +1569771323 +393273495 +1020156913 +460160351 +253817945 +937249715 +681613559 +21215681 +1719637948 +2125006852 +1905361855 +3001256 +754554485 +489726114 +1823541890 +1309741079 +1318393353 +880691110 +1564938752 +617796079 +755329441 +620726031 +564931335 +1435519412 +1357474312 +1043924030 +1649892598 +1170912742 +56036734 +917328145 +1592717683 +1625808057 +1310601640 +465390948 +2085968408 +1564419585 +1402640663 +620098319 +1585635266 +974794963 +597621524 +1343513473 +977796220 +1352176009 +1833239587 +653854462 +514433440 +1004149292 +1534545573 +2079372192 +1621945371 +142391366 +552614576 +39393058 +1577910778 +1910088888 +1083317088 +1080319728 +933517982 +1139353822 +1997647873 +378752018 +617678231 +1160765865 +844142966 +556162991 +577701802 +99299982 +1176261311 +15853420 +1074094945 +1773882835 +1359366893 +2051891165 +978575196 +1045122832 +558261980 +1493008637 +2049272124 +2092807553 +1424897181 +1523733847 +87715271 +1977511757 +1563126905 +1665626049 +1740116998 +498960345 +598462129 +526151332 +1638314168 +448626354 +904903350 +108508751 +1609392219 +1749046317 +664671743 +39610373 +1848346299 +1840933054 +55463793 +774957596 +1467332241 +1414830686 +679365114 +298423789 +312469870 +1237627094 +1791432426 +214258346 +1182950999 +1068845960 +1737992193 +1270666270 +898874069 +1153635450 +788808671 +491507419 +1652595795 +1387270800 +1017658752 +1143426315 +1835897154 +1922562102 +1251935067 +1297805725 +1524124771 +1916606810 +1337416098 +1224987422 +1610056216 +1392879891 +1999945019 +929904809 +660226929 +531826485 +1228328598 +972696799 +1769453579 +872277377 +1186955145 +804920930 +1941123337 +777463690 +2075587200 +692513758 +1931099140 +716912223 +1184021178 +1436211287 +2104183023 +54196282 +432153955 +1792596529 +1976758384 +1684089022 +942918606 +1353399508 +1453212184 +132851056 +430903282 +915784752 +1525730947 +283364653 +1845689561 +38474228 +815191138 +926534511 +1011171027 +437161069 +1798811888 +50642524 +1242081999 +1592451577 +828106214 +1170185551 +137481688 +611721706 +1887097774 +1321502866 +2047932993 +1843797149 +1375699148 +332603300 +1488910030 +1204973884 +2016692322 +284344988 +410889744 +1322420858 +417196044 +841793027 +90721962 +1942926991 +1125157680 +1936411523 +1981401219 +1940348819 +715462387 +845088598 +230026240 +366790627 +895731122 +1472108240 +1959242205 +1723837336 +494810143 +2096723893 +188075394 +234424270 +1270743111 +88524740 +2078221419 +498958611 +421128040 +1419647802 +1703932495 +290336715 +1703992790 +2114822240 +1612757573 +2121188835 +809131619 +1703479536 +1916632178 +1934289299 +1492407411 +1750549750 +1727154470 +60386150 +448154700 +1957180711 +427176778 +1343885823 +1281805303 +238935335 +920239511 +1776615446 +188175580 +1108314906 +2011039716 +1458918691 +1196839646 +1941777488 +1957877302 +1617967686 +1213941642 +1514326149 +1908304401 +770450784 +1481664741 +1373578327 +744155971 +143312712 +929574215 +513304502 +2077602012 +274497978 +116370604 +1657272834 +334884129 +564525304 +1466969897 +762060907 +1908411127 +601291552 +1000996242 +681166991 +230423351 +1189171822 +1789481897 +93979419 +500606865 +838837895 +2035756907 +311000519 +309321933 +1102214901 +1825326668 +70142687 +1872665686 +1159507762 +1443721014 +469338009 +1302820474 +225811581 +982642511 +1232938838 +500309559 +1099013115 +742728025 +835193688 +1663538420 +62214274 +1597254595 +1424465899 +663505827 +450767189 +2105632890 +893929178 +1639939011 +1747631139 +987908597 +2140545876 +438985386 +876181857 +304062747 +748307320 +1978396758 +2129389416 +818450007 +1703578796 +1141413530 +114687373 +25433158 +296750356 +340498954 +1008075669 +1529689195 +840808513 +2107088785 +124933572 +1676002202 +1623143557 +187147846 +1125773149 +900125808 +850653673 +1576540339 +858275051 +1744582851 +1068995702 +458422542 +585007801 +1062057931 +897407929 +1461189658 +1366120678 +1645715249 +1292102768 +1348026446 +316681608 +848197917 +341956328 +431368981 +873631075 +638706685 +771867935 +1881706744 +20912232 +1612676448 +1841311881 +145845804 +1141195002 +1316971790 +332993650 +119484504 +69613951 +1183647324 +1696024843 +927889002 +780746527 +617536897 +1386311544 +1365754328 +1679594828 +136235825 +679460338 +898231859 +1781951074 +1971563107 +98774657 +2098632682 +672277376 +440730986 +382518015 +1545908451 +1079437671 +1154385950 +1280131547 +1100349903 +619578751 +973959781 +1246195707 +1760773753 +143447923 +1579189357 +1880258257 +213061874 +615353033 +1428799452 +1140950876 +1396099561 +2046336350 +379778773 +614370241 +1578447530 +516014598 +1293830580 +329195741 +150482025 +1117910039 +427970399 +101631059 +1790187415 +868701385 +484149075 +1188612218 +1948139056 +1638535025 +321260117 +901005311 +110630128 +1295219898 +2147201018 +1871403882 +1438667822 +1578906727 +1604178491 +1651729696 +46776113 +885494296 +645196925 +1442875674 +784346998 +1024975698 +2057245915 +215310880 +1540990296 +1203592847 +544506622 +1691472321 +174019238 +972477021 +1793103381 +1964206653 +1841178406 +129768808 +1005335223 +1641833814 +1768303833 +1326595341 +395355477 +1878933962 +474331591 +395072847 +1602854196 +1912999413 +1973979574 +1059549039 +1417245462 +2020755687 +1945043335 +2062442387 +1316147713 +581906685 +939934437 +1225909981 +797217566 +333441085 +282019180 +1341724188 +2024913407 +456038419 +166717561 +1670533140 +272761424 +2007895967 +1800301948 +1278096648 +1502246133 +1421122133 +457208341 +1897601610 +1152572447 +931539932 +145190809 +607942995 +697055698 +2119170383 +1667492035 +2114301160 +1992442423 +1465051722 +2029259899 +1161106488 +2046958408 +821710688 +239532821 +696692326 +1155151773 +521552002 +2038416514 +1032581532 +977590421 +57650427 +555631024 +1250351845 +2065546394 +208449324 +380964845 +1420308879 +1629571458 +838173186 +1170426841 +634660257 +1769713119 +1315617650 +1242603253 +319285169 +1287304385 +762611640 +286102681 +1132263160 +80179714 +167878932 +145886001 +2127138122 +989589620 +385418822 +676346800 +2144741393 +906970824 +567279666 +1029839278 +1884561245 +624930093 +1585470302 +987429443 +542992839 +1793919627 +1368394288 +1963301718 +1276007437 +59083827 +986244911 +1910667694 +1828796946 +154378913 +1005787299 +598467 +1441683299 +1768398939 +286701148 +426462811 +1848578654 +454580080 +572348812 +1828233128 +1444169700 +957767635 +357096281 +1441427445 +1864738459 +924375947 +323783075 +1601816057 +1549306041 +1909253378 +441761852 +2092298880 +1555689357 +1810156140 +1908116951 +684213146 +1869239967 +746878214 +447397192 +1550553265 +901257128 +1453184492 +1551151732 +195456779 +1074099783 +1837852880 +621919590 +775194789 +144949312 +1194268403 +455944270 +1589119012 +4552390 +813040551 +883062810 +1869290849 +1737416498 +1206845885 +1323623258 +1139238891 +968615615 +1765385110 +1084054124 +376821324 +1428057603 +844687427 +1061034470 +1149813922 +1591565641 +1508431663 +552883540 +345339121 +814132507 +2104035272 +540795900 +1888232290 +1794404505 +1162715491 +515943432 +1939353817 +209500246 +971887702 +1380989182 +214052636 +1784928253 +116568344 +2083343485 +1374861103 +1323414229 +1259483096 +366616347 +144546197 +877384558 +1450670471 +521367521 +157958513 +147874250 +1582401992 +1307772436 +1739439891 +943350007 +1860655976 +2084779013 +1757482514 +1817207600 +478091265 +1498231156 +1464128457 +1640806756 +2014174588 +1255998627 +1850307002 +838578642 +489504161 +2064359638 +476023247 +606072505 +2000219476 +1850884351 +1929486734 +1112218924 +70017050 +2074032931 +1989603482 +1520687521 +447916805 +78348 +1668561771 +2030318797 +1307850784 +1260518014 +826185156 +1021023112 +1197813379 +436184022 +690747064 +1675904645 +1934415178 +7391874 +1169227753 +1801106119 +1263390501 +872051108 +492201113 +1752894662 +788927098 +968224361 +211483519 +641662926 +671625064 +2140970253 +1753881850 +741642114 +2067519537 +1596001685 +114845987 +367952694 +1596080033 +1783407758 +250787843 +756447169 +896442124 +1076972999 +1777470281 +2094255504 +1513157021 +320733697 +1622676501 +1300088551 +328125571 +644420606 +953711022 +1591516072 +1516471714 +1445912136 +1196927086 +157915165 +266652849 +1408410605 +799578091 +938277913 +1401897211 +405976294 +1679920027 +1321933100 +2001977979 +1794766014 +1689885794 +1450574364 +1430690124 +1940673637 +59537885 +179648600 +870162988 +1837008166 +126420456 +235836361 +10258215 +1749096957 +1535924912 +338383787 +246033916 +342152287 +1929899859 +1762505630 +1788064423 +979343298 +1920420795 +2054717272 +240270255 +572515239 +845511537 +1642167466 +978491533 +377947916 +816616918 +832985864 +25230282 +359019064 +136076580 +1455920406 +152209053 +195614465 +1635569006 +1022372041 +2032622631 +1761989463 +1258208402 +2042880846 +1363602772 +646649667 +233780985 +1609636688 +988801954 +16197197 +1224658671 +629382729 +995540495 +997595818 +536616353 +1235810750 +1570111057 +1382127890 +730494569 +401118942 +1760075806 +1547111487 +1234104806 +1785306088 +1906130552 +1370181386 +1093742846 +2058339605 +1565795851 +581828204 +933227999 +1450934834 +196334019 +43952753 +1346332033 +1559936792 +690602420 +1580113018 +1022089832 +1679404374 +1596310215 +99264855 +161303455 +444367062 +1096860674 +697919808 +1680177813 +519488083 +2080047698 +263188734 +920607026 +1692639856 +1810300221 +7228184 +1330462296 +1568947125 +1377409571 +276721494 +1479803083 +795721774 +858549699 +265547434 +99172961 +1054883718 +309500187 +1445504994 +467336862 +1000102608 +878134364 +1489426695 +532023334 +326960932 +1588691550 +693326790 +771327994 +538068576 +1391246598 +304022159 +1057556660 +1323810649 +567210893 +1978163686 +868966857 +230027467 +1985391870 +51945506 +1798974592 +1215317793 +328667000 +1131294027 +2011039568 +1187216699 +1396841461 +2110212529 +94616770 +1706341649 +1408233875 +561953632 +558960609 +138884591 +2051380327 +1090983943 +465845523 +1492588230 +1784310733 +1237173518 +2030656806 +1028073684 +1541195677 +940729818 +204400685 +2108406571 +771409856 +1073367542 +190950390 +609318079 +1125313048 +1989924982 +1824635872 +1453980049 +973735362 +1688191792 +493713100 +223093175 +1650920673 +588329870 +1929434824 +911670900 +1150283503 +340911785 +1050555492 +1054180182 +1431895729 +1516401015 +399284764 +1068722814 +606090885 +282457923 +2096796498 +2147286563 +1223187741 +153713535 +2108209486 +1994597598 +1227081078 +151676228 +456432029 +204910478 +2141601210 +133584253 +1658890527 +967852924 +1821776046 +5119980 +1190946100 +1325213071 +593449850 +972897276 +89400324 +1743733353 +1313809062 +1139955816 +650429888 +598221143 +508873183 +1049714652 +1666943957 +1114964069 +1332172575 +1616256808 +1114766984 +407876669 +1769970343 +1075492822 +254990619 +849567773 +1227169050 +711422648 +1054478252 +1221286612 +845006901 +565885131 +41655889 +519299299 +571005111 +1232601989 +1844512371 +1164454962 +58015617 +1933912695 +760704667 +1371824679 +926384863 +1411134555 +1970045822 +1435258046 +313365560 +1489506132 +402738467 +1645538135 +958279292 +1517505451 +2053414804 +580765987 +445514625 +160921775 +1430333761 +1672683675 +872344423 +337328365 +746486640 +1717351325 +903213496 +788142529 +89166976 +1474218608 +2020744518 +1933679347 +491189922 +2078760135 +1720108394 +1251894589 +1303101167 +499009609 +515545497 +1125663341 +1934267656 +828911057 +467685825 +189522475 +326965544 +1425965117 +1707027927 +232896701 +2006731105 +5058904 +393818476 +1289581218 +1677742580 +1266162900 +1626909583 +276745572 +836030577 +382639431 +1064888101 +925197553 +1856858039 +938148971 +711393253 +200564313 +869425458 +284017999 +1452458903 +25042977 +783027609 +1968004400 +1150706319 +569811617 +649431809 +1618392144 +759334092 +976397353 +896873614 +318878371 +1209294054 +756121071 +323937276 +1603112531 +2045702289 +2001679856 +721791783 +1525128224 +130941780 +1557822360 +1907767655 +1195829881 +335536265 +1617142047 +2133978852 +1046929518 +1817706360 +855920662 +1330947518 +1122681615 +880963640 +2113975127 +943202367 +2031669959 +536303096 +1592634176 +1502578455 +1295637188 +421547882 +251968421 +1614515560 +1630841936 +1008089492 +1938452836 +1086470819 +906308133 +1792649044 +1808262602 +283952709 +1923590824 +1218601314 +44236717 +971937057 +1554137580 +1661378764 +958432261 +453583450 +1331601476 +1814352923 +1784530968 +306799444 +547832915 +1751022447 +1250001811 +432019226 +139841895 +695152340 +1934597682 +1435479084 +1116700222 +39082455 +902510996 +600058510 +1047171948 +693480184 +1686529330 +1953480081 +338645580 +1347308284 +89949143 +114752756 +418425951 +134185860 +1086689813 +1972563531 +1795564624 +2045122074 +278663333 +979682452 +1711991349 +2063194302 +1286481896 +112340617 +1666733101 +389000060 +544359843 +1806574997 +1084152400 +331473877 +1094570433 +53368974 +370556333 +1997081429 +653427484 +1417728281 +543077965 +192473166 +1223724714 +881723545 +1539781451 +1313673857 +996476301 +1958207402 +1447859717 +2083166114 +1783287285 +1095940693 +1980804540 +2061950618 +2075623146 +1545312241 +1977661272 +1214621394 +1657652858 +1496910726 +1603621454 +54529054 +1156002075 +540290206 +386002931 +103088860 +593659180 +756559264 +2100170289 +1247086665 +26803897 +495764606 +1439559831 +1250528612 +1377488151 +831857634 +416718821 +226480804 +642581388 +1864578539 +162163270 +278385025 +813035584 +2142967810 +192851996 +741175082 +1540796403 +23029620 +1955796477 +1050965614 +1519940346 +1411934283 +1105494668 +528458773 +1952224490 +1491497599 +631547633 +398400022 +100573216 +584234274 +1645486687 +127377113 +1079998880 +937562871 +1377905725 +310003383 +1769420505 +1794624547 +536484187 +264518246 +1511719438 +698647457 +542903271 +177271374 +694131619 +735755267 +918446457 +87444375 +758784888 +726759286 +1138409989 +131241586 +2138693569 +96421009 +659700360 +1943434411 +1587918608 +1291247993 +194350786 +1688491824 +1875482268 +1839837473 +1815868938 +807997500 +629916696 +1046291015 +1118000884 +251853554 +693431914 +1654485071 +516371800 +57667704 +205648881 +1059275071 +234939079 +899780500 +1795030339 +1153385536 +987224875 +406331579 +1880144822 +2125634864 +537573165 +1871354743 +74572225 +1197273525 +1667305507 +1662490834 +341037871 +1861656293 +1203499010 +69036491 +1554010118 +871884300 +877033991 +36443167 +1918175316 +1995034875 +288296721 +464123582 +1502036299 +804668521 +521791287 +1707685180 +1863943592 +756730366 +459982032 +1511490283 +1910115902 +1447206908 +1917821862 +1642777076 +1425358124 +307911380 +1366648171 +1499930350 +1505184905 +886470030 +1014937536 +1846222776 +600642675 +70952898 +1915259267 +7169146 +942837199 +644809611 +43612313 +713528867 +492360838 +331909034 +1177652449 +1994397137 +1136577555 +1699443736 +1554598669 +853037499 +308690454 +2014580702 +217044135 +71322708 +1314303962 +2134865997 +1714099784 +592178438 +295293729 +933264308 +2092108788 +1800478635 +1819734338 +959562676 +1499217763 +272893366 +1030515575 +1266993383 +280062512 +1973352774 +1911802994 +323674825 +539397993 +256680184 +655583859 +1717050442 +103593674 +1792161414 +1269010531 +1658192343 +497715265 +1577700985 +1525289397 +714759400 +1649023694 +692109711 +702141750 +1215639830 +1284288150 +997435479 +1420490 +1228913290 +650430466 +1821154829 +40992319 +2164582 +2094048195 +1071507894 +1269157965 +226627059 +897377020 +1033477311 +550301884 +1436775013 +1290157495 +1205885743 +1006341807 +1393751169 +850563509 +127868690 +904459865 +1348278774 +1705569676 +282265614 +2063038175 +1207109722 +974375326 +617696277 +275265904 +111179828 +1615131756 +276686395 +1340093118 +118078575 +2097841224 +1381085437 +120243157 +2044405771 +305109683 +1389401122 +123549182 +1202486703 +275394785 +673851066 +491778068 +1565552280 +1879736809 +1498119876 +811819802 +582816670 +1625988566 +1716279667 +1931095444 +1184074594 +1998545281 +1846649971 +243700668 +825436959 +316862600 +518966573 +936616787 +1931994357 +795652968 +129226258 +2050072932 +746010544 +1510311695 +22832441 +642932667 +1815421379 +1412233563 +766481849 +870424434 +1687628348 +1440332915 +1362202503 +1105696980 +1172586076 +712838731 +1917516782 +1755402746 +191343649 +1486312801 +1539014542 +1375418244 +1337374435 +1238180866 +1619118912 +15327746 +1555043466 +2138085485 +951944534 +1339554175 +786254805 +1081170792 +1242143459 +1532265349 +443998839 +1264975900 +27714368 +111936570 +529725815 +794196217 +982361005 +69870515 +87045484 +197079860 +1175567496 +1259631560 +909918591 +945600630 +867550658 +1101262240 +284429784 +259081553 +329196836 +1621804219 +1497262419 +1948315749 +1637131965 +904822237 +1938917586 +441592851 +96892765 +577688744 +1522763643 +1339036224 +2109954093 +1966762483 +456528477 +2137668462 +2078699053 +986254292 +784381031 +913576410 +1056124808 +871426516 +1110656270 +84208656 +2131058076 +2020574861 +1029809286 +851125087 +974353454 +1314239070 +1110206640 +1303550290 +788559641 +459985411 +1104382391 +278207959 +1364807648 +895816330 +719800810 +1461700413 +1473505074 +95080806 +653252990 +1435975519 +2061843289 +1109781467 +1426160333 +1993058694 +2096035759 +63057717 +759151457 +1004676919 +934484233 +1869807727 +1088885575 +918058661 +1742898941 +2118694862 +1769183748 +569768747 +1285450284 +731906740 +1873319037 +2074009926 +1191892151 +830217781 +204734237 +409216152 +1726034111 +924535047 +1870916565 +1052055537 +1019615853 +376685907 +340547408 +933975494 +1486467374 +1766707742 +779550541 +1435019486 +1829765459 +1538701998 +292212757 +616766044 +1261026077 +1381098333 +1534824705 +856441370 +1352309547 +1156524806 +1426210117 +490276183 +1888431546 +1152045507 +416802461 +932840050 +1982263288 +621536698 +1342056202 +1560813751 +1546071746 +1065489119 +465385640 +418203951 +1442175027 +805933048 +1352179446 +781158753 +425157142 +2131729987 +68694591 +107438953 +1522948337 +360907349 +724204997 +636490766 +1742005682 +111546055 +1492932137 +946831581 +1268070861 +771658606 +1437107764 +1009018759 +1923704113 +1853910226 +1941858809 +1758483753 +327963276 +1136431363 +1171813856 +1874035022 +54436835 +1637199496 +144755326 +1496611862 +295648897 +1496934772 +130286967 +720806039 +1481181111 +198981559 +828244993 +856645800 +559888908 +1552449990 +1493136566 +154410942 +1663996045 +838585055 +1101242523 +784583258 +1610243662 +390866639 +1793602018 +1386464127 +97293217 +1587977179 +997464233 +425256494 +576924895 +21794441 +151807868 +631361730 +1658993938 +296563194 +2127973592 +1954642835 +1793497966 +110776911 +527965226 +1127195429 +309758470 +1356210219 +1983841229 +869647378 +761176562 +1329494148 +1024058320 +277688959 +20595555 +2125300843 +1062272218 +1630839217 +368683835 +708390588 +869819697 +465977052 +148884119 +1867283930 +891233546 +725809014 +1889078371 +1043041415 +1357170744 +1400588661 +1339604609 +1337660688 +1207747848 +985618928 +1448437600 +1735713075 +2112814357 +1758196070 +944439646 +1949171939 +480359801 +1705616208 +1131182439 +1504418121 +1983305168 +1151777994 +1482235317 +898093738 +635133564 +1850919152 +1606484326 +1504953261 +169412556 +1755368445 +1224753543 +1060646103 +333693812 +966348266 +2103687518 +1690864556 +219453280 +1295808479 +881041597 +1427201128 +133943759 +181995549 +1015430555 +99274469 +1940191619 +1959870202 +2048446408 +273067772 +1518002762 +1032145199 +1777485894 +1353824282 +36439545 +1112237563 +104434372 +671573109 +815673067 +1710918698 +29042722 +985085623 +1318803496 +1253796265 +2045731726 +1652497308 +72660884 +2001935596 +1195878216 +292114164 +1150260428 +2076919813 +1719315292 +1284204187 +111431714 +587262200 +1383478656 +2051623334 +399648754 +1284441416 +177207458 +1917651516 +169102967 +1954693352 +1123992151 +205542513 +919447267 +1228426523 +877115622 +1735120334 +791861574 +906158345 +572722310 +2110665070 +12470962 +470970388 +1615678730 +85131846 +325422337 +664073298 +377246010 +1475682765 +593509464 +2096561303 +612403304 +704941178 +536339855 +1995881961 +609080864 +935988609 +1132839729 +786288323 +706156477 +1301942697 +593498027 +1830148628 +1507485210 +1512945295 +911091504 +237117184 +1100581981 +1702953078 +1143275529 +1673304291 +1666134500 +1155746492 +2144274680 +1134329582 +1240878338 +322213369 +1798402880 +1618124349 +1797896134 +244428696 +1567202004 +262815790 +949369875 +2103541859 +111214103 +1558450739 +892046820 +1244053833 +197255414 +1598203297 +398512882 +790753442 +1280868278 +1905998092 +156215089 +44476134 +2143115276 +1256797070 +1747429212 +1138907158 +782617714 +1266080064 +147170002 +779408746 +252925998 +1388048340 +1101622115 +2051328878 +858689041 +752034601 +148273927 +278407397 +1014850391 +1097643802 +234465608 +1126064495 +508610893 +1126512428 +222634680 +705866308 +577232078 +621147562 +1496619750 +1858100356 +379662006 +1652834839 +1902576490 +375293634 +762148261 +1502522054 +1514200792 +1544765975 +621118470 +1661370794 +176691073 +874044468 +901935487 +1278313188 +777889698 +1760624528 +2030347789 +926163625 +2039031926 +897714533 +2023807427 +126013886 +2023779028 +384934673 +1252526315 +98930060 +1090800981 +1829758393 +720077622 +439937083 +1540375101 +1099739628 +2092771922 +1295467943 +1475033262 +707436535 +650506349 +841750407 +104718863 +1271624819 +355637553 +281409936 +2145669287 +1257573040 +1559723125 +776075337 +870713921 +1442587266 +1702238963 +762262199 +192818151 +1578562742 +888276085 +69113531 +1963497415 +2140802400 +168043591 +906814748 +1823077145 +888121213 +1346751831 +1215968598 +1987860841 +1292040105 +363952893 +1315410456 +1999476641 +1014459242 +9677215 +2104195504 +138600413 +365314768 +238121792 +136786052 +1622887809 +1797844917 +912861390 +346118082 +1092948536 +467616705 +1108380281 +1285766687 +2046179447 +1996656366 +1354880219 +1862193215 +1989975119 +1522923810 +621524315 +1665568616 +263561376 +1968276147 +734053567 +103938569 +1112832604 +1098006460 +1419349025 +964825597 +2112465703 +1429026240 +921537453 +103582468 +1794341009 +1159659246 +240368521 +1269745170 +810020515 +1153229911 +1615863252 +1902969051 +1620846616 +576759885 +1041252091 +1519542415 +425932603 +248648662 +1234251982 +268424074 +1771572472 +1855776298 +1933992691 +2035133848 +1676568797 +520562610 +2139072418 +641917753 +1618569070 +1410937795 +1606743351 +1583551125 +692480388 +380797156 +1687133594 +339337749 +1540456402 +1927502115 +1609082919 +202993270 +933248378 +1077462523 +2105962321 +406611346 +1654222408 +999730764 +1926153761 +2080155011 +1248379426 +1012922096 +201095438 +872468251 +721214746 +2135088129 +760118451 +250299895 +508167091 +751707221 +892217648 +2126736161 +15161369 +351477351 +1562803639 +707641757 +732274508 +1102453585 +1046979506 +125247262 +882472052 +508578777 +328240532 +1815720430 +1586041300 +286719206 +74848128 +1092780060 +1286449970 +2001001889 +1025451423 +387345749 +866440337 +1226546861 +1259814000 +1587655083 +1214151342 +2019932451 +1837954978 +1722318433 +624156025 +582688979 +1701570947 +639317394 +934166330 +1116890938 +1346959151 +1666440838 +71860875 +246455009 +1791688101 +954332927 +755033786 +2119928633 +622569709 +193591438 +259164191 +697417837 +1286371498 +1545614162 +550936078 +164339273 +1932959911 +1417376416 +1390886135 +1045290263 +857547851 +457553829 +917739066 +548019182 +32388615 +1541895091 +1130708161 +1733959562 +33728837 +2064874491 +703366852 +1380687988 +1583831682 +775227727 +1627142997 +1228036135 +1729560654 +234693135 +1200481120 +204646715 +428284573 +1459645312 +902064552 +1714656071 +857775826 +1453000630 +1878995345 +643252089 +722893398 +1122397832 +1688542352 +1580441250 +1579951661 +458797770 +2128460432 +1612340276 +2000692862 +1111684945 +1198816190 +2034421699 +1029075788 +1902183042 +1267626040 +465423822 +529927121 +747285389 +1693459957 +112004127 +981978525 +746457430 +316650842 +1410263098 +58619094 +1218715394 +977435522 +916394920 +524232377 +708947219 +1559647009 +1247125775 +1831345051 +1100705713 +680083377 +1263813064 +1559503483 +661060161 +728669693 +1412712697 +1772745106 +1927485883 +1299650749 +654337247 +1682185278 +419793141 +1119761069 +64628751 +1167078530 +665737379 +176632879 +1573407 +1412194809 +493283721 +1411836506 +1470813903 +1711999116 +241788380 +239725175 +88747845 +950735599 +1799372184 +1335873620 +634597002 +752594249 +2015956998 +1898410066 +164614084 +529533511 +479596111 +1577326782 +154794970 +259598347 +729493883 +809132217 +1941783625 +1149287024 +1928893286 +2006412376 +168881906 +447147017 +35561607 +170455314 +1859341826 +528845329 +1582291820 +1182672081 +93360797 +1824080200 +1422397256 +182108642 +627332151 +1074285792 +1517982262 +1261929153 +1826880041 +1386455612 +1012855571 +1991494126 +1915989124 +1492451683 +1421337260 +2070784094 +1752050030 +3347495 +732432663 +1546350007 +1152634519 +513842301 +1405278735 +1321516425 +960989319 +1440840343 +1491971739 +672847497 +1969685672 +926779911 +1855519579 +2063046469 +603376463 +1130433187 +97671463 +1230708614 +57235332 +1615653725 +345154119 +1884115373 +854625690 +1358009691 +1728125851 +623131166 +702977726 +1001979463 +546431612 +307544108 +1005326958 +1278864275 +1853894115 +10477829 +1792706576 +1111689202 +1331994255 +606212247 +405045897 +676482346 +1279059745 +227247921 +1603262258 +987095676 +142810742 +59155073 +2117528863 +240482205 +1289863688 +27280547 +1856135931 +1635017807 +1911395921 +563277973 +845543850 +1492038124 +1186409139 +1548521576 +346533940 +1732840751 +1856065684 +1351860898 +864221378 +1562476151 +1362338728 +509444306 +526681706 +546849335 +1115656554 +931727603 +1223331681 +247232651 +1158975525 +679110291 +1234328327 +1301786267 +738265365 +1204373542 +1542268473 +2028129053 +1231654090 +1250920756 +1515663212 +995566363 +1814198729 +213723415 +340120839 +853124220 +1762244991 +686654779 +438481323 +1470827028 +2038515678 +1302702701 +885819531 +1253370758 +1812147007 +1412501237 +1800220093 +780319913 +196745193 +876068126 +1027552564 +1355720718 +1555178418 +114397243 +510023337 +145960135 +1318770786 +2052291810 +26605540 +402941228 +1155728918 +1542268752 +1398507591 +822443999 +1755992167 +1738628430 +1675568219 +1370753511 +277799562 +2114049542 +694096891 +168831592 +1269268595 +1579916422 +1422202350 +933931955 +844934012 +1074938795 +1714251868 +1041679205 +1951006921 +594320785 +249916275 +1358701691 +708718028 +759939612 +1504661826 +2027488814 +664747775 +1531267366 +282946394 +1820476693 +926052471 +1681453985 +495437045 +534560990 +1272598768 +23521616 +1905314501 +1550398330 +2137571159 +451927744 +1719229922 +1259356106 +2031844167 +993948624 +45804413 +729294531 +2068887419 +1760056282 +1770973736 +1872410692 +206893419 +2020890011 +1083628736 +915611447 +633345975 +440806914 +795616614 +1298093750 +1972074281 +1078563008 +971086796 +750643104 +612533346 +1466523841 +1285204094 +1885132114 +1490045457 +1043034948 +1288046796 +1480132968 +1494962692 +859793070 +592005427 +1379323211 +1853741694 +637809840 +2108617742 +1775145465 +250382474 +1732107830 +1500072509 +457275893 +1605514193 +436217597 +1372887341 +91376521 +877024512 +21020307 +1389470271 +701615145 +1099583315 +213073419 +1452258249 +1712116661 +1679597260 +589978695 +1449765127 +1022159070 +1633013643 +590328275 +354808390 +980492688 +1450121345 +946813817 +212332251 +1156379391 +1584623658 +173466346 +784041208 +1835006132 +1905574176 +136630070 +144798378 +1363604722 +572847667 +1517685719 +1454981243 +1449872179 +1538706026 +696967866 +4003676 +490805693 +910041286 +1456261925 +55438707 +442154898 +2046240621 +1505203834 +1464313968 +1531770616 +2095532110 +1819122359 +364779656 +1398169807 +618452528 +577111908 +407065551 +55592538 +750578254 +1191106759 +1890598671 +508668782 +1327736829 +2035397049 +1872273504 +1900584497 +1405599120 +1179771099 +1202973028 +796821498 +1876738966 +1206976705 +1287627191 +639296604 +515754982 +1343065898 +1081451502 +414511955 +700786085 +398281823 +1946282572 +648834547 +69920534 +163578580 +2047004354 +688373062 +740690488 +306586257 +743965601 +1491268742 +1497693017 +487080624 +1999937525 +677946198 +374994025 +1724727381 +431047047 +1780593145 +757014833 +1634020076 +429930995 +486270151 +693513133 +1717558186 +1125566755 +1209268115 +913140437 +59534609 +1623780071 +1613926522 +457816432 +1422578995 +115277421 +527736966 +1586157575 +14798127 +1216110029 +179364416 +321384385 +1960075630 +1670633158 +1819077402 +299672606 +1523087035 +349539952 +674666631 +1100330769 +780587000 +307776128 +1857345602 +267123428 +737707123 +196132105 +960636561 +307781661 +1321698860 +22421028 +1220922098 +1381233469 +1646201099 +687364972 +1839049902 +921296446 +802642393 +219303220 +359970374 +817440521 +1435413249 +539334790 +1138824906 +1248005231 +62484300 +810418660 +1547677837 +1585571336 +1159958612 +74860820 +538418457 +1940545612 +382636948 +248280411 +60185392 +1120344071 +444412516 +1020821953 +1428125733 +1766111376 +1043242982 +501564183 +999861197 +541960433 +1188929156 +691427451 +1463256880 +1991571549 +910730672 +1823227254 +661528422 +198660273 +215078396 +1800353328 +1446665505 +277562696 +463288340 +846859694 +1863134032 +1623246953 +921720515 +254068841 +1416308917 +1304357463 +502349252 +1476494310 +277217887 +946761768 +349832615 +1705343620 +565389496 +1393075597 +59424155 +1565250694 +1935036031 +1248353311 +109194497 +1250809263 +1092441213 +1019925169 +926552869 +1753969635 +1218585443 +1141631265 +1406839316 +517767300 +1419193961 +1870127656 +1364626994 +1134844346 +1345890961 +138863861 +1388913187 +614716231 +1443221325 +1891262440 +2091210541 +1720439212 +690540560 +293559508 +1278299184 +1255930057 +1686635106 +1337723339 +673697103 +1474187489 +438593003 +782891600 +577513104 +1531034216 +1802816770 +1504065973 +1137520203 +873918565 +498213590 +396875871 +1391685865 +1917407551 +119519880 +608829211 +904768249 +1465410841 +747693073 +146197789 +2080127072 +43430750 +2037460229 +2023853965 +1763869962 +580517141 +169929826 +894685498 +1836447198 +1856564932 +84925189 +362660653 +1183268773 +523518192 +1145552254 +1760781877 +2054552408 +800885376 +1117364202 +1044588964 +1674803941 +1615577792 +1441464835 +919006158 +1385501695 +1560984715 +1527835369 +142786297 +878911909 +128044794 +288984086 +811555333 +171475544 +178960667 +687925651 +1935345506 +759477808 +857855477 +682547356 +448441359 +566936761 +767472546 +811102012 +1750205534 +1290990738 +1956654266 +1363503763 +1198059499 +610055994 +333384317 +95164815 +137376287 +1948962109 +1536629650 +1056382445 +1186980156 +950130718 +436734167 +1329766453 +1829042627 +564778961 +1618750539 +493114312 +736254506 +1797711206 +1181039963 +524116364 +409705367 +2038895440 +1206663721 +858146726 +458348553 +1974136267 +1669248738 +61070439 +1117643357 +1478419357 +1424574202 +168219208 +2088475351 +1757958519 +263384023 +78367991 +1559436980 +1800013674 +1134750436 +598933489 +602660744 +1571484603 +1928699942 +284219723 +2136263565 +1399966834 +777334035 +725034423 +1050194392 +1958373999 +1249150787 +1459899759 +1849785791 +308330860 +170562837 +160650697 +134983479 +1839811576 +221721136 +1252626837 +1170747285 +1646295339 +1420846045 +1111738988 +1256770210 +1684230069 +1190106979 +668723543 +1336760095 +177373768 +1267657032 +1939420839 +1748858371 +1048873326 +76156914 +1737638288 +301356512 +853490949 +315189063 +1351550905 +664381300 +1564339851 +663967016 +366683444 +1872670711 +834529854 +527334141 +2007654191 +526857782 +749055277 +1112797380 +1697605067 +247866968 +386159777 +661860407 +1504637179 +2070389846 +1851967387 +25877074 +1259666293 +2029341155 +1293534106 +1051603484 +1630715878 +194923784 +1127760398 +1220870519 +496280297 +1981251348 +1536059582 +1847831202 +498149000 +952915785 +364314570 +864832444 +678102849 +1198844424 +1392166585 +538273392 +1725702206 +2141221863 +1651070772 +1275823625 +241605183 +2037230549 +1937684033 +1746242362 +1960136748 +1642167772 +1772119436 +1072319393 +1524025279 +918169894 +2123922878 +1007257509 +1113093679 +1104199628 +80644380 +1609373976 +937967328 +1616703963 +1309721530 +1436116329 +422136100 +1674036100 +153465125 +1100238949 +725396877 +1545631711 +1638512341 +303615435 +1539369926 +1142099465 +1579439061 +1780975109 +1031846367 +1369639446 +1379733824 +844499467 +864323570 +1004369612 +1916818860 +240865201 +1922539507 +1893258090 +1248122710 +888149538 +849974071 +1328767091 +350039866 +1787941399 +797987406 +1659761396 +1076574080 +1220123506 +1186313848 +1230039206 +172878808 +1911710725 +628187269 +1811391149 +67842513 +20073547 +806006967 +1647281574 +1801048656 +1837853334 +869437372 +1033298832 +534869153 +1733760942 +2037668445 +304204365 +1974626143 +1812724304 +49978808 +1075265205 +553390194 +899952879 +256548648 +903430060 +540410630 +1054536054 +415707808 +1616984711 +127175913 +1602021656 +699540269 +300054721 +1366248734 +1327727538 +2111445870 +1434091247 +1347801085 +769969189 +933889173 +1001366093 +460338875 +1803326545 +2034664926 +995208028 +1389603839 +1924849723 +1299412394 +1216746334 +1590090379 +1349391202 +144527891 +2143480573 +101860433 +401076540 +899426985 +642271063 +1455612594 +1315134793 +111772126 +1582788507 +769672801 +811312395 +1882843228 +2135921535 +2139039933 +1846805451 +1422529134 +1339357370 +469290992 +208934659 +193239816 +929629868 +2012261204 +80421094 +1924837896 +1254381395 +2005270817 +1076766642 +323644081 +1447877548 +278674196 +468171973 +1443874473 +380534629 +869248513 +195817810 +1022805693 +177377459 +1510952603 +1134577819 +1760165967 +133141756 +1945890215 +1495525547 +121579644 +1937446500 +1194847350 +1544108778 +1129320223 +1664138343 +1753043438 +1322560039 +446284563 +1617820994 +1402981133 +223638811 +724718742 +1260768302 +1300405454 +1048362823 +561162202 +1579079650 +1516534796 +2005036675 +1959614280 +238299661 +53370837 +834936325 +415677121 +1564323440 +1969514144 +28359440 +1697465196 +1767920711 +1523884987 +1819044840 +1557883564 +571248690 +1215669971 +539720139 +87903385 +821229761 +1862280178 +534187948 +291567107 +1117777663 +757826759 +1016285849 +231062317 +2058232213 +2064648673 +792224519 +1489828216 +1433699821 +649777546 +1301958848 +1671999483 +703148383 +2136895173 +2087676604 +119988175 +1958925669 +2116036044 +1817453371 +1579362733 +1492437383 +1489014564 +989762649 +2063686073 +557200887 +1529482788 +4105810 +1378430648 +1244279318 +538293758 +1669997755 +214573333 +1296120518 +538799957 +445635650 +1206869083 +455964982 +1237860169 +549213651 +1889664803 +1887637715 +1851172499 +1414180638 +443302450 +1840584024 +1354373594 +563290625 +1652026046 +1322925990 +233260348 +1083905131 +667879726 +1722274912 +2073667780 +584082151 +131992151 +1455666920 +588187962 +1510422799 +552462590 +1126481720 +1032936907 +767035923 +275118590 +1571736864 +1212671573 +1481987674 +2027701846 +303048094 +2031201325 +1769883001 +43202161 +1734890177 +1036579992 +486504611 +1427990553 +243469938 +1049795236 +932532951 +1566395929 +1283055584 +2016438082 +86792007 +857846849 +1942622214 +670874158 +989839000 +1250805486 +1259062120 +352778152 +1803268076 +238060193 +1385715059 +422820351 +513178783 +809968275 +1635491924 +1995166457 +690186473 +1938540018 +1878884135 +312585826 +1981742179 +1466290664 +1349165818 +320763142 +746797569 +1592635757 +1370558378 +1679330521 +1011548038 +506130315 +1548284955 +1098340045 +1363977164 +1343423522 +1769214203 +206332516 +446745360 +880792676 +559110668 +102529789 +1118852869 +1944825727 +525350140 +1632031652 +607310354 +13358417 +1479714462 +1297496827 +1951898435 +1211114949 +1610082654 +1786156967 +529921965 +811764824 +2106920109 +1276719534 +256916933 +1329994840 +808566407 +1268464971 +1836125155 +209367715 +219321368 +1052618671 +1552791237 +1988535572 +1258951187 +1999536597 +721844600 +1818061856 +2102066386 +1840697469 +1615403935 +479932879 +1325245473 +75230642 +493291296 +657476287 +1372727469 +297706083 +1868591236 +835326475 +2083863050 +251029553 +1647091300 +2043299512 +1527749088 +1904008233 +1225810704 +188831847 +1024989557 +914452211 +398199562 +1244310925 +1967070882 +1950990799 +1085362849 +1078538421 +1803043749 +1807207449 +749116629 +1757626487 +1500421270 +217036917 +90075718 +678183096 +292267559 +583367014 +1335659383 +1664995028 +881073098 +1056766972 +352837856 +817452500 +1307796525 +1999929156 +713268364 +688061965 +1756453741 +1939079068 +876893813 +633959650 +706047631 +1275093375 +1878270576 +525634865 +1078600527 +816149777 +1604173287 +734160628 +475873579 +205806268 +344303467 +1976294849 +422843185 +434379186 +506994297 +715110744 +1017746200 +1842653681 +232622125 +1898819298 +751937005 +585459981 +568788151 +2059733530 +437905489 +1282056515 +600311848 +46875582 +1073651936 +1477205661 +680835233 +1779699567 +604815388 +411622161 +157850785 +1683415915 +1227771938 +1762024072 +270092895 +1703645517 +1967830340 +614396363 +1532456719 +243189878 +1048775549 +2039451016 +958300622 +2066521749 +1734621049 +1190922747 +1817857400 +339074406 +1776382728 +239161903 +251324289 +66804569 +1521218418 +851636137 +113680152 +447386706 +181358150 +794515385 +79602626 +786173538 +1206137546 +237453411 +322105806 +286425836 +1999477483 +592198701 +1990071354 +1819824175 +1206595064 +1375044425 +2063014053 +107886965 +1267011793 +873831028 +26925067 +854149195 +2064753775 +1844782467 +1193223601 +1693652856 +2083944370 +1444547890 +1760457425 +1457679140 +148700379 +1874137577 +1905065847 +330058529 +521169314 +1984668473 +1116232068 +1727306860 +74638236 +1438337874 +2013732697 +2074115719 +2030536575 +1856320403 +1746456246 +1089647992 +1083881180 +1661986652 +1197534957 +203409325 +388334032 +1224460024 +1057558520 +305604159 +921758843 +103298474 +1999257015 +858219565 +1547846364 +1612230793 +168415058 +1696546744 +1338884722 +2073480905 +2026605273 +1860054037 +1910665730 +995353693 +1439877249 +1985303966 +286207919 +1306126298 +1911936037 +169260847 +1014963053 +1510908635 +1258908839 +2098844233 +1025411639 +308960148 +154769911 +1413745671 +1533420173 +1212328431 +1719349831 +307695368 +1315626905 +1571123198 +1165914934 +715989622 +1035870343 +1334329992 +265052718 +227271418 +1260327249 +144174343 +2087325455 +1023509331 +1139528037 +1379719056 +861329649 +1425735956 +538361707 +625782038 +1594996803 +1553324760 +2136690673 +706421994 +1504685346 +1014618665 +1015382143 +1659455257 +280880688 +401318668 +724300040 +2000230519 +709014036 +2039926946 +1423870070 +1874928970 +608432920 +312256765 +1061775314 +873485638 +539528183 +174618915 +1017659981 +479369990 +1198128246 +9704370 +1859089047 +2059457895 +1435440327 +249967106 +537756285 +882953482 +1803291866 +526963311 +1589375477 +1160493564 +1541581976 +457273972 +672465173 +1822462664 +858592640 +1396765214 +1675209536 +1567606676 +1289208512 +951595958 +1295051999 +1897641432 +1263852723 +209343665 +623643422 +1803380907 +383962581 +1641303403 +135267249 +1582090827 +1651007774 +1994356296 +1494065075 +938964453 +96839754 +2031821360 +1821917935 +1900131621 +411301023 +1263809764 +913141537 +1952882999 +1721083736 +1585606711 +1627862016 +432192728 +834888277 +1155587904 +1999799405 +2124096789 +2107183862 +1147367756 +1874254573 +1223552937 +1356711421 +350414347 +879450196 +1740674002 +1991717750 +1014717446 +1175281182 +1495241876 +861590094 +521862609 +286722681 +958429849 +406200321 +2108640617 +711077822 +817501345 +1224966733 +1624219359 +622900696 +798566822 +1062342422 +103279064 +1230759550 +1897230699 +1258866968 +1083075307 +1873843840 +1218567182 +82959415 +1600614765 +294636472 +1439670837 +1951029112 +1174086668 +1032861191 +1795263215 +41320466 +60658725 +1143021443 +902910561 +582521334 +1429744125 +1861340410 +988721656 +1390901094 +424934584 +1806223001 +468384179 +2049153943 +281640049 +1266951001 +964012718 +384919114 +350226904 +713759769 +1643786082 +1433302211 +440119962 +714869617 +1516261627 +2040734727 +1009506089 +808448816 +1844280192 +36109109 +1841310007 +1492059759 +77429576 +1901968733 +487597554 +980340137 +337006419 +1917341679 +694196899 +1325728075 +1160759125 +1119131483 +984467428 +1629143305 +1020801778 +1266107478 +748610658 +1984814496 +1651026592 +1098837562 +551090618 +1147329026 +384656126 +991210580 +1862198643 +1900917753 +884461659 +724221084 +561882921 +581258203 +760330194 +255709280 +2073317962 +837759770 +10194365 +413431869 +1818099907 +347200785 +183289900 +364813158 +1672928860 +1344049026 +1483944641 +509912641 +825708683 +357262771 +1776020119 +1574319341 +194593620 +1279563063 +525673256 +745684238 +279408441 +910329382 +1736894818 +2141607085 +663763487 +473872829 +718344521 +1225646408 +1055131033 +1478674715 +1481355688 +980965347 +168950837 +1491550054 +1394397216 +1987050744 +1838750839 +1577687117 +204380254 +1364196051 +774252495 +1688324895 +1874108692 +1599961178 +2045587667 +1502645163 +1026796871 +92697639 +634724578 +1552470127 +838381877 +914133020 +315315861 +427793047 +908256457 +979079348 +901665876 +1626600978 +57242108 +1956796909 +957792046 +1538597797 +790278609 +1126742883 +882664203 +37192177 +966309980 +573931394 +1614879294 +1170690234 +1938127445 +241648141 +711531482 +1664752490 +1841609319 +609635501 +1019914005 +720922543 +702333140 +1654638584 +125909022 +1540715017 +421287956 +441224884 +1968508064 +1329544413 +1420304232 +722690292 +808661743 +1477546341 +532003554 +1766453789 +868660490 +1322282163 +745713025 +1751324693 +1359474340 +1712023005 +177772439 +826869987 +735229591 +2115899884 +1068518128 +1446761073 +1633168726 +762643800 +2056396574 +505599084 +1483566343 +611246066 +12754020 +1609475365 +4477435 +434041976 +2050700249 +1972985499 +1763586389 +1323520834 +548192144 +424764484 +653583527 +1080195698 +43734626 +1522244017 +254994213 +789447651 +1126085062 +1614468553 +353987008 +1303857501 +293854892 +1089216599 +1272273737 +1362373021 +388494025 +757958816 +2125016821 +297406951 +1263557900 +1461099516 +908653018 +1276311920 +923091233 +913130453 +1710353896 +826307835 +738632305 +1326456637 +2345021 +1286824449 +1751221121 +655928548 +219536499 +1794955747 +30688917 +474530712 +436919750 +1156773979 +2088999265 +790906758 +313147832 +235370510 +1880123358 +1585421569 +1597743531 +121133735 +195896737 +1575276704 +418540686 +1459454637 +888892572 +1327193704 +588282909 +1811983805 +92840510 +151153157 +490807992 +831472815 +1477609794 +493153013 +2118297264 +1081347268 +1149081561 +190350115 +728819367 +1179770478 +664880827 +1165739118 +189060809 +606396444 +1956645876 +502208641 +841766954 +1689285586 +2087630211 +292026837 +1810419321 +136043300 +1867303541 +81476360 +1595497938 +608712465 +1408670064 +36297199 +273212623 +1501510574 +187450357 +764020615 +185499741 +1665060151 +1257173629 +156313357 +598923771 +258771542 +346663472 +1327743139 +1438542021 +1011544299 +345998609 +1627602830 +1617940744 +155160837 +2129811472 +312224050 +1844446424 +2069958035 +604250888 +1507382097 +58517687 +324070781 +1588858457 +1654015625 +932783247 +850044874 +1690312825 +1205995870 +204071800 +1877763182 +1970016485 +389571542 +1395339685 +1079706466 +545884899 +1994263457 +1338478009 +892548372 +1174522948 +629536382 +1904092671 +1520521557 +109655564 +1374549767 +1675682394 +91983388 +1686773818 +1372645170 +14457775 +143541058 +732543620 +72975463 +467611839 +173918429 +1726991088 +1400395086 +1023963303 +1269820265 +458907308 +1228035104 +1000099799 +281440146 +1617606646 +247955837 +1361146612 +16007897 +94735646 +552140973 +908556269 +1269258594 +1181677355 +665165293 +642296503 +1291332920 +2039715060 +170495249 +1383316308 +1579005230 +1543140420 +1397774084 +1722546288 +128200392 +1470749547 +42674480 +302118821 +1050256987 +1443069566 +1326082125 +172593605 +1901976875 +406633581 +1172693404 +35933373 +2024240227 +1420649241 +1397079985 +2040248124 +1515384887 +1949220959 +801320746 +637159833 +983414666 +1466486039 +1279456336 +127263938 +1358717451 +1449951586 +1510580247 +790239034 +845608358 +760870683 +365301674 +973808750 +84136582 +407976154 +1275927571 +1134393569 +1851045721 +454526048 +1306987174 +1605538948 +861159629 +332196931 +1641472321 +737916208 +1752846172 +891068658 +630680685 +1120747412 +692805969 +1432001431 +1757907245 +1676220636 +751003822 +889879934 +1803484574 +2109721273 +192347872 +1166581173 +752476659 +1037956230 +1927451856 +1117778334 +2011764980 +2011588438 +1525754488 +1140208903 +998498360 +1229316561 +1594734952 +158001886 +687371861 +308410933 +490198817 +181360534 +1046327142 +95561342 +1072429193 +1677007827 +1216308754 +1765235162 +961525610 +826732351 +1293972150 +1712529432 +1716612285 +949973077 +1674767057 +1908960157 +2116554250 +279760069 +799432739 +1896522459 +1397538403 +663714071 +1760627249 +775809243 +1803922975 +611641961 +2005125805 +1251174279 +769643848 +545014018 +1559585212 +1259842665 +726374553 +458428706 +1355404007 +1798803746 +2135436533 +424229113 +1416555260 +949478495 +1250961465 +563043763 +514524279 +820090102 +1513016840 +41807689 +581566612 +1482087442 +321567758 +1380999351 +1231126253 +1719106161 +2044713423 +844269855 +347431756 +1701152750 +1455911816 +205073913 +804843381 +78072016 +750087932 +216944945 +1337914682 +1476462485 +675373652 +545835041 +1127782583 +663326537 +970064155 +396854195 +1612805033 +73541972 +959897958 +2127329312 +893632074 +325431150 +21653353 +1475198686 +1807518593 +343221111 +708714390 +891161198 +2062327272 +605944165 +1735431053 +262275381 +159613267 +1043859222 +467349294 +964456648 +1121931238 +1217437226 +1181401593 +312362272 +546416063 +1856775245 +858197314 +1674198646 +372618135 +1828261469 +2071052842 +1985423168 +1901803441 +883467152 +1965268832 +647951867 +1208898303 +1986922186 +2123150554 +868933248 +182659649 +684381296 +1760094446 +97503274 +1290325461 +1348041852 +359778655 +1449938728 +244417426 +827127949 +266911728 +1366348664 +2044565176 +1448313321 +1678710937 +443497591 +1157604919 +389424603 +2117696238 +1530223054 +70202424 +2041265432 +1368162574 +1972005865 +777248936 +1185947758 +472474084 +1986147239 +1025386296 +448140990 +707596839 +1208045946 +1132522286 +320207638 +1305549220 +275364099 +1668249490 +1665327875 +1725302827 +1912666916 +344972176 +1992214555 +1131531932 +242053704 +1293044229 +662759221 +685551296 +303165500 +1052183824 +655763886 +1833388554 +1122386248 +549545670 +1054067480 +946908465 +1326794606 +92531590 +1419382550 +1165458198 +1117917887 +1867523540 +1873055037 +178480185 +852562179 +45779027 +1484029405 +1127926278 +1714028517 +1001873632 +705745458 +1479211785 +1346845808 +550476365 +463260070 +1588899513 +1843520594 +1126019291 +126967161 +2146686094 +30719468 +782731047 +1832591000 +1153105716 +1332276717 +739174832 +2100014182 +511587675 +831706423 +1371913084 +1677045873 +1949624310 +1091952976 +1402617263 +2128104495 +1944515155 +1448396290 +1464650252 +924957786 +1014941160 +319040236 +1630703244 +346669297 +1665886044 +33695961 +809929367 +1107301909 +1877216556 +1935948659 +1234269070 +1876419002 +1966668127 +2017000117 +1561526355 +972290195 +1201793186 +153217539 +924820729 +1713380862 +984923962 +149250165 +1242943087 +787064624 +1241203142 +498076702 +767685471 +1038234649 +1946472993 +84852075 +1963192435 +813930505 +403892311 +1446412031 +1160599802 +2069778356 +1480107993 +1970529170 +1029596617 +1209840901 +1758994181 +116382040 +938776255 +1578178660 +2133382157 +352818962 +402985207 +1187691696 +506036502 +1327805937 +753588910 +1490960464 +1477056102 +1996531997 +130541441 +570775596 +347125052 +898226912 +1609010246 +146114397 +983078988 +1424719033 +960044902 +1386971299 +723647417 +2120644704 +1309266007 +56271762 +1943690226 +191378977 +1266112663 +1555200759 +307761017 +57405270 +985895771 +293659526 +410224233 +1388880979 +1481351222 +916260735 +569203268 +87456484 +259737551 +2046259370 +2083988482 +390278992 +469551319 +283629886 +1288505905 +2078561565 +429744283 +124101245 +1355796950 +1389789185 +1511072544 +2079444367 +1362950241 +672854904 +2135716129 +1159156820 +864233881 +1254345144 +566873931 +1171994898 +1311750415 +1552769703 +1465654424 +1721974648 +794167034 +799521999 +490751735 +1363370302 +886978483 +750489286 +1262146024 +823483317 +1140768279 +1731697343 +1107113203 +281790536 +1662775260 +1536857486 +405891781 +871088563 +779163023 +1916964325 +803049282 +2142113265 +442335581 +791281764 +1153786437 +1306569462 +2045626908 +1720660368 +331080712 +1209893675 +1125946423 +1796735137 +784384675 +1920113457 +448773488 +1275136410 +1136000111 +1335751971 +2025625697 +250662488 +11751641 +1018910328 +1982359831 +1118864844 +1300700864 +1497651444 +508238683 +1706592645 +221256359 +1287401706 +1476073322 +1024305641 +1282031323 +1918408904 +1815587405 +288334112 +1077494718 +1713730666 +2008994481 +1408575431 +776140693 +987457256 +1057826920 +1560525369 +760087066 +1506600408 +688178131 +1896087177 +694868731 +566320180 +2146749665 +706620372 +1585230508 +1981625849 +1825485217 +738447724 +1331793645 +186240252 +297556721 +1553050004 +1473641958 +1773630044 +429871997 +608189634 +1544555300 +97975755 +896523746 +474566370 +1811706421 +758034579 +1883141801 +440363466 +1745491836 +793485073 +2000888835 +358095254 +152601833 +541583319 +106698783 +847470565 +1107903499 +105964801 +1554090937 +545650360 +2087590650 +1232092506 +1284098084 +1271900647 +1418332758 +1581654806 +677467003 +744491069 +1207801202 +1107339000 +1352680703 +604872854 +1205314755 +101720801 +1079439224 +869537528 +859755381 +815097378 +1309900995 +457763569 +1608582451 +1163306182 +815858823 +1761184285 +1704889501 +922557606 +461171202 +665309353 +1028522407 +2015262139 +1210959713 +968629409 +1099870998 +347574149 +93046408 +370720108 +1929228955 +770513411 +1115211177 +989546509 +1877852412 +320408232 +1594419363 +935683519 +422129034 +526374940 +1805221048 +1281884415 +1341472318 +967638395 +1739647984 +802571121 +2130944577 +408023159 +416271758 +1688350431 +1330580765 +877442960 +206176136 +211619525 +745221452 +1417135849 +1180248934 +1845092450 +1764709998 +1273295343 +68328910 +1546455306 +2043808754 +1183540088 +388518167 +1774177518 +1503948320 +1982937531 +562377390 +1926077354 +361828823 +220114790 +1060478121 +1703301141 +1187753185 +652642457 +358388614 +1171214114 +1060665616 +774660373 +712080897 +243762734 +1652103333 +918257033 +455382259 +249841137 +187909234 +1635631193 +2094933587 +1952619233 +761442888 +15778850 +1351590891 +657767995 +1199318938 +1740109058 +284461865 +555783610 +1575562941 +846839255 +334377317 +1937391764 +1066954045 +1394855438 +1493209257 +107223582 +2047497896 +1851597872 +1278437697 +960679864 +478774597 +1990518594 +1204442598 +2130877930 +761291980 +1659824857 +233235420 +949201214 +1147972403 +180685359 +754336799 +1909415291 +196464209 +2105927690 +419699638 +1395783147 +1698553101 +704161504 +1951566758 +1126632394 +1551000759 +138460427 +916540511 +470471157 +1533315865 +262266120 +577694739 +1433330113 +2113863992 +1856132436 +246526330 +445154941 +1699167383 +1450968928 +428549224 +312975715 +963310138 +661784644 +1262176929 +2111282541 +842470003 +2016513729 +1873214184 +1038934213 +1974957771 +145430175 +287233712 +1526027224 +849591679 +91316822 +505175971 +253108790 +229777249 +1421716482 +723579947 +1763093115 +1683982602 +1301274687 +1048939580 +1650362947 +1009923475 +1295465910 +2095517888 +561607210 +598951191 +376583464 +874582925 +1562261329 +1038368108 +2136759855 +1526060222 +1880838112 +2005789936 +1251790758 +772288677 +1833264059 +1397220933 +1059522389 +1211807636 +99328964 +1150839212 +1716983607 +352437755 +1380616461 +991216441 +1076017702 +996225928 +527715395 +229808741 +2045165509 +30594694 +1239732217 +1193147771 +2126112583 +1801339427 +1792098962 +355212399 +528438705 +1206876643 +1393580508 +517714912 +585453217 +1126934972 +376021200 +1837243976 +1899223649 +61801611 +1086981261 +811262390 +1273609247 +1186310226 +1962101602 +843109206 +1538747981 +1195234416 +1834325647 +467282035 +43976696 +214557395 +697090777 +2089142205 +245152089 +1936822994 +1134806329 +223781024 +1590678773 +779421643 +578993424 +2119117478 +1986298287 +1972573932 +489348742 +424267856 +952025256 +865369942 +114028184 +703765257 +927171554 +1201009446 +1515027647 +53297153 +239836024 +1329645602 +896406360 +1778584005 +377396370 +583248359 +98382392 +421373066 +797805754 +795473169 +363031624 +1042957844 +584812515 +1497837953 +1266738868 +28007641 +129775948 +1845732292 +2147125119 +2116074235 +1670822576 +488990214 +392858444 +475364184 +1354360156 +506886628 +1179129441 +134048062 +1707896074 +546673441 +187345216 +1947732098 +1876319043 +1083751576 +1578832455 +106231765 +1666999935 +1677214848 +527604831 +317322042 +325204369 +890636455 +1360279886 +910016885 +240990760 +479535106 +938024526 +370766709 +177783751 +937665997 +339357296 +1848606327 +1426656211 +732215740 +176486864 +633532720 +1239102369 +1355616305 +767580782 +799514795 +1902289746 +954925998 +599763246 +1631125141 +2038677574 +31112053 +1737356906 +1558193862 +1708326901 +117478090 +1875515904 +2033531271 +1008114545 +1088312142 +796064508 +1249105306 +1567847248 +1734089034 +1619872015 +1745630999 +524271383 +1959229311 +1446753679 +1950927595 +543961404 +1623240543 +436976667 +1783063773 +831373200 +1204557449 +435094920 +586179299 +11999800 +1034858166 +69820792 +2050677374 +1065970220 +1807177699 +1461387588 +626813473 +1924655789 +1189419844 +512861096 +785286686 +130248338 +1308925604 +2034391992 +1698095587 +895530990 +1506780359 +1296242938 +1419802374 +1318526023 +595512969 +1223246321 +1862487427 +71269864 +1660222988 +1498067552 +902643065 +717296789 +1933162472 +1488822364 +729296589 +820536991 +1558643156 +632490316 +1886507211 +1218337207 +2093877904 +365837036 +995509348 +1135814101 +878698133 +1780796035 +1266062439 +40140089 +1667704379 +816674378 +935671080 +1027001091 +2112917317 +207989806 +198043466 +560946638 +1431236127 +2060530893 +632216503 +943975467 +1411114797 +1534859568 +1661272256 +1196793621 +876198284 +243085198 +2017330612 +287357792 +875575514 +1756354175 +1505695000 +821969770 +2122191212 +353720700 +1957783871 +853405697 +2134516735 +1076362663 +893545786 +1654737467 +1893037041 +1829216866 +534254910 +1858470710 +2037206672 +732298376 +271933701 +1320959151 +645345621 +904150204 +117450970 +2056460418 +291526124 +1778723227 +1105770391 +1167724408 +2021808425 +975617356 +1455082200 +749900291 +584487883 +813293552 +1571870061 +559195447 +1167014253 +1382170285 +1412601144 +1154047340 +311049300 +158663283 +661301159 +56602693 +1987880149 +1195556069 +1915073404 +1877603174 +1927854445 +39523457 +1051078677 +425716418 +943673661 +1168529648 +334693188 +1235199785 +799769227 +1440463580 +255440545 +674094004 +268597288 +1710522745 +1423994295 +853085171 +376332650 +848380708 +1412280619 +1543346903 +83067345 +677398115 +549910595 +394116645 +836061398 +1211211755 +450719339 +676457900 +259284176 +218309095 +406577426 +39654974 +257832552 +1457656103 +465371392 +1201506213 +478702103 +800064581 +289222350 +1278471330 +93044513 +544662895 +1952565334 +361641801 +107701992 +1229075981 +1214726972 +484034642 +2077456690 +479523943 +2027381545 +13040387 +1156922059 +429808493 +407157033 +1992983457 +1641020248 +857876372 +521957709 +1900304424 +1076185467 +928535135 +1939959398 +1334018019 +238707591 +257847143 +388040584 +717409694 +1057911724 +677262934 +1995881025 +1150956237 +1221925829 +1800962711 +1512598038 +1329627821 +882555045 +579841362 +1813662464 +812528087 +1059365306 +1693560361 +825568474 +68803717 +2123368854 +1232725507 +2061787174 +1616905454 +2090601879 +436261236 +1369726231 +1019303698 +1364796371 +1162201981 +205838069 +1603503962 +1420049124 +593878653 +173430009 +330477200 +1271141587 +21827386 +1481433437 +345583768 +1822790097 +846547827 +1675211590 +557861494 +1426389190 +1341390406 +1370389581 +338270848 +887467119 +48474408 +407074565 +863352326 +1281199915 +321378091 +332774132 +1224318147 +757639327 +1702500363 +96138197 +2122435699 +717218697 +301976267 +1578456013 +2137267821 +895854920 +1751886022 +320261374 +19512860 +1773713408 +1801694811 +365096628 +1449019858 +500758991 +2040308218 +2006881352 +1927148181 +1234214976 +1229787286 +117935381 +2121682096 +1278261694 +525009946 +837550774 +411977961 +846388037 +1170324906 +1636296108 +1604027365 +725341622 +1732434306 +1578979416 +1442560319 +2034410573 +1009951781 +1432344492 +782781845 +614354156 +1752605866 +802294705 +240583916 +1406817030 +1167391334 +1689603774 +1907576021 +1060215904 +1549001479 +1687240554 +146947233 +631305117 +1805175935 +121145681 +1909566811 +182702233 +958696455 +174061124 +1029090270 +2129021361 +1810357233 +485633987 +706879335 +1395307891 +2064613403 +1956006 +1282234816 +927081537 +1434300499 +2065016661 +1541435693 +1039422717 +719827719 +1782019609 +298756099 +1887219053 +1324139736 +58848472 +799951309 +725657567 +1746089026 +946898542 +1356962684 +1403781313 +1068044223 +1119045847 +1586483546 +2026740678 +1293106971 +468090169 +2008278392 +955980556 +953724156 +567674079 +203804799 +870853912 +569630086 +1486039615 +1797935449 +2003930585 +1403572629 +1191887494 +895869654 +2123400348 +826423455 +1194625754 +1863135753 +3079543 +1253474226 +515603414 +728737110 +852079605 +1462501957 +2085699794 +108377270 +383062532 +1057261993 +1694860817 +262319563 +202885317 +15467338 +123114307 +1158865873 +969191494 +690788386 +1362670673 +1840045406 +1260418472 +701226640 +1490497207 +1116865409 +2104799269 +534901053 +2012735064 +2080715969 +1361324509 +1059877170 +1796368074 +1364404052 +165867748 +164487841 +2093141163 +1017947353 +1626989798 +2031357309 +1126324624 +2010052330 +941135655 +673701793 +124888245 +1144020972 +689169131 +248002552 +155403197 +1658360625 +938790939 +1518073870 +1350922384 +51725763 +71816863 +693935943 +1168591173 +29132484 +1228836997 +1033842589 +2109848454 +442677858 +2093719759 +1758732880 +1807081910 +112103859 +1923220721 +1752739425 +1130051213 +1402726871 +1636613087 +108892189 +1265295554 +430265094 +782593982 +1390183799 +1574286066 +1471763113 +1638186352 +1729689263 +982640090 +429493643 +1100279486 +186078826 +481219406 +1172096349 +880014770 +1649810579 +1201228833 +2108851767 +536169520 +1163593639 +404045977 +482405631 +774842872 +63644239 +594509491 +550579945 +1816383665 +1724560704 +1953306817 +1305513104 +1833452893 +1071118723 +1735778198 +468563227 +313818874 +1162580616 +1940326340 +1952005226 +744786231 +775482782 +234015221 +1845065717 +961561609 +715234628 +869678418 +1841576379 +217561559 +2070907252 +1802944498 +753731080 +1087017243 +59506827 +1236136711 +1861860115 +123151066 +1830646202 +264956413 +1939534731 +1407723258 +70779582 +1097564187 +1093692503 +1141898305 +685858737 +1562255730 +1455717179 +1848439353 +1355098422 +1260238758 +445741937 +2130581205 +1494253979 +143324006 +944659166 +62004959 +1013002425 +638751897 +279566519 +936426029 +294212747 +1033297599 +2023443272 +353719574 +121950662 +1737819740 +476870640 +1952596865 +2002776153 +268921724 +1212836475 +2073555735 +1366485911 +159045331 +1067970392 +2052344649 +1721301061 +376203923 +1753300354 +928915836 +1636442681 +51558643 +912013393 +983213013 +194882650 +1856672559 +1045217972 +1207885075 +347940808 +1324784491 +2144311104 +642153555 +210598442 +2020270728 +995873129 +332549105 +1610606820 +1472743769 +137662322 +1465899325 +1741665493 +1350498797 +1391971412 +960667757 +1509544128 +312458156 +865528758 +1083361542 +688662080 +471345464 +2012277378 +177621113 +522904108 +776807123 +1160834126 +717786758 +485996034 +58568451 +1925671833 +833936842 +1383352942 +1922499289 +1476090397 +1593951385 +1795286369 +324479878 +1926500490 +1258409542 +1797223647 +2064162812 +576825219 +1391405493 +1267177961 +1968796632 +204589602 +629238442 +133771140 +1070118360 +1712599984 +822433220 +1541463824 +1577393714 +1000054334 +2064367932 +206717189 +13404812 +634671042 +692713223 +71973263 +412859227 +1526650065 +1455326206 +187874868 +855256814 +901793943 +1983161238 +1179736692 +680810785 +1094087132 +829476691 +597489949 +1670912351 +73398536 +1864667910 +1492225335 +277988138 +346422704 +1625996476 +1348106498 +2059022688 +300946048 +742086675 +1488932754 +1301000382 +658970959 +1695649943 +1314405195 +1293642002 +240879518 +1386378458 +1706501229 +1767529583 +694221016 +1894376098 +475302749 +1596014959 +1730053688 +1655039441 +129342096 +676657172 +337032485 +726832045 +200085875 +410431021 +444016308 +1692311211 +688419160 +790439012 +1170824039 +2036525658 +701978053 +1471770087 +631128685 +43427159 +625286822 +1290099645 +1739077103 +1939692017 +436257999 +1979956621 +1178586827 +2142759228 +1600002557 +1872807844 +1889651678 +2075305306 +1321339155 +1472221718 +1582861100 +1450681252 +1395242 +1919893585 +30029649 +201481118 +182840958 +474045957 +1893792329 +871260118 +1264484970 +917132720 +760302129 +1966463023 +241419159 +1391430814 +2009890182 +866705981 +534046811 +1601483637 +658914350 +970304810 +1433956611 +1837501178 +965580391 +886475520 +1562825374 +707748421 +814297178 +736680881 +32486492 +249674630 +39878485 +33881734 +22084567 +69908135 +235362852 +204925526 +543954092 +2129155181 +1076185644 +1808439062 +898804253 +1836487773 +1627418437 +1140223413 +1080434940 +1489824972 +2006929394 +1614481751 +943824961 +518360097 +437302914 +230297924 +208377627 +1402883305 +1116773444 +1771203001 +2110631726 +1931070623 +360400234 +2143118218 +33261605 +400278720 +29516305 +55346173 +470186855 +264879157 +260271699 +1014140947 +246550691 +1336457343 +675096362 +1145354944 +1025461469 +155031151 +138094709 +2105896409 +1644856123 +2145024104 +1572894512 +441197437 +515900553 +2010197426 +671495361 +724278180 +1265597083 +1788268806 +347997533 +1228745162 +1571855781 +708397767 +1224379732 +1605117386 +1108676487 +1253896037 +1660463559 +1578863342 +1518775195 +1920735258 +445520642 +1765325886 +1109708954 +1120617004 +763197182 +2135170423 +1275648155 +901291892 +2093583184 +773020631 +898832348 +1518994048 +1214218068 +1414732901 +1381707827 +1885713429 +2139011081 +499821262 +1526498587 +339524966 +1728566424 +950870720 +1047922733 +805462509 +408504459 +9115573 +2059358546 +2068968018 +1587978915 +1430650093 +1842219629 +2033499557 +1048492331 +804444935 +1006632913 +1811689514 +792131710 +134797421 +565497758 +738231246 +907818052 +1464330106 +109741646 +2122036120 +731579359 +1491449473 +1860265901 +723106792 +1991270736 +1239280841 +1062631758 +1572353512 +42667913 +2110554491 +230332373 +451172372 +2119670064 +142207272 +372656743 +1560165332 +1572857365 +67392724 +1446181241 +473866049 +871837659 +305330507 +138071915 +1663969369 +440127928 +703569673 +254716967 +1347945980 +20416131 +364458613 +1322498452 +751995490 +1855908087 +1035280705 +1475102282 +1699695175 +127077898 +390250392 +1124565039 +169745812 +353321235 +1354897413 +620918184 +325507652 +1497104685 +993574927 +1885672984 +922478402 +1060967651 +1184370577 +1396344451 +1932805310 +1489701084 +1534416366 +1449291031 +1929829012 +90502391 +1704007998 +1130291344 +110918522 +2068466612 +305306148 +862914012 +1776891051 +1340586854 +190532646 +1329102578 +1467664752 +580783038 +306183969 +1637410564 +934104274 +1661081382 +110845101 +1259611926 +1010702419 +1104420028 +997801262 +1933180822 +17904032 +34688191 +1182041625 +1950709342 +1524389276 +568974344 +1252516726 +1306734640 +659476735 +809041076 +289542337 +770395258 +730024040 +594848485 +1633309270 +359431443 +1935435339 +1823841917 +1688534021 +1255616444 +257141307 +1994717991 +745543360 +1191245581 +1508315725 +856388461 +303373859 +371534497 +1960808490 +1301175121 +157231671 +1978712522 +1335863313 +1339273296 +1781938216 +712768941 +1908247640 +886971294 +2019503581 +420240728 +1696012371 +161562270 +1190635986 +278552763 +756410756 +676461608 +637984207 +544362447 +352819877 +179034580 +1799978891 +609961185 +26268923 +398038604 +1801206766 +1534584649 +1254427065 +2104580626 +1906119146 +1067751907 +1258272099 +2063350817 +898980781 +446651764 +1255140465 +533435350 +1159420705 +1015904458 +1420406644 +1031440639 +1436145186 +968935367 +1193002909 +479297524 +1247488131 +1949413665 +1155759132 +1885472338 +346292465 +1508579010 +2064506918 +2146271356 +2118540195 +2090775842 +396826312 +1772263313 +1477876843 +1651253378 +1729360291 +1236512341 +571521637 +840148743 +1152379510 +1470502419 +1286800507 +260036327 +2003937769 +298737565 +1275940785 +1276860765 +1330178204 +564602323 +98312485 +375697465 +1043899847 +1345800616 +177627483 +52175332 +1083789306 +523919948 +1560754342 +1000812576 +522707656 +1531810889 +944104770 +919533969 +1156590554 +274497965 +423303699 +738467198 +1511010306 +994825336 +1578615941 +515906168 +317844107 +717932800 +775942496 +174298228 +1016670365 +2051883281 +1451158994 +199364921 +469001957 +1549471479 +575062387 +1512901804 +747788447 +752689870 +1565077136 +1831577753 +1276609818 +978347830 +684906681 +1799317474 +362675071 +1629011452 +571367795 +1519265626 +1903509417 +994671494 +110249176 +1267036076 +1989496831 +1688865117 +1782942244 +159857290 +259314269 +411401092 +334155519 +1275984635 +315800726 +1785314513 +1475349556 +784802683 +1187302344 +2050411943 +150220839 +1935090791 +655618165 +1715297976 +1619184896 +1932227983 +546162158 +156607929 +1584061810 +908837230 +1785619381 +7945957 +280619208 +1541645151 +1002617452 +390868384 +661197579 +844630635 +2079733501 +296656175 +1004487925 +191564122 +708057268 +1338643444 +1467548757 +1023857994 +976474309 +795414666 +1808660677 +16293005 +698342961 +1958881516 +1951383796 +1353961127 +1526695844 +1423085044 +1138705462 +2072858003 +1579692974 +575283624 +834211585 +1217828707 +583229582 +1114830793 +611990210 +1585847034 +1505699177 +1273187789 +282994021 +1437949030 +1569843965 +1287481946 +1629513152 +130417585 +478641743 +949578262 +1154275579 +1455116052 +1744992928 +815452608 +1471409058 +295852241 +626850476 +1275309206 +1649813368 +6062673 +550910603 +641035183 +2078920676 +2130603577 +1216318807 +765648613 +1200948636 +1799548389 +1880479406 +1812938847 +1237911775 +1238694935 +938642988 +1520905796 +529160317 +361003305 +660904095 +11189821 +491420890 +1139545838 +960768083 +1645696469 +447178242 +558277363 +313665429 +1918587300 +854129605 +940515906 +1046412859 +356459325 +946578579 +1597323462 +997494508 +878015607 +1580443391 +66329668 +1643664220 +633908379 +1865878057 +1376659978 +299363578 +956306185 +467871265 +1238006567 +329728333 +997031582 +1599009872 +990632428 +1008221403 +2090430763 +2130178266 +1968989487 +1588643584 +429872861 +379783202 +1902309014 +200976513 +1233912807 +695341272 +1247389372 +1590372133 +1641919851 +697229186 +440382993 +372451810 +130188929 +506712661 +2016116030 +764097309 +225107071 +1245292360 +1063460887 +1181413256 +1713163625 +153983806 +1511141589 +562711559 +1752993679 +354290370 +1570932962 +1695940794 +336984988 +1392438801 +1137100730 +766857849 +1772222004 +891926096 +967834363 +858651163 +1587267368 +67740087 +301539648 +1081703571 +764969274 +741922642 +1454155381 +895158203 +1248635303 +1322787763 +1659255512 +1473742374 +420596475 +575232752 +507671982 +2133760100 +729216558 +2018813572 +548988011 +334726589 +225620294 +2119920974 +2030667383 +562605282 +1364876127 +1020284466 +1329463132 +989614483 +1912210562 +149813847 +1848265647 +1351994283 +217553934 +2321647 +286214206 +982523208 +744244289 +1740369588 +1877681412 +1992879593 +915673703 +1389453276 +1319138319 +1336270179 +1964686028 +1826810302 +1322546631 +546418939 +1698140226 +1871534643 +881145528 +1923760520 +1843971969 +764329264 +338882154 +1061364448 +1784613730 +1668345286 +2050978932 +1549340644 +1818159133 +1751760931 +753851279 +2035713068 +1754082578 +1040065486 +870752628 +350843220 +632951426 +600950392 +196239165 +1548625129 +1990403669 +1515377484 +737411660 +1807606049 +1194704138 +2059958292 +206541340 +745360716 +1784009287 +1087686869 +521637588 +1480497608 +1852016133 +860519743 +394378408 +1489146215 +381381381 +297873692 +891003211 +52056867 +2049634623 +1644854491 +2087769935 +1656233554 +537436329 +811038915 +2007076774 +1170387755 +1411989308 +55832291 +571529236 +1254909329 +1571209775 +1308940897 +915031730 +618430266 +1221415541 +1121573071 +1363790982 +857941180 +61776292 +1885428571 +190955140 +1913792425 +598464666 +585333548 +1255454992 +979846047 +883207241 +2146458203 +1031902914 +785358216 +1643829046 +972189201 +294108122 +33781727 +1783228117 +153701248 +1204169482 +1047733777 +209533539 +1775698719 +155159458 +1780743315 +937155968 +1070191188 +251689933 +11087861 +44280611 +1615480915 +869029041 +106056903 +1353425838 +1059984181 +2019849328 +1951890504 +1645317729 +1127820672 +784252904 +381041322 +1126795228 +1816155818 +1166399539 +623140626 +640861372 +1460507661 +656922354 +276605841 +1614208910 +1861091836 +1324339618 +1823742449 +1489306907 +1479499076 +1457002116 +278979227 +402206616 +1708692049 +290067088 +446487228 +1176689317 +1159096129 +552544131 +382631507 +71596662 +424909812 +187038364 +1716914392 +1552730484 +971291268 +2097955714 +532042064 +639963438 +1116871605 +1155182691 +1280824810 +429895619 +1812105045 +1557430651 +2044104529 +1525713233 +734286621 +1720363330 +867536493 +66302049 +1029881799 +1146515720 +468508666 +591090200 +1436582809 +914995894 +1767779517 +448195290 +1467540025 +2927377 +519791953 +1892449837 +189965741 +89222697 +1297696674 +1161257009 +39694763 +1829738738 +1801220447 +1156566369 +837437781 +934561610 +1586461988 +502059178 +344508613 +1483082869 +2027772412 +1078795235 +1055962551 +747825257 +1145097284 +2085844350 +1894340977 +1613605950 +529450903 +1183440138 +381118196 +149746772 +1631635429 +1848658222 +152674149 +3943734 +1593624411 +342639890 +93166431 +743837437 +1503896899 +132861194 +426092528 +1157633699 +1289427563 +1263530309 +2092195309 +728405903 +1765589488 +289220274 +64005124 +1645878252 +1368015509 +1119967676 +246219861 +365629146 +1058328378 +2140560838 +1979235096 +1587779281 +1176517329 +212869645 +1737526054 +660669110 +2061527867 +1890200203 +664612844 +1507668630 +85356446 +757779275 +104022420 +1589253345 +890640469 +530114948 +599403396 +32584385 +1793645257 +544115057 +760990288 +1411751097 +833335332 +824995413 +910145701 +53867193 +1944963089 +1156365562 +419496339 +855807819 +1149442753 +251247788 +296103453 +178476434 +464117433 +2033629507 +839145544 +378161652 +1776346062 +1503758388 +1885830282 +1861702508 +114054015 +1989852702 +1303472206 +1004694484 +372484002 +1902875602 +1037278869 +18645612 +299507012 +1798269158 +1430396709 +1132842344 +475780923 +193058763 +1186709537 +273260364 +1349424325 +1606205877 +1129068183 +351383430 +1857453665 +1425171636 +529859864 +174087450 +1311317495 +1369005408 +552249102 +940179910 +725280148 +290595736 +654398770 +839334163 +132964791 +1957870976 +1844028648 +505448793 +1713262931 +733823869 +524094405 +2012769943 +384609379 +1954491115 +998128639 +860390302 +66230 +37354528 +1133650666 +1349490555 +1643560405 +115235202 +1700873986 +1353530422 +1540406838 +83250202 +1527617872 +704240686 +1452255611 +2079866974 +1644420596 +30052111 +222979063 +151335718 +869386275 +355943854 +2109206695 +565931275 +861392647 +1674985978 +1299755144 +1385487053 +1540272273 +1684364524 +1192494520 +390917264 +397271178 +1192560750 +428271792 +1530921845 +394567657 +2071832198 +1646157047 +2095441643 +1277878972 +1039080237 +31208198 +658013197 +1743320923 +1483463809 +590396523 +1240257871 +1513515920 +813375586 +1391593590 +235418547 +1169319440 +1353316637 +801349822 +2030712088 +880818967 +2101104967 +1268715493 +273607592 +1637985843 +313726365 +664524856 +2035257021 +1506287115 +1092796648 +1418695218 +1900854772 +1017145198 +917368617 +1848812768 +147540523 +1956448855 +1880020966 +805553720 +1552286130 +1216001127 +1395950243 +645060354 +582033399 +61842182 +2036653944 +817451947 +1231161622 +1242486933 +1618801769 +1114390062 +2123305900 +1572423088 +235621907 +249429844 +1062925283 +549348272 +913954700 +950698657 +2055635387 +2006751348 +221910227 +1809006512 +876412899 +1139278845 +1510335632 +1023953422 +948244052 +1242872950 +1829507142 +353046534 +311390429 +1077973737 +998106888 +893423828 +1139815919 +887277184 +1710875775 +223493894 +2129764117 +1182193897 +1337883956 +2105586369 +607133337 +1573505864 +207532565 +1670058621 +2122854136 +1121487265 +473273630 +2031005876 +980754966 +695183857 +1692528740 +1857167865 +1834462702 +1055380724 +733637639 +635223106 +150770026 +415661133 +988269641 +462160455 +1493634870 +1986376529 +1355584283 +485967142 +726170066 +918976411 +709461036 +708450535 +2101170308 +2047344992 +666553257 +560819997 +1473367208 +874085822 +83394970 +1448737697 +1995573088 +556668600 +1332259925 +828844406 +1251852458 +877305017 +538528623 +938831512 +1932685741 +1272166262 +1574054619 +2083455767 +1687827395 +414840612 +398132574 +1033978617 +253733493 +1753716857 +1519945759 +979903559 +525209620 +81923147 +1688354095 +478896280 +2129268140 +207423704 +1039716278 +1455151700 +1081509526 +1123111248 +756405749 +929598966 +1679779849 +2088665674 +1758443372 +784148659 +818487043 +149488347 +1722980171 +603689136 +1421654609 +1149551142 +539661255 +961998356 +1564391754 +937793829 +1995976974 +1818125248 +544027039 +1368439085 +650545159 +1069236659 +1450362233 +191415606 +1548132940 +1432146725 +398839310 +440365570 +739814777 +1480348837 +1563476818 +1496220527 +262464155 +1095773019 +1437402553 +2020907528 +1879921678 +108405949 +22912227 +1455418202 +712095085 +1444566837 +457485696 +1251756341 +259081545 +2021877451 +42066522 +107574871 +1692519051 +586093561 +1476013957 +195580562 +1655330221 +778892542 +386996169 +1055979513 +63555619 +785835479 +1496345083 +803370396 +118700668 +912338253 +152107275 +381164824 +2008111273 +1589509829 +254588704 +1740549303 +1697915778 +277500931 +1048483857 +262527215 +1722067768 +1505969554 +1514283556 +1981149314 +1380363357 +1556350079 +2088724185 +925398760 +2142443640 +1417254494 +1120979322 +1650290213 +48663388 +1507975491 +558786078 +112219007 +146327323 +2055131161 +915589404 +265027991 +819985767 +1067696679 +646192815 +680613392 +509722860 +900781519 +273679047 +60154990 +1178282451 +1322162905 +322682206 +752866571 +680648811 +1836965762 +586532237 +2061012168 +1245832193 +527772775 +838927280 +1240792186 +1945027269 +1959906602 +743598751 +1993690658 +1320398446 +1302384830 +2105909665 +1466725769 +1210032343 +874015421 +1731753760 +2030018110 +1941712101 +230462928 +563147854 +303951313 +1131244447 +836826902 +364106304 +162043250 +11506159 +686788510 +914909822 +692154970 +376270624 +1501442059 +605683490 +1622102818 +2029214834 +1444610770 +715411356 +1826758456 +1257033724 +1459010107 +1672965466 +429948522 +613911289 +1631391483 +1896674291 +1823943633 +357923257 +1480944404 +1706478095 +152151710 +1711407332 +122142302 +456103023 +695168131 +958969204 +820209327 +857211382 +970475363 +1506997837 +1772121204 +1662630333 +1883268462 +1126079615 +120830175 +1357887632 +1007810802 +1565440945 +2073298988 +687085610 +674991021 +1384825447 +212567428 +1104939544 +1998736737 +1843958911 +854130187 +1675196722 +54398520 +187590943 +1234191169 +206550230 +1898998275 +1356333471 +662653254 +446682759 +167819027 +1482862581 +1303894141 +1138294390 +842376771 +928531697 +653441075 +578161585 +2054611312 +774271250 +1936049217 +914938466 +192228547 +1861864557 +1602024076 +867219569 +1099206356 +1814591504 +1972159113 +950459445 +1511066768 +678805652 +478172519 +1565465288 +866396596 +1712363689 +1772015519 +617911223 +921213512 +287185125 +1064593982 +1089032540 +1770047706 +221004475 +79843282 +464940829 +1149536172 +733284358 +1043102414 +1056663837 +1507555608 +831667983 +1971602303 +1699784156 +546048892 +1426142732 +419520077 +1645255249 +1093250588 +244195542 +448231046 +456833708 +923001194 +926403566 +2022298997 +1789397790 +491283607 +1646830868 +259825366 +1412497119 +1934015993 +1324419348 +354046011 +1556580051 +1545423824 +433889294 +2021520881 +547476348 +1167173652 +917139647 +1604140185 +527245612 +1748807631 +1428258841 +79546120 +147372875 +706917925 +499066197 +1792628124 +1800168513 +743261739 +93375523 +109518574 +1666262934 +1019779089 +2131817571 +1308177076 +1511062696 +1631164791 +1568002442 +776076167 +1417697136 +744938143 +1130122179 +826793539 +142878319 +1564011473 +700830772 +690354667 +583701477 +1617970420 +147011205 +1110947089 +1219294403 +1575270046 +1190493210 +1366667278 +134704323 +1689559407 +1011811755 +1934872836 +285337499 +1105187278 +2044391410 +1951600433 +2124966367 +2028725333 +1112293861 +1488545415 +1512406476 +532812656 +117137934 +782619964 +1277750799 +1247260113 +1609413504 +1420629118 +663787938 +162760628 +2110983785 +1247489415 +1780731048 +110511342 +210952857 +852541803 +1685781388 +1401446067 +71725434 +1820485711 +943521826 +1083537189 +1607874900 +1228859325 +41240819 +1504782662 +1032976110 +18723538 +1386024348 +2145269972 +1507268953 +750947176 +530598980 +1624406887 +1533567141 +1808349779 +724183353 +995496997 +1081495249 +1387971291 +1158257625 +1044995386 +487977059 +791505026 +1155506729 +698929916 +1644046829 +693804469 +2100375983 +1715772263 +366806533 +896414161 +651825804 +1974681433 +2125273487 +693066623 +1331980447 +1010765949 +711790161 +570521147 +1008552273 +71575466 +1321468324 +1539151253 +1695982354 +707551817 +1200017384 +272682059 +1703048814 +134028985 +1660653350 +713822791 +1179024372 +1146761 +1505327817 +187047453 +700076677 +1001890999 +880851922 +652969012 +570179614 +1247658455 +1549383174 +1222005419 +1074856240 +1527173013 +1915072042 +259353040 +390455314 +479378556 +829874187 +1399007588 +550954022 +3858863 +790675193 +99452728 +711410680 +1990692578 +372134787 +266975846 +2124721563 +2032788138 +980798638 +1156262287 +2033934899 +338642807 +1343309740 +586527929 +1340533806 +76678015 +1239496941 +1910713421 +1324336470 +641396467 +985235192 +251709063 +21085832 +752823586 +511062103 +411541147 +1232202142 +1340936290 +1810548735 +1783156165 +1344795154 +453740280 +1882608893 +2056205834 +296949210 +107260033 +175698033 +274187126 +2140048171 +1156496671 +1430449413 +2026499422 +1495139478 +626275506 +465543703 +688189637 +702953521 +1705040645 +451419410 +2027289991 +198953464 +1436654602 +131515406 +220039297 +41994540 +642577509 +631580444 +1274196683 +1983513800 +294645531 +909869200 +1180825306 +748385811 +644994445 +1089547492 +1045335022 +752254478 +1265245525 +1319522148 +744819001 +274258548 +602487913 +623834776 +1769398027 +1228763419 +1089378479 +310104016 +1931716940 +646935476 +761523426 +1811523284 +845888941 +50694380 +1943038690 +1065928238 +92688920 +438132552 +1697508682 +1366885603 +274162704 +1992154213 +129271155 +1454988010 +593056376 +774265601 +397051854 +1638391398 +1526520079 +1662297380 +810429898 +123855433 +1936555928 +1412917812 +747690209 +1558470307 +494197583 +1837068688 +1868574323 +278430876 +336520517 +482614101 +2089954160 +1182409458 +533308481 +1885509202 +100854048 +625997402 +176158106 +1798362730 +1992883005 +450320810 +1643033295 +2122154161 +1905308820 +88606023 +748936114 +154877027 +1726997422 +127972545 +1817174407 +389943672 +251827978 +1606246687 +1802861484 +999518187 +1017233347 +149575420 +689103228 +738324022 +428006296 +1025623745 +1220938124 +370476808 +60549555 +1754246605 +108502362 +161403603 +232760359 +284660469 +1959766333 +78159717 +734981279 +1455315980 +52830230 +492806452 +1543922003 +801766344 +647683479 +1123435777 +929738889 +317374238 +1513379450 +1181566868 +1923620925 +1168757286 +33601407 +793370624 +1318332706 +722704635 +1531694647 +1746339002 +1748328380 +605149123 +2116815810 +1808877935 +211912080 +77834525 +1970281538 +444672440 +362494994 +1782564223 +522832157 +1097476273 +1090396555 +575662387 +1590282725 +486834911 +1377428731 +90482556 +1610270688 +159683972 +407856794 +976166490 +1341250840 +183994072 +2144923777 +1374852248 +977364696 +1315772835 +2097556883 +361575695 +914628190 +1698401616 +966724818 +883960352 +1359795903 +1178636899 +961794877 +1182593794 +1623309339 +1324289871 +817674369 +2146141496 +274282497 +1908070925 +574320235 +1864565222 +247422188 +1951748966 +1955047779 +1857692876 +2111432938 +215420925 +686375719 +1305200131 +399414997 +683815848 +532568731 +1376779694 +1999588683 +482641966 +1738355389 +766733225 +33559934 +557596560 +1650693578 +1393355838 +1736233459 +465004807 +428465984 +1212059150 +1789294679 +1246140353 +1210716998 +2063577176 +1006727630 +1785037233 +1780658750 +1254149818 +1589302551 +1588222881 +964359047 +1553251841 +1803643807 +1650734766 +710968324 +55575156 +187066966 +1243537055 +1432354850 +39172001 +1726179022 +1023226592 +805905227 +1759738956 +1580823152 +309115157 +1005611146 +1169572963 +774119964 +1434077130 +234148465 +415930995 +532733836 +1444865463 +332024523 +1539461466 +1082419048 +2112683274 +646127637 +524237951 +1553422507 +1610486684 +2077489792 +1209582666 +1113737802 +640974469 +1265157823 +1300804768 +1884511524 +550029025 +1339976769 +1463206898 +1573255617 +2145881996 +1075462207 +1006595121 +307513505 +2081073353 +28684436 +1081633470 +1367666836 +262832901 +1497564465 +1900400672 +1707698364 +1829588989 +1292378490 +642633764 +1794788615 +1938506127 +1166871715 +1200727474 +1401509163 +1096877860 +262826493 +367763317 +1737852329 +1527984316 +1668568085 +1474880205 +2078013341 +861061207 +790603456 +1503785311 +859459555 +1866065663 +362896784 +1166973061 +1799655368 +391581221 +101122883 +1019838556 +654414122 +1598687348 +772755580 +214628839 +1280792689 +2065134071 +857262603 +928097656 +1856156550 +2024134319 +2128825131 +1110182066 +973528531 +244167976 +1477945383 +563897212 +1772152292 +999029821 +2038777417 +1702681985 +1860091028 +681897225 +1058983648 +572066935 +400479240 +1421880433 +1739039996 +52650961 +1813461654 +1840162879 +1072489517 +320392128 +1291366580 +1845245098 +535020967 +424675621 +1762895521 +1392283571 +1352773278 +1471568423 +1268934242 +1334114761 +434266841 +94979125 +1578282737 +1912212225 +658876337 +1202951381 +763758398 +550170106 +758149718 +476365778 +1232067332 +1817133367 +1048432713 +1632546572 +1091530152 +639989062 +1685197533 +757508158 +332668293 +610203403 +1077900286 +1624034873 +307964853 +1612921254 +2048710495 +2070860374 +857721177 +1254000125 +1394945149 +2126655419 +440631238 +1829211991 +74150896 +2018913975 +1593940568 +733027233 +1074381708 +210215318 +1283197339 +1832531426 +686581096 +367781023 +1502181145 +1735013809 +2000327596 +446227649 +227519223 +1538041481 +1203735807 +560187517 +761236 +134152446 +36738742 +308726089 +1747073700 +2085449237 +232102815 +457311229 +1191965714 +1627047965 +436483000 +1632596952 +1308776308 +510633896 +1504027279 +755233228 +1243661129 +430925339 +965448546 +379374820 +115973118 +1652029642 +747155844 +1618154263 +1239559803 +599999792 +2064381913 +1467079027 +2138041273 +1120634072 +2027266544 +2138802510 +1254786518 +2064005286 +300044951 +854376570 +2001970876 +532147767 +1311687799 +1046452942 +11712084 +1748170799 +531566247 +1320488392 +111321047 +2035593526 +2075721620 +1354982176 +319035218 +893686518 +1734356997 +435008336 +398232512 +334029193 +2053162599 +1637792315 +934028985 +1970060864 +957387694 +924586610 +943211289 +837170590 +915905472 +50514159 +753692229 +1215950424 +904890730 +608179457 +1748098191 +69094881 +1654632399 +1759810275 +1817265681 +38714998 +932815019 +1928586728 +2074308525 +861052991 +1136085257 +245860095 +1754739509 +722958606 +680868431 +5488373 +1056987799 +586547382 +1643280688 +1991016784 +409124599 +453184735 +768119746 +1352335888 +1290355325 +1684025219 +1402850047 +2044047554 +752491995 +160257129 +504743363 +353106538 +229352011 +11892115 +2112916813 +2046617692 +50607113 +898248184 +1827720772 +2124915638 +1759301175 +816322381 +223292085 +1366557036 +1539280987 +904160516 +1372045409 +448785138 +1490707899 +867842449 +292318274 +1899832498 +1321027184 +1060438021 +1104684738 +463898862 +596979592 +360051137 +360462768 +1349471587 +520308267 +865206132 +1702578125 +749660278 +877098247 +1668011290 +648794322 +927705360 +418775826 +329031446 +905137351 +30593353 +1145353828 +1128429436 +1397150389 +537151167 +2032589953 +621712150 +985936306 +1375814204 +1489554599 +1278254580 +1128163054 +663098136 +191208953 +85364144 +1126996998 +788188545 +445415281 +1487459766 +2137660132 +965723548 +205182250 +1692754609 +1715383826 +1082280497 +1213282251 +216694500 +2009985858 +1632058077 +545725947 +767639561 +1662651430 +1691079775 +1896068997 +912318171 +80747294 +1781175302 +1534030321 +1066683600 +1009505858 +876101273 +197454533 +2137668912 +1539199409 +388663486 +75549408 +518712759 +1176852032 +520964690 +2006172525 +1167028516 +1486688238 +63871128 +712299478 +1054588417 +1146151625 +1925581729 +1271282917 +1008653835 +1410156159 +1817008864 +1776293396 +925323941 +1360604991 +1524878746 +1837642113 +1441352286 +1158570400 +1224188786 +360552238 +20592611 +2100290059 +558006771 +10777875 +1492005820 +946670258 +86327284 +2010718579 +2123522290 +607291974 +1869407457 +1143067158 +2093980212 +1933278585 +1855366636 +1001084981 +931946562 +1633464718 +124884251 +1940600398 +896137229 +1941893115 +1569410146 +1821461170 +1155014459 +946805244 +1511619635 +448883097 +2105375645 +588324774 +809435335 +2125968256 +541131185 +1367442107 +2136746131 +2033137006 +166628717 +75589767 +1896371937 +142667359 +682881741 +1618295746 +1285734517 +629378306 +1404090683 +993617506 +1630463287 +188553598 +479598576 +1755347538 +2129153996 +1375735805 +1549757006 +1551080494 +1049713327 +557287817 +350402091 +413849315 +1006170914 +308294088 +1002174089 +1815606249 +286778696 +1543305274 +1035564708 +276041179 +1428958632 +1202193425 +351630947 +1177846922 +1344860784 +1034512688 +648659020 +483111654 +1663890994 +2052749704 +1476729160 +1146870634 +93819654 +1956327736 +754734524 +75490002 +1184579893 +157007882 +1626570496 +86809572 +714295699 +1976972587 +500658887 +1720466613 +137783027 +1502832976 +1388589215 +424561723 +898654603 +276670275 +700602903 +180129587 +1478863701 +1052233850 +1357976509 +676240837 +2086746538 +2006635530 +1159352491 +1603153885 +1911901586 +488598003 +602540871 +2005721240 +297442091 +1357275395 +2081211242 +1482021984 +1514283278 +1560298090 +1568831557 +81095329 +1389787030 +2069490444 +1801561943 +1527570057 +1424839773 +1042667510 +1952131781 +176010728 +1319337785 +505251036 +356140315 +650717838 +1557484886 +1714116825 +1326958676 +1496747776 +1573268707 +338827519 +952418013 +1337686645 +827425523 +1554958884 +1195924237 +1124867614 +764750632 +1129651831 +459405951 +131550262 +542466273 +2028237508 +212645591 +1932253303 +1950244304 +2014207534 +1312339713 +1227600429 +909391396 +1116987846 +1403611157 +81245534 +1622238882 +1759751473 +731963372 +1032240120 +1326384650 +2058922048 +381504248 +752169709 +250265920 +1333922262 +2089856354 +1077691443 +741397498 +1138296943 +55075409 +1506148130 +120465126 +514481360 +1637698392 +662931399 +395235220 +1850343984 +447701055 +197995877 +1717067870 +1760040768 +1425596306 +478975619 +729544966 +681723816 +560221153 +204300200 +293991641 +1292184525 +1236540320 +1620376291 +1203622926 +1618044568 +225062352 +1453888846 +804483182 +167435058 +384096641 +1545880681 +1305732001 +439172050 +904545163 +1426197127 +953653411 +394759908 +2089128526 +1348888631 +97620244 +389345933 +1546884508 +1814688114 +1903053 +824997167 +146180085 +731448019 +1506720983 +706401238 +935748219 +1800712624 +1998585764 +24804891 +1273605267 +1054725042 +1642849460 +1498667619 +361130240 +299848994 +1666102677 +745226881 +1845729675 +824351030 +1184398931 +602791191 +103064509 +2138052342 +997551099 +44709387 +1339457326 +1095171343 +434055321 +738858186 +762375809 +435958374 +1563855353 +908555895 +1167406394 +923092688 +1614957133 +2103154613 +576321664 +1466059249 +2127959505 +1849926931 +373300643 +1623325317 +1201110902 +734430883 +1923174311 +719729931 +1479657764 +1621420339 +1544080961 +516573048 +76727882 +1647145470 +507141742 +1074278981 +1691854858 +1846599068 +21966676 +2125910179 +437973607 +784342485 +414384905 +2001828960 +1692898380 +1581791299 +777438001 +1160371866 +1537462265 +1353759665 +478947467 +1517938122 +1056202949 +852248111 +993779791 +109830203 +1586678994 +769470454 +829560135 +918853111 +243407145 +226157448 +1435426159 +320135027 +1873302919 +1942567901 +1394414008 +1417674129 +1641683322 +1416380684 +1396100660 +2079656929 +53239522 +1810485565 +1934002241 +1746137902 +1244793217 +563956594 +759026120 +634771834 +1917716260 +1237973588 +5226308 +826435561 +2090221699 +999006099 +936265764 +1529417045 +1768476553 +1765825899 +300786508 +2011883699 +1991983348 +1736212667 +184535078 +1717802619 +1531296921 +1578949087 +987993100 +1025496595 +847846123 +236610112 +957669876 +901085645 +2047095677 +744188469 +499739900 +1144405246 +1308145064 +1258766020 +1779177080 +1078377676 +349255960 +1784403388 +1904813237 +291994011 +635925839 +693595353 +1821411057 +256918745 +311937605 +2122197565 +121318796 +156437305 +1710926585 +305853874 +1874239924 +1094739858 +1884802961 +714749376 +2120236453 +585165437 +951359488 +930422681 +1486251082 +850971517 +1674611150 +1985990982 +1995376764 +835272566 +1097273355 +1627070196 +1913650242 +1446529315 +1263989937 +1670979831 +1738523327 +1899915776 +217091537 +1412450736 +9350873 +529029142 +1387164653 +130669669 +685466447 +950607590 +436523544 +412222723 +2045347448 +173842857 +1126972099 +2018100253 +759008294 +2078331587 +801039286 +97775729 +781819456 +328166789 +2083766711 +629712572 +1163439355 +1033556418 +109299121 +929605950 +332602086 +1373289058 +453102133 +2071125413 +1125721186 +670193670 +1336092501 +1135072060 +1199222812 +575773506 +1265741729 +1884689259 +1526381097 +1702265273 +149428334 +1424244897 +1876108131 +1276400433 +1294861503 +487632777 +1207248372 +2095900789 +585408506 +1989067829 +276583930 +521691570 +471296753 +1440023286 +1555247988 +580595874 +222145588 +1887850074 +1953884932 +675247721 +1811491839 +932122471 +1345441392 +1000100692 +2067194531 +397180556 +1575874199 +1185452612 +134386168 +954771648 +740234238 +283814502 +231532897 +468858721 +1560214936 +1526394400 +956491498 +619979660 +1474811542 +1541900005 +461563841 +1751395472 +2063591575 +932860595 +1043935110 +1471355915 +1513456469 +1266080698 +1211722342 +1319857754 +1941328420 +875730533 +104496577 +1139286164 +1875831226 +24207460 +1536466720 +1304221777 +1209660072 +1670852888 +111509777 +1949894310 +1954667391 +343042674 +271269383 +1367398679 +1869437075 +1227760882 +1987378339 +1196764969 +622177239 +301458533 +800676793 +538285166 +1234319128 +1844611904 +2009641081 +600291949 +963208954 +1073879775 +1920149703 +757053726 +1949610309 +2024646280 +1896339890 +1677957887 +2048853740 +1285322963 +834696016 +1111030165 +808692203 +946205793 +913440827 +615875946 +1289248467 +1184710211 +1983274625 +1011201894 +264987445 +1823169317 +60483215 +887164684 +2124627850 +861160009 +1425449850 +1211463330 +558288265 +1287607283 +1811755279 +1521497219 +214003411 +1584421335 +131067298 +16130072 +1461583967 +2027407188 +1694087959 +1362954060 +1165246503 +381300327 +326500577 +1973938707 +1327506120 +1239941404 +442331005 +469270939 +277167967 +278121983 +1480472834 +542155412 +2101291300 +1540956049 +1429320096 +2078435502 +254632410 +707286298 +1142415184 +812920675 +1994893582 +806686815 +186934247 +61413345 +243624502 +318001545 +77543417 +1705208470 +197925085 +1771631376 +920678882 +1363171589 +5448055 +1247179459 +1189626648 +1332954175 +339637215 +1631957653 +1802225114 +616805183 +1910079636 +1135214300 +1158960595 +1863887288 +528686702 +440797044 +1794839142 +783319112 +1148083342 +789770678 +1596239788 +995493276 +1596457494 +1783174035 +1056906621 +1840081996 +2101175580 +1134450038 +1397806818 +151617017 +758597766 +171002052 +1514788606 +764045821 +1418181511 +556931606 +2096999996 +1757818727 +41405612 +1751741463 +227140262 +1951485248 +739472115 +1386100857 +1667888889 +1268158817 +1826897901 +1315244383 +2051477930 +827497596 +2105015062 +1500234070 +1822990872 +1553988908 +1135924457 +732413846 +1246587256 +1089616389 +1866863884 +496910427 +1241233406 +477978003 +667912479 +608538365 +1242023824 +2086093991 +1165469971 +1191540173 +1696429070 +1206875583 +795797988 +1923569332 +1010877184 +1535270103 +1162186541 +531282425 +655945273 +841600795 +1846526808 +559939555 +1669098391 +1804058222 +2060173625 +1344605615 +1210563482 +1048614434 +2077019461 +309667091 +2138230823 +1796399698 +806577518 +1231980581 +126894053 +1474489997 +1840518946 +1368917877 +1413100340 +858505270 +412974402 +962045762 +2065380853 +1208772390 +738131446 +928774389 +596558846 +1900317988 +1460056814 +1252504119 +594435135 +1159099975 +1812443674 +116049878 +815674549 +1725133651 +1460655493 +2026238032 +626264437 +1390191307 +188421475 +617011612 +1039107357 +994998993 +1848992193 +1166001410 +322005342 +1542027492 +387435639 +1735105683 +253049114 +800410042 +549667797 +170946319 +2009182432 +1287799244 +1099720709 +458257630 +1040633584 +412293875 +1710761749 +1635068719 +1571393850 +1375721775 +1751118597 +239584752 +953371778 +1064290442 +118339136 +1579636215 +306998101 +306760611 +49164179 +1346105458 +1301759604 +1898156373 +364623220 +1623764946 +1292700217 +752058860 +1211386981 +1545749331 +1552468902 +1761054779 +1716695650 +1414167686 +901370375 +668932711 +1872425317 +1942003959 +1081226587 +1435703418 +1429589030 +505136789 +663941546 +1033223979 +744721541 +1617313324 +2097514421 +863060677 +1049465892 +257028875 +1169821288 +1098630071 +1603134333 +324097244 +849302796 +1967757554 +1947862191 +2142003013 +572332766 +1011765524 +1540268696 +2124801668 +625336655 +1109480699 +1391485706 +1526707030 +1778413410 +1116427375 +1321227341 +712156349 +404647146 +603332723 +1217293139 +1068588692 +1636556702 +1962014680 +538418368 +1586587476 +677591710 +1587884260 +1843616351 +1847412998 +539030684 +1299267036 +24026595 +1388333480 +1119540942 +1971888786 +1382852846 +1691873708 +836170662 +775637894 +1669191728 +1461507318 +1885118593 +913193787 +840730700 +1516048356 +2029621162 +14474394 +80721057 +286784660 +617807117 +1298014196 +1355373352 +106880172 +1112545229 +1893791721 +1693467648 +1790136939 +1334192333 +1389600351 +1490066289 +1873223017 +541383739 +1514092884 +1114072850 +1660924682 +1338498022 +349442048 +1205314742 +27185037 +1125079942 +727022823 +1488692355 +862714888 +1640216610 +181939407 +231279596 +1522354124 +196413801 +312000653 +1809138785 +814220919 +1610014850 +1017028489 +921101091 +575076431 +763336562 +467085091 +217729722 +2097528896 +1856685442 +1707796011 +1823268265 +250585533 +1074405248 +789857467 +1911510215 +265419622 +1139299515 +969341310 +292604659 +116895810 +1696364133 +1781297014 +979610698 +1189097095 +1963236422 +1210890294 +563967571 +12166575 +1522890947 +225622708 +826387494 +985422149 +1242651198 +1747488585 +1560498580 +2005987760 +67090028 +1778228302 +1956033008 +1923775470 +1338540666 +1631817626 +26877356 +265462266 +274191445 +1938387571 +530881888 +1413490961 +760245233 +823486548 +1530386771 +309125718 +457299914 +362513821 +1498222813 +273052688 +1573404115 +2062190385 +285219264 +948811414 +140329445 +1111606758 +1934233564 +1382980643 +711611696 +1347248496 +1241484756 +778701724 +977993151 +1050034116 +554993547 +169050169 +534368094 +581870903 +434512435 +808559540 +372774826 +965394323 +74566853 +1133020060 +1788880871 +1604953624 +1442145778 +98697138 +1967467445 +792884944 +371749826 +1393387912 +707591681 +656969090 +194715678 +847921126 +1768575849 +2128949242 +83418122 +332703897 +1328714091 +1324902878 +1111405621 +159223594 +227453346 +1666399168 +328273763 +761821441 +100786423 +762786198 +1570380981 +473561250 +1728180521 +1644947834 +1606581310 +1369577745 +1102417810 +901243440 +1468274883 +922401607 +1694128384 +1840024709 +168305871 +254236417 +349510152 +363021549 +1102157544 +2118086001 +344487144 +1185575666 +303306250 +1673201235 +362994896 +1414711871 +1832424829 +590448242 +933627392 +13214944 +1352269683 +1034413815 +776001142 +775167016 +1507975065 +356698015 +272631202 +967072727 +1726275760 +1375049012 +1868316168 +1047066995 +149966971 +1414960904 +739608057 +318272842 +1669197322 +1089118209 +681294392 +623871218 +1059720562 +1025781536 +1809446884 +1363026812 +551499123 +24958132 +630255035 +236440304 +615406374 +1563882427 +249655248 +1967676058 +450812595 +1025656390 +595359426 +1958787660 +1382354405 +867990629 +778376740 +961146518 +95555993 +499209260 +2008213513 +245522965 +1914170164 +600337922 +563795807 +1435883838 +1689456131 +1245090199 +2059755056 +601693045 +123388087 +1721718292 +1964719857 +674887210 +1746676424 +447491245 +911327514 +214599151 +2011373672 +1160982762 +34791561 +314702619 +39155504 +630150987 +126006632 +1421509910 +1498141616 +904383372 +235172780 +1593697610 +1403592632 +95902645 +1839220575 +1170279148 +696240568 +255532734 +458679339 +238213051 +1500622934 +370950747 +839906097 +1624011021 +2092669040 +657142306 +151414584 +1691861816 +1104633551 +1062742098 +1906460967 +968523576 +76241213 +1941252528 +1283226195 +115396717 +423919868 +1409232827 +1536906627 +1922061484 +166132551 +1772079407 +1368275446 +1569725183 +1867982053 +1060012373 +592520684 +416738973 +1315545108 +1051200023 +654952024 +668684394 +1422150770 +1494858121 +145211767 +1367336162 +4516780 +296626351 +911714331 +1109150331 +1359368450 +670691650 +2077673907 +1435609663 +464460531 +1213416455 +1551006380 +888380399 +475165634 +940429360 +662958235 +641298186 +565025119 +2031233682 +63539721 +285523524 +943762407 +656060405 +702262497 +111823867 +1707260428 +1357214522 +780508261 +981927551 +704588995 +925720029 +201780065 +709105775 +1222346380 +1113494396 +1818256107 +434231182 +1784186047 +1748446366 +1869840845 +101162930 +814379173 +1273363578 +989543329 +1289544808 +66309290 +1652501564 +1930842994 +631334409 +1536251598 +1994382715 +916857934 +332530358 +502959473 +1619120431 +444354225 +62736253 +828851305 +1224862487 +1044663804 +1533440301 +3098868 +1246443870 +95062428 +1225445248 +212454618 +1913318535 +1659676431 +1996640665 +1514281254 +1382033628 +2097803595 +181176779 +507913558 +939863276 +1470721587 +574222848 +444881193 +1254080933 +1205557258 +1981132791 +1100980001 +2122415192 +166179501 +1603939474 +1594051975 +610533727 +1666675727 +275419633 +1835396214 +563855884 +1808859934 +1838495082 +1810299754 +1903922362 +916456682 +2022754372 +1669757250 +428649465 +1871911390 +1036554856 +1810683094 +1822231337 +1217731635 +171113004 +614610966 +540969575 +745335853 +1059492159 +1795050508 +1950893111 +893141302 +748546861 +1925824655 +1059320804 +205002687 +1372392982 +1669854531 +1871678415 +1647812615 +1357767097 +288050651 +1309188901 +1048778531 +2098350405 +1065627616 +1965235213 +1973621129 +587901218 +246401031 +1698048871 +1624456074 +2057084125 +1372796561 +694704061 +80713481 +1987407527 +1235673636 +826049334 +899416038 +883240497 +629458797 +1792557340 +1631787358 +407799804 +704394496 +1836790046 +1780192787 +226765379 +1560984813 +1280521754 +1584532476 +1849035464 +442227008 +485827359 +1799902221 +1507854624 +303578925 +1626039702 +2095755842 +549979956 +1176604926 +1572728268 +459580433 +401917839 +119948681 +540293914 +241841718 +1355622318 +1366343249 +1141257756 +91379167 +1995802046 +786331448 +1723166525 +256118203 +1490725945 +1412472923 +2036310990 +1717491324 +825974088 +1169349096 +1154540153 +527525904 +1611576104 +1640367512 +179944477 +971947080 +1943946437 +1805984180 +920219274 +346442745 +835105458 +345463894 +806023178 +1237023297 +465412576 +1346317093 +1478865015 +1821034894 +565176694 +472639123 +1912414061 +413495092 +1258970571 +1488096938 +669613295 +602212868 +753086214 +558440637 +172220545 +1579060302 +1727789734 +1326760698 +2106586207 +1191882190 +819644562 +139047036 +16345623 +616107352 +1945031216 +936564897 +962550097 +632653026 +1282028792 +1768573276 +1869676323 +1747441368 +967406721 +1201057690 +1420992614 +1532583415 +1673696813 +1185923027 +1946078507 +785183737 +526536317 +468208155 +1387396605 +1279622531 +1026648792 +1559617150 +711199186 +606954878 +738894200 +670301745 +1798837069 +1558538763 +809348781 +1815182692 +27162467 +606896350 +604263941 +989712564 +1239549376 +1886292733 +610802192 +961742052 +1486250453 +1578208913 +15316094 +759759419 +963308680 +1689012908 +1945682446 +761903540 +326712997 +324735116 +1230111695 +1714109602 +1604357647 +109276839 +1126243105 +168073185 +716231718 +1865137305 +838374930 +367585139 +1276192420 +1647723712 +35284183 +1303354887 +107136414 +639548124 +145583804 +1346685790 +378357210 +756385996 +160944194 +1864607663 +187111262 +176260289 +476883435 +1150419942 +1865273197 +275082233 +1912323482 +44502546 +599817349 +994951529 +1758612148 +56691349 +1104228369 +737371605 +224764534 +1820460087 +455025263 +1063139465 +40561578 +1731217683 +563379529 +75845761 +887088923 +670515943 +715393885 +1032672727 +2017201733 +1093751095 +1789058723 +30662280 +810875111 +1976169985 +206922569 +1287758546 +979106280 +2072195766 +1562840779 +743946114 +2116698312 +15174481 +1738897644 +1727826812 +71865830 +695642365 +317714770 +296630364 +368618804 +772740033 +1359769829 +409180382 +356474068 +1923149358 +485026143 +1243562991 +446181653 +1200420028 +128752070 +315899739 +146687476 +1917810794 +346562019 +957562587 +1746497131 +553484588 +97837485 +578119763 +478196706 +1660678264 +1322065878 +447411370 +1675852745 +913479874 +27754534 +1747718575 +1609122239 +345469304 +2044348940 +1977741043 +1118209337 +1256635121 +239437777 +1474683406 +1032300832 +724463920 +570762749 +1478482485 +1924883948 +699514820 +1794382224 +2071571424 +469841966 +2140944243 +881650363 +68855449 +546945183 +979487848 +646975213 +1025141889 +492682465 +1969041091 +1472553259 +21051562 +735037317 +1500307794 +1768770138 +196675908 +1845777098 +1665635430 +26933303 +816502788 +774786903 +266371080 +143702546 +1807087735 +990835000 +714465295 +1138086573 +768235300 +1413980115 +784985149 +692323077 +1883822081 +778445745 +1573973440 +1952677531 +1325390928 +405977641 +452169096 +203049170 +898660106 +273726539 +1675602429 +919711668 +1008763856 +1028426575 +540998158 +1205439764 +726720026 +59149940 +1232373067 +1543222814 +833936844 +1498744147 +1686925360 +493540931 +342095499 +253907007 +1631627504 +1110330799 +1667887123 +269129006 +1802653876 +1404225556 +1047574751 +1229143669 +1209419439 +225482031 +1635121310 +1661588535 +428531201 +386297768 +1935315074 +2104133631 +1306009436 +796595282 +985076558 +1847007595 +2002035046 +1711796584 +1906157535 +1086924465 +1107535750 +592610731 +438184964 +646977462 +1086151663 +780280463 +900884470 +570295519 +1890611263 +421287945 +839424525 +1545781491 +1825513501 +1886999276 +627441512 +887449293 +2112481308 +115079174 +401554180 +393528861 +501376942 +189385607 +350178844 +1807386379 +985980889 +1335255403 +1506910326 +840532288 +899568339 +1265584213 +1927456753 +2007104090 +1858194945 +218158070 +506597904 +796862960 +998438533 +1407482374 +1367158479 +741566148 +1828770319 +59099357 +139863992 +1506800173 +1946098633 +767305504 +246765818 +1911096293 +882384679 +648319998 +157141507 +1383761621 +837705605 +507320351 +1043664352 +1823686495 +1842575754 +403091030 +516735135 +594660446 +1668675244 +296708240 +454280888 +1379386541 +514866310 +960878792 +28765853 +1513304844 +220877519 +1395924332 +107387344 +2049647838 +1455023689 +247251336 +1408964363 +1253638675 +1014556841 +1655730181 +1017251320 +1896941520 +156566532 +1174392827 +1133219493 +994272137 +1681713179 +29400198 +670474984 +1376805285 +432491228 +1187210119 +1971465731 +2101166472 +1483918360 +278262971 +1333069365 +1998784670 +1239141764 +1361835218 +1364605866 +1460019283 +610275903 +1471993211 +1362183473 +2065299592 +1719244547 +623664189 +1171454619 +586317740 +131910722 +41222292 +335775612 +288477254 +1215615119 +1468995106 +1282749392 +749844650 +1498395304 +1953224376 +2126649936 +1930886532 +992950848 +1950632019 +1884569357 +329385560 +81411343 +1070155074 +180686582 +1320553107 +284506645 +1545292449 +633088742 +894782548 +869802012 +1995272215 +812598492 +441562911 +471452756 +1984053112 +1027880652 +603363479 +2025275404 +1363656264 +891840733 +1093406875 +685167722 +27106477 +1843251526 +36079378 +1980330854 +1822417814 +1966965911 +825798054 +1625566185 +1704051620 +1155183614 +1706977528 +626723046 +1335870196 +880046987 +911229691 +733678997 +1513135729 +1806012239 +1603481009 +1360924297 +471127084 +2045043921 +1832377053 +307696548 +925440925 +288256884 +185488304 +141613541 +1180097618 +1278895179 +826781264 +1207204095 +974663057 +862860642 +1040051301 +649597223 +682342905 +1865849355 +127679761 +238910877 +873549321 +1834657289 +865633924 +61935870 +567220629 +1776863615 +795614867 +2080356358 +1435392207 +251612229 +1293797007 +1906519291 +149172502 +978690413 +66732191 +1074613427 +1266947297 +252220495 +1216226968 +299561267 +1531115674 +2043008232 +1506765363 +358295084 +758385227 +399333016 +1007892307 +1440728132 +117698724 +1135572068 +1679639010 +991248045 +822745710 +397789286 +1053183915 +1389966339 +27169253 +1848798783 +1322839049 +1462561460 +2100411012 +469152409 +1221597103 +102099866 +1447842822 +1288329294 +1176713293 +567306471 +1540549789 +245456613 +866867739 +924181816 +140981198 +226149454 +1282476900 +899366425 +625482470 +142885559 +192610909 +743181194 +1278457628 +1872249919 +1734429240 +2101203338 +122555557 +640129507 +1343686029 +149724811 +341444642 +519041430 +1612286271 +294372006 +988193839 +686399727 +396471872 +288553013 +1974729021 +1573185165 +855859485 +1367795163 +1818641779 +1722727224 +144493331 +1959622977 +1948876678 +1426970231 +711505754 +426875500 +1569855790 +904116663 +1170056695 +700829770 +628882935 +757002287 +654549460 +751438492 +1397131794 +1998235489 +901163303 +1738576437 +369793272 +365965927 +2032948443 +1357987111 +1052365654 +281936668 +1646540125 +879611027 +1855121833 +354915962 +99922542 +1526279964 +2077643186 +244415873 +1338419293 +1879036216 +1671386104 +2049925047 +158428068 +1093758247 +806558063 +1328484763 +1794588017 +1435440998 +2085487050 +301653830 +39395842 +1335135197 +152405671 +940559146 +926227986 +522198943 +1306525073 +811692781 +1880186055 +211407079 +1093629449 +1379242532 +1091018106 +801267635 +1734158494 +1190940649 +180063951 +1664318032 +1435356522 +1518483245 +1395870600 +959258979 +1420924644 +1554298668 +2053017226 +79999059 +735299784 +1700121595 +1515440057 +673303186 +2001775425 +1554835900 +2008438383 +6697449 +347911398 +787182721 +528896392 +1654436471 +1598875503 +261598799 +1865843550 +545021304 +1640841331 +809378008 +1346288939 +1227516177 +2000318657 +1526352891 +744350561 +1288191532 +897352488 +2140221161 +99966863 +170793484 +1547036182 +5500441 +250792544 +134852318 +1705622036 +1766232601 +808155504 +1559913814 +1173584853 +669110240 +1566611263 +1521496251 +1456292961 +2095507655 +1028449074 +907684816 +209622807 +746808976 +1452706121 +1850464138 +1556186985 +651511412 +930496668 +1409021994 +30380655 +1674847229 +549729878 +927733143 +1667584743 +649696741 +1098526628 +1067137277 +655197182 +1349319172 +1201989595 +213335571 +968068125 +2010145099 +1773249385 +2141652979 +531771691 +1192377000 +1515665582 +1988064653 +1140401007 +396631009 +748265821 +1350023814 +1143439985 +53488294 +1053004305 +552143322 +704999707 +1983500973 +1961165317 +735380362 +1510864554 +363411547 +1663113506 +1030965649 +1013108289 +614156486 +2098102926 +1668305471 +1963475658 +1152608873 +1881641042 +784060135 +1015270325 +1507406779 +778229466 +1547042016 +552300131 +146411401 +1387623021 +1692701139 +543042410 +2135888843 +895241305 +1686482395 +41893489 +1948245610 +91142070 +746893196 +1784262935 +2052307387 +1482273559 +1147643842 +268235286 +997903417 +31125843 +1281343575 +1612059903 +2129228770 +802165399 +1428051913 +1134353995 +536322793 +64628400 +2140672 +2043729573 +842857867 +1549182689 +448546056 +989269268 +789322062 +2141247195 +1532311678 +777727257 +889004853 +1071310425 +819620747 +689766815 +1162452495 +1566513943 +326546103 +1067276234 +901303854 +1474189945 +1335511521 +1899207271 +1505315788 +469371448 +1363783526 +1487060910 +1271536847 +644351791 +473931258 +1807859641 +708980192 +476071930 +1704105566 +1551838059 +2025254619 +5167974 +393623679 +667093034 +2146415170 +1925935357 +1444820291 +887936375 +849762134 +116957390 +1577703190 +2012214630 +1683471334 +1904249293 +932007216 +437291540 +1230955590 +120035089 +189015164 +588787731 +589406538 +1552798690 +2075848641 +1860943385 +49666834 +402296251 +1521319378 +758647026 +878368182 +1077941296 +163001437 +756139153 +1083109271 +556625116 +1423232187 +1082040793 +335076825 +720568831 +1969977168 +1184838959 +837526221 +1400196710 +1049569941 +373513907 +1156962356 +1981577158 +810805448 +240434298 +2101612247 +999820612 +829222029 +543535137 +405135654 +757587023 +256994875 +454802488 +1159883274 +1778314253 +1213449514 +2038251456 +708771902 +1376450951 +646906962 +1791881173 +1933076067 +2070139149 +726438318 +120669244 +643224332 +548931838 +1305508204 +1480750554 +1949128548 +207594497 +1854264461 +958607256 +41688007 +517586261 +1199041555 +2143300255 +1517406873 +2028263584 +539351744 +1922542528 +638366959 +796346619 +229861368 +1798250234 +427177225 +1443310883 +1689018042 +1135949127 +672278186 +188441356 +780346652 +457870606 +111096858 +1506784970 +578539850 +754321190 +2055716808 +1884048054 +87588096 +1857361708 +2091642552 +1941852558 +668485317 +2133330559 +311955171 +1867526872 +2129147166 +1829362045 +1748306808 +521015263 +1604420925 +239190120 +1317361882 +1834282293 +2037440354 +1744539107 +1130109528 +1578974748 +733004586 +1802387715 +1767416105 +1513351238 +112774673 +1878512963 +872652560 +691314523 +485350505 +780885720 +427878930 +572938602 +490763781 +372037834 +367307512 +1159249098 +357884745 +679262683 +879292322 +339548264 +361141080 +480115482 +860563527 +1965562005 +719305602 +30441761 +1652360651 +609262308 +1774980869 +634986531 +40753409 +360501807 +289890598 +1808169514 +1873853046 +402665271 +1539198829 +599021958 +1093979795 +2024549334 +1379907679 +1521858725 +450004288 +1870671460 +1893896559 +817311800 +882436910 +104297656 +1496574484 +1761729232 +443845920 +1857715564 +94361066 +1304409447 +1675793922 +813666669 +1334851209 +1180670925 +1422928977 +962348430 +1815657456 +1463682386 +1322850237 +2105548055 +1124368252 +1049219635 +360729678 +516083433 +1648241594 +1454709473 +393149120 +880665625 +829084550 +843153408 +603853437 +575497461 +1660465209 +1486290347 +679795118 +1009556045 +1100535931 +1123641038 +719787961 +1194896997 +280566838 +248098235 +2008563666 +1615418047 +1428769160 +1284008996 +430282829 +1096942969 +600207734 +1753133066 +1055007376 +1724575987 +654869054 +1415737054 +93175772 +155627000 +722962880 +486324892 +1036292625 +1552047430 +1329478301 +1640146062 +2127544892 +842459862 +978952761 +659856362 +1852015907 +2079488692 +1783497400 +424320220 +1126902041 +2064064238 +672418456 +987982060 +1531998637 +2101187616 +124507408 +1962281466 +1050646937 +724715142 +1567930885 +2105654313 +301807481 +75316291 +1373907720 +394983254 +230943291 +2096870600 +881308146 +1267235916 +1501434382 +63302799 +759898330 +1481495626 +905762661 +1738851091 +2141351988 +610294920 +1670856135 +1777365741 +1034615141 +650274528 +1693946331 +1707033597 +1638256588 +1078461321 +1660737565 +1762763996 +893259139 +563900855 +339995491 +313706376 +522071520 +641802972 +389022667 +1895979240 +1036786226 +619965958 +1845366192 +1918094373 +1887201874 +1199316927 +1981397172 +499616556 +533328905 +739676186 +90983999 +527197246 +1349971106 +1761840134 +157079339 +237102599 +264631015 +1851025670 +1944136196 +1902887603 +782003343 +1457390114 +1518167952 +1675262483 +2021290969 +1858163443 +1988968859 +395878841 +352482767 +230507879 +144374434 +1389268994 +850473837 +1989740626 +1159879719 +590192064 +1041573905 +993793243 +1089808620 +1574902811 +1733469429 +1180792620 +2102100057 +935956888 +795149106 +111695748 +1173059487 +1059780121 +1962721418 +969712036 +815184077 +597241114 +279618502 +185868381 +125019949 +153425823 +2044031824 +2113988808 +549304664 +249030943 +197013039 +693679098 +1638299937 +1047486877 +535936077 +650696008 +1637678941 +1577509982 +1644489252 +580003913 +1004929145 +1230475033 +1760796533 +959545554 +18948273 +408461992 +1071241302 +1192007761 +1468242113 +886479073 +14236149 +135942542 +1483720187 +293854651 +321810923 +1608740136 +447280474 +218359099 +1575245296 +996585138 +467390043 +1772258336 +1690264237 +2105689980 +672261565 +78716666 +608902341 +162456858 +1656226648 +105907945 +742460771 +513672146 +1336382978 +355773657 +1473217700 +1355331252 +764235649 +396975355 +399855365 +84994114 +1283454428 +414091514 +220936657 +619690967 +707946165 +542747580 +80947455 +1155226639 +761106680 +1656192751 +4328129 +1228496723 +1280967439 +1694592366 +1186703055 +1953229004 +1773309032 +1795605396 +2115685862 +1282052033 +1901513341 +710662986 +1795724179 +1090412672 +1066436643 +1121458231 +298260276 +1830672292 +1518433586 +698115641 +1915666406 +654404366 +1112207155 +2136603063 +1274095333 +1820153320 +531866996 +1355042788 +827896311 +1292973676 +863751892 +832224440 +373986751 +2144719331 +379333159 +1560689806 +1950464688 +5158543 +1208811555 +1918666902 +1287210576 +962841248 +481846240 +935451107 +2053253920 +1548282883 +2056909339 +204030548 +1231471527 +1427859277 +902146189 +999654286 +2082263644 +2014353344 +988773701 +1208875329 +1687023016 +1520640697 +416434470 +367435679 +666130725 +1280186362 +1199660120 +1040117476 +1277422045 +1578993279 +453323635 +1080403085 +1584151822 +1662135190 +851586340 +723878751 +477492790 +1333432580 +1659329858 +383263063 +734231816 +1568755549 +587293611 +1965703343 +849131179 +1489439801 +817873981 +783911175 +1356309497 +1806647683 +1992786504 +895848866 +1179804732 +261737326 +1263284545 +1845935458 +1541923688 +315461017 +738569286 +671862086 +1894454296 +1191892921 +1752265171 +1331122471 +706544463 +456367863 +2055001222 +1184037254 +1789800444 +1566847432 +1567300317 +376548612 +988119334 +7110280 +194768307 +1837250513 +1496550081 +1012642289 +473678040 +705375931 +671806324 +318980896 +1601224797 +1851611056 +580718223 +717025694 +1550062866 +2122641911 +1032486712 +141148505 +647020349 +779457360 +1333041426 +251801873 +2110579831 +2039585890 +708169736 +2018097405 +1076139496 +350486532 +1437461190 +495956165 +727035144 +278096876 +503066445 +921803452 +2115347389 +1999616527 +1934445741 +441541781 +557508810 +458768417 +760522677 +11249959 +162895825 +1341240900 +728275653 +1712958692 +1316399164 +1760762365 +1854107197 +1963419513 +392736078 +1039664975 +67737738 +355832261 +931767217 +775907475 +226446019 +2007906713 +1126394007 +1663907209 +356379230 +1853429152 +1942004085 +859445676 +627748956 +1909867826 +711578555 +414711049 +203925959 +1269087365 +873479466 +964448636 +1280337324 +1036375291 +158205889 +2008612977 +601850335 +1474605053 +1621891695 +308473884 +1290540918 +2014627773 +1348138860 +1358278657 +222976386 +132422429 +2134186132 +449422405 +2140329143 +1113096491 +2113329614 +349224725 +819041995 +1907850051 +1208670401 +1446790951 +1670234229 +1920248956 +1861502000 +1874160188 +1041852673 +587497818 +691125177 +174706349 +1623873110 +849331066 +35835679 +78239797 +176452471 +1657727374 +386713682 +1466993389 +1524871499 +1734852542 +677788398 +1747847885 +1867274971 +664490882 +49786643 +1860120466 +1777587374 +15632609 +61861544 +449145721 +1923482661 +1270531945 +1895936673 +1446233242 +1043297254 +1609955025 +1172909783 +2085149927 +49969196 +1864034960 +112372629 +1673842306 +565882378 +148208308 +1752082103 +742334849 +1805935682 +2138795785 +61844590 +1183323533 +1726164679 +739632989 +783687770 +1445956003 +1404123871 +833474413 +1158592821 +1034227597 +849107023 +1220454365 +1483373319 +625106036 +343502663 +1231826344 +2071339278 +1386799917 +694297721 +1096765413 +1324466196 +744266917 +813316725 +1436838825 +270625575 +1379199103 +1585047133 +2022707679 +2121533952 +1243499167 +2014019816 +35894895 +279339052 +1592700848 +775527884 +1063026823 +891173203 +32168107 +1896501236 +2049766024 +1066395705 +598124611 +1122736742 +402285376 +1223230647 +1466239405 +1634111720 +1147086278 +705555674 +180925793 +96368043 +2030021870 +925192711 +909684769 +1319377048 +1195818286 +141400224 +756940533 +1071042317 +115450529 +2000439701 +937578486 +151345424 +132295105 +382795686 +926873308 +1195321928 +1273968889 +959041415 +944339517 +1176251265 +2025437120 +1542464128 +151504359 +280238848 +618211128 +1617743764 +1914350568 +1765297406 +175815790 +2095276362 +1861665449 +58354013 +872985425 +623866570 +1377731061 +2068803711 +765266795 +2134671594 +992362381 +880717324 +1987627647 +1929940867 +1032062748 +2119922753 +165252905 +1958936056 +1167761033 +1439221794 +770493823 +2112100550 +467989411 +648447296 +1507081031 +619493771 +928686144 +2125292159 +89753887 +695553065 +1743105917 +265569678 +643345779 +1457287718 +323923691 +1516331204 +2081154289 +1701654752 +1437651267 +698937436 +1688842698 +282530000 +1579654760 +1528986698 +64987219 +464233860 +1501425803 +230240124 +275686268 +521703188 +1669461918 +1046180091 +486320091 +2137451330 +1694627387 +1993401122 +609461453 +475829884 +1971209633 +699215340 +1171382949 +1566831902 +964785018 +1814728728 +876635972 +1288708709 +1183576284 +810306613 +842879813 +473743903 +1509244049 +384238864 +756273904 +941415161 +1913225562 +821261123 +1405649021 +1267167717 +1051501248 +1681335289 +1788870905 +573479518 +580031733 +127707348 +563447200 +127175472 +2121108470 +1172908653 +603005356 +1944834455 +1872123994 +1774388305 +1364182709 +689425364 +1441633385 +93335034 +1978134074 +477726021 +903641647 +673530239 +951469925 +265402049 +1057769103 +1707743829 +1206817210 +823511017 +381521304 +464982584 +2090678734 +1433022552 +2146317873 +1732065992 +2006502071 +578865958 +1859773340 +422465623 +706041431 +1833398163 +1595374277 +1309046787 +1630748970 +1320014623 +935951445 +847448032 +2009439987 +230101182 +940783066 +1840090413 +707827204 +1844424713 +366137005 +1659297129 +2109826762 +1423906108 +1219557310 +1169160325 +99933478 +1601078614 +1634142909 +43128564 +886617519 +1632977134 +1775194556 +745635942 +64359445 +1487484249 +1168101565 +770400876 +1173398764 +615992194 +2079447663 +656664086 +1936006817 +867915460 +1504112118 +1797963157 +1098016643 +297411536 +1490569922 +1805843847 +2141836250 +1856706927 +1317657328 +2104179364 +1133129388 +389730990 +1125856041 +1233062866 +1990809604 +612515302 +1276191430 +729943475 +98008789 +903902339 +1475579417 +162368234 +243902940 +496197335 +932769110 +1417301704 +1112189529 +864733125 +2073965790 +900712699 +1732648586 +1430594261 +551192208 +683181581 +1728005797 +2041762130 +341541780 +1722358399 +1750985410 +1659199108 +1679054116 +736631150 +2048930098 +657426509 +1969694016 +1892256054 +1269941812 +1098401798 +474715882 +1367950601 +2002304137 +1950295299 +1530318835 +98723429 +299008986 +315604297 +1516025133 +1411198516 +1180337422 +1442507276 +164427567 +765502360 +725617889 +715619775 +1448683941 +306140038 +609898257 +1790225721 +2028498438 +213400019 +1301941181 +1560068906 +950031169 +1203387631 +70011767 +772241537 +948160038 +1339953579 +1870643336 +1422875920 +560420532 +1725463825 +1225687571 +2090739367 +1824187255 +1524696558 +258860016 +1192728740 +788411426 +1439197439 +487752368 +952838993 +57216151 +1213370257 +1668458768 +1505900093 +1519510296 +130873377 +1148642166 +1400525086 +344273397 +303099700 +813110344 +1294304566 +1506487331 +883122111 +2066546104 +307163721 +75592043 +1789705792 +1730039641 +636012575 +1367685969 +808243565 +579268295 +1044389576 +185456475 +838128311 +89634669 +973867901 +129842102 +577387037 +1926706894 +187058254 +1790757295 +1447682014 +1692958347 +1162783943 +1578555391 +694116865 +415825381 +1922828788 +997216565 +1228935725 +1069649707 +356220249 +2112057836 +988712163 +663383970 +40166231 +630934307 +245939964 +676178807 +1998620276 +1054183529 +1255447102 +895526205 +1239640004 +2093575413 +985160874 +66024257 +75933868 +1562547911 +1992731151 +262992122 +1205821558 +1292929517 +1955950469 +221121853 +724001260 +502583686 +636947234 +499346401 +1499800252 +1865882959 +1568996108 +1856020501 +1830457148 +410224623 +371920823 +1870623379 +1041158930 +617860787 +399318538 +892295558 +1672044316 +1654765640 +1787821763 +764200672 +1600857406 +625498989 +830224929 +1676791274 +40563253 +675472432 +1939783396 +1246384811 +1968401949 +1748250217 +1467506665 +544919562 +103350255 +2104453899 +1044265963 +1603150507 +1822853211 +465778423 +1311687360 +1505826711 +876003046 +1683608184 +1228966442 +1917161976 +153985323 +1628284981 +661973886 +1826029640 +1135566973 +302312002 +442746664 +588940731 +927810991 +1272971594 +118248357 +968374244 +1948444026 +2058031753 +67275408 +1769362328 +1658798322 +1534782073 +166798242 +1762148578 +1491752324 +1211064205 +1217815437 +1167121887 +1676842628 +382019150 +525464950 +405362026 +2065627334 +1754431393 +175040354 +72129009 +1235232726 +837014240 +1898158649 +223316051 +1139326242 +193421666 +812256783 +2067137234 +1466393260 +930505140 +888027830 +1267353638 +841053246 +955303238 +889232318 +352367920 +342601663 +1056030560 +2114516498 +1834353988 +119611117 +1184848288 +853992227 +1796453745 +1566867438 +1379457178 +54332123 +1485011124 +986404923 +229372477 +1557140133 +74154001 +1066386718 +1307815135 +297470052 +58229312 +1501236801 +1109726835 +2125366546 +820146413 +2040231976 +865910729 +2087500051 +733801574 +1821213967 +829248722 +1086169494 +16331983 +1885279282 +1053202345 +1850685971 +2004890400 +90566985 +557194550 +1653860497 +1657434423 +1936651728 +1708192621 +994961899 +775573003 +1937565098 +404618384 +849727004 +856468168 +1712433519 +1147197057 +914697481 +1066186672 +109440244 +892580379 +1886333085 +2188572 +1758491108 +1826349489 +735990146 +1432221428 +508114563 +1822159641 +1448553411 +245910197 +727878338 +1151755734 +103316949 +818445323 +1708950284 +1757177447 +328396098 +1498118365 +1317886420 +1323357997 +126207720 +1107967870 +1727976381 +975934725 +1964436039 +1292926253 +2123131782 +731649872 +211629277 +85088378 +1624230251 +2097962363 +87276951 +1235237712 +1776828204 +823267097 +519975492 +137459119 +497943090 +1968528903 +383369316 +1225821428 +972800989 +486686266 +2044266751 +534267625 +96380065 +225179201 +2032385990 +1414266485 +1548537198 +11110063 +374750707 +1129029932 +987044788 +191703098 +274472537 +962692922 +923352970 +486101814 +1047781300 +400099574 +436580529 +1135058251 +1635337286 +65925085 +1958325349 +7829130 +203384204 +308784791 +1976358033 +586753521 +1534606220 +801675374 +1073439787 +1431389323 +1335942999 +1169819852 +1656568525 +1220845342 +436602689 +1057622075 +1231955405 +811353396 +39168359 +71516545 +1003056495 +313640896 +1034209467 +1926409465 +799742711 +2081990767 +179025391 +1236323240 +1069565371 +1814362677 +1302248326 +880407072 +1822191807 +1505632530 +1189191863 +1651066192 +2092386051 +576314435 +305257918 +1018342190 +2007703759 +1641200918 +40678394 +1516788636 +714562612 +477281083 +426927063 +1946518017 +1288634480 +466095423 +2018034562 +144207327 +779736319 +904760381 +2070616792 +1579479030 +839267500 +102158536 +668318623 +1908832871 +1916521213 +1970566949 +641756295 +1591229373 +1328715831 +1830948159 +1094811917 +1273618235 +259778946 +1400069836 +144476777 +119999057 +893787106 +185155172 +1636787693 +1608349718 +662436255 +2063714757 +1407384087 +1951070735 +382326532 +1277935001 +2095278062 +1162062851 +35211734 +2018411207 +594058234 +874479234 +2120569743 +1262376857 +635828458 +1889607308 +1085460158 +1277584753 +1333353033 +266692341 +961049264 +280681303 +1540310576 +1220828211 +1680751139 +1684787354 +1340827268 +427054597 +1869942526 +830131314 +2035404315 +384895133 +746362423 +1295304754 +188482221 +1128688955 +425756107 +136276635 +143268158 +460967841 +7204194 +737326392 +1335447075 +2127773937 +1999703249 +1971275533 +1869897598 +937679759 +1101376639 +1055766983 +1204372101 +2062425903 +1336448286 +597199029 +1135770466 +869715777 +134502735 +329114087 +1296770374 +2004445261 +1159245401 +1184691041 +241856747 +1905607824 +332512147 +430338968 +886813131 +758268254 +566615603 +1030081289 +1219236095 +573819798 +1767407682 +407199523 +554110087 +1619627283 +230991408 +276524037 +409823395 +1332368047 +1332291021 +1614195496 +1247310303 +521255659 +63910877 +235597121 +1390971437 +198413613 +564711208 +540258163 +55375226 +1723956609 +1724949205 +297231973 +1482080785 +2057461352 +727570941 +221410268 +668245959 +1294186545 +1251491558 +1887482054 +1868006343 +871415592 +147197929 +274632782 +343559227 +378189338 +551156820 +753382622 +1710557385 +1883447841 +220094470 +810384040 +257219852 +284005348 +1045981162 +1648191289 +482418961 +1610692370 +40965805 +537794187 +1187165332 +1765915010 +835026161 +521762469 +1675892714 +1562597102 +743172738 +196655025 +709299999 +1994664296 +2084137080 +429822694 +718596240 +83851361 +704455477 +1062155467 +462040699 +1255612297 +1815538090 +25114437 +991576490 +2035632560 +835498477 +1248796342 +172154260 +1881479639 +749503984 +654573221 +1344688362 +790469789 +1192367409 +384370046 +408901151 +2027393570 +906132515 +2084793865 +1442507024 +1649305253 +133965243 +4323376 +1496485901 +70618675 +434146070 +67598493 +154470036 +1138601547 +1129753961 +616510736 +246730196 +797808403 +641625173 +1238306686 +685957315 +1477123650 +339619381 +858111576 +1211119642 +1089123365 +1512684797 +408324356 +1879593154 +557568558 +792694402 +141010657 +437478480 +1698826917 +78320874 +1879985505 +1200648523 +212286117 +1884308881 +549650776 +282904792 +170971303 +617249270 +437374829 +1309572851 +1747003231 +1053885565 +1556303047 +397327986 +1695510738 +647126086 +1083285301 +1025150740 +986745467 +1941396877 +88786734 +2075868832 +1306598027 +497111090 +1807978338 +1864166585 +1289805492 +1948988995 +154161418 +841148762 +2027309869 +2034146923 +2041797285 +92112339 +1770972156 +443964413 +375017131 +1941943459 +1061213683 +812391960 +1104032662 +660733266 +1866277525 +512852062 +1058061252 +1414304615 +1159978148 +2141346554 +291971708 +2146723615 +1935259783 +380758442 +2075108799 +1094374162 +877869533 +1735603489 +811057100 +20191377 +1537108836 +965218518 +861340139 +1416935057 +851881793 +755653776 +1509047396 +475370301 +1199618190 +1884064528 +269830112 +113348225 +548972840 +1373862775 +774081492 +267766718 +1886714837 +1832142744 +1682071333 +899209337 +1826005650 +1974043041 +898449304 +1613781786 +207317836 +826074455 +560672300 +1085187369 +414194296 +1371729400 +1105378746 +1951303132 +189464270 +1966718886 +1220754541 +1041346063 +574889014 +582318290 +1516716364 +1774507204 +318899170 +1786546477 +1887855430 +867872010 +1012925604 +514453274 +1135638728 +752156793 +199112370 +670226414 +1651366130 +2025118021 +496785807 +402331786 +1491416159 +704103643 +1228406241 +2052088459 +1789291012 +1642600537 +1276334212 +747186111 +1446420021 +1465798482 +566421349 +519690914 +359660898 +1141310363 +1102009204 +1876377262 +768333920 +1420908374 +1515440091 +508705702 +141296737 +380882047 +1023158976 +1276935465 +1133038840 +1222271346 +1947161879 +636921322 +1099905719 +296464039 +1039253108 +443838230 +1000567682 +120175701 +348443042 +642375047 +1762776238 +1624777254 +1389561158 +1061712611 +943092088 +1955982507 +1581403526 +1302752986 +949809222 +535929082 +1031646601 +1718143142 +1956837457 +399603044 +79365196 +2098134194 +780485092 +1102524172 +1227586011 +1913523932 +177311871 +1027264243 +402961607 +1277217590 +1323728282 +1442214715 +1721055821 +176812316 +1562390417 +2069498863 +819187363 +1177683007 +1546792469 +61264873 +91911971 +342400909 +2017247380 +1673315497 +1645153896 +819572955 +61760931 +529316849 +390232449 +2018598388 +928919893 +469597646 +1969248934 +1709404985 +1572121818 +1049351298 +1475445270 +1749433689 +2076615541 +1878406877 +879167632 +1252860175 +1173137944 +452739805 +1429672491 +588044713 +374755020 +101376207 +1765727721 +1921547489 +162641080 +1857639692 +116464750 +32404813 +1383471541 +1761618646 +851977768 +1445232472 +143451847 +1242210217 +1316347213 +1072371741 +1711807863 +1138112499 +634293078 +1136446034 +39980149 +2109738348 +738396075 +2116595690 +1840661577 +1617563707 +1221972217 +866315874 +2070303512 +504161061 +1454360587 +297574884 +605537268 +1072604660 +71638725 +768178348 +782760704 +188103476 +800583161 +18748597 +1949722122 +1652560929 +1463981070 +2093173970 +747287499 +632844635 +1018062063 +311611714 +1770957134 +1652355141 +1448057748 +1810937284 +1614609842 +38970176 +1780049326 +1307787771 +1656533883 +854537896 +26619997 +1579353748 +1358698957 +1480980585 +1876928632 +1964236225 +406101597 +1948567358 +584930925 +1188862302 +2136670834 +1385514087 +1207610899 +1938909308 +890591368 +524108321 +1884599630 +1637878867 +1156952956 +755178045 +1949490582 +780426443 +260049539 +1250064682 +443880079 +1874659381 +1289034858 +76445757 +1034963504 +798085094 +930983653 +1061583502 +229955194 +142198962 +395080439 +2106883826 +2106435187 +801182036 +1907967536 +543882465 +1990044338 +1897154722 +1929396552 +1050171590 +1688580383 +672504272 +1574279911 +1425696365 +162899492 +583749220 +33390763 +2112390074 +1364175663 +293440302 +1214971108 +1808055742 +20616035 +356522319 +1884501499 +1055579539 +1154607413 +668001505 +2117163041 +1384562607 +810200467 +364759832 +1343962785 +769152007 +1165941869 +1104446674 +1313034472 +1008502559 +854117748 +1094947376 +2058674149 +395214483 +1767451648 +1485470413 +1820910849 +1930351140 +2069219633 +1854301612 +1895257566 +1285911648 +258266 +962745027 +946483742 +20874301 +1319267346 +683501593 +1076453840 +326391111 +1351503098 +1046133234 +1710953718 +14219918 +1410893066 +907432855 +783371925 +429351287 +2011879529 +2096406397 +1437853847 +718513630 +1043870125 +1349044348 +1113728113 +663838125 +687031113 +787155314 +446705618 +608767098 +493973278 +194479536 +1894678746 +494231544 +1157224563 +693678840 +515105845 +329008261 +1377180434 +1591559686 +655399372 +581199884 +490209272 +218869442 +595419802 +1901102338 +1126302298 +1378791727 +182969978 +990698179 +1327714476 +1620823825 +1709211809 +224100953 +822384525 +675456275 +887939079 +1509415639 +1462611589 +1334644697 +2118182737 +1956584868 +1529124233 +1865377836 +303332764 +538865149 +411573028 +818438610 +867873410 +1788753462 +262514648 +1523272783 +222469699 +752723920 +1742142225 +817889501 +506342610 +720960875 +49197581 +689312588 +1711659055 +1376912057 +162652765 +1273387216 +1601013011 +985037291 +1948843491 +341468442 +346969282 +1263971433 +1676113139 +317668371 +1073072653 +1057753724 +35562559 +1376405417 +1596618873 +447135588 +47360379 +317008636 +88405402 +309875027 +1840281419 +310875101 +1062598947 +1434939996 +1128764603 +1568941558 +8417224 +1177962184 +110770498 +1720076279 +407390593 +273423264 +845979847 +2008403604 +1258460555 +647339691 +202388398 +1605429837 +1911311124 +1878501537 +1923098208 +836900129 +788771614 +1958660768 +65821898 +237906839 +258312708 +113182278 +554915475 +346718110 +423057305 +247713246 +657593212 +1485656253 +1682653243 +1786357815 +907114163 +1691070467 +816836351 +1017884661 +1263663098 +1224226944 +1291307925 +2109642945 +1085146901 +402284832 +609498988 +1287535299 +2007714669 +373326464 +1018553189 +1783329230 +1210226593 +1807324803 +1594506350 +1276048492 +2045231642 +1852819058 +1389230770 +452663470 +52053520 +1812288075 +700376716 +709646732 +1150460680 +235546311 +348520899 +2057574843 +1926616778 +1165357250 +927975857 +1042796228 +242100547 +71800134 +1004955526 +1327247448 +474084967 +1614454514 +467299099 +334315988 +1987780979 +1485852288 +2117645218 +1050523924 +1145693443 +1564667920 +179088768 +1043441438 +1270003330 +1568319538 +1496104908 +1322056851 +1233123966 +48997976 +2031703583 +236100998 +284544288 +232740835 +146192194 +63677418 +1398098085 +1074168051 +1106473647 +1640198632 +1145968185 +2111429173 +819962432 +1620053152 +1578400039 +1287261532 +1954369141 +1418697370 +625630172 +1924530711 +321737647 +1771323616 +1341714984 +500826415 +667281406 +464234666 +2069145954 +15902666 +1786291517 +1154786272 +64900642 +1670511453 +1390887270 +349444930 +1903252288 +1537079464 +413122349 +1153866725 +463763867 +1519595996 +646581710 +1609732053 +1483541521 +1466544142 +1082301557 +914457912 +606322026 +889187050 +185671635 +1231952199 +666234114 +507409282 +855792167 +2007949098 +1008235697 +1523073573 +324700116 +929898003 +1538976239 +2110991634 +2084684275 +1603876881 +1634019439 +1328087898 +1953321812 +1389788079 +717683714 +218960513 +396171156 +1181447582 +1738556509 +1042752866 +643695987 +1074614382 +361813361 +1725997544 +1989072294 +968135387 +467700947 +27260281 +52603938 +1133935061 +534669563 +908396105 +994400511 +1542905261 +283986030 +1319100627 +325319616 +1822962269 +1282608613 +262520244 +1279355503 +769144404 +1590608142 +1085193667 +11448835 +160808208 +1304154180 +407619992 +1342255790 +895227041 +1450372858 +1985951777 +1969841423 +1812186219 +1564465674 +1811430069 +632837959 +2032166621 +1838690351 +685441897 +1018618034 +225876266 +1593838003 +2013018545 +1768781527 +1877824033 +1184635524 +2094101144 +1553302655 +319760490 +209137740 +685174510 +1088904894 +1799745882 +1770368177 +1100353730 +1960554090 +927038709 +1507973722 +1155326233 +1822265750 +810862932 +993794362 +1644623525 +475565504 +410776388 +1308569946 +1108403463 +295459361 +999776649 +1793845360 +1314077395 +1225652916 +1240199715 +1179612292 +846950795 +970540101 +216764169 +793568291 +376359108 +536524659 +1002706031 +1061533618 +1625429553 +654968265 +684418147 +578299635 +468038708 +1611456856 +2086273357 +1623364941 +1286238958 +749652642 +469675655 +783378835 +1225218146 +880452044 +2091948781 +186137961 +1175911405 +944241783 +1979983321 +342505153 +22411051 +1072699389 +1522117445 +869361846 +2043239490 +1738881614 +1662930138 +272114950 +127922625 +518152521 +1333648568 +1753352179 +1173120787 +2018066715 +184168166 +1641159495 +1482039923 +122957876 +1117040788 +620795233 +872610518 +1586716443 +1404174068 +2097828664 +319684839 +1348639201 +136482977 +1495596245 +145397336 +2116466298 +1838101398 +167808387 +1041682039 +1212735195 +1037170234 +937437881 +804133162 +552616724 +1209552831 +932055787 +1070769245 +395717751 +537924318 +96406384 +266300818 +722092485 +1737565879 +1748340741 +845050361 +707123019 +221652326 +1717660879 +146355815 +1625826394 +1668005895 +466040654 +826981948 +1804488872 +1961636899 +972379284 +1773471522 +1652254649 +1140187672 +667669914 +717506197 +29874258 +1605107795 +1521639359 +582490982 +667176979 +306211498 +1653260227 +1062894730 +844135817 +1749666612 +1329195549 +1566228302 +1339748843 +930052642 +263795015 +2046871863 +1151704969 +1981455894 +45744030 +630047715 +1501978141 +511784684 +1457029663 +1158983365 +325937936 +281925300 +784971239 +1978192585 +1422112972 +1452641153 +548215134 +1451987230 +910265301 +2069854493 +2034478212 +1577442280 +228582344 +1540254791 +492853362 +1072718161 +1142437755 +1822048911 +491462815 +334702951 +604617906 +755257830 +234091166 +1756322875 +589230076 +279835196 +238886942 +2091208217 +791619880 +1695916606 +1102707934 +1117557816 +1977841906 +1887679173 +948266754 +1252471230 +1192836679 +1496481888 +556974812 +2103101980 +1418852734 +443969376 +1533060612 +1647435078 +1984224167 +2025913974 +572669591 +979178275 +1700479238 +1064132406 +1313881226 +157613496 +1819390236 +1547972392 +1913936371 +261136664 +1827807588 +5339665 +204861233 +471943820 +1701256271 +1307569167 +1589501637 +1531614529 +1047764692 +390284743 +636602111 +93117723 +1886766631 +1193576923 +48736055 +1158135717 +1637546299 +1581796667 +658087147 +1474286819 +1460226994 +1230756738 +305981446 +1013222584 +147405496 +1619862672 +1170836080 +1966795732 +1020351416 +937288803 +80448748 +700675356 +942628468 +285309981 +1172619176 +496401092 +1592879148 +614637165 +2028015621 +493160193 +1004921908 +517134085 +586277916 +744204892 +1710711008 +635013972 +1902340609 +1200773660 +69326991 +412944109 +527576831 +1529553985 +1643700847 +833558277 +395292921 +1791106344 +305937301 +1566129001 +1610418428 +1326288717 +355934156 +1690867177 +2026964073 +1298562625 +1976177158 +1052099601 +1794963717 +1421572659 +1666736767 +1675495690 +1914732852 +524175027 +45146127 +353527120 +1268379919 +1755857136 +988541092 +1023236881 +809147148 +1057868084 +1436180990 +1336723979 +439938421 +932398189 +22798608 +835231343 +576020885 +328735909 +253876696 +38955666 +1655024626 +609810853 +1729822843 +1534505051 +1908373478 +1558516353 +439121004 +1555853547 +832605364 +2105857771 +1083865589 +599854568 +482549151 +1129011717 +953381689 +1750929070 +737385205 +1941922781 +626682303 +1546532353 +852307217 +2062863293 +735772684 +1292245639 +847777835 +758571292 +2127476982 +1423798720 +1087307201 +233870030 +1462754386 +594848179 +843680883 +1045093581 +2129353230 +604570713 +456126287 +420990586 +12940612 +1288731651 +379364710 +1096806202 +1888586220 +861913861 +78334271 +694484261 +465359283 +815719476 +488923394 +1092041587 +214768181 +1341230612 +1007421232 +950540865 +485992603 +1855199067 +1709112157 +465985937 +1131514140 +648935710 +699855967 +446784878 +1243783889 +1543536851 +1491878460 +1225653471 +623916 +1948004747 +1646644057 +13564529 +1089252750 +2026008767 +1110370731 +830355322 +740438980 +1188705002 +1524839583 +1205798264 +2004424478 +2013762978 +150356203 +71709011 +1207509942 +1157777435 +1022249876 +1693502545 +865492855 +583878385 +12004834 +1997006995 +1232814095 +711860801 +296308225 +329114336 +107914004 +1788186685 +1554767807 +108537921 +1588707784 +1053928216 +122102450 +530476887 +932453336 +1232473181 +1360832209 +1672892316 +273694535 +738188145 +731206932 +130635365 +604467475 +881563135 +202344376 +1811977417 +2039340571 +1224594252 +1357996314 +757349778 +1808472637 +1370001148 +606873125 +893803084 +2081861949 +903181350 +1222917420 +42292306 +543884388 +630201579 +150830227 +2132592172 +1684129795 +272932677 +515585411 +469099483 +1505405858 +1876417621 +2141991800 +1779100393 +467122118 +725715084 +1909735758 +1071589593 +1607278220 +2112080134 +736083362 +1499135143 +1189190738 +2094079676 +109001273 +850179727 +1316597176 +715874398 +1743982811 +1250975477 +1619055748 +819416583 +1293267783 +15456488 +1449618162 +1444098010 +565013 +986264309 +1717030687 +516150424 +1455363793 +1074952897 +245084397 +1449871945 +706569642 +712206515 +28103381 +468821752 +1783796108 +1635381601 +433418238 +372395822 +987033096 +1622608976 +318991850 +1096034369 +325305055 +1635589026 +1811908767 +2069287866 +739080856 +1283480868 +741220801 +2032348639 +1298937356 +43355315 +1328963002 +1299502369 +1029619625 +898510041 +1815652794 +337499770 +1973462939 +2060737191 +1787371715 +532548933 +625460059 +1815475096 +1001370686 +261772519 +1303373050 +1434788924 +634168342 +142922498 +909914253 +953160192 +1238956868 +1235219308 +441265571 +903381987 +1157023527 +1180346427 +39379207 +1898244328 +1065211418 +1338316564 +1941599644 +246690772 +490335285 +823735621 +1145200814 +158504431 +1161235391 +971180105 +71757975 +801123458 +1503729038 +697218034 +469114906 +357616076 +958990553 +1772487956 +1792405001 +1593158895 +1915410455 +554835606 +398835440 +1006883675 +1790054914 +840101011 +1910265662 +799594793 +2020447438 +1949644870 +550355474 +938175208 +1140477786 +344471470 +1184865981 +1630813071 +1168207091 +182583147 +1789317503 +181958834 +1153763252 +1861075478 +983082292 +510008642 +410809864 +1452197198 +867624719 +1369800417 +1077201507 +512546072 +815475665 +845128314 +1067381678 +1214311105 +1852011989 +709952944 +2054412116 +1614794003 +1509547738 +1927375906 +1416955225 +2059903212 +718067466 +409949363 +256891034 +1902933447 +2040762435 +1425098125 +2085516594 +1682596290 +1607056959 +1091796198 +1396188120 +442655603 +1601804841 +1806997984 +1894852801 +321945912 +1029314753 +824570660 +834491984 +1844790418 +1669698974 +1901873662 +911617875 +1374227315 +464342958 +818546343 +841537671 +1973890696 +598438601 +111009248 +1886310260 +1316506068 +520958612 +2143201294 +1071955867 +414237399 +1420815771 +1009988814 +2096833689 +880389082 +2101785012 +1345538161 +1323044685 +1556106205 +1005052497 +1070413839 +1878052117 +2034367250 +1894984499 +565060453 +1731674021 +1417199826 +319450467 +495808248 +643943493 +783793426 +1314354592 +1485481164 +610200474 +1912793193 +1596490413 +349027087 +1081815613 +2117449025 +344744733 +6287833 +384202776 +1765560505 +1016276647 +333552817 +498465939 +970578011 +1679090978 +1821510625 +379200569 +536659827 +744440816 +109769038 +423543429 +491941667 +674829492 +7733802 +1909141493 +994279959 +503542051 +405601339 +1778073385 +1817896643 +1891082503 +240790212 +1583206188 +1340089268 +589817299 +517538154 +1310054645 +934562032 +523825987 +1694257421 +552638889 +1540102634 +2027810238 +1051104829 +363196997 +1559417568 +725131806 +742397566 +2096077395 +1469572622 +852166605 +372137177 +1961514289 +1526996097 +379870979 +1723172135 +373792408 +883413030 +2128773474 +4382146 +553826025 +1872372329 +245172358 +2137032214 +1064977950 +834989657 +507086720 +227548947 +1769551689 +1030912707 +1921806369 +174706931 +423531693 +1802132959 +1225811760 +786728690 +1214066880 +1950943566 +1529126257 +1162660627 +1273032540 +233809214 +1534797804 +1087063181 +1760805311 +1914668784 +662751668 +2134597719 +650598166 +644041494 +2138979865 +1204424192 +368930176 +236668575 +1193972758 +1433908126 +1071658232 +1701059478 +1661457073 +693726274 +584488537 +1435779794 +868433205 +1008020230 +1090429106 +2094244965 +1794748920 +157012338 +1897704883 +1176391529 +1319672965 +1023253775 +1410200743 +706987122 +2110316956 +1023522406 +474172258 +625584977 +1010636478 +1124770424 +1269626471 +1002132695 +181710968 +1638556647 +1238801271 +1375683726 +924981125 +162975855 +929259556 +438954551 +856702129 +1513748093 +1874734345 +1725135334 +374284675 +817679803 +1671896651 +21549948 +974692141 +1422117886 +1197941477 +146881459 +297888013 +460658573 +853868581 +260721322 +1484180979 +1328040839 +886306299 +347333809 +305327615 +8449122 +1349466505 +487038584 +1647005770 +440784128 +1862722310 +424503247 +603759983 +644498219 +863457798 +1460462113 +10762664 +590708496 +1038113799 +385047340 +1408388299 +562526803 +406597288 +235596793 +1984644689 +1604538765 +382478252 +135049055 +2065197338 +1236346833 +395770377 +1401894670 +416904024 +1282076676 +1749228479 +722231639 +1290525798 +951211336 +1209270223 +790047920 +1391995464 +924508886 +1214551168 +1995755448 +1569007105 +2078008966 +1308733913 +1579769769 +521233814 +199364064 +1964817109 +1929622114 +761890867 +223930749 +17735259 +599051909 +1828469515 +400213511 +734100964 +1746183205 +1636560344 +1129871341 +1000594227 +2053464368 +264464369 +602339059 +628212359 +1554990167 +1553550395 +1837482583 +197554440 +798062212 +614507821 +1412105608 +646334012 +36031278 +1342630926 +1955067925 +1615801047 +1863864741 +6948341 +1433134509 +1646003207 +768839209 +1657065258 +1663738466 +1367891118 +1338051125 +2063951977 +2101992082 +936750683 +1553028673 +1084379775 +1937344910 +1459009393 +1348844144 +392200321 +2087221752 +756350663 +1945750717 +1777220687 +953905103 +596329281 +244244860 +218527063 +1242663293 +280276138 +1561157990 +1050247570 +1896077186 +1277539083 +1057195911 +1181728047 +776058642 +1826035120 +691309657 +292313460 +1046442590 +2029360783 +208781789 +1000951024 +818627818 +1761810462 +2085330799 +608489080 +1073336207 +1286691295 +1000689402 +1013074311 +2043041959 +798956471 +642811351 +849463414 +1395285752 +887056211 +1067990478 +490465397 +1167332350 +481664820 +1540712967 +915925888 +1759203903 +450425230 +2097653935 +387778897 +128976703 +641479944 +680092357 +1175419293 +523357079 +888874146 +28886670 +1341984897 +503200960 +2114217469 +1950473978 +1576537167 +1253425117 +803679732 +442127830 +1148983428 +1602636203 +1084939181 +1998446842 +850438307 +1971995393 +918953672 +1340903704 +991844095 +1400618492 +734133023 +1907769983 +1012338747 +1184558253 +1857940270 +1400117644 +1313534956 +351936566 +2080210001 +341470602 +875293646 +821600499 +370357272 +69794895 +1324801459 +337091093 +2020268873 +753854978 +1590516210 +676464957 +1195982809 +592015990 +131617512 +133438342 +442979185 +982055819 +2105433735 +1361932857 +175475875 +949794182 +615067702 +909608898 +710080517 +1627406449 +2094167152 +420537139 +880040446 +1260218460 +772473706 +812766799 +1601689062 +1647767352 +1634367299 +1972046334 +1717562247 +811685110 +161653780 +1590347473 +1565540089 +1752169990 +119328782 +614039250 +196702333 +250946295 +747477592 +639681518 +1233002114 +705427680 +2001614375 +1408477990 +1655221862 +469198429 +170603240 +217818732 +2096604879 +117286744 +638355871 +829161677 +1377505205 +1410829577 +1641928476 +831710619 +911113281 +1128812127 +656273306 +481191881 +1940497238 +817927086 +2071539354 +1358553679 +422613428 +43384488 +1972592929 +619315761 +294330783 +572586873 +1258997279 +1527332898 +1278014553 +1113128007 +788327240 +785752768 +1582326436 +958930480 +1003571500 +1531447667 +1076217225 +1641927371 +213125696 +306238782 +905273301 +1855054173 +1137949401 +1816386582 +836382652 +1794222707 +150094815 +629396242 +464666145 +74150521 +1987949921 +887279574 +117535010 +1813059202 +1506595335 +411865793 +238162428 +618108967 +1939198691 +1516176981 +1731236974 +580042283 +154446101 +1166079762 +1538972764 +1158017601 +550043782 +467706341 +652461325 +763169478 +773945123 +1557734626 +470740003 +1911894524 +1226637560 +1307122656 +1558633584 +1376732376 +1936518898 +2023299729 +1450882897 +1776985172 +763095655 +1568417907 +1442560726 +122207343 +1980283701 +1680723154 +740316310 +1771998744 +1049416488 +324069636 +204557380 +1203862589 +1490149398 +1743530144 +214396543 +2040193180 +63752837 +866857868 +655879011 +837697960 +277108846 +1126619014 +602108836 +1503746406 +286258022 +13258772 +732995134 +75293273 +2036558502 +36394384 +1852278445 +652170509 +1604812291 +1147355523 +774377852 +1437612344 +680595030 +1514694162 +1062127441 +1730011518 +1838763798 +1266684821 +786390459 +1181429549 +862731317 +1000787002 +1074139081 +926484154 +1867644870 +1730018092 +1764182114 +2144753716 +709153459 +218807302 +1501016475 +995411481 +232066075 +86527961 +1070704754 +121140929 +122922345 +775499551 +773311438 +1727734637 +1922855075 +1547689291 +1017863333 +455966457 +914899805 +2079990774 +38494327 +606179956 +1199191947 +824884786 +1787609505 +2061923264 +1825671789 +714264938 +840923770 +1545833011 +296799383 +457622236 +1543103080 +1005952842 +676429539 +896635907 +2001364323 +908495614 +983163868 +924585430 +1029636543 +1106086214 +1700084981 +1802947981 +686337203 +1475456408 +1203153624 +1704200536 +1931422865 +2118053430 +1636707663 +1969917192 +576749738 +688415962 +647318331 +216875595 +602855579 +325506472 +931140533 +1443779349 +1871339483 +1227939916 +1901401586 +1266958915 +86409110 +430347477 +16111174 +2087773434 +1338843091 +999275043 +864875216 +220995986 +2105361257 +417476549 +2023943967 +644214812 +1892932958 +1079613944 +200931700 +1676872175 +1050183726 +1837639363 +1499305720 +1626933464 +378571678 +2146624051 +1843809059 +981427257 +324646875 +627465944 +277722958 +48502710 +1855405861 +31640896 +1315461626 +1941814971 +461988373 +1331572800 +1882104757 +1800831464 +183364195 +599496325 +2021827450 +141241804 +1016972875 +1898287770 +785456616 +762422185 +830418066 +986388317 +291810712 +1880601792 +676544032 +1791116432 +1360051608 +1055115710 +1790256835 +1056377019 +2036542967 +2114903710 +1683842963 +166782278 +15922773 +1391765176 +198423174 +1331384399 +1186096500 +660411548 +515473551 +920717609 +313759364 +698837747 +1520213935 +188103167 +840079551 +389703162 +2086390937 +1625536168 +1152125347 +769325355 +464440837 +1443936059 +502443499 +1140984869 +1087568844 +1862495107 +48616932 +730342031 +771388478 +2085159899 +697762094 +307747793 +104458529 +713684867 +1699512970 +302881704 +2045069266 +738125822 +963293252 +413059169 +1658843431 +1277052616 +1111896916 +1031573718 +1465155783 +1951976468 +1421276880 +1404063072 +1430028988 +425918579 +25904779 +1894469825 +1869854639 +528348278 +887971046 +809939835 +243359737 +936587978 +1540281866 +1014748215 +874264230 +90560312 +1322496009 +978722759 +804245179 +874525331 +1281604463 +701830797 +1612651153 +97414067 +1114889967 +1124010936 +1374466684 +79303235 +8101007 +692138819 +2031279703 +1429377887 +2096201892 +1313825043 +1855296467 +2122106671 +1060811220 +1577667458 +502971302 +1948782267 +240123645 +746331039 +737886597 +1780405511 +1761079255 +1612150827 +1870965824 +936091616 +443389939 +527727355 +1810616947 +1724994402 +1229558153 +1275784452 +1822408470 +196964472 +252311740 +1049391506 +276267707 +260412747 +1741530325 +160063763 +1689790635 +1690248569 +1473888806 +1397603454 +1664871593 +387216379 +827787264 +20359247 +188514998 +1067910909 +766690286 +926401595 +700832772 +380285893 +391068775 +424314948 +1316377509 +834458714 +952042304 +979510808 +411969468 +34116809 +107811612 +86894290 +231081281 +360123353 +1136285796 +507348988 +620536100 +730332474 +667412751 +162843087 +273097395 +2141301558 +1560446541 +1937968988 +381034289 +240750157 +1958328235 +569549287 +1308661066 +577534874 +1495950882 +2009493839 +957820767 +1887019657 +286325139 +126714629 +573994723 +1238367443 +1106225437 +985964192 +1272484252 +1214037050 +1072858482 +1503565533 +1574160403 +61660631 +2010914522 +47212855 +791993105 +530843625 +210055943 +1065090500 +524661535 +1770502484 +855575841 +905695824 +2011252642 +666420428 +1475245111 +1172430060 +1243955302 +823712346 +1034440251 +54292422 +563248355 +1320765391 +181007051 +1137243079 +411649186 +1287232488 +2123207271 +1684133439 +353785890 +1048582105 +1040215324 +1927946293 +1110242736 +903646198 +1975159149 +1902235841 +1434489824 +37731444 +819842694 +1959151359 +1808233928 +1675418535 +717363536 +1672002922 +194355315 +45124999 +696949335 +1438310618 +868837345 +1731389586 +1492603040 +1432085701 +904671329 +1673610091 +421845132 +1316320516 +813358931 +397568755 +852970307 +1167144822 +1446150860 +1893185631 +947607467 +408909949 +649348182 +775282968 +163662142 +2083838006 +813014412 +983504836 +1895505717 +473764693 +511439723 +465385605 +2145767615 +705795039 +510510605 +695233302 +2144105657 +1379347950 +279139241 +1489225049 +663950003 +1183810570 +1015351492 +1085795135 +352647438 +1828710423 +1483363890 +1205617745 +848371597 +782031103 +951319729 +1795979065 +1190941052 +1600667911 +423778385 +1354603194 +1537022269 +1236792798 +190624383 +1285044338 +1710557491 +702064106 +1750429944 +1708841458 +1407859145 +113456901 +256591113 +1404481154 +1492804851 +535730354 +746222555 +9271207 +1719540924 +1761574047 +1095066342 +2072188363 +1442800823 +430946585 +1130322460 +143688772 +1212977688 +2081642189 +1939667837 +256435092 +1534826452 +215962575 +1611038286 +924365073 +1452755373 +1801662669 +61925764 +1015829216 +356243128 +1812355708 +577187026 +1764102273 +1925812609 +833778139 +1021099780 +1271133812 +1369508493 +1767322335 +1280405019 +941565770 +1381412735 +227987714 +866270485 +676729910 +658934299 +1996592945 +820418682 +1871911987 +1930751487 +612602872 +2128347079 +1318094291 +828565447 +1591901717 +94975717 +133837172 +1246080739 +156901481 +1149666388 +1602323867 +1969257189 +1726853414 +1218942492 +1747586150 +413147906 +92558624 +871236314 +1782656399 +1859880960 +4157686 +576738521 +1093810047 +232145400 +1443009006 +1770539957 +891079699 +1292118304 +443474991 +615508038 +1075386143 +1056077863 +596371469 +245996786 +1884643310 +40789538 +340972503 +2018480482 +1286870277 +497873984 +1020663222 +741710496 +319647525 +600032989 +1960652989 +2067233675 +1013180895 +2053211613 +790986342 +648353646 +1765608925 +795144028 +1225092168 +711935324 +1027289428 +520617526 +334991633 +1918369127 +1812735830 +778466625 +386393517 +740638325 +1834544488 +982764986 +986635112 +1571704151 +1023554524 +1327607615 +1442700985 +162941154 +1825481600 +315880560 +904651650 +2145129125 +915913549 +717820991 +2064879153 +1929094444 +623548957 +708381847 +429964442 +241674234 +1503525875 +1655056610 +953609559 +383331655 +28190489 +1288601192 +154217134 +1840926319 +2067067817 +540610651 +434080997 +1754128658 +1523375637 +1420716109 +1178349161 +399446513 +600840076 +473566498 +562387667 +278838028 +789447058 +1467039318 +276483506 +1705360607 +37376661 +193879011 +1486971403 +660925618 +902260858 +1916935846 +902599853 +258303085 +1424508808 +1856209412 +641634740 +1452699297 +997326956 +795851874 +1146141969 +916911126 +1336462525 +1580222966 +523556136 +712354514 +853455427 +1701905297 +1111801027 +1454295503 +27988147 +1674188695 +1733133532 +817435206 +993744365 +2009617038 +375312165 +1031121026 +56012401 +1862283569 +1692046645 +958273259 +1631735767 +447162850 +1216576344 +908760927 +155888614 +1858211084 +213976577 +1153215570 +506579310 +1360118546 +2070126696 +1843041835 +792857864 +446199184 +407912701 +1646313291 +620833 +1519713728 +953125146 +28608981 +1046418775 +538775030 +846044187 +2040163140 +400908420 +1221356352 +923800519 +456920821 +936156273 +468363516 +1415194080 +420408392 +915526366 +484286776 +1329169320 +1071414980 +195014212 +1543145897 +77146902 +701593522 +755780795 +2147273599 +397151709 +1548638659 +445989135 +805064410 +1047468302 +446609969 +177294491 +2000593448 +475218950 +1223713266 +391884831 +1321263137 +1116392759 +792793251 +395135841 +2040193278 +1249714073 +1331292115 +361073146 +517424505 +1751700507 +1276599512 +1001711282 +933386179 +200530844 +1196725494 +329048428 +277677746 +1898319017 +1084829223 +277467697 +147987078 +485984234 +723456833 +953051489 +1533452536 +1170066802 +1130345980 +1386562337 +1645285752 +206575598 +1778447168 +819065241 +1322968357 +423756771 +1214201082 +1215677987 +1673470844 +398009549 +1576751133 +43411702 +2226409 +705866997 +1045122984 +935612588 +906397841 +94364830 +1264661017 +1184075588 +1992683847 +202006592 +1461543285 +2140670926 +687990827 +37516470 +946238767 +73959715 +1207583272 +2076584747 +1460522052 +705385376 +135676697 +1091485572 +1524450617 +1458645055 +1515242344 +591168052 +526839394 +1041229540 +989177601 +2103590528 +1084641242 +991404010 +661973877 +2129764226 +1927016599 +1568371719 +76645409 +1044193968 +604963659 +2069329256 +1246200560 +2066506944 +2062516534 +1934191387 +2104023415 +861271653 +2008151103 +1164123039 +790372752 +1321189507 +1869508416 +926049450 +265191432 +1246475385 +237210857 +1780433776 +1837643437 +764050251 +674179668 +679337391 +720157131 +1758820911 +1670741401 +1382131009 +1741101489 +1450274352 +803019080 +1817746898 +346984672 +1407982739 +1739592507 +1593185233 +1327006035 +1654625393 +1379892972 +1283545802 +368413399 +1240560427 +300185194 +1158786151 +414266287 +22209962 +2084835601 +679457719 +1268685347 +174562810 +312407847 +958845137 +938613062 +986587515 +1638182528 +1658770193 +597924778 +1161440281 +893417554 +191542620 +464230986 +1696436634 +2009289518 +811215658 +956935725 +1601398377 +256917243 +136458113 +1108540123 +1636810216 +1420003915 +1476953522 +729886995 +1720189109 +488256025 +1144153282 +1742399071 +425607979 +1823611001 +863600771 +600170789 +2136018848 +1822445908 +1538783851 +975122716 +1313144788 +1050070397 +1573047494 +327101421 +1943487951 +1764590114 +791332407 +1492440938 +1626395985 +1602548066 +301893015 +1080310714 +1859465309 +438351128 +41367189 +1348791877 +1858355044 +1518320711 +2078678873 +1431060505 +2006576737 +1075348507 +1025975929 +284701068 +751475861 +1889576700 +884871857 +740011061 +1564538960 +276172061 +1715133777 +730200100 +1326242458 +1140697624 +1057301521 +1122246761 +757804090 +1848633929 +467204051 +236716427 +1303698347 +769097067 +1317027142 +1015680008 +1207448195 +1358394331 +216988238 +918319591 +729231395 +148183463 +201896449 +588324484 +1223531970 +1227872378 +873025552 +1975007831 +969965430 +1757897409 +567535245 +387020742 +2034069470 +135185374 +1117220842 +1212828280 +1275882998 +27038715 +187591394 +2033687089 +1875672644 +654795445 +122919868 +1031887343 +1423892512 +1439947010 +2047567352 +483857060 +650857694 +117071942 +1402176651 +1380089089 +265255405 +1604073100 +1968413573 +1488787375 +684461830 +693955477 +1316311559 +1654427260 +304369238 +1883846804 +2041448002 +190955061 +2019032178 +1011185196 +1403783341 +1147431529 +1038223912 +1591374735 +1033634970 +766412908 +98686533 +1156554838 +1798300252 +1522579045 +449018201 +1698383956 +2006436105 +1099875895 +1815455898 +1261129109 +332481336 +2080711303 +717718561 +153411261 +1422015030 +1402180392 +847366738 +590842941 +909124004 +1151735976 +327206097 +803088359 +1342691037 +198754628 +1814273555 +598990731 +1346186157 +705013819 +42881818 +232337479 +1471426728 +141568351 +1388892317 +1122243332 +1664147397 +1837910518 +673143640 +1523099854 +790302765 +341115890 +636745315 +1122784101 +274343545 +1354463877 +1276195362 +1696358575 +609160621 +2123562100 +139717869 +1518284625 +1127814429 +466923966 +173889336 +323021818 +665678594 +1988162892 +922012549 +2011864751 +545693063 +964894368 +96718582 +2017119791 +1106462719 +1485610900 +991879475 +623126468 +1176037770 +1665023115 +2146226323 +1966340536 +2006139005 +635487990 +941640989 +132998902 +1989951867 +70352704 +1829357478 +451628840 +46431156 +1969075347 +1969913466 +1174245585 +288515665 +2143802802 +1497267404 +954194260 +1984482046 +271796305 +818575363 +382691462 +1236690673 +915293946 +252327605 +195669745 +253421198 +1244207081 +818796213 +1429458968 +761746548 +817538888 +1248315856 +620401906 +1453026879 +42473198 +753400808 +1295495098 +112825902 +435274638 +1747123939 +159257058 +256866337 +1569553757 +1333502644 +545382003 +1565872911 +683286400 +1499576263 +1402871310 +955082705 +170667978 +1785562772 +44289731 +1085961924 +2037890377 +239959476 +1339383122 +1134613810 +1058755689 +621358443 +1896360359 +1876294578 +1869674299 +369278617 +1181837809 +1912147497 +1122679425 +329849259 +2024973399 +1557954064 +2076973198 +36746810 +1814820401 +1499043307 +1370249454 +212718756 +917432571 +2053535854 +1712295019 +172820233 +861134911 +1882962998 +1958383005 +905424642 +821441274 +1848789734 +1145384118 +13340749 +835919897 +56656160 +634699192 +584796608 +1932950738 +356889843 +954075225 +967304899 +121553693 +2076754650 +1297154158 +2146527092 +1487225066 +1226643709 +35790254 +1154561820 +578203368 +1406039708 +1367280576 +1495635939 +1312091914 +932091948 +1668456172 +25743178 +667571298 +1479355529 +931167820 +1489012572 +1180661616 +2076551939 +1502353321 +2016581513 +2133208099 +2137052513 +453894473 +1918675189 +346458709 +1407969698 +738496440 +468012402 +1337240700 +2035650598 +467055846 +676982119 +1114810659 +502846101 +1831543939 +1693014028 +1908885809 +1051340867 +1041166319 +1073494076 +1983432815 +562138844 +1099237254 +503520465 +2041494373 +2030405074 +1992533038 +1074672341 +1959473365 +1347402711 +943770206 +1945197816 +1336971577 +1397664679 +1716389357 +1683430286 +658150729 +307402149 +3959040 +1995391430 +195569100 +471014886 +524889901 +1310379759 +973860987 +208950192 +855910139 +735263149 +1260291059 +1897076459 +1808757225 +1096240227 +311731655 +760510831 +1599760692 +205742380 +643432257 +1444810082 +1280414722 +455421975 +644729146 +76701280 +253136143 +1981700723 +1474365960 +1969525501 +1517647361 +2132516689 +129444002 +1521606401 +1980424471 +325013102 +1992621287 +357830724 +1635392862 +818998627 +566780916 +343819353 +1554261776 +1827071976 +93412164 +1215535353 +775828555 +405143819 +1976046184 +228105599 +610886200 +471994793 +1672915682 +1891300922 +927416768 +170161180 +1968002202 +1180552912 +4378255 +1294884514 +1002594765 +1522025616 +1279917556 +1132038767 +896148369 +1112858379 +1457051870 +741286008 +1470689104 +944961084 +1560284635 +2037470020 +1288780437 +967062763 +1717058348 +1382192602 +35114468 +345403255 +1787336421 +2011160652 +573508855 +250738973 +335671798 +98940889 +2142039895 +1263088566 +269102069 +1962558450 +296157830 +273480324 +1109959316 +1298752595 +1795505940 +242393224 +283307715 +544170661 +1355251604 +1740359585 +1285456669 +678457060 +537837021 +698257657 +568443432 +1826617458 +1665320420 +138018133 +1061326412 +1700434889 +483421388 +701179186 +1564111893 +1056930243 +951918159 +1899783691 +1155871132 +946474407 +1015388610 +1424973201 +761549209 +1311546440 +1698453525 +1871508525 +462815388 +1346475817 +2113901750 +746123103 +1890646478 +1321669706 +338999040 +1028619500 +2000126766 +876836061 +1726877157 +421086550 +555969871 +1244713929 +559104683 +1617296284 +797665170 +1042526072 +170991822 +214293416 +2099456315 +1122909981 +2114077107 +1107843800 +2069384388 +981982069 +385333353 +683449949 +146044862 +2083786879 +407474827 +608860250 +1282779048 +373892929 +1354983353 +1025941879 +1695562635 +1693982393 +2054561379 +1548205753 +423334806 +1633954888 +1969292303 +979304677 +731185169 +380913339 +449117313 +1528850340 +1423439411 +620109135 +1743143756 +1375412078 +1743019117 +1709737215 +335772230 +1664919857 +544235637 +721105584 +200886159 +690280499 +657408815 +608360986 +1299140749 +1940187863 +982253915 +506640454 +818646094 +530332902 +53139199 +725723825 +2078538655 +476474005 +212195065 +1900347310 +1455778682 +943380235 +133777001 +1904895996 +324746927 +1557216412 +377521483 +2067890683 +785144843 +2120540600 +1630144250 +1120917073 +1637976810 +26896239 +1842022657 +1838862969 +717176738 +351947824 +299740307 +2016317487 +144652040 +1281994222 +375474293 +963298134 +1812327124 +428613492 +1689021960 +1743382131 +905087497 +1901217025 +1496245793 +213382532 +697113612 +1630022795 +2118278528 +1021860539 +1039755559 +348316363 +942267574 +1824900402 +321373316 +424928177 +798333828 +1959350126 +451824416 +492872837 +1650729447 +1169001155 +844820662 +1950469754 +1037834994 +989472702 +1084980328 +1413309288 +1952770836 +749823804 +1841922780 +1494309148 +345722287 +599526630 +1248042526 +1841968080 +812909162 +1945156138 +1324507227 +783704042 +819533030 +216779139 +1132020405 +1761800604 +2041679541 +1453393721 +39245133 +692529721 +1265260199 +491069550 +1185402559 +768505998 +1660070705 +2030223221 +571492104 +550422051 +872212275 +1656472432 +1963731339 +677499463 +258812588 +1658170472 +24324964 +604534875 +110213454 +1272367490 +299019308 +923122616 +1070039980 +1623526535 +1706826658 +1889573010 +1840305674 +691363415 +1503889967 +1734501568 +2144757137 +1543135100 +279547641 +1262533688 +2034204650 +1464950200 +2031039687 +1546791707 +1347689773 +455048143 +2097213759 +72418400 +2111520576 +1913461450 +749917864 +222849516 +1424148274 +774242828 +827384392 +1534361728 +2046610318 +1126403700 +310000696 +969166650 +602446587 +2016827354 +711256013 +295268614 +560707122 +67662332 +2029770182 +557980611 +1610797432 +161834175 +1820514299 +1497518435 +1626784376 +1704070338 +896826494 +826990501 +11634834 +846556605 +899408902 +2123155410 +612534408 +1649326766 +198521278 +2036682682 +276085946 +1025905670 +1423560763 +175212616 +4825722 +1733561459 +1144379266 +607272310 +1602905166 +1855635279 +902540924 +16128640 +1923297611 +784827458 +574109251 +1386611396 +946661633 +247139902 +736646183 +425962361 +1951210241 +1633472677 +1252952863 +1962845075 +332545635 +4878117 +1938516837 +945080043 +1654204883 +2137038115 +834279077 +1930290829 +1015460138 +110356192 +2105503445 +1020285860 +1843917652 +1102399063 +1627558170 +1299339170 +810550695 +382615446 +1315467810 +586364658 +1167442904 +1889577061 +1972976054 +2114104538 +2136716963 +562138589 +392583251 +1940443556 +48127619 +1645536114 +1755804983 +380673254 +1650414231 +1546838172 +1325753297 +1157135466 +1536392640 +12548726 +939942647 +404369130 +122904919 +897962444 +1424654990 +1966822571 +2000361508 +904729513 +1118678093 +663428555 +1287344959 +286662255 +1249793213 +307304216 +28755668 +1075285620 +273925106 +17988983 +1637424209 +666508357 +1958432540 +1685551828 +164560824 +1566753875 +2066225082 +1814975055 +966108400 +1244494731 +824626874 +355017392 +1257043458 +1764569521 +759386522 +1379948377 +515048318 +36557864 +1199287300 +367926178 +941287377 +170481745 +1031354733 +81148689 +457144000 +133664298 +388452905 +485899668 +1208949918 +662378011 +503888651 +698890480 +1328886368 +314837543 +236958660 +1493447192 +1881591419 +155700095 +1160938600 +700216171 +1400194826 +1985565474 +1055233563 +509754636 +1602651347 +1814620085 +1889703013 +2117699665 +1851177949 +941506665 +338142195 +644981679 +1111988410 +1369496928 +726130368 +1569132410 +1503161227 +1114583273 +2055032078 +564627497 +1776961284 +411437082 +1263517977 +958364004 +726274625 +1500476638 +304327549 +460382396 +1656176733 +1465266149 +1160598567 +908887911 +1303347975 +68348482 +1418642548 +758515674 +1882968567 +1160861913 +728731692 +1586662869 +2102368579 +1066873887 +84160900 +1066873341 +288887168 +810291268 +488522104 +1792048395 +1924874541 +396070534 +209192244 +1554352177 +807507616 +1472710222 +365232533 +1533782242 +825703212 +669560082 +1994164638 +334396297 +2134826231 +1007279558 +1243284208 +1290690558 +1075628040 +514443108 +2049206233 +811112960 +1675305022 +630454277 +250292181 +1630189953 +1697328164 +334453081 +549579646 +1986215332 +1144744349 +1038101750 +1630780079 +922135242 +1434172285 +1839972324 +329003771 +94196253 +1165198898 +694236304 +1627978495 +1990902110 +1363796387 +1474659486 +177814759 +1351138970 +334455396 +1421098967 +494345881 +1410083436 +1935542076 +396068466 +73712748 +1463363450 +1026522743 +324004929 +946069755 +576367259 +658458010 +1495649401 +415098944 +1803202359 +386267504 +2045879023 +577853953 +1820439789 +1738367699 +906857724 +1914636042 +756082949 +1601094029 +1395130890 +599501411 +817406768 +722306728 +777316170 +21062090 +1056762124 +50931490 +515407971 +319361912 +1986473566 +911476437 +393074661 +1302353368 +1937999180 +717079590 +100939475 +366882792 +1375537601 +1596588876 +781981736 +1031256312 +1982856380 +680377111 +1609110266 +1655812521 +271261163 +368484342 +1422964916 +1027344112 +1969578371 +670612158 +1626845524 +639501491 +1392918886 +256678046 +660563582 +302197362 +307609536 +1175971553 +621559274 +146599454 +2087447991 +1014633935 +1448952822 +1877963523 +1731713526 +1549892297 +97362667 +959767479 +998997526 +879344403 +1991023791 +834370258 +1559721515 +1452650409 +342699132 +1830982678 +1821134752 +1765664048 +710843142 +1643229475 +288792558 +190205018 +135247319 +1681711444 +446883065 +795810901 +1983908806 +754492601 +1971782454 +457984432 +901092056 +1911746797 +1472618368 +202561230 +1642226673 +1056848246 +1752453528 +1739589340 +2016615725 +603967406 +471450096 +1860155868 +1438337664 +2031171611 +1165322630 +1781036796 +1714670641 +838973734 +1399217196 +278030135 +334719561 +1688009754 +468235154 +469966880 +1222237550 +915118219 +1265777781 +1058662708 +1669610820 +1090076588 +1516647141 +423219228 +854339737 +841781861 +625780459 +349082762 +1898630107 +230750339 +2088672103 +1767762184 +834717745 +412638551 +1480434404 +125571761 +296326514 +498273386 +1906608558 +2010997155 +1337247120 +1158342106 +141543642 +1671966682 +698868213 +609778796 +2141933562 +1921105763 +1524897015 +1260227696 +832284824 +1047024188 +202820636 +201448317 +1470243416 +1057160373 +1043230178 +2096023875 +1406243136 +794376637 +179290566 +1347431591 +414655173 +1014008311 +1760070142 +1895089577 +1139580073 +2056396656 +245879316 +898704983 +1919910163 +1583126436 +2057047089 +2061453805 +1107609470 +608431654 +523748954 +1102059385 +382053770 +2048645969 +214803433 +1214338594 +948186509 +417624069 +1415786911 +270946278 +1474784442 +311533441 +219486505 +733543930 +1105910078 +398777072 +2080975521 +1520565251 +1412785383 +1693562015 +1268171180 +404881808 +1602475023 +1514050496 +1303586791 +1374901538 +949693285 +1213150233 +1288871696 +2057302755 +1821581887 +1812620650 +1011878492 +56152009 +1713782971 +1226681925 +1270490603 +514485833 +1644305994 +538793866 +785432111 +971606789 +850327307 +1004918616 +1705150719 +1956237385 +1403695688 +1638642593 +1329318988 +668997424 +1184720960 +450006521 +1073879232 +639712336 +1964057017 +229982376 +2014613874 +766266654 +1443132609 +1156001922 +676085762 +1117230848 +821138924 +1687964254 +1173382858 +387438248 +767162532 +296389813 +901924081 +263984878 +835183680 +1687356192 +1235591667 +1685510987 +544791160 +793258739 +1494264725 +1948486849 +284417684 +676100065 +470000625 +1469138644 +1126106586 +1543879857 +2108850980 +942679956 +1773862233 +1975981207 +1708946610 +1069511194 +984499481 +237548724 +39258395 +1805638406 +1925512979 +1212641253 +45593006 +545191863 +1509031066 +947517087 +809176741 +196731098 +487389631 +2044768409 +1882242086 +1032180791 +690543500 +1229023163 +833183992 +974961184 +1905123228 +1303184617 +296616180 +883746167 +699580827 +257983513 +1826426123 +325959412 +86481072 +1387889085 +1395470607 +1070980553 +1625437810 +1434729002 +729135311 +1403467141 +499886607 +774728317 +1948659004 +2008917673 +1722245404 +610352097 +58165124 +62151387 +507636858 +1940407210 +1094332179 +1198180358 +1021946725 +1927516171 +25657894 +779586305 +1083217141 +322274075 +1663332472 +1782797968 +580257588 +1342274947 +2108757380 +666738660 +582680385 +1356744339 +1737719213 +60634547 +643989693 +319370877 +1464101688 +1143876300 +1094099194 +1265277044 +1005310326 +668860951 +1875629141 +1063475450 +731012338 +235782352 +856399012 +1825344517 +1433962710 +1878345737 +1605377041 +1459620605 +510448394 +541110534 +1781894680 +26297219 +176424854 +214668620 +1368572166 +137698586 +881407280 +1951252551 +1494442926 +471642845 +2011887098 +2138432619 +791013722 +1328505138 +1134825272 +1885112917 +446298534 +2140135598 +406490220 +174444028 +1056127400 +1137502558 +410226380 +1912526412 +815363428 +1844189090 +1643388501 +273256821 +1156326047 +6353247 +814367355 +790737079 +32650466 +990792209 +1005405699 +1401222633 +1128490795 +1886812979 +1204991536 +475450073 +210972177 +1069394987 +466399045 +1001985899 +250416477 +1601224317 +739615168 +696715012 +1593876267 +1146105388 +871159040 +502520019 +136124299 +1281385420 +267562783 +951487727 +978090862 +1910951284 +1224744548 +2134416910 +1917304531 +2039111903 +777670341 +1949954998 +882420464 +1783076041 +1203693983 +2010911259 +1522405372 +261201871 +338877685 +1733377549 +1330596858 +805276730 +587879801 +1581013336 +259017399 +1327494969 +130244700 +1852893666 +326116710 +1001403740 +207930037 +462241009 +135305512 +475492820 +1413728736 +1113396374 +238960456 +490989636 +1100329636 +8781339 +382617891 +1877999978 +1958736337 +1265038355 +1513592371 +1014946672 +1128465966 +888514095 +1276148544 +1467343651 +474407997 +459261754 +125136733 +1062287798 +2040275090 +384154132 +242299119 +23036142 +89564150 +568415829 +1024439882 +297494187 +1030656838 +1159745394 +772987007 +296901926 +125658121 +1011947463 +787891562 +1225987757 +1020728803 +1170509453 +956504087 +831981492 +288064160 +322612810 +1846928165 +1416530127 +1211126906 +975593061 +736390130 +1685534903 +1434854815 +861526864 +600339053 +1327646258 +1245680996 +842638172 +1350682400 +1335245147 +1411054002 +227638635 +1632739334 +294227192 +1387384029 +258242694 +591129119 +1513042150 +1270190157 +1379020681 +591546260 +143435312 +402046487 +1548050347 +975416805 +690110647 +1870663158 +674861322 +2106640774 +934306416 +1650454383 +695547257 +472357671 +937825550 +1557074121 +1072696724 +117988160 +655271469 +1915334896 +1468670561 +1990516616 +1178905250 +1696309196 +1475772303 +1473132443 +936209577 +1734014997 +2064261562 +301768080 +856721506 +1295798595 +893314340 +1000156819 +1697845082 +293881039 +1975573624 +240472082 +17060549 +502951298 +199629208 +951366965 +5922033 +895176465 +1423724636 +943747583 +304766938 +348937712 +1061735744 +960038408 +116788961 +382922657 +803071376 +1295694211 +2079231853 +131360031 +621343006 +867957782 +1865375028 +538120920 +1169725862 +574612887 +1833919516 +2063040202 +1574769706 +1384280950 +209437594 +1402859682 +1624753032 +226498143 +1905810980 +1824382241 +1177865109 +1911733013 +572075058 +454106097 +707996948 +876841997 +803043810 +1769732692 +1836880405 +919832771 +5171701 +492468133 +68043334 +2084403554 +623828165 +689386341 +804877689 +341719545 +1227507261 +1974603551 +916332432 +913943129 +1890160106 +343618490 +150740432 +2099597700 +1746478172 +1775493464 +178612195 +1504805504 +1452392057 +1356477304 +1269054869 +2024467116 +1810583402 +1977051818 +753825465 +466143564 +1599300862 +443222222 +1385976335 +1604472564 +935690355 +1454019669 +1541392470 +1559518520 +2143406010 +198786511 +1901238066 +1223429624 +25906415 +670086850 +2137372753 +1916066521 +1013705341 +140629537 +1868180573 +612699865 +1916123002 +2046792768 +2117505370 +1221031411 +1255786425 +1239076591 +1098014879 +918886179 +1068644761 +1851840344 +1385029743 +520461976 +147578918 +623522430 +2124934540 +1083269274 +2077542099 +1518843362 +495304146 +2073464462 +1717629874 +249058564 +1149410438 +1743536289 +919145415 +1139299543 +1512119162 +1932850756 +1279929081 +1232816087 +398066973 +1048568435 +1132125207 +368088695 +122116198 +240427984 +1607165287 +1220131078 +1159314163 +528326400 +924487774 +396860258 +1048788376 +1072066693 +1020382688 +1026239268 +7852319 +950441140 +397598983 +503156465 +876421954 +2115228857 +752215030 +2025832392 +1711281498 +1671360445 +1017648287 +1075917012 +1456727553 +150093720 +161249451 +1854794526 +1198662155 +1293374658 +75399574 +1320778354 +1533802643 +1682564861 +393425784 +545633158 +63407613 +1317913558 +942493417 +1112195990 +242496603 +1962876105 +2138435258 +250348922 +765833597 +388550593 +753505388 +1642255551 +356295802 +1505720418 +1520604295 +2067577300 +1029597215 +390768935 +996010664 +338841120 +540862655 +1157260115 +46151998 +1739524811 +303151126 +121551572 +912819517 +1836953769 +1804116433 +1306245301 +235103279 +1867524047 +476675211 +1177596696 +832236389 +719171815 +992989154 +823187999 +969520737 +1758822751 +1211738593 +1723026125 +1253594655 +1568034395 +1081262895 +626715302 +1488128048 +2110860110 +1017484237 +336655064 +302217582 +1558346893 +1493915180 +348369581 +1150388056 +1797066306 +469921153 +2063207573 +1486536427 +126553939 +1221969226 +1721639706 +1994077986 +1698644437 +751752755 +678830727 +270332604 +1744741909 +1502018726 +1239853342 +1356081012 +566273671 +815395819 +462192019 +2134308067 +1896658715 +1088907322 +1474952467 +1860035177 +2106391559 +1811607531 +14769112 +1517254804 +1158039063 +363138693 +520159212 +807621721 +833059846 +435883137 +146674500 +959613785 +1657852363 +1868314207 +806208123 +1209013153 +472583314 +1485038850 +1479345757 +69841575 +839573929 +571715451 +1425922587 +1405847600 +1387111271 +1888114607 +1392672019 +1136286338 +829538281 +720140838 +848837867 +788446192 +384264722 +863606979 +158217349 +1542303785 +1226745672 +678376561 +202441859 +2059805519 +1114259699 +349116359 +871935656 +624628414 +69946918 +1678143780 +1833641567 +542530232 +1015698982 +1165503677 +612371807 +1855272911 +1737219128 +2038294395 +1113636864 +976846751 +1778925354 +358825235 +2113133089 +460979987 +1078966074 +814487309 +1249426179 +1463230796 +1678094288 +1407643528 +858050933 +757356313 +2086020090 +1060492792 +669678184 +1052796141 +1409609152 +1541613840 +1677424555 +1479556070 +1072273972 +1363582475 +2022086303 +2087972955 +381602504 +486974462 +1795762218 +2118821632 +377785209 +761915434 +948184736 +9226915 +1120740670 +913834177 +470206902 +52223096 +1728321486 +1719633082 +1515453892 +1258932127 +979792962 +226021177 +2016288440 +918329404 +1286513970 +538482976 +1971125545 +548639474 +2080096816 +1501066453 +2028195544 +1004887141 +717165280 +1902798199 +945376448 +1098767784 +242289014 +593655018 +1070105768 +620074223 +1355570453 +2018290504 +629301139 +328827475 +784641034 +1099508041 +381050571 +365478872 +671657475 +1896504463 +1624410999 +1651450438 +2122525640 +1493215791 +422296194 +1261555962 +2031698767 +245938092 +1810195436 +1964311936 +1747004545 +1690907333 +821715429 +316686177 +1446221884 +1767091877 +1415453961 +1688510898 +213263247 +338076081 +161101474 +1568833700 +208882938 +790402613 +1897661175 +993523972 +1889910654 +131228098 +1359002844 +414084482 +2027732561 +835930196 +2065534920 +2002774554 +181662339 +340347466 +1116846868 +65877459 +586285558 +779558657 +2030189395 +185806455 +322982342 +704421176 +502492632 +1769204226 +324029405 +1917946593 +1310231477 +537292652 +108539027 +1471332951 +2106126353 +317421965 +114251916 +1856303880 +1310945937 +2004162570 +1987531979 +522465133 +270763404 +1867780892 +1358395329 +188814676 +1723071798 +1540057669 +529162143 +692435019 +1605935128 +1115447701 +1471993676 +1488640875 +1301254157 +1794976018 +45578403 +1803746789 +1416696596 +369607808 +1574209735 +579444425 +906900460 +1682748762 +2050777376 +865543165 +2000170727 +17545644 +574363398 +1163633016 +2021708215 +414411729 +1686098149 +144987971 +134708973 +897009831 +333802648 +1857780772 +289583852 +862964791 +402732143 +1895518980 +1978412492 +1874725819 +1236676207 +1132183001 +1522218189 +1282254610 +788446143 +791431137 +1651862418 +215172230 +1370875563 +411279230 +1897920992 +1274169291 +1276822396 +1750608071 +1291714936 +1851185794 +766757439 +1165939503 +118113875 +305371940 +1310927474 +252822848 +1202381771 +1644730122 +2110603620 +1491965623 +360211265 +365852115 +1240000955 +191140110 +93094286 +329193514 +1323323111 +1615312475 +1611448124 +2111769254 +259259965 +1115826894 +179457836 +1630135528 +1527106125 +2077378828 +756821171 +656444873 +1680503251 +2048536107 +360147019 +299777042 +1066991962 +478260894 +605148983 +230435789 +731083742 +1807530754 +1875165911 +694203715 +1152012730 +87893529 +1060055830 +244530037 +279033639 +1153150117 +573723552 +1602356750 +620978944 +37688028 +1566642357 +880238909 +1153514923 +1746100193 +362890789 +533137400 +1675995374 +1119711961 +1189582273 +1209014977 +1020764420 +1549729292 +1508792020 +2087756383 +2027990186 +2113941003 +170708524 +611590280 +1773988109 +2045874435 +1305793995 +778517191 +2133767964 +218366178 +1023047229 +265317955 +1371516295 +1596770781 +1867674706 +1992495239 +1634458809 +1286833415 +725250501 +640490084 +885449960 +1088141290 +1173627484 +413961686 +60369603 +215726109 +1622976664 +1081134024 +1765455401 +984285036 +1021406759 +1645961939 +950742391 +1192115283 +110068572 +577246852 +1090506070 +1415862567 +1355764044 +1076790387 +1634228745 +231327625 +1342108342 +858261392 +1828098406 +1062299400 +703272984 +1315073567 +201649167 +1428523485 +1955563652 +1087099128 +369181127 +981707488 +1501060814 +429550731 +1197433598 +976553830 +1510684755 +815405351 +1960838866 +384607866 +313883643 +764097609 +1576723149 +423952215 +1341344462 +519745571 +1839814782 +549624858 +1596535958 +1326559880 +780952483 +791160653 +37337624 +461567241 +1853460053 +740610608 +1776640808 +2055109221 +21650445 +1584720812 +994724701 +390831573 +418944653 +348301867 +820382304 +1616378251 +1324855698 +183583411 +284299954 +1138210916 +568191277 +598183597 +1902308526 +2144914426 +1022135812 +1096169340 +517176349 +714466947 +1645794198 +2113712308 +2041026827 +279263033 +757389313 +2078364451 +740830274 +463365718 +671491412 +369987434 +370991291 +693141857 +1954708247 +1365715992 +1083973430 +226169252 +1714017860 +1904355734 +1842547503 +891389910 +2087939145 +2126847457 +2029600826 +508646774 +577547407 +1784425704 +506077552 +1599683219 +733111396 +1023253902 +166666518 +231421946 +989482562 +60209697 +510684979 +1746871875 +2138574149 +1251515253 +62753945 +662581913 +1621502688 +433745237 +1355723770 +1428727287 +1799461229 +292213553 +1654896539 +1365995441 +49085639 +1349960394 +109901703 +2137024785 +1329324203 +2139502530 +498187911 +1906871610 +1776444586 +1004265464 +1359071182 +362072335 +2027519366 +1525737700 +593494281 +869518280 +1585947398 +1104179261 +468906507 +1577037899 +208210866 +531660452 +92136164 +1829713554 +965405689 +1447859934 +1110957193 +617383271 +1740073487 +618370084 +1983378712 +1789159127 +1968330478 +2093280416 +1778700264 +1150171034 +2085299298 +129404527 +909558996 +1714260236 +1133669991 +121146530 +2076332571 +1013705709 +1646884231 +522343205 +1883223989 +1085347981 +1626522466 +204646848 +514902232 +1834733332 +736307301 +607038396 +1516963239 +1701712990 +2054898330 +480436784 +171612613 +1647488170 +1098806869 +7507678 +1289163649 +919653699 +2100788094 +920380265 +2069824733 +2038603744 +1049784792 +831900082 +1605380332 +35971136 +953046612 +1534229256 +1049676845 +452447195 +2056572461 +785417187 +1537795176 +1535611279 +990064035 +2052697408 +1222860963 +1726371336 +512252156 +592340554 +1280600679 +419666839 +1072777339 +1452213292 +2067155009 +24100560 +1459720970 +1208835010 +943754259 +1413025416 +2129215275 +866095345 +1304145512 +1031516419 +1697995427 +762042197 +1067487555 +503558391 +148787805 +2117164401 +956005587 +57876618 +755097940 +346317115 +1593487897 +1745161975 +251530876 +668865212 +1324049664 +763783032 +1261205767 +457166695 +1183449871 +186499458 +1909379987 +1103121232 +210600018 +1221617310 +164472594 +1154354277 +487159078 +146204221 +2020449622 +1791304591 +1177720641 +1570961401 +405863140 +97724548 +2074519793 +554650945 +67405301 +883041732 +612527563 +822503241 +1229358847 +58531812 +420181569 +1480889723 +727397024 +1744231233 +97189108 +1988602791 +53914280 +1280638979 +27618601 +1963294267 +236276564 +238218619 +1037427929 +400749158 +1392572897 +1524587008 +546953380 +1265538871 +1168407951 +1724674021 +689016625 +1574271091 +1822398569 +616052770 +2128922036 +1889803871 +1499094502 +593965951 +564823464 +580969701 +652497763 +985005033 +2061859425 +1379894787 +581752618 +11564885 +1221013931 +635666898 +1292203864 +1248632532 +451477518 +1528480428 +1486851152 +1488905447 +1929229587 +731940401 +866008807 +328699319 +1997479272 +2034416758 +2053373340 +539012249 +1461204201 +1728288261 +1155065019 +1442642589 +1470608484 +506675873 +2036608540 +2035431949 +1087645575 +541622655 +872953334 +1002021352 +1921517443 +1454705953 +1013586237 +995047726 +2090372851 +158306453 +96196610 +394366721 +1686786882 +1583047762 +1883272169 +1468532821 +167504515 +601797328 +1797232140 +17500140 +488730439 +1703121832 +556512389 +1949934640 +1283926445 +1711577409 +1245093582 +607051282 +70769634 +1134218474 +494999583 +1158415209 +1675841130 +1367952917 +12952913 +1449874925 +675175222 +1026539150 +297439003 +618064426 +1184845604 +393635613 +1012431147 +724148838 +1976683376 +748219668 +45198011 +2144187891 +1350016997 +1842430151 +14204383 +1838747436 +1398068335 +570716773 +1641198428 +534511132 +134810534 +738808362 +1141562414 +205580168 +1873026837 +1636561997 +1363995378 +1401384319 +857031267 +1376948291 +703775596 +1532206489 +256003794 +1001214599 +2787267 +1440849398 +1394850212 +1015218415 +17514588 +1224049940 +1763438083 +62712599 +1220754184 +965971432 +1905142750 +1234958567 +657235220 +1155727437 +1805675340 +150950001 +1690238569 +1940485874 +889758363 +684317336 +2146066043 +615301552 +173395685 +1362577773 +2016685871 +1030426952 +592042416 +572977819 +415149794 +848046210 +1574192418 +417937061 +141411960 +821558983 +1433155476 +158926548 +2045608923 +1049109912 +221639147 +1118879459 +2015081344 +2126781897 +206354379 +524832917 +1135025686 +2012029719 +675782918 +677780608 +1805031946 +1565541281 +1362097944 +1803614341 +33359186 +1535493629 +1018708466 +2050045057 +418436934 +1610750882 +475539229 +833586728 +311313445 +2049731647 +1251523789 +452725405 +723806982 +537195618 +611651954 +621932258 +1586305530 +833291101 +1740811717 +1453903226 +812589351 +1947166096 +1978736143 +1947615037 +1811712168 +507035413 +477911997 +1469260466 +2072576695 +1840009941 +1125391159 +2105935881 +1228019923 +2144099625 +2008497290 +1646456857 +1607366859 +336552871 +332559937 +1918680304 +238800871 +1584083726 +223922062 +962607853 +2121279344 +835574016 +1584540111 +1560101226 +1668865117 +1177868181 +866520805 +333970820 +977550629 +697773300 +134102210 +641779149 +1204808714 +612014207 +2111039615 +1129901761 +304540501 +1088947126 +1088353994 +1532560424 +1085563103 +949367636 +1031533633 +545446315 +1285920508 +1364093570 +316642971 +1524721379 +800693648 +540565033 +339845584 +774489345 +1376139049 +1924385696 +187106923 +897520519 +954770229 +1053627728 +1231491339 +1932320858 +1751401029 +1365593549 +426616360 +808726095 +1977607757 +390172327 +1938627856 +134664610 +1479119454 +879498202 +1667225034 +417198909 +1828865838 +551275019 +962645224 +967302698 +1915368589 +1279288196 +344540429 +568578589 +1819853229 +684386014 +1343067934 +1048508631 +461288062 +1530174858 +1946029150 +1416058291 +436318938 +1030036841 +1200895501 +40236319 +248146743 +1627511861 +848962414 +78270852 +2017684189 +640106622 +212935462 +1349319995 +1519604824 +1880160496 +1766518904 +1200987015 +283951867 +581680481 +20806065 +51836808 +1860968677 +365346495 +620415397 +1533338258 +1049732509 +1963483332 +434363241 +1511020571 +1346174542 +232908743 +779595214 +1782493480 +1262945585 +1980490715 +1822729800 +1511092328 +1460518929 +524208566 +1589363180 +1330719470 +1164315189 +1802298642 +532555817 +536436365 +1534975490 +151591073 +1737423380 +1818927357 +733271554 +1758229446 +1870764165 +446756583 +2123575941 +343695914 +1980094842 +1025824802 +159695598 +266974435 +389361725 +1505870140 +499883179 +1168956939 +1140879973 +1762828764 +1001964006 +816126125 +1126437444 +314999287 +1340334691 +568316976 +1645718757 +357166232 +223131970 +30790926 +893602598 +1758107460 +182382000 +483542330 +1429551169 +915653554 +94288128 +1152831686 +1362410138 +70380421 +1496527600 +1195021332 +1096205223 +1656223199 +1461995767 +1485566948 +1014609691 +1961878946 +507040239 +8006016 +1577224062 +1509004246 +824132141 +556177858 +1824003533 +16983185 +1124494834 +1322238643 +374149417 +1347626804 +1353029569 +1267752015 +958250616 +1535411569 +1751294346 +240318137 +303581476 +1845582474 +1393149823 +1665991614 +1915962896 +742193776 +713529298 +864684471 +250933327 +28041417 +202767772 +1265543018 +1989920364 +709808011 +1273549035 +1419660778 +71328609 +2097681176 +1975838637 +1895332143 +2114664361 +952849823 +1070087138 +341330131 +152992980 +275633059 +1609082146 +1111243596 +1811044629 +1212892844 +1351561734 +2114626105 +910991671 +597227909 +1633134071 +679470919 +1339421685 +199179721 +1544155390 +1590355012 +227221138 +1746923162 +708414383 +69657854 +309247526 +1981963418 +1489318633 +380576135 +1932160946 +1317673622 +128424630 +1899341660 +123039797 +1198511768 +93188143 +276032777 +1474144828 +1702270289 +1387276374 +1137705809 +767679486 +591354460 +1104848266 +1678671157 +1188582369 +590498689 +210658428 +380520407 +789678410 +1754813818 +1970875419 +1016899548 +1354253333 +531806154 +1086557403 +1663500859 +366285924 +428392388 +2044076994 +150963223 +1746066010 +25017977 +2050304883 +1869105807 +1223529745 +2143493026 +2145138585 +550190925 +1698279667 +1384931311 +1687896734 +318475505 +1976285771 +645261352 +1997146662 +1017384492 +1235760041 +60321442 +1397904899 +2025438451 +1815135261 +1221296671 +894854352 +1021904946 +1753102825 +1981411755 +537922157 +2119388750 +262320495 +434515503 +122868325 +2008386505 +459533480 +25689560 +1730008664 +1683063226 +21698938 +1727663601 +85770503 +1719978605 +965111264 +1773667238 +2038454111 +793913387 +271444942 +1888117125 +1811297880 +1507204984 +1948438568 +1061719131 +1385159787 +1616090181 +135532154 +132530491 +490511479 +1888634980 +2113942246 +1028433636 +1860540082 +228779093 +1462949139 +1983408407 +89681950 +1922482620 +2009097967 +1819690615 +1458062198 +2030796905 +1399870568 +1543832701 +1603291862 +217498185 +1170016291 +1494262325 +1011411572 +1441461234 +1234895803 +675225804 +801182570 +1035850723 +1736944936 +38858709 +504457256 +1872477090 +171389201 +994968735 +1613628422 +137847799 +2023402371 +1326684856 +366626893 +1338867862 +1162609615 +456308843 +1113866834 +1024223934 +128515810 +424445384 +907537191 +1528386379 +1968278086 +363345406 +1745884564 +990810729 +1857607731 +609812488 +284788315 +945019886 +1285038293 +1085970885 +1980870609 +874499581 +1124829595 +337844217 +599493023 +1296218796 +1332812952 +65637798 +1434066595 +1208731675 +1392322654 +1800693488 +400115890 +407448622 +109518684 +1513982724 +1431672556 +238034494 +1938428109 +191726100 +1766420873 +1759222547 +555071506 +1364821789 +602549628 +265195589 +1974634278 +887337944 +1210215476 +1112188923 +1973308829 +1043602437 +1986688504 +950654776 +1381446655 +438697879 +99389924 +566775959 +504335677 +1533456520 +1775507635 +1896658332 +1186666360 +28139877 +156623306 +1296185044 +1542122601 +1588295862 +1534219539 +1333067062 +1780021962 +1153156764 +944805961 +187609820 +370494906 +1547355590 +452805410 +197645536 +287209886 +1663020886 +1309834459 +113035067 +559139675 +1149039315 +1063689844 +1940586330 +1587737194 +1163079768 +359878642 +2092072872 +549052640 +2135386277 +1841247556 +1735719001 +16042506 +1997870862 +884420397 +1558165107 +1438683076 +271156288 +743748522 +1071221391 +1424313053 +1688554483 +1258831211 +1794807959 +1088426425 +1711636621 +1992453495 +1375636311 +1227173859 +1154804306 +1488671379 +1786313535 +156359973 +404877575 +1579416217 +1744097167 +1567957343 +1939294859 +1688686391 +2117009984 +1927197488 +1382450299 +1705245337 +1943239994 +1232837513 +442182086 +1353921454 +524036942 +713338375 +2097669976 +1595258333 +2137651428 +1638740811 +706605896 +1784975739 +579683589 +270758870 +1629945586 +1955319900 +1497932729 +637266244 +1296507631 +1136762616 +793626217 +1701385206 +568695186 +390239736 +1121858902 +360506397 +2078926128 +1091385238 +140220238 +1313892779 +649146927 +2083460232 +399246645 +1091329013 +1289898038 +923283587 +1804667388 +1240084366 +371058272 +1794835168 +731341530 +1077664168 +1432327259 +1311025119 +1348423038 +914789197 +1118861371 +698872120 +1552055441 +267885355 +1835634736 +198198010 +1969270561 +256846274 +588437747 +943645815 +617352672 +519880227 +2035031053 +757572910 +1833773006 +536694332 +693549494 +85536003 +1628023346 +1983447533 +1008819590 +1285207086 +1076048251 +1379877862 +932558607 +1807389781 +310058383 +217402218 +970931252 +1658481421 +1132191416 +2089792624 +209869893 +536763209 +210194331 +2045504630 +734961220 +31981244 +154867256 +1323398967 +975627060 +772219928 +1843279194 +863174465 +1529792838 +1529568552 +1399868798 +75858685 +1615104556 +880408496 +2059306218 +476440498 +18131934 +987870821 +1856318361 +950690541 +647776955 +18893096 +1168092760 +1618708207 +1677374517 +152800528 +1561017183 +1887244411 +689563737 +1771211514 +1785265393 +1424524957 +1803192759 +1940132649 +600440276 +631336171 +564868930 +296235822 +1494510636 +2094661768 +1825804375 +746895786 +23036805 +1293425283 +1627304282 +2082343023 +1769865781 +1645436217 +922730197 +1478700494 +448643110 +1570507152 +1497593590 +1616735870 +1041731711 +1027484460 +1769536398 +455265247 +767245223 +311616488 +78993113 +405026968 +1736141445 +1882185872 +197675969 +189098074 +366038395 +762544899 +485333896 +1860549032 +709723020 +163654623 +459961170 +732759825 +1457079906 +2087265453 +667619201 +1079462040 +1585218022 +1590349398 +410678886 +2033861132 +1013372902 +1908272477 +1503113355 +2055104613 +788273289 +1125166105 +362886212 +1555518512 +1436782593 +441879326 +1960545480 +1025440391 +176581550 +10737801 +1214538465 +542619946 +773282701 +1699872361 +255685330 +1483005721 +1863526985 +715646500 +68281898 +1173123243 +655428305 +735901099 +105101635 +93162679 +178766849 +515780522 +2127023812 +1192139751 +276569351 +1482653519 +1099760717 +1064842640 +460335976 +1462646929 +472877504 +1897118570 +1904526255 +285939336 +775075313 +2081107806 +296677137 +1989613778 +476244104 +1069959838 +1542002491 +731929434 +405481911 +1258045828 +1447575934 +473763810 +283685424 +2103004240 +1209664909 +388787059 +48683271 +1388431759 +904567581 +28223435 +433087862 +1181136932 +1510876954 +1532848579 +98495924 +1971212931 +848011861 +571373428 +1720847853 +605054468 +857312764 +348439518 +538678626 +1153989902 +190569648 +1014922730 +76466092 +1732572139 +1746852164 +481948004 +843134320 +1046944451 +955711814 +1126819744 +1002465043 +17893075 +1515606803 +1051148314 +1406324834 +272690737 +1079371750 +1839412697 +1453827669 +442765056 +1224777628 +1552323594 +266494339 +2072789489 +2123697022 +1987342192 +530360310 +833526139 +188298062 +1069038936 +1987516041 +378867710 +2083961667 +2063982133 +2111439850 +1683330183 +398446489 +807090522 +582790986 +1354158303 +1933910266 +1585256029 +1372051379 +1302033421 +488920696 +630892565 +1574724158 +1568292446 +322821614 +881068180 +2011057502 +1547599243 +285908126 +130068194 +1472905084 +262121500 +2117410386 +2003265394 +1095647639 +158224801 +924820683 +935680032 +537092511 +861298702 +852178518 +501048713 +397145237 +1250625007 +1308139235 +979936224 +457299663 +1094565853 +417708605 +1829351042 +249115627 +906629301 +312759959 +1823839785 +327438099 +635581574 +557424317 +191011954 +35697169 +843332443 +321080148 +1508602253 +1105453944 +291006886 +1364384000 +53617935 +449231687 +141721035 +989297968 +986324199 +1003019737 +1841476486 +1487372912 +1400164974 +944617845 +648028500 +232617550 +1401917508 +1742594353 +650326156 +1083784902 +1991709980 +1556955457 +1396544862 +1668066118 +1884393557 +2032126436 +78006787 +2075405511 +2067823605 +921339231 +249002011 +1428942210 +2026793175 +540008897 +645842562 +2080411110 +989240585 +787563597 +922225430 +1975564784 +1790583334 +616218268 +1315454048 +1043264661 +1560836114 +1963482548 +1275882211 +815269974 +1558593254 +1926208367 +1899054877 +1402819586 +1335680177 +1148116091 +923402056 +1072590086 +1032758879 +1001408844 +1000511949 +953098836 +1922748075 +1249513960 +234557398 +1802057602 +1789522857 +880399961 +1734985064 +631279794 +1667963558 +509726847 +459360930 +1311063245 +1125945115 +1774814979 +206844258 +539297581 +1590813879 +1482726469 +1354567556 +1001923485 +1261451189 +1106138785 +257259424 +449647718 +106771228 +1180661480 +1522237804 +1139530107 +34586676 +375266105 +2092628943 +1957334751 +1624780065 +179702693 +1611908705 +1266819274 +1060102654 +1199410122 +1898099069 +580582565 +1709136969 +209976351 +1891645810 +687598436 +1984791330 +2098490068 +1226896018 +1428121562 +1433732889 +433979926 +282561399 +547700430 +1540118711 +539820823 +997348148 +1646889939 +1720482304 +372102304 +638936398 +1755068980 +747368409 +584081693 +1564920084 +224664826 +763784386 +1029345141 +1491484101 +1823887041 +81271615 +1242099522 +256985958 +1790408584 +1452075873 +1148120 +330523373 +1289383556 +2099638188 +1557419391 +570021470 +1385887429 +1991399317 +852582869 +1933587860 +1384034380 +1392403693 +783452360 +883440671 +965402349 +1155554665 +1522377069 +572987681 +1902923074 +2106458762 +2137907765 +2127587901 +722759500 +1019769259 +1471588354 +399162893 +1101040874 +566204228 +656148851 +743965811 +2018280101 +657296971 +1074489184 +1160180009 +609451511 +484424927 +1730201479 +1995338941 +328340596 +435300701 +1781443153 +1712374976 +1827704394 +417411865 +448331999 +645623095 +1572966530 +1970709068 +1218610776 +1328405957 +1929684182 +1209034894 +1308510210 +504960034 +81320505 +632614916 +904122928 +1182361379 +1198819144 +1560271779 +1926327190 +1069615597 +70085103 +853332726 +82311959 +679536614 +1337757653 +1812513438 +527391907 +1666098249 +100330491 +161351412 +1230989577 +1928034885 +578763278 +1679321576 +426174332 +4246160 +1502546996 +1644785109 +1332652117 +1284747530 +706336355 +493678679 +1789707565 +787656860 +1126293595 +546346845 +1970018239 +177629091 +2106618624 +1748861782 +1247244689 +29220079 +454710860 +1329556648 +708756694 +1792468514 +994586438 +1236148601 +1311083115 +1094916930 +1397500014 +394589045 +875468167 +1976263292 +2073910621 +1301642500 +1980509452 +1428973970 +798943961 +1165677922 +566237852 +1505280316 +1659356601 +208461769 +145453528 +638166549 +754808614 +2115471767 +815795640 +713943591 +1716849901 +2063040329 +743163670 +24077114 +1245113329 +1451920364 +1816545628 +92216120 +540585318 +980145095 +1187133050 +1938085332 +1374734140 +2062601217 +1766864976 +1301161114 +1216760069 +1599890780 +582651436 +2015704030 +618085054 +1148889288 +1373500698 +129958008 +1357351058 +1518954226 +768124557 +2112159672 +1486942346 +1583920197 +678619615 +1056308599 +1499476879 +1421783286 +1080385713 +597106560 +726220002 +749447693 +689322680 +1266805320 +1729592789 +1876455730 +1057407004 +956843281 +1791573300 +676788332 +110520747 +860849721 +129195465 +693172183 +729070104 +747280519 +1842061472 +2102570802 +877238527 +1051928882 +1474041381 +1645363084 +1016604906 +813500079 +1081799634 +1695224522 +1869808678 +433792865 +969524160 +802710744 +1030899425 +1695744162 +1552158437 +1720222106 +815065835 +1134267578 +1449194188 +1872472839 +2091110860 +1093283840 +401777524 +54147959 +1954133562 +530972989 +747320143 +535720018 +1278253508 +441897967 +490807172 +8008388 +1493826849 +1964848553 +1653371472 +362948107 +630864984 +587687458 +2058172629 +353190015 +1021480323 +880213141 +1155900759 +2052379749 +428473656 +560575548 +1625118207 +1243539491 +1694843127 +926828747 +968528682 +1638470339 +2020112588 +1370306206 +1692618298 +1826762502 +1901279195 +292454793 +214998872 +1032049056 +734352760 +705806044 +1040057444 +80695961 +523170950 +545945268 +443644069 +1154035934 +1133632727 +354333050 +1507225949 +7629402 +1234546192 +515643060 +2060009151 +1663019848 +1076218609 +1537643710 +759075691 +623578088 +316988810 +1727604373 +114564779 +189617750 +950426932 +1807183077 +2016380252 +704222479 +2099637871 +83895476 +1736271535 +686506983 +789701520 +628845331 +767202945 +1312872470 +1174790600 +1210847014 +319424757 +160939679 +1565180064 +1826650706 +168569081 +652242608 +194810119 +81094585 +167778808 +1271028728 +1618738295 +926854499 +1894606816 +1935727105 +506975225 +2009171595 +2125344855 +1457402157 +1668871024 +1994241459 +14140988 +1621025247 +2078136935 +1750412524 +160048583 +720354808 +231774207 +927251528 +2033227278 +1406564807 +2138098542 +205168387 +1567504486 +1555794958 +2031819094 +1736073568 +60553919 +79145565 +1817168153 +228332727 +1350174293 +1288422800 +1155187227 +1097297461 +1076666258 +1662162452 +958985408 +1054527465 +972080961 +480372784 +901285277 +986221949 +2101398032 +831938564 +589150825 +113962967 +1552293372 +820925033 +1041214495 +1438037003 +80006192 +1031829389 +1643205390 +1647510679 +440140699 +1527540836 +1236100599 +500694618 +1606686401 +905785104 +729027346 +809377046 +46724256 +1884214573 +1906674507 +1123390514 +1398893377 +718176267 +30434332 +223490690 +1198549052 +931719609 +1209712639 +1152463436 +1763658173 +1798863465 +1266426403 +1168467898 +472304850 +160157250 +459021253 +552311042 +1191986639 +2102226643 +52338073 +1632127338 +1482283832 +1288438672 +2132821957 +941486585 +46740128 +714365655 +1750863632 +93464385 +451096580 +1510054491 +1216854899 +1849989957 +80747111 +1247289231 +2073480647 +1279296163 +31525192 +1135709638 +284275951 +1795183366 +787089455 +1550702354 +816167616 +1259394305 +1710859604 +1275188869 +1811705348 +755362595 +1229931864 +1864043421 +240006285 +564732048 +1004998446 +225344594 +1506218634 +1051738574 +939710249 +1109598618 +1145202959 +1390806829 +472169461 +214574211 +1093313138 +552916572 +1461863442 +1019310137 +1832212735 +1493388635 +7536128 +2116488686 +1141088353 +794625583 +1519707392 +1957255969 +2054019889 +1083083348 +1084961190 +1718241589 +1838445943 +167409406 +1434801362 +2078452229 +732141455 +292316160 +156313175 +90876441 +1344054735 +1096023425 +1200475059 +341774046 +339346606 +1672644520 +556348257 +1432659745 +78077445 +2018211700 +304486234 +1910290180 +1364116687 +312022362 +1879295219 +357721392 +1106647946 +1251518963 +167493713 +1013184187 +187118664 +1252454903 +583942128 +2025564607 +1419864309 +2018743490 +1956533188 +4522116 +163576003 +2112846364 +95398557 +1507630738 +1061386141 +1295873616 +1849404784 +1400732747 +821034489 +258269394 +685908844 +899111934 +128997446 +990395079 +661918466 +1493114133 +1302417441 +393730037 +1850835525 +261581739 +1645249001 +2018329238 +1274765926 +1832367665 +1123300493 +1858708054 +1710448624 +395681154 +1729967897 +1519498165 +400203271 +1893543900 +1484860881 +495601828 +1253690990 +398763374 +1791475445 +955612126 +1799496121 +465026286 +1213881520 +337921318 +1364138220 +1342878966 +1328316397 +2026056686 +688509451 +483250190 +272303076 +391861328 +744831930 +1917552077 +262706918 +2019597856 +1602436094 +1386007411 +1730822263 +1165401070 +1781688566 +1313306512 +537415587 +34408189 +1059366764 +2022276468 +530010017 +165574106 +273556194 +174001814 +1121186232 +2073052316 +639028100 +187584105 +263489986 +2003166320 +1530463071 +1591806383 +1881739359 +71488875 +2075056573 +6558787 +463350203 +672404855 +1924110864 +726057122 +544519064 +1379063310 +2112064533 +127857679 +396980732 +1746269451 +1441164191 +934396320 +1780677640 +353047307 +809189140 +163204010 +518621413 +1082745335 +337205824 +1639807645 +1008314003 +976233925 +1827391750 +1271803989 +831916597 +1210371174 +716126724 +566172308 +1281860049 +643699649 +572731095 +1745210252 +1316104505 +349358311 +323783726 +1860623569 +1728421621 +288364612 +1988481248 +2125402354 +2034634063 +1282161791 +912315026 +1667828056 +1635209098 +1721504166 +1831032066 +6346863 +656765853 +20754242 +1646154508 +1665079856 +996988167 +1326062611 +789400197 +1828904765 +388950137 +1505526921 +247593425 +1670810186 +1742923 +820324521 +1268536790 +1317847428 +1169682832 +1592320517 +1030987349 +750620806 +1880685129 +871984949 +728539512 +1767835544 +6663092 +1640854538 +1288179952 +1641872190 +1214875056 +971728370 +1648219053 +1871640910 +992482613 +1146889913 +1389237118 +1989470780 +325468876 +31153668 +1670891897 +714419013 +1536680589 +1918485323 +237745551 +1538423512 +591326196 +1506282342 +708787292 +1761009028 +951119211 +1739774641 +364146186 +684320692 +464275942 +1092685698 +304672588 +470939034 +586056588 +1592852541 +2112811224 +1800931645 +417097263 +1613546629 +1525088907 +1409579876 +612952895 +766842377 +1251567009 +938421771 +797996045 +774975258 +1652840785 +187192987 +545976933 +1890586336 +1725616499 +1137303129 +1249385030 +286920144 +750828510 +53020593 +2026694785 +1114974696 +737341285 +343487080 +60176747 +1042013874 +814426114 +646233335 +487382767 +779753691 +299681332 +904480030 +245816672 +1824770239 +166576259 +858769567 +444128969 +1418143268 +1797191339 +1242125014 +45634878 +1302548476 +1429318001 +591611812 +1045651164 +1007450853 +1728914941 +147552547 +1294370997 +332259803 +200573140 +1173582134 +1447234500 +937914426 +1517069214 +1507411247 +1979928300 +184011681 +6160934 +319827419 +963765372 +305842267 +1224307449 +1209582044 +2130612506 +1390883708 +2068351612 +427257827 +661543328 +1718059303 +1669382842 +707178207 +873124131 +951217195 +1298790019 +1918775295 +1958668048 +880221312 +2066327842 +1105555397 +1212481116 +119417335 +131653884 +512231968 +1057331761 +1648723098 +2019643215 +889776413 +1832734779 +2025804149 +1209603832 +649016503 +184162768 +286427633 +1858598548 +167291627 +1677311342 +1779466512 +594549454 +191371022 +1350042167 +116448648 +898549229 +75682650 +1067665844 +49855600 +1994457945 +878850244 +930076913 +1913302140 +1984405642 +2142558029 +2032719475 +2116059526 +507306349 +942567588 +1617298976 +379465916 +1832344001 +1302550108 +257786417 +894464185 +1951566611 +441949186 +1180891818 +1662681511 +609240813 +710719512 +1294664375 +1203790267 +902090535 +497222894 +1320238916 +1800639764 +572905544 +240421112 +1850495365 +419879842 +1119271356 +633088630 +185698334 +956193350 +628163011 +70934161 +924769228 +1135469360 +1013501749 +394584557 +1514935276 +698362102 +1697134665 +1772721693 +1592826287 +1501217628 +67187231 +626234457 +1016415492 +676428044 +1336953970 +163596219 +1880218312 +91560857 +660819114 +1052973580 +1892200621 +1233724658 +1293394692 +1595212338 +1653604500 +265182400 +80817320 +1839302834 +1221375751 +708980331 +1910236995 +2146144979 +1844449691 +776255096 +393245888 +1211901319 +1474617198 +2090380553 +837139365 +919959837 +1444114534 +904326596 +1546194295 +313046378 +1580754641 +735664617 +476642597 +1313489305 +827225474 +1137461711 +218979237 +571942447 +223702722 +1512373929 +19671138 +1877307222 +1777556329 +100488458 +1569126409 +851448432 +809468790 +1331879756 +850109764 +506434833 +2108134853 +1243355652 +1718336153 +1435268403 +1186252558 +407991870 +207744593 +482883444 +1312318466 +1753938888 +795929822 +745589459 +342119857 +1272572419 +2059078764 +1169345331 +262550483 +130574353 +1741287778 +486253205 +1642948282 +1760958916 +216076779 +1273020964 +1861447375 +1785203188 +2124469396 +523432517 +969599297 +827095512 +1029867350 +930250502 +2070451165 +600719855 +218035257 +1109220075 +1008711725 +425779850 +1592103519 +173546544 +32235090 +240549693 +919136003 +374354947 +1513122112 +830731120 +1543700278 +1775672595 +961305473 +1137504409 +114442152 +456770108 +750979677 +330518932 +1729791072 +464943404 +2115722120 +1706776820 +988375921 +937837769 +386388685 +2018243272 +1868088271 +309356202 +471479479 +2086123529 +1418576277 +1480191205 +364419731 +863196148 +1653737749 +396654822 +1103745841 +425390104 +771009769 +469384305 +1256121224 +167226400 +97573253 +69943050 +1304730809 +212015405 +526713158 +2055710486 +542534337 +109020582 +373170243 +510772810 +1815797402 +1361546164 +1448610579 +54702439 +1232305788 +1169215203 +364058641 +1703785268 +1107855084 +1782634918 +1036492825 +1472274815 +498347418 +542746926 +1868929637 +1602093259 +968137030 +492455759 +2071477565 +76774607 +659682159 +21567170 +146717657 +1964412968 +233582575 +673430815 +1872639806 +776116913 +782451397 +98326401 +1286889723 +450765151 +1459872566 +588016654 +505467591 +544694706 +1757231857 +869526232 +100996326 +717603293 +504677503 +1137489151 +42394461 +1003024921 +1680236077 +1911324098 +457634533 +500889460 +256296209 +381628450 +577664067 +915978368 +403195620 +724381724 +732907688 +636778195 +1397812539 +458063847 +1412895108 +32780288 +556390248 +552301183 +483545439 +2016262814 +1140317838 +989013030 +413473873 +750066047 +1858539263 +514470199 +1467669341 +215733118 +1651959351 +1510063802 +1218758039 +1184711780 +1273904252 +1676392572 +1685601240 +1530200462 +2058021022 +115781659 +298695182 +313732994 +840163383 +1031602871 +950511190 +90492274 +1489666718 +215922650 +123272562 +2046056966 +768223834 +606818002 +1914836133 +1908541672 +1595831032 +180826358 +511124071 +1306886647 +695296557 +1978793412 +1522619765 +199772260 +1341373566 +593894157 +1384484041 +467794171 +122803081 +922601633 +1997994633 +33340456 +1038383293 +149206167 +347073450 +1878546676 +1180809038 +1297584640 +1969038951 +522992108 +1513507291 +2092311513 +421565427 +134247477 +551645867 +188917912 +2042789149 +2147476900 +369744270 +406429572 +1306879899 +1065040827 +237739337 +682016017 +1264813088 +1579112903 +1275910174 +501813481 +2046907074 +1398713255 +1424415114 +1897418059 +1432053711 +315314759 +2046624227 +1779127162 +46377788 +1079949617 +929228154 +2015416739 +1602941726 +295251797 +1960244604 +2024507153 +429499274 +364406824 +65941417 +324804775 +364400076 +435685687 +731234348 +1671279975 +1500726514 +968973685 +205812344 +618055954 +400602940 +1481722518 +1119869435 +300026367 +732952126 +396800902 +49960778 +17522189 +712115661 +2096585005 +1796649351 +758493449 +1029050975 +578393858 +626426540 +484509053 +873645655 +439187497 +361532558 +1303144930 +803594321 +427473975 +1627949705 +1167994397 +863159662 +211700405 +691790724 +216402528 +1180674090 +897603069 +834458483 +1581277031 +231841939 +1954327918 +1881303398 +964794065 +203645172 +1931264176 +982316255 +915760834 +1880365534 +631481958 +1674254283 +761932861 +1209875816 +153197176 +1246441914 +2083521472 +592384673 +1607974472 +1239182754 +1395978994 +2035448447 +719648811 +416489743 +751124461 +931349217 +1108280467 +967526989 +2112023307 +2005883536 +1801985472 +1545816690 +90241828 +1608829743 +1279636440 +1055035893 +1812474915 +1063416969 +2037352148 +580752101 +796298855 +521350459 +107522737 +1558231716 +1731226275 +260719913 +657189982 +1667264099 +853104586 +117680806 +758963205 +101599932 +5645605 +1478612017 +518089675 +756770066 +262477586 +1626370142 +1724297055 +227017245 +1484770031 +1378798880 +1772833936 +1575011859 +840144975 +904986728 +482564104 +505136242 +1968403697 +372432605 +1085888344 +617218904 +893783064 +1193411081 +27966972 +477525691 +1454130994 +685156954 +2144789791 +159751932 +802837760 +756269348 +261351864 +808483365 +87397717 +779441539 +1565253431 +349875303 +258328033 +1142066839 +576892549 +1743098064 +373382071 +202242837 +1170626275 +1213527046 +1107229565 +1653190380 +1718663288 +928149615 +2025622985 +657067984 +1545368519 +771922401 +1850479065 +1573335492 +1249448092 +1157126411 +111008798 +1246754235 +1316878343 +913846559 +2003023584 +1578230207 +1722329924 +2090421301 +210188098 +1140099708 +292812957 +468516132 +134682899 +869705506 +64130548 +508064970 +1071948343 +1234756824 +1721592016 +31694260 +740463556 +1292771656 +959843875 +618602893 +1949839641 +357728747 +1390525294 +1652835058 +1931064239 +492489738 +662477822 +2042073037 +1739243974 +1979356165 +808435948 +1594783910 +1410102725 +383282225 +1537721563 +1620290823 +1523381933 +1830534520 +2088806955 +1658064832 +552756378 +5453856 +18646154 +1624704721 +1240210680 +1740238170 +1656398982 +1980674236 +885526178 +468759209 +451793481 +687882171 +826487956 +1842318775 +193233582 +610068547 +187324865 +855711404 +504657937 +1926568839 +687583921 +1313093885 +1373869101 +2097686646 +1696376110 +764107017 +1570493822 +1072274395 +447157889 +1511817129 +582855579 +999914268 +1517270985 +601501733 +477135341 +609998017 +194256255 +2133534323 +443188605 +1079782434 +454809885 +894982086 +1767664605 +1281297841 +589817213 +1960898187 +1891366389 +777142079 +669125943 +248540678 +556227270 +1356709865 +1561634563 +1930096372 +1306912863 +1110527026 +546719741 +729923037 +35317773 +993877630 +94256519 +618173353 +1993791898 +1611527504 +1219675086 +323443592 +74041874 +1413931342 +309494267 +517230479 +346230128 +764304152 +1412212566 +2113894733 +2045601994 +2002029779 +1927309273 +1789484735 +631688210 +448951568 +2038025413 +1187915481 +1805661433 +1452176328 +970528205 +965090649 +415219706 +1517247946 +1695013686 +450537480 +363641928 +1789270205 +1068710833 +209950179 +1253314062 +140902271 +533393771 +1327355936 +1554833613 +842888038 +1844586415 +1901063741 +1607192191 +1109315333 +1867474827 +1505310537 +963861465 +1647300452 +1147311624 +1595549675 +2096252020 +1037853389 +635981508 +1754429806 +342546069 +1606509713 +572036807 +757765776 +976274011 +119566845 +1208303256 +1339915940 +1908837051 +129530441 +1549866119 +1014667465 +270432712 +2083259890 +194539753 +1825266326 +778664280 +2039126168 +1578846419 +238372823 +1000957854 +1298837598 +1743683360 +1964819319 +798654402 +743511336 +1412885346 +747422775 +1781364725 +2048866855 +354368933 +2123910795 +1507892920 +926405740 +734192923 +336683284 +1045972585 +1942496179 +1676599224 +807325988 +2072026620 +1078981695 +1821993453 +194975684 +1014757937 +2016533206 +2020242010 +1793422217 +1908175727 +1451604782 +2031795041 +761649933 +602958732 +1627994753 +578985604 +1401613135 +224022442 +1991870950 +1552262 +2005387167 +1893254157 +355921195 +1981814314 +1253663430 +1282326935 +568523589 +1590346714 +180815872 +363536120 +1119462290 +988141861 +288079092 +50960337 +662651666 +483054777 +1065718274 +531701225 +355813139 +711656843 +292393304 +1807417921 +595968236 +1054043237 +262893006 +76479342 +1633028841 +1664506141 +300501784 +1477416143 +1666058403 +158405303 +1223186653 +2021979598 +2140219618 +329366435 +1156822885 +561259559 +1919713149 +1337638757 +924795680 +891691791 +178296970 +1212874772 +942652128 +840948637 +1695929549 +2008370402 +1372649862 +2051742689 +572543597 +1665043166 +1711676962 +1168511834 +571602755 +1974569968 +1244991176 +57147948 +1491592461 +1545492960 +1534564091 +1010167216 +1703898263 +610267096 +884663166 +1696634233 +939633531 +2041486051 +110410145 +711863032 +1231641161 +1035205825 +1603554823 +1409938131 +100596949 +398723303 +103403120 +1796526499 +259610057 +1476052982 +1700785540 +832153655 +993612500 +1264978854 +2000665489 +1565215255 +1092065175 +1098173017 +1622363203 +436173988 +496182329 +1009443647 +1446341205 +52596944 +1619710743 +183520723 +1749231178 +411860627 +77523127 +1859641323 +1123723659 +1309164288 +747363500 +579794835 +571618771 +847960449 +978518138 +675021892 +497003300 +1238128196 +3591226 +50305192 +2070281851 +997203727 +1315284047 +1923463692 +414935334 +259865574 +874153061 +2037298538 +696039562 +1370335390 +899258537 +2142380767 +1422932334 +371485632 +178417843 +1024679864 +783346259 +255940970 +736837539 +1907069919 +1565105258 +1484201039 +339381106 +2136724029 +184677841 +1317899244 +664262273 +681681141 +408543792 +667853500 +731986334 +331341995 +1665057227 +2047270381 +107322039 +2079992561 +159652307 +981475100 +1969807451 +855691869 +204326842 +721582340 +850588989 +1627259177 +1093067973 +1029006832 +504455393 +1876414232 +1284947802 +1241292933 +1636000503 +702569412 +578010324 +1975381609 +691809793 +762688165 +1145797206 +1356072067 +1444369307 +1554340998 +2023925567 +28871993 +1885682994 +1541499146 +2076142374 +1993005033 +1474008059 +88311033 +826996486 +1296331863 +944002902 +1031323328 +2017914203 +1794591891 +511098857 +963498528 +676115075 +1015554251 +692429113 +1961062877 +109363536 +180945968 +516148641 +687373860 +8843930 +1207958435 +1450062026 +1154641136 +416546854 +746947685 +561498486 +292988773 +775819678 +299697832 +1834487919 +704478404 +145219218 +1161012330 +792789437 +972215704 +309860545 +1736792339 +2003539032 +180291101 +1383900583 +367154242 +1143789629 +2060015658 +1382708493 +1836218742 +1873594888 +1492072029 +2017164711 +242259881 +31962241 +2026008641 +1450218316 +1482024267 +1033166129 +1866765170 +81488304 +1594664615 +12270295 +857307982 +1894362448 +1846758214 +1561786386 +2039581666 +860286897 +207092175 +864313722 +1170147442 +1943884515 +720369106 +1350438543 +1180301450 +1087523348 +346744525 +1092833460 +322748193 +35479619 +818944700 +1814820222 +2052644330 +1061204582 +1846782464 +1931169323 +363939250 +1181323083 +816851804 +83220773 +1262811388 +264032772 +95491068 +2120119370 +10911572 +1942249283 +1534422109 +2050493238 +655052532 +1741514284 +767323312 +1825199974 +1537915151 +1487692418 +1028154870 +570732953 +427732119 +1374899395 +1663566414 +750480312 +1410379014 +335027466 +417816887 +1315539697 +1396232048 +117115703 +1099225372 +1760171299 +1298438786 +1916077177 +1843392072 +413766526 +32626301 +1938883140 +386402249 +43537873 +1733648775 +1920824358 +2094031111 +241217659 +1514854994 +713870775 +2066417634 +905286498 +54079545 +947088856 +1476019451 +481811664 +174504603 +992102217 +1232291977 +1584883617 +1327129684 +1650108864 +752939666 +575878084 +1767224567 +1852165039 +188565735 +918179705 +1620758568 +2031957807 +1331946232 +1653384869 +1823357300 +1718348481 +1696922742 +1409522427 +1491689191 +1643470205 +1650740087 +859060537 +209857332 +1569674073 +1764347035 +263936877 +369279281 +1092882839 +745748542 +543783884 +2084985056 +1978040519 +2128667501 +1264631092 +1480665735 +734123520 +1840509177 +1100406654 +438804911 +2029074912 +2018586359 +2059563479 +1913549072 +1203048943 +1565464700 +1589422724 +773913776 +1114903794 +851461503 +118119319 +610890351 +354717942 +977179857 +820747683 +1924392015 +594043244 +1084684560 +146187648 +1686926083 +1830433102 +689971532 +1624427492 +1660989973 +671155386 +741574936 +994172060 +1405278906 +434600465 +2094578714 +1844083817 +316191730 +1965681426 +1756163648 +82257154 +1021246721 +1174144700 +1671679878 +1795160498 +141564846 +375657733 +1913279817 +752455197 +730375676 +742976026 +1573202880 +507284043 +1337019271 +510403792 +653471692 +876461706 +193353247 +1343443224 +353405550 +1854343220 +2014598610 +1094980487 +701031633 +1272393868 +1529580952 +648126699 +968994037 +1845772682 +466324477 +577674037 +1928029836 +1487571199 +1751818737 +1452226066 +1135248049 +1893383583 +1827883800 +901044218 +498355132 +410775828 +1644020245 +2071558012 +918059871 +833555868 +434478157 +1571531563 +1710017574 +627831404 +767491140 +2063423125 +334690976 +634606102 +1010919964 +1035722609 +1906999971 +393017268 +1683849309 +728510360 +91306303 +2690138 +1306184398 +2019336139 +1490261337 +910519487 +1324078558 +478025738 +656419423 +1004478710 +1379069957 +1154774555 +1415254538 +875606554 +1078848920 +185830761 +1709162422 +1513327077 +1757362325 +1271696348 +2141158481 +377369817 +1187635825 +328365809 +1011975919 +51072141 +1364088419 +771492242 +444089410 +900454080 +1500002603 +535395713 +903144218 +658703353 +407248204 +245921908 +1569222840 +1731326762 +723947646 +78158615 +588321824 +2103017603 +1232933171 +2003576362 +831140509 +164298443 +41923476 +392819283 +1677625520 +1799285801 +1664515632 +1671300353 +29171970 +704667809 +1999666162 +1041147889 +755739951 +1216270933 +1812640132 +1199829361 +2116725013 +1165159087 +1735225074 +872385584 +1823862440 +2142473278 +1118307492 +1245601632 +1726316393 +1842255138 +1323760248 +167154569 +1797789094 +409209771 +23247284 +481445955 +573508214 +65170760 +874265239 +103650086 +1864456561 +391297223 +1774950439 +1893628531 +1095965032 +1627132953 +787292772 +1851704983 +695920239 +452449256 +904050696 +665161604 +1617608343 +491792122 +1537547188 +1293987135 +486781753 +508371032 +392105120 +65614498 +203142523 +1715865368 +232769067 +2000931617 +2125075139 +256016351 +334893924 +551099705 +321187111 +1209159163 +654749791 +38160024 +1600456386 +282216582 +1931788555 +548937771 +1909349535 +571597680 +253159106 +457786126 +1024046936 +1157209803 +1122947731 +494171632 +1649001925 +513011271 +1788158767 +2135783678 +1021382304 +32780239 +53914528 +1224524827 +1748645607 +286683596 +1077972796 +1726237098 +542699947 +1412866720 +129853155 +863887059 +474542236 +784602946 +902047083 +2074998622 +1066819528 +686351991 +476452745 +828685416 +1257949671 +729611852 +1286471542 +134512959 +1886821655 +261935625 +628684591 +1388339932 +774946897 +269359711 +1376639963 +1796329201 +302139950 +1430554491 +873370380 +2050785558 +1717238087 +1951343176 +1629539008 +112454387 +1216726248 +1759392164 +976341446 +1691268484 +396511462 +1878388529 +1618783459 +1463330991 +417256872 +2095236204 +144532759 +1675206543 +677364408 +1431004301 +1809719503 +416702415 +1692939927 +290920446 +1805042348 +320403176 +560280157 +1034198663 +2116732377 +862420108 +317269506 +842619109 +765722018 +2034507594 +646478637 +247777378 +2146961981 +1863204885 +2007169542 +975819779 +1406989722 +256197357 +706724660 +878289533 +1719528348 +1123981533 +826042089 +1864061107 +651704428 +1503406498 +1147581760 +313940283 +1920108913 +693038039 +604860730 +1577667613 +1013441215 +1165140887 +464382628 +982689944 +2027560995 +781652135 +1825309053 +645799365 +668676081 +324304042 +893576744 +668154414 +40025280 +753262638 +1643974193 +1447015002 +1009459995 +203215205 +177820887 +581504695 +1327196738 +1003862976 +298082154 +1978901167 +359785826 +1445663915 +145357802 +132411092 +2138701954 +750218532 +1710078705 +1004659522 +1915359420 +26977686 +1987349466 +1795436767 +808629821 +1665174872 +293752485 +1477305902 +1989478914 +1187329229 +2145460316 +2029504194 +1940591867 +1641950861 +1329035548 +802568215 +1845166066 +1506856435 +1384072910 +1024879157 +363235764 +1682155065 +856296676 +723021590 +980335332 +1001654478 +855432682 +971553638 +1751873011 +418027740 +1976213160 +1519748783 +445005426 +1816078979 +1167701902 +1253635247 +1333770203 +1461454387 +583457501 +1175765469 +501299968 +581434169 +1057786016 +294408188 +75901382 +239337916 +1096976403 +1921067448 +1746194352 +333565665 +798462957 +2109430116 +2015720730 +1654759633 +684968058 +848572414 +508930464 +1540400741 +1820126053 +113319827 +1958428481 +1648855565 +1633068610 +255950259 +1317450896 +653286864 +1509585506 +503737451 +2114741252 +2093043007 +1679502921 +468557572 +526993528 +589805289 +762965760 +602894910 +829143205 +1859942163 +376478710 +427853909 +46024181 +1174941668 +389800377 +2061744911 +682217653 +1074768436 +762833678 +1191148117 +467685529 +435476083 +1304467944 +278630362 +2084331648 +790052906 +534580621 +1254298897 +1443339771 +2044166127 +1758036348 +1410597375 +1989725486 +1290055621 +1879154947 +369235366 +1879860910 +494637060 +972130276 +561520468 +207095575 +1348608986 +989374377 +253119756 +376067006 +1379174755 +167381020 +1058284660 +306459543 +930214698 +101949129 +774145072 +1365690781 +1406417074 +1052775434 +1302538781 +48986332 +1587356055 +409354030 +1492326103 +1484038534 +19906731 +755439830 +1326280372 +1309962352 +487111130 +1695515738 +1042339615 +981748190 +520162366 +1603860083 +1188843765 +1868771352 +445750812 +1441963522 +97354711 +1824925567 +1609344542 +1155639371 +2131385110 +392075592 +1257588500 +758046534 +1757766373 +516521926 +1810821968 +912821506 +565508259 +1250694375 +1322175537 +2057834362 +587249261 +1342082268 +665790545 +1913529633 +504560972 +1152901675 +1461561723 +1546900587 +2134649865 +1981724089 +1003277022 +1176009982 +1703011794 +1449027835 +470489856 +1800366505 +1126469754 +2079834398 +808522228 +1110371217 +324426342 +2066110728 +1868417751 +2082192715 +435149007 +1531756072 +847530574 +1000657266 +634966799 +22222463 +911007980 +1222216061 +1364304731 +1576798525 +988262046 +1868865703 +582216552 +302340122 +1268282643 +569382769 +136580563 +124076017 +1745392752 +1839592357 +1573103852 +68398960 +1492475214 +552089959 +749711 +153513794 +1662461176 +325176053 +72140875 +1383395279 +259885121 +507289882 +767667703 +1107415695 +1507947148 +1402634503 +1129638158 +271471480 +477366916 +346459241 +1848270006 +1465628962 +67841296 +283002910 +1767969084 +1336123939 +852385680 +1904549648 +1460199957 +450294784 +1596658357 +885820161 +518693744 +941649924 +1437910120 +519443455 +1095163718 +952887648 +844619509 +1167304593 +188799280 +1104504630 +1674594475 +956466983 +64436677 +1035057975 +211617838 +1194074835 +1306529456 +688984754 +1540534076 +1007315814 +7130069 +1608375372 +1290318724 +1775099153 +797015664 +2142704404 +1532165153 +109731973 +445515540 +981339863 +995552134 +964209285 +1922989787 +285978607 +1483652740 +870669857 +1238866255 +180788601 +2037974451 +1427665535 +1285293231 +1565085278 +236648871 +1349729908 +452659606 +448266709 +396321095 +1759189062 +1137251464 +1936855171 +619021228 +1144381533 +1397746896 +1909339952 +771997038 +47278912 +1904560709 +156678544 +157010885 +202592601 +1138018407 +1152563019 +1166801886 +913524546 +1438541626 +502970979 +1784194403 +529924234 +683759580 +1674685206 +1957589769 +1969052812 +1092286837 +46754992 +1171299072 +1544946443 +495021702 +1567620168 +1156651857 +1632273166 +1356991691 +1775673085 +629171051 +607254939 +1537529389 +1401168089 +654533851 +1294606450 +1557846633 +811544736 +1497199052 +548381392 +1964107756 +516517290 +1461905938 +1255165734 +1019488269 +1098616694 +1785089968 +1703247850 +625818252 +1595196090 +1524817014 +1718105089 +1641951082 +548632438 +1115567884 +2136972784 +2116252606 +124736093 +1621762302 +1325760650 +1900409178 +103449705 +1933015589 +1290454920 +1504617795 +440065793 +437577722 +914980780 +1251610529 +1934776774 +1463362173 +1068234637 +303810417 +777784463 +175916724 +1323298686 +1876401157 +1961006692 +879062888 +354735762 +1408719134 +256396254 +2072840851 +903186569 +805028693 +1040925088 +892675705 +773797651 +1165661181 +366954360 +2099558301 +918586712 +470404065 +1885090243 +61557984 +1975021860 +177672388 +499135706 +742518993 +1429282917 +286428833 +58397518 +350033907 +590239250 +836181981 +525950631 +1913537936 +565099491 +339473675 +645117177 +919835253 +1748192810 +901513431 +845192456 +503895731 +1706542124 +1886117544 +1396571436 +332856128 +904295078 +1763525796 +284930781 +1822881790 +86446214 +22537376 +1884439774 +2061468074 +200209764 +236091832 +656503419 +1629492682 +522520665 +714900937 +1979526589 +1112759915 +1551082919 +357993572 +878814204 +2116182410 +697467247 +1523931381 +888534015 +298176409 +277961164 +1733726471 +802072140 +1984503289 +1472360368 +51159929 +169875769 +229171798 +1814685725 +454806550 +2052053588 +1901131939 +477343927 +1789009714 +1815116366 +677553691 +2025101546 +324136137 +159562725 +400138564 +1039037075 +2139089314 +1512898479 +442636346 +349599238 +244229035 +411335108 +1047066486 +1768160416 +1299869123 +1345242895 +2046121581 +886111946 +2147315036 +1883141222 +210988666 +50991317 +2053016991 +440160464 +1865677042 +360339893 +344730404 +1619325334 +837683820 +2133740118 +1286958052 +1515237512 +2011358017 +1611094189 +1674800237 +264012933 +502647616 +1666405904 +1776911412 +945283962 +2016005142 +2021140448 +1356619070 +915587980 +1641817216 +509004545 +113347228 +1540455149 +1395116492 +113178616 +1276112723 +1606105158 +164169933 +1181646066 +2046265623 +2029846975 +1541985960 +243512379 +1501688661 +232186132 +229768850 +641163065 +1747423644 +93643219 +104773607 +1274740234 +357656152 +607421223 +793662490 +2134567564 +1552705186 +662183984 +2008224364 +761840608 +1577771965 +1502557933 +1270845154 +1691119193 +895529434 +518477998 +1804297809 +24158510 +2124583156 +1968467742 +1205804576 +2023365131 +1850831069 +600306888 +119393863 +1205036083 +832493021 +349162713 +1846199148 +432433017 +442805932 +1950972755 +1707173251 +800462084 +410910331 +353352093 +787546000 +1963615517 +1015536078 +648286717 +577972477 +445824395 +3361002 +1848817631 +2136943588 +898890436 +219811981 +1793757749 +923048946 +196911490 +1614741843 +2128853523 +72792973 +1318089264 +581676763 +192186836 +375641699 +1414169784 +541349549 +74357200 +1846602802 +984155481 +2025329955 +1406292405 +1784617565 +288756638 +1759644499 +424679918 +104888507 +627696929 +1072966635 +682860985 +1073521324 +1076327637 +384194968 +1062981264 +1975218073 +604006950 +709255365 +750783372 +800918440 +176513560 +732153247 +873711413 +1494602824 +1313830010 +1065898250 +1870244524 +580516147 +1607247799 +1944601724 +279635301 +443919633 +1822448031 +1685927706 +81053550 +2111204670 +1298088557 +505733468 +68609529 +1925785486 +1578700103 +751470514 +851823162 +507544092 +1135665483 +1914804426 +335278518 +1739672433 +476576143 +1086061890 +393107225 +653089703 +1818215137 +1266818638 +208880 +984561499 +185233240 +1870453404 +1565077646 +1792481040 +1667571480 +1844712947 +88917025 +1342535863 +1383157006 +169970575 +1306256885 +533761915 +675704044 +1374866415 +312063754 +106920499 +2126336929 +1163886916 +614464592 +1114518764 +931207695 +949743110 +706707549 +1407783838 +2035805000 +1099814774 +2060873542 +1706536489 +219149765 +2061082422 +543614340 +404383005 +1784052178 +2108691987 +49380397 +1304140010 +1805921286 +138297422 +499192225 +1041594644 +308267998 +1805449111 +1575356560 +983972042 +1032831878 +1887420314 +1090892541 +1011685159 +903823582 +1705357133 +2126203924 +1835031277 +507616595 +685427825 +1095331468 +395937947 +1785242600 +1008721362 +2102474436 +2004392365 +922320136 +498605129 +261291722 +558888666 +459813468 +310672120 +1863028676 +118251106 +448969542 +214737253 +1159845751 +757237540 +2020186364 +587718663 +1741209582 +905534594 +327655329 +684618476 +1917219754 +1231478911 +242491961 +1895940030 +919026541 +750108557 +433884207 +2014358009 +1146046504 +71643159 +875595723 +1101037293 +2076035524 +1797915859 +1599642422 +189843599 +209320877 +2059455890 +500515719 +2072349553 +30223348 +949485261 +139603158 +1190069099 +1706722802 +12305875 +1777787762 +1300448736 +917840469 +2105443091 +1985067212 +687576575 +1189438355 +80075526 +436032957 +2108464896 +830184083 +869917165 +1975339257 +1976230587 +941560324 +703451332 +929784232 +870112201 +353883543 +381943006 +1059955800 +563204420 +293915248 +1560471519 +488070325 +324138597 +362473132 +627673483 +1514207696 +2069195934 +639979358 +1144511811 +1222161023 +1557819828 +1102471254 +1059744587 +97912755 +144425961 +1139820113 +533945713 +105407209 +1970004196 +1403862878 +2080746466 +1798751136 +197939554 +636714150 +581051720 +1068051755 +990597693 +962994727 +2128007555 +1553802113 +1256909975 +1540995426 +2041872438 +1581048572 +1903468559 +522062274 +947772621 +1825180845 +1162041632 +2092284432 +899858220 +572377812 +1047272038 +1959602808 +670290568 +1191698000 +951939273 +1204236281 +1297105209 +774459822 +460615511 +1230368028 +425727310 +658555065 +1867082178 +1006779030 +1726606821 +710196224 +1969773757 +1707130728 +116514689 +1079200085 +1100642507 +10903480 +512765009 +856627418 +532965754 +1460537630 +534324615 +1695007386 +1405338414 +1434182836 +119901551 +305126805 +1246301996 +790192119 +1496824805 +50757621 +1994428400 +646446366 +825217443 +307560263 +1876814394 +1250944753 +966115328 +1596412925 +110240136 +545238501 +159125501 +2080013893 +104885582 +275640190 +1011730330 +1205528089 +286543670 +1524495340 +2062155507 +819509424 +837549322 +448996474 +367033163 +95404089 +1883179310 +486934714 +400530894 +981997658 +1277126833 +1897355699 +1032755280 +1124071585 +396318417 +1857972723 +1431631848 +125649164 +961433829 +250263528 +1722062089 +1071673965 +795502030 +1881187590 +1004204210 +900387612 +9344132 +2015934541 +2105915701 +295887803 +1392946233 +2020587560 +1115397227 +83011907 +322100386 +1482430390 +178415996 +57796049 +1969365104 +578946890 +1039793707 +1099008289 +328818941 +2072548987 +75596226 +725137359 +1783038063 +1507228074 +850786523 +596988244 +1757491603 +425364964 +1668662209 +405509985 +159068906 +525382771 +1305897597 +168413038 +393833664 +1264329650 +464300841 +1786779897 +1137433562 +1579698069 +1869791805 +1459533948 +914644811 +2048207801 +1517329997 +736526268 +479671044 +409640057 +1835534557 +808489985 +334705396 +1911130784 +1533627344 +2117743459 +1270875210 +236930219 +567248055 +880883165 +662295183 +88426616 +1286393150 +821364089 +613809388 +444807099 +989777128 +1007643052 +1709136749 +1454077969 +646939302 +699086663 +886292390 +369247459 +11136964 +1800937202 +269971612 +1528466961 +389979822 +749642656 +1938107018 +78030731 +1558132642 +125328767 +1989161515 +944276338 +95588578 +1112553078 +1181206558 +662836634 +1993436243 +1843501741 +751263250 +1132345746 +517382183 +1365072638 +1577152845 +1507159311 +225232043 +1138805947 +813753632 +872171345 +1837892610 +1700046023 +1241418804 +1849029574 +1353499577 +1511390416 +1230012888 +1743479399 +113549425 +1020636258 +1821510130 +1671682067 +1145965025 +1663187998 +468474757 +1241553604 +628257428 +1649681315 +1904390238 +474210023 +1345699409 +508169840 +1606555769 +1863081592 +1873242479 +1036224967 +1222757255 +2098474522 +27547266 +2036510887 +823162219 +1865439876 +1589073262 +2064581023 +1566985803 +795089191 +1428487791 +649515043 +391084942 +1542037216 +1670151301 +65111425 +1066235635 +668632679 +1728299423 +1534710393 +1910186283 +209073203 +1036908060 +1667092873 +683283226 +235123821 +27779065 +142355348 +2098205413 +1901021544 +1178580315 +1173479020 +1852012418 +1206127581 +1062506260 +527690989 +924083809 +504095874 +444788364 +343585964 +1299185066 +1873276156 +993101007 +1690270008 +1267829724 +515768661 +1755381433 +186581712 +1184401340 +1336197208 +1721292105 +947103975 +1545270411 +610716517 +466713200 +81069990 +845840339 +494492265 +223425338 +796562104 +248030162 +1402005653 +1970041125 +2100042580 +460649586 +885063737 +480249922 +1384733395 +1389159611 +925038286 +1728319360 +540861029 +650830794 +573936719 +83647390 +1918660519 +1089705380 +1839028823 +2105242231 +126623072 +1027742384 +1679050688 +1073727047 +425529147 +142283557 +1540440247 +506599137 +988123896 +2034932513 +730024475 +1784686001 +135479027 +2132030128 +1607243478 +88037959 +445196066 +344823567 +568287881 +1829929462 +1733983178 +1493326168 +1410765174 +127360560 +2144156962 +1984701893 +211007950 +1915333833 +926923626 +2050036773 +1873092416 +1053546698 +930295509 +1404659456 +2127273746 +1355824657 +1546943014 +1520230345 +1862423794 +387583262 +1407679210 +444964622 +24785615 +1543158237 +429511102 +1632029093 +1631196197 +874707169 +1976852660 +52000430 +557152983 +1563352191 +1545326598 +1967918157 +1690712751 +1541999913 +1805136402 +1901720701 +1309850098 +584576380 +1804273826 +1035458867 +1638123079 +587085688 +292634675 +1617913177 +1942910345 +1839577689 +990659874 +1657850491 +79677304 +250855437 +2102815113 +104462919 +1794013674 +384842568 +1736492013 +1277726223 +1259549737 +1565861025 +1329726654 +1816702720 +981729568 +727569604 +1637137229 +524958671 +122085869 +1294789983 +279195724 +1431935968 +1879366364 +2083469551 +319911187 +1370005795 +523071591 +612545862 +840435324 +318498288 +304639904 +1831095198 +1976348779 +384317208 +2081950635 +1931680245 +488780127 +1728480662 +169039165 +77788492 +858723237 +1428588902 +1643649518 +40966243 +1097807974 +477895438 +768535848 +587461555 +1002854110 +890621717 +1882251538 +1282049834 +175074037 +1614134254 +1218035737 +494985224 +836656401 +1741107328 +1107531087 +1677091725 +2059605616 +1412170991 +1360703276 +1888470748 +1796488199 +1295170263 +1672667345 +137784678 +876167277 +1841706510 +215573171 +1734890515 +1122811764 +1859222689 +1775856758 +73136090 +189634479 +396908958 +660597645 +1192488589 +1287530676 +395365535 +327054776 +1462604713 +2009499790 +1545090513 +1957589938 +698672543 +1138714194 +917637377 +228280621 +1050836162 +182324720 +1588983897 +791823262 +1978812919 +736670512 +317006959 +2116597597 +1612837790 +11229821 +184687120 +1200244657 +1134041585 +2043909809 +828617767 +1207177675 +86060641 +1225526726 +1867775320 +1278549230 +365573754 +115657208 +1605604006 +1828178467 +2125156998 +1003210872 +1638284757 +676345893 +2141925066 +408438486 +904626514 +1045277580 +590763206 +346126763 +1837100843 +422092477 +1082797276 +6624154 +391206427 +548151418 +17853976 +575893547 +1748396075 +1151895561 +472319709 +429530194 +211589589 +558380350 +1655056920 +2079364909 +1836929580 +2020630674 +47538469 +1295049939 +1701325494 +25211819 +150777163 +1192126603 +701557713 +145218581 +1600565090 +1606184227 +1190496161 +43844648 +1952310991 +880113356 +465937126 +887624619 +886737511 +857143553 +1435776037 +904591487 +1433037100 +1036688464 +2056487048 +1905356809 +1466218658 +120592989 +316253511 +973791931 +52474251 +5699444 +846938957 +100012720 +1300749383 +400780803 +125224540 +1451526546 +1592907407 +826782253 +1596745127 +1045988849 +285482832 +639757640 +1089833497 +90310175 +1519870997 +1555770623 +977934794 +259124860 +265430528 +266227183 +1163716347 +1698467629 +1302915647 +1072719747 +1456340790 +621650658 +1193312737 +1772594302 +1595442589 +1245786988 +1778293746 +294897898 +1345799708 +931559481 +695678702 +1471024248 +235602379 +141102461 +150322853 +1832347506 +1187091310 +435805686 +324621498 +129441159 +526115861 +1844492495 +1685211783 +1504050656 +2103617355 +1950642311 +1770277839 +1119850054 +1501626292 +925709839 +45086154 +810483435 +1547360497 +1238398891 +435594089 +995319438 +336702231 +66404187 +1290217336 +1682501939 +997963668 +1985896038 +1006042540 +1233566047 +2126998499 +1156365393 +918429905 +1166606161 +1592171079 +1243051403 +1296047321 +2118286941 +940060251 +833775456 +1474853949 +896193958 +636934119 +1097648140 +2016044013 +2138560412 +2023357979 +2061130167 +801560199 +1423234828 +1152045410 +1237154288 +271070618 +1488747641 +1303558475 +1561287955 +1023765932 +154038495 +1399700345 +2029808472 +1387604542 +1379215197 +1038690218 +158550799 +398337710 +483377649 +1401602202 +1694385031 +454180942 +194178805 +380676839 +1929034891 +1090372764 +1017610959 +879199384 +958933129 +1008687723 +755073715 +872579648 +1810247922 +30824896 +2024625058 +899918562 +301895514 +1365889051 +55993389 +1863183469 +242171335 +210031884 +1115400167 +124496160 +1597636426 +347131716 +1163186378 +1756187225 +745469426 +1646564027 +1010305779 +292370810 +2100744970 +1204484585 +673047649 +1882296213 +147373701 +1690658608 +614011949 +1106306830 +551862683 +1369085665 +1978886478 +214626957 +1399910561 +1856027888 +1114545519 +1701806075 +1074433291 +1170538908 +1417505897 +1316604626 +1380570792 +385422416 +1441100786 +830723570 +732554132 +456803516 +439427147 +1478023558 +2103367544 +1449732927 +1770394368 +2056628866 +506733864 +295958370 +1791441431 +654107565 +1986616978 +257969733 +1760414395 +390996014 +1627055398 +1591817225 +605622971 +879482311 +1300361465 +1720168491 +433804738 +227311108 +743223751 +1851310635 +1543915734 +2123794544 +89249403 +837532873 +807034466 +821803535 +1294336389 +1246461614 +152343446 +1250220285 +548710893 +1922737814 +1159365503 +1055444757 +71212536 +803323287 +1709552322 +2057829515 +1061293020 +1322483069 +301341881 +540864770 +766816646 +906964852 +1420347081 +2067178111 +479649695 +1854151819 +147005571 +1222873447 +1557978807 +1690921305 +1199184343 +1647228210 +380970530 +2006218809 +321548098 +1675306920 +1105196775 +473891544 +778043557 +1653907668 +249145710 +1937409061 +561868777 +320358247 +593248700 +123937451 +230704114 +1654541720 +1446420520 +532045995 +47922842 +65753518 +1439010847 +1468269923 +2132931629 +1918660543 +1174938094 +132453552 +994050342 +585433253 +1823374858 +45751037 +85177816 +56861740 +2051969846 +406725914 +1732168660 +1009682974 +880617458 +362728570 +516106994 +1129763168 +152653983 +1077975772 +1450121415 +745902683 +1201913223 +1680825529 +252960755 +500850096 +65387876 +300883597 +566603614 +1504398724 +1769153520 +552051596 +1275575619 +796607966 +684505148 +122142313 +1382041220 +360396358 +167893350 +1467219036 +417258099 +72379548 +1873944950 +1943111 +1082062522 +607078760 +364671681 +1598169517 +1736841928 +517325664 +528661641 +1039479696 +1263228347 +1730574864 +572821577 +1516189102 +83941312 +638209454 +1817072699 +650544927 +2142608178 +1438742571 +1202596523 +1270700149 +87866890 +1887101671 +1392842462 +1469908110 +100014382 +1560735812 +789643498 +517272481 +1633115360 +516104800 +519215592 +567694235 +1123183560 +883887274 +18380104 +712541840 +1401212938 +547041745 +1752021536 +516957638 +130132961 +177359466 +2033146740 +214074274 +815568920 +1702735792 +864619201 +810693450 +993994715 +2067215724 +2081393599 +1081861605 +1806833747 +1326752413 +404286067 +1906848129 +740004577 +1193929565 +276636962 +225636289 +1710034365 +795852555 +793330524 +685734277 +1679739829 +811710628 +1398276118 +933469119 +1358752373 +1002814006 +1450426757 +1488885335 +1180173472 +1336089850 +1702959609 +1995742392 +891341994 +420095162 +658952194 +1885336709 +339827238 +592862145 +819714667 +2146660985 +1919614558 +1224000734 +1906025467 +512135487 +270446652 +35178781 +737771777 +1980481017 +831031336 +1531102301 +518731647 +363287517 +195329282 +1917007765 +1296756637 +1554081655 +772338123 +599699746 +895483342 +1952511596 +1935789596 +450959303 +1800770340 +679647942 +871054465 +312238887 +417501004 +1210881703 +905101032 +1237215671 +1210059041 +677231943 +313732757 +968600860 +1189367430 +584179409 +1003779641 +1927139207 +417176779 +1834810978 +1310757861 +935908426 +50614847 +1506087143 +705432543 +1347371484 +912685150 +1477770666 +1947071231 +1808168493 +1282798614 +1735377179 +111644148 +936085307 +267541474 +982698614 +1248324194 +685042478 +46096669 +5941578 +1922258149 +1256155710 +683173521 +88507258 +77272922 +1872540952 +672686668 +1081052564 +1652196511 +1089863447 +768379894 +815470724 +2025771873 +818994741 +174074219 +583720768 +18882578 +1086759370 +2061491434 +1965953809 +747444215 +1196806401 +1553847340 +859088363 +2132891708 +1821388814 +1841786977 +1233732254 +358947644 +1887883647 +1239673832 +133722145 +996555709 +1922847354 +222229404 +1073828632 +1647904658 +894916072 +7397548 +1152617521 +1984779519 +775777442 +1968088246 +1863067744 +1594772183 +2142162465 +299304864 +1613654761 +1081438187 +213312650 +1432124922 +1828882402 +1410119051 +838488615 +540487118 +1395527111 +512393781 +234790447 +481775717 +871341426 +2122674094 +1721449550 +1005063571 +971746156 +1496813256 +1227292975 +2045574788 +997234266 +2122209047 +2052972336 +2368139 +1959504918 +681266130 +1970456385 +1675089014 +128554665 +1965135203 +1974393878 +1742209427 +899089742 +40222881 +1026850701 +580488497 +1450341932 +1865339316 +1120975615 +698385396 +230249450 +1355766062 +1180161113 +1101590876 +1330956509 +754127015 +2106654447 +155219017 +103456623 +1186463775 +53310157 +1100690889 +1161189174 +2106282493 +1103059029 +973210445 +640064975 +926031766 +500815811 +768619640 +743683321 +327726042 +363345419 +1642773064 +367948923 +1390196121 +75777913 +1818290855 +1108051789 +1196753528 +369192603 +1338301239 +405035942 +1549353717 +292408467 +1735992451 +155997084 +251579267 +1891211468 +259453708 +1438043042 +1944521625 +1360144597 +451748568 +1903320470 +315719978 +1424959013 +395901797 +1241751745 +1925774825 +1164521438 +1985435066 +106017219 +1527866857 +1480724482 +473966142 +770579330 +1556502395 +144773349 +1878631120 +605772275 +513965953 +1069448711 +1010808218 +2063319670 +1361857179 +599317021 +71833106 +1613436446 +343044842 +331286814 +903995840 +140082819 +1691431412 +1355744408 +2043403290 +2007151390 +633219774 +291821439 +1101419487 +411510951 +1456342877 +939370906 +517528170 +836726087 +272611740 +991494312 +1607305417 +1829114136 +1136267661 +1338452889 +287402763 +1650233614 +260417953 +1298210981 +1566069636 +1622275132 +1897528003 +1637902743 +1088227930 +93089197 +1969189557 +1992223770 +233172016 +1513137321 +1200484530 +129091658 +1372805064 +1833704304 +420913098 +326740903 +97731607 +1877255975 +1266111809 +615259777 +566498414 +1538723550 +1606754089 +26320184 +1220354038 +595538103 +1364773073 +1507756801 +98288069 +1625191026 +658484135 +1664357706 +1099982510 +408528490 +1154776801 +40726792 +501617687 +976482710 +2032950562 +734789703 +342136384 +1085951445 +863881362 +1714941448 +772172101 +1284794460 +2041682351 +869903709 +1014566787 +1160310513 +1485163486 +1581065202 +551550415 +944433928 +1607385386 +1771904453 +1539972031 +824674811 +1132177606 +1638260100 +302382190 +1790661741 +1155134158 +1402364700 +51706583 +162427311 +1443091493 +553324270 +1138910022 +1328558407 +1288113974 +1481046406 +267026204 +4511688 +1048504206 +1039198306 +1289306148 +942702909 +1909102015 +156389287 +2103013422 +1246781853 +1737454489 +507080189 +43732133 +1197356227 +131500994 +1583704164 +2022031039 +1263678601 +1074480617 +176929581 +906856694 +82131127 +1579294281 +958563278 +244558439 +874902126 +1511887548 +1383468461 +55976886 +652517874 +717031219 +323003090 +657029562 +1765535425 +1362201396 +1946335710 +560754686 +1123819763 +2102724998 +516284461 +223117969 +1692695839 +1023364650 +266850102 +742568419 +1154865645 +1850554267 +617115810 +271060598 +777551236 +794045391 +1177917292 +859682363 +225856024 +2136480570 +1104240802 +1100758151 +1500884471 +340225615 +1156735037 +5918697 +1057256834 +1479738127 +662948260 +675308611 +694455876 +461800322 +1236063298 +1818275639 +417041672 +1752347759 +2041393608 +2109737512 +628228761 +160760063 +704822283 +1783094406 +2011314330 +1321938093 +2054155004 +641381918 +2115983484 +1084588649 +1501064281 +194355860 +1073585571 +457821436 +1295114011 +426986394 +798047051 +304365400 +432905092 +1855303886 +1784103528 +1095853352 +383128849 +331075756 +1557653674 +1619192147 +1867747 +1974695347 +1224056258 +2043261356 +1936949211 +1852285020 +56537771 +494287846 +1487895778 +2067852101 +1816225939 +1394567135 +561750371 +1784725775 +331672136 +2062814652 +1979081635 +1405257707 +373152440 +1126711999 +1832244102 +1171199492 +1431077399 +117665546 +879019730 +1067697279 +1213518898 +1262148579 +1398773035 +623688924 +733857079 +1400640783 +450900623 +1957913337 +1296418491 +240366186 +1662714709 +1352956262 +734654032 +1003126840 +1273324715 +403396323 +250210327 +1835075086 +40638450 +581882463 +1750406090 +2019720086 +1987140170 +2123558531 +998948437 +1671900624 +1147274375 +282542188 +1789566170 +2026294105 +1350239468 +855601420 +1140959036 +601528855 +1479290345 +1874816115 +2002169638 +1930190968 +1685245805 +1151104481 +23073507 +1200476866 +356577095 +757727539 +56120058 +1629901810 +1161123863 +306330385 +1317493248 +1201762313 +888212848 +920415691 +1073998751 +727869371 +896490574 +2072947188 +252286347 +2043764949 +208005729 +2041852518 +1922575406 +1558245197 +749970290 +916050794 +12290404 +81776987 +643383262 +2014460043 +2011967956 +181145419 +1018080876 +2035041463 +1381622285 +1374657972 +645285354 +1437742344 +857076134 +1806409217 +1744072729 +27085735 +860687883 +484801930 +947501426 +1934686634 +1212671301 +1843992000 +1860150175 +1464957648 +1740273301 +2068155904 +1359326518 +1515365059 +1478917453 +2109296809 +283932205 +1491207857 +43590148 +927315467 +1358184252 +2055558104 +1108460886 +228781481 +1943115919 +342599524 +1603439453 +440917626 +1780341868 +313031939 +99843195 +1376930949 +340117674 +960531078 +1861732879 +1287619100 +747734065 +926920532 +984127452 +460400592 +244394533 +576917105 +381072848 +1603721051 +2092282164 +1859990301 +1565534212 +228730722 +1203714510 +1609124361 +1156046189 +414415115 +1517198817 +117023428 +643196596 +1312831089 +459622952 +99152401 +1753748715 +92481172 +412184340 +1853591910 +1469412121 +752302015 +666639341 +1183661353 +2039921115 +1414373406 +2110581885 +876564920 +1874773998 +207492770 +1453482025 +108363198 +1811213822 +1398280542 +1968353499 +1229264386 +1627011264 +1024584361 +690905099 +635573805 +1438999476 +60620269 +752597233 +2082196072 +1373451358 +1212220185 +33864825 +979716425 +1304701357 +446049166 +685824687 +626629831 +1198351181 +1352464028 +1810291184 +1090788648 +619353786 +1773389421 +1967353568 +346644136 +1980882192 +1273351946 +455007334 +1644612366 +524148840 +275877185 +726393104 +3676456 +1300461547 +1417298204 +639250261 +591977375 +1477918473 +1391847495 +526689800 +703886183 +456584032 +560554625 +1683602608 +1761285390 +1006603791 +221943647 +240431573 +57471324 +1574407676 +2050722757 +1148259973 +46277814 +1676628530 +968129893 +392921951 +1510027074 +93998191 +847929285 +1007155792 +618147031 +1123806471 +1733548897 +621823487 +276784370 +1003363453 +1261073749 +868761745 +333798278 +505437596 +1395451545 +1037684461 +962021628 +1956006171 +573803421 +575823370 +815126314 +795747068 +816254943 +872597639 +222671096 +719494052 +2020857612 +268948911 +248638935 +841503857 +661870862 +1758666009 +935502049 +1509800147 +618338154 +1553649080 +486122970 +204403403 +27988920 +762907340 +1207766856 +1289062669 +1631669086 +1541565134 +1794500265 +879636983 +431765947 +609038245 +688159506 +1005569368 +1184861616 +1503285821 +1801316436 +2001116559 +228399812 +2023987533 +573126964 +101773776 +145452796 +821765899 +943277633 +807323658 +432948260 +1878779682 +169640157 +1051286414 +1284945115 +655763128 +1255689817 +1312934035 +1418670468 +315973025 +454513056 +902855906 +1857538159 +101529673 +1782492890 +141820458 +710567918 +323168748 +1147389826 +1895429534 +1826454569 +801222615 +1749062446 +2054854381 +677726500 +174705762 +9144509 +823179296 +996471661 +952422143 +1630502954 +1429419921 +683718177 +1800143111 +333222688 +1968663292 +308422591 +1588912505 +1134113679 +1727093060 +1904885531 +1588626735 +482465318 +1614940042 +1690156408 +117474560 +1756760501 +253240679 +440643309 +756666679 +1186565 +119614230 +1557889294 +1750249011 +26984964 +88132146 +1924954773 +36129473 +911311442 +773942786 +988551616 +394330748 +55879060 +1672269794 +46990212 +389101748 +1493449438 +355412803 +1978014253 +480079470 +2082505863 +1735416136 +2068706205 +417487534 +1202872531 +1611378966 +534962094 +812149384 +1864619645 +975605403 +1568816063 +1865806210 +1095219634 +979221710 +1468571574 +1122204598 +1067353856 +1246042699 +1158334071 +1978665299 +2019985486 +2146885688 +225512399 +2075864546 +1671671834 +272502611 +317482646 +1017637624 +627915415 +148013251 +1497717094 +562937630 +1883429388 +1418939652 +980425164 +938818271 +882834970 +1515387259 +1750967655 +599970967 +343509014 +1172300070 +318293529 +1438728648 +4038132 +1786865103 +413449598 +1071391989 +885424155 +1571783670 +902573640 +757925993 +1571185710 +1128086039 +686306891 +1095373896 +1400588651 +1003789537 +2113011520 +2028504066 +1151802788 +1463244967 +443958048 +887748528 +734700971 +1424383213 +1826566799 +1617535941 +792286824 +1430050806 +70023260 +1135795838 +454867229 +388316789 +427040839 +458905361 +27698245 +840490437 +1530297350 +913122400 +264790459 +285387342 +1671048393 +1835976169 +1413473382 +209871636 +783866417 +666578385 +1213661173 +749394290 +547598803 +217980313 +65155609 +991556851 +1105728842 +799856580 +268456416 +784811993 +269908873 +1060743240 +67379152 +339932133 +49055431 +522246381 +728248922 +476096270 +981151742 +755947167 +1316586707 +363965445 +1669069567 +1581377167 +649352787 +1192634312 +1269869688 +2062826169 +1402505948 +2053736106 +581920906 +468683473 +655646748 +1129519709 +686663787 +720802357 +2121076561 +1792392629 +1520658937 +242049329 +429720974 +1790567810 +1302792570 +497100126 +2130499943 +1351848001 +1019346507 +711265217 +1827944271 +2000498250 +1467212385 +997047330 +216980047 +988798304 +430940849 +866332834 +33948969 +1700810538 +781675356 +1436454917 +1607062996 +1363596262 +1905138391 +115226096 +345632324 +444318530 +836028453 +319225237 +89227511 +209203742 +561274566 +518948485 +1999771552 +1864067136 +1016048612 +1982787847 +1068431489 +2035395119 +546569416 +748892112 +1888409721 +2013781801 +1745939443 +2105389768 +855096458 +29396644 +824238955 +889045427 +1730207182 +1605914311 +178016696 +1189786530 +822026925 +2083155087 +1305012626 +1167659249 +379989969 +2141041079 +1486884486 +469217480 +202761173 +2048159053 +988165966 +55049077 +1764742541 +2004214578 +2037836924 +685690383 +1892126049 +436922693 +1434582495 +1633052123 +303220846 +1033038290 +1590958243 +1158317304 +1062434935 +267713550 +2047362731 +645158469 +1873627861 +77895780 +1834945000 +548171139 +13567219 +992473978 +1715830388 +393557189 +986031410 +1055231227 +862774669 +1188792583 +955906632 +1850940635 +1243841661 +573165525 +1707671565 +1134194937 +1258855908 +1452313967 +1571117630 +545954756 +937882442 +1874338477 +1578993046 +381357037 +885172133 +493944333 +649070588 +785051217 +1139102803 +375214801 +862946997 +826564155 +923385940 +876514216 +1819038133 +491732681 +1270071405 +657585895 +1546963908 +2132846075 +1846378479 +355386892 +1836303062 +942736492 +928552417 +1396490980 +2076931429 +39924678 +701321299 +1500565412 +585879434 +1639203741 +1227420241 +17388832 +2020560778 +2112592374 +511333166 +522147718 +750159943 +1650435969 +897362520 +1613106940 +329516476 +1820748460 +342137509 +1070961 +164997493 +1612208914 +658656857 +1711961401 +1597571341 +357551688 +2067348293 +1286390756 +1300288180 +848417063 +535398088 +1229735961 +888341741 +1236719387 +582817725 +1474221175 +728439480 +1810237966 +1491610007 +601516610 +1775346693 +2002943173 +1123664329 +378022988 +1505895494 +2021026849 +1991129929 +1835411970 +1694291661 +185783790 +1836482932 +1859289155 +1797992704 +347656141 +1423766908 +1248080398 +705207829 +1343631554 +386987506 +2005496009 +44564969 +922385594 +1087748322 +932906710 +11621333 +1670566048 +259644237 +740060813 +1333320366 +1751254244 +1341577423 +961183411 +1606713770 +317758104 +1339206400 +965125616 +191301305 +1182852681 +653053939 +1885592967 +1368636471 +342053223 +1597398474 +1019145527 +689709364 +873681734 +119742277 +1394917193 +69829640 +506729783 +1252929554 +114394609 +1429115377 +193194228 +1047301319 +1440736710 +1863760276 +1306945556 +33313875 +1049596995 +910716153 +1374891299 +2010780406 +369946275 +1692649403 +1202503158 +1335071891 +1883950709 +237872191 +1988125830 +1622060028 +1606508662 +182695405 +1071974854 +478170542 +872404769 +1945656588 +597912819 +119838314 +2015486229 +1104642603 +1372767868 +2129880838 +386274332 +1565962097 +1029698510 +1827011043 +1282238725 +189160418 +1860324918 +184352072 +1099876571 +1087732569 +47648831 +1469822846 +632898325 +1250151989 +657411090 +369365386 +1488024181 +498053272 +1991425414 +947049195 +680748678 +915916620 +1425219737 +1553153447 +714089560 +2023132557 +1672991762 +582092141 +980291512 +898275982 +564489332 +1366565844 +316754431 +1594187842 +1046093239 +1598993157 +1783348260 +758934510 +1783345229 +735741184 +1846667079 +1830994060 +58080382 +332081756 +933662402 +715491472 +701447142 +274202935 +1213544745 +545388908 +1221252130 +1894293423 +1461305528 +498988220 +1299963222 +27911441 +374637129 +825471336 +610003582 +1354928641 +1723747319 +1174492914 +574010837 +2040501750 +621197108 +1620104077 +1492011259 +257061721 +231554939 +1127872841 +992802905 +2078222018 +811383253 +1050883287 +262820127 +1745045655 +1766374760 +964267269 +2019248590 +832435857 +1509656178 +1093017073 +579245632 +823478058 +1592005293 +1879208854 +851389499 +1966642422 +557196543 +1461393082 +1174087415 +133460214 +488402348 +1748098252 +26478316 +1109599457 +1220718681 +1518489576 +1366661178 +1452273620 +498878769 +211980435 +1383011991 +1310262022 +1262863722 +1645832118 +907824030 +881754834 +462615739 +779588972 +1714190691 +1972271917 +1872606045 +145952675 +648266328 +1317127690 +2025161530 +1499655827 +1136286464 +434874425 +813565261 +162890231 +568334639 +1301967610 +1910988484 +594812955 +264083419 +984223517 +2113302531 +1630744597 +289013490 +464697652 +1842725032 +1672025481 +1774959675 +958105106 +1170373951 +535300057 +1839859941 +1632989690 +1314889029 +1406566984 +1457777960 +1040011427 +1552519660 +2106044288 +209655469 +1430197542 +1458216467 +1345941934 +1865071967 +124298081 +1508832165 +285922958 +1426265691 +1272337001 +880735913 +1690349110 +109076871 +846554797 +1173610059 +398090361 +1311252449 +868851443 +2070115842 +938728476 +1826956549 +1093006145 +1474028533 +1519332842 +578512187 +641433915 +778416179 +2036290147 +1681445342 +183452191 +1994850787 +1891100811 +1613649733 +1305583607 +1089559097 +1331238052 +1429881688 +450907615 +1617161010 +708663731 +1723244616 +350413275 +251529193 +1832321487 +1196968072 +1425139252 +82928200 +360736874 +146507047 +5560394 +1299465350 +1973463596 +1098566539 +626010236 +1345312791 +1677078727 +1267444151 +2123728970 +1565885226 +801405845 +159697513 +1413252366 +545023008 +1773347246 +571352325 +1634582106 +957101650 +2001234013 +2085489721 +426779012 +562414096 +1661250689 +777192287 +813943289 +1346088529 +1974160360 +91598893 +1429016729 +187413586 +238105940 +1434577124 +1486878936 +64085888 +385660015 +2112889172 +1409398679 +2062738742 +1232849675 +1385644001 +1481140321 +2034255520 +1545341514 +746909039 +431794881 +1171205112 +1318261364 +2066376987 +2128306762 +1172011729 +2004383060 +407602126 +1734425825 +1518150101 +1184794414 +400885466 +716754982 +1011471126 +492484359 +2145771712 +1198884712 +730590299 +1432865188 +538280000 +794676187 +1818525203 +503685525 +56591219 +1733780298 +1736535200 +1442235220 +1067436971 +1623307073 +840093087 +1814346010 +2055101954 +2011298199 +985123726 +1973995293 +1992121314 +9651807 +1830894705 +252239792 +1744077632 +1201561158 +1437034206 +2144963098 +1918316141 +301021684 +489963809 +1916604205 +1499906396 +1220554108 +1201985745 +2038186397 +2015230295 +873027300 +394388274 +2071821514 +459323950 +2130923474 +1366573087 +1526760921 +1606746899 +59182526 +1193623283 +1514365205 +2070480725 +31263361 +1340876850 +1915118391 +40915168 +1024287907 +19874536 +1784992800 +78365418 +1456908742 +1782472250 +1996681559 +1757930427 +124952411 +1765802116 +1110353175 +1345506519 +820304213 +1001055924 +1213253167 +1693331513 +1395444198 +1137591033 +5171816 +1378884025 +356680472 +1531932737 +838147276 +415862998 +578072373 +205028834 +338860076 +609335734 +1545905684 +106494819 +650250903 +422709944 +126369355 +287760055 +501075362 +1583278098 +2070232306 +350273273 +1193724877 +47701069 +2116075389 +156594404 +1393207589 +788895954 +1157650329 +458977108 +334743819 +405610879 +1596568141 +339915635 +1784494904 +1953248614 +1871848373 +475158533 +221627964 +302437098 +680187367 +560488040 +911772832 +78609403 +666982860 +1562023735 +501319347 +793352215 +1849783791 +1002394709 +229146665 +1772532449 +1352667982 +1422871542 +1820233518 +1321259723 +1579465947 +1065957459 +2110155677 +589632628 +1524934567 +297415849 +995243507 +974019061 +637331484 +632254764 +779784027 +361696209 +1107413297 +1001411991 +664133307 +1787600664 +1561900032 +1575906140 +1866210067 +81399244 +990446227 +220045767 +874751459 +692746370 +1222440476 +1103898125 +317795171 +427624811 +379286019 +2138028690 +1748884534 +1958751966 +1056502501 +1711556564 +400900946 +433953421 +2008972413 +1396144454 +1407972482 +498820249 +2028399218 +40272861 +860516459 +988328867 +1041684852 +1524649766 +628445883 +456101236 +953072258 +347172302 +537500480 +1943518486 +567218069 +1412251940 +488781208 +1789658546 +368666417 +806576380 +69799709 +747952436 +797121422 +1818684243 +559220755 +1853623923 +1382757159 +960121701 +140093696 +1244245924 +208782507 +1548066178 +1743066174 +89698077 +1588339039 +456098985 +1078026944 +482540244 +1980748751 +1706472827 +938641480 +786337362 +2053645130 +1476141961 +582372200 +473379551 +740910253 +1071153408 +115554449 +1109576670 +1877729788 +185354158 +1857529106 +527367562 +2004038402 +269266213 +233507838 +1239311913 +1229387915 +373601534 +336074190 +1438170422 +1921667713 +2079140364 +1527868500 +1362523104 +387755701 +458411796 +1845063348 +221020804 +17400976 +636221181 +1007358166 +2071046106 +2112363142 +1589730366 +396942009 +705789747 +513400127 +512496459 +1815366417 +243646267 +697850617 +1525411875 +771013830 +554405371 +1794678089 +1004521668 +1793717285 +876582356 +1378123202 +2129791475 +167269130 +1152307267 +2061448191 +1695137630 +367346724 +301720244 +6065779 +64926424 +522741048 +23466755 +701147605 +1530099215 +2094512861 +666027099 +972345933 +343971222 +1371816846 +1485746060 +856467681 +1039699615 +1729392328 +1554318299 +417627843 +352922510 +2108723670 +64822284 +1357444178 +1754957307 +941404640 +588083732 +1737265134 +1108673770 +1740391000 +1651229677 +656327753 +2107737724 +1952949921 +662393532 +25180500 +328207322 +685860287 +726328106 +1858306537 +632889500 +1392355205 +683168822 +976860722 +616688404 +21431235 +1833328404 +1656388019 +1750823563 +1240163055 +2074015862 +2103746073 +1201403077 +2138838146 +1313706603 +808876737 +932759138 +1901790335 +398658223 +2041432909 +1494697687 +2049887901 +550277014 +1454951763 +1855354174 +1212670546 +1480132264 +36077848 +1898530833 +58976722 +1894384385 +383936685 +1451331927 +430069560 +1360797407 +2068020331 +451500795 +1046642163 +1576924703 +54840710 +139321570 +1503456917 +11103135 +1340724648 +1494811416 +1324809738 +2117737 +280086906 +1079116425 +400775960 +174036167 +426330465 +303180213 +724313181 +1881282228 +11050740 +1936983727 +1213930844 +47128588 +1688030912 +1272907566 +1941512974 +2071967597 +576755846 +224098886 +1285281357 +497292529 +675599681 +184439872 +2074217232 +730440391 +323761443 +1430190502 +741543526 +1664486091 +777518270 +2066353264 +1666603828 +1057605176 +997986041 +2067379788 +1231641344 +1424316506 +223076354 +1955954525 +1158115087 +234127094 +1745454605 +224562283 +281255682 +1286001869 +1497469850 +75285008 +1210485819 +2074225696 +299383894 +348283528 +424034577 +974983575 +532723400 +350768162 +1705423966 +856484843 +1780958664 +299483844 +373487286 +410993286 +218353460 +2040091114 +1468598462 +1216339502 +1959987255 +552756158 +493172360 +35579961 +361227036 +1651287447 +269707055 +2106681641 +1875849731 +550962737 +1245199862 +1225835933 +626247746 +308202033 +1152577981 +925631640 +656485561 +1576612558 +1900615216 +1189208962 +1927380720 +1458555534 +2045693805 +1560855736 +1758039379 +271697444 +1971849022 +1976392839 +164304910 +1292963837 +1045248693 +2124292165 +1845719995 +1538421054 +12388478 +59463383 +1042224853 +282095533 +18661376 +770590936 +833058271 +1263861239 +1996426869 +1459306017 +1572063272 +1001521202 +237454009 +81065186 +430650113 +2138069225 +1270274148 +210547185 +1449141112 +1168484305 +1771402922 +1059696843 +1440181749 +1595768296 +888606034 +1604486660 +741248485 +1933854728 +1581295177 +439484833 +1324792134 +1593683656 +498948216 +219533339 +1875779189 +517609593 +990124276 +561353812 +1781470832 +839067497 +2020659829 +1206050456 +1840588700 +110630191 +1287115642 +123755165 +101215768 +409906142 +334302350 +1550356880 +1578390448 +2105705272 +462570075 +871088549 +1553989921 +1351176110 +328091561 +147754758 +1137547190 +1909386739 +587239591 +314855676 +1355586747 +1086187808 +534389015 +1083882288 +1603797401 +1524513291 +1645236101 +1237784585 +216097141 +1518412282 +296351393 +2056685841 +1629042473 +1583467036 +32957358 +1730258242 +1993373178 +367259708 +1133131474 +1424279978 +325481333 +1595701550 +147884880 +1879471254 +799394012 +475976441 +2027226012 +1936941202 +237879532 +466981956 +104313230 +1593466279 +1553169764 +638702245 +529864920 +1009483517 +15731889 +27617373 +99784454 +231829030 +1546029655 +396135847 +141031223 +1027588481 +1979602883 +173988581 +610363075 +1825492414 +541248289 +1743494549 +1102288744 +866729622 +1191712451 +1250173624 +598717228 +1991106463 +1726150066 +478459593 +1780564017 +1964029598 +945441549 +1884877247 +1410012230 +351127665 +376095845 +1939877150 +1360611182 +391827734 +1967494523 +1460395636 +623656764 +1366040530 +1856531483 +764687987 +246145363 +1688650719 +938676568 +856508438 +1366659485 +1479924857 +452519340 +321464581 +199170832 +1644231791 +1571638206 +797888060 +1487854607 +1150304624 +1276347653 +1120934976 +966850574 +74305554 +858328576 +229379156 +425433219 +1234424421 +21772658 +1786044401 +1626252155 +1989267181 +1098956389 +102425271 +1207824064 +808004225 +867113258 +1453969427 +349171296 +1805789826 +162994218 +1715830781 +1138231035 +615513558 +2037295362 +1337401867 +112261701 +1461449920 +2135289928 +1600116308 +464270896 +1264153933 +573567637 +1431121471 +1338459488 +1431896213 +1660500627 +1763892707 +518836986 +1682273286 +1402453461 +2145089141 +1524056819 +353926202 +100030764 +584397235 +1161930427 +967144022 +2038366663 +1511101723 +625450200 +53877233 +1079448856 +1763681235 +669390791 +969260571 +953599455 +781652492 +283226843 +941405735 +234285153 +747497740 +58076020 +807852790 +31135563 +1396535508 +92265355 +1691636190 +1012944568 +611102341 +1226425828 +267914381 +608707834 +602999000 +621840583 +708738598 +1187396235 +1783771011 +1675882620 +1078279250 +1147389086 +153849172 +1132156483 +79354295 +1917530407 +1801547274 +1048614866 +723646214 +435716119 +1331841709 +1665051949 +670001272 +2079339449 +1723127970 +1477854062 +2110475012 +972179830 +1570119417 +1654627555 +1985124398 +33738110 +733569735 +105555131 +642445944 +1336568735 +727395715 +1351184542 +376481323 +363683078 +879583514 +1454760573 +1511072164 +1033432686 +439433409 +1590426459 +803479445 +93497035 +491557677 +1527125660 +529213154 +1823399387 +1044693961 +1199214426 +1755255188 +620338283 +529584840 +1718246553 +1592518114 +2099704257 +1225390460 +1430158864 +2133442367 +1958960195 +1535713996 +628404663 +1148045283 +115626063 +1979589205 +1524526606 +479309141 +711689071 +831803531 +1990381305 +1745121757 +1271236940 +1433324117 +401117555 +1364733976 +1924881794 +1928243215 +1893947130 +1600797533 +825453528 +945677909 +1208569074 +1445791812 +1475262749 +779331979 +890826278 +1427483359 +2004722439 +173501494 +1413442078 +1816198986 +1709215490 +2041846742 +816760621 +1824841553 +1873952299 +193803579 +156667046 +438157723 +1025607111 +2147048352 +35795832 +149360403 +1432888821 +436913387 +1514094379 +1210286967 +217672954 +1260557862 +663600853 +1043126483 +58752123 +1872169927 +341434647 +1534014872 +504018258 +1232260925 +814014583 +361257049 +1405762419 +79973014 +29972387 +967494262 +2121819756 +846733009 +644852167 +1848288407 +1040536588 +801519214 +138962482 +2066143699 +801083918 +174758315 +68020455 +86489091 +611671702 +1582114834 +1296776058 +829344657 +695189048 +1960376911 +1872471140 +753941171 +1685063190 +66422139 +140472396 +41597800 +1298683064 +954486979 +402854849 +556961835 +1034459993 +432827237 +1524456097 +1008796101 +1279560246 +21824617 +709600861 +172613186 +823343831 +848563343 +91273238 +1624427749 +1023321658 +159293693 +1710916840 +1634993361 +1741408527 +860209250 +316854370 +289113928 +673102514 +41841862 +1043055099 +210682056 +108264001 +1183527495 +252279857 +1406947065 +2138014475 +655134706 +1963908900 +1024990820 +1087961943 +1340881350 +2033786922 +220038541 +1362705967 +595904135 +392651728 +38566150 +1444467478 +483924966 +1662993899 +320305489 +643218659 +1226427091 +1955298850 +237143538 +2086636341 +124669572 +526257466 +612255207 +166511434 +1569312566 +822937264 +274775435 +605356413 +1075217121 +1681722500 +595887240 +1730351827 +1498147752 +1620878061 +670830123 +691545454 +1507181335 +890868664 +2054251421 +2103085470 +1283520392 +2092817571 +1400069300 +1767445358 +1608327822 +1720374789 +263180369 +687271265 +1528189991 +500323908 +626423959 +1652859563 +1026581374 +1238679166 +1819370997 +448410292 +2061616430 +2094146432 +1053766706 +989349903 +1628385284 +1649653946 +572218083 +979049389 +1123048359 +1243048206 +1670594843 +482746046 +2133916870 +1577362617 +438347868 +1269953615 +1522696540 +1838417169 +889915325 +983540715 +1411308310 +1153095695 +1670811980 +792014654 +1653419603 +149752291 +297390569 +532517329 +1388431458 +2116761567 +980927622 +1302564240 +2063424351 +2034694328 +144430496 +1544325988 +1536864626 +716648579 +375891729 +512429338 +1959696785 +2046486572 +995175384 +1946130007 +1476365541 +1433523253 +1068599974 +851578434 +1124456774 +1958515300 +1835119149 +388281436 +964127347 +1358447481 +1180296090 +470063302 +1508199773 +1477686660 +1002580631 +749147583 +1446964579 +1983508253 +2051711823 +1362905282 +1870718933 +48658671 +759747622 +1260099912 +765307250 +1135639351 +1772529250 +577520387 +1034642276 +620220986 +376166747 +363524169 +2053744239 +1444766721 +1215102603 +1030717365 +1255798373 +902738104 +1418998802 +72442072 +113701938 +451811244 +542505374 +1621901711 +1929497904 +1545086006 +223565646 +1228978835 +1381110611 +127793821 +444400470 +1104345897 +176452493 +1204148092 +216962161 +941759743 +192303796 +1989491411 +1519280131 +1226946072 +462228749 +1895446878 +1590470241 +368489341 +1192729951 +658089197 +1399206706 +301044677 +1560827301 +670721860 +373486749 +1674529239 +1122533105 +915992124 +1148947302 +904547361 +313594482 +1372512948 +2133526197 +1694705093 +1500306770 +430443019 +651567342 +1676759263 +1634591111 +868529503 +471035358 +1826894907 +710537266 +1990315489 +906357331 +1172766016 +1738278719 +349343925 +1541255357 +783525023 +1007433122 +792978415 +1084569700 +420776775 +1463700276 +1458056449 +2095306015 +438749733 +226564925 +1096769669 +1343297094 +540159407 +321798970 +1329339643 +87380853 +1822105740 +1759782662 +738948195 +1351381355 +1246890126 +1607477699 +1822416713 +926301385 +170531317 +1665248555 +1832658717 +1343297333 +1256043626 +34518994 +737069042 +2039568649 +1041952116 +1530047458 +976654701 +1462728891 +846264086 +287227503 +1410551258 +1285013819 +513792428 +359837280 +480827265 +1053951836 +681636250 +1810166909 +1141332689 +356258342 +1422465923 +1880280884 +1707639697 +521872401 +1340274935 +1382572762 +1448173787 +1510806253 +900337669 +1133348856 +706619938 +8897648 +1167867850 +1443688981 +2048466297 +62336318 +826252791 +877637351 +1525065209 +1672516877 +1164864854 +788132820 +810047048 +1678657282 +1147970100 +1290874313 +585125470 +1829606350 +953557574 +1726458159 +38381044 +228539850 +1459255396 +1746020741 +750412251 +652046683 +981109855 +51102390 +15369288 +1881447525 +1184451246 +721989227 +1890345173 +204835448 +18194560 +1791327822 +267171766 +844447351 +521481525 +1792236976 +369480580 +1686346379 +432886148 +1179527628 +1217520014 +1580856248 +322918293 +1802645484 +1262978950 +1276475868 +1381619996 +1301359994 +1505015718 +693391744 +899897087 +107944321 +1345438427 +1881006942 +159046712 +1360807716 +1614970819 +1343497958 +2082796943 +1357832344 +1548333407 +2100991503 +1001676519 +1815505173 +797955206 +1523158044 +1460258501 +1167435786 +1062020776 +1893144649 +199479766 +132057142 +1326517249 +522398059 +1934702626 +442012551 +1798873927 +1168838974 +1743372545 +1156405997 +1862230718 +495785984 +1264350319 +1060185498 +229309279 +1423397031 +273509566 +1844280098 +619411341 +208822861 +1054628795 +20261100 +162330716 +2056305314 +1835766274 +960285922 +1431979710 +1148541127 +2127721708 +346516838 +894202129 +179717826 +478573980 +73235730 +702115885 +265792959 +515248282 +353506165 +1434631933 +111137179 +1509912162 +1149379004 +606923164 +626778833 +62080854 +836232443 +2050175864 +335590420 +533028893 +522103558 +544413281 +1587657688 +542364658 +706743997 +1496479354 +230647284 +1667029919 +780975417 +1379188412 +1647267979 +1127492255 +125906893 +1826985805 +1606066236 +199142623 +381618042 +1871859195 +714390905 +735124207 +1159007480 +825528085 +97552722 +160902836 +1432451249 +724331555 +222983690 +121200044 +627023772 +558574110 +654228937 +1149127330 +1102987391 +94402978 +1691491988 +1809731388 +1590882332 +1922139273 +1329277659 +224374101 +1153844037 +829061990 +1351866357 +1279750930 +508564147 +810448945 +1478893553 +890182190 +534824492 +45800811 +1625306397 +1693831972 +871328896 +1722859119 +1854734809 +156296497 +299707027 +2077718499 +277496541 +926730799 +488808962 +931725478 +2075858129 +1591796353 +1026128456 +1619866469 +1254044094 +469527141 +1394522094 +435838105 +693901242 +400882483 +1264900096 +2045767599 +1680633413 +1773464243 +708732896 +1012043319 +516162785 +1243557388 +1057844130 +2141469183 +789905713 +1929173026 +1716844654 +497156874 +2085469523 +2016551681 +427391725 +215482416 +795798832 +916200687 +1147207894 +724173313 +360513393 +25852703 +196556135 +1614557487 +495379844 +1591078229 +2050395592 +1189281086 +1991960713 +1167812040 +1087565038 +1525110478 +793792636 +1796297934 +389670149 +1309955421 +892371675 +1447514279 +1303940956 +1682277388 +1229203657 +873301963 +31950614 +1167189532 +742369996 +459342339 +1382671948 +1538168829 +1375543027 +382396195 +114858494 +1736056420 +408248898 +311414629 +1203130259 +903628742 +1902492859 +1106042203 +2092909828 +1746969924 +126370596 +1032991218 +1124596754 +920163232 +681805505 +1514266904 +82635005 +1574177180 +814297535 +1386575962 +1108970920 +2043501193 +112394277 +1140921534 +1063207077 +854764273 +1600263873 +298395378 +245449454 +828323252 +680791573 +360307949 +416896024 +1089040471 +671722578 +1620026283 +1992669213 +426731789 +578584839 +1938095393 +26218065 +704955435 +823602964 +1150814820 +1625118667 +1505408469 +517598076 +1707753672 +932102001 +1331895611 +946845986 +2041072921 +1227913156 +1059240263 +1034510807 +143636586 +1914004537 +487291032 +442031964 +11970343 +1315614285 +1122823537 +372278292 +1732510309 +64380360 +1044000871 +1205052945 +2057049573 +1470732660 +1783637784 +1847661318 +1496950726 +341109571 +523780634 +500281898 +1966228238 +2029189103 +1017879974 +1526498262 +813807456 +202291937 +325860601 +707396729 +1430205094 +1385100864 +1741907536 +1573841680 +1151621753 +81714921 +2015873644 +1163592097 +1397329206 +991213533 +1535870389 +982355867 +1055593893 +432387612 +39925164 +965159818 +1903120273 +1823562948 +665337488 +1252587351 +17188871 +1189118123 +1752869249 +1983417109 +1070823578 +623265575 +1362431724 +1884631035 +825557512 +1688292325 +444544116 +108278958 +925909541 +38968005 +1682120638 +2077531295 +120682926 +1550510634 +1093639744 +1518012132 +394240519 +482026485 +352884351 +1449834412 +914414098 +392809516 +267510582 +670050723 +68888816 +932848071 +1922638074 +86077688 +2121966194 +1528023675 +2069494797 +1045306124 +3805602 +1284442873 +782453511 +829363114 +825251550 +1226997628 +937642073 +1751161092 +1265965633 +472279063 +1681208739 +1386648559 +2022789698 +627364835 +757177043 +269546569 +1109391320 +1110061394 +1719380982 +2023805418 +1502870910 +1986891564 +546372493 +1571759727 +772255987 +321526919 +1657837415 +746738533 +1849550594 +1579848564 +1792044658 +1853356196 +716807790 +427014521 +535235663 +1542059340 +1654012149 +1472877736 +1145736784 +772494134 +1945156799 +679461875 +11659045 +1820462849 +1306826710 +768836088 +2090009419 +268734383 +1878897483 +1661906753 +145056153 +1234284745 +1501314669 +691428647 +658560824 +126087009 +1012955566 +168914591 +872825542 +715022513 +1748763156 +517386552 +420895061 +318087298 +944401074 +956130724 +1860146638 +450929575 +281524812 +858399775 +1223423710 +79197964 +1537861650 +1235082755 +1899660813 +697204713 +2003918844 +1842186584 +965939096 +1735332679 +1356609689 +1110995249 +822133776 +710440711 +1802423896 +1480694601 +836527720 +667895815 +1649609192 +1709353262 +1382918328 +1250888700 +79256167 +1803813389 +1568975998 +1023657241 +612460466 +1281638989 +1474586816 +893985278 +2140038764 +550526878 +973183242 +1530416766 +1785609634 +725360408 +80137831 +1642044830 +420063344 +1046076927 +1229893861 +1776673034 +9588529 +2052027637 +339630097 +1812012425 +1385238590 +1176157817 +332424592 +887364135 +738027431 +1715342920 +2138252835 +817283598 +1371672662 +1559745186 +1840940839 +1984133128 +693900527 +1168044008 +730634758 +686455643 +1718570886 +1703818001 +69388761 +1356696872 +281694761 +149526593 +851258054 +701758105 +1195603520 +2081151915 +330947491 +1205192049 +1985695905 +670577588 +869720827 +1223450847 +1846735405 +1202145419 +2110814982 +437279189 +770004692 +2101584170 +1254562787 +2141677354 +1513845708 +948019979 +1978326834 +60262587 +2116063987 +561477944 +746718230 +1687151225 +117812297 +816106991 +896364450 +399507058 +965633584 +1747622504 +1101265164 +13753457 +1681290772 +1432212655 +1218945506 +1519503029 +2102790244 +2088666333 +595470228 +1802042001 +1143328105 +558801563 +91837542 +1913332797 +512902085 +1346400330 +1907526503 +2026747793 +146936661 +1738369689 +2087010380 +115517000 +152363985 +686244962 +1802668225 +270176283 +1502351953 +551549027 +669683341 +320501890 +151687884 +1770948505 +334255347 +1832978656 +1055677513 +1553200853 +1204998037 +1010984109 +1494383539 +1800468265 +665542462 +490227996 +211786180 +757380005 +256077145 +724688265 +2103780335 +16120000 +603952410 +103233348 +1754489689 +543479142 +218750348 +1906853674 +1229724104 +2021418573 +29546309 +584592410 +425483953 +699229651 +905094300 +577171837 +322694508 +1239349647 +262666845 +1378372021 +645066852 +1467664882 +241872482 +2139450391 +1120649499 +907414945 +482194739 +1332435680 +1664794950 +738271884 +2057123945 +1621091637 +754391884 +513592708 +1724324985 +361397925 +1057071850 +1943075333 +120767952 +139312307 +1817010258 +150314261 +723904717 +95010563 +849543912 +1628999017 +672182400 +1172238421 +720865016 +934849245 +403126794 +1365931868 +255030479 +644999277 +1357898612 +1375679979 +1552414222 +1840093351 +560632011 +1069725524 +430881588 +470272308 +543333513 +1185273472 +983865016 +120174850 +1546671398 +2040936867 +2063250183 +1667439350 +32765526 +1732776793 +1817753611 +756670243 +1827787357 +519813876 +238185612 +352486109 +1692052297 +959050628 +1287335355 +2095179091 +177498848 +1542365834 +592694720 +1535397460 +770562165 +2145108942 +1228007164 +1331194176 +1067350818 +1658888752 +1801466485 +1610684331 +696678576 +637847853 +1730859181 +95866326 +531301072 +1646625716 +1763305676 +564066598 +1231918862 +1433575640 +1320736841 +912222571 +1953389516 +1558922453 +1264708680 +1497958165 +370489433 +404560387 +1445653608 +547988282 +1946926222 +2038348329 +2083385742 +570004739 +2035973623 +1163909258 +1901198916 +955840794 +675314362 +1555181753 +419041477 +1371992939 +45545958 +2417011 +1467859265 +576847031 +1649042727 +1083681294 +1140913629 +733477941 +369773286 +314166823 +1645700512 +175679154 +1873089276 +762925545 +1673637319 +96095062 +1167485932 +971807279 +644083344 +966928506 +862671960 +579985438 +1536933246 +751161936 +1743894697 +1290648514 +1707002730 +271725411 +698346619 +2126044207 +1643718350 +743892577 +2128461218 +964093968 +1320739608 +1630020298 +2047775262 +314169590 +216014591 +270064900 +628336413 +1861715104 +445744054 +353942041 +477157001 +2119381373 +450037103 +1644642933 +943705004 +1094120447 +464087792 +1806376965 +1674105886 +2001021038 +410055253 +1270516935 +1144185904 +2117057983 +1542242346 +1842532523 +2095618542 +1038477049 +438941452 +2076596113 +2002571017 +1759681061 +1559132763 +1902862631 +2073850651 +1775147354 +25443883 +554703416 +1489378810 +471187937 +908645457 +1966535811 +443085662 +1358682561 +1463695097 +1386790666 +305319360 +1927782889 +1045683983 +1979425246 +1781320279 +1455739236 +1102458533 +778022535 +1425313571 +497217232 +473071410 +1373448466 +1535694281 +912012862 +1302560931 +1390781650 +524210275 +714210046 +1146160633 +450577278 +341873752 +1171604516 +1005280694 +1831252563 +1642792453 +1913926152 +1650304726 +2085878115 +1125125065 +966516175 +1325185133 +1430444425 +746815416 +223385469 +1262386024 +380652047 +1679124705 +217360909 +1158674582 +956954629 +714578141 +1631745992 +182919447 +102788774 +396275207 +1485480378 +1493570424 +920485482 +52206776 +492247409 +1371062761 +394080528 +1663851925 +228859807 +77849443 +1159160730 +2142785959 +1728154170 +1097555197 +1120427376 +547186697 +275256683 +403388154 +1294002114 +498642152 +1665774178 +1674654161 +30283209 +1883135087 +685845096 +987237838 +450229581 +170107440 +1170157285 +553018355 +566382647 +508154015 +2046588780 +1486868130 +560360791 +391352541 +710447243 +954441320 +2055204467 +939307050 +1032290763 +1066881549 +934609362 +612961285 +16953099 +2055036738 +1160147983 +292209782 +310941244 +306666449 +790851934 +1976715422 +1981320610 +821135143 +1712366862 +519682058 +1808372982 +15112795 +689789499 +831046619 +568131150 +1256172146 +1339200635 +467236282 +595556628 +1899561426 +858588824 +1306003871 +706519098 +766309643 +97827274 +1738809862 +1833191192 +1032436636 +204287499 +1850144291 +939989726 +1364435482 +2142354073 +1250930971 +1671101931 +785722359 +1080162745 +1504938894 +1606857503 +645045959 +2024620952 +1267746837 +660158754 +566926803 +2098793456 +1228289905 +1823098950 +1290510443 +1695526187 +271171930 +1042588222 +406631363 +1577175802 +1749107320 +1172941006 +1675003076 +1340433534 +858648551 +559956064 +1544721034 +561309194 +1499945790 +761672868 +556179620 +603393113 +285291152 +1341901979 +1683555859 +1790230046 +801275834 +181118170 +1667367350 +2069022671 +841276925 +86810506 +2020332480 +2069566830 +1909909456 +1163359275 +1617609369 +33597738 +58463849 +2024240733 +1610773540 +1807571170 +1049698091 +1138292968 +1000521056 +1908346642 +1698249032 +397758442 +322172189 +1050711175 +1159431311 +878351809 +1654104288 +1444722463 +72770140 +1190176499 +1087468861 +874045975 +1371294670 +607352563 +795584998 +65087947 +694163069 +668433830 +2134654777 +456588877 +1831793106 +1604780498 +490186616 +1890256955 +1481537583 +2100960156 +1550344477 +383752027 +1091769477 +403381886 +144615021 +642534861 +801140328 +466787210 +1693246036 +1960571639 +1345139019 +1199866677 +1257810454 +1417909160 +242559528 +197795667 +144471487 +1613854198 +805148231 +940056485 +1678942145 +1499311300 +1608490316 +1666113274 +1955900178 +1292799774 +1123410125 +298603146 +1035573081 +457464060 +252079654 +438433911 +841216087 +1343849131 +841815797 +985831109 +1986383993 +1642956125 +1452618319 +1532146381 +1456044117 +650273691 +584529410 +566370923 +2068182851 +827088939 +764166591 +65170690 +293459489 +1569314822 +1005227175 +1972401635 +921142474 +466233843 +1491031261 +729559004 +1759033617 +466957738 +1028162150 +647123051 +924421799 +1280241805 +1085556962 +1765637886 +476607288 +1927372759 +603985347 +315507633 +1422845236 +2056603667 +1847654015 +731405705 +559393710 +284699777 +1297776629 +480092913 +1111788716 +2061943220 +545263603 +1405248206 +1483774394 +1550490778 +1230166193 +257433220 +2016724622 +573713806 +986992225 +1628274591 +1040671545 +2015154375 +127913994 +1965093344 +1147912532 +1213470956 +1583247582 +1624519821 +993360067 +39749282 +1940027454 +268721656 +2096352949 +1640197821 +1000127361 +508263011 +1924897599 +150420342 +988355924 +889202667 +64879914 +1533619527 +146967225 +1548654308 +936626657 +1377133418 +1806087529 +805867631 +1950847225 +645596106 +286658575 +844035122 +513266833 +414572569 +661644818 +1661179366 +1628043526 +97408752 +1138215539 +473919945 +137158034 +930759345 +742641601 +86027335 +423473519 +1742768963 +594290346 +200887470 +1893189305 +1582646270 +1090090137 +1958069220 +968782149 +1237057363 +1359239880 +1905408807 +466707133 +1017843761 +563792790 +270070710 +1663439867 +850451365 +1114105832 +29223053 +1265023935 +1775750650 +1690402419 +745583813 +1873159403 +681134310 +1219503758 +2010317437 +1611893655 +1962145360 +2096344773 +2035367174 +1557430675 +543151471 +88770996 +1303136332 +2125797742 +1178861134 +1113721904 +947096243 +268434849 +325478137 +705021402 +735141982 +1343321898 +1268814193 +1005212693 +859278118 +2119265558 +2119318525 +888501171 +1236805845 +1747585528 +431419942 +1982389658 +1473261283 +1112554252 +1054409769 +1336095072 +576964259 +869071481 +1284956197 +464847786 +279018508 +1828107669 +553618782 +1582154840 +1806421763 +1732479916 +548393097 +606034358 +2000914765 +873871234 +1311055761 +588573100 +69709484 +432386306 +1593785793 +928987602 +404168216 +1565620670 +1817488773 +1640974062 +1165722550 +101425067 +1475880072 +491500185 +1213979319 +382806193 +1827595258 +1790943579 +1251877674 +965067807 +108307717 +1530896182 +645691828 +661926499 +965567375 +304629943 +246922768 +1513960472 +910664302 +100353885 +240348058 +74236415 +688926985 +310057542 +506622721 +135229130 +1239045145 +910790937 +1700849801 +909050270 +404281351 +719088703 +1010475338 +1880161424 +1210588889 +76971009 +115483969 +890700499 +1867914588 +1367361644 +1855768306 +1976222305 +750774178 +353976487 +490665157 +1716341553 +658606430 +737587925 +1082818377 +1569270732 +837941810 +1323166435 +1643507147 +1526868796 +1633223978 +2646220 +1662097926 +724785475 +913437158 +1215464079 +1633835745 +1317718509 +1934552783 +496827435 +1050396285 +997658024 +573798445 +1165880255 +1888358523 +294229385 +385758251 +1596643181 +122968043 +1136532429 +1950619668 +613633200 +705390335 +461742451 +1351221125 +1788208712 +2031013183 +41679287 +963891500 +1527036683 +1568548083 +449631830 +1529682903 +1083162362 +1174417305 +295636413 +151142793 +660769402 +1613354923 +2085695576 +1157596838 +516267560 +935869952 +1731395283 +1682147815 +676744827 +2025624668 +2067906066 +125904361 +1109063 +1056954848 +2076524029 +614742263 +1762345183 +390782832 +1965963388 +1403070247 +274312368 +2007642676 +219478099 +1801349051 +1428707111 +669109929 +1183548306 +364385825 +1843527234 +1479184720 +515528619 +356812989 +945055995 +453740547 +1514409827 +1461323555 +1389610500 +1098321462 +995987723 +2066355327 +976462482 +916410141 +44776040 +977571546 +1973364989 +2121300070 +1592313809 +1588226524 +364599254 +1410793550 +843813124 +638911622 +1270952578 +1063291223 +292777025 +552176041 +1732401153 +1476325332 +916561867 +1428444739 +808026404 +1432090486 +1785257728 +1753082399 +1885831033 +1152183907 +1066922306 +1127957885 +103021721 +2062910029 +1046829565 +1079484204 +831836523 +1091605605 +2057055750 +657717864 +1065422027 +1501885911 +98460741 +1430021282 +765195813 +942273865 +2068932904 +2036148391 +2005565088 +214226282 +440840785 +1590482593 +1690551614 +1357402652 +871443685 +351094370 +642009490 +509217765 +2104176769 +380356875 +1661401673 +1023615427 +1508314761 +1764423394 +939041809 +407660678 +696423950 +1770878332 +1499266283 +605996052 +281112548 +417204663 +2107881964 +379573289 +1847225945 +725594129 +1321847154 +1768675201 +614258873 +1179928595 +1982901483 +1055099658 +622927540 +1525969449 +265018662 +1494371225 +1877063819 +907028152 +2003588991 +1833756940 +1287385027 +1517507016 +709888720 +648216140 +1134446762 +1648930529 +1055876818 +1830870713 +1272325213 +407659454 +289383117 +1553437761 +824864117 +249781433 +1933011051 +524606414 +975375563 +1107374557 +145797967 +1589634436 +139819504 +2128699451 +497250446 +762747045 +1507185252 +762269108 +109634622 +1236765424 +1669297260 +2113223613 +923038716 +809198639 +1483246981 +1632927436 +1457414780 +470210096 +1134374317 +365807950 +153597161 +259215882 +773467404 +442980278 +1812653644 +1598331521 +692761712 +1598181047 +2122937935 +1668137275 +558071956 +121252255 +1110288063 +697891461 +102468058 +1607538509 +1460638506 +1609653310 +222323969 +1570273128 +698935086 +1891621229 +1536013094 +1621973803 +553336220 +871776427 +1107417591 +2010751000 +1341986523 +94308261 +229075303 +1495583684 +353524143 +1002542707 +1938563963 +18694139 +453390581 +483842027 +1616875186 +428844868 +4495654 +27463495 +550097123 +1114783717 +725354956 +652565181 +574838578 +38509814 +114734844 +797162547 +1608782942 +813669930 +541300128 +997312388 +288160085 +1094636348 +1869088816 +1395577677 +957903701 +1063591691 +1489885938 +1186979004 +411691728 +1843410081 +42038063 +202772043 +1862104221 +495428644 +686614070 +1331495759 +924273513 +691109724 +1358959254 +1474370636 +1805893441 +2084314210 +2126935818 +233248371 +2122824024 +94187014 +1030410918 +1584123319 +907856944 +1571711046 +433952059 +1196017030 +518863746 +155557227 +444111059 +1476767447 +1219148919 +1933996997 +516262803 +1630840647 +1629923430 +558300867 +1833612690 +1344544003 +1053729511 +372743112 +528556115 +1978003024 +1063852836 +1887515369 +1304890013 +722262629 +1824345932 +1284342183 +955511000 +1799686308 +1378529197 +1985921918 +1236325979 +138902493 +1410149316 +1670278039 +1334919523 +1929013062 +1825835266 +1779030582 +1258296862 +897500537 +1565543931 +1774559665 +380857536 +1047983714 +185376884 +66986578 +245044069 +1239106396 +439729690 +773600184 +1069625772 +1503582526 +513631906 +227032137 +78361507 +190494190 +1511374320 +1033872507 +1990180498 +742419869 +872310777 +1079022830 +881322363 +134976445 +601817221 +68758238 +2063989508 +280168839 +1847788821 +1174802722 +1177669377 +1265849104 +801878739 +1558526913 +166349170 +987255624 +1625513492 +411393240 +78878372 +2065243182 +1184993424 +1148504144 +1421342061 +1698625330 +1375536282 +1499703568 +1889119520 +739426954 +386092428 +1731816371 +1481846824 +1258403205 +663355553 +215685539 +1393379651 +1265172774 +284443777 +1309885511 +1545341613 +2132232598 +337204585 +575527342 +1250598055 +1139083324 +2134054256 +1416947225 +2126338948 +1612084100 +1828340465 +57733672 +1529843634 +865850242 +1206237817 +803702047 +416991924 +434290451 +155921968 +158627797 +1173717405 +542014396 +1890444168 +508080581 +1800417601 +406316073 +723766120 +1046313604 +1671488847 +1008209898 +208715467 +1069346812 +992958848 +545920052 +1644874155 +96073255 +1685003377 +1631444763 +1513020481 +1663858677 +1096045215 +1193877298 +1721592350 +478405201 +2059727540 +780346519 +1282107249 +329235817 +1214636970 +1438029217 +487863614 +240870727 +1980043613 +230824134 +748951309 +1632977566 +637140207 +1472717429 +531807523 +161145406 +333443679 +740522990 +1230492218 +1326402528 +1286443043 +727882725 +1422475783 +823962772 +211843840 +788012616 +340337801 +1307889055 +1981889915 +2061930151 +1786294257 +1894133807 +694793022 +920917858 +75885976 +1909429992 +211463427 +563749590 +2817072 +44023392 +794573724 +751768381 +1677000958 +1431713931 +77002162 +61324833 +1592859337 +410445842 +801847824 +675867908 +1736848370 +2088290867 +1403750633 +1011840505 +764769991 +1615594474 +1799853122 +1105107792 +775999881 +1634259389 +1019554296 +414810490 +1380909548 +1714347318 +1335728348 +1456795525 +1476293663 +1547191775 +2020545115 +1479110735 +1591215167 +667635192 +83395468 +1120732478 +2099349123 +160397630 +1182057311 +1544724813 +570843472 +1983905135 +73109073 +160208194 +1924712354 +1476859706 +1172048700 +541998697 +944970532 +824418174 +1647106490 +1720970414 +311193915 +519177138 +2135780904 +1692103463 +86040808 +1324025605 +1001415340 +1562334471 +723733732 +874476808 +893961558 +167465252 +1542112000 +977357026 +1288197730 +1493977475 +1137754657 +322771393 +891218640 +1708598129 +159192881 +964327713 +1868806324 +2083905235 +293703772 +893371376 +478420285 +1238674304 +1717789550 +2125526775 +812161070 +2028983465 +497220265 +800458327 +1573603280 +583261073 +2124483932 +427534973 +2145595545 +700734016 +1302011781 +892073455 +868199268 +696640133 +1869430482 +8913350 +43133960 +859701491 +331684744 +934352601 +420815972 +490877625 +1898680314 +142138648 +427299212 +44900438 +1035510024 +905719497 +1283574743 +605815926 +883762624 +2095735813 +487315743 +1380982889 +748710492 +2060919024 +1964243963 +725710776 +340970349 +1962355860 +1426444793 +1642982130 +706945667 +147160413 +192138615 +428892501 +156073764 +235272575 +1288593992 +487758508 +1169625176 +1709409965 +978636133 +920821843 +1851548613 +1405935345 +965722281 +739574990 +164171195 +101813376 +1345390916 +1047933819 +50065542 +1832706660 +281433061 +798776034 +1746142036 +98193376 +1524486811 +2087112385 +2060549236 +803447956 +1582610867 +620011255 +950608369 +1774749482 +1048903757 +1106682133 +2010022057 +190014101 +1594440641 +1032163586 +1899424066 +425593126 +1952985429 +1603489032 +1831528472 +771224062 +195580374 +1995699667 +873037439 +1540971290 +896149838 +923102981 +1226194302 +1177582899 +1721879015 +824852690 +1275776275 +1098882178 +764481427 +1188841863 +1902330134 +199608646 +1808853119 +705454856 +1974358128 +710273228 +1812136989 +1836896538 +900287329 +1259093983 +721576476 +652227748 +1684687109 +527078257 +108233132 +1368731933 +1298302319 +303813506 +1216947952 +23856110 +1844784796 +2113097791 +946959091 +923495451 +1143197042 +521354459 +1748348141 +271489670 +1620236637 +365345921 +1460331533 +1375083124 +564954567 +1121701004 +2080537980 +391829048 +1831974232 +1745191321 +81241938 +584777914 +856801656 +802818414 +1237005662 +394005118 +1329896671 +1345238794 +1762737051 +480715342 +1649052300 +832201356 +504571453 +1346353448 +797815499 +1451530544 +122365251 +1941012541 +1972885003 +1870713393 +65018563 +1445637993 +88575666 +1525350097 +673237469 +653530233 +499567453 +606291801 +1045359281 +184058038 +203999474 +1126601219 +768835952 +1060801131 +1929419633 +2005841614 +1454806249 +1111832656 +1203596760 +1070059652 +1592547999 +705165412 +1902261008 +2097119452 +2051518860 +552592859 +1401166348 +26400464 +346121753 +1226567704 +1897113857 +411140316 +524722049 +1985689523 +1936490413 +1197959518 +491736108 +288574219 +1804251319 +1537095390 +472632257 +2008250793 +516212961 +1241468209 +921568276 +298148947 +1099826175 +228890877 +1409981603 +155939287 +1298950530 +855045954 +861104699 +1053727890 +804681758 +765139911 +1606320750 +58364459 +791540375 +1952442503 +1284932163 +541170584 +216099171 +1809654212 +379376459 +5105937 +860130082 +871112568 +293680156 +516897753 +260724310 +766312413 +377664898 +776937271 +2007780622 +1299233175 +1075086218 +960123149 +1528124052 +337584174 +1116062436 +679590934 +1192630128 +1977167135 +1733318825 +1997311887 +594823398 +1192155927 +2055676346 +1386363774 +997114782 +1193124861 +1927534358 +1213213953 +855295425 +159427170 +1218319890 +1715425507 +1030539738 +1512000046 +84839612 +1291264048 +130828811 +462504510 +2068201319 +2138609433 +1761737685 +995803890 +951248934 +1142378090 +1333388064 +2067311370 +1821969024 +378534544 +1896994857 +1407804201 +228362783 +344334608 +452476480 +136555481 +1730698382 +1449591262 +1329680342 +1510749092 +515321568 +37492119 +1670176262 +1733641458 +1752917626 +553232352 +1098157857 +1837757238 +1844496400 +1228986668 +152778101 +1765214072 +1220112454 +1914515786 +613534314 +23877740 +909410228 +1946922378 +2091189111 +583895605 +177973274 +1840700320 +1991699806 +406336058 +37551280 +296692639 +542891539 +1768249662 +1746283901 +1872571882 +1131515107 +114121821 +1910064001 +654207721 +1847763280 +1515497980 +1207440074 +798437489 +1205771570 +904452826 +2027424157 +1358549671 +522183250 +1100052963 +1125581810 +1135717564 +1123930704 +2034992038 +935156294 +1067636167 +471403995 +1113129569 +760852839 +315620154 +1519465627 +798404120 +612312793 +2062357166 +419170134 +211113046 +1787445400 +1550685241 +325234868 +1550025754 +57409315 +25514500 +918040086 +1264849389 +823951989 +2123811656 +21818567 +703892498 +1334877680 +544001818 +1803945462 +312975842 +1679719382 +780392518 +200484232 +467392029 +1848028685 +671888228 +1580521598 +461397876 +987508382 +952503577 +1259801996 +1599821175 +867377095 +1678972131 +1810934221 +507338848 +1082173724 +2136169089 +2057364602 +1139583039 +14199941 +827921040 +256948780 +838151930 +804249048 +278767348 +1542044429 +2139126728 +822769166 +1198506243 +304618922 +355004900 +1978898761 +505103155 +822396929 +1679443798 +1176991383 +255434879 +2140841674 +17016117 +1207938456 +1253160023 +1616837292 +2075315552 +784648506 +1280287865 +435170752 +1866822230 +1268973307 +345051706 +858921622 +1283173248 +1172972746 +1115870402 +2121325179 +1977221794 +1394637750 +1515885960 +1968864875 +69923268 +566908555 +126000149 +424928169 +398323668 +631103304 +1247325098 +2077767466 +1808094687 +1502759978 +2071125492 +1825110804 +563214786 +1176801867 +1294464448 +491046690 +1961450373 +427268666 +926217442 +1680788956 +1696241973 +1271269148 +392226930 +831931573 +296758246 +1508097332 +805773104 +126496393 +755251435 +174175416 +2095361268 +825174703 +741083971 +73877769 +1250102872 +1139407639 +704981074 +349944323 +1069691457 +365592113 +1852704301 +993333302 +43219270 +268435439 +22651521 +1337683718 +759482130 +1984101895 +1764952384 +1685699572 +1517407203 +1313710709 +809485073 +1909634133 +2145642283 +1106243319 +1270247817 +803931739 +1232739712 +2025499252 +978107156 +1180617332 +703190308 +1719191127 +1254495102 +1953293180 +711115119 +1959476176 +155753855 +1780806576 +177584641 +2008458156 +626656230 +220803911 +129409948 +649307752 +1558487630 +888892078 +485925999 +1175956366 +427108002 +2003333202 +342183428 +1236593075 +1765483687 +340342063 +195352747 +888247856 +1144273802 +1428092459 +766263461 +2122380958 +461226144 +1469453769 +1694088438 +1715721246 +1275263301 +257719909 +1527713774 +1431017157 +2038526485 +1705298415 +1291991665 +517699068 +1926102327 +1421401613 +1167006820 +1337106309 +162810043 +1652932819 +365579027 +589918046 +1508782373 +707762455 +1826511121 +1126782412 +1048104518 +2021863868 +2015030268 +44894673 +1302472680 +633810081 +19791983 +1763698824 +2103263850 +1713880421 +1331936422 +1231043504 +1971600330 +712166548 +514577013 +1862643168 +269981315 +1806568678 +232858588 +48599994 +1080486644 +1399865408 +1385706303 +1243296687 +905314579 +1751285331 +1833214733 +266613304 +311564138 +1512242207 +1393395716 +1359668657 +1386622427 +1260942336 +1404563330 +541611459 +1894752418 +1424355313 +157826635 +1850532620 +990752087 +1489763057 +934092476 +814868769 +54445957 +1448669489 +530028289 +324427273 +1107754520 +762886877 +373027267 +40757516 +15268637 +1758733571 +1284054203 +920583216 +1362535254 +969785289 +1187196520 +1674099392 +334543848 +433108588 +886284401 +1721166275 +1694050925 +143364083 +115294087 +1441319695 +1567719397 +273120722 +1144368667 +410987836 +1762883780 +2078461144 +1225856605 +1817329737 +1379646985 +1755884895 +2141757010 +339917857 +371288124 +367300630 +380675373 +386556762 +2126034201 +1664729577 +1307139978 +1341085807 +487031218 +346852851 +867701551 +821575066 +779961439 +1753985953 +395257693 +326528716 +1897350036 +510551780 +1767848411 +1317585785 +783672503 +764733431 +1728573621 +399072635 +695710927 +806946579 +68918724 +2075357912 +415347826 +63192087 +267792122 +786635950 +430492717 +648467495 +1173192712 +409043270 +165713424 +332849043 +1750129077 +652744642 +679701894 +470346980 +1474319708 +1459663333 +76849285 +1869577402 +1786192050 +1974199322 +232645534 +1406556813 +1144301459 +1016318037 +23806596 +725391433 +1415390672 +719517523 +1532338012 +1484309397 +647391788 +1947685838 +1547501484 +915183910 +586838140 +1977994201 +1563651405 +1760030853 +239553823 +1729364830 +2092879896 +1989682900 +234625824 +625098142 +312546232 +1708945533 +2084761475 +389395518 +1431039287 +1723469877 +216111192 +1663684821 +982543043 +1360412651 +532519211 +1006349639 +2085804084 +1947909883 +1725867163 +1470658448 +1284735632 +225775303 +1270860638 +684753468 +1140959213 +1857698779 +515264021 +557126970 +1470245984 +754817844 +139008152 +1415642232 +597017096 +373633977 +2040740374 +909563329 +2082579510 +1978018201 +1298958847 +1366135149 +1554004431 +1515070039 +882336322 +389063826 +727999042 +1414855533 +1395413465 +666319479 +1215281769 +973796980 +2136977927 +352533753 +1199572283 +1260354918 +1037287222 +193047848 +970570049 +1552551243 +750174819 +293332385 +159885440 +889182971 +1708974617 +756902536 +1262816948 +1602231343 +1666465865 +1197912810 +1432765896 +817941064 +416564311 +839286679 +185527455 +1298900634 +1228350505 +913526498 +566272519 +476280323 +1579845977 +1781554288 +1450077303 +1569340256 +2134088042 +502165939 +682211526 +1023891616 +695213787 +1652781575 +428959211 +1445388606 +1946113960 +588844651 +187087930 +1507604929 +1345747188 +1449904878 +962352624 +864729405 +500334041 +247634873 +1682670470 +916898352 +1086921552 +1868197925 +68315338 +167788410 +634240775 +634587858 +644068733 +66603104 +268658498 +2094146036 +1635943361 +255262892 +448828327 +170671239 +1279154508 +1144042115 +1823452815 +1708113720 +441947073 +1622083127 +149474723 +629035003 +982204409 +1495221911 +2078939882 +1944557033 +212467669 +431790275 +44708258 +1895138139 +1348688627 +1131629811 +1615852416 +1417003966 +1299418221 +102609544 +2051591824 +1943486954 +169212648 +172766674 +1890149342 +1805156009 +428029567 +191494022 +1975827249 +1707184075 +1335536137 +1651796416 +1267814147 +1777483210 +1126395895 +1417288871 +259034566 +2108600304 +765027134 +190490800 +1905673690 +977494803 +622281075 +1950381948 +725149294 +1970969702 +934528111 +193518063 +1240490020 +86462684 +296127607 +1144598196 +2029949638 +465340255 +1317364871 +1772615333 +123012617 +1745394438 +1964109355 +2098839866 +1305094865 +1152161844 +1603152634 +425425365 +782161406 +582064881 +1842714236 +1041195972 +543181538 +460257722 +1231686772 +301371580 +1437752526 +1853967847 +104269880 +15418172 +1677453902 +1038797992 +208936235 +770460274 +1125260676 +505063842 +1915058471 +1007726667 +970404098 +1084939694 +632858352 +1093416715 +682850484 +449484059 +1044772933 +1987945349 +1601645903 +500441919 +265887066 +236323661 +1082506800 +2108601302 +1277519634 +1625688338 +421375377 +361722758 +1927059918 +1859127903 +68206958 +2031329799 +1874546075 +1745660860 +922644143 +2083482311 +368637486 +2047904819 +441062505 +136212309 +908147838 +1411466603 +1221152003 +1541006190 +357399670 +1904002487 +1990490249 +1402172603 +1744464189 +1444652504 +1902614522 +2010351255 +1680976166 +837637675 +1971468910 +811012152 +315842365 +245360639 +1172734910 +95418636 +2104488542 +1240941868 +2126748435 +1831550969 +839119080 +901908930 +1767549632 +1207756567 +802330101 +61128490 +1343968876 +1710477940 +1472595093 +417637232 +1104000482 +1829994764 +174156071 +947007084 +1084683719 +1918620260 +244175940 +839814594 +1781487868 +1925152106 +1677452269 +1605473130 +588680610 +1993294634 +1850833769 +1761415521 +2088713270 +1807838663 +854873741 +2067978057 +1491905984 +1693992822 +822403339 +1111971969 +754265741 +1624733441 +1173100459 +2098234617 +1187727733 +498211904 +368388201 +144244567 +180723020 +542544273 +1091251651 +1265406740 +313680885 +1335427592 +2105221334 +2095168753 +1113096050 +1635189955 +1553158235 +1701776661 +1481000941 +1256508356 +1315708534 +1422230564 +916863371 +23098627 +1342724973 +261285708 +1717091449 +17644665 +1373257677 +323873542 +1642378106 +398874488 +274624512 +682622191 +897086392 +643012713 +826866758 +1077809413 +1185556986 +1918118410 +195732505 +1499237872 +1106062354 +153470191 +1446922977 +71674756 +1788660146 +852597565 +1773451417 +1122177439 +2109105921 +941676303 +396924355 +878485645 +964774931 +1739649329 +1139771353 +534382732 +1757293994 +365545382 +858256275 +1252188452 +764419870 +1132880787 +1934810643 +1661506262 +1775893500 +614193753 +591832027 +813966839 +384828515 +787564532 +165721063 +1490890869 +941034723 +1612644040 +1562565626 +582211221 +317757957 +1188533395 +1704388661 +279380231 +2130209699 +2101313016 +1157865876 +947500982 +1693478697 +150153581 +1481883714 +1303289043 +515698963 +192656341 +407993847 +1280118833 +1325537128 +195320842 +794141447 +953946981 +809514596 +1385973475 +1767913820 +1194343111 +26054359 +1933634883 +537750333 +967089083 +1398795275 +2100315959 +1549300304 +1716553233 +1141365706 +1106205317 +1995933464 +1124091757 +1060034686 +1006315692 +2071592739 +606029735 +1156469273 +1405992806 +1909318779 +1672168236 +1598649147 +169828978 +804803421 +776702628 +365149821 +1598944868 +1730649609 +1174664417 +837434695 +1351079781 +221523880 +863489055 +1137231016 +759274213 +1830578138 +388542643 +712106524 +1232394794 +2105095876 +1853472231 +191116464 +1953545692 +830080340 +1251151150 +812377736 +754189432 +1857180885 +1968847009 +12698590 +1619016016 +1493531597 +1611347737 +1788844995 +150851370 +240566717 +6511168 +1749796239 +1971216326 +1181175585 +439747286 +1174812459 +1402699465 +1303236341 +164559827 +14490031 +986330831 +553102471 +726596555 +71241978 +510714699 +432585138 +262358442 +316776744 +1262665479 +1513509592 +1129154480 +2016854911 +1223206829 +950517842 +2029553501 +694739198 +296565791 +1493417590 +336100545 +447417162 +1733984308 +342611713 +49729753 +1557716986 +1523787298 +489477039 +585045798 +779003115 +1792713381 +749605625 +793493146 +631560564 +1302708096 +1520089702 +702802542 +1813422796 +1952674840 +965160984 +2130199540 +1067856671 +331186928 +1111870372 +937227934 +1554393758 +2062388214 +819297787 +101649308 +211470358 +165231730 +437749853 +658887520 +1899216038 +780361566 +708617273 +1309449376 +156665216 +1198094312 +1894495174 +935668331 +843324045 +496617152 +1729161478 +1474884610 +1799325248 +1101767532 +30203504 +1465264396 +906958724 +995364489 +1447980288 +1974815396 +1326551417 +412367013 +764559682 +733461527 +327271579 +1583857470 +835110835 +538741937 +1749089200 +1272860688 +1197629457 +1500821590 +2053222254 +1906246730 +662787318 +62403822 +956857395 +409798845 +998072154 +1800181440 +906415997 +579749984 +1127582402 +558257597 +1681517516 +1157785907 +2023521994 +440992592 +5666748 +1324018634 +268324340 +1332218165 +1736385647 +1032884023 +2065679693 +2063657227 +469257845 +753306880 +454915516 +70863397 +2026167569 +1652544974 +1571684987 +1931906175 +1411308056 +86988657 +1994309998 +220681803 +496787502 +844898504 +2020863244 +1403203499 +1424648488 +1000961998 +1961461097 +958682356 +11264257 +1837499443 +1399674948 +16931005 +1014034429 +1667999289 +1349149171 +602936429 +553399664 +1267345216 +519110008 +1022657509 +2020652096 +974025524 +1093520906 +1899336017 +479086850 +517722245 +1683758545 +1890394907 +604710902 +1530584895 +2111076710 +1101498405 +227999751 +1984456306 +357218256 +1652648239 +837934657 +171195705 +463846947 +849198914 +2008695148 +1863521895 +866129920 +875245930 +1384037536 +67795443 +1478182359 +1937437200 +1335140659 +1997292367 +812611061 +1208309107 +823834243 +1906131967 +960161477 +1302921094 +276370564 +496436374 +1045832353 +881081467 +2027021269 +1009425415 +1982579872 +107537372 +846398074 +192314480 +1760185611 +1684332731 +363510186 +76548910 +386047997 +224721686 +1940070805 +1252177917 +1099967616 +1176624694 +1319973360 +430666327 +966578246 +507630371 +280475046 +1779189308 +1715939479 +1104309290 +1537837627 +528617308 +259746736 +1814208192 +1025053682 +1305579089 +547806011 +904591303 +167520856 +382902235 +1012128675 +1013918930 +575216715 +624830638 +550768013 +938726901 +701379548 +936816011 +1163448588 +493966705 +41510280 +115932556 +1670591399 +1361483641 +546598884 +489685998 +1869114012 +827073930 +121391658 +1437569843 +1931383220 +1659229285 +1966187151 +43646308 +1325953829 +843757185 +1349225397 +1873759840 +1748348488 +1516746254 +109178427 +612993515 +383181536 +684395143 +1237824153 +933949550 +1623122044 +1939203701 +1870765561 +639086984 +285686759 +1912275841 +755019541 +1956278158 +1126275834 +1301618425 +298480508 +847906199 +2128692355 +419872166 +137992394 +1912591928 +2079101452 +2104179546 +1956238236 +1257571633 +800453083 +1157979986 +983847826 +401317924 +527242592 +1093026253 +1014311439 +910424128 +1777421396 +104651945 +1844373678 +1253059793 +2043855646 +1567655591 +1892146777 +182058757 +1332447785 +499682670 +2138336916 +311239971 +1801301095 +289333776 +1159146170 +1782509803 +709205943 +1297138565 +1547618083 +640823747 +1253834463 +1356372671 +1898395380 +2054287546 +366869009 +734759558 +308121822 +894111601 +1827785812 +1322433262 +1804535730 +1457723560 +1427085207 +1501425760 +563299705 +1323457205 +921597704 +307962835 +1505515963 +106561841 +807645505 +1496369231 +417801812 +461462953 +1785703007 +1576947983 +96489108 +347425302 +726602900 +1644107191 +988249049 +1980437363 +852996214 +739160782 +1887241261 +1219865224 +1473920340 +47879436 +2113976825 +1154222504 +1370312698 +1771028907 +464462417 +649914257 +1124971020 +1027762122 +1973371462 +2046568724 +1335724957 +1331403777 +5646917 +2143370463 +680289360 +423448729 +457349768 +318508720 +2000396712 +553838876 +665934022 +579515964 +50462419 +1654183072 +412469679 +903458633 +245860206 +152227293 +2123323857 +1719780546 +200106729 +2089817035 +726519403 +1570419427 +1713362294 +1190981820 +72850036 +690849666 +71260294 +2046221498 +589934742 +1406985252 +1230141628 +595581659 +1402872067 +1910430988 +1019030389 +1860221835 +81456060 +871943453 +266577063 +747390083 +1451459418 +317039482 +254089507 +1863929097 +1220498115 +499949713 +2016156390 +1196338325 +72246611 +68779471 +1138671712 +798766014 +1639198898 +704550358 +1989747834 +1712048934 +1395400025 +2061008129 +1610786785 +1985334767 +1320509733 +693444765 +433432779 +575898152 +456392105 +1452463168 +288636339 +537848166 +176922973 +555213402 +1285238249 +1628382391 +872252884 +1539327756 +1344827841 +2092750999 +2039277469 +1213500583 +1141605676 +2111524080 +1282280055 +132793740 +762806447 +773995305 +837344099 +605070633 +338560592 +85260476 +518595114 +1949347377 +2070595243 +1839104847 +495308494 +356544374 +267519351 +951700599 +1809007542 +556155690 +1489548765 +1985930516 +1111369092 +627303366 +1466829259 +1983621976 +19147474 +664173452 +1928889328 +2058424943 +1877674036 +923011356 +2022465376 +1012470443 +1055805097 +637788175 +1786465748 +1893149196 +1242858808 +2125026340 +1978409672 +1761453923 +1926890069 +1901521267 +1453075122 +274714915 +110581994 +1720594474 +1226415515 +1919589536 +129266516 +568480632 +1758036404 +1240635609 +1195783999 +1077382016 +1076773937 +1214931473 +1741555468 +858179617 +1125872769 +1471745856 +1781190974 +1000854497 +336732651 +689512423 +1638642672 +2123198400 +435177971 +734017832 +2100741092 +266103995 +347988107 +1880147514 +20141614 +1801063230 +7378781 +130723608 +1374174056 +1233794296 +2050313145 +1503440572 +1802274929 +1660865901 +596592533 +850575280 +590764269 +1673366471 +2065506753 +184836090 +384062440 +1043895874 +1656581946 +17769766 +2044750371 +1993314598 +707282189 +1535909395 +1969029350 +1142460160 +122443580 +1922286794 +1408564155 +470431687 +1654950660 +1428705770 +124011269 +1662329442 +1559429378 +1498185325 +748640090 +1462258875 +854142250 +403431371 +975641129 +1450734783 +1254006651 +1566405398 +976617606 +1172029757 +1751241488 +1360680047 +68441983 +1260339787 +1378449813 +2113192355 +1106170737 +2085732003 +1501618102 +927716439 +1080708515 +1624061682 +702519585 +341789023 +2094493370 +209986598 +1770494793 +71020991 +1872316040 +1182440523 +1569206317 +473472482 +497215751 +275864919 +876903854 +1472856880 +1726599702 +2130910505 +891778630 +555733661 +1155456614 +495536471 +1916413708 +1223898598 +1755876258 +1147379873 +1189607305 +714563347 +1085628228 +543741759 +1642279786 +18853096 +20319794 +197315723 +360642119 +2114813164 +407302321 +2131136912 +38350507 +132134713 +1166093787 +1607556824 +605607196 +1663309538 +1883421743 +1482511050 +988682770 +1462537798 +1465937907 +1880461401 +2018271459 +473910874 +228514224 +1787201519 +1697809472 +1984390482 +787097744 +739933129 +551470181 +1872725973 +1283674888 +46266319 +1891579069 +1303994682 +243582042 +104737540 +1271324198 +650884364 +88390804 +1309674706 +783019077 +1254484591 +769747882 +1388626273 +770310482 +505685978 +723653675 +1758993252 +1968223776 +42107935 +1491971005 +1839011587 +516018809 +1720485229 +1478729458 +66344633 +1557392063 +118343554 +806277762 +2108862244 +1991069527 +2089952650 +7644915 +1735164948 +1246463685 +251226958 +1839902488 +370304235 +902111322 +1928293292 +1679978941 +1685130399 +1035294236 +302243176 +926273025 +1805604718 +807929154 +1649926700 +1417114322 +628669282 +1692034635 +761601680 +320197221 +60569796 +334603261 +1798926679 +126914429 +1891995325 +1917270233 +933192191 +1853373921 +1760856113 +875661194 +1861018837 +1348537413 +2122124879 +2112245795 +1040956254 +344945466 +866873469 +821765898 +2024924408 +404520220 +1857060134 +179683936 +1330793245 +1515181204 +987613090 +833236298 +784811879 +1616282372 +377787285 +1546413559 +1936479593 +438357082 +1881016820 +1587922624 +565271511 +1625528497 +1357709209 +1498463703 +1331418771 +971081674 +226641249 +1044953960 +172135440 +201282480 +1009716107 +1213091694 +546227946 +1876589576 +2034857592 +423668706 +133626148 +1744434079 +603352642 +1464419394 +1112131635 +1590965732 +150172044 +1896943514 +1059764456 +527959329 +1295873425 +848760401 +966316411 +1029406598 +289199377 +1531587923 +507451447 +1646908587 +882567978 +1838870218 +470506613 +1109209227 +736340530 +642642053 +1310491707 +1746056637 +1855733747 +1856719653 +1475162565 +1743107692 +132904712 +1608788714 +1340058123 +736257354 +925724460 +304706110 +179739439 +1075896504 +54165977 +1239503895 +1603855833 +1350039402 +2088264297 +422688597 +231962352 +229980026 +1954276520 +739413800 +1876888613 +689360850 +430800370 +199911579 +1798570077 +1167140901 +842553632 +961578136 +765713890 +550803732 +670814141 +93392808 +146427776 +803718853 +1702181522 +1486485899 +1539976208 +480422334 +1791192009 +1719715647 +1556318838 +1845357986 +811735894 +1012691023 +1047913741 +752516543 +1435379620 +1279876093 +982496570 +1242172492 +2019289893 +711901535 +1931533342 +302606616 +911813114 +1582619771 +1469747517 +1754366747 +396714259 +87977759 +157686831 +1067528401 +181370567 +304114607 +1871247254 +1883552089 +1790600506 +1263739814 +216490775 +1434308867 +835971813 +1772809613 +1132183206 +1647707708 +638016989 +32613299 +252740603 +2073396609 +1312489392 +1235237173 +1168085454 +1184295638 +1947138709 +952135148 +1486902254 +711468175 +387271272 +809166123 +318351274 +783985531 +897143882 +476038105 +1851513932 +1078514450 +780152712 +1575277539 +814582891 +423269570 +691533705 +1031073667 +1857578438 +1527505519 +656399632 +842277996 +1027729579 +1294416621 +874891295 +1280470182 +1220329583 +39897039 +368223708 +240931389 +1224192677 +167878769 +1193066537 +563611283 +879346944 +1580337809 +1372777406 +1197698219 +216839693 +122437641 +1673736324 +2068353625 +1200952091 +306405389 +1496147516 +2015534982 +729674959 +40197574 +899125001 +439769749 +1567703093 +1555524634 +1282047745 +447949024 +702457607 +9455392 +1728419206 +1922787190 +49352432 +2096642914 +16234931 +1273545109 +117038035 +1209301469 +1837156393 +996384980 +642155630 +1062450151 +46599551 +858995323 +1184887792 +1720335875 +779865301 +238356235 +2026741264 +128529169 +106407570 +608932576 +168726743 +1005532571 +1048702325 +1736429836 +413573557 +183266423 +36895212 +1116031165 +192721815 +1765314419 +891334707 +242074247 +1714473685 +907569639 +1515619357 +1831511721 +2116871108 +1205292102 +680413053 +611543090 +120258605 +727012604 +1470538414 +1305146398 +299864831 +102920067 +1543502633 +179122448 +231449236 +1649910203 +788055024 +400175980 +507959127 +1836757349 +2136605816 +921532684 +2020023772 +26017381 +2037563849 +65261940 +1791331800 +781414909 +307336187 +1358321837 +1688984548 +1822955544 +1042349910 +1658372008 +880763998 +1722762963 +122431450 +1001022604 +302291919 +1592969864 +158685354 +602156751 +1695889931 +1702187987 +781279199 +1927339168 +1204614543 +1569334223 +180031500 +1712573670 +1258607924 +169153668 +486622706 +1131148049 +195171049 +376702908 +1196409989 +1986502849 +1158117817 +1503746176 +1197341039 +699618717 +1179218073 +92207301 +210507077 +2059982071 +1814970265 +332938527 +913521027 +2117262184 +1925908392 +1072206381 +571935287 +1474314675 +626910721 +1353214486 +1254170195 +1831525264 +775065061 +1434201695 +1396615286 +2033672986 +1603355364 +1883237992 +1017337387 +1798526413 +112457252 +66263728 +1637545615 +1270575069 +1570009904 +687403006 +1970193786 +601744329 +779610307 +33217215 +514242753 +447096924 +366155743 +1427763780 +416875461 +144580487 +352486514 +988810748 +1618895162 +979397235 +194541587 +725581710 +663438851 +969606648 +12299757 +2060054137 +855795986 +1615655121 +1795808481 +1873133373 +1266697887 +1908265734 +1939397101 +756759854 +1031357155 +1361923358 +1444162860 +854067294 +1963667687 +76289519 +887284509 +330426792 +523386444 +1253440252 +1758190573 +940261905 +1398020739 +2110677087 +1929072653 +869432254 +942590674 +2123614240 +1595013964 +1606029525 +945737241 +1607313721 +1518600014 +1801533227 +1075485195 +1166924847 +1527182953 +194699434 +927706933 +1319096406 +951459288 +1959064089 +533536116 +248138500 +665647735 +349720156 +324428019 +1552932244 +680146948 +847814463 +658888849 +290853873 +1788076368 +2056909588 +254047312 +1569665374 +778858194 +1196637986 +1545795966 +226388510 +655183863 +344049559 +1833702232 +26300229 +2145582787 +761703779 +1193225077 +1525282092 +956403213 +2120932010 +696894850 +1907862501 +1932512451 +1230430967 +8517353 +450676538 +1580151123 +332945372 +2003608783 +112814423 +1180759836 +515013984 +403668297 +821352556 +424439924 +657715609 +243534282 +1203298119 +1854353596 +1789330249 +1429686629 +362053811 +2133379808 +1115905213 +388354041 +2131478947 +1877608992 +1581579118 +1509277391 +686528557 +1555027480 +58688594 +446907410 +1340056284 +1289119561 +455424763 +1790732822 +721787036 +788370136 +1646857957 +834601459 +1969129972 +14388293 +1238269756 +642998880 +438828218 +1895985366 +886533163 +1642126337 +1602855314 +528379764 +924329318 +1964909125 +514275924 +2040234532 +205779518 +498271224 +1770359876 +1787358636 +2007548615 +309404786 +1194902469 +2066237209 +756312196 +387475105 +1207873122 +1211736960 +30724279 +1929660158 +2000107096 +1677582237 +616777970 +1821753420 +1691970530 +1855047726 +317268652 +2130798748 +1603549444 +1203801815 +1625441437 +1058921110 +1732181579 +402287108 +876346588 +98973856 +295037992 +1082126106 +597245080 +2065397868 +722001095 +457310047 +227319006 +1916903564 +376063609 +983631203 +156895021 +1583936731 +47884515 +187619300 +1366113242 +2047991611 +1865201537 +1982891212 +1722261383 +1409688420 +1690455290 +2039530035 +1393003520 +1146521087 +1095848203 +870961310 +57958549 +680546134 +1273248418 +934305137 +779519990 +1568286410 +2016431244 +1376765070 +1486200630 +590948691 +1834075118 +1713519637 +360368607 +62655079 +549667192 +517263628 +1646591810 +597551707 +704882928 +865221404 +498059670 +422600818 +700628968 +72837405 +1832289238 +243600611 +2112367440 +1077809110 +1390121698 +1060731995 +1948770420 +1448080247 +1741278130 +1074535190 +234901737 +373314472 +495337952 +103849333 +1750079543 +1981538583 +694798024 +1436671013 +1547574572 +1055166631 +1499326092 +2097241764 +1572430259 +998434254 +547309823 +129829539 +1863655659 +1045369493 +552430357 +416800979 +1118206898 +237235947 +660401590 +1083090690 +1315045058 +2050523288 +2143822686 +1116331830 +1351119888 +1737617168 +43383373 +1586021625 +2110931640 +538721325 +1689870958 +1713527535 +372776260 +237185334 +1002714900 +1920350832 +1292351965 +354557344 +1870108948 +717298576 +1352991599 +269935123 +847128115 +1069163610 +1315304616 +1399558473 +1485964589 +286027866 +1636794420 +2146366180 +1369118557 +804355830 +2049405820 +1365457595 +1920687661 +1253042060 +955591115 +1964071034 +691580037 +919039107 +355308711 +233967347 +485082995 +728084972 +471152681 +1487797895 +500952156 +1763504646 +1842355240 +223577457 +333319574 +1047863191 +493512580 +1180447690 +2117026801 +1808817197 +432522515 +1455507742 +2094845063 +2069316935 +1454390274 +1316479972 +726189118 +1356312447 +534453919 +499393131 +461870859 +1490045034 +315980517 +1153450897 +261600494 +671289228 +1387418244 +746683489 +1399374200 +1858570926 +86997736 +1900326357 +1474591924 +1929352976 +2123903814 +1807911499 +829732519 +469932746 +840875541 +799275672 +131266295 +1273398056 +107299767 +78627711 +1195231343 +1561690041 +1395107683 +1921420461 +770518840 +1929561603 +273329944 +1232389700 +1272122989 +589310461 +238356949 +1533723483 +1260599690 +1625775193 +132923324 +512490242 +1336862471 +219921061 +265332951 +663970748 +1790389 +241753117 +324398599 +831522909 +711685864 +1165274140 +1630798581 +842952159 +291188548 +1738098348 +921579870 +1486419891 +1152304742 +169203906 +1260356705 +1922823582 +2098765509 +1533686649 +1007729634 +1223404850 +2122997111 +1246086583 +609644686 +1236113153 +724378129 +742568010 +1748603395 +2061240600 +962489071 +2013936347 +577727700 +964279461 +108205816 +902126299 +1795802370 +819891680 +2067400439 +1279117303 +1662843840 +211105339 +869732004 +436940062 +1697525231 +2022036746 +606143968 +810398288 +1797376680 +557425829 +196601289 +657622667 +1780830680 +172114752 +1903709250 +242991718 +1408227905 +480603731 +985559728 +1009347653 +394360684 +1948048800 +875800352 +972088384 +764844613 +984006168 +1874214684 +413163335 +1803897849 +1794131475 +1692280638 +1319258041 +2005236815 +414528994 +1756198103 +1555278398 +289082092 +214858424 +218193038 +2086458773 +772284253 +414794327 +596597792 +405631285 +586909080 +352823394 +648623003 +1995136985 +833427126 +1634182732 +857000990 +1227787810 +1434747884 +1732801342 +52392546 +52108849 +569323863 +1926607230 +465272184 +225738064 +1573255058 +10069174 +1544996105 +1431008225 +424598169 +1153710560 +838802975 +713680261 +1368568984 +1056996013 +652655386 +2140853238 +1471790340 +1249253178 +399000875 +2058699420 +1602076573 +1047623879 +1906352758 +288020051 +534322963 +615870100 +1515807861 +1969070847 +201187795 +1568200407 +2021179696 +770511658 +1347323990 +338968232 +996249722 +773095400 +349037406 +393762179 +56619977 +773635575 +1547472739 +895422952 +1487315837 +768558076 +1952418965 +2139971223 +761927666 +1276725657 +1241740754 +1160928541 +1187941430 +696333679 +61068772 +946810540 +984353730 +595391735 +1562680640 +352677943 +416978934 +1763868435 +1920878350 +290674982 +386896445 +1120718692 +629643214 +1383146167 +1893814092 +978680621 +1776908346 +1950434069 +1752316196 +1176897438 +698373373 +1092148385 +1945455514 +503308690 +1084635961 +559899532 +1780034348 +178893067 +1720828073 +820492130 +875226746 +1781896846 +1767302670 +1859580476 +229804933 +1182499662 +64774771 +646783868 +798884450 +1985653121 +937458850 +1185780895 +958888166 +1567102065 +421443415 +705218610 +398299038 +50868113 +508169032 +3131586 +1227765551 +1206542405 +1095279972 +1025737417 +1709851096 +32432285 +1585636949 +1342401796 +211325352 +1158981375 +15410278 +1086552098 +793394573 +1782712948 +798648926 +1023199506 +817728962 +863423697 +1669983374 +1616613412 +701593170 +459958577 +654910660 +1660481336 +2027060642 +1076354075 +218216299 +277876032 +1127222188 +726385331 +281007618 +207504092 +1932927736 +1376287590 +1233241509 +1495295184 +1408719875 +671394811 +690213332 +1620045227 +1830376186 +705623610 +559113677 +476287111 +340852910 +1357762603 +1499486617 +1158581873 +73702652 +1021986344 +627711637 +775295823 +1481944921 +1282622297 +288293511 +1361521915 +211492724 +506509810 +1639397947 +1338714913 +1232895141 +1920405565 +1546219005 +1018339230 +1149209508 +631976866 +366150766 +410445735 +1303371677 +1056364099 +2030490963 +986264215 +1761987709 +442120992 +1462551326 +2102840620 +1799883596 +814554296 +1113938845 +1873586248 +1836540640 +1741650482 +501398423 +1171001913 +876789132 +789691935 +385040180 +1088281856 +1296201745 +2024438127 +279513121 +381613239 +1797360044 +1825732126 +1399952469 +799085904 +310225345 +1766103235 +1209531640 +1613597022 +674983686 +1092538955 +452377590 +289487748 +1534659947 +1914928916 +244844720 +1187059895 +581999564 +1358783565 +913162496 +271056556 +952950399 +1414560919 +1442058469 +1829739531 +56769206 +1827098649 +770537740 +1352970952 +1704053128 +1050050861 +1734584191 +1353929525 +728299340 +987053012 +5531781 +1038524685 +605672599 +1215063421 +504638059 +1280656286 +160118728 +957015649 +1570144034 +1694778676 +724460918 +1814988754 +734354923 +1306460482 +1026288671 +1647517419 +1577517039 +1979239070 +914594691 +872091860 +1661494954 +971363897 +551706862 +284549046 +176851201 +108276342 +1334599907 +1911435392 +1462205867 +2062899247 +751004756 +1467737649 +953940284 +1356677356 +535317422 +1458578344 +489849994 +695436151 +268110345 +2059994028 +242731179 +992571263 +1727499134 +977086102 +151548098 +606304157 +477119874 +1729065137 +438059579 +1391714565 +453673349 +2099554533 +215594814 +1005380211 +236619931 +392446016 +1113656554 +1571219839 +156397760 +428378773 +1486635438 +907402517 +1896116422 +293092075 +116596225 +283950197 +1751670419 +606446219 +979386348 +2019780764 +518956599 +1222117527 +864868380 +98972085 +51719981 +1016416478 +705276242 +528839855 +597997967 +1143335821 +1920554420 +1051671316 +1095406707 +2136149235 +2057051528 +1332026638 +381111603 +1023224434 +755762829 +537509363 +1451603207 +94914620 +1444911880 +1200235982 +388006695 +1561508105 +1484186179 +2139677114 +20470676 +316088879 +2011974230 +539427275 +1538206406 +729358962 +638399360 +1589926387 +1745775440 +1343675602 +2118766243 +196289759 +339527776 +1891837015 +1247961076 +1434934483 +1880502602 +1157528956 +619477473 +114130557 +33269742 +1375240303 +651639921 +1484872949 +1470154923 +2096551801 +537625283 +1858161618 +1510576259 +2021811462 +1850355084 +1531046935 +190416693 +1714845666 +2070474211 +1728623099 +296720981 +561389923 +1171065839 +2042496421 +1905065526 +1142348434 +91302533 +97109654 +886701801 +1339263609 +1532044137 +619720756 +349308917 +4037962 +733851313 +382578659 +1379278265 +1385491234 +1867451608 +701949540 +1334559388 +257593244 +412627510 +697651999 +131921058 +115498946 +81215286 +322337752 +1830344613 +4205849 +2050960851 +2127065594 +565595773 +1074543042 +2022078367 +323177651 +69407828 +2113380900 +420287305 +956109630 +1305160861 +1952331442 +1575830386 +1654469778 +1956369404 +162198051 +2037048437 +1188164022 +1547689286 +1757016398 +1890113562 +734765026 +2014609642 +155257425 +1432417025 +2146530700 +270756371 +1513632311 +321384804 +2101100984 +1517838161 +224862008 +2080682930 +2083433934 +1299405050 +1955277650 +259127937 +1368812879 +1921174902 +679415242 +177438861 +1078852116 +484263036 +1753269247 +585838246 +293148792 +1915467298 +475403036 +1481312814 +1315672936 +84935786 +1223942729 +2050437962 +2099545428 +1379200154 +1335371339 +2098592480 +1649956525 +701520003 +272493637 +1603573862 +71874516 +497355645 +1536773144 +7824802 +1796760695 +1344567146 +266952739 +1018089926 +1118258401 +946367981 +1195528787 +49626869 +1430631017 +801314386 +635465115 +1723779809 +569298037 +1110868151 +1057608976 +1884970973 +1195803937 +134068057 +1787925288 +1147865717 +1513268211 +975812979 +1098974550 +1015741088 +1677332982 +1371468187 +471831302 +1749207498 +1868823832 +2008604447 +1757032300 +1518100879 +1205687945 +2023985039 +388707158 +176462698 +822869372 +1584235945 +226089567 +106016741 +238066684 +861554683 +1829796551 +807364721 +1972422834 +739921879 +544852046 +1020743124 +873989936 +185293686 +21125193 +239774499 +1161106666 +1120099743 +1255515587 +690956000 +344084282 +1727346890 +292679851 +65424466 +1588467689 +2049712151 +1583525346 +646671986 +1926213543 +1972232504 +823134685 +601599267 +1408984801 +1049224252 +707616009 +1647051485 +1910778935 +389928912 +306932558 +1735718122 +1129850791 +851784605 +608977598 +2003840727 +1037078291 +630102791 +96131578 +50701309 +1750202535 +1351647165 +741657310 +2094286817 +931510407 +1034337161 +12227636 +372494448 +936565664 +1595752982 +1019166435 +715295559 +1420501838 +1842301120 +1316894827 +682002991 +744041724 +2024510836 +181570829 +507337012 +266956100 +488503387 +95571486 +1396806891 +1340287992 +704549084 +1253163970 +229882636 +1334651875 +1349295548 +280583945 +937370762 +553459065 +1022241255 +884173932 +1484969473 +2056578416 +896401568 +1857463921 +845660433 +344670902 +729146708 +1560955992 +1765172740 +423964180 +730367171 +299692083 +1168005905 +607394359 +481262912 +1675342917 +874350459 +969766300 +1770914403 +123673702 +162570644 +327979839 +1376837672 +392453280 +1662631714 +578649572 +673037226 +452518829 +1132108638 +1695278481 +1336692761 +469594463 +1604373250 +85610681 +179574736 +302550035 +430281583 +908721445 +1863506027 +47970675 +1332685625 +446389551 +347662758 +353207882 +1053783910 +828925671 +2028550799 +1928134370 +1798691971 +1651981554 +2051808072 +1961262615 +1979961393 +1281162097 +206232248 +1495109460 +1859811669 +879269474 +1947628289 +844436659 +427064307 +1136837402 +1314031122 +2031437557 +1222448083 +1493605859 +186503944 +1652729666 +254843656 +2050009972 +1700700341 +1587529281 +348915875 +2048363099 +1940737164 +1402699785 +729805122 +1821804315 +1183350507 +381013445 +1326302222 +1087674932 +194792413 +1158779967 +221353381 +401024661 +506405779 +2081165050 +1280294135 +306550420 +778118062 +1707358442 +1443387822 +2092149184 +1591312352 +518352257 +1438271395 +1777816296 +23598275 +1693115051 +1680342620 +1724298616 +1133160685 +2029258495 +1625178068 +926414201 +1284474633 +207499542 +600734868 +320341492 +588512988 +1927037090 +1408016424 +783305401 +938333410 +1629369805 +1184330062 +1444739189 +1563051208 +317140549 +1751289610 +193685622 +2024498991 +1047193784 +138351158 +1468327695 +1565546042 +1576622554 +1098660344 +1589144317 +1122253957 +631519316 +1165959286 +107930994 +513294164 +643653706 +1034345195 +1797768797 +851153248 +1635080064 +2118110289 +1439666236 +1414633506 +1378643066 +75487989 +205483268 +860529223 +1259818051 +1650222458 +276096783 +1576958600 +1254028420 +469782405 +1453973944 +153738556 +608133564 +774817991 +1719284598 +37272470 +1873478335 +1160945268 +1159526427 +357514004 +179420906 +1267457422 +870808168 +823074612 +154318969 +521093317 +1674227860 +1789399033 +491719958 +966410449 +1056548892 +1870363024 +1041898438 +1262032160 +583408600 +154232842 +764770970 +859505383 +1731191442 +2018799390 +1329287789 +1037681738 +25054299 +1937421353 +1812499730 +1744338897 +1974693823 +1538494417 +757800517 +986736602 +1896008421 +937221423 +106710376 +619332941 +1760296035 +261029346 +1140426258 +1287040248 +2050428379 +1632146217 +105967049 +959493623 +1355025593 +1147865487 +74042136 +1938434193 +1302098329 +838813106 +650455929 +885806124 +710128849 +1979743718 +1923487862 +735183148 +1769681423 +1588503944 +332038397 +1596891598 +979514714 +1089838915 +436144552 +728039487 +2027060338 +542854929 +1347372429 +1639872726 +803884275 +340315039 +779429326 +706829006 +1972461256 +885396375 +1666322630 +1180003202 +2033261862 +1740364766 +970953747 +1187876544 +431694224 +1621409676 +2073682668 +1141823073 +1453669746 +1849686882 +1877006221 +1075867521 +1290707179 +61560971 +525275471 +122738245 +1151399886 +961420024 +850777732 +1030976576 +1504274953 +50666513 +523365654 +160675580 +390981553 +1302794980 +867504586 +215959161 +40707707 +386343568 +1395962363 +2073969570 +2126708334 +219432463 +1114362466 +410918911 +1840842139 +1040561486 +1552741984 +1147028238 +742764720 +1282264558 +75412111 +2033471899 +1343825529 +600687583 +8726496 +347741767 +1562107607 +859504229 +1378718343 +918898912 +910170742 +1902083998 +1079574492 +1301152295 +1057395330 +1947079078 +1517111457 +1098103038 +185938999 +765590172 +1024588960 +165163685 +985022635 +2138951426 +576082596 +678381127 +1032029264 +2128824581 +1825409365 +1774793984 +1263605491 +1900821476 +1660782236 +459947372 +354025411 +1669508732 +807689139 +1916133018 +381529313 +38923834 +687548282 +1291700056 +1941007832 +1767122774 +445368703 +850919515 +1566718205 +1962480160 +1949022553 +1752657204 +580586685 +826127865 +1917820889 +1565609320 +817595643 +346419838 +96506799 +1849624907 +327760771 +1921916164 +1476935243 +1591366262 +1675253993 +990233831 +2051313634 +2029279404 +512258916 +711519125 +1797928775 +893788229 +750442959 +337993409 +38004637 +543967144 +2105116184 +483373341 +1394886659 +1524350741 +298369853 +1196425564 +1129524297 +878956538 +2022553429 +899861538 +297082211 +692665424 +1246281376 +393589010 +394806683 +1574042147 +168021527 +1871741926 +1017924761 +1843275520 +714492110 +921754747 +1725071276 +1226751026 +1633273872 +1375516403 +2120539255 +236233184 +1713509813 +11060245 +780200328 +1671142349 +494433586 +27603339 +1048009442 +792803439 +1224028903 +30050091 +1671759978 +1099098684 +929911629 +1968842189 +1791764108 +28709358 +214947551 +39087143 +1602751505 +382969078 +1910829069 +473192619 +78760950 +477837531 +1394947366 +1803832227 +1704588557 +880737591 +1031864982 +1677644165 +1116970775 +597891147 +1688704410 +1897171103 +121549848 +35654348 +1924774442 +1169559290 +828457787 +1001319697 +1199609381 +352734117 +2100418381 +2129521011 +174092658 +1744698841 +10746721 +389040210 +1783785984 +1613498226 +772009288 +1547131405 +2086690845 +850770239 +2024968937 +1334154564 +507118818 +1582073846 +67408507 +1538983800 +1112234363 +1184379282 +2136874948 +653455125 +934066737 +110941148 +689109473 +711357531 +1280500439 +1517567261 +1712677228 +332626172 +1870301378 +1665611961 +314663535 +2044394037 +1262827154 +325410256 +285950599 +899129490 +1938908483 +1057959887 +298777247 +1878115680 +1908730126 +176262536 +1064786596 +268365296 +1758336383 +1132195103 +1807349097 +723087098 +169090737 +1796740397 +1376542224 +1103157474 +1907681545 +2065651697 +1814515005 +1040698336 +1435735310 +1379708585 +1373324509 +1158553041 +897836898 +1687988044 +1055463430 +13180404 +2013398301 +1341414029 +912309894 +1804823136 +251890268 +1211087142 +1535455168 +13136747 +1387349678 +452758117 +281502043 +998202413 +1584953220 +2088851140 +1721289512 +1754043958 +1738107889 +950348088 +709717784 +1498305787 +868516137 +376749142 +391520475 +156767800 +1756457727 +1764844984 +1315320841 +506810978 +1305349381 +223300623 +519991382 +1171264034 +1564714652 +1432301277 +828603522 +1816604920 +495904771 +216575042 +1829741667 +1883254449 +669333159 +2111243711 +733973215 +106802732 +2052611203 +307779079 +1860846690 +1643235445 +1258127167 +423080826 +994057584 +2126643304 +799829968 +1385578059 +135927456 +408804048 +1002939396 +1451248297 +915615026 +160805129 +1674548920 +1435606408 +1332069163 +1091779924 +720424037 +13189037 +760901197 +1216328808 +229764079 +443159216 +952099610 +899097239 +406919279 +1686072825 +1005899971 +312046835 +1993851904 +719263013 +1955282280 +1104495423 +1142343839 +801856216 +1083655079 +1942173808 +39950627 +1219582536 +203494208 +1042890023 +523347185 +1119109234 +1203695152 +50412458 +407231994 +388280667 +1142192382 +1127656032 +401469704 +1903093579 +196501192 +631233784 +198769148 +1148600802 +1530331023 +605688427 +687189979 +388747346 +917735262 +533558235 +1108010359 +725533894 +1638053658 +102870550 +1527390110 +574225090 +2045044358 +1567340738 +1793807626 +101054918 +462747113 +169671163 +1220164152 +1666442266 +220083621 +1627396147 +2054722933 +1362276004 +607568531 +308708990 +1117885935 +804069723 +939942774 +1316655083 +1952670526 +322790149 +1922343511 +492376857 +711537495 +692595125 +1025935093 +1819547854 +1418129020 +516505103 +1922418404 +798035482 +1090730193 +1819979115 +217892572 +737054171 +1921034033 +680639686 +906725335 +993714538 +199598304 +1126808956 +473627037 +106837589 +341601312 +1081195568 +415546579 +1459487248 +1885265291 +1355489353 +628658683 +1690452169 +1678279502 +403518546 +35345379 +242333349 +1096113672 +1061280472 +2061881203 +366759044 +1577785575 +1836815960 +1164794526 +521032121 +1509311427 +1382687099 +1258086292 +1282861812 +2063326785 +17327979 +129092702 +115441441 +1144136936 +602719739 +222279030 +1485738248 +1683915307 +637825610 +797741848 +1421696951 +1993314963 +1426400532 +964665472 +1524110818 +1829919078 +1000010851 +1766444167 +778549102 +2061291323 +1680841723 +1145308146 +1491593251 +1370174035 +162619025 +2012625372 +732001814 +1545306124 +1123228016 +2014863626 +1461149261 +1140555996 +2143956329 +1576590702 +137209284 +599192420 +1798869732 +1622947532 +135624080 +289211694 +273205733 +1557321031 +135043010 +1699606265 +374502855 +1659153828 +1382041695 +1374513707 +1278114347 +13107150 +1288321382 +811472422 +1158415296 +632430985 +34162809 +1321034321 +497572709 +766164623 +718856797 +1620800726 +633544602 +32522410 +613873074 +630017283 +1609113112 +751082358 +1229209703 +1260499197 +226546242 +1364833783 +1549710891 +499751975 +774671166 +1684753901 +51874592 +1149174022 +1196424081 +1433916288 +376204081 +327054781 +1447023438 +1664525463 +1138527203 +457955086 +149472801 +1172690013 +1778989408 +647045510 +1938854636 +350362557 +120362588 +424915590 +382884968 +734235662 +1054932873 +1991998080 +1485318020 +136658929 +1105013629 +1711864263 +1501492712 +507240873 +64132590 +128680231 +44511126 +116007183 +1277854253 +1240935208 +1549923471 +1654058334 +1567989989 +849463261 +1171100149 +559033544 +1307418347 +1320572950 +1731723557 +938924107 +1967618461 +1523094546 +1289286665 +2087981049 +1948010136 +1672171633 +674733064 +855459362 +1516686065 +12567436 +992118291 +474216047 +1724431699 +346127355 +981456920 +1788564290 +474807586 +1025968046 +1904571473 +1752661839 +119419606 +1307011296 +1259236525 +1687409595 +8990909 +282853027 +98959492 +1316409256 +1603425977 +1830683049 +107849716 +1423560790 +1206293947 +1397136381 +1364058192 +1006820436 +921824366 +2038791256 +1862279798 +291026783 +2051358692 +706914441 +765242830 +1628306744 +1053041796 +1746699750 +1269387386 +1527849383 +625184149 +1026475211 +1133027574 +744603755 +186002859 +244780452 +284529703 +194993768 +527633479 +383489195 +1511403024 +2131059456 +66688596 +1619252740 +1407136599 +1272982544 +868905473 +623711143 +132319332 +1790729839 +515018751 +1994599130 +2081756623 +418893795 +554029923 +699515805 +2047200539 +1607071719 +298731908 +1169104277 +987437454 +923916057 +48095840 +2120465029 +1668519812 +234098699 +217761833 +1953049515 +429092467 +745395312 +189055062 +1940495492 +728971120 +255743659 +1412264584 +2136107719 +1528726203 +133686410 +612335214 +1661045535 +1924416249 +1127353965 +1508161017 +1858689224 +1546247761 +2062190940 +410721382 +1445964652 +1521779011 +709453290 +467585282 +361732818 +1633369347 +515681122 +334714199 +1154405511 +749779822 +552476032 +959971379 +1178872289 +1297871344 +1149026441 +971884133 +2026842464 +1404770100 +236665070 +2015466536 +786012655 +370351480 +480318102 +299574542 +147284081 +1607672068 +1807735559 +2005973306 +1006436181 +1722442851 +269211040 +304917185 +1096738215 +978664330 +772502467 +1458471033 +464550029 +1288183590 +1793185232 +1618955540 +2037963412 +198177616 +431443271 +1069352053 +1496048960 +1580469713 +2041236187 +1375407776 +837756165 +130417609 +1243390664 +1623768821 +500769089 +1723708767 +1923343363 +648053170 +1183897187 +1583595275 +506542828 +42849720 +1158554478 +775753868 +347766905 +107809045 +1754418198 +1120269373 +1566280078 +71484579 +260969315 +1211981662 +1690440120 +151449079 +1410159278 +2121883391 +1220801132 +758724590 +1554869456 +1114553671 +2134132367 +245141974 +1244971280 +1230039383 +1868910795 +1745740369 +806264502 +1644770510 +246309892 +1990161689 +1080882137 +752852720 +2033011409 +91952968 +1528606589 +233294667 +199762013 +1135541139 +1353564040 +1766042092 +1207025719 +1614533355 +830540106 +749982191 +1765982434 +93215737 +724381934 +839299918 +851940327 +131767743 +1953853590 +838589046 +376909717 +1051341222 +2068628430 +98336864 +649597944 +727409284 +1743107374 +895907836 +570087326 +676505864 +1648760556 +455615087 +768458832 +1029883497 +688909754 +968220845 +17940989 +2042473794 +586779289 +1224966708 +1509523501 +1417319396 +1974948899 +1128022287 +1510535133 +551847185 +1967322206 +214991812 +683614928 +1773692148 +1053580859 +1060524645 +677549722 +974725641 +1158861509 +1327147666 +1702134925 +754485236 +75571854 +124738603 +1430991100 +1724332411 +580353691 +51966284 +606732260 +1269263445 +1020187129 +624673249 +1164253592 +1606966419 +1849639957 +526293445 +876802167 +1677105208 +1654315733 +239853652 +81468746 +1474154291 +454845464 +765083674 +1100362791 +1508426323 +1825608320 +1777912513 +335668316 +836986181 +957576532 +2037803242 +1591471417 +1033148386 +15058197 +874978869 +609997149 +595411888 +926945153 +1216729410 +1864675334 +1947132283 +1841402659 +881445278 +1406615054 +1543558969 +1407738723 +135933573 +1073180529 +914570808 +375787225 +1154649275 +241241451 +830632689 +1919732950 +1341604242 +191575365 +1597857622 +972033108 +527243681 +287360155 +1929609640 +417563275 +1878831573 +815274378 +432621473 +606326794 +1425271528 +1028033361 +1533271948 +494517290 +745225047 +1332920583 +188436301 +1626670325 +592051989 +1731995270 +886925401 +727985562 +657692152 +1801496209 +1103772787 +1812341427 +2042737661 +1934405476 +1584590729 +1236858255 +2125980841 +1034964703 +61407715 +505740875 +1322324859 +1991017355 +923304150 +1053672784 +658808086 +1355925623 +1659999578 +2084079614 +236475337 +1045787878 +431113256 +981700384 +231224813 +619549557 +460887062 +823276802 +204061180 +1347812463 +1551262364 +861753332 +1001825024 +507551503 +526611111 +897079037 +294473332 +2111201841 +2133937293 +272970525 +998682896 +47861360 +778711400 +173524107 +2038878716 +1702015551 +1227196891 +550203154 +910457526 +739712822 +486799120 +1146932863 +1785500700 +917912376 +2128633248 +2016725514 +1537461933 +442036662 +692518668 +1741523113 +1789849125 +96297385 +455792797 +644190501 +603848888 +982403909 +1541269539 +898322220 +946122102 +1527723184 +1171292746 +1944804998 +1575584544 +1950004146 +2118329106 +1466979612 +1504536049 +1198042349 +2017182766 +267509928 +1937755171 +356498238 +1414442791 +1575772224 +1274410614 +1395592391 +1445014090 +664388900 +1837629053 +2137532758 +258428365 +1479994530 +86346495 +714221163 +2124185032 +690195384 +1696625072 +1517970923 +1588517604 +495263526 +898210459 +612326702 +292584876 +326311355 +414847201 +263430334 +1793290968 +1919383250 +1461472684 +1662990086 +39409530 +1251744207 +2019488325 +1453852322 +680032783 +1146415291 +701961065 +2125046873 +1810804191 +392106471 +2115095984 +2069232557 +1872101001 +53958831 +635970072 +1848802385 +744154215 +185111496 +1219289660 +185188172 +680375022 +2117500119 +797514874 +972959898 +296327827 +1212362075 +1236390233 +2089618795 +984261678 +550379269 +1605125233 +1023671208 +1802123476 +1477129910 +330039882 +334672612 +476061554 +1032000948 +312235837 +139382097 +1424107419 +279848173 +61131006 +1148724772 +333807005 +697101078 +850043510 +1077961220 +882212574 +2069333170 +1263149392 +1562587596 +2039349642 +2060664267 +388063847 +188193821 +1125542694 +1624454080 +130328968 +2109804372 +27349701 +1735454201 +985991933 +1829473177 +1065100464 +1316031815 +16662141 +1541162018 +200549115 +328897979 +1680544115 +1624656534 +608746152 +1741675122 +625897659 +942553157 +291292552 +1475941169 +2020514378 +1173505127 +1397790691 +1136180122 +588609075 +1289656685 +1049360741 +976672922 +1477850506 +27419788 +453643354 +1608179474 +2137224160 +480993055 +1196150028 +975732445 +162982585 +113766844 +144280613 +179644726 +1654928862 +344829728 +508542705 +1187989329 +1969486263 +1117288858 +782180803 +447900274 +2059842015 +1073473356 +1923841443 +1932872745 +99494835 +1174148486 +921569220 +688103910 +316321524 +1970929961 +1664776833 +1794172030 +1998349749 +2118420187 +1254867857 +1988090262 +451929595 +303534237 +816339059 +614912180 +417301081 +960619672 +794556906 +2072229943 +1305449401 +1303099612 +1112735624 +1127452016 +272904822 +1894916428 +1575352290 +185263189 +820906136 +1351710085 +2118135935 +920400971 +378374923 +892221507 +1608504881 +694696447 +715667820 +1125798066 +341384830 +566533922 +1096734606 +1596252687 +407140536 +1548664201 +1899786924 +1223479595 +16092733 +169604357 +36615620 +810649639 +94350652 +1342065021 +2113749251 +1207086276 +322033389 +239170425 +954519056 +1897385679 +424433615 +1775425192 +1101612116 +395085902 +548342515 +1479987039 +1287307409 +9363749 +27199839 +2002975229 +1135161815 +368584669 +422025503 +84412773 +1964837356 +829166039 +1633076974 +1717140632 +2052645635 +1649169707 +1886744989 +2089261255 +312335699 +1981095641 +1283842628 +278601302 +1040698269 +1605876017 +517771728 +1995217326 +1355778048 +942205343 +1623158870 +309906516 +1337291245 +24017738 +1789893555 +477115006 +33381487 +1817093394 +332606587 +1168543302 +38194415 +754632091 +1252956076 +2003031771 +1583798130 +738549402 +1572688755 +1488960117 +240235462 +1311950096 +1430737724 +552571161 +1145562089 +567096704 +831172463 +38776711 +25489073 +1348944191 +2033994037 +1381267121 +143665886 +1509669259 +1691173637 +1480957131 +1533686997 +1333583545 +1958072137 +1567068484 +1003193291 +143195077 +588128139 +1041387707 +897827168 +1841084215 +896935830 +334141650 +432149969 +322140938 +1823101768 +672385431 +1634091034 +1106355844 +1224956592 +632169476 +1673452549 +2056129056 +670946187 +1698941622 +1257589599 +557456576 +932725096 +1401255486 +2067125835 +476415085 +734728969 +1453329185 +1809998630 +545317459 +872914021 +665708274 +688512536 +1461042160 +1707095981 +1586339704 +1154642727 +456548163 +1920481354 +1586792697 +778689101 +1596099474 +111694480 +265296488 +554971671 +1336651073 +897465964 +80940572 +1245296481 +1568412151 +1779882194 +355402432 +2125868727 +565123642 +1756657918 +2045510914 +1041538728 +343903240 +1351356451 +704053710 +889220699 +76786825 +1369761984 +1577733235 +1537828985 +929374317 +1016589291 +544988065 +1385922481 +789586997 +2131780762 +17127934 +238202824 +95991594 +282424422 +793174495 +1432642667 +1179890386 +874115067 +530455500 +600818889 +506513613 +885857933 +579203968 +1071637256 +495032203 +477231235 +2113175984 +838935443 +1828587686 +669746046 +1728156142 +1905374511 +2039508031 +1158405729 +1295719849 +821398700 +27511372 +1840707914 +59837533 +817098370 +1825005028 +76965468 +1055301194 +1920996622 +359389890 +1848475689 +1206155642 +1539280277 +575107108 +1736611142 +2140099166 +1081620721 +474985427 +571819487 +5774329 +970017631 +1049050722 +2118950313 +1808953074 +730154760 +641212712 +1389625569 +488045624 +533237095 +400547650 +1783765473 +1354635795 +428059023 +1476989739 +1414473329 +1245157393 +1154511119 +1491438797 +152974939 +928024093 +1850828687 +2001450628 +2134179735 +1242625316 +429074088 +1723307230 +1235240835 +1510694809 +50809009 +1807060322 +1516469139 +1020826640 +708627396 +1487935804 +682296067 +1438782156 +2129148516 +2071921636 +1926827780 +514901963 +324985638 +1563109605 +1869537759 +753044661 +892615696 +1136527440 +1998202054 +2047126815 +480482589 +3693345 +827667261 +183827628 +2005143973 +814363348 +1426452945 +286734413 +390186930 +514210132 +1797429223 +440995940 +173786806 +1166414714 +1461822580 +882414202 +506866870 +2144118647 +173712710 +488531739 +2068556635 +2100540491 +1003433702 +246058626 +1516166448 +725487813 +999103287 +261298497 +1862015253 +849821694 +160941664 +195014194 +853515039 +988608925 +378841823 +711175365 +1802972274 +1805294768 +997909778 +45675556 +172021252 +647855353 +486671496 +345808058 +1814270067 +1948494077 +1228222260 +173653290 +1945129076 +1401934970 +662185029 +1866202064 +1354991813 +1665618731 +2112260690 +723674614 +243622897 +963880329 +984973111 +2105638150 +1813702023 +1145914775 +153168697 +519733415 +2134523701 +532010520 +1230908780 +1790012327 +189821640 +81334910 +1835687883 +361842892 +729190264 +174875732 +707650950 +395976683 +2123369809 +1935873210 +569629973 +1921015237 +1190324532 +1231815002 +1639733653 +397832698 +749950086 +1604510695 +1121507312 +993572983 +420907377 +2106480423 +951727485 +87125752 +1104911550 +1104896182 +606859167 +1091951603 +1636906702 +1837767947 +734480282 +1826728342 +1919102858 +422684518 +41087586 +500809474 +597560250 +748738536 +896786157 +573446411 +537128098 +1466416131 +346978000 +1727452631 +550747485 +1986711654 +2125285329 +1300697571 +1443738701 +1099308993 +146786906 +1864646078 +1058305768 +1098514392 +1951771831 +15733670 +55926926 +411147350 +1107685274 +1692833629 +101431650 +1842165556 +1372078323 +2020534508 +117366426 +1413165910 +373860334 +714926676 +14420798 +1270646491 +1288373087 +551548897 +589578974 +1635351088 +131517880 +1140326460 +1474579094 +109319561 +293540383 +770834147 +1208628554 +440327290 +487996578 +119450674 +1538841682 +292284761 +135184344 +1594768608 +703432111 +1242869618 +1140118589 +804863761 +937551527 +364713265 +677914621 +1054917953 +1777879175 +1051774955 +1769844630 +1792299973 +174937799 +910734069 +196365222 +764516773 +398601509 +327883102 +1904843233 +1873180603 +437202663 +50899969 +496531103 +1645831217 +491227259 +984527681 +1765281891 +2030068941 +1276812442 +1900466236 +1477353901 +1980244553 +995852206 +469988843 +637624667 +1933403733 +834702108 +1315539288 +840838039 +465097635 +219830596 +463199021 +109913960 +394768395 +1373933090 +306279183 +1159285168 +1772534600 +634162285 +916644754 +1498231555 +1071364949 +967544723 +1994762658 +569712518 +1458771982 +831806691 +187510762 +1341357275 +2108619133 +2087976998 +671227528 +1941380039 +936345556 +1141216371 +431521058 +722265642 +1975918479 +1747060346 +1563103681 +293532466 +1966890942 +2026302702 +403446427 +214175689 +1252752144 +709725610 +1373460858 +877803096 +1343887895 +142621964 +228551004 +267769196 +1110166687 +75830014 +837481715 +421455021 +907636706 +1024992477 +1762812296 +868772191 +965485827 +286556176 +662668582 +1901831383 +1427772548 +1094189640 +476613377 +1256207379 +693766339 +2039717058 +1549739846 +513173633 +1918536112 +1953186273 +727349323 +1023804609 +515428235 +2100810181 +1901607705 +1859316130 +95948497 +2130158709 +2127085327 +1206115184 +58505076 +817083394 +1627570205 +966141782 +1842075871 +1242898853 +1834913973 +660078050 +1529455029 +350098908 +414425785 +809743929 +1444288548 +891039163 +2065951309 +2138054887 +783272573 +1468207507 +503744873 +554325038 +1273910132 +1231094196 +1578129647 +1789338367 +1184420729 +1332253704 +1501170849 +1280369226 +1314928766 +1480772528 +339000762 +1373433842 +150372274 +1966570967 +192091976 +1992448145 +1061986172 +2027005949 +505042547 +443957553 +229621209 +919468333 +1253701483 +1673909758 +1810507496 +1172169144 +1664480997 +446296421 +492893003 +20742222 +1000621459 +1766803135 +1251836418 +431267458 +1408657854 +288773499 +1763521163 +762345055 +1569142725 +930966281 +95633936 +1908143487 +156916475 +246006210 +1727230806 +349008451 +90970708 +641733330 +228530752 +596013255 +1085690884 +458151962 +1515481588 +191908719 +2132061720 +1178505436 +1364077863 +1649059069 +1624801858 +1856970866 +1669801292 +477939669 +1476290353 +774154062 +909207128 +737464559 +1062927562 +525244643 +1499809614 +484586639 +1456210924 +1595443550 +245246479 +1613127399 +1841449761 +1972477285 +1962135850 +1932420469 +466726968 +43182954 +380950076 +1552417852 +501334916 +1896431665 +1744326571 +485912988 +927453453 +960920786 +2134972058 +404771663 +670408004 +1657289702 +882711333 +2146698357 +283960116 +1791918461 +736679268 +1346887678 +169679456 +89005234 +1831474318 +1625890380 +1684448785 +2076720797 +1091534131 +1378414898 +1901714434 +906186333 +1163351719 +220957754 +949369287 +1544301795 +1773375606 +1450704204 +1293249812 +1370218529 +1936617192 +73219618 +183655667 +1924105602 +477991281 +854063671 +1433911656 +1360702614 +853278380 +1717871773 +1005137427 +1589957648 +917275803 +1174816883 +1678962883 +601266473 +653223615 +1215928020 +530503622 +1744757746 +446859270 +284734409 +503460431 +1610210989 +505692163 +1452829719 +1007029136 +131584122 +756050275 +152795301 +1501802651 +545183819 +226014919 +1685458319 +321805774 +704006200 +392038342 +1755717430 +2064708815 +1245316723 +1326105555 +922362594 +687790723 +95897711 +2097179478 +219269958 +697164184 +602919445 +1435197978 +1227667807 +200193544 +1882057248 +1512402216 +703653975 +1344784589 +2018094379 +9000046 +204330078 +2194853 +765050321 +357125379 +1503997505 +1310234141 +583140298 +1041972176 +1632039915 +1287146498 +1434010518 +1240273697 +1204371665 +531843593 +418895605 +2126734260 +1219634317 +514793316 +2076430090 +1438904275 +1211957500 +531865887 +726618606 +292141659 +732059431 +461192206 +1804543875 +1435713407 +1805976796 +1675154607 +1444713453 +2010306874 +1677349460 +62280127 +219948605 +1033863317 +1372514268 +803088903 +2075835493 +857070535 +2090235401 +1362362364 +2097344232 +1147123419 +1894205957 +368756189 +1126374031 +966356626 +883549505 +1055320473 +257777254 +2095507006 +1587186360 +984395860 +240165017 +171762144 +1445588066 +2044708893 +1607475551 +1104081214 +1572379852 +904705356 +966904440 +1102245664 +966985483 +1186853045 +2136108982 +192016103 +1989941948 +2064460827 +1049086638 +1932693702 +1279339543 +998947223 +932333473 +1026061853 +1367703412 +2058707504 +1992418479 +103769270 +966544329 +102712085 +51792628 +406247041 +1087107945 +291957645 +578009185 +385212364 +189182890 +38001088 +1489293578 +1761562742 +942706445 +308714371 +716324759 +1909691928 +1495567416 +704950093 +2101708032 +1338025717 +621927272 +1003311022 +1123235771 +1901266816 +2002258245 +2055569244 +779845021 +1222478010 +1966793100 +624779852 +1326247280 +785853781 +727491938 +1378039908 +1192100822 +1814599883 +1669997553 +1770110008 +52328599 +1859180444 +1808111096 +1541622178 +1473259538 +603333893 +1850336549 +42100649 +365542174 +1198420317 +747050742 +319766558 +388962386 +1368978015 +1323077580 +1512198157 +1122761183 +1177852178 +1420283753 +1902606204 +252846540 +1239593205 +379902408 +1579093820 +2025446986 +1107394346 +809650080 +1070064161 +774510582 +332163985 +692690521 +826839181 +43860781 +353317969 +220977711 +1517120320 +956651863 +2071314260 +1559220969 +1322194037 +1122250930 +158788064 +1641960595 +1511213316 +1527766079 +817554527 +875927826 +503043614 +1995406705 +148727931 +258166170 +100769597 +1388321137 +638068578 +1679863417 +1266284475 +1745462925 +342029849 +188864988 +372489859 +674193835 +881555509 +1199329040 +718054616 +1234873479 +1420306752 +87691288 +44041694 +1344137364 +1646912258 +1366235731 +318904646 +1805700322 +860712678 +1830117963 +1185982753 +1678267205 +558562141 +1689026367 +1526190263 +707290072 +1947192537 +1626959860 +2095611209 +437777467 +1159339630 +1214412037 +35756744 +1501369479 +1403277025 +408246603 +28079666 +137348887 +1607575644 +746134283 +1372222366 +880398748 +833825571 +1416264060 +77052464 +333254181 +635016143 +395957111 +2138954503 +1495728821 +78591426 +1177453608 +1026512378 +637153567 +718996327 +405218993 +1344443639 +518705216 +2032178854 +1292571201 +956482684 +1044034836 +359499590 +992239428 +397920667 +1762776615 +1400486032 +426000334 +1900125502 +860578028 +1172134617 +1124864220 +1740976776 +2005960188 +393644632 +1818029240 +191730722 +1028660775 +66502703 +183201577 +376905948 +145094129 +1360655186 +1403418327 +782247696 +2079651513 +1808637320 +2126691336 +450873082 +1693332526 +1271778889 +1407355766 +589883714 +1631278479 +252111546 +987804382 +1246571446 +1652597578 +1413804716 +999213301 +365691958 +438455685 +2124077521 +2106668734 +296932225 +370238506 +1777214327 +488662947 +1398899281 +1843717030 +671864525 +1775805230 +1988811160 +2032519711 +1031739909 +623575208 +1964687576 +692893581 +602782896 +268077010 +238742460 +1874561785 +1675432776 +828626174 +1358356616 +1927544323 +1816430556 +457444415 +1432658253 +1082751624 +1456657716 +1798350212 +1521207309 +1433251589 +1757535298 +1818139535 +1803490095 +1387265977 +159318834 +1054905729 +1083499360 +831183359 +683227311 +924826872 +716219422 +1714967220 +1548402080 +533423351 +260377153 +3701329 +801500361 +499119613 +1878263114 +329449490 +1327745788 +1089136083 +109510165 +996692696 +1546580498 +1542168418 +2079444321 +855754566 +1193034982 +1453167982 +141522507 +803086633 +1123823869 +1945012603 +42868962 +1283142704 +852434684 +1126368322 +2114326063 +1535661995 +2051195194 +683061838 +1103145567 +1452113627 +1216485189 +1363522720 +1455814956 +2017985550 +1862642334 +1186594422 +199951392 +1042904474 +128246857 +309461557 +2039597170 +1674827355 +1851629976 +1971557843 +383098273 +897181310 +1277242178 +524620781 +1700267943 +253582399 +322149736 +1743136906 +1536725103 +1174584420 +722021580 +1503567519 +562762767 +625733127 +39145709 +1665908334 +2077846754 +1255630898 +881947406 +1386178062 +1126132800 +597106092 +425288836 +1326084193 +1640010566 +553535694 +1635545750 +1532124089 +80879401 +1339692078 +1356198284 +463977675 +89389741 +485956814 +988598456 +1789657684 +739539214 +1310748192 +1385310942 +128780669 +337848964 +2107332523 +1632348188 +900611731 +585582002 +1671493897 +419036417 +515945108 +779641147 +1300983823 +1902123170 +1905773948 +1898089916 +179928358 +1084374493 +1390616834 +733464052 +572436595 +775257275 +814343454 +1912128674 +2131455560 +1278321129 +2001518415 +469928726 +119435937 +1643692451 +1209467940 +1430184129 +881519746 +1338248610 +1768033093 +841368621 +823113150 +521161176 +1426950623 +347123400 +940197593 +1942895731 +1126764547 +93697768 +1697535253 +885054847 +1991787684 +1877463611 +1969429340 +1234920871 +463444016 +394382288 +2010178146 +1277787470 +159027314 +1994150058 +408624951 +13062081 +316595137 +528060888 +1656754532 +1526063077 +1958245017 +390790630 +716828039 +1578794462 +1232159251 +1539941190 +2099955638 +511626226 +1887064590 +892669583 +307038309 +866345489 +986367351 +2004573562 +1751400337 +830671388 +1734553526 +1573346029 +2065592259 +50513894 +1967728317 +1928286757 +1328301364 +2126755631 +1774953168 +1736926315 +2139817712 +2091548305 +117503555 +1649088597 +1470127734 +2075748572 +2039879227 +39472126 +1507059386 +1124554831 +1579413316 +1459531376 +1636181057 +1318994258 +204717311 +1943219367 +37856099 +1191084662 +1800309281 +1789256436 +2021756050 +1387379159 +1215118818 +1939864661 +1437893053 +1035363487 +1720667771 +618710769 +1014635471 +1348137291 +208153436 +1006969535 +1292201948 +325656991 +508574484 +614846034 +253921915 +400970064 +654318160 +1760981301 +1525524895 +86247828 +1073029029 +1014222304 +1405242086 +1277746340 +809958023 +1443098186 +321347355 +462783657 +1084870974 +195619757 +1850162816 +152506144 +2135484419 +1140572222 +1187869632 +1708668542 +1759282991 +55021455 +909322185 +1967436428 +1061990990 +54040485 +145609771 +1570565475 +668886519 +399531687 +1971535539 +1323204680 +13029340 +1349576786 +1409452508 +1086058370 +216315442 +667210947 +216321062 +1026273466 +2110309133 +537668417 +1489057123 +1047696459 +733288175 +1191736291 +1200202604 +721288946 +184824865 +240588588 +282473840 +1944107857 +295610043 +1191796025 +1764060637 +1357601033 +1245836510 +1909670408 +780682860 +1914723029 +161718447 +604734751 +1090444061 +174747788 +1954311537 +352412922 +1260806158 +23143332 +1019623869 +1477127220 +1049416798 +982449354 +2014795638 +390990273 +2030145813 +600600165 +1582726564 +1082864769 +1321889111 +1767551430 +1323453357 +1604362951 +1564175639 +1619063400 +648675328 +1180752628 +829180786 +1894511838 +942939388 +1609863646 +1661751219 +1104657836 +67114750 +604711633 +1279405624 +2021426287 +957124555 +392728134 +2044569619 +1976748424 +1869855354 +946502769 +811714130 +1737167344 +1337493042 +694376295 +190283861 +772735959 +1777241065 +1512172972 +392803741 +953210774 +969052275 +1956979380 +424790527 +1617727603 +990248360 +1253971313 +1364755793 +1933187748 +716351311 +879023365 +890361936 +783466061 +1483734998 +22283912 +657408701 +293375905 +415012046 +554494672 +122640681 +137383753 +1500997442 +934354811 +1874551097 +691006836 +1628731106 +2064834959 +1463742795 +1258488523 +1429524283 +1856546536 +64215650 +251092911 +1666042268 +489006177 +1868820514 +508806980 +1742977490 +1086092660 +294511081 +311845153 +1965116025 +1184873017 +1095311215 +1301367375 +1207156930 +1752719916 +1594743280 +1622168976 +159730940 +1717383961 +1759552729 +1660728382 +504255124 +1486620179 +204251571 +2132986230 +1403971490 +1667994366 +1243991106 +686012125 +1377057255 +1308206756 +937105036 +895615875 +1797212933 +658441903 +1404422856 +1392706775 +1744534563 +1698933937 +1704551928 +1562166940 +736323306 +652379495 +716050667 +1943480236 +257615763 +163310299 +1418165565 +417346704 +1880694260 +1030234646 +2078075086 +237465736 +369371177 +134843009 +222968318 +1773342667 +1802837376 +1466959424 +311871145 +1032410983 +627682532 +1248976181 +1928026858 +277411817 +1907418084 +1184966066 +1670118592 +1504468999 +736416355 +1227186873 +919152291 +1472739662 +1879566368 +1635202958 +1268736250 +2137182132 +1798513257 +539418167 +407045188 +1531723869 +1569652814 +337636626 +1769189605 +1939023991 +472479636 +1992157924 +1564883011 +127833364 +1311633700 +1876754156 +1160244347 +1939316233 +978246689 +940787557 +69244402 +738181126 +2125753624 +1739362995 +95166477 +714686331 +819066220 +1014318769 +39942345 +551148940 +502038079 +1308678596 +540847424 +153067689 +1848096763 +947892612 +1684791558 +1270265929 +1285529239 +1306497516 +1061806273 +1758008875 +1151171792 +479205636 +1885842239 +315321844 +208476144 +898602938 +107154429 +1186722833 +1839390495 +176398832 +1924903959 +1817660471 +1915761827 +2020070437 +384863155 +587344399 +886905558 +424805500 +1138493339 +1388943637 +1733484096 +1679340764 +1542011326 +1434097212 +479749728 +1079319237 +556879493 +1765278967 +238333105 +1618685766 +1375804194 +1389504897 +2097891402 +1114162785 +1704826741 +158883898 +2012765723 +1811981171 +1345606732 +1704672571 +1988380003 +1123027043 +1374849394 +1756658182 +995613832 +1759712549 +196518933 +1882519390 +37034402 +1335012272 +1123979380 +1770518498 +866869388 +518507058 +1057132062 +1346619117 +1597826295 +1614011556 +964414436 +1836159400 +1085213674 +192734983 +1078180649 +1035621429 +1306897768 +635523743 +1194505327 +1172179844 +300021266 +392628411 +729368767 +140917621 +1515655455 +2104218161 +1897575803 +363785639 +1716447063 +2094094736 +98821382 +1753481465 +1281623360 +1222800762 +1376516315 +1009101 +1741307820 +286164730 +1347628218 +1191650468 +1900176286 +164559006 +880326220 +837906312 +357293989 +1958506870 +1873527741 +1664191758 +446546965 +920549421 +688887954 +746568231 +1313177832 +1418256721 +887485852 +681349639 +1374991234 +637578007 +1045135279 +943954649 +584189095 +1143956661 +549952466 +1865812455 +219273775 +1926468782 +1866821556 +1960581595 +65149864 +1066966126 +1004748415 +1965326150 +1231525133 +1885074636 +655748814 +1588819122 +1696097858 +381792908 +1105527232 +2142644823 +1302342329 +1794415186 +741729406 +468036513 +1065188259 +1629215258 +1149386153 +292695846 +119309617 +47037784 +1236650495 +703498712 +1190994445 +1786602962 +421827519 +1410268220 +1565588096 +141165428 +1223366167 +1630737960 +1208131554 +80630935 +1448580462 +292173039 +1965705571 +2104329276 +1880992162 +1514319781 +338638536 +839035746 +1509480956 +1640980865 +485967285 +103726714 +2109017379 +1551155544 +1732941972 +1110919884 +1843851390 +1852251589 +1157957668 +933018238 +408266653 +201468465 +572137552 +830094172 +1611736685 +2137725648 +971259600 +687619204 +1620979960 +31907507 +768250139 +922076774 +324080546 +586472062 +878922402 +57589060 +2100791843 +1217560939 +896624807 +1462789151 +711058156 +1382592092 +1566515865 +672591887 +786263988 +1151974189 +1783511771 +482631731 +856742130 +793985791 +1415649969 +1265008783 +995454256 +1987787521 +2095102956 +459707293 +1978029521 +918878908 +1147326498 +1451525833 +950786415 +1915576637 +226118959 +1274866962 +354565052 +1105041361 +1332456022 +307873247 +175118652 +81597181 +1770662399 +886176809 +1464189273 +1189694616 +1558768696 +102969614 +194185158 +1194796820 +585601345 +1050927288 +1988782611 +2001251314 +168452424 +836753220 +1841555187 +116071732 +1296460513 +1672101060 +1034950640 +296303363 +976143245 +1985737056 +64396353 +1202262204 +1113120370 +418961405 +159819917 +298092744 +726834652 +334938570 +379689926 +350013403 +1221115379 +1843879199 +1539708020 +632400427 +1946848813 +1733893178 +1827197247 +384966510 +637336818 +1668496211 +238734176 +805789242 +357765783 +2080289363 +921860974 +1654226296 +1604906775 +1956811615 +1950529660 +433566372 +1795065023 +2014926013 +1635828576 +760701745 +286403770 +1795648494 +1058794489 +1013238422 +2130587064 +1438484415 +1363251826 +1204218795 +1134879967 +755476198 +1836619222 +934245132 +341885728 +1516332822 +1319211643 +979222546 +1037345385 +1557945819 +1785011789 +1395111168 +1490751535 +559389115 +901853816 +948174662 +368717082 +704899828 +1381741035 +16298457 +572342193 +870085963 +777000202 +858745963 +518250809 +1835794692 +1871984386 +501354225 +1126795459 +1087752564 +1705573020 +114191778 +1843228762 +1394708595 +1048436911 +37630842 +763557769 +220164906 +1016853388 +1800903154 +1778110725 +654381529 +1048530674 +1121378612 +1213770645 +1950384490 +2069553275 +1582487727 +507800671 +1303810662 +1598786185 +1080142864 +26412977 +228302739 +1938888828 +544663787 +2064097431 +1663389566 +1046018012 +1043409243 +603658482 +604107385 +1157601021 +299403596 +1998815980 +58554284 +337034438 +614890101 +278719190 +1353887826 +268309607 +2056829916 +2008269356 +1316840281 +1030724880 +1074556353 +1119741123 +952794507 +509560432 +1627541794 +109121521 +2108346617 +560201011 +135534499 +189165709 +351606191 +680198286 +105779492 +2014995757 +1726216298 +1149188735 +471170591 +182840035 +159306109 +770574187 +34172367 +217860393 +1107608625 +649062468 +496579584 +314012803 +917372075 +405925852 +174798511 +86728708 +1436650732 +1249354864 +1206469832 +241961592 +1758915297 +686527978 +351083113 +1719778266 +1246728989 +486617612 +1908943975 +1598335180 +1166815898 +2014723468 +1465847289 +745548549 +1016428555 +1937017880 +928388584 +1175734664 +560108419 +962560952 +1393595058 +1667717044 +1611623420 +1890174642 +1981729848 +381511848 +148616846 +9044711 +468240556 +1585267578 +1258399576 +1674710388 +1827229170 +869831225 +213754719 +30828636 +442125843 +1460483708 +517446248 +203586171 +911335241 +1684262147 +70825991 +229698882 +282327048 +1087254546 +19233115 +1210715632 +115505563 +579341534 +25792936 +1509100621 +99574931 +1637416357 +1251791615 +2081304779 +2018928205 +1400408461 +2090349490 +339685113 +838192391 +1201265418 +2014395502 +517937914 +2071096643 +80666573 +548766550 +365738839 +1541150281 +1066212798 +569325010 +305001874 +602991297 +640151001 +534700757 +885318345 +1727405547 +553933872 +2096033978 +1842911110 +1133275406 +2121826914 +1204528083 +1232850337 +1611759623 +308836050 +1166671468 +1483204180 +1709244511 +1109537311 +1822889294 +399953255 +163319081 +1689801148 +917891169 +86932077 +1770467721 +1466657719 +452670916 +1164134354 +385386869 +1021995926 +1469136229 +988378167 +1662146927 +2003836986 +1873696512 +1242068826 +410287210 +1822246842 +937496289 +1543562616 +1796590109 +2142024372 +628929306 +1260866084 +303376775 +1795600774 +596586617 +2012621286 +757654437 +271992263 +265090893 +920973519 +1961793411 +1182982062 +1007905596 +1584777484 +502156133 +1460576512 +601428190 +887543003 +335088790 +2070564419 +1875921170 +1997235717 +1926917757 +1602134034 +1091820895 +189721319 +1276897229 +2029317184 +1733283936 +926003690 +2023857909 +214729594 +39386126 +179751036 +2010330368 +635972743 +44888674 +620501158 +907965006 +309979568 +1541474677 +722274769 +1492961630 +401896625 +159568605 +1995117764 +1862473137 +760996796 +735177119 +50078279 +684077567 +463614641 +2047313996 +463511677 +2065748675 +991651243 +653232996 +1195162256 +873484780 +239033284 +2121165946 +749859041 +453762878 +13068425 +929610077 +316609599 +649041168 +974498751 +937110757 +1557006175 +1284478319 +331101786 +131797296 +629956302 +732998411 +291365902 +477590418 +447987900 +1052362698 +1212767537 +498066179 +1736440265 +1676382178 +397896527 +52468294 +1594647205 +1389547770 +705701291 +642325814 +115548902 +944734575 +616008112 +865407943 +1398497454 +629076537 +1795018020 +1715107053 +1278117706 +622033124 +504734162 +687640233 +1906511443 +835835948 +819437529 +388984097 +1568834359 +1110803431 +866574515 +2016822259 +15682481 +2079342052 +367404790 +1752122747 +1608240582 +765301317 +1804591041 +1055404140 +7365439 +362808684 +1697729954 +122914342 +1307543260 +166254418 +988322285 +558557066 +795330956 +635856658 +126180471 +2073448662 +1257889782 +630914633 +613605247 +1016917577 +1466750581 +1433042776 +1405901675 +888101292 +396362560 +124992542 +757439903 +412045041 +56850947 +1124844693 +16684140 +1665091529 +1890146010 +1821275182 +573012021 +1897511449 +36600218 +123258327 +2020425791 +1344143478 +289512746 +861264429 +1902700544 +1084843702 +1497121087 +2028881015 +1010808716 +607527221 +512312000 +1624413963 +1624444798 +1979062581 +909973091 +882862825 +719680225 +1306335651 +1007855368 +1477120128 +1718380693 +1064706315 +454481173 +1735064833 +582314196 +197143535 +1408856367 +1155326218 +2094654985 +1445456586 +1278584545 +1967597128 +642116416 +1568097291 +681377909 +397333313 +505457345 +31015348 +278730680 +1516266061 +638542569 +791042681 +993196376 +115503720 +622621614 +1903169468 +998366545 +1342301840 +1062021471 +2006221913 +671938320 +632918516 +923444580 +1126419494 +220499702 +1505758777 +1323563029 +1629356069 +513601347 +1270734366 +927329007 +1792185892 +1090847847 +1569445424 +1212799536 +1772225756 +1966778737 +1718256881 +1803241105 +98025769 +1087039295 +294300026 +889068450 +2080235671 +409803746 +1511690065 +1835921491 +1408170292 +706508257 +750459315 +1266908557 +1378446577 +1383377831 +42869490 +357382423 +1603877533 +1548628267 +1680945453 +1085749955 +2062229614 +804196171 +2013078962 +1706931858 +1895044018 +1435040738 +772247746 +1519786127 +1254335827 +343020980 +1175543584 +1352361597 +1430060275 +1469843610 +93946399 +1362812298 +1879647357 +1605636464 +1051250142 +1140334001 +164661073 +1801709457 +259758910 +1543107651 +1037603640 +302628400 +1900490074 +493997526 +1851256667 +1433951879 +1579747481 +1766002633 +90664403 +1445342795 +1325450844 +1985708421 +732899886 +2097698590 +1358010900 +1987235713 +293235922 +386070836 +1192113662 +1723296197 +1855914447 +1286060062 +938624848 +1588078156 +744212878 +1989874990 +580928509 +908873952 +1644100799 +840687419 +304497955 +534220791 +1143315820 +57504381 +1028218317 +847088839 +1491456261 +460482150 +465607825 +1582120664 +1905824946 +1791058669 +1420345437 +491241184 +1741273611 +630872690 +330993249 +2034509534 +1016943526 +1523106912 +1610322083 +725374325 +661683326 +401463283 +165968833 +1405896204 +243854625 +746897342 +167286508 +1887955424 +1587584762 +471784463 +274692568 +583416934 +529288845 +1302910885 +1430505773 +2020745106 +1763393036 +1896113598 +1455382122 +1521734334 +1539688619 +728243911 +2012975518 +1133478583 +1359116601 +196485119 +1020504469 +228576480 +1719592031 +483342904 +953950805 +233791709 +884806188 +1119919639 +1639687914 +1128660813 +1866816981 +1806974422 +869132590 +1306918095 +131275238 +1143825158 +1890335029 +660564083 +299252395 +1173357155 +533825541 +2062645431 +921987105 +1989207663 +1436896117 +314192077 +569967926 +1302387987 +1447670660 +1929084528 +1498873107 +320691481 +10177360 +1070981490 +804034385 +964128165 +1304773200 +1688840573 +2084047804 +796977466 +670017739 +1803381138 +456468240 +1539150329 +962815585 +587743478 +535491839 +705666967 +1248307561 +834744234 +1879024122 +1782133102 +749906018 +653527579 +1623857117 +39318487 +967719656 +46341396 +1341706475 +267906668 +1975425924 +693095934 +588598149 +1985603284 +1764077424 +1392632535 +802247801 +921366976 +933989460 +738811958 +1718344442 +1604007199 +394709448 +27329035 +995673880 +1357525033 +615072513 +1531165719 +2063192000 +1863380075 +218426306 +1794732474 +1498029529 +968332324 +300776406 +974402999 +1007650811 +1268496062 +1020744395 +201873638 +1536402731 +848686671 +894969572 +2125000880 +686806307 +511563349 +1370149767 +1489054108 +1432930325 +156655580 +80382418 +1003791120 +1760662779 +475091866 +1031120155 +608853012 +1832616900 +1646192668 +2140018731 +1748325252 +1362089095 +210961389 +1395574079 +712634977 +1179293713 +1696350485 +1687037976 +39460877 +817362899 +560298723 +241334515 +206281982 +1408985394 +1136304088 +183799215 +2095791701 +1647867437 +1553948982 +1437362161 +933314114 +1710604562 +1517744580 +1937105234 +1323783694 +1992836446 +820741741 +1932636706 +1677969698 +319450762 +1925171789 +1278811303 +1681539857 +2136133179 +526901734 +246691186 +1167943244 +75768571 +1933729162 +1207404121 +893131470 +346544237 +1448738637 +1099413453 +1755529631 +437559077 +1283212668 +1703837684 +2085426514 +689678002 +993716198 +871256980 +252798917 +363977130 +660878567 +1576582611 +209329928 +1481620308 +1361735669 +1887299627 +1801071070 +1139423810 +1018627282 +1335127280 +1128073341 +1545529016 +1581818466 +148532938 +1621297587 +1368063981 +1355937059 +366945409 +1714608218 +657192048 +1466358862 +1322654202 +1094751125 +602087882 +879008238 +1032693991 +1291765885 +1872724436 +1903950972 +1544564802 +89217918 +417345891 +973663765 +298547847 +1898966199 +187915786 +38363826 +1552553622 +1327339596 +1056991108 +740197254 +307929290 +455036476 +174532072 +456462228 +2076334063 +1542596053 +1812399287 +295795824 +1109720624 +322107688 +1762154687 +284891178 +1416858813 +216758921 +1163899416 +302069157 +1508524806 +889140205 +58536481 +905605960 +978358123 +475882372 +1879269725 +1276905970 +227364923 +2067185511 +1315269796 +1779918545 +1247041460 +224777256 +372632151 +1554970750 +679813732 +547164224 +2011432978 +608664147 +2089760277 +1676348617 +904459972 +1051997253 +1998456305 +519131011 +1336888431 +1267831471 +735889932 +353304200 +1569900628 +96931091 +1242444405 +1628437109 +1002537051 +73318880 +2104319481 +734323129 +1350224851 +184200756 +654024992 +518010999 +1964119302 +1901066452 +742788256 +189267805 +1308553554 +1422601988 +736432029 +1172502884 +2031266136 +678708659 +701367854 +788242460 +1730705912 +552340511 +1307373471 +920110696 +1820171982 +2043263403 +1273414896 +1242588962 +2140194494 +368375653 +723542423 +995247898 +441694533 +680378256 +1729571027 +1791919384 +864579013 +236112371 +162446736 +681214667 +2137178824 +905234992 +870482472 +1298248730 +180353332 +1606914502 +323267967 +64135820 +138139513 +1024635821 +852378280 +1868845425 +1576976332 +12268103 +641472473 +1249664667 +2055531507 +1914887369 +344769981 +2048242353 +135779374 +1068312405 +896006603 +577473908 +1748690661 +478093982 +221909644 +465786026 +714206354 +384356380 +1147000693 +703901530 +1289591372 +2017483166 +2002150260 +1469944705 +1476914020 +177934579 +1534080525 +1615053533 +1202570400 +238975158 +1336415310 +632063085 +251243261 +1977887784 +1881727752 +159291120 +1745291505 +79014085 +60049826 +1881070880 +1147326490 +956056429 +311061140 +748533504 +1434150412 +532970784 +1214319530 +873118 +917327165 +213836576 +704774648 +59434889 +83836094 +559441260 +1529379594 +1560750114 +737375840 +915976472 +1028319999 +1939946240 +1154951630 +217251661 +424525677 +1406194891 +47655797 +158769781 +1565486012 +1792947303 +237783867 +1625535838 +1526534535 +1385110357 +434108619 +1837595675 +2133643861 +1868259031 +223082811 +1200479744 +1869132149 +1140409976 +1414316320 +426423149 +1199844866 +1498152414 +985864410 +581740812 +911418880 +1723240250 +1497717284 +1939738879 +1515702842 +505185266 +9506892 +1940228520 +1911380158 +57162690 +2098998301 +1329382522 +1850109993 +189298520 +807434712 +1229160880 +1574408878 +1241543331 +919272907 +1560569091 +962318715 +1142355718 +613565187 +683967216 +135282047 +2027881507 +1110390366 +1335126913 +1378550273 +2096254776 +1916867725 +142485505 +1672011378 +1267101362 +2082224384 +1040230572 +1772286628 +2091731277 +832975444 +1536183138 +1410319 +784490098 +718082012 +1851520312 +973788618 +1525516724 +933197544 +400713848 +619576408 +1852470451 +1961282940 +1581895123 +847342521 +427364479 +118378691 +982624568 +307762339 +1228769057 +170267833 +1686312612 +1177540185 +2087135559 +1828798118 +702067915 +1206753273 +1763538854 +1742298488 +831556253 +1707786483 +427790284 +220255744 +1709196802 +1212280382 +938337756 +1413233466 +38585353 +316370833 +198947362 +439299201 +935947241 +2051417813 +253098493 +370358716 +751276687 +680462973 +488737407 +1733901255 +988225312 +1717506465 +1904169089 +527054276 +747563002 +1843821000 +208368746 +1449630918 +903090625 +1971907601 +1044445758 +1734646878 +1532210436 +1472236042 +1954902622 +1093923591 +537032777 +745756731 +359673409 +575618130 +1062127564 +558620772 +1014917331 +1998074805 +462554937 +1268015825 +220949873 +1213831624 +1948478798 +709687280 +800249232 +789220462 +279710097 +556934673 +1316274738 +1027273100 +253272025 +1524643485 +329420370 +1156362650 +1349067438 +1373866128 +743525880 +733794226 +698618522 +550944855 +1827717817 +1235651299 +1296701586 +39907579 +1811269429 +211345502 +598528351 +678703113 +61936659 +1061083288 +1946718938 +282886532 +127431265 +1747714088 +992573812 +927680497 +389450902 +1272283910 +1484615170 +1705725640 +152073362 +1737887195 +1082885477 +481493732 +746766197 +284469267 +1855359860 +1490292077 +1018263494 +406494734 +2041236932 +698497663 +1642146034 +1190454870 +738405242 +1305931815 +1401800372 +1336933593 +1984634928 +1463737031 +250533234 +1783870218 +1746623563 +377964499 +1384100658 +591713728 +1305644996 +1773551560 +1863997638 +642776518 +1331793553 +2016071000 +233180065 +267195382 +350081084 +979946262 +551664650 +57957296 +322754691 +1569928144 +464452030 +216507976 +120942159 +2106598064 +1406962846 +859347402 +1265046232 +661279571 +48797347 +1102197512 +2125016602 +299330581 +738584083 +1724156518 +677295080 +2122684741 +168386598 +1982940076 +1748752654 +2032384236 +478232946 +933062559 +1900971588 +711413011 +1200257941 +103569024 +1691359273 +1751922591 +161526320 +2014113965 +1174367087 +625978350 +83138293 +1295309247 +585092767 +1490101139 +7173001 +1850138999 +3897062 +55970348 +804852863 +2128913665 +355300930 +1543436946 +1705586535 +1032596010 +1518638040 +1873973133 +868052439 +1119907046 +1758873721 +1346285385 +2052969605 +1512361661 +2057698397 +1105743898 +1615930685 +1601574022 +710182842 +1777457005 +1468204339 +1884549929 +255951707 +1551342632 +1032375528 +841044474 +893960124 +1039548529 +543699825 +897857186 +1095518878 +1348552689 +879287203 +1450819808 +744505987 +437390090 +335932170 +115660379 +163879575 +1203984609 +1235567425 +1922753296 +402786347 +1141053382 +1287631309 +313001096 +99313633 +756078346 +1914575118 +809496475 +386051703 +1235295810 +546562756 +642003411 +639154794 +1578938285 +1483047885 +1533114918 +471003166 +2026747711 +283488457 +1566522044 +1227816752 +1162775660 +869858204 +1972322739 +1600165751 +1205790375 +2087983119 +1764045326 +262291336 +1176066896 +1539314975 +665077683 +169636631 +679462636 +978078779 +268950264 +1435540983 +745170250 +1078446739 +1821592686 +1980466060 +1625009495 +316112449 +472137206 +1056464132 +1799160335 +2005252125 +1527467299 +1678424398 +141256934 +946505695 +758757502 +1304032594 +1816363900 +583596593 +756714697 +874670627 +524096064 +373276376 +1136961963 +1700162961 +1912591351 +1802039647 +1869799592 +444570339 +632634778 +2138749856 +1880111322 +1377805028 +1069712947 +1554220361 +1210787440 +547238794 +1870332810 +1682924647 +1603702927 +1522009497 +1540693124 +983686578 +1052950247 +1681950058 +1930192273 +1811707749 +838499004 +1599072525 +247820695 +1595213702 +326259504 +771916759 +1968490078 +1463221468 +324596072 +1733597781 +1117777467 +46912016 +30684472 +1750412245 +38178224 +1910795795 +980733626 +1107891171 +1317532508 +44037418 +1655129966 +1040381670 +1726962065 +1111349245 +414907520 +1120171541 +2095035823 +1467857767 +654637951 +1877744448 +1132081869 +1493136956 +1329333326 +1379902564 +940867010 +1655592830 +4335675 +761873440 +971330650 +328931748 +347987573 +2089108117 +375843764 +378672045 +1692036715 +414021989 +141984192 +525286693 +1521913160 +1459516700 +569324111 +1029559478 +352414723 +148802529 +2140908723 +767322243 +1268974070 +2088460898 +87696362 +1923612022 +1818721699 +1219778231 +1269265330 +1000571377 +452197147 +62648692 +508680559 +456532823 +824522132 +1480011210 +785464571 +1172509705 +1421635679 +1161308335 +1551181750 +966188746 +1575330324 +1693165943 +1491475439 +949759837 +1005198995 +2060799551 +1979319315 +1357613718 +62118432 +1972744391 +2124935961 +1331092502 +1913721641 +65148676 +1107220876 +1584959692 +1284926907 +229002558 +438047421 +1737124055 +291651250 +946727981 +46173230 +1116173382 +279255543 +831637801 +141199439 +1700891222 +1992946136 +1692381190 +519596321 +1420792813 +1238063485 +2011071760 +223069002 +95778832 +1924387663 +54904669 +1453392551 +1986506095 +2027649060 +1430844864 +1170114950 +1793887054 +1495993540 +129852178 +1231363098 +633436800 +358854737 +1669410520 +223077207 +650505987 +468654853 +269250437 +1766679370 +747910396 +1100888238 +1907878809 +301317970 +946350726 +1452776351 +820914291 +219659891 +543356188 +684502404 +442728893 +639135021 +461406419 +497633563 +2092527572 +300428867 +377798975 +1375888788 +1470543817 +24202381 +724398681 +1600395995 +1255565480 +1357835481 +1959250732 +777492352 +1580912688 +462273072 +1246147205 +1850163125 +81468794 +1994057601 +803567715 +1989347603 +147891923 +1749918441 +1294640307 +968806215 +1969578333 +1837996495 +1653308619 +264823578 +329647868 +2114715038 +762457141 +274691792 +267660257 +1140256117 +1650580581 +1738204074 +1164458498 +227495614 +1191116422 +272540330 +1585331095 +1002883506 +1050032682 +1018760135 +1465156578 +148696239 +721439612 +1546625372 +2142753840 +1525007327 +1388489328 +143162116 +1127442120 +535645987 +1111968331 +949536805 +226158834 +617793302 +1214360384 +555806703 +585024692 +1976817525 +830498495 +852684950 +969589994 +333595428 +443405376 +2134048493 +561091042 +1634521798 +259105175 +2146422137 +489921657 +1309137858 +1017698624 +1955078235 +1457834097 +1739138236 +1354219960 +1453104290 +1116661915 +595225640 +1596266406 +96620388 +1130871627 +560751089 +1046157193 +1357030461 +1178544391 +113033929 +1912837164 +1763569083 +2089851455 +595852012 +468770385 +911957801 +929447440 +912175762 +898522646 +1490538483 +399213912 +1157627822 +1489476972 +889135569 +319282032 +359691949 +696730157 +1777116129 +2098830185 +2050950117 +1082736771 +1068008453 +498692109 +531519529 +1164628841 +1629563736 +1092270618 +63302386 +839110549 +123331361 +176336316 +604464066 +1886900445 +118704123 +1200316078 +208187182 +1030661924 +2129763518 +1120362944 +1929184571 +1472818353 +1519576857 +939328745 +814811678 +261228778 +1258610777 +1174503627 +957958935 +888243258 +1125850164 +861425404 +1970980030 +46374969 +1360117513 +355015911 +1211003810 +842197601 +1447286530 +1274306197 +1681308151 +1570617891 +1450642513 +138288569 +1310034688 +1569346636 +1338604647 +1518221871 +452524912 +1320884517 +491101167 +234225835 +646219223 +2010678024 +1173554580 +1461030901 +124423155 +284681709 +488050880 +1082382090 +1172924968 +1613901044 +1943807495 +996421350 +1660276014 +1156441360 +1351437261 +723796176 +1998638962 +651240143 +1998102373 +1532463465 +74374387 +1301261238 +1670752034 +1384409075 +723124226 +861873033 +755147298 +1175649139 +35273902 +1246248466 +1409874974 +681493125 +1109442842 +435945907 +2142524026 +1233865997 +720627616 +483091258 +168764440 +1893552584 +2096992303 +2112571935 +742490286 +1609784669 +1121529647 +2093927548 +186097197 +972684961 +597684043 +36715923 +357664778 +672058430 +1337977161 +2028416812 +2056467506 +2061101388 +742806197 +664131156 +1089266879 +778080100 +1910379622 +351658205 +1459573225 +872338817 +787604112 +1454613604 +2106204814 +1508231729 +1937704862 +127485606 +1254300665 +1887213517 +92573893 +1996790952 +1349514538 +1214103541 +1943234852 +1535611736 +39304854 +393435247 +1572327659 +396969633 +1065493678 +762821172 +277902797 +974477536 +676438912 +1020708995 +1638608692 +1765705791 +1798789095 +1401504667 +2117363997 +1110878672 +126359836 +757484461 +418008628 +85081002 +118232542 +208229843 +212566609 +1372533208 +2095443360 +305140502 +1221840512 +1297474251 +1519244043 +1017591716 +685602339 +1558548898 +1411026963 +110446350 +1955518531 +329036993 +873267522 +85937680 +1303514529 +1549706435 +1106646675 +794639574 +1167928578 +757952122 +48660593 +1137808927 +1868830795 +175020429 +1895293389 +139355775 +260101431 +2013525931 +347585618 +472668040 +1238575491 +295545331 +777808543 +312932355 +1593019582 +149568938 +1330524071 +131138273 +1708117836 +594067387 +241584623 +1516152719 +923104380 +1114852145 +1602090400 +79135262 +517074932 +561253427 +873774836 +1685003511 +1319205550 +922435429 +675328790 +1040552697 +1097455858 +423138531 +1179908472 +1357557289 +289180815 +1527494091 +1830225330 +1527756306 +1823039422 +460550225 +1840688662 +1268575356 +610119163 +1023729085 +1399713629 +170753352 +1617796472 +1641298252 +1686906071 +393417205 +608666749 +1141512823 +472552467 +1125741682 +1702766251 +1346327303 +663261545 +874488153 +121279084 +1338590335 +1915040850 +1218734942 +1761728867 +947465674 +428808583 +2050909682 +327476117 +111550265 +1431182340 +3031891 +572100490 +1124387354 +1271607247 +1182219654 +632792 +523837228 +1352973006 +1618429264 +17651832 +892395429 +2011846469 +626318582 +2033908253 +336915288 +1752060264 +1589190856 +1683242591 +267838161 +316195361 +1804521675 +1606428496 +83752563 +875772969 +1220673715 +1031218237 +1304581553 +1124099749 +1358694355 +1416131818 +407798442 +1361726246 +1988232309 +1532185796 +485849846 +1022968315 +1532818588 +1009687074 +228457673 +1003764205 +1027338907 +1120853102 +868127026 +1653657489 +1007277707 +1205042315 +1258234105 +448984915 +740801258 +1526072266 +765180276 +397839286 +985017114 +848932839 +1273612255 +58207182 +1880151077 +430710160 +1182306931 +1091361784 +1846841979 +1590105373 +305604382 +1687590640 +974807522 +791454228 +563075307 +360142462 +1801141303 +791532980 +1363906667 +680996562 +1912386082 +84550046 +187170403 +772180142 +1289592361 +1445404508 +1221165057 +2030393619 +823993126 +1986345334 +280749257 +1809010240 +687794525 +1554361513 +1867217422 +420461954 +1985071673 +902040706 +1511823738 +1684430004 +344662431 +1817428121 +1224536996 +1319469953 +461398701 +1787612303 +1679612416 +115056356 +431661635 +896035435 +796052918 +196564070 +980585481 +983223321 +968744212 +122694194 +281144181 +42425621 +5604166 +1105137307 +2028770955 +286353423 +766663900 +569081833 +1840714936 +486397674 +989543787 +1678302962 +1388438380 +353883878 +1215249318 +1733100812 +23828351 +292302667 +905087117 +485227052 +2079914970 +437215885 +600283409 +364092958 +1333251321 +1396336327 +560657028 +166353154 +232076001 +1529401240 +289047349 +513220182 +1571826861 +294651515 +1618357490 +1453114169 +581004938 +237537742 +2022196002 +274236227 +723935416 +864256141 +1952539189 +2112373797 +1218140019 +1020304859 +1697990961 +1241968370 +1312607526 +455594430 +1727195423 +1245038849 +892810316 +179995184 +1609131807 +78577989 +1576331511 +22305187 +244931143 +1808407512 +1551706427 +533978492 +174144047 +976049640 +828630007 +1792501537 +281680161 +1409634946 +2030039279 +156392515 +1683871173 +606491047 +1020648657 +1488926714 +571381196 +91305028 +361747925 +121888509 +1333273399 +1674355452 +577482940 +912985174 +771910653 +1470293256 +1092980358 +233558812 +1548871245 +521828221 +255863999 +1793802388 +182752086 +1807570426 +180297233 +356896133 +636136418 +1008927240 +1914022 +917816580 +271078538 +2031953301 +1074209095 +1954949711 +490960700 +2094857752 +1296392777 +1062341897 +38679133 +1658140703 +1184230406 +1371952532 +1185012507 +1761713346 +137454058 +1956923160 +1084522954 +1230434416 +42998324 +485910551 +1752262637 +298862323 +132229292 +1935014723 +2106432749 +312526525 +144427208 +595085519 +1321453765 +146341230 +1512902099 +1592532304 +30810883 +439627547 +1399998367 +521771584 +387001651 +548907497 +1584113481 +425680784 +59564552 +620860239 +1797633316 +1244577059 +235089938 +1935087374 +1054016571 +1319612892 +1018038142 +1097014895 +1805523444 +622817132 +1395877218 +1937752736 +410348207 +1354826319 +102795613 +554775416 +1949911838 +1424249378 +701116646 +1315330290 +869298034 +731927530 +1754957837 +121812754 +1253699114 +2141959488 +670720251 +690328947 +420156625 +730284803 +1311189186 +70306293 +1974861862 +1546279124 +2005393668 +881394785 +718408369 +875948162 +1978409680 +376448165 +1498765294 +1226803250 +166717253 +1909113502 +434145921 +269512866 +316405270 +236574111 +1693762244 +1017521916 +1551904401 +415576631 +1749449446 +1159378590 +537389385 +855664912 +1153854431 +1208109636 +1545993859 +1574011056 +1938394439 +709699398 +1644317349 +1765772653 +108494874 +1502227369 +499683790 +826903243 +230691884 +330609822 +1203351408 +1729457178 +1557413072 +1370068661 +1491087032 +1991558993 +1639581527 +1807492302 +80649456 +1185860124 +677530571 +1632553858 +1601436755 +279496369 +644448800 +2138826140 +1135161282 +1798303231 +1199452128 +533671493 +1224830639 +990362919 +1243370891 +721664341 +608651924 +1351865766 +76408062 +1108335714 +31285361 +307099946 +1438945536 +1234636770 +2036557125 +848874960 +457221783 +1380160509 +692950305 +2096803311 +1040169164 +773599761 +1135179787 +1717699735 +258669971 +589132894 +1997196104 +903118772 +580475386 +984873738 +553938355 +1779927514 +1518545232 +1778768995 +622806785 +614432475 +352949688 +1231458709 +1966298241 +429357750 +192310775 +1997583603 +736457697 +1631256311 +1084736725 +625531174 +332647623 +1541958508 +2005691683 +1025597928 +1491278171 +898377199 +1799197689 +478974310 +468593286 +2057867661 +1068107204 +318305743 +813502785 +1648582590 +1303179481 +1367441140 +1281026456 +674241065 +998726487 +1903833241 +1288673541 +1351676175 +987808302 +1107488134 +1781033926 +1180119077 +957588089 +370007975 +663891740 +2042324814 +995539149 +996539363 +1436799675 +853747184 +2022137291 +780594198 +1752124384 +1673851333 +1259568509 +73234022 +1584235346 +180192065 +391539765 +250254483 +1828774656 +1694719247 +1617695623 +962317464 +221476664 +468938463 +718667058 +1510150205 +1820614638 +1706475360 +470154692 +1454164916 +739110790 +1427742781 +1824172891 +1403002530 +1322583948 +672228392 +252058246 +611899975 +1525975577 +126711889 +1392494173 +1130616313 +1800563222 +504579034 +1203850335 +1237314920 +684771100 +1595390101 +1487569403 +366062108 +1142625700 +957781379 +1328379572 +1364102364 +1426719842 +2047046630 +726768922 +1099850832 +1606038343 +1196923614 +406532101 +197665485 +477182747 +83221344 +1600668015 +1799766695 +755449737 +1852726261 +264183022 +133941666 +1979438151 +1656677196 +1264557979 +1632517725 +13772582 +320924666 +722348998 +698543682 +1916314767 +62434753 +1064605790 +911456819 +1020216132 +245501715 +128075536 +299452326 +145064697 +854844458 +1399303159 +1751103040 +2051768072 +1805835260 +1948768525 +381467171 +1889056604 +1401952893 +33750219 +497022693 +1107195506 +297933241 +630964359 +939150009 +1954610437 +1895522338 +424184087 +1968383020 +68963357 +1146533085 +519443054 +1985278124 +1208967838 +1584048845 +749251296 +81700323 +1829550560 +877326832 +381152649 +1974615257 +1732171290 +1780455808 +1578234650 +1636455714 +1438807420 +1379519527 +2017922885 +1180380377 +633988772 +2051673104 +1677403070 +1741184279 +202122698 +160883782 +532850640 +9249487 +2056406120 +957034727 +1977632507 +2125369477 +2103567812 +349591914 +1963163954 +1165052003 +1933640759 +564931602 +1246752326 +1615707671 +1442258434 +1627904975 +1442839280 +1026946076 +1260877136 +873590282 +515918142 +552200908 +105626162 +386357379 +1732581285 +739614934 +290546836 +1262500708 +333315565 +492669534 +1423384490 +866166206 +501919021 +1332306962 +1823200933 +332067881 +1310192792 +1779285098 +681659795 +1125873098 +796853453 +467816906 +1690804700 +2043605779 +2083524577 +985579486 +1524027106 +1378880209 +2012525562 +637420594 +104986844 +380960056 +1189621503 +210613006 +767317435 +774719140 +950227940 +1057864271 +2037219848 +1283543506 +1550533805 +1313120690 +2226064 +2052452827 +497944005 +1825426997 +237037060 +1808136797 +1457228447 +918696855 +786526247 +106598252 +1386513761 +329847299 +2720383 +1322554690 +1315426785 +1526747490 +553951251 +1180468699 +16684436 +658938095 +1561428755 +1206305939 +869551101 +181262542 +1981025080 +1819779042 +1239126814 +1870761280 +955838900 +642176971 +1036398323 +958064964 +547146150 +1534342328 +636008313 +784183210 +1194995477 +2093236761 +1702880065 +1981521724 +52351365 +941910178 +163885375 +55071749 +116981220 +1479312160 +1581819239 +670932472 +512297211 +1598503675 +1329870567 +2073725966 +657325967 +51938021 +107504860 +490867399 +1871717063 +1346631674 +214145031 +680072315 +1988808646 +1250543354 +1638137279 +388471148 +637402034 +126661944 +1172654359 +1832397511 +72415057 +728050776 +1666435587 +124766423 +1669960955 +1830320962 +179838172 +1786942175 +1162149474 +1761657411 +310390999 +1674446685 +1212677438 +1640261567 +1600689003 +1870003405 +1692199588 +1708193864 +213387156 +1416433003 +907341890 +427532188 +2096505318 +748666888 +1678075542 +1587158949 +1137138037 +167993929 +1713820893 +162308748 +2000391440 +1786235951 +890359524 +1519343380 +1911002374 +412836831 +1202180694 +2090840546 +52295359 +216846521 +1705014309 +362686358 +1891293206 +770208099 +2002947925 +1344498562 +492727857 +1547663865 +905208778 +706115013 +816613220 +1812550668 +1133647201 +765634890 +413733909 +664239096 +205310191 +1550871946 +832233025 +1919131085 +1713180694 +685140817 +1557883388 +456056570 +57000549 +1321402114 +868893402 +1259181244 +1264759012 +921188761 +1476027765 +822289673 +1283875119 +1219837323 +1592497772 +1139339397 +416852237 +2085225629 +539519614 +1322061015 +643856995 +1356132835 +987128036 +1777504196 +2121767725 +1400861945 +294259644 +179594269 +804250243 +1126492669 +2098725354 +369947289 +1811633487 +1509125094 +826003859 +1868634036 +683043560 +1694897261 +980331632 +1947802572 +468602374 +308875749 +622608597 +1752477494 +1528713073 +67622721 +744333243 +1945565310 +5364703 +1283852857 +1120142678 +649221698 +492502044 +2107270714 +279242246 +466786122 +1360649011 +573501891 +646380391 +17415606 +1699994560 +597622097 +387362895 +1364144399 +2106747191 +1213366754 +1085294788 +642307103 +760780368 +2065626420 +442626027 +1229382742 +227018522 +1065234624 +834376588 +1755731595 +1132857345 +1578709831 +1553813257 +1138222048 +715079041 +526472287 +1787443746 +1207581085 +486259353 +2066685993 +1674367207 +1846908364 +492704236 +173263950 +1864323970 +45215148 +770886047 +104203217 +1409359548 +730149590 +1317569972 +347170688 +1372456693 +2078350340 +265313460 +1815082720 +1160249434 +492331982 +732833696 +1994626023 +100579929 +1865691042 +1425852206 +1654393187 +856429442 +2140931247 +33381826 +496389541 +1201028685 +519641180 +415591886 +727912244 +219065896 +908296122 +901176195 +2083389867 +953511270 +1672062242 +40109436 +215387170 +254728185 +1357679408 +562557858 +1627184878 +1288546100 +827871319 +1294783951 +301311887 +1320203301 +2027617647 +148454262 +1420783231 +1745825041 +1574306468 +927692770 +454770836 +1567754068 +961074596 +951160377 +621299105 +1480715776 +1366752263 +1349211349 +1699781673 +127564737 +102903896 +1635687892 +1081076007 +1774966139 +1675797328 +1296463178 +2029694324 +885993089 +1859021036 +1509395554 +27055541 +539408707 +656695857 +328367428 +1859612009 +536829857 +476821690 +1132911592 +135171250 +2051128159 +2060604362 +589942086 +1471398579 +874195310 +1541102463 +2092697684 +207427439 +760371078 +1294425385 +1907209112 +887935815 +1397329282 +1395413356 +1969011823 +1024811773 +923727036 +1117991353 +907022449 +1809720125 +829528741 +268934355 +1836775667 +1368937449 +925630213 +17659447 +1081065810 +1462460070 +494481138 +66493754 +1597631320 +398125649 +2127098116 +40089759 +1869524228 +853809778 +1581192222 +1814738264 +1061237217 +194079653 +961680001 +820962681 +1082015468 +211525635 +68892389 +903543643 +1236337408 +992619426 +2021534996 +2143359857 +654855903 +703580090 +264810565 +344147922 +2072517539 +1190440778 +361807370 +1006099701 +505417200 +856288508 +1072593455 +2103048520 +1254414157 +1052207923 +2143138279 +976454737 +1906017701 +1576846854 +643709353 +819771271 +1770926507 +1605389354 +1640733952 +705458327 +1816914990 +1709626342 +1609001971 +905768750 +554762120 +1483053319 +901644960 +1209618023 +39149761 +1166455525 +1553765946 +2111667300 +209412655 +1915573316 +970283353 +714829855 +624378176 +2042876808 +670394727 +1878792333 +947601083 +666049359 +707763422 +706135137 +95412565 +1351472775 +1525906408 +1866339072 +809378481 +1019156712 +424313751 +478809823 +581299406 +2033315722 +1384578574 +1136061526 +1368885394 +138739886 +198195902 +1408035155 +1305195411 +1751961848 +1372218808 +1514608066 +1520051516 +195018513 +81954273 +2144429692 +90411674 +752349000 +1875738377 +1038012757 +1418398359 +436018151 +1744147894 +1513810924 +1787490926 +1122570654 +1232666348 +449385759 +2141727367 +1656980100 +928195583 +575543125 +1542812174 +165290509 +1711604652 +764213920 +304030395 +1909800554 +24765428 +1609225806 +1514278754 +1396984236 +976350224 +886846622 +1592002749 +1058304497 +883792666 +1682414423 +1810653497 +612047395 +572943533 +1081568209 +1048065546 +169607779 +447895485 +688072824 +1292178434 +1680561834 +1137458583 +1286422153 +1190058286 +2065654166 +1861965278 +585386812 +83461027 +1426086282 +1349600733 +387491422 +1188403188 +1374366161 +1996717228 +555198294 +623866749 +825583804 +1442044916 +68385850 +1883888301 +178353934 +1750800274 +1547058151 +790401329 +176260159 +481142712 +1838466875 +345867938 +929038197 +379056051 +1638046372 +462116383 +1516514635 +776984877 +1652174669 +1434685153 +491466508 +90077834 +1518146181 +1917552790 +1439678567 +1905637603 +958472331 +666561080 +1754871184 +1513670625 +1290427829 +432971340 +808231894 +1358813679 +169375994 +986585828 +962130305 +1716434145 +1776987158 +1138390464 +50093209 +1467970385 +1484258403 +979131406 +1847026437 +974821127 +1441247790 +1216057424 +1751806005 +945938811 +503258929 +95788865 +1036016645 +2021405110 +2013341655 +328211564 +1779559066 +824330338 +994772644 +1386946602 +190517316 +137716825 +1819917942 +998749210 +1496530505 +1989293936 +1985335038 +311177162 +1558244433 +1614838548 +1449567627 +1608337642 +935325286 +786342382 +439985401 +634868075 +1761163509 +1881233191 +1850925499 +1365485866 +679688354 +206700780 +1461274731 +1715705000 +80622243 +1327132739 +2043916564 +1860181309 +3979429 +891205561 +1099644263 +194496745 +1028922386 +772078557 +1193245955 +377969243 +613888846 +1031097346 +689146406 +24649631 +498452246 +2138714033 +1632987274 +1433777532 +777572767 +2072972675 +2068645607 +391252628 +1806722218 +1772087458 +1756738495 +338926924 +1978788239 +1070529578 +2054631924 +2059410482 +250178669 +1951064841 +1772108143 +254158099 +694786754 +724268758 +448654844 +1723709140 +1496347315 +1641900800 +2101678384 +2110236161 +525514498 +643341142 +2134885793 +1023966744 +634571527 +1620389419 +310260629 +1412144294 +1545878446 +231422588 +1803396922 +1205117016 +2003510047 +1412651769 +1544043940 +1834814638 +335697700 +1451192217 +1746741472 +585876369 +1254773410 +1371365967 +840034468 +1949560164 +2095634725 +1288689313 +1525785656 +1444498392 +783106465 +1479980392 +1407250906 +1308620963 +2123321534 +1394653051 +185104059 +610409413 +867558822 +495364688 +2022553707 +265953620 +726787277 +1678466982 +1471070636 +582813676 +943635103 +867630928 +270144666 +1279332803 +171339497 +2016886138 +1865209173 +1426112907 +1240768457 +557759993 +1228189423 +1188919534 +1846449306 +606491432 +485934278 +482072123 +2086471824 +1893185184 +1790693086 +2062309711 +1140354587 +1975797146 +525235476 +2007913409 +323678186 +400305536 +126383381 +1050465463 +2078772518 +1597454017 +1633279139 +874923973 +317601298 +1903423805 +6773129 +488940795 +1772826295 +1871982302 +1915053703 +866111104 +282258647 +995759478 +2055030638 +2128707954 +1602250910 +393481269 +463296429 +1541239087 +139182805 +106505868 +1456065150 +1279537393 +2082303014 +1981300626 +1139967154 +258497552 +234122514 +1266350536 +1308963016 +165411384 +716320905 +794758507 +1040335358 +1033922203 +550698665 +1047108487 +1522862999 +176041312 +771607141 +1290433054 +1042152417 +1053865788 +138708884 +949699407 +1035090094 +1740959795 +1343180676 +1498386524 +1134715234 +1482363482 +1604892392 +443296736 +614417227 +1539711758 +277113714 +1754384381 +1798209310 +511236229 +873251269 +959688678 +676647613 +1589572175 +1754447186 +1716982971 +476010730 +157662203 +616607810 +1998873729 +333703515 +1388214951 +1141823135 +1375855932 +294597092 +1280532020 +178071692 +1329687186 +874008167 +1521252368 +680590062 +2008723401 +856132202 +137998806 +304536489 +1470549429 +1677710564 +581650203 +1077450163 +1328436227 +1092886432 +1950701432 +140641257 +1769534046 +1392789959 +1895088443 +1339033369 +1868800690 +2052750646 +1955641180 +1720190771 +238970514 +1196372483 +714530259 +1614826446 +1490969575 +1995062279 +1792898138 +673173114 +721586798 +1166666859 +1353763176 +582826551 +2022799061 +1491761983 +887363040 +1345864843 +1021988899 +1469013243 +275831358 +202941478 +414416028 +79049142 +343582736 +36466426 +1471839102 +91187531 +1375499795 +1193156144 +2143938178 +1183657327 +765863267 +235425044 +232546163 +1480393526 +1850251490 +1723515738 +1327972157 +1495665981 +249205204 +2049558955 +514849192 +1602968381 +484901858 +390164605 +947246716 +1372264898 +1736029448 +1969235615 +693794494 +2011860806 +24693446 +1108210522 +2090909949 +368276182 +1144676948 +1415265403 +459463713 +372693095 +460937899 +455918243 +1556350423 +1226801166 +691343287 +1788896586 +559711045 +394111130 +1364928676 +1887683202 +1889777111 +1614133881 +1789758510 +257142655 +1069618614 +127176720 +647307260 +2016865330 +1499441619 +235853061 +1838617297 +45752465 +100230219 +1863310743 +1153962987 +43656520 +84103277 +151156287 +1458921923 +543566991 +523849382 +1919859822 +999485234 +2080199805 +999177341 +1690828522 +1721612743 +1558888386 +2084939652 +939057772 +1299087940 +1827233115 +405708005 +941362802 +2084375770 +1475326619 +1068539523 +584199382 +1344708301 +420497494 +820052443 +1035841950 +466249959 +920282663 +751669046 +1620212946 +963939183 +835772323 +1771369233 +275377459 +1379339314 +147734967 +47753633 +231340901 +80451125 +1046930974 +1922169423 +1802063868 +458335712 +1859625427 +593637992 +1757423653 +1539374894 +999345997 +551302807 +1476267016 +327188968 +1619842330 +2060466398 +1671897269 +2040339824 +733035194 +560255572 +359106135 +1653317857 +1311924618 +1979319081 +469773392 +213293 +1603204666 +745150851 +1379552608 +1750939634 +792904485 +1610893509 +1831390759 +1839835459 +1385579284 +1485970979 +150687524 +1097721063 +2079608972 +1908111177 +489612309 +931471321 +311930336 +1965879325 +1258660290 +1931772667 +1878862075 +783073911 +1824628843 +464413621 +1343329483 +36251331 +2117731478 +507770453 +2015570412 +440021223 +507983747 +1471291431 +1185172074 +1887536355 +1074747417 +1978076559 +1350946216 +758654528 +1670428371 +589041852 +97141859 +1821115895 +1686762915 +29267183 +1581743424 +28891576 +960738505 +1893673760 +1994770901 +71915147 +1677962779 +1726149328 +854989058 +1355107975 +43079302 +50834894 +1391359306 +13327132 +558605347 +1259446070 +453348355 +1066589094 +583253853 +1638520430 +806641801 +1658001270 +1469113341 +10104369 +269172150 +992058064 +599146221 +366314010 +665690311 +138425488 +395581193 +99950087 +167317064 +1356319698 +1993623848 +14604317 +1428234845 +1524102979 +1740753646 +135740256 +731727306 +1783832948 +186575150 +2123086612 +1797160080 +745180497 +1235049035 +103024788 +1811769592 +1818302888 +1741545218 +470927745 +1328820511 +1063174911 +481032115 +1597992661 +2055232976 +1080178336 +1964306671 +573439639 +1218603825 +212404217 +673389727 +1385920889 +1568723915 +519529927 +1400525207 +849475113 +2043632906 +993795205 +985215369 +627876565 +630144505 +1171790519 +603479529 +279820937 +1916971016 +1838528564 +382845725 +1581256960 +1509347805 +2124390943 +2052184706 +690684668 +1040082207 +385733173 +141193681 +947831535 +1465911509 +2105500353 +1521271174 +537031686 +170420922 +47177253 +1922952576 +1739144837 +566707180 +1175994135 +441136302 +462856439 +22305692 +1426351671 +1090733004 +652450197 +450658542 +1694212533 +932271134 +220145911 +1385257450 +1315116860 +1801402871 +747121607 +1292024155 +1706103929 +1437806275 +184622714 +2091837102 +1578999956 +1132454249 +1410264964 +1537016661 +506241776 +1947296650 +1707437583 +553419029 +1722765578 +1299098773 +1120126210 +751276065 +1740235075 +1582982649 +773581757 +1019103099 +526232005 +1426031954 +1469761641 +72960890 +210819441 +1689907552 +1458218340 +1525936301 +1343826776 +57856299 +670476808 +902447057 +1495662574 +855099523 +846800512 +927178883 +1987553772 +109581828 +316711896 +346311900 +2056878478 +2024149480 +899730930 +1632160409 +1175764605 +2019857140 +235952826 +768516032 +1455356141 +1009534584 +1787619131 +1981588146 +288082890 +1109897125 +2054549036 +498902331 +652321029 +1365283729 +2024838632 +1996147805 +1423140028 +547831793 +751111215 +771318955 +1402931316 +1597911727 +1698497838 +1243001440 +1707493555 +2015209734 +1589313341 +1616888385 +1891875566 +341560623 +1101565146 +920156523 +213934115 +1337517973 +1688672556 +1669290256 +199568909 +1328808039 +1503394754 +487651799 +291221516 +1410460142 +986554131 +943542546 +628260223 +863909115 +792206703 +2051400252 +1411740908 +1543317918 +675235559 +667188576 +993745997 +226249749 +1910190017 +553755904 +93975835 +1352019710 +23160642 +1985851402 +1693580333 +1124725788 +758524277 +1907514448 +314760113 +299713185 +1429321056 +514329022 +1628521225 +785232162 +1001980822 +1919742741 +48208656 +1988534953 +715801639 +676468880 +704960420 +1508008343 +580385484 +2116701329 +903842613 +1255621043 +636406257 +1897588611 +1481870792 +399112626 +303860867 +1575846627 +1751132336 +327021509 +1414214381 +1297229021 +1451747298 +25255011 +1057259821 +1766507411 +324968196 +339097229 +133352786 +1953489421 +1124329391 +1135333608 +1725748515 +1172538048 +976384913 +294066506 +1849006928 +1681345333 +1802074849 +281908764 +1650563014 +558433815 +1537529807 +139485624 +308538778 +871916951 +538598250 +612399645 +300279930 +142246939 +939421155 +1714494312 +1439475960 +243684805 +1739749323 +349252134 +2010192216 +2064717519 +688349363 +2143545002 +1870723293 +1812678755 +1131394962 +1448988160 +837733155 +2107779875 +1743054666 +539256435 +1641641561 +1397645868 +821165199 +1144720927 +1956079683 +211211358 +1284206551 +117134813 +1083128309 +1822804802 +729534458 +1383408239 +1965051741 +1668955613 +950418903 +1257044053 +1912640418 +542684578 +1606296187 +1775348987 +459918450 +147161903 +1771410341 +183158095 +1959840658 +755321656 +1632146255 +650090165 +715617883 +1227717273 +1189346600 +209775796 +477879493 +2010511799 +1354496724 +286475528 +74239509 +491219627 +403610341 +1157367818 +166540781 +1133144800 +393292409 +2131592522 +654616765 +1343711313 +1241152928 +419773536 +1886395891 +699965467 +47638875 +198830693 +847127370 +1819049216 +381988788 +659484380 +426887224 +2014135043 +1309574545 +1142505108 +1094368669 +351437497 +1352280904 +1572248162 +214465648 +559293980 +1858723691 +288705157 +1050513608 +114850384 +1446072975 +1217054389 +1247995184 +1839365385 +1201163264 +1902611950 +1035593050 +294832544 +174901838 +774505293 +994798011 +222540713 +973335987 +1841925382 +2041589929 +1355324775 +353926114 +320993506 +1221976171 +1663500660 +1463498614 +168861192 +2014938157 +668295870 +1741109354 +81920158 +1227589851 +1452349397 +370625315 +130619811 +1567199782 +1816698291 +1347674200 +667711318 +1508580028 +401353816 +422839620 +396689430 +696186360 +597741458 +1171194723 +1690984372 +820282171 +2144530710 +1385426106 +714388453 +1352371838 +1739352220 +1035381959 +426864361 +1255369232 +351396925 +595725553 +1122823742 +1019692795 +189351259 +1204743900 +99798998 +1641700657 +1575369215 +230418809 +1061416791 +1244583858 +1578093010 +1729128109 +605680238 +1979446826 +4484082 +1002369668 +528149539 +602225540 +26080744 +71650263 +1422507712 +23127806 +1457076369 +2136896165 +1375499644 +1048944941 +1024794476 +1802364005 +156830526 +1376191401 +250605910 +1279654268 +248400548 +439957170 +336914520 +348199547 +2081657827 +1912283735 +578618356 +995590970 +1009383946 +9227718 +577235431 +1615064184 +1988674545 +581719513 +469950205 +369340436 +1183945054 +496030949 +440990699 +458969118 +519158755 +1898067068 +448381635 +1894658400 +799528361 +1473176111 +1549538757 +956358887 +701883864 +1800144668 +88529507 +950284412 +92618190 +425444027 +1298483959 +26792369 +190244115 +1877102316 +1022383339 +1199628061 +1886330034 +1599618770 +667208597 +1727520931 +33854636 +1137158802 +2096861367 +1217799690 +1633189751 +390368418 +1676768808 +4864859 +140951838 +2125150443 +1899523259 +940480200 +1450842906 +1301578368 +1896839087 +5243122 +954239388 +1985368595 +955527534 +1046857578 +263328974 +106527846 +1073649947 +453573089 +1983630162 +2096033286 +1653201150 +1722476548 +1548168409 +172926100 +1302513832 +1582023045 +1310084902 +1251891551 +652339087 +795791006 +1642259970 +181624247 +800655865 +1783211808 +159291042 +552695476 +576208360 +1610133948 +1854273844 +325563800 +1615377070 +661029585 +163448747 +423420956 +1707887163 +426777721 +529948802 +634053463 +880350811 +366095316 +582603101 +386068313 +2088571865 +2130771510 +558994413 +1243602049 +1565310907 +1869079316 +348009952 +70166346 +517386674 +1990269922 +251790593 +1318042539 +1625998083 +411081635 +1870738015 +54722795 +2021215583 +1577528211 +380286595 +1489109005 +91074148 +543735342 +1912529962 +1798961312 +970513064 +294995116 +285531127 +1850863875 +661090433 +868134228 +89448540 +602178650 +851422091 +648442954 +1845780699 +269249350 +370038622 +46307003 +339415697 +887425296 +2036576926 +591206290 +57984187 +1515091361 +1002287926 +1928722202 +1569814156 +876019861 +1358766765 +1950100752 +217645219 +1449840914 +346352446 +2130175181 +1101318578 +1316865510 +277686649 +1386849705 +1020245737 +938777082 +107500285 +1109694278 +1540955732 +958922376 +1758137232 +1239252783 +1228171727 +2128175854 +1285559787 +1567587424 +868117502 +1174653065 +11310066 +926101689 +542260778 +1013597992 +707340243 +2112074934 +1889617854 +2066107008 +1914692038 +2107263073 +1368464274 +113560837 +2089954606 +322299204 +1430426347 +220157607 +1709148909 +303188437 +1158934690 +1816649195 +1412882715 +552406774 +628087923 +1023536299 +1791659558 +1856259650 +1004228505 +929735697 +1276363426 +1872346007 +2104388762 +1287673493 +650964048 +499165892 +153787837 +1358304291 +463757178 +2043405691 +1276927651 +230965569 +2003185116 +497908278 +344526406 +1945656074 +820207482 +1774952753 +18330034 +381872744 +2078141190 +1177264724 +51038291 +1343540257 +1729671498 +679126214 +219592908 +1373847408 +387902217 +1223821413 +156099457 +1664265643 +948683772 +113004571 +804455488 +1599647820 +612170463 +958243326 +810468463 +1075927642 +854165369 +2087396115 +1306893211 +709866838 +437820745 +1651419617 +508039264 +1258028227 +1278888722 +526369298 +1639900971 +1209546265 +1703634022 +1690939262 +405602874 +1285821873 +222581829 +625195783 +512185633 +610484046 +1849017196 +668285091 +127266041 +650217321 +781289662 +931721530 +102381493 +1393460126 +1889964856 +912849957 +321904120 +596646577 +852762424 +1628797331 +1306513415 +1290583169 +1132733300 +1814552680 +401127748 +264138374 +193438330 +2041028720 +1473684639 +1897072353 +1584484334 +1879287514 +1035410578 +1807066163 +356999649 +1547596211 +270066561 +58533197 +68397654 +397332603 +708750518 +849687317 +1329054133 +811132012 +95663795 +1071535341 +1723981969 +417567915 +1668181918 +429260745 +2046365246 +827211686 +1719843914 +1031614898 +494280718 +2120971662 +1295753272 +687719048 +2014516734 +621954264 +437307753 +1451517421 +353758130 +1472718331 +1111099936 +710757779 +872830895 +1381166498 +769290976 +941228549 +1778499101 +1478041495 +1790915866 +960069586 +141689859 +1886579661 +2031604927 +1865671828 +156663928 +1552303197 +147448925 +55545526 +232031235 +1867292839 +1087160424 +726311953 +1840780853 +235430049 +1414031002 +1707813940 +857384313 +1851338755 +1011847713 +1211142443 +1176573439 +2122947649 +1921900222 +2049404334 +1356630499 +543707550 +843149235 +987645952 +2021749045 +486581454 +1947715538 +15955256 +225677467 +1831836817 +1881627084 +382341396 +1236656367 +2029076009 +437886922 +1468687602 +1748885200 +1525047347 +47515908 +1442182406 +1760477396 +1461546910 +1002512698 +470378061 +1165402017 +2014360411 +1681520504 +194491808 +1989824412 +1455937078 +96412494 +1198971264 +1999644628 +939561730 +39133568 +1873910026 +1426143184 +1986849107 +1889865282 +1651820651 +1671202276 +1624008719 +2034162047 +760374995 +1505601080 +324565322 +81578950 +1107002633 +1849612669 +129094858 +401701391 +1462606417 +1590641768 +1404214089 +1932984478 +608560137 +1271090852 +1467021334 +803051946 +1113431616 +775474764 +899464440 +164919232 +627635744 +1839026170 +204052801 +354062122 +1117685706 +43418260 +96443757 +622022710 +1714620536 +1720452476 +508701109 +327511884 +1078569908 +833266431 +409090834 +38088893 +535395452 +538185692 +439790284 +1998001869 +2128827460 +1844004373 +1783502699 +589903949 +967611577 +1103040385 +1392955895 +2081043194 +1878515149 +144936688 +98478778 +358667246 +1983962858 +302531579 +712729368 +954164917 +345949839 +809173125 +1576187627 +2060570376 +382141953 +2084888736 +240598612 +1460711862 +770671520 +649689446 +1498800755 +1306066972 +1187875138 +1938591040 +1156585194 +1169218950 +1635111765 +792604245 +1759122899 +455239695 +1895644631 +1004595147 +388799241 +1626676132 +1149531835 +487278019 +1985343378 +986011045 +789809599 +550589099 +1940175962 +1135759438 +1359762224 +1368879941 +1048846166 +1741904178 +1306285030 +1289444778 +1055132392 +2076956550 +1939134224 +406449499 +1235539874 +979525714 +197556891 +244641420 +1261016 +1832668657 +1037245666 +1760383916 +140424704 +785406649 +617495415 +529223945 +264599133 +1767027250 +1016501964 +102458864 +605554647 +1806311563 +653047963 +398246962 +794587354 +2012810187 +1767126903 +1843433520 +1607230717 +925928285 +985394651 +514879461 +855401187 +777045227 +921328961 +2090941062 +1756570942 +1118885852 +188098834 +1757831958 +804070861 +1225344500 +1370732226 +944495565 +2010751149 +1988227641 +1473719510 +127866635 +1607771243 +342737827 +230325499 +65842243 +1565742 +883373462 +464089205 +796153096 +748700001 +83732460 +492102969 +208447071 +1009660746 +1477497620 +723326532 +1865061933 +107059199 +1644655493 +1808519347 +1863630141 +616057698 +1996618182 +1473978452 +1420128559 +1074479034 +697227030 +217140477 +937746536 +537971024 +1690859987 +1065613171 +2145742267 +2033597814 +1295938670 +64100862 +2035163557 +31828484 +528190067 +683833005 +780528485 +611922528 +1175935974 +988975556 +1621583274 +505949946 +1712302089 +1339161559 +613009146 +1209473934 +1000197259 +329155639 +1825531632 +849331793 +1803134091 +1098176544 +1923810827 +352877474 +1315317021 +714073715 +890848498 +858693360 +1779686886 +889107117 +744807527 +928141908 +953207980 +632487436 +959970392 +1481398047 +1316320441 +1740498878 +2093320575 +344772768 +581990786 +1567420201 +850722714 +146809227 +759098113 +1463731860 +1356283162 +1759295372 +1792887500 +1034331146 +461143517 +1448537943 +2132507690 +237470696 +1801415417 +1300341063 +951544412 +544780267 +11550776 +583747650 +1433887385 +756358303 +1511889559 +239611717 +1388845739 +324376303 +1721009764 +557682532 +2064875181 +1666846692 +902455300 +499382320 +1086783245 +1753178015 +646191547 +1845881358 +1069426227 +2002474709 +1457693082 +714830079 +889322208 +1918836599 +15884375 +874346250 +8823648 +1817299792 +27203666 +960368060 +214596412 +38754442 +1544115710 +1648483797 +795112745 +908521621 +1888095514 +36474836 +1232897925 +1461621630 +594157368 +1150289458 +980984674 +1496612669 +1649671778 +2067767920 +1102307036 +148379678 +1766165630 +24249615 +3370739 +1076375065 +739079695 +892692947 +847728016 +754964070 +1767039198 +856551664 +424780214 +1794242864 +1816919724 +639376626 +1832997306 +1213551787 +140376775 +480626403 +2122073408 +2028472289 +517101239 +1207487685 +1342610272 +1111258607 +210293496 +176111298 +460387628 +1859965274 +96395570 +1562694664 +2008344952 +1862561201 +1586944280 +2011715692 +791452618 +178540327 +756924991 +1639180634 +933504397 +376480541 +348248651 +1358284611 +23239757 +17684727 +1997661238 +1856237063 +1231236514 +2138038013 +189379818 +1205826275 +2019026655 +706481057 +265830312 +1214153279 +1817739665 +476123808 +1390264577 +130643645 +188605435 +1486660148 +1693338310 +49466739 +1201737701 +1132798942 +2061182431 +1993190319 +1311339269 +670623775 +1484887305 +97360018 +1047104316 +1833135956 +1455644629 +1070344074 +1850820684 +1305822219 +779097489 +934573550 +1296376585 +968477308 +2140399825 +1167919592 +1674958365 +258746490 +234589223 +1345214382 +734870298 +1624853800 +1475858028 +923475733 +964030300 +1021712690 +972942473 +18284353 +7027984 +886641256 +2011474672 +1318367253 +1557265031 +1348878330 +1415727271 +456885700 +1034530638 +723888252 +1527229774 +737867674 +2029710472 +158843615 +1672441225 +1178603409 +1127320923 +1665357402 +199039353 +654795641 +1924103892 +433628576 +2000010023 +511490543 +2058482376 +1328384403 +1434966276 +875029029 +202613445 +260425101 +893313382 +209641429 +1147066358 +757304407 +1528008682 +556847741 +2106182737 +796252305 +1013733441 +993229727 +1520140558 +393479567 +1731097402 +1402367382 +552323183 +1256054979 +433487143 +1679644106 +773928733 +632526496 +186956099 +550548978 +1066155072 +39482475 +1062039521 +977153800 +1367866878 +349522149 +1852182829 +1570480324 +609947251 +598012564 +1780121753 +1757013609 +1355316971 +1160646788 +166377702 +1314016060 +1956899093 +1180111144 +159762139 +1329556003 +1573590711 +1890859541 +584439737 +2125913894 +999430872 +1017926880 +1658074353 +1773359606 +1650453376 +1845030452 +176424936 +569124800 +1884512927 +1238464457 +1546278601 +1104896158 +1587986606 +1250977782 +527892834 +50450209 +1848990346 +160530939 +1807463818 +1056823669 +1321177727 +1973841521 +223356081 +1130593173 +1006469017 +383118221 +312665528 +432576080 +126494114 +897105266 +411006327 +1125924987 +1915032146 +2069080680 +751800945 +1418001875 +1766627484 +928225881 +1987126675 +1503656764 +19206690 +1385921628 +461069274 +1607193296 +489415763 +988962108 +1657643506 +190922461 +1149493047 +1317623676 +1247746131 +323187127 +1143981549 +1471102212 +1453780300 +2966918 +1854220433 +1766445828 +435542999 +1980714548 +516067446 +846549326 +959155887 +283615945 +768146358 +1710956832 +1701617820 +387290194 +491699065 +1541260847 +1890946958 +510905755 +779698828 +204532584 +2118099051 +1269114591 +1193494692 +1628258909 +1460037052 +195504092 +798398938 +560299535 +518691219 +1942380487 +2031401748 +1972471519 +1945347406 +1738138533 +1591433699 +233406757 +1571369433 +2107501146 +1079956083 +383041672 +243633443 +1848102441 +2093998504 +1945251263 +87908987 +438213921 +1339028462 +1978855946 +949119676 +2118727290 +35904882 +919735080 +1240358233 +1229399575 +400510341 +552911638 +1424903667 +1198909279 +1113211173 +1943594886 +993806119 +997129273 +1768582757 +791669877 +587784159 +1212532808 +1025076634 +11669944 +1172550306 +2105032717 +394711617 +1416183749 +1805651510 +341226473 +1213951364 +1893560497 +779440395 +405496179 +1724932795 +1728560071 +376739821 +1760837678 +500811503 +1617098055 +842753605 +901321845 +22526045 +120173624 +2100231124 +1135737218 +2063768510 +946553595 +2132866492 +1684867619 +1738223472 +573167003 +749916779 +615816458 +584836947 +1922467086 +573365527 +979548564 +1191167187 +231533389 +1320775038 +257634904 +2125093887 +2100215433 +663131083 +1702543034 +1681291856 +1039870904 +1315897064 +34619712 +509485311 +11167021 +935941557 +532011356 +131340645 +888689033 +1667748575 +47625507 +1835242629 +1653131419 +1732493126 +1425982453 +78814774 +334926258 +2041798912 +663651721 +109909696 +467680791 +1643200286 +1301076883 +699214181 +816491676 +1558711787 +676824420 +769223461 +74359222 +231883806 +303031669 +1114230127 +1547780871 +337651381 +1623715438 +1558947892 +1273592938 +8243147 +1690288538 +14798324 +1675991722 +1737914045 +1850040953 +1181639493 +1322923524 +1128539758 +1260454267 +1657849782 +1022855022 +1924105988 +1767759478 +1490535814 +1419822626 +921352713 +42266347 +88830654 +332580853 +719090767 +858054115 +406940075 +950974573 +1161085785 +1521170202 +351271796 +1498737166 +997401993 +1910219689 +624846457 +1005645140 +1453024579 +639644781 +534153214 +1043454976 +342202086 +1715792707 +218894852 +1470741844 +828763326 +1876744634 +346113219 +605385666 +1497020464 +1836649033 +2025208293 +270889530 +1878915380 +2114038947 +603470383 +450522499 +824609415 +1010410458 +1401497072 +1985695200 +384097013 +1752768869 +1336948718 +1381499006 +1515504910 +1961795175 +239660498 +821045841 +453956308 +773813712 +1864500817 +796158394 +342122771 +2083395670 +119416591 +1170886097 +1812656656 +465529810 +1776271763 +1162193473 +154695195 +1653996408 +1433083003 +2033610575 +1620551708 +2036553386 +336649426 +297677475 +899480196 +1738146498 +135889027 +1283577209 +1343431719 +1472837745 +517592567 +711452981 +1287149273 +757253065 +1532498822 +1741105581 +1531066777 +1249515992 +389780328 +1873189548 +1185428014 +509196919 +896591997 +850601022 +974726729 +525380113 +2012794495 +1129421924 +31892873 +1298393850 +1015548851 +1652444581 +1187463588 +1352198277 +1950122056 +2086943785 +942861127 +2086011083 +1223037346 +138809199 +1411365181 +1740629914 +850262180 +551030806 +350399331 +235277355 +144652739 +1881466109 +1484793347 +534433067 +1607172009 +522737713 +1043629986 +356280359 +1373338735 +2018356715 +881660472 +1238649583 +1000294991 +913553345 +389559785 +2015843842 +418514279 +1577023374 +1220558471 +221152687 +1516483511 +15935951 +159680123 +592037209 +154745150 +1571045304 +185183475 +1005007330 +2122076110 +535582807 +1240284685 +119245201 +269565268 +577594384 +653678269 +1876737277 +1100332097 +1697308255 +85533988 +326187185 +1568181323 +967194460 +1564836768 +420992666 +1880747806 +1954396553 +289352861 +151778437 +1383936279 +1509911332 +372931124 +752936142 +1525847283 +532611247 +1344973352 +1680592433 +2103656551 +1530156827 +538116116 +2078249013 +2065739634 +1778400801 +50010567 +187821254 +208511538 +703688836 +2064558532 +1308843635 +253513443 +2608872 +1635030820 +1821694766 +969803333 +1052383940 +95203785 +703067491 +859296846 +384556646 +854845928 +95749477 +1894467978 +1227777052 +848685620 +1272831614 +1760388300 +46175324 +805940399 +1716561203 +1576332151 +1344056515 +1647326569 +1494588138 +974973669 +1697337136 +1682409392 +1183485207 +253542324 +1599484276 +344845194 +507055767 +1602093149 +1979876015 +181266886 +424412834 +884776307 +276470671 +1127480325 +1744073153 +661027317 +1982326253 +1839822631 +408011647 +1062619657 +541024603 +1680843261 +675524309 +587199927 +339300013 +244601865 +16048430 +1683356528 +1891928434 +1510636568 +510846549 +1441781922 +1045562313 +1694331756 +1695324246 +497562941 +2039176951 +54896365 +2099656090 +1871569318 +236163251 +376585276 +608861977 +512633922 +1504065601 +205451483 +1173661239 +1338908206 +2045274114 +1581672887 +254044216 +438815069 +1115032500 +929568525 +1026014996 +1454332513 +1174170390 +1042063426 +990205394 +918615176 +405216347 +1501051943 +212913450 +1450778660 +1047900052 +1908237696 +1948341601 +939593355 +1963134062 +1900514044 +663679025 +51813665 +129615672 +1272541002 +564447588 +1633681274 +1477992485 +1738108827 +825105832 +1375782951 +1172298066 +1079150048 +1814598020 +139846919 +2008718574 +693129368 +1594179432 +1035405316 +1735192795 +436901178 +1954020493 +2140409142 +1937953122 +19450295 +1443704154 +838369526 +1927687992 +1244562107 +1777962881 +1743338406 +997592503 +294158258 +1795152071 +1127208176 +1566699260 +212116011 +613405802 +897208098 +1950224839 +1438511634 +125507401 +975039257 +370178035 +1940105422 +1114886176 +231412961 +485751142 +561581961 +1266818277 +73460289 +998483139 +1073355122 +66385783 +788952613 +1092805418 +1510089937 +1627322139 +873009762 +607168397 +1257801372 +468864520 +1604760900 +1551959630 +116532943 +584485428 +971175243 +328648955 +1197891230 +1868383341 +131390146 +488919217 +1993890742 +1106429403 +859097252 +1786512516 +73831932 +1090510213 +124780011 +635413893 +209844842 +198240300 +1633897032 +1283199965 +264626084 +275365998 +228521735 +1774716021 +1902688137 +1101531497 +234400770 +1013005862 +1570396017 +1839161671 +417481844 +1686928960 +276163451 +1388657087 +2015577915 +1474054682 +1109556780 +2146968061 +1962973899 +955963875 +1105913817 +674587503 +594992743 +1179745749 +1765097716 +719772754 +1815159642 +1974942558 +918013055 +1301573026 +1110658875 +1182639139 +1576939024 +1339180610 +809871512 +1332143514 +293228459 +1044272283 +197665728 +1863624476 +735950306 +615147572 +1403069789 +1012113757 +2003804660 +1271164056 +338684791 +965877792 +1270648470 +154175042 +1921841667 +229078639 +828762545 +369350763 +1408824388 +446376613 +1089123517 +1076500382 +273835524 +2007136572 +230589760 +1384494399 +1042292063 +1807528785 +576191362 +1852163576 +992188651 +869419821 +748952211 +1189854379 +585560650 +1484902517 +1805001951 +1988630439 +349532626 +1661322963 +1112310847 +688217418 +479717108 +235475669 +842392460 +254075127 +464554308 +1671155006 +623425890 +1873378696 +2117531619 +1712549408 +802395430 +243883495 +1572202332 +1032985191 +1628377895 +467010748 +693030328 +57085609 +171690676 +1685218979 +926505430 +920642887 +727589710 +1512066080 +258061756 +385108013 +1353212871 +607594382 +2046430977 +318040071 +1295811800 +378664437 +553515740 +2138204261 +632739564 +1018070049 +1661875619 +1256165455 +743965097 +1631923590 +821231215 +1546360528 +1875807086 +245949899 +431862071 +1356701333 +712960647 +1124892399 +1413786942 +884651323 +662627730 +192808724 +1805294210 +1390217440 +1704874805 +2063355966 +1775325453 +910604028 +523466701 +1674272782 +1228644099 +1819278501 +2052937219 +1782159840 +1809999114 +538193136 +652746241 +1324391085 +1794358591 +1396711338 +808831028 +468106158 +795588218 +537154466 +714056057 +1227450289 +1893855799 +1427016705 +204859040 +1160159093 +164184380 +867486770 +1352967817 +1969478591 +110220562 +910358974 +1885350909 +1885546016 +1820963003 +261333962 +1412335150 +902123454 +2080612464 +1317788722 +536799646 +1743127930 +1855981858 +1189545887 +920035368 +1502856801 +438773578 +1728866396 +1970962959 +1234361796 +118537214 +537535368 +314328438 +2012393013 +1964552073 +519187478 +1025068458 +2128736454 +1386674249 +230552627 +1950731397 +1496894811 +1140911602 +1688598658 +1234957179 +814390957 +1949932621 +499808682 +1716514411 +1883061437 +1817597404 +105830410 +1478705719 +1526095614 +1295376297 +251257439 +881468767 +1734149875 +1980123835 +704948078 +821028024 +2098661049 +1242483446 +1135356462 +1963570414 +1059551872 +1654543940 +841155224 +1040804678 +893734541 +1071707852 +844052427 +243145705 +65135806 +385167437 +1478102884 +879526763 +187616410 +1977911566 +448557526 +2070677847 +1648025322 +554387936 +1401899919 +1026637288 +1849764234 +1653157358 +1908106055 +1436430461 +1485797546 +465570485 +109974837 +1436974947 +1708053932 +1245331299 +1253061714 +620122156 +752391592 +2094216938 +1660926834 +1646126133 +1018441142 +357495613 +1889271838 +1083576948 +742663050 +1219891075 +1963103711 +930279461 +1050318993 +264177590 +853473660 +550860668 +818565526 +107889931 +1577497956 +520846112 +1761047290 +1338120364 +1957276574 +1099361188 +1803690849 +2067251411 +388852487 +1364261133 +1165099063 +1641914201 +1984383289 +1917490655 +1588647492 +1497826475 +1416133140 +459604986 +1855322088 +1157921331 +1543181935 +450501491 +230328758 +1358801998 +1380780952 +1280647751 +1622979588 +86770964 +1831508419 +294061467 +194660896 +1261522728 +814907579 +1955708186 +452159444 +624700505 +907585726 +108366645 +544468269 +1296438213 +1472627779 +1709567332 +790868767 +1309527420 +1479574339 +232032611 +659870248 +748223831 +691637597 +367708688 +1906145162 +87335884 +818210179 +2136473920 +1446137883 +51507483 +1269638024 +921633823 +138278448 +953662795 +1215695290 +332939344 +67701875 +2030602870 +141163882 +519861319 +507819727 +1048749608 +628227965 +1052287996 +197704173 +2100855744 +614371680 +988572940 +1262899516 +2093946019 +1220605551 +1922769764 +694686203 +1912243149 +142994805 +453347717 +1999579033 +961204984 +442337990 +1298233268 +1012712468 +1711976014 +72383444 +1150990916 +518155161 +1288078734 +1483930260 +585857037 +1171197956 +1625094142 +1105718356 +1679017684 +526360102 +1733946321 +583822032 +724064275 +1687318417 +1198193713 +1712637216 +802734286 +1144656084 +785759119 +578020402 +1839342287 +550518620 +721015207 +145206357 +402614006 +1682220192 +587544347 +1700847274 +547449012 +152036713 +1773230718 +1698439928 +670191874 +913825805 +1034886540 +1256048911 +2085023761 +512497034 +214283620 +1616557797 +1038857136 +1948229941 +52896182 +1762921411 +1488064711 +1251089895 +1328074979 +143315349 +248262331 +2113834099 +721335751 +2087604619 +516869071 +1442350959 +85327328 +919483077 +977087503 +672871675 +472846704 +1524536515 +824908388 +98593774 +1075492795 +1495100262 +1012419579 +2110379335 +603665526 +949959693 +475392721 +817949146 +419033842 +1514249857 +618695439 +471930024 +1129687620 +2106760150 +1723019919 +310278952 +102591851 +1971282251 +276629403 +823927603 +1911403222 +793498474 +118794914 +1996730550 +1712981552 +1095882417 +522118577 +38344608 +472935284 +1347026965 +136938382 +1548428079 +694643579 +1149357962 +1511323766 +1298309105 +2099317655 +1986716487 +2116258251 +370867849 +1353482696 +587470043 +842797874 +335686668 +546746545 +418334145 +645965620 +649338397 +242132748 +922595023 +1473266000 +6052322 +1716093498 +1592060914 +2002782872 +1281591402 +540459683 +377417801 +1319936010 +1013394967 +1724444766 +1456874392 +414339398 +271604698 +458748706 +1925663164 +1569913803 +410582713 +1764896003 +1538688407 +781450563 +970895051 +2126158450 +1624248437 +1306581719 +525421347 +2042582582 +1952547340 +1174759744 +137231683 +727658715 +500542096 +143284005 +296268565 +2092603010 +2146066878 +1577859967 +485579045 +376001031 +750312329 +1498974012 +2100445798 +59703074 +1913313410 +224566848 +518451780 +1691492926 +1794480651 +929034494 +1308905281 +1185685410 +1710485057 +132316684 +1164360212 +1187249846 +1438898404 +1689781560 +1082348780 +1243962096 +717057656 +1219580463 +1971620811 +1217599753 +1362864469 +120405729 +1162719115 +1361447699 +1698265696 +1648298161 +1737448730 +301094378 +999788525 +1690410880 +360797452 +765618288 +1914977728 +879249232 +309627566 +1561974732 +1808283726 +1618532848 +600176494 +1371285135 +1750849532 +1764536707 +411051333 +1042264288 +1306834619 +1493400114 +138742736 +2023892275 +565496929 +2110363548 +1094008380 +1928361398 +83285629 +109243848 +1142325449 +1781551325 +1757542009 +732290532 +2082645703 +609846886 +275217764 +295959507 +1375465174 +42711845 +1175208740 +1685092741 +1604686577 +836008818 +1156141941 +57379423 +59810306 +759507825 +1821916130 +470861639 +1801772114 +981267101 +1964261753 +1940514850 +857675729 +382275035 +1903394750 +1951684109 +163152785 +1986680379 +2060927957 +1305478235 +1620748057 +1670986318 +2037768767 +1555910112 +133349557 +165502883 +1851869620 +1508814731 +208214728 +879594712 +1046423824 +1812901305 +1715603530 +55082117 +1870280729 +1775413836 +814589943 +1544713211 +98791828 +468878409 +378496665 +2063053581 +261909611 +1236172394 +297844968 +17820714 +1040372855 +460997754 +2004501093 +953817165 +1766475989 +1477765502 +477319835 +1656761108 +886191967 +610669392 +1822263991 +590577939 +2119484124 +2030478720 +1470172651 +1018424300 +1695896377 +1038292533 +1073506418 +1418693458 +666222722 +1888096361 +815923022 +765014550 +209491122 +1194419687 +680584483 +471400733 +283108433 +978429452 +489221447 +1323481288 +1439427206 +346238893 +129814805 +1058419547 +1824004395 +607134641 +567697007 +562712714 +1217804033 +242477350 +1153290653 +1189804509 +125472422 +475979656 +60745162 +1821368800 +1514272190 +1134251580 +1092578610 +33011264 +874864293 +1908501632 +798025814 +1084355415 +955437671 +1478610297 +1555756148 +1238546104 +309556101 +2044977596 +414543745 +1748983307 +243732841 +544358550 +659919206 +2067737236 +1151493191 +1227616213 +482966303 +221813577 +1470093564 +1636256956 +1411618086 +1595565986 +2112236613 +1472363248 +1269451138 +1479025155 +459131180 +214546101 +1512036419 +1333995473 +2123047733 +162578585 +270867240 +931001757 +1641188882 +1826623389 +22064213 +1950744984 +1724117337 +436607958 +1552244643 +1967850178 +980966509 +64680202 +1888103766 +2132459700 +1292296415 +223586421 +206789629 +614906331 +1859843378 +1618407716 +62988670 +1824596343 +943287316 +1332439808 +1156137850 +1402418497 +1546985909 +520690621 +588930322 +1522549995 +683269206 +859797563 +306068104 +176974440 +538937304 +328132317 +2127719424 +115570993 +764740276 +1532480420 +2083421171 +1745706785 +1597160622 +1824041289 +1730682837 +741973389 +2047627711 +1937472467 +1356879721 +1759987441 +1408396535 +1419868391 +1437100136 +204200203 +604824551 +445754338 +1606618700 +4326813 +966444959 +48065375 +1526876808 +1649714165 +907862938 +1832944912 +1826688605 +1446800242 +13593581 +1806924382 +1562371235 +778333857 +1191921154 +1498308758 +376556994 +641598128 +1174866399 +2107239832 +1383571517 +1075010462 +1897228651 +592967590 +687514255 +1158141538 +2012835981 +2124614391 +1362341741 +470176885 +422885081 +821476794 +474503698 +1389330040 +869542169 +2001380506 +891560557 +1777405107 +1686841770 +570765515 +1076721701 +1700435351 +230206249 +491609288 +331285561 +1422127403 +1989918046 +707842555 +2063725531 +1017300797 +667598739 +1299813400 +2092311260 +417343742 +1892780991 +632341867 +1575485280 +1758133324 +609472611 +790343374 +80826561 +1032357692 +1611820168 +555330259 +274204085 +333878689 +409227117 +1165764642 +2111283796 +2096068887 +1736530157 +1040521849 +1649020591 +1966736406 +1532131137 +1980306152 +1241380161 +1374565535 +540665059 +1157622044 +244382684 +1208263799 +309951797 +189210296 +1625607541 +55249140 +821552164 +1053609174 +1813382464 +1431024775 +1843952548 +1894209026 +315898819 +1308289068 +302055637 +590102904 +1642167757 +711282755 +1755867547 +1605967905 +659867994 +1344914056 +499006106 +161404937 +1164166815 +2031137243 +2141711089 +258063328 +1258219130 +534892501 +1415685373 +1502601814 +1743156300 +1725637170 +1691812111 +1221280193 +1780886310 +365880627 +127405719 +1446785126 +1796905402 +1971358267 +1193510504 +2112804221 +1132163687 +1495566142 +555423478 +626847796 +59365249 +163807377 +85332053 +719233243 +1508721433 +584338159 +880638181 +525404600 +467991754 +874865622 +783467929 +1726210884 +1409758123 +51669654 +1081329051 +1005430775 +1777306824 +625657514 +79227321 +1410709486 +991538141 +206633040 +710010964 +640959895 +30507660 +1903521469 +606280468 +1162671347 +1251603963 +1161703946 +1789519144 +1310969212 +1325511323 +1874851197 +2030202455 +686749109 +311705709 +763356988 +1212153709 +779697463 +1638222611 +1995621638 +358424700 +900497086 +2047291292 +1439753751 +1905927862 +1677114468 +2065411265 +1985155183 +940340306 +909465758 +44304575 +1650351271 +1550425653 +74812235 +1406389092 +9222473 +1237483583 +510509407 +1170926420 +879519079 +1821478619 +348954095 +606886628 +1704197426 +1035703204 +918592337 +320070767 +100373266 +1698289801 +1958293378 +2095994904 +2056714501 +711306816 +1995802549 +1348984604 +469751030 +1525433369 +1266912221 +307422565 +318290028 +28894331 +351727141 +1968641299 +1579319984 +426539376 +1227546743 +1588542457 +1664022959 +1738056150 +611985229 +396058390 +1412051121 +960939325 +1002945019 +968764899 +1996642529 +1921537356 +1288835666 +2097015795 +1472343509 +1099645396 +2045527052 +1381574362 +1810952213 +1893845953 +583075318 +133219595 +1271795674 +1849987539 +440642161 +1590085702 +1878881870 +792369302 +1411243353 +1310718206 +1218908678 +491306448 +751777016 +735447990 +81878950 +1363762245 +1131506380 +1493930071 +177217922 +2134451399 +315211323 +26376804 +1908505108 +1604046989 +2123392599 +1233364969 +556208738 +2021436003 +467455684 +219677303 +1767798308 +1050531002 +352896898 +892110335 +753034894 +793539059 +334712389 +484433116 +1585908361 +1745955743 +1795151323 +657333392 +89778543 +399444691 +1392781382 +171657494 +1763206936 +376804114 +1665587565 +1940424859 +363771866 +1980798888 +1966801663 +124793326 +1437362230 +1942710614 +1358158295 +1993570968 +1816662970 +1825613979 +65764623 +1436977630 +728661334 +418661521 +181604317 +1481696228 +1212200581 +516316707 +1966129344 +650625294 +114788802 +1613797019 +1307958686 +204567345 +2013241710 +553256420 +376224839 +1628964999 +930060535 +2041812405 +1421906210 +1293832401 +1875127645 +1241224225 +1418625727 +1165006227 +1036451191 +629300374 +1011093547 +705630513 +307430706 +1076858170 +2142608144 +1036092040 +1495519692 +176728813 +370304620 +560236625 +693045520 +188950316 +1210861919 +807834322 +1802747336 +371336958 +1012401668 +1668505398 +924593378 +1388626507 +1149986749 +1854653913 +1282955264 +424409311 +1001002666 +1010599262 +1665633536 +272144745 +28121841 +554601080 +901445120 +1039215389 +1260231593 +1208875826 +2116073559 +1255356089 +97484218 +1464109603 +1432084903 +467788838 +2024346228 +2125130423 +656739154 +1087724500 +785481098 +312002842 +1459061458 +1797882766 +1980508241 +236171188 +1039025625 +983011342 +2090825102 +174497242 +1407420654 +944344120 +1185096504 +925570542 +1216488866 +1213218345 +1480171622 +2117933986 +104950086 +592919568 +1179326164 +73539998 +1848275657 +1276810382 +1537649601 +1132876912 +1744599220 +1414512182 +1110523688 +253854726 +354753034 +1896004786 +565857569 +1813814492 +1546403904 +398882162 +2049985680 +437945881 +1381893504 +1993327134 +612443123 +641830510 +790187607 +1797539627 +1567401053 +2006676473 +863274325 +900089027 +1977126811 +968224411 +1493008595 +1008969327 +1041764409 +1193800605 +138296061 +431930363 +179193869 +1882895281 +1846442545 +1289717557 +2136750007 +53711931 +1038238695 +555123928 +1867526423 +437158951 +954006090 +1770028455 +875104833 +188415947 +1615871942 +1487547956 +830246457 +258575901 +1137603936 +250163862 +117768726 +2000878261 +1150252890 +2094895537 +821619024 +495777837 +956381216 +1863383434 +1689578442 +1094677277 +147830149 +1868772312 +830088910 +1994272694 +1011006221 +819355269 +2047984625 +2049244917 +1374479198 +1768027400 +338920220 +181001640 +1390572207 +1214025053 +369417587 +858960501 +554089362 +1199664045 +1117536402 +1691693298 +1449827907 +1235305128 +1545087911 +452597149 +1182717017 +219223287 +948374987 +2139098233 +2082606721 +490469781 +1086291862 +82953222 +211758445 +1916380772 +2077225916 +1222764667 +588252394 +1977726893 +1124525936 +1962731592 +1598270645 +1463446156 +2143733232 +841359205 +529987562 +365667172 +1700319706 +1084076924 +1565331217 +670372461 +628286574 +867675476 +1905677589 +25890837 +1320272626 +940910959 +245114124 +121163965 +932525544 +180237198 +611633746 +2018817407 +263190420 +823392192 +1787714531 +192932689 +2046156859 +228483277 +23175934 +1023199147 +43731221 +1621446580 +339161655 +39980806 +315322137 +869149217 +405647978 +2015641843 +1953226141 +1970979195 +538530656 +434029067 +691171023 +296724598 +459919904 +2011443649 +1237635557 +705034029 +2132607614 +22677453 +885271227 +596757713 +2041494860 +1148461647 +1420149905 +1681725744 +1341394336 +1318823116 +1910209021 +1364570271 +194538615 +1953940243 +838533203 +533700270 +1993921049 +1153855340 +1402849488 +252085379 +1022013535 +1208591981 +75580926 +1560544192 +1642621049 +766751949 +1857268790 +2102540953 +630711951 +947420699 +660091334 +615835917 +970098152 +1545362561 +1212593630 +864109365 +546340561 +485259887 +398351461 +1887734897 +1804083003 +161076834 +1104821520 +1998621618 +2115017077 +1943354723 +384838241 +1961454478 +949726415 +1787687729 +66056209 +1971739951 +848796062 +141637135 +1384800495 +343933463 +908389085 +1094585637 +298990769 +1539101036 +2042006336 +959082103 +7453305 +864620840 +356961017 +1220046936 +1728730205 +903301578 +1705306823 +2127081666 +643552827 +1361906179 +140674853 +1748374348 +1213044149 +108208282 +1544245423 +1597882390 +2069662761 +346488191 +1238086471 +2135718970 +170744494 +2086882534 +129872458 +1555544989 +283332349 +1038261543 +502646978 +582323118 +429878931 +397169666 +1541405222 +437332236 +1261790506 +1898366239 +1657379172 +843037064 +654184169 +1215202348 +822635082 +1297736996 +429624879 +963309935 +898627696 +1642669028 +1071518218 +295389472 +1093067771 +993697331 +641877663 +183670594 +981932653 +812622157 +123069480 +1111805111 +220683498 +406401830 +2583006 +723330476 +988724948 +432461937 +1120500142 +382646522 +869794174 +234807000 +133529113 +379689698 +1077844064 +787713282 +1594892046 +1900479147 +2085450279 +2024516925 +716305434 +836594327 +1519702306 +1787823652 +1131983799 +465286429 +634037335 +1773861462 +648957023 +1615969989 +438999971 +772026504 +580291452 +659683469 +1178428334 +582874459 +1383013945 +19669634 +1015336396 +356030439 +402316157 +1885130570 +590837440 +535845270 +117336621 +1668681504 +1323558553 +1712228667 +1421677003 +1261525184 +1589261945 +2137982438 +2098119511 +961480603 +1778322442 +1082619663 +1426767032 +264876130 +708997477 +2075724055 +1880846119 +1147997449 +700266911 +313653923 +1807680918 +1878695245 +896528382 +1043211216 +1898364880 +1911864779 +1399241655 +153197389 +1649511701 +1990079095 +689042659 +1766848322 +1511276952 +2012601212 +1331593342 +785470307 +1126642748 +773371639 +775969097 +1077278612 +1734852242 +406807892 +12414627 +1014135626 +671684022 +721412104 +942376033 +405046493 +1869409553 +1642642945 +718700416 +1529606824 +1373854542 +1615228799 +425334392 +1124735774 +1379609930 +1824576047 +1277933163 +881637983 +1667171495 +1966975823 +501002658 +1030964799 +1832093387 +1832596000 +1816435106 +811252488 +458483991 +444920556 +1888531100 +45852585 +851728448 +1900945727 +1059988211 +1523412470 +474874183 +2002364244 +1928458963 +196800089 +1497523541 +499675731 +1726406913 +723894436 +2114904530 +4257657 +1848630210 +1347030812 +1828833704 +979079726 +81185148 +1348521551 +798571901 +582187806 +232002702 +483181640 +267300158 +2048437809 +1294434128 +725784149 +345874717 +1035481580 +771636734 +1197603165 +788943659 +1831624945 +573531987 +1263817843 +1686505541 +354507302 +1460617932 +1036545435 +854183033 +1039541197 +1760439871 +821603916 +1043798854 +1461586433 +21151080 +725148910 +293182511 +102336228 +2073670462 +1091754412 +684524034 +158189516 +1574936053 +951824192 +59143677 +721886533 +1677608341 +405018394 +1757368114 +301761427 +1602621559 +398828125 +2133386372 +28669898 +1662645968 +1672408266 +383177200 +975780252 +561470053 +1237360234 +2015321449 +174426276 +2058964150 +911636655 +1636012709 +2080115230 +1636785566 +1929195221 +34967811 +1562972380 +873465985 +719491845 +1721161896 +300918390 +1671316038 +1780305574 +1022804924 +1201440731 +37840320 +632689390 +1503202159 +1640461880 +1031517515 +1489104883 +1669131778 +546679836 +1014029501 +2052308979 +1522460088 +1575499554 +1142185565 +1390297890 +1749925830 +1053666067 +154450897 +1238454892 +986297649 +1791236463 +1020166465 +1021265460 +1206725195 +1893632450 +1740757306 +780403444 +47067193 +1264589696 +413225370 +1069872117 +318546779 +451065690 +1702561507 +1821748938 +2091527570 +586595374 +1163370174 +1613175701 +1133275210 +29916027 +1518001032 +508251651 +1605415582 +512702949 +1898549541 +1207857764 +1566369016 +2053000438 +298829008 +405183017 +1696753254 +1318995473 +1426448478 +755994801 +1065144276 +1019722136 +1536398245 +1112211469 +136828184 +1949623615 +34599938 +455374963 +253205658 +1737161445 +129640254 +197249580 +176273171 +1293010428 +1810425281 +1309548382 +1322926455 +1180942665 +1817800033 +780858389 +1693645614 +1568865926 +1988716154 +1112530982 +1474382716 +140061514 +1517714000 +1023652322 +1459056988 +796678830 +1779647124 +376717616 +1816400966 +1168561721 +1488929085 +1953229150 +970701689 +1523529023 +261120465 +1223907347 +1113206820 +390760719 +1421156927 +1289479991 +1683771147 +1084098561 +451544725 +859213955 +117557578 +121861110 +1640072344 +1811203193 +1690727036 +1481304850 +776250527 +1017626105 +1621366365 +146480879 +2041278427 +932939705 +943159709 +1673441903 +1309657321 +612077027 +694519977 +651102758 +417822529 +1665221666 +27148133 +678942995 +741645365 +1140354953 +1069703714 +15318644 +282351296 +605991214 +1099417205 +733896022 +1465205169 +1216974784 +855757132 +957793865 +880694329 +399000521 +291615068 +1656944856 +1416626626 +1912981433 +1803425736 +1310421405 +698437490 +599101797 +836379661 +2008094811 +1211178825 +1530899638 +511713921 +1629001354 +1048637656 +538862054 +160460701 +1790283021 +1679217007 +1230164416 +1805601665 +1961568303 +1836155630 +757535223 +547980677 +1153877151 +1974510007 +1403737810 +2111671016 +707720688 +1802738331 +255802436 +217181896 +1071881309 +21300221 +2020607632 +234819066 +719737711 +472225782 +1071198727 +580348874 +1683404607 +454614717 +1092062795 +1164922313 +1503252373 +1630924849 +1325383015 +1146051746 +1162658208 +408063783 +804169764 +976742864 +96735765 +1561704987 +1524723541 +1250612916 +1388731346 +780977703 +1214800284 +2096452034 +436232386 +1470602721 +166150282 +1508113695 +1491902942 +39274267 +1742932762 +64157006 +511500049 +666647841 +644505880 +47421008 +1121262559 +1736568676 +1212343321 +477031284 +1220009877 +390242688 +1623083031 +235184438 +798306471 +279769147 +1211927302 +895042236 +1841474134 +589167195 +2145655152 +1082721832 +1370144899 +1212971789 +1031690218 +1806377285 +536090862 +1197840500 +1167007333 +2027993804 +1237114767 +762456447 +2092150810 +1748614816 +1429104288 +589173043 +1796035824 +402883199 +178258071 +860895498 +879914484 +1398267948 +1251138186 +355513867 +1633452386 +2049444658 +635283014 +697896040 +797003246 +329273500 +1287063236 +795174751 +1411995332 +509724487 +2008146540 +296201902 +168618124 +396753754 +1494042402 +1335625457 +277263910 +583673522 +2098081904 +221931073 +184804690 +1379702545 +811104116 +1980840515 +1782585744 +989362187 +694252365 +515016580 +240146487 +1945390551 +870530447 +1873598874 +1847351561 +1505813461 +424011266 +496871160 +1835086961 +1711074502 +1292045911 +1099598645 +73315341 +1152708803 +1395800547 +241933466 +1549462557 +742359302 +1577558923 +1826726467 +1326032824 +1528157180 +2048657540 +1510837514 +760376077 +712278008 +1344194381 +395478173 +1701640195 +2038446746 +910494754 +1941786683 +1836353650 +1781025201 +1667901909 +1536221563 +1139355015 +2091913175 +2033092723 +826958328 +1655504030 +1177654986 +1926556974 +1728819371 +182880141 +1174873873 +1970752837 +1732342698 +1917233175 +1400828113 +1411585518 +1095782351 +781501645 +1312759410 +459136218 +1541877722 +2025037419 +1803330599 +1937355895 +1579193966 +1694293698 +700367001 +1373497001 +1383163700 +333908555 +893915262 +771901615 +1473263570 +838344790 +657510691 +152738250 +346365172 +1835165677 +2079295224 +2075184543 +2018045819 +1106685450 +1898453733 +1602904869 +876434977 +1151798198 +867006739 +1972217329 +1933299843 +32282502 +283869899 +1327693917 +2057319921 +2087200498 +1117566164 +1489030239 +1634010548 +1817933166 +715043593 +869690600 +4358073 +1608958855 +1641592216 +1477621643 +299819997 +151619259 +1630359893 +646185169 +1986784936 +1562171470 +573886065 +1857347107 +521373272 +324856150 +1312768329 +1397808249 +1476654348 +32291420 +1222541930 +1262470543 +64573922 +1506411829 +442680812 +2121893843 +1446128680 +1560246976 +1463440435 +932655580 +1230696494 +31000380 +1802346181 +1235054567 +1639959235 +1296454749 +565192562 +1939779233 +1448074008 +48068808 +438480754 +1287375296 +1610240278 +1012366819 +997238756 +2131613550 +1337222969 +162523437 +1381938151 +666393669 +194814857 +456996434 +1928864212 +259388780 +1963408263 +224061376 +233798975 +1262053295 +1784308353 +1697239410 +47225228 +867521199 +1728239790 +1849571409 +2102575767 +1220715378 +998542510 +520284681 +1013010963 +299132870 +568353489 +1451491717 +1586508166 +31110119 +316374889 +436263274 +15240021 +1653597858 +598786711 +1397178173 +172507880 +793601569 +1854174607 +2101372092 +1052990349 +1670099222 +177949821 +1286789324 +784668870 +1962258174 +836545087 +831894098 +682295725 +417301229 +533981859 +637387844 +1638016607 +1532524369 +1157672526 +503543922 +1831657239 +1726026015 +1955035640 +1270681757 +1757136135 +123926881 +1706945032 +1772376156 +1777524739 +158248095 +1022070681 +1950032619 +951849664 +728761640 +1903921064 +2004840013 +251377215 +2081870885 +1144145690 +1036046085 +1896645411 +1980690777 +1867940183 +431457488 +250508358 +254438394 +1068845333 +1888524966 +1786962763 +79034211 +244585240 +1471136354 +1805060226 +52137232 +594334463 +1414712713 +176064113 +153795847 +1039605222 +1953588853 +312043943 +2061675903 +1756137824 +1263893607 +642953896 +1512575240 +1121249973 +894331111 +1446962477 +117912015 +1930377196 +1196124240 +2098602792 +1650833731 +1627581729 +201627502 +1905272125 +548943414 +2090152468 +1544751240 +627977625 +187254061 +868403946 +285554203 +239391293 +1462738409 +1700266917 +415455407 +1616534257 +592388491 +221560612 +1928578200 +506580746 +1977698436 +1044988159 +1149534642 +1342790029 +18754484 +2043865753 +642268858 +136666499 +1826759301 +1838393099 +87785643 +1330109384 +1318491180 +289413146 +1087897861 +1867434594 +232081966 +485165453 +347928571 +419336027 +1353569399 +633482774 +658727321 +668824161 +186266043 +1074182728 +137874770 +778654534 +1295743340 +2066452970 +1285235281 +1125958128 +963957481 +287286275 +321264509 +982711966 +183668381 +963533368 +1119378465 +2010427682 +654442819 +1207164109 +1193053419 +1972933999 +1496577255 +133467632 +1692884945 +1728659221 +618633086 +2040813516 +511601 +1972202485 +526812642 +659238922 +493542998 +713078686 +1733421650 +631417768 +1491733220 +881681342 +550387090 +629484853 +2007639470 +1514344572 +916771129 +181420332 +349572890 +1100439510 +1144953700 +1468951355 +963383544 +1799396519 +528631816 +8953315 +1624846870 +2025209071 +142420948 +1170248167 +1606384645 +761054034 +1063578035 +1606896246 +585772871 +1590390677 +118651520 +1079315870 +155985715 +1852073170 +1710733638 +1647718936 +586270864 +113637081 +129720141 +446426686 +1627981653 +1046491270 +627847018 +1977554543 +2146930780 +1772800718 +1299022250 +962830677 +1424713589 +1827654067 +971783992 +902076811 +1705379490 +1114204940 +2072324978 +1164280487 +1875258974 +988419365 +623693085 +313548198 +431326395 +742344605 +1392864068 +587312110 +446934127 +956114058 +87547398 +1033204991 +1069751139 +217267540 +1479631678 +550249144 +1263758810 +2107478696 +380320039 +1263205943 +1732795767 +1679342290 +78552972 +1010025708 +1359512709 +1050336964 +1912102520 +917408551 +17058257 +1836943850 +2081689039 +1892317231 +677879568 +557898476 +58381781 +1109205963 +1300243082 +1451245849 +1696518073 +1747177209 +259876260 +1784065472 +632898553 +1329627399 +2001333012 +2112530231 +1879876544 +1117608174 +2072525279 +112712935 +233330469 +1657837398 +1792055225 +311883441 +520379459 +1004084286 +1362220406 +284998331 +1921492838 +1379278663 +2121942181 +1855698229 +1124112246 +652338101 +266113057 +1182494028 +1761544064 +1566356139 +486256229 +1310578490 +1166049701 +746132489 +947160314 +1798948254 +2075759889 +801009678 +1763994837 +1808152785 +1918617852 +1689036468 +1920865720 +4464674 +1199390219 +1565437298 +316348115 +1719769678 +422037936 +1678568521 +2004768009 +196047126 +910363536 +1979226542 +2051745355 +2034475783 +484080996 +170374765 +1069486163 +98141412 +1736730904 +1555742392 +1408719902 +755296957 +154391234 +208396568 +406761563 +82667475 +1009406246 +23272752 +1890820260 +780540451 +1712309221 +1664202332 +785005125 +764215792 +1082155982 +1101353240 +336501822 +1504193919 +632438114 +193786183 +1700241045 +1542801650 +25529077 +1604502753 +1429793785 +509610073 +1774877518 +351796300 +607751486 +1364124774 +1907538693 +2016471388 +2119421732 +2061929927 +77384309 +378699647 +2144597402 +1086790555 +401972400 +1887934014 +1867331006 +2114281621 +1404652698 +504852483 +731013765 +339325033 +1606205724 +1067515587 +1843518952 +91160190 +1261301770 +1396276349 +1633961840 +1286830847 +853295454 +916271978 +1796440921 +480689324 +1268068278 +256708759 +1844814099 +1028123323 +125696499 +1816752183 +942569602 +203080808 +47968182 +939683356 +1289871364 +449940582 +680133722 +1009718722 +416738555 +2084786421 +1514571206 +1147752320 +276627806 +973293282 +67784259 +2120146758 +1064453472 +1329086029 +1368939459 +550931664 +468433229 +74751266 +1467203642 +117390502 +555440590 +587788273 +374099261 +252771041 +1615911596 +499795760 +2069523224 +410997551 +702876569 +2117491407 +1350680907 +1992747933 +419948341 +2030814630 +854983007 +836686897 +1968117403 +222070565 +1984439217 +97261561 +1195363847 +2052223477 +69924671 +112333671 +1233825858 +1438864130 +663265336 +1702259087 +1513615396 +2130468978 +1819649589 +2069055987 +570773603 +46265202 +174343380 +39201552 +546060963 +96382957 +450199103 +1248937532 +66390716 +1800880010 +1094201817 +486339057 +1684210992 +1949184824 +1323025954 +1504844747 +23771742 +1159981524 +1602106308 +1219135589 +1064721353 +1672030979 +1331469261 +151063563 +963411462 +1994734597 +1853322651 +329543210 +1977719927 +1525488592 +251115549 +401009883 +1571753795 +425458930 +440211435 +2117814758 +521841887 +890410538 +1219268642 +588232603 +543806900 +165986811 +1074571660 +80534245 +2115171635 +250113967 +1585378992 +2138943377 +1410095491 +1040001653 +1210595319 +327333196 +564548984 +394580932 +478396759 +1527960446 +241831881 +184235762 +1857503657 +72068160 +1709724355 +2108619206 +473078043 +1133994502 +386594488 +913289478 +1104325612 +908436375 +1803700016 +176110606 +1496668978 +200023269 +342097417 +423756991 +280557514 +309785404 +673870958 +1865936506 +301245134 +2083966449 +758454511 +1511840453 +263815997 +1323003496 +1906421385 +742212756 +703480294 +769618 +926448519 +413500303 +72837778 +488689226 +374635862 +545915822 +1622683728 +761230350 +1459205300 +579525692 +1669666726 +1115421669 +755636298 +1018852056 +1315444938 +1097733715 +1442609047 +1596002452 +1407519119 +2116480005 +1314455310 +1708764253 +2052962806 +2072909822 +1073121058 +169295155 +1248429670 +832058795 +911507912 +1951909964 +832828413 +1837956431 +217926620 +905666192 +179162009 +592562482 +1451582014 +1801845737 +1353792832 +763303666 +233887781 +875975910 +1878725335 +989524079 +1894827967 +1046686625 +2087257794 +1189953366 +495205429 +1347293265 +1158949724 +1809660740 +908573871 +1064428882 +1735086914 +1981694929 +1233724038 +836032936 +666270077 +2145231950 +640459252 +1499098490 +1835704733 +858385872 +257281034 +2014866742 +1450948354 +1708863048 +1669228831 +657257539 +324683067 +1903116612 +1533233449 +55924754 +745157043 +1280577768 +1102611380 +684931189 +323047487 +1597816809 +2032224454 +1481997211 +1259993901 +793314677 +398942445 +847597167 +627525959 +1632666483 +1683630103 +1293796036 +1630414785 +176605708 +645410878 +1318635870 +1034991580 +902691913 +1186018964 +338456287 +464071313 +707764147 +995713826 +788754380 +463397111 +381463627 +844679135 +1208554154 +1662041396 +1947290515 +1893485343 +1985088883 +1397623676 +1778226150 +1319602446 +510133930 +424057179 +1718544891 +1357731097 +1051583138 +1203727727 +893877553 +197895526 +686658864 +1070483261 +843306405 +2005294735 +2105474841 +1745998318 +1043830051 +296447480 +62585983 +1751594199 +1292161306 +851340364 +67507662 +1673624934 +1696019499 +1276061817 +1188182682 +1495826366 +1022063512 +1025787917 +745966394 +652806014 +197906715 +1256100324 +1076863194 +1916451606 +466347774 +2128446332 +972695685 +1360225327 +178858211 +1659354550 +283224940 +1022164616 +1517165637 +241216133 +620679286 +413512040 +537663614 +683265269 +17622591 +1829824920 +1534605633 +85130254 +1355966206 +1083141484 +1361192071 +396665240 +431484202 +235771935 +1422453157 +1177450597 +888577950 +1620359872 +286067273 +1965441144 +1389327831 +752415047 +1946403828 +214539868 +2112640374 +2125262039 +1873894418 +248381666 +999943007 +1243576407 +489597800 +1620622293 +1657088448 +1027261414 +156403915 +1674711039 +709602686 +1691009548 +1759841293 +2065568893 +626667385 +973549716 +314750485 +1058151587 +1209321652 +1737203643 +88118536 +2097899602 +1210079867 +374185810 +1915857098 +451924050 +1126600857 +1714777278 +666463919 +1091757584 +1692555670 +392874689 +1340139250 +545015029 +1636451097 +1829737050 +18153675 +1146055897 +709514816 +174557590 +673283288 +1419117503 +1865567138 +285640934 +1337202748 +344750875 +1259190650 +1651953233 +1402902463 +321028654 +1241673228 +1491020999 +271444608 +304269448 +1865206809 +39818058 +756193498 +844324019 +1754595337 +1422657417 +1936081603 +1299667359 +1815532107 +1128737205 +1844682388 +1304499556 +810990608 +1862836063 +303071805 +1520505424 +2037393653 +976355093 +792139279 +1755477144 +1261996027 +2129342027 +2100228019 +373703030 +1633811613 +1355646834 +694731684 +728001193 +699184186 +966176293 +1032270641 +416907347 +1005994351 +1788464140 +1261231366 +613106040 +1063637909 +1049829321 +1912773399 +731686368 +31082879 +1609972140 +2036185924 +842073487 +1325324555 +191774081 +215095263 +1215234561 +1168129175 +1007234543 +823228057 +282641554 +989092922 +775972428 +656344584 +475420887 +2131619263 +1351076269 +1203422081 +683319801 +169768914 +88209074 +1100227148 +1175763265 +1876673214 +213974867 +1788869306 +792827476 +1263804188 +1554159057 +1524513844 +1294887067 +1016647549 +1413216121 +2136960554 +194488457 +1604990202 +204572170 +1409723018 +625635729 +1211806713 +85467427 +908277284 +53415987 +861439855 +1564621868 +528836875 +845575470 +768214489 +1732258956 +1528895271 +937983403 +1820468030 +481638772 +2113746669 +1549657597 +695613639 +1755132327 +195001425 +1959417827 +1161807736 +1719515269 +1106821247 +30971638 +985247742 +1096298153 +225460095 +442754297 +1300870323 +1635183113 +1068390026 +365193388 +1720650540 +1976667310 +418609376 +434606747 +1393805531 +947446251 +1280182218 +14536372 +532221559 +661593841 +952519776 +205205941 +1143232613 +918782797 +1754863538 +1838846252 +526431476 +1949864963 +1650780432 +1688239212 +1521896585 +610118031 +1719210850 +359660679 +1706416184 +1944670945 +802414976 +859802860 +1432370410 +1870805003 +1224996248 +1005537302 +1699988665 +1643605624 +1440144050 +946310548 +443568227 +572842620 +960846921 +975789786 +1234436461 +1913366697 +1180995728 +230185427 +684665846 +788375618 +2069031679 +1211097322 +590756934 +1572328463 +751852886 +2112653519 +34962846 +323580089 +324830550 +1741379031 +120767386 +1127245527 +453698243 +1553137797 +850566882 +1678694491 +411191451 +403071899 +1174816468 +1851335501 +1349382448 +1618384695 +276694473 +162745721 +446690834 +1511130935 +2076112418 +1627686562 +1741316362 +613294616 +268578532 +1662864393 +1824391938 +859335466 +1087709209 +428761176 +824505337 +1122672055 +752341265 +1149335888 +716567438 +873108652 +129097767 +1170265681 +278762801 +979664649 +701476525 +689954252 +1382736548 +1876292993 +393806106 +584635348 +1347194040 +670500579 +747381069 +1793884874 +34147866 +676009839 +1274087788 +1775464228 +1289304455 +1542666321 +1290844974 +966212745 +254518139 +231070535 +1394973922 +1079023477 +1353742590 +2147315187 +80875717 +2070310029 +872940191 +209973484 +1093092062 +1151702992 +1189638133 +1794568587 +1841657245 +424891033 +1523377932 +87979703 +1009526382 +723088325 +758480282 +1756907451 +369489551 +792628149 +285433643 +1643577340 +420608729 +1574738098 +1038760013 +1711453703 +393467196 +1293278152 +1942524238 +1788441118 +224817981 +1148783181 +1788272657 +305693698 +1071609562 +513729201 +515667182 +17217976 +1665432193 +1705305315 +1811786564 +1359605790 +2130196349 +1187680848 +1447585493 +992239083 +1910769173 +58582128 +601662886 +132775077 +851210277 +887096529 +1776352417 +1271819006 +314350980 +667628782 +835789062 +707818176 +1960906934 +630829652 +348775646 +38241268 +1779612833 +2137048303 +343934966 +703738747 +503293856 +859602149 +720956724 +21242402 +417423816 +385259640 +1380848192 +400136517 +1572940488 +680950038 +1392375600 +1336226014 +739532166 +1994038487 +1469001091 +1590742443 +733651368 +1097869860 +715077801 +1048002348 +1765498642 +1550866863 +1755820524 +1578921928 +34212868 +2104596170 +1617163196 +1813825701 +2094160826 +1961098163 +370080801 +449971034 +673216664 +1091037525 +471213436 +1090640480 +1476297165 +1852061629 +1490776998 +901754005 +385528019 +735668950 +90496371 +1125060185 +582223789 +1559497462 +568318980 +1315875158 +509883674 +1283396781 +216393858 +127898668 +686779997 +1972214383 +1706820597 +720992865 +1929326905 +1176500145 +387334918 +1876004083 +990114660 +757415719 +178491470 +1663331324 +1848453244 +649704906 +606488157 +1177266761 +354282887 +2097265155 +2079020767 +739810906 +685450457 +22033490 +1864871091 +1267674247 +1581530953 +285706423 +436065757 +2091414627 +1569103205 +652459615 +71829648 +108399554 +477190350 +1778650245 +829392419 +259033608 +807666742 +1216727337 +2135037691 +1797781403 +1974143057 +166045513 +1313629079 +1675112653 +815750420 +1920117236 +704895767 +1170033307 +1869898743 +636432886 +1909844214 +407865553 +658466376 +1627231657 +1675539800 +92513681 +1912938081 +2111605557 +36444661 +1334557638 +616581524 +108274309 +1442957192 +1093771875 +1886924554 +124865963 +1352805483 +547107648 +1341593300 +1340359526 +197405403 +1168252709 +1506405040 +1511034483 +695881715 +174671812 +1283668071 +1400777482 +1344705119 +1006083167 +2037210368 +1107065685 +1413948720 +548193096 +586813695 +942004872 +640706778 +352268128 +906126781 +677151439 +1686825766 +1522708305 +785425748 +982299310 +468996532 +524866654 +1107165273 +1821802015 +1071974302 +301274925 +1014677894 +1269379706 +1469527635 +373599286 +632930541 +17925702 +548271098 +1916598612 +1418703184 +1892976217 +775198131 +1308429904 +852558255 +41663203 +1856623000 +1439371950 +983668075 +349846130 +1791640078 +1889794856 +1026997569 +1330982196 +1265019514 +1812423317 +165797858 +1734016046 +189806323 +1272963131 +1408334414 +1261780626 +1574238056 +275528660 +383676684 +896282043 +649127946 +1016607225 +914207745 +1197399044 +785722189 +185427281 +942891613 +1560920321 +1493857185 +1795449868 +1602583524 +1202996538 +1087338170 +438767952 +1552842668 +731494600 +181079160 +432356590 +2062476796 +1446098674 +97296259 +80791006 +1032631073 +287102583 +1353754137 +293481839 +1548883209 +780508546 +569010499 +1932559893 +1676790589 +1218138445 +801683470 +443514687 +268053841 +1587405659 +628941968 +1210945454 +1000842332 +2122799154 +858911675 +455942209 +1178312044 +1946249845 +894710161 +583671064 +530260798 +1075789321 +1016027654 +445253946 +374404348 +1113323914 +526044953 +1407035421 +1400426497 +1879799090 +1700517260 +801826058 +512823988 +122044111 +586902303 +42130930 +1340182556 +1388585773 +485645617 +1608236397 +828507784 +1114587585 +671698203 +1829350117 +1089903091 +1530609878 +137808678 +120731487 +1329376076 +1032518839 +704402552 +1859636874 +2108308160 +1720430206 +157407172 +335228860 +686270472 +683452125 +1742264281 +2086696969 +415767568 +1295297893 +741039379 +928591556 +1417342004 +1327941682 +970722486 +610040912 +569043807 +1456368103 +70793661 +1397551592 +423472041 +742491865 +1079418061 +1513375132 +125618095 +1217226739 +1634106620 +1454994171 +102261930 +191025524 +1167147397 +63086442 +1911455730 +1324554570 +398315303 +450242555 +2008006695 +2140579584 +389455876 +276290615 +1288393830 +1130495256 +1204882172 +558252186 +310953290 +28121010 +1168293099 +879997098 +1484489114 +1239086760 +130065042 +1907961155 +1981578625 +1209483103 +1273852639 +2107196721 +279226194 +760475611 +1414707244 +381488124 +951501135 +434370994 +444574566 +715473218 +1758925564 +842889869 +1165715773 +1619448611 +835985806 +1555171649 +1895739227 +2124379636 +538183257 +953137751 +535148174 +849136548 +981258761 +1703441273 +1729133646 +318264227 +795044386 +1859198688 +78741734 +629139363 +921198143 +1352594374 +588852436 +1200424337 +2113069985 +2003559681 +1581912461 +917087473 +290447027 +2026487027 +1632560691 +2049372591 +721893249 +650792816 +1521337554 +1557879055 +58480817 +1269593133 +1534775043 +596664075 +75247236 +2069923217 +1445800623 +1056505998 +1625880843 +1027450621 +1374770225 +273441581 +739165661 +1453511960 +902580944 +1660363804 +658622686 +1491433381 +713304493 +624209023 +1347509414 +147733306 +1541296496 +1637956441 +26736685 +1026373539 +1539845384 +748629934 +1677166355 +913699290 +159025341 +1735647173 +35808776 +1693800384 +184827600 +111056012 +1616239954 +1630628223 +1167562010 +1094637149 +510595196 +394848588 +1368078730 +1249760857 +1848360548 +123176026 +762641013 +359499586 +1614609407 +1475945506 +983708609 +814635173 +1623678812 +377521458 +305107966 +1650415497 +1403894997 +1844953350 +251561784 +933577705 +611168993 +410587125 +521741230 +646977769 +2104387510 +706568830 +758033781 +1573143816 +189713405 +1925595792 +520297317 +700308601 +172960732 +1888376047 +1950069458 +2021321280 +2011552073 +565226823 +233337218 +1478677833 +2041172329 +1217045827 +145829358 +1517367493 +1594567285 +450937325 +1020299342 +850978635 +148407027 +1271861126 +1784556340 +759576020 +1682448252 +158813922 +1406553789 +1639352114 +865382752 +17103923 +1065012282 +1055096157 +1942699715 +1585309599 +1755404758 +2115660447 +1326201998 +1557990568 +1989498079 +1190270423 +2123217391 +75351649 +521464608 +2016906072 +1292397476 +667293967 +1386789917 +739481114 +1118231292 +259605611 +1590459749 +1266638319 +1531466738 +1227532441 +2026214340 +1066431342 +1386346363 +1285284481 +558299808 +104245467 +1302388404 +1623312090 +1159341624 +1097604471 +1061138041 +767262734 +1065781270 +239856391 +177769654 +907795701 +1430126814 +153503397 +983147350 +1951591423 +22925821 +128061179 +471401742 +1409715738 +867542293 +1589633034 +1669321349 +310518394 +708787705 +1053304439 +1538050835 +587518397 +2119735781 +776913550 +1872802879 +530551941 +881159017 +1027707635 +6380383 +2040500641 +2125312107 +1067518424 +660279727 +1043609729 +1307374815 +838049381 +1951405431 +590017982 +991552778 +787069133 +394125757 +1014478599 +915130312 +865527499 +276710689 +1782672605 +307676885 +1946032038 +2093190999 +1016464590 +851852830 +1483758186 +1603982988 +824104963 +113188088 +1329302219 +1354656905 +994347105 +209526206 +1361037288 +887364098 +187354665 +281072065 +1547643825 +1230964395 +1588446880 +238209558 +1034886178 +30981214 +1229762336 +1821955311 +425106971 +96757287 +589601976 +1290634470 +373467976 +224790933 +1598311355 +172016367 +170498285 +467292298 +1023869197 +1654256471 +2071275286 +1847974160 +1767444560 +1253093857 +1055147417 +614308017 +1462620063 +268701058 +1501672116 +1649974729 +549773123 +901832293 +733455476 +2138220003 +1140041852 +1768341654 +21717570 +222320540 +1442813317 +446824541 +319077828 +2032415293 +1737459012 +692545804 +109722579 +1188286719 +864562171 +280220864 +1655579017 +1888431368 +1934477335 +1579370655 +1588921881 +1554438247 +684980864 +496585650 +21262617 +117280 +765286708 +1522934733 +1650092009 +1315059831 +277283378 +236063837 +1305796187 +1417325230 +2004405491 +1327513757 +1639645771 +1299735160 +1774338298 +1958723599 +1184666806 +1364313662 +503785755 +1294389385 +405116734 +1368347927 +1574610249 +2060695751 +1109295647 +1361603936 +1492582759 +550733880 +768558536 +30079975 +1047319531 +789821153 +30197255 +1812606239 +165272238 +1680289264 +980182423 +442555616 +1916353101 +138494962 +1859880847 +1773274944 +1466008719 +1352042970 +925526457 +1092863369 +1163282921 +2110193263 +309693384 +1667068676 +1257099000 +714810118 +887932955 +684225601 +628022221 +1997228603 +2045829537 +2120604980 +400478835 +666904425 +3201308 +1447798366 +1456725578 +33398563 +1112920958 +1621997816 +1713687828 +2093103381 +2064553433 +1482557281 +84114695 +1776950632 +1108348578 +1550123414 +981509954 +2033875035 +495503135 +2144792875 +1996584650 +805196519 +1664377903 +1106200002 +1520006637 +404827211 +1790425603 +545211 +254572166 +1688771492 +2121150191 +655051001 +208192270 +2124351499 +2102849368 +1664917848 +10266415 +1068286678 +1139432017 +1723954243 +1013906411 +1056501802 +1059027876 +1098021106 +685968786 +19892806 +500660872 +1667478740 +2053767841 +996164007 +1664787967 +1902868843 +1801360527 +1181682222 +861585197 +1173883516 +1586509433 +504527152 +1174428727 +1841081599 +45814997 +1148095271 +348648953 +254007267 +1124963122 +304014673 +1918925115 +1135229537 +1372301351 +910873484 +711700132 +238724114 +1967375286 +1770728009 +1336745220 +505860424 +1790620815 +1837406092 +25855516 +1696905009 +686086451 +1690643483 +1452290204 +339963330 +724842058 +166391754 +1513846847 +163867843 +670918906 +540791926 +2004949443 +716733903 +1688887197 +206114748 +970741170 +666366672 +510129421 +742182638 +1801596209 +1882430772 +1653056122 +365812694 +2121154886 +1472947761 +2136540703 +1310416458 +1978808185 +1779677870 +1000338902 +2004663702 +1329099231 +1686425353 +1547823537 +633905788 +2026388684 +125181947 +800297542 +1392751883 +289049791 +1471216448 +1933543809 +146515586 +40466704 +1474947359 +352630334 +1011207874 +2141314031 +862759755 +1753390512 +1795426592 +597706879 +1258962987 +13755638 +571378117 +584427100 +2812693 +1881794575 +415751637 +1782490564 +734649829 +272931691 +964106147 +273591534 +1820755229 +1598011935 +152496570 +1945937176 +250825829 +1545248453 +87503319 +1722042278 +1331308615 +234018905 +1762508982 +658772326 +586649239 +626233208 +652602709 +1449408994 +232140073 +300545653 +2047115873 +1491103060 +314301292 +471010342 +2075530160 +317113985 +205321269 +343798149 +2099604549 +939971098 +616729841 +916227049 +1213562633 +290001422 +366755336 +1366059203 +88454950 +617581166 +763824009 +175958270 +192139796 +2095132624 +409977175 +1954648778 +606421302 +996626415 +433398338 +1259024011 +298551761 +665538411 +1559569664 +198183987 +9157823 +1873870956 +669194329 +2084687983 +43501294 +874515599 +281002485 +2143105843 +1814486697 +897732326 +911849244 +880565682 +1187733748 +1278604581 +99141238 +1276188698 +1896185747 +862965247 +1452146968 +2088325543 +810614223 +1862124144 +1895490673 +1417035525 +711266911 +181405363 +528575888 +1009818672 +846943775 +2088145552 +1208002659 +856101598 +1814532861 +1877196989 +793305934 +1858034155 +604228940 +1074308419 +1853656350 +271231989 +1972040745 +618021947 +1151797672 +1012290845 +1896626528 +1250938910 +140995895 +1645328627 +2113904157 +1593142864 +1586170522 +777034732 +1307783360 +1334177547 +46586609 +2019050271 +1515582910 +575162497 +881385295 +215043037 +515824401 +2089387955 +1071144636 +182873614 +1819101296 +1864450570 +2040907769 +275846588 +791275341 +1747080472 +547078577 +615832438 +217618771 +1698876249 +1628123283 +2114245299 +802331511 +1769119178 +1612090278 +768752020 +1214778394 +1050777152 +1545786752 +375078106 +237471051 +1592373361 +246644729 +1753053961 +20052210 +1128030025 +1968096999 +535876612 +1069934332 +891757987 +718750226 +741551980 +608724909 +612174348 +1017398568 +1400000250 +211771172 +1564477145 +2015832688 +429389943 +1115869747 +1496472323 +396151594 +1918201258 +1118107853 +2008241872 +539469631 +185402600 +911535376 +2085256383 +560480706 +1149006427 +1530146097 +807125436 +754576740 +1550198307 +1935155461 +575190091 +2086074919 +857606145 +1466948078 +657341498 +1599158125 +2075672987 +1269515846 +469073045 +1328189589 +1481287018 +2033550190 +1196538629 +1910676961 +1001936289 +545527304 +159344907 +772653900 +1663635158 +20103131 +1312123531 +1849037758 +931638507 +1249896266 +262034816 +2080644934 +632558715 +1069160252 +687738026 +35273375 +856832065 +1262928118 +2121348294 +1714438210 +582392548 +631206144 +1166112687 +510581888 +1900721990 +1635185732 +1838771477 +1234525360 +1521252275 +887826459 +997718673 +375704916 +1433353763 +1157063580 +1148358816 +949505273 +1177166711 +312998699 +651059383 +2108805218 +1562894966 +913094200 +2041966504 +47970033 +1982254452 +582220883 +83243408 +691602870 +1845149001 +57108055 +258557432 +280057901 +688314199 +1424670120 +790639789 +441552542 +912372204 +481927619 +1676077902 +286140831 +1369754078 +526312928 +661845748 +655624193 +1683376508 +1810204564 +1605129467 +713059572 +2123203264 +108705202 +674381142 +1538614582 +1021799402 +568863999 +1586584615 +856570207 +1151084882 +1669828024 +1548173077 +848750235 +1726936079 +1806730509 +1128808136 +267766630 +1083916981 +1919447926 +709319172 +1996289186 +253891897 +237913427 +134946369 +1623645975 +764226355 +796792117 +131786520 +300119215 +459513034 +1736915987 +1013178787 +435232650 +1845621190 +1687559930 +1973847232 +719936944 +108940281 +1412948199 +1576507151 +1260025163 +935292575 +977196580 +2108775398 +514745006 +636443442 +1090099886 +782511637 +1720360423 +862064164 +1491830809 +1569165961 +1115956061 +1729744236 +1704112331 +592118388 +346486943 +353420800 +723904909 +646606159 +812933834 +313337248 +1659784946 +1248166484 +11474790 +1199861228 +1074530068 +731411735 +1308801509 +339994620 +160435238 +421343024 +1275287195 +1137631819 +382634774 +1790032202 +1774075261 +1472734661 +425060191 +1346952036 +187315177 +1916891000 +768634350 +1303271239 +1499151589 +325263033 +1895389627 +1845638532 +678683833 +471810888 +344761043 +1491617668 +785148137 +2004545990 +592300504 +796622927 +1056923570 +1666830573 +1528034662 +218241432 +2006825193 +1688469901 +639584456 +1134628740 +678618072 +1022219231 +777177294 +305209685 +347470244 +1202237485 +1652161721 +534785421 +971644838 +273312423 +1838056660 +323312779 +598575456 +1585962640 +21467663 +1277259290 +2057773528 +366228707 +621393310 +695438017 +223291049 +1213693814 +1492060945 +1280214619 +733040739 +872611959 +1498456051 +592382284 +413598212 +2138040508 +1727011025 +1092216284 +1012776091 +356704671 +1397425969 +1360246335 +1558942157 +902104043 +1895031756 +383103347 +1175416466 +1585604769 +706416126 +1773991923 +1024083761 +727883789 +903767565 +934373641 +1094112496 +1525160875 +1629811659 +1317403545 +591371041 +974388956 +450134517 +1324411781 +1847000915 +1948590568 +1916794065 +113115480 +1939147428 +1496321442 +1205331764 +804439871 +1853026114 +455274086 +17202558 +1264484623 +1357378129 +1912234315 +1647587970 +385310947 +1350355436 +206520448 +11819222 +226955549 +934404237 +915586787 +1161329190 +2028516734 +293264014 +643657201 +1198436631 +884635056 +1618046157 +1648571148 +61563189 +1317563425 +1449678069 +1978357254 +1430678905 +1241341849 +1327195049 +488527021 +2045781721 +1032737515 +943801107 +2062984279 +149738490 +153695588 +1827734946 +1797326460 +539006536 +1030606734 +2003846908 +550825758 +1257562283 +790767497 +1466412546 +271407826 +671800583 +1759676560 +915065027 +1870237215 +496827968 +385627537 +1371324715 +558391157 +1703190962 +673519136 +389264764 +986386219 +1914860986 +1716459813 +1474913240 +1813159059 +601713680 +271230700 +1728659690 +751452170 +424926288 +1408910989 +401294982 +963932824 +292034075 +257658242 +1514758583 +1549596359 +1048425739 +833687481 +1821004185 +1720226323 +445880393 +588585564 +1442979890 +942708362 +974213101 +666820957 +1501099519 +529920415 +1340340094 +1890364283 +1516306634 +1107717432 +1459340448 +843736227 +773392843 +2061054128 +1114966927 +354568885 +665022650 +1539893215 +1763479874 +1066317632 +356342392 +2055513950 +1323975874 +1871100975 +1457626661 +224917966 +557304808 +1131147198 +1945144289 +1003185201 +1719732762 +1240640531 +1945893563 +546462216 +1907461488 +1299509435 +1076382631 +1100317934 +1042390070 +445205618 +60551718 +354246871 +1288941845 +833944561 +267817351 +256425124 +1188513447 +932840002 +1796318339 +804509673 +1999157634 +5177083 +712539975 +1175649861 +1876278058 +22682988 +1400567827 +286099218 +1153830186 +1198228468 +1289284420 +726079301 +291385351 +1087694335 +1272541517 +51363191 +239720122 +201440500 +1151681126 +1282110193 +646646118 +1212232844 +1636357064 +1935587963 +2046177406 +1904174415 +44529439 +1087207205 +689530769 +1840847779 +1891716878 +541204756 +1846024862 +456773206 +1716854617 +1574819273 +479456194 +969938796 +1860918491 +1633286381 +20683616 +1002719263 +211882034 +312068967 +2090413599 +1484423551 +363432158 +182650073 +1685864051 +1515113284 +1464760266 +185026522 +579862481 +953633682 +2120614485 +478556239 +710324450 +17660277 +1565763444 +1399855219 +1858508056 +1309996674 +1941059975 +1557049270 +1766769880 +1510430944 +984384895 +98742427 +332886092 +697819739 +1732028808 +353569708 +1700539002 +1943910842 +665638675 +1643468953 +1280850745 +1029070834 +1826119027 +819231148 +396700470 +1143395645 +1004257670 +976562951 +2097029328 +977388508 +1455119190 +659870130 +995048785 +873398986 +2059725349 +706073193 +35912013 +1853301677 +115638815 +1802681893 +1216248973 +1100023711 +1901424320 +1549135066 +1797843450 +1485969480 +1902704774 +1350898804 +1282396674 +420859802 +846884110 +415763771 +1449930636 +525519489 +1234994920 +1846631106 +1668915134 +91768942 +675710410 +1618460814 +1069157450 +2130829600 +130847296 +2064206235 +856744939 +43088998 +622795780 +892656952 +1896390675 +738434596 +547855197 +965156000 +1838458307 +301795870 +366807418 +1488818109 +1787765350 +122028545 +692233265 +922678377 +542888347 +1539117375 +1338442148 +1992818983 +2064636864 +425953420 +1691966441 +1586068351 +517722363 +220193203 +1057045517 +1586879813 +203539156 +1187892814 +1503602401 +1060284095 +1230981812 +2126398181 +1952941047 +979888839 +717349129 +353312596 +1945044839 +408323788 +655108466 +164368610 +1897141897 +295390169 +286397155 +441891515 +1218068546 +829285502 +1981008890 +409027046 +674620837 +1898162107 +834980467 +219103630 +1336746810 +1352702830 +439296834 +246308679 +792098995 +642835990 +1434201493 +148217748 +1703120085 +517699657 +127132282 +1508577484 +1497588496 +844481411 +1861890080 +1295149688 +1252805200 +369514899 +1459518298 +1002463449 +664905068 +1745915453 +1444354964 +1882973614 +427717307 +1277880207 +144517012 +1102338144 +1028558666 +979497479 +1321441774 +217821828 +184716661 +1760738608 +464130507 +976815657 +256090950 +1898332001 +1125033405 +1959211035 +268548010 +1252165687 +1320304871 +1766136507 +2096647099 +1034711304 +913802547 +1201968651 +1404226203 +225837197 +56948452 +2069131271 +1971752650 +1501303417 +1804621237 +251986309 +631699976 +1949138249 +1354324453 +1660258642 +781152081 +528282579 +1878080470 +965868742 +141537540 +194727329 +1942684399 +397628490 +2093059330 +920234157 +209355878 +214123693 +24916196 +1529660749 +1980260200 +2121563295 +416888405 +746579099 +1176048298 +1821114608 +972416296 +1232996751 +1742762231 +796685298 +586816520 +1399899820 +1048671607 +1218516496 +1201554422 +255512412 +731291490 +1982706503 +783794991 +461888312 +801091597 +925332531 +656615641 +596292349 +1322961022 +602191324 +1516526506 +1532316900 +816315017 +1541442702 +914494001 +649091569 +1515522350 +1331382407 +1395670668 +544087000 +1005013367 +220603316 +1777083751 +600291951 +1017288614 +216416623 +2000191771 +2065960221 +1434933119 +1054262545 +173988985 +18740961 +889485400 +957783976 +480629273 +1690576998 +1883116508 +1137244915 +139385699 +1058593882 +1739436239 +1655912205 +443427134 +408267608 +1049871259 +1357921135 +1057359177 +417909961 +541819894 +305546197 +961996962 +1546833262 +526149513 +591597065 +2147125213 +1543438127 +808013689 +1999833336 +1461914700 +95463160 +906612234 +1635903685 +114204122 +1796097634 +446204013 +594833395 +1339190984 +181836873 +1732078310 +1478576683 +1240430755 +1324030901 +987005240 +1683857889 +1732298509 +2036876500 +894295377 +642174038 +307302813 +1436115271 +947720235 +1269299775 +835464885 +1473869748 +1860896841 +835106450 +869824227 +521426882 +687456139 +184255279 +616890042 +1594068373 +1820158964 +731094164 +1242682359 +118879330 +1325927560 +434389696 +300716203 +910522222 +1912966379 +1541146959 +87069476 +752487972 +1077521200 +1819367985 +641880824 +1971816577 +314058376 +949183637 +1260448201 +1261778611 +70999765 +2095913086 +588164712 +1931896606 +783535889 +1457988939 +305839840 +1470992028 +1642244219 +922729882 +917576753 +1314919535 +1653824047 +12775464 +1433798865 +832267959 +447165160 +1734515069 +1742790181 +212647892 +1128178380 +1829859657 +965135864 +58215932 +1501743995 +1607016688 +2030032510 +1815802371 +408716677 +1142997063 +930097334 +479716442 +1091426501 +1518262046 +264129400 +1874962390 +828767338 +569969240 +1198470770 +323527909 +1492699123 +2116047523 +1638447444 +999039522 +2128822988 +924762662 +1831307481 +428504500 +511794083 +1426614014 +641152392 +1639972463 +1108990024 +1606288256 +1698188395 +463250371 +1065821296 +1580737257 +131569094 +1474537974 +576250672 +1061666428 +1954254416 +1667677174 +432444827 +70900169 +1395155916 +1261212165 +640869409 +446143039 +1584740074 +2133568532 +414706914 +1075703870 +985124406 +396046254 +2000466532 +668948239 +824550755 +364776967 +2095562254 +1465703147 +2004749430 +1057068630 +924507756 +1555454178 +1520319001 +1990329052 +988707787 +1651888095 +1317383378 +1564958460 +566070875 +1124154147 +1085151986 +998515702 +1195054316 +332824254 +112244219 +1835923725 +778967293 +1696984293 +1822008610 +1193674208 +625204516 +659649368 +1589720462 +478187400 +1328597608 +266787569 +842964368 +1276676214 +1732490717 +700230150 +186261196 +509514825 +108200680 +1706580197 +352360229 +1096908468 +1210984644 +1669743608 +514383280 +1777055519 +646414107 +1599535266 +628087574 +1841468423 +1932359520 +740331793 +1529908500 +563843166 +289832439 +1204433462 +1757517374 +915036955 +1864082831 +1199754188 +1393224355 +1045196791 +1466541758 +88705075 +174389357 +1051548827 +788935226 +360650553 +1561063652 +897135906 +2067230750 +1913423881 +1994044374 +1130731746 +1435683841 +360944006 +760303617 +2082097948 +1960479272 +1388391191 +1776082723 +1745355145 +2128722985 +1158507576 +161714663 +271071776 +215457390 +1919232037 +1186108731 +2079540221 +971502577 +431849438 +977253364 +290560687 +520554514 +1151642721 +1342109514 +1309489740 +1512293274 +755689518 +59141998 +1432040376 +521629752 +2053186373 +415288474 +1957313593 +266646731 +1175592092 +1891927894 +79642356 +416499635 +1520526969 +1824997501 +397738972 +531550897 +1986712164 +668810748 +747008288 +1758460553 +1854919479 +679064861 +582479482 +139285270 +1656318226 +873040170 +659839784 +660477299 +67666036 +1969329524 +25286926 +823355555 +2028471522 +1457327302 +1344985307 +1934174247 +1872615777 +1154815252 +53337331 +900724221 +899259498 +132979687 +1317223856 +272302820 +1957977188 +1714962829 +803853717 +1797205704 +236289929 +1550862005 +1408182609 +2091209409 +82443219 +1990662091 +83011031 +1738761445 +716218613 +742850815 +251755096 +783884650 +564696691 +277042022 +1607240205 +445684565 +1734369325 +804741864 +232375165 +1459501454 +1959557116 +285712496 +212742027 +711332967 +418692183 +1529965883 +983635787 +229185723 +1097445064 +1787489504 +2026391427 +1333734994 +1190867862 +1287090388 +1277460755 +1273311081 +1130268831 +1360471786 +864588878 +1846487445 +2103322601 +1116343974 +482888447 +520535644 +1393385997 +2090128652 +966220209 +980271674 +747386868 +1198595374 +292289480 +559460336 +1484307870 +505031507 +1270793303 +1903000053 +2034997390 +106945442 +2132185776 +984958807 +1894434947 +2011093555 +171210153 +937819161 +1150700295 +1448670908 +63646594 +133485479 +661659046 +928235472 +1979972924 +617497999 +2044579446 +315377723 +1138033643 +1290481795 +258022727 +2104253852 +123269821 +1005409595 +1155365579 +415559301 +1564869931 +492189801 +920590808 +688179587 +247706207 +808104551 +795125029 +232408335 +1793063358 +542076328 +96018243 +1964273511 +1479895489 +1246718538 +1265460771 +1543542083 +1380204017 +1927119817 +324293907 +1212693293 +397134168 +221389706 +1528071016 +1535167811 +1511871501 +1786093743 +1491938015 +1635141323 +644019690 +499819946 +2050700624 +61405974 +992009748 +823807785 +749585561 +1239715955 +1631912336 +1544710590 +1472124290 +1277492046 +2086786919 +1568142533 +1094281909 +1419198760 +667377424 +212259032 +815257196 +2047581441 +2139378849 +1139551103 +1112791087 +389029369 +1360940809 +493378455 +1924197180 +725328663 +131988551 +1268651547 +212986338 +776008241 +1768471494 +116203314 +837414215 +612997594 +940011099 +1586999776 +1852713549 +424439787 +984226719 +1177354191 +1701931833 +923529990 +598013077 +648730094 +195245102 +1265390501 +860989126 +1010502298 +1165488294 +852884327 +2569754 +130795733 +1241913696 +1363510563 +624174189 +1018627228 +2088839226 +756162740 +139795128 +154341916 +1532170981 +1908266622 +270545231 +222101549 +373780568 +1210556330 +1809101325 +79010469 +1634996118 +645844396 +1256364660 +1189444303 +1569374386 +1854377737 +1838174398 +1764619489 +972284590 +551679876 +627638139 +2137772885 +1404564204 +630207893 +121084970 +498994252 +1993718457 +745259159 +1517621481 +1935074035 +1501421899 +1657416609 +2089415952 +886109233 +1418199583 +212477535 +1108210782 +1791980151 +1423033865 +769828459 +1870990620 +910546335 +1415672856 +979871632 +2099990639 +837563594 +686765722 +1790681389 +454699435 +1659050312 +194877617 +1082337575 +1649339549 +1599441821 +1712545468 +1770424520 +2098436074 +1558780277 +368200031 +1468573907 +1346370665 +1869621931 +978506868 +1288302969 +608247516 +249222803 +1500780504 +1716458298 +2041202954 +776330721 +338803109 +1764709926 +1686877057 +1754475965 +597097910 +1639384048 +444555912 +1283863632 +1282581789 +899255347 +795430297 +1477459406 +1981592922 +297286198 +929417580 +1546654743 +2067710718 +880370006 +957951372 +288427102 +201460265 +156838389 +10565385 +1179967133 +1445141358 +618812901 +1429189936 +798438214 +187787551 +1322909242 +1574768936 +526590660 +940135520 +1114162345 +133582978 +1537233430 +606062745 +578138890 +673613415 +1888644534 +1477394237 +1469043712 +1218620292 +1311503512 +1766329910 +554224 +710674607 +1686556981 +880924230 +1668625979 +1974984083 +1082384495 +1825464369 +1985549468 +114867980 +1123122079 +456878721 +1544057916 +1921560294 +644666272 +719483510 +1348845582 +1171256932 +1659619030 +315524279 +1304839910 +1049368813 +921587024 +1882978800 +1722982228 +662747910 +1212889390 +1044542292 +1881368202 +376909254 +663388554 +1881922427 +1087583861 +202461887 +615363009 +608726192 +29962322 +1697747505 +286706913 +2015511790 +1812615485 +1409828993 +324906863 +1209189754 +1183905639 +969573135 +1928673264 +385267573 +2140830068 +1440808647 +700791852 +1298186330 +342693812 +1622378876 +1033681483 +2065676040 +137643138 +99087225 +962734684 +2019011340 +475996479 +1626123238 +1753450119 +1563580340 +1828585126 +221329481 +24822884 +1858547448 +1919076986 +311529798 +1726575591 +1584208823 +1721358791 +2051482454 +645914929 +757780782 +873571942 +427104546 +1143048355 +866918362 +1867913193 +1843840207 +17621044 +63123357 +1318735435 +1051302527 +2128799397 +1456378573 +1150389752 +944050433 +1327906265 +1626386231 +422690023 +933872737 +1042482923 +103791501 +1155202218 +1067305808 +1962338950 +926795556 +1378835606 +1541430893 +363520731 +952710749 +1445429699 +1009435661 +1710491531 +171517993 +1436540207 +706056238 +1038436355 +1156969752 +402412797 +1056057400 +1220093109 +1721148232 +2107359927 +1201408858 +1030043157 +1110266032 +2145459291 +210465774 +589168615 +420665666 +1144338511 +1631651539 +524457168 +152057081 +551473699 +339312470 +1078852637 +1930309305 +1880743363 +1442373369 +735536406 +1178689414 +304325382 +298544289 +1350207408 +1740865589 +1004600527 +241160115 +750351693 +1407013324 +1297217515 +1970444802 +980677908 +1257093795 +1024370012 +2010721065 +219876179 +1022345655 +73703191 +809044794 +1443011321 +1218041703 +293212685 +1967468489 +1370098784 +844686384 +159297311 +301467774 +627512041 +2040040674 +1743841143 +1363048447 +1071246441 +2048166525 +1661592736 +273970201 +1641548466 +518709615 +515130316 +244416511 +1925722939 +1812347832 +67377665 +758917199 +921957979 +1091747677 +622154616 +1141834158 +2114093332 +695857808 +1950878952 +1409621005 +1913899511 +96607990 +1229605847 +1136514647 +941294374 +1388903158 +1437982421 +1568806416 +1281460185 +1034339916 +784371215 +205222978 +935022793 +298480304 +479193179 +429087611 +817189919 +994323495 +673504122 +595429211 +659187679 +740881787 +1354346410 +1581145658 +1832629464 +1976501027 +575496168 +1799239148 +524875187 +378891473 +1061376506 +291291050 +475499463 +143498705 +1427805697 +1416793837 +1532401863 +718304471 +838116605 +666378400 +1752644387 +1622487821 +871601378 +540183533 +1920968125 +1350794557 +969271144 +590674396 +197634405 +1642775267 +1186103607 +856822084 +236173406 +392966370 +290484095 +2068802871 +221983749 +865980263 +1720558371 +746858936 +1244871736 +634451229 +1038149986 +1720371199 +777949934 +318472035 +989681389 +162868150 +1036776506 +1827797994 +829246550 +641937246 +1302802167 +1700847929 +1182120779 +1076286644 +904158838 +3908275 +1666961041 +1101793243 +1646683542 +705581000 +1958615328 +1882856949 +1098547370 +101615775 +1804176172 +1320531119 +967596038 +1377250895 +2067390055 +64984127 +2011702125 +958056393 +1785355326 +642168411 +1276528429 +627553067 +805036561 +165821287 +307867414 +1634283112 +807758533 +1610669581 +1187647393 +1989879312 +539472578 +2091806231 +1993787588 +58949971 +1046115827 +1492987482 +764530971 +857247507 +1228360783 +1863078342 +958863282 +885053307 +1036125813 +1926459320 +114820555 +956032221 +1991443447 +2126522680 +1914088614 +1629315126 +621207443 +1043133395 +109384545 +1426244005 +1208954683 +417251959 +913043469 +2016713216 +2027921541 +2100690862 +1859108881 +419910471 +2045013445 +1705412821 +478860442 +943645624 +1050916655 +1243391413 +1800893131 +131793791 +958986107 +612272765 +1016847098 +1995111921 +391248438 +1131667653 +803660494 +235208237 +1110706685 +570265460 +1864523363 +1731914129 +1613398856 +1973907909 +1010674486 +674869891 +243676220 +1923717955 +544099459 +124114113 +1876925169 +255724692 +544024584 +1774454966 +1961137513 +1022885026 +570616943 +864570521 +118792792 +224026426 +996364312 +1077778899 +836299192 +2013211410 +925407172 +1227547630 +997395416 +1729067666 +1462755867 +2108102101 +151849479 +1179795583 +1692532582 +1765248335 +1006219844 +555723420 +292634578 +1249896064 +331957727 +836734037 +1374010178 +61399248 +1092458730 +1918034762 +1835854215 +906112595 +793436141 +258987510 +1770683116 +912228933 +483013936 +619563780 +1990007832 +1319313128 +485291543 +767931357 +399377110 +1482686959 +349515375 +1862132978 +1443305412 +501364854 +894444913 +988354347 +119129541 +1900664757 +1544077767 +411764119 +1003077173 +1876035495 +1248498157 +229603703 +1937434743 +193473239 +154818 +1625805310 +1099585834 +793590959 +1884792820 +722785303 +1705819892 +220323109 +1342349083 +1548344076 +1539636237 +1827640626 +168791785 +1939013348 +1162843937 +518307161 +1653662678 +458665702 +1019672015 +400623943 +1447020049 +1138801557 +153805052 +843614168 +1550565676 +1156882225 +572166015 +651580185 +1386485929 +362117111 +845053424 +1386640747 +1987922421 +1944639259 +32748058 +1725231594 +519940914 +1738567950 +1945554703 +1862289997 +1139428378 +1337707292 +1542446976 +1308220164 +1129236992 +557807265 +1826527325 +635416022 +1016472967 +698715692 +1036039965 +316009368 +1837517249 +1189845017 +1159623537 +1240599278 +199243595 +1731789552 +1892179463 +1585729524 +2093906663 +589749240 +824886623 +1934345437 +386904851 +857634681 +1512093383 +906845765 +448718983 +1310164438 +621652114 +1588147361 +500388082 +16615442 +748883877 +1629625075 +574422708 +427927554 +117557449 +1590895675 +1126643247 +1153597415 +1906905044 +816676848 +195958784 +919044933 +2057276126 +395202379 +503350837 +1801971942 +1980931903 +449773853 +244237534 +658334878 +236635642 +631142385 +1515969559 +1748729025 +1537988150 +1964688542 +911409815 +12156616 +1405352256 +1411797897 +28772059 +6752485 +893939324 +603194767 +434680040 +1011496774 +46606794 +1561323287 +17610541 +1953511838 +230516487 +213569325 +725073123 +140308966 +608771705 +1228423961 +1942280908 +442219960 +1678197814 +39034794 +1100554839 +1914833456 +670177179 +469040750 +1516078833 +60681681 +286245645 +280005000 +72838297 +1691597901 +1691802897 +101610356 +1698350386 +438258574 +704805123 +2133030426 +1449755348 +751411918 +1546870065 +1467365889 +557440108 +1777386553 +1680935214 +1282513232 +1917695519 +142223271 +363453545 +1712492779 +584443232 +2041651359 +1751527573 +1684998071 +1809001167 +274221104 +6555173 +1177596352 +334902785 +292800818 +1457601352 +407741082 +1984398719 +1001920601 +509351439 +1535265458 +1440179175 +1214156562 +1520812236 +742450875 +1965568480 +920198654 +62333116 +375524941 +550101559 +1743268331 +1658038173 +320313430 +1885491602 +2021491718 +2032806209 +322451186 +1915659429 +1636850134 +2007449257 +1577176948 +1911071238 +2014004431 +607289652 +98490375 +159321601 +2064891004 +506231457 +2143720321 +919327957 +1015582896 +1531502131 +212023485 +82255811 +904830719 +954474360 +2047824291 +1825029373 +1016807477 +275865584 +227647284 +612592160 +1933903757 +547960714 +350600114 +1807911827 +433283275 +673051301 +1576087608 +2070133409 +533016910 +1005780908 +1833720999 +399537693 +1613070560 +1932211374 +558859295 +1530477916 +290959184 +555095968 +302322226 +1306542080 +2086598099 +514345711 +1388797891 +843945170 +1468820071 +1289138535 +521490896 +338143900 +1565004119 +749138180 +950736060 +1351424229 +1297098895 +1301336175 +1011852408 +1730382170 +1974387476 +440456369 +1653031932 +359920738 +1446237277 +1339269283 +759458432 +911824190 +1123997010 +1318317727 +294818458 +1414956194 +1873413695 +597140684 +574014626 +1812528146 +1111486395 +1962812518 +508989668 +432822819 +1104467405 +1030480564 +770966719 +521987876 +1779618745 +1721702780 +1873412105 +929233992 +875555307 +737780866 +512132514 +702459135 +1178237235 +17680798 +1062379873 +476990864 +1356950082 +1821838305 +1388815054 +333463444 +992672384 +1683633513 +1748419638 +718602431 +133290549 +174950616 +383646929 +1244776945 +2137763134 +892636598 +1677599764 +1094746891 +1923117162 +301082835 +1616734768 +1555252259 +2022785615 +1342663225 +337002603 +750857274 +2080444091 +849135118 +1453316409 +1111197678 +866815916 +368212635 +1588188543 +76282350 +42567292 +829519949 +409745794 +1035239677 +365669814 +10681784 +1753842108 +498960364 +185632401 +2137489038 +1743737309 +175911887 +882641988 +1273853425 +1270658779 +658275502 +1574936260 +739909899 +66044114 +1450238228 +2082573124 +403046717 +53611854 +2015533568 +1252181835 +1506928264 +979247598 +2118997752 +1875140899 +419952493 +47796454 +1917708191 +1249472443 +457542249 +805464220 +1615142257 +468224033 +411822681 +2114102621 +653856434 +401828071 +1710356282 +829768322 +1284470059 +836726059 +2100427101 +1942745561 +264178672 +692853352 +2008789675 +1714416900 +627942828 +264352745 +1768028754 +495992748 +1516534580 +1127473370 +1475240347 +1488048684 +855130621 +1895192840 +1535845139 +625355165 +997181635 +1993387388 +1430819385 +464840245 +314127773 +1842642066 +431459218 +967984208 +96986489 +2141815501 +1797752530 +1381456548 +831057912 +1750695983 +1176718462 +1095236584 +296065687 +1038024489 +662169836 +924008515 +1302377234 +282714943 +1420001264 +671428167 +1410188313 +747757963 +11993203 +117835287 +495467155 +1547838342 +743190452 +1492648791 +1393742082 +26526189 +1957489036 +1707869856 +1869168256 +241464606 +528370416 +1966154745 +235796459 +178639298 +1200127646 +1066854372 +1929335281 +229362460 +14607308 +77917320 +1267386949 +676777145 +1001925835 +422280536 +959492088 +274443451 +1093708703 +222196753 +1022201414 +1105701906 +340032040 +1517668570 +506056601 +1083222492 +862833713 +1899798683 +1109748682 +672839101 +1460184891 +831433290 +914303707 +1988555307 +650104387 +1150100167 +19710957 +1850232033 +69470891 +1949046238 +2079594493 +84078199 +2026963558 +1199497795 +760855344 +881405746 +1621778331 +1720347432 +1155849197 +568003386 +1942544186 +30566964 +1673705292 +135092578 +1548235534 +32278245 +1218315071 +263585599 +1932076929 +180580105 +936424700 +1244778172 +1012013395 +1850728407 +1085849832 +1662117782 +853344926 +1105560789 +1364866168 +922815817 +907123380 +1296977013 +1006894017 +786603290 +348991160 +1767749361 +1668009036 +1970769491 +1340613146 +676374586 +391289229 +1135673684 +706941550 +2064994522 +1270766262 +107693436 +2097272767 +341597685 +371279035 +1881866048 +522177790 +1307703735 +979160573 +1534191185 +1010948494 +2065010405 +1048825320 +1864293421 +1023087546 +266207840 +639625590 +1930210926 +1563184853 +1646519607 +569330569 +1912176014 +1266785321 +89855957 +1735461857 +459914819 +766230543 +2126751087 +1595588503 +1473172093 +2044261961 +718871117 +1580865529 +1994051080 +1060468803 +1952144564 +1728433481 +1582646593 +1112364651 +560110406 +969354131 +2123313146 +477637163 +2018179451 +1840122919 +1500724709 +136903643 +332264861 +1283451988 +1700088496 +1978784469 +1852782557 +1464780862 +1098086142 +1942638514 +1052759072 +1558000961 +561385410 +1032026511 +1006105816 +2034557503 +928804824 +1724976933 +1467939385 +775372256 +637962088 +1272600301 +356322089 +73125034 +237481305 +916432495 +1042479165 +213310803 +1394069658 +913174968 +2053433722 +747310720 +1050078611 +238214935 +2030762708 +602683459 +69515756 +1736061617 +2067464322 +1167601898 +1531216483 +972739746 +578119211 +2092601893 +2004766257 +1584225027 +1979675749 +786087433 +1161718313 +1300131486 +1561459689 +1799680401 +425248139 +1917781779 +1872805435 +662729444 +686730626 +767800952 +876040247 +2080800285 +1680975920 +781990321 +680627357 +583570883 +1020205257 +563906417 +1186254343 +1089721013 +152484386 +1106235017 +109839264 +1683700869 +2078974763 +687958475 +1628819115 +1936257372 +124699855 +1461011216 +574861157 +1286418168 +613659054 +2136320846 +938614921 +1038907193 +1906618977 +663936709 +1701636638 +445865956 +1431737661 +430193237 +379182593 +965229934 +1212183559 +1059809950 +1548800817 +84905168 +1623716367 +587571512 +1174626181 +1776200753 +1693806529 +1284465445 +1312417974 +1625297644 +1972423921 +793753441 +1414071368 +2097123776 +107281009 +1988932525 +1236058296 +720940063 +1977769724 +27189569 +1759847257 +1736905053 +691126278 +1314000247 +35287361 +2122863940 +1744193484 +414469954 +940610226 +808893395 +1474279904 +341927395 +893798563 +950512623 +929498908 +2068424745 +579229728 +475821789 +1205406542 +1891647703 +2101119434 +1030346815 +537917496 +1367707154 +979986943 +645198506 +1209156032 +68561591 +1366138569 +1039442108 +95751161 +978502178 +628863513 +786877439 +145018777 +664150875 +762257731 +1889212262 +1078620829 +1702867957 +550622009 +405417086 +2044795353 +1444420573 +1355929709 +826810613 +1365361670 +1935159438 +1302632402 +423284564 +1679323493 +1256268188 +1453631380 +69757341 +476491695 +286134675 +714955847 +1685647727 +354696267 +2081094417 +577606187 +450447428 +912112947 +1206469700 +1237324867 +1057131725 +1870620575 +1999582599 +798860339 +801757757 +1554966908 +1349482348 +1207174843 +1452278613 +646419273 +415620904 +131605578 +2011780943 +203296694 +1434237981 +287581860 +1882620187 +543022521 +1741213240 +1952377529 +1019514216 +2027347915 +519849728 +557678295 +234560534 +453460497 +1135284482 +685007962 +1365573445 +194270535 +1922332830 +275221522 +2064891110 +1774431781 +1074081861 +719165219 +1181915041 +276080561 +1926340062 +486710007 +922499835 +194477319 +618315585 +786797130 +397774013 +2052553566 +1074378990 +132910553 +448092440 +668108582 +2085288082 +1467606656 +547972850 +457654162 +2025284952 +782533384 +911114660 +1013085786 +1467541347 +129204457 +1207356321 +1242390529 +404425979 +1124763784 +869338662 +1478507840 +1843929003 +2051253703 +1754588401 +1622785418 +390480062 +529604588 +1817262737 +1008795648 +1316401719 +67553102 +913865566 +243297061 +200463655 +1361958006 +911405644 +138268089 +682081015 +1459378494 +595922252 +559882319 +94428230 +1507036912 +1572968105 +1561969577 +1636241369 +632840779 +656876458 +2040667348 +1757604563 +1526215120 +1371691540 +1454049918 +1429985176 +978796293 +929351688 +1820465238 +1508400882 +599130777 +681777238 +677318953 +666683880 +1595642805 +920616014 +867147535 +810117163 +1832021658 +1005415625 +1492198178 +1143916504 +1601337877 +2052080497 +1238344735 +960891141 +1477564955 +652830664 +449648862 +2110405734 +1309707123 +342832562 +1720526649 +688438595 +1714524102 +1027092919 +2118423771 +545836747 +1956444608 +1791405362 +2054237629 +408091737 +325698952 +584072934 +1074775617 +1921341757 +1504688949 +1941923153 +583975273 +1189226959 +799855130 +2076173451 +185659816 +253709359 +1980770301 +1424004551 +1214600500 +1310851608 +2076835215 +1664249362 +1273773694 +1239058690 +2007081924 +846816695 +1927497286 +1574122378 +1873909614 +1898437409 +2119959125 +1682870574 +1542359123 +2026713107 +2090962312 +1868058076 +463302393 +1018254281 +1641916185 +1967991342 +812693786 +78407810 +1009734654 +1612548916 +7097614 +1195394470 +1866258275 +1987867915 +471915373 +933375127 +1151235875 +401266940 +450140841 +277525921 +1640325631 +309739117 +1124342616 +1420339269 +1883861495 +850768582 +1171293030 +1856336973 +386155509 +566168506 +1735566432 +329634173 +286742934 +51385177 +1347888454 +1928659119 +2019376520 +13098593 +2007066930 +881627526 +1625647509 +2014164544 +2077021996 +1344422137 +1854548811 +401453721 +130313616 +858301038 +802720661 +580454458 +1135826959 +295562644 +890193575 +112685927 +1715901913 +626571423 +963454509 +739711296 +335424748 +1349610018 +1305879802 +2070991180 +1679244191 +1592622736 +2122376357 +879648998 +1373798207 +1994269229 +892747591 +1233381489 +728413107 +370911452 +1100062385 +657951455 +1715333589 +807127548 +1059405176 +1845647206 +1665428586 +1862125838 +278618016 +653771897 +10204834 +1168811591 +766457824 +1726106748 +1795383014 +1729912334 +318334396 +2130807762 +932038704 +1624214198 +2054315294 +463799248 +1069353286 +2029208004 +1343448246 +295667845 +1875993585 +88712189 +1529049335 +456923045 +459623641 +481628072 +1114874500 +27473583 +1288755621 +26796029 +1873120789 +806700559 +1888921867 +4255157 +1460472457 +1899126701 +1173066748 +79446633 +1477749801 +820966115 +1809358967 +1796084197 +804290229 +593914024 +1272814747 +711121876 +1057713272 +194684385 +592846232 +253677870 +490352231 +321356169 +342390059 +2019401566 +778279214 +802013700 +353545990 +1893153715 +829487283 +1642301611 +1919949744 +555124424 +301518523 +1661387963 +559379581 +1761990980 +1413031016 +1732446330 +1841437613 +743297170 +405928797 +1503312933 +391897719 +1210219026 +2097226957 +1664712467 +1921340902 +1007456581 +1859396852 +366703486 +1261134451 +202265435 +688059656 +1603524510 +74183353 +1466338870 +258054562 +427729344 +1212008937 +1087541846 +2070030955 +984475033 +1642666270 +224065830 +498379348 +54562204 +1986056810 +1911410365 +1787008534 +1680010776 +507223887 +45453683 +1035840061 +899121606 +1255672709 +985583370 +416350425 +1029529964 +1993039951 +128263630 +1396233450 +1106690754 +330529065 +2084293106 +562731616 +404712419 +1403148329 +820786178 +832441763 +467673618 +1908328024 +754989070 +1452148652 +1403510647 +979054901 +1950528000 +1458072851 +817628063 +1714454717 +1097597737 +350155191 +74194956 +1143051420 +1385995252 +973316563 +251240481 +224094974 +1389666988 +1280770445 +69651277 +1517930618 +529520248 +1176342031 +1848459684 +466329706 +1739073647 +105688455 +1869478035 +412376178 +938130218 +189668006 +173220554 +1693119288 +1641816658 +1576731201 +524690541 +1444861010 +887320404 +1342318605 +1011832080 +1984918141 +1692473796 +1086027036 +980485913 +930985401 +2059343599 +1231726395 +1155080375 +1301526940 +365013192 +1224731653 +671973910 +894533440 +253590036 +372949946 +1360863147 +1992663684 +478638401 +1082857534 +257556214 +1416768619 +1272525540 +430776768 +962404260 +766858550 +2007507970 +1487094801 +64235913 +747344726 +681929758 +1076067993 +584779220 +226919907 +14611381 +1565265133 +1157905308 +2073954981 +649507880 +165502035 +1227998273 +1014521073 +1390233688 +1899972183 +1909054513 +1643823725 +125438482 +1122434012 +1489003761 +604076883 +57807899 +1746559975 +2020845503 +1330333439 +29853095 +835766115 +2097191990 +2037361065 +175377268 +13944255 +637222144 +857307027 +1090012248 +1222001364 +1084226934 +1104623629 +639782849 +94648594 +1031094962 +1289290730 +260150629 +111609587 +156328155 +1650384318 +2011581771 +2065382668 +1146724395 +2137020253 +1040333033 +488244508 +593613488 +1098140932 +87320835 +466975343 +280990723 +117173930 +1302741458 +230699065 +7051348 +1478118727 +244643320 +644273492 +187942106 +1334655568 +1866274856 +1272169040 +291795550 +358574057 +1366817634 +1322890512 +1647864787 +1626968263 +1434500100 +1804192942 +1129868933 +1298598223 +1722091963 +129109680 +1288134828 +614941348 +617354188 +1881748316 +1713082280 +704675023 +201240012 +1994073003 +821848954 +1503981470 +77288421 +828900302 +834616549 +321931741 +1473173794 +1022558655 +1656587310 +1191965002 +147244047 +1948382860 +1550539059 +1514061681 +1123789724 +1050920199 +993546297 +410806176 +707629493 +2123415230 +1709404399 +282237808 +105041263 +850055579 +897179156 +722395451 +584320248 +462777788 +1427070475 +785560260 +309367144 +101435781 +142058082 +386655565 +930336083 +976674632 +708587306 +256026229 +1999233287 +217690968 +1447991231 +2146477335 +18590180 +851046642 +1513055368 +1142379905 +1901966841 +359118017 +1553186081 +462112687 +335049600 +1115106833 +744350495 +440090863 +1965162412 +1641529652 +1162486314 +401999012 +2104307440 +442073141 +1187559272 +266190936 +543508922 +1329617355 +652846501 +1473845005 +158808339 +1361433808 +1729871234 +10557978 +1579124776 +1030378817 +9551665 +1597714957 +1881425460 +1522607034 +592611214 +1635908653 +1881725051 +2145797295 +2098021340 +69291003 +1113420480 +694888188 +509381866 +931099245 +188934192 +1671868181 +1333098257 +145757984 +2113941322 +373173882 +411948921 +509966597 +1702791237 +1064795422 +1983811602 +1861599576 +278745582 +1566199189 +1872157554 +1857870359 +449094358 +1881709220 +1308101668 +183036170 +1256832606 +1900712882 +1818944824 +991074009 +1899026529 +1769482516 +1060365013 +864963362 +316887056 +1569746879 +1796062607 +505821248 +1094131412 +981677216 +651579233 +1060589087 +1354851098 +1063528154 +1570555684 +910158687 +2128323576 +1406883638 +624274615 +259585511 +825599179 +348948522 +2117455870 +1274693538 +83174094 +1278073890 +1457729708 +1340006700 +1031303124 +1129190884 +183597061 +782846005 +751189753 +1243962074 +1647809367 +1068076809 +666225306 +1296388326 +1573898058 +1760356718 +130581895 +77993643 +673462157 +1485432993 +1141521797 +96534193 +248108033 +1122361725 +1503417832 +872382648 +1381947236 +181533363 +1221331170 +1351919458 +1456226901 +1304505264 +482509700 +766472962 +497028316 +1513812824 +1895663846 +680625378 +149175182 +499369951 +1924587452 +1796984549 +1567446761 +443329110 +945889228 +993861171 +56202181 +1076471123 +1071854814 +729664338 +414420468 +65892963 +826198532 +662528501 +1188254688 +182132716 +1534911150 +422718277 +363666079 +608758672 +1774637735 +1819892981 +1913263937 +109663788 +438882295 +262808605 +1623476612 +187062493 +943433983 +1772651794 +686432445 +720537788 +1422152696 +106395558 +1163866898 +220558276 +1100256729 +1220069079 +1297029399 +24627895 +1949733418 +1711449867 +90520858 +628448302 +226494721 +1278775546 +810581018 +1761405871 +1701493823 +1174247097 +222680895 +1328647911 +846656430 +2135944832 +1438311699 +1285538725 +251269790 +914304663 +1472601219 +1194703773 +539472810 +11550016 +1915241561 +1961625506 +117945574 +931624812 +34700134 +1218202303 +4210243 +1331729533 +1242830198 +1953943661 +895695752 +1333351056 +434908315 +1122190473 +464642954 +1245489333 +736112696 +18653130 +272252783 +958793592 +1347301041 +1118909213 +947254776 +638129092 +256964291 +1198524566 +1552433755 +1729565510 +245744692 +2091906565 +1741115526 +13502605 +1906048423 +1859061100 +945127417 +1940748557 +929779755 +949337661 +1124994442 +25126305 +755797674 +2020690195 +1358477361 +1190705990 +995397020 +1823120315 +288711675 +1731509717 +1841773445 +560964458 +542819661 +1041590838 +1679873672 +1490074437 +1679719930 +1936837963 +541115356 +1084670038 +1518919825 +786860048 +1029092955 +1112551703 +800362653 +787657731 +824129155 +1745490071 +580922640 +1753908910 +547344084 +1705917083 +1779035215 +1303141758 +1579123630 +990028928 +346364100 +427037002 +665665595 +635075776 +11063071 +359955393 +1196040234 +553882732 +1401546231 +728430258 +2043957170 +933782514 +517784573 +437588878 +2018452552 +2036704398 +1224448926 +900061859 +1001772453 +2024811579 +1687719590 +1825901608 +1622818002 +121158583 +1432326870 +22678438 +1827075666 +1063878437 +1325820197 +1258715648 +2053907365 +1672184297 +1685752650 +572089313 +159776425 +1696815722 +932044706 +1355816660 +103214806 +186107289 +2084246918 +2147171976 +1119889803 +454547844 +437277206 +990858707 +343768594 +1661726132 +1890920567 +1345541048 +1539054064 +1431156509 +1023959008 +1014388418 +1552315092 +308802231 +1037066857 +1231907110 +1372680668 +215403406 +343139110 +1279104386 +1887587703 +2028891761 +1851193699 +2047364129 +1578223835 +635754757 +1255697141 +1681438641 +821862046 +1192460411 +1681126970 +1941751850 +1647008255 +2118404176 +785126909 +1990776850 +1632646661 +528563828 +1188834250 +1024217077 +1959720338 +65309610 +2038605495 +1364551782 +374111841 +928188704 +448975245 +1746792510 +1143592110 +792114355 +878413248 +883696166 +673522468 +582123299 +783576647 +104262655 +1217878056 +2039273788 +1785701297 +2039740102 +1084250551 +1319344619 +1834008304 +583775159 +1290265147 +471651566 +427068361 +775428160 +1000215394 +1615902611 +1799645237 +812452084 +1681212221 +1690767085 +29520219 +2055324063 +471472141 +478495464 +1654632925 +1615064252 +1270609819 +385562525 +351276770 +1944132288 +967685824 +1134853417 +2048394943 +38080232 +1026643557 +1686612592 +2077820334 +2110894108 +858473563 +1764344991 +547185619 +1255063 +88512909 +974253980 +776683223 +1088728303 +442672943 +428844813 +1901180388 +2123885165 +2119611898 +1930700607 +2031725580 +443600391 +261712423 +1538874857 +2058664643 +1532322242 +1924437382 +262457765 +1328970882 +744639558 +1397311182 +1229882178 +782719790 +276471091 +769011122 +713056476 +239881552 +1627484686 +329917819 +787067171 +1628739749 +418430728 +1761321152 +257939324 +1507159032 +56510447 +686784137 +1260855772 +32911964 +658912387 +1044072731 +2064637544 +1102512779 +1305785154 +1456028753 +1013693774 +690623748 +1232982487 +1276151540 +2019594631 +1977622045 +525979074 +1101993161 +612858187 +802450166 +1871004283 +1325914664 +1042331718 +1351005321 +1655832483 +1829398889 +832261422 +2074263212 +1443236393 +1090200747 +1433938596 +1499746841 +1776984884 +547310720 +1532658805 +288413624 +1591383451 +1449812702 +1390926403 +749684957 +758357807 +257136529 +1440308705 +1991340295 +1533288069 +1312419688 +1821478692 +2059267144 +266929201 +286853232 +714233662 +2137933485 +1612767896 +1756565380 +1341455158 +1121116731 +1438480621 +26232933 +1047896295 +734233367 +1116433680 +334351243 +86496560 +745934916 +881661963 +1619155365 +1034348540 +325561766 +921484419 +277791295 +1075246723 +1679842227 +534927825 +368071781 +1523698874 +2068215894 +1680491469 +1197693918 +1979999390 +1947420671 +1484547150 +546749404 +1937870508 +949831398 +155831136 +1131842018 +2070948130 +1594311758 +1158074951 +971360777 +181061477 +127024983 +1305712021 +267558037 +872959900 +39890336 +1886713402 +1907308440 +365452103 +660714174 +37616088 +1440698826 +193072753 +572543913 +1808770607 +1716771627 +493276159 +1341778429 +766981897 +325791902 +1141715452 +104045400 +872541306 +932102312 +1053876798 +1028372443 +2063944330 +977341280 +475200553 +1074535634 +1948702058 +656262030 +1201560617 +1106930431 +923820067 +2074520517 +1146820767 +663049821 +1834345310 +1512272870 +1323763995 +1871961398 +805488049 +1516836748 +297021663 +466775008 +1086124727 +790297822 +1808553437 +1853106625 +1116089724 +802785241 +1957152025 +1988631031 +1734887553 +863545175 +869519826 +1651348236 +1840886456 +1344720379 +578400222 +1642104866 +2000982409 +1779960839 +601551649 +777318828 +1706997709 +1748372416 +1440368649 +1393859371 +1113161639 +616648997 +1118337121 +1918649688 +2133485745 +1415358784 +237941048 +1072126825 +58172958 +2046494486 +777749802 +1174262683 +701796079 +587418179 +1015410066 +289199985 +1450963354 +1884929892 +1940548221 +1144366162 +1082166623 +371464795 +638987380 +935665384 +3941986 +1240539029 +1712984212 +1710939695 +841427798 +1005869213 +957315418 +1954589437 +1622518210 +2075652539 +1725755477 +1608520308 +1343527675 +1963696525 +533163485 +1401700634 +1862707363 +1310913287 +428479669 +417019795 +1898331466 +1443889735 +706219780 +1201811172 +1181335979 +499284353 +198693687 +116018954 +870749148 +837681067 +1051684338 +874691134 +2078220097 +617184902 +438147182 +772164247 +1623054115 +1395462600 +579270036 +1098088678 +1323631492 +157541865 +559125338 +519675519 +2121238390 +1092288823 +1921376153 +1836462106 +255718462 +202372174 +105998253 +6566280 +1646261909 +812218033 +1208377452 +680114240 +1311502386 +1407071139 +796133194 +34767886 +97268559 +1847817532 +909459020 +28005008 +317518786 +1347606202 +800169255 +1940572902 +595585155 +1379439291 +891177932 +1919216647 +1536981156 +1450303270 +291408518 +1510735898 +395108445 +65301024 +1199714356 +650826907 +267673198 +1305712609 +657393187 +1913935108 +2117930642 +1865770639 +446565700 +1281949380 +1125358131 +1242698895 +1316717266 +1222626690 +943032779 +78692639 +1250631698 +1260551566 +1426298841 +2050800953 +1053640820 +2021883996 +1282756596 +1944818752 +1793616995 +672254104 +1247638374 +2085025514 +35506354 +1642746819 +2842890 +1235220711 +146090078 +270516088 +393449672 +803483265 +36967548 +363896667 +521770256 +483533249 +1645846047 +1647128387 +1726232144 +815079666 +722271429 +521781275 +893772305 +1972903127 +1782332841 +172587498 +1876220432 +688490013 +46987847 +1011493380 +485825117 +1840604842 +1683747484 +1733463491 +1778146708 +1719253839 +1228726662 +1780989598 +806990902 +1374816740 +2051505687 +1200440574 +30816357 +2088473235 +1564337241 +552586614 +424522836 +1062699641 +52231353 +3271332 +1877779307 +774502783 +525052608 +624067964 +599922262 +159901801 +796655462 +328659047 +848391815 +843643309 +1340152427 +1334216932 +536764504 +876416264 +920196776 +167427564 +448186455 +1439790 +1948417163 +1255177357 +1376256531 +1852439202 +308134283 +1407072888 +1793428789 +1872471525 +1959659502 +70467978 +787687518 +2011890856 +73739310 +517983177 +638909991 +598791918 +1142051141 +1238832253 +758693720 +1938706603 +1567491300 +1607085535 +634866265 +760160080 +793818819 +1171630769 +1636576344 +1714015595 +1339058333 +2084762799 +1715455386 +1139991848 +1192456508 +944228269 +844947402 +1500590791 +203817509 +490892544 +1225578668 +15993364 +561360522 +2013266186 +2027884220 +635099832 +383765715 +519310563 +1233891751 +1525816856 +1758142816 +1992585471 +1317039812 +1178150469 +1452187358 +1951906077 +1938310549 +98522529 +976053198 +1427403245 +1812538125 +167627883 +1364682396 +1380509863 +1307619732 +409655256 +177254484 +5083486 +1910246047 +381071993 +495976030 +988341068 +397065357 +1057336552 +854123606 +277465929 +1692436385 +1237889322 +796776492 +778844488 +616222530 +407435661 +623946311 +1933262342 +1585586130 +2076133669 +1737684771 +1376413031 +27172550 +566254321 +656332628 +1839710675 +733882205 +2021015024 +1072736890 +2041501937 +283186632 +1249991374 +2046585423 +45949031 +1631063368 +395077806 +1034290099 +2028128725 +1452414358 +1888413706 +158111007 +997367095 +978819380 +954887499 +1776211583 +1595041910 +1362323160 +252674246 +1380820605 +800425642 +181324267 +971021728 +29355025 +208496818 +1537276050 +685687653 +2048207493 +123674607 +559219029 +973460736 +17692896 +842405661 +75968462 +2064278319 +888354693 +1707031830 +311872477 +1922644792 +1587676908 +1764286836 +1663574850 +1745787915 +614170283 +494910582 +553191766 +242898219 +2089952493 +1915514927 +495572465 +1323289450 +568456921 +676896733 +146827530 +597811947 +885393551 +1684103580 +1283499600 +786117396 +1807778187 +1842718630 +1759578132 +1825471083 +537640643 +1835546595 +1742265755 +1425995336 +1395094777 +2054138232 +1201156481 +835288037 +1670941420 +717247683 +433592304 +137628056 +1212158266 +986784071 +380526275 +1154627111 +754815350 +876098740 +330432913 +1323272271 +1552995473 +477260443 +1921084218 +290905376 +13880376 +1057100171 +1077022773 +1821658563 +752335153 +689117257 +1499645999 +1289975796 +377180204 +1094428106 +568487485 +1772274982 +1001082690 +1769643966 +460079371 +524540463 +339408001 +893671676 +662168519 +1551566267 +1880455747 +1042694794 +558709730 +487787449 +1918793534 +889142643 +1811059720 +1324305360 +1366403087 +1584660291 +1615210736 +1380283463 +494276814 +544749861 +1054458378 +1246611967 +1233867119 +406620729 +389104115 +1611047323 +1501048835 +957591600 +1235838657 +354647878 +579751918 +1695918029 +879188341 +919159920 +442106057 +1541356860 +323242539 +175078156 +436568006 +881952270 +662865605 +207877892 +1771094913 +326441677 +1532183252 +990014352 +1911101968 +999910341 +222814167 +257895134 +1544660202 +1277272546 +1504507101 +631043673 +1683893275 +1893611217 +94607349 +1037458463 +703719169 +1330446006 +1392106341 +1283471088 +878880387 +123811034 +55147360 +1320986444 +1665167894 +378389899 +1496064600 +2101735900 +1260342169 +11446557 +162130144 +883953435 +337888235 +1694313397 +1873967787 +101506555 +546740090 +2096781955 +359401690 +2091400292 +1226570853 +1863908791 +574960318 +762980480 +1610036360 +669567667 +1800438943 +166271882 +2000013673 +1045061636 +1449742970 +731410413 +1168872670 +1504890330 +2052396857 +686556916 +1883280229 +1400977810 +640809168 +996138751 +1412424367 +802939313 +1880092186 +1750312602 +349769062 +1606576325 +1851819158 +896509152 +1555874632 +63737200 +840425796 +634961837 +1927645991 +1415386114 +1397942318 +1390198704 +2084953781 +1050897613 +1556470586 +1937483807 +2095959250 +858729908 +521410572 +1117348272 +216136590 +426323781 +1803905189 +2099416819 +1827301591 +297230709 +948071922 +1092242311 +1100170022 +680680460 +695071265 +1449939084 +139773138 +399406775 +198964588 +1695647770 +463143975 +1039390385 +183125960 +243306319 +307292851 +1581068278 +1633505023 +244762985 +484482243 +1042491961 +34763144 +432957845 +1901221869 +556173716 +1550306118 +2117358459 +982497497 +1206727659 +2069291630 +662315441 +1503958368 +869879905 +1754557752 +456644743 +1550560365 +302145369 +1906583827 +1690333503 +701552145 +2105548416 +1238497626 +1164696120 +997455153 +1421623586 +1408002439 +1304748004 +855208216 +894023814 +1549510989 +1339690459 +1936515775 +1584274133 +1772648305 +1690253996 +2140447849 +1175470775 +1660128807 +975461699 +234714786 +1581936790 +1637777140 +1738673154 +304333047 +1244851244 +47834249 +1854893412 +1546996613 +1954418077 +1397743268 +101065110 +1912482845 +488757246 +1265761231 +762454350 +1910380832 +526280022 +2067202354 +618105400 +1420303837 +1469229696 +1957795859 +1209335964 +906020181 +1582960516 +752106313 +898984383 +610947643 +264751472 +1874446082 +845662429 +1846688262 +1364739574 +436851936 +3537661 +462107170 +484686185 +1858431074 +2009103783 +291620614 +1108690694 +2110168894 +56619811 +1597447940 +1228446477 +819074161 +1360345124 +1754726499 +738792868 +1978450524 +1027546688 +60538916 +1788762735 +89399005 +966559097 +1224239604 +841505318 +1865543480 +1835187247 +1106256790 +1592505914 +533366029 +805461405 +809761840 +970217965 +808999066 +1271869010 +1454904150 +519946492 +1133489146 +1746524765 +1628637186 +1096174392 +1803144576 +1078601478 +177137221 +474735090 +291462954 +1931863720 +1213527958 +122429830 +811926761 +1274066874 +1911192566 +901325766 +93142323 +987948522 +1742831084 +1958685804 +675652121 +701604226 +1403708070 +1209018150 +1507065631 +65986263 +31752467 +168581050 +1337855273 +1486656618 +688527542 +323860771 +1085697735 +169681081 +1420035163 +741358663 +1248282559 +1597172384 +1216093753 +1539745514 +1381552457 +282138063 +1662175344 +45995570 +1556204937 +1425884262 +947321336 +1649347261 +266349136 +542668772 +1460549417 +942001258 +1244272998 +716773839 +3535760 +603854982 +782760102 +35288228 +772436032 +2120615376 +1521944846 +1460963574 +296992499 +460158933 +1630644655 +1717027663 +1201517596 +731443567 +1166716399 +270127702 +123705433 +400785208 +552265765 +1785880777 +446780778 +2108470703 +1064281392 +1394102114 +1610334316 +1330630528 +1936770886 +923400085 +125148138 +1033560237 +1640173924 +128683899 +1637415219 +275450379 +163972127 +262367603 +248582107 +1685916973 +1723331177 +545574606 +2146075906 +1206492185 +115118621 +1200109854 +1937935752 +1281835021 +1470237556 +2061641185 +1682620229 +2022503322 +1700038314 +2129401008 +1983490377 +616836058 +1376019474 +1446341045 +1947466587 +1165306713 +222257482 +2072614725 +51383302 +1862431406 +53814976 +1688798521 +2137881785 +217787103 +1951166124 +238980244 +1903704076 +1527013653 +784554851 +1902296334 +586022190 +899673472 +954922541 +376474294 +34024845 +277676449 +290631831 +1716645075 +152696123 +1990670146 +1698562435 +2136186500 +460022556 +927098261 +1435043897 +260005495 +2092404974 +1657301379 +185136573 +2143788276 +1372249138 +238951549 +1685103149 +1362647275 +456738653 +1488785625 +1601627520 +212959081 +868315631 +238698723 +2115255416 +1454337821 +1138372195 +922694309 +1830812116 +1172397041 +1200370758 +2121443947 +741558468 +1353066882 +1964630445 +292637255 +1341769734 +277169354 +1219735516 +629329984 +537174849 +1164656843 +139147715 +722311422 +1160961471 +1511396853 +961262972 +698580973 +726560481 +1418001625 +39882950 +180704353 +1630960706 +908198581 +419403076 +1598732474 +215052755 +1557775271 +373943135 +2045864871 +582688664 +1574313894 +2019825170 +1324247132 +779897128 +1836971968 +1616884387 +2121666862 +2114141322 +689136256 +603513198 +503832523 +1853793099 +742660914 +1226143946 +867270922 +106574119 +39923270 +1565851895 +833134600 +1457924895 +1605734846 +1013838953 +941401953 +366449779 +1433242029 +392650780 +581502534 +843533653 +766593915 +479883757 +1426222317 +193424161 +352225280 +602985802 +973321289 +41713600 +72386541 +947504504 +8371274 +761522797 +1551017702 +512203797 +467832248 +146194968 +1738347743 +1335103171 +252769088 +1778271013 +753471418 +1085903688 +1088712260 +211722616 +2099742642 +2030114214 +578172396 +1385501023 +275281346 +1159674930 +81551028 +1041875261 +1639558688 +1507773346 +1235299423 +1991783968 +2110759148 +61137064 +2033497568 +35662041 +1008641568 +2041868842 +797184839 +412175623 +406588991 +1265017087 +558370591 +2144936735 +452636610 +811139679 +1775724100 +1206108029 +1897043368 +716952713 +1417830645 +1849302362 +599583279 +1996003041 +1087319737 +874864625 +1008194324 +1168870766 +1916739886 +500269364 +529160464 +1004555661 +344569684 +492435964 +1065692726 +230583604 +528098005 +2074334294 +124968798 +1325282844 +339026269 +531557789 +442816284 +897396861 +529010876 +895452894 +1708536540 +157251329 +2101560923 +1458096260 +874204042 +1371907921 +1159914974 +1473787321 +1220427314 +99751064 +201168298 +81137990 +1268621830 +2117908184 +581407354 +1797782294 +974980198 +925977038 +142734610 +2040672924 +1156560642 +670832615 +1967523570 +1281529440 +1996115460 +159066192 +1813087230 +291448096 +1056463053 +194614458 +1186900990 +617515945 +351865787 +1140978266 +2075612206 +1226069829 +365402539 +1088043532 +552373502 +1585829853 +1187794596 +753541800 +1666967844 +308932778 +723966337 +100891550 +2106715072 +1698946535 +1026868589 +101966034 +1592135811 +35945583 +772798650 +1412175733 +1317475024 +621430462 +1571241925 +983078606 +912878558 +480221330 +1177693064 +2099779548 +1097737276 +1529558852 +1093274166 +1025865834 +608145033 +1458676705 +2113909366 +1160518536 +897022911 +1154220315 +1914060336 +416507107 +1463153093 +490543025 +517398657 +1422384518 +42005912 +1544267246 +1524350552 +1634141723 +1580212830 +149665554 +898833809 +750204206 +771096016 +322592086 +1733282812 +1683974574 +802813417 +763492228 +1636270475 +1900550693 +145567432 +582060993 +778932879 +753712466 +2040737699 +745358597 +1914231002 +790276962 +1899578912 +1680807690 +1206784069 +1215248358 +23867068 +1724182726 +490149228 +65872980 +1120966325 +2014499780 +1700014704 +553695507 +16681687 +451364865 +1303899713 +787777703 +773956951 +889698877 +324268630 +1576770368 +1653191105 +1960539105 +1329837413 +1798758538 +395116450 +2108770292 +404987356 +288370501 +706645242 +171734710 +1078647463 +458740506 +1852542400 +137947884 +1673988864 +1876409468 +1862130611 +16654444 +1942282449 +835613288 +2031154225 +1494813505 +1389308795 +2047835912 +1946178370 +545724860 +688129967 +572651673 +1435423737 +1012398597 +1938394 +941131194 +825454054 +1331775807 +592406084 +1220570505 +1293062452 +997393440 +1508941006 +1999707694 +1169128150 +440104822 +310964552 +874186903 +578052706 +1984953417 +603112723 +292699669 +2001607861 +397911524 +1128312957 +1885278438 +1892725029 +370138104 +1785630702 +1691419751 +915862964 +326277022 +116587777 +203803053 +1338675619 +118526171 +1144934248 +16646026 +1450301978 +1737340332 +1237216531 +595880782 +587250125 +598673889 +448104828 +1756378275 +1038778711 +759069381 +483081530 +1616831418 +596539150 +1086194254 +1909531087 +450663363 +1484105778 +890360397 +188458154 +1229347160 +1260498501 +1974088856 +773283263 +28877818 +152882230 +889871040 +232680871 +1491557850 +1008397211 +1377615119 +1508203876 +311215542 +967471804 +597936759 +907096324 +1554721929 +1196610648 +1355201153 +1163616556 +87905712 +2114270534 +1646698087 +1704737130 +563326036 +585408693 +1466784569 +1013989399 +2069514471 +209661318 +1202447553 +1151377983 +1470159820 +1029052762 +1924661247 +1499037638 +1181934992 +667048639 +1731718509 +526009194 +1675445851 +961849981 +2034213070 +1986661393 +1929321785 +484666181 +746274069 +1336560066 +1681276830 +2101475222 +352692974 +1769182542 +2068262108 +1999391061 +1326436024 +484104496 +437316106 +645736945 +1498093896 +359346930 +855398264 +553057801 +1510724913 +178074436 +1582110563 +1287902512 +1677112074 +616561908 +1954951152 +1261346935 +1142571102 +1482913355 +75713268 +1029300525 +1322091100 +2005035053 +1513966706 +2068365169 +1194111471 +1047759888 +2022356744 +1546804446 +669458782 +1943135204 +1398711859 +1995894806 +279756053 +1836027966 +494148104 +1777849949 +47891248 +1349546368 +183424102 +1558616161 +1527620804 +1765534666 +699035026 +1057249230 +234612926 +506502530 +171112517 +1377184028 +1989415885 +246825786 +259000905 +1164023337 +104377191 +1772967612 +1084904858 +1298488663 +673243852 +959777954 +697809461 +1342702635 +755429511 +2096521320 +1191113793 +1035185564 +1785065638 +1685261897 +665551865 +1832956886 +887324617 +848975967 +1244089400 +267461773 +467026985 +1943124426 +1324711003 +701639911 +302143308 +1495823521 +2078823940 +144075545 +1742649307 +190341197 +1308098882 +1847026498 +1963308809 +245520092 +998031513 +489069014 +1205298047 +1695840974 +1831771649 +1960727558 +1644878647 +875401794 +848429474 +1282460637 +413180044 +1513981339 +967933876 +1300504661 +215473658 +64539628 +1567966435 +682500644 +2007664054 +745193790 +1384140555 +162323714 +93533663 +1315480847 +306399259 +1836182970 +1505822045 +1614498141 +1535725821 +1321647206 +1860018233 +386273686 +1810716220 +917832632 +2082114661 +1495004221 +731076542 +1579509660 +222922368 +1579506016 +714486649 +636102412 +946003707 +1682420525 +1936607073 +1161477366 +1746960153 +1357089860 +1843978010 +1607140559 +2102283651 +1080634917 +1769464273 +48333666 +248632117 +2075863532 +1884516637 +1754454162 +1542878025 +1272758810 +928617720 +1255412611 +1659032496 +591850293 +25761595 +1593663509 +2086854514 +756838138 +1025689521 +162293234 +188860506 +1740176171 +798395646 +1134864214 +1275113048 +587519072 +148857932 +874589554 +1944608932 +1992835942 +334246465 +1899408935 +925987211 +2103710739 +1947742602 +1174619328 +2032090623 +1684775591 +781589842 +1427485001 +810050753 +1710207563 +535413964 +321599601 +154574208 +561175559 +1915263111 +93945074 +1318013697 +793468984 +256238309 +1506874204 +386161507 +1054633955 +494254770 +1661274556 +1642153027 +643112702 +388380462 +1439278312 +488464996 +722626927 +1191203599 +1414452207 +678854018 +991462553 +441587888 +563460994 +528754496 +1223177730 +1990945995 +1338805249 +785901645 +378876311 +1660404851 +940475853 +940051870 +1428184314 +1034420928 +110581920 +74169650 +1290659237 +1617456124 +460331158 +197809544 +2111710894 +2121605714 +1839962572 +607339948 +362502528 +1131757236 +1095804944 +1085129455 +175477187 +362773503 +1763983474 +1166939741 +804361391 +179960820 +1695694237 +2027539122 +23423167 +887015839 +665957119 +402299478 +399937042 +1606432973 +1342351348 +1828121356 +493370253 +1452933268 +1902291006 +1784029490 +922905744 +215138516 +1981839034 +887132990 +189260582 +1674317958 +1494472938 +551763110 +658591546 +442794234 +1636892566 +834068734 +805567738 +1253392392 +2001008475 +1609929129 +1433353212 +1549219064 +1489984603 +1456776379 +288751255 +8458075 +1859075857 +688688297 +1614891048 +1053943557 +369326005 +2108261301 +359393178 +124133364 +1744807143 +1282298922 +339271880 +1579162529 +21948265 +528532463 +1105996840 +1516421203 +1080295573 +1764588386 +1959215438 +569704491 +451173472 +617299528 +1823096883 +304698299 +79745009 +1108966447 +1853917364 +1569729613 +418259178 +2142668619 +1578187688 +129851387 +683873269 +1045595088 +1183794945 +1053199274 +1006372741 +1543188123 +1177332638 +603696236 +678003397 +1516604519 +35375117 +699951662 +2045136982 +1141371957 +68889218 +977948907 +758476696 +2028104656 +1547653399 +1209650168 +497920536 +1223266634 +1514348468 +577665545 +184749434 +1220782184 +2147395158 +603008612 +1215967155 +1578099198 +732860000 +1899840424 +476210638 +1916654945 +805556051 +1482583379 +1312359420 +1982888689 +2086279615 +1990362817 +1352009560 +2121654733 +542830832 +1249662894 +1115543042 +611720050 +80128154 +1874019738 +492341058 +1627781553 +936186259 +990261594 +703564539 +303051079 +1567927139 +888313973 +1523833263 +1567838650 +1491322586 +592316770 +998454200 +76698938 +344673547 +1474664839 +1993353883 +1150229598 +809764570 +1158229655 +985634639 +748560538 +1001108824 +190160552 +722731623 +1543939656 +1439823446 +1838274665 +8176058 +1519951600 +1564810756 +500517116 +1000249505 +353513367 +1490778710 +1703814045 +656564446 +911222202 +444644370 +32914061 +331577204 +1935966956 +625230831 +1330031404 +2012665894 +969904378 +657212595 +1858536129 +2120133976 +1466977166 +869282136 +958284968 +68054056 +1870390961 +1148445520 +790785679 +1266846969 +440785318 +481576696 +1275023028 +1960736919 +2046387452 +1775540144 +813502776 +252417171 +1118835207 +369833173 +908981617 +2030057409 +814477544 +941895678 +214150965 +602960852 +1567126510 +1544182369 +468143099 +389547240 +53911317 +179195580 +362197569 +1520888483 +1048477717 +1320482537 +1588942539 +771385030 +321444409 +232244570 +2038231999 +762229727 +713821266 +1165771379 +575482998 +612725071 +793827876 +1388985775 +865142242 +1912663083 +1758818948 +1774123860 +1795236844 +425812844 +568535890 +2009387809 +1028773697 +2135662400 +1406086530 +1496916796 +377725993 +1459997847 +1676112376 +739923562 +833402682 +577106445 +2060406099 +274861573 +1348491475 +234366860 +507106143 +1239239827 +996596587 +1220927410 +257527558 +1572079586 +1833652481 +1051355434 +813581713 +551311075 +816534869 +424917013 +177951287 +464288065 +850729858 +746487178 +326192226 +1879503555 +734665930 +1732278757 +1228936703 +1112391923 +1044792956 +757565431 +1852315485 +1878195639 +1334671877 +1765237936 +5573564 +535679704 +1999604796 +512679708 +1774919531 +848717736 +1733607118 +2032447090 +273313674 +1419775951 +936318876 +1086895387 +1971087026 +1752853746 +1511812400 +1554666 +69658163 +215058610 +748041844 +395850390 +2094562165 +1482707774 +2128129147 +1176015220 +447616050 +1025438455 +1933580652 +152447887 +756150446 +1120768881 +1917685824 +761724011 +1656448585 +1769806972 +1274403719 +1283884469 +471041060 +860527189 +1168847911 +744354734 +132819492 +2105166787 +1831250121 +2103906518 +1710536885 +1195578874 +2105461184 +1780195049 +1410637484 +706019380 +28561791 +1357716002 +41243507 +9207290 +386247574 +488859557 +1034645745 +172344578 +641307444 +1790796192 +1293113459 +411509620 +405036555 +802078397 +33832945 +1679440274 +2085962866 +504874005 +392483815 +1107327129 +1249228740 +525303307 +1065010268 +932995213 +481726177 +628063506 +2128574087 +439703714 +260774907 +1391727924 +1145723094 +289336698 +601960278 +1186966601 +298543988 +988207852 +1675826158 +1333189733 +1160552431 +169649955 +976502277 +306182242 +581159575 +1381538832 +1108260639 +614992520 +913495458 +1046739857 +1119866526 +1305979273 +6583338 +221611618 +1831282580 +1071593607 +1154606831 +165525110 +1699657113 +1135697271 +605228824 +1960432020 +379941547 +1750951918 +102285070 +981901825 +790434872 +400829058 +1970109677 +318777382 +1734018791 +983178460 +488427337 +563037421 +1289360703 +1069586913 +1944576253 +250137694 +1684579433 +710588064 +1296877552 +656962311 +2016567337 +1303460890 +878573929 +1700366270 +227570849 +2033180761 +1865891380 +1927227962 +1021394384 +323636556 +1740176334 +1401335931 +2074588474 +1842461404 +235754108 +717539698 +95806814 +58380137 +1036317081 +1829825606 +1041558598 +1524744418 +245379379 +183435653 +446847683 +42471984 +433573347 +2131427117 +753060048 +1730450899 +640905780 +622143738 +886428142 +1519479710 +175026360 +1113998991 +1405176823 +2040917740 +893743306 +279087559 +217070648 +486435992 +1680423490 +144175474 +181413749 +1916177598 +861715173 +277220563 +1974557735 +1898032254 +2107046169 +868632685 +1275293024 +204941900 +1052068338 +1722140708 +247413885 +1485641686 +1706084177 +1000473933 +1068608937 +199506309 +1622617671 +1955037079 +1718986019 +1797644031 +921552423 +976679194 +1691078123 +1815295729 +1255766753 +1908148771 +154248073 +788706595 +2052324246 +335661822 +557400545 +766555771 +612882386 +384474633 +517104377 +572444907 +1253107318 +1792397401 +777386808 +157692009 +1367054461 +1024800693 +1643333695 +925654990 +2025274626 +564458984 +1125161300 +1500408650 +372012416 +696663671 +1150569033 +1293564839 +1673342866 +694163509 +961376920 +781625971 +454828632 +1115624993 +1570332567 +359669230 +1451286816 +2127733112 +1126225001 +2064169202 +364724097 +1643329378 +489130461 +1617831416 +1288243132 +1266517269 +1775523425 +507813945 +143834314 +1271373472 +1433468936 +21625293 +1835832456 +411146588 +1522033943 +60361224 +1107810259 +525119328 +1353926063 +633669477 +1219282837 +167819335 +1415295449 +1674111470 +1283444329 +838144368 +2033780700 +587247497 +818393832 +1012522054 +503933051 +1183117930 +508367784 +993063512 +653465698 +1796610916 +112097134 +281505475 +156941214 +255931448 +1552878947 +1590410150 +277556741 +1241227755 +2001556738 +1799590684 +1301588980 +961883349 +177226365 +508031395 +1595552827 +1396509202 +675850731 +863364628 +923137024 +1959295060 +1701508996 +809434077 +399058909 +372419180 +1821956131 +902991960 +1555537110 +182840267 +1896055472 +61519160 +1979451184 +2008152606 +343024635 +2136392398 +116600407 +1895903582 +1579318900 +394157148 +989647690 +1433391990 +46264185 +143753022 +247791691 +223490550 +651784417 +1843344518 +1619999752 +1327635148 +559225498 +395653129 +1139446560 +113250846 +1205087206 +1538505469 +485670027 +879559689 +294013781 +2041207137 +1062399956 +42585606 +2102726298 +894367492 +2050738212 +298267285 +883276242 +19854971 +46687220 +315111494 +414012120 +1036334910 +1748503484 +460276305 +1180087932 +1996295176 +683766855 +1831872349 +1692156046 +156282959 +1012023850 +103897897 +551936088 +3986762 +217148743 +1757023294 +1542492232 +702818770 +489099335 +1836506013 +596542260 +1551499292 +1879091619 +551784910 +298383136 +1782346184 +850052195 +1181659379 +1802201155 +896739415 +1496770873 +68729627 +1933074325 +1097790710 +529005932 +965678609 +946602238 +1212772787 +650067311 +491274636 +1369055747 +1662091161 +595172533 +1920991835 +1666077923 +812321277 +1530531482 +1061086507 +1515140047 +2019630817 +750108873 +2111682307 +1423646461 +481716844 +515983569 +1722029598 +116579380 +1366035765 +756205329 +1918780536 +115291532 +105492554 +1987510163 +2048365858 +1203283264 +369032448 +866560819 +2401854 +1581805235 +1516628130 +493676491 +803377334 +1031235643 +1088849024 +576885522 +549829919 +1901170301 +2107417004 +1610916426 +1268826701 +1979564173 +213541651 +1233025360 +1255726987 +695258496 +1749008930 +830272937 +811837876 +967561047 +1586478266 +583134764 +1082852579 +1691970820 +423161280 +983734789 +747770437 +792193728 +1850295609 +750172291 +226515315 +1219440091 +1243848782 +1029892650 +103192087 +185214159 +1606778172 +653022006 +2086384460 +1566711528 +116454784 +1207727513 +1398792053 +329996436 +293269226 +507035392 +1025254932 +2042278156 +1337308329 +1837092808 +862355555 +776302947 +272743925 +1945208134 +320790120 +695905205 +781459276 +1068560557 +1488098933 +484271237 +1818732848 +1714614248 +1703711328 +915097983 +597023250 +1806903415 +1100312142 +56317774 +312441773 +1039212954 +1623029302 +428896558 +99456820 +874337708 +758892994 +392726046 +1381373100 +1784147926 +287520554 +571197782 +1473757086 +1149876109 +1347500729 +1746501011 +947600595 +1668290849 +294922568 +1729059871 +589367758 +1783021501 +65847460 +260616959 +1350152102 +1769558789 +1175714942 +1947175352 +1428978556 +128543436 +2003493127 +1741420330 +1167756390 +1479038781 +22833240 +1267213210 +205892841 +781726234 +1659939256 +1587265942 +418390512 +1947459810 +10980076 +1892147598 +949852271 +1358480805 +1491164962 +1897452867 +879288007 +1786087530 +1479029090 +1468655765 +1421625384 +1544876551 +1729272724 +624293838 +1166951692 +757504018 +423985542 +448446600 +886047454 +279995021 +42383282 +2053803845 +1759033803 +65216522 +1173533407 +1964926644 +846942756 +685989016 +1404708938 +1265333268 +485965178 +1415689014 +1009997219 +1435817450 +626686172 +353678533 +1185786669 +1505974179 +2139766063 +517332111 +827146296 +1413907799 +2062208662 +408935373 +2038201637 +1081676706 +1166439391 +314703532 +1530123307 +2052486846 +594698553 +1572506589 +1958807043 +206248708 +1637723112 +984856802 +23691705 +337182220 +1670845818 +1428400643 +1602515489 +9327349 +696606010 +465029060 +1445144799 +1323292182 +818707593 +483447820 +681782713 +810990008 +1000779931 +1508929009 +77414160 +915504946 +1917864382 +2115615797 +1997181652 +936820126 +282835681 +1379821311 +841823324 +877534235 +804844253 +653146719 +1083782943 +295083717 +1638003521 +1107474648 +632265937 +1161365692 +388391644 +87297778 +1170693041 +1084997654 +552326838 +468354192 +260806188 +1371034431 +951802012 +942588901 +34540792 +1952581943 +304034262 +111954952 +720603241 +74414997 +80087101 +570301246 +1011235123 +362922783 +1950122557 +1853058447 +1240457018 +607483162 +358721518 +176756313 +902566879 +1996725039 +1284230962 +1534832817 +1010607083 +1672622606 +1622130595 +33816476 +610136612 +26973786 +502170668 +870942800 +1398008217 +1453972680 +1813531701 +1432549009 +1259070976 +2117565963 +1544503961 +1979674217 +44497312 +1624591063 +402491815 +1055732435 +1987513846 +205130725 +761307234 +1080487216 +812613887 +1120028752 +1257243529 +1715180767 +969270144 +393990843 +1102529936 +1979877227 +2066613449 +577176883 +2013693704 +529266413 +604150669 +368380724 +1400209213 +2002158887 +1822353405 +1066257266 +1287224248 +933940733 +1036339582 +684244562 +766131302 +1080836894 +161351977 +1168623118 +2136569330 +1382175 +1373753843 +750392916 +1081869391 +38884082 +1870421669 +191629272 +1754064849 +692208165 +585620116 +709111137 +524601744 +504749917 +1286288021 +390811800 +1034016331 +1890438690 +759192525 +286741896 +1745113929 +434062282 +1352999163 +884854530 +1368003015 +241855097 +1569099092 +2134134317 +1322691991 +1730451069 +1155273787 +1311777673 +1731833244 +381543982 +2062170590 +666218987 +420428065 +1785108611 +857848259 +27009266 +329833128 +1443468375 +736120404 +854434872 +1948218293 +2022408425 +1245246673 +834750976 +1765363467 +2004439198 +1121492872 +1362993749 +291017832 +327008387 +100364631 +1659020847 +568863484 +1669463723 +1645671516 +1891555476 +1252431144 +653461656 +1055849501 +836780740 +1035005638 +970536443 +1502999727 +1455433703 +608161406 +213364338 +1482442970 +937994534 +1656832714 +71079726 +1792429407 +1457567359 +2093488151 +890192432 +144834687 +1711367970 +747147982 +1266327559 +926878071 +1038165814 +1593335947 +1027242702 +549703013 +14715783 +549222777 +47890881 +1906271259 +1801653921 +701352537 +814637113 +490951013 +1736358176 +1785173556 +1993950740 +1044308231 +245851315 +59831431 +379267553 +1183845849 +1716664145 +450347279 +828791608 +1026747856 +396351782 +1718984040 +1171582543 +2107719753 +318648374 +290426454 +887114176 +1356814188 +1883762401 +1914356879 +1906517201 +1898478185 +316096008 +1954408083 +1657265796 +2117749930 +508276972 +324419261 +461217295 +97151500 +2109592818 +307684388 +1141459732 +207960485 +367515819 +1520727285 +1391806334 +2084179964 +1971074565 +73114295 +963444172 +219942699 +1792098335 +2135026715 +180178804 +2110746710 +277969521 +1067292981 +1320077250 +14248275 +834166212 +1079110804 +1912726460 +1150262220 +886035239 +1422508608 +1120528502 +1394312211 +1746927870 +1581745798 +1491463712 +1709037040 +1889430186 +485439796 +1916997525 +109462357 +2006167081 +1161320211 +46158673 +1829757998 +1234434506 +1009602845 +2049700698 +879049194 +997145912 +82395854 +842312256 +1275115433 +1149688835 +14905858 +1289363708 +1983855047 +1094016662 +1054606520 +986633620 +1980051901 +329631481 +2107162122 +1226880465 +2076559351 +1541424272 +570860529 +1638112743 +1283370810 +1056300325 +1407626620 +1392833167 +914983758 +421463183 +1438991840 +597258109 +1655897690 +301111037 +499475159 +387463236 +1298256949 +581871013 +1229775492 +425888735 +1731559849 +1244681350 +1715252443 +1567931248 +191214365 +622375316 +407081220 +23782618 +952006797 +366759695 +1250663083 +881082500 +1908183967 +1821523612 +371711595 +1044071130 +730340289 +1779338215 +289420649 +1645324048 +53317750 +1728412490 +95098509 +1709215440 +2029523527 +594573668 +2096678676 +1180296829 +1176444681 +1178970520 +1606185564 +760520882 +276168223 +1173954359 +180968483 +467382588 +1796329675 +588049703 +491165206 +600852824 +954809398 +1741828290 +1481935324 +715509718 +1415868254 +1853646919 +1759580848 +2146208544 +1485501486 +2049001497 +1644048944 +1538819237 +1629930339 +1739147453 +1100551029 +1511970219 +186237473 +1049746058 +544783400 +1362682154 +81232930 +3485316 +2123203037 +357401153 +1177439675 +156687872 +824783741 +826285703 +744737575 +1315948948 +1427138527 +1699546974 +910293590 +761590204 +267573044 +178678196 +467753475 +2027153892 +177403092 +1953254962 +1928671741 +1821452036 +1344590551 +1411118433 +1413115841 +297657932 +775605004 +1599353314 +1347403990 +1320388404 +814551821 +1428636921 +1323873720 +790271210 +1786038074 +353829747 +946959082 +463338168 +1180115450 +1691696657 +1779287116 +459770330 +1243759983 +542097058 +1221360534 +1511333027 +720775254 +1689114009 +1391003271 +898178347 +1494885323 +1172191365 +572146735 +691992226 +435826150 +1985262577 +989650159 +1211431154 +1437132243 +189570501 +384335910 +104200416 +1618207422 +1708209630 +894471626 +1256761849 +2062039377 +1841430708 +1720100017 +1094671180 +1385643718 +1351903485 +1554441510 +481920053 +1894000543 +628318396 +1993253081 +467292149 +169948757 +1236772704 +1365470496 +1664834081 +261480421 +1937617232 +209342659 +697306571 +1775396161 +1198992818 +1908737725 +1065044756 +1388563320 +145589987 +1169245173 +859287094 +1853799617 +2063716799 +2116048943 +1768355347 +1757663860 +1688665312 +715542879 +995823930 +893085149 +122500741 +1477743983 +639602044 +750819137 +1323513416 +1106894194 +920767894 +412802473 +324881042 +438118327 +674282894 +115014626 +647460987 +1371589466 +1890410787 +1846453805 +1132843543 +807971896 +1087533477 +1278433531 +1977217069 +1946820572 +984749500 +1893450220 +1915385867 +605621199 +1503630432 +1456567532 +1321164078 +351970714 +202169033 +1443664819 +1829714698 +841771078 +47000308 +1005744466 +1948665272 +967768203 +1418546939 +126062666 +1405886530 +2092829834 +241077293 +2053347517 +1316935652 +2131488080 +1752317675 +302295547 +791976328 +692367504 +1580729078 +621709749 +491704428 +417994931 +367676322 +259606648 +1023616130 +1871306754 +1716174180 +197296561 +75793821 +1918343213 +1640961380 +1905508519 +612630643 +1687961689 +763769337 +413812267 +508246244 +34832629 +539874934 +1914132774 +2127662463 +780952227 +1819996644 +1297114467 +764956659 +1424830671 +1599410014 +1556932988 +2117198175 +1032655445 +31159089 +461418956 +1450650376 +398835411 +721025604 +326782858 +122658518 +289716136 +524079419 +198452339 +60575701 +17557152 +2103960858 +673206345 +1705518841 +720246547 +1087018612 +66281437 +755079176 +1626893546 +1980414211 +735257991 +260362125 +1652927207 +2032372458 +1025318785 +930274230 +1484298825 +434768125 +899988758 +369470622 +465927214 +1361407714 +1820120998 +864762626 +2082433318 +2146903856 +987421144 +224665806 +523499628 +1185873483 +285241507 +541056780 +1142350693 +958447852 +99091973 +1862597240 +2045466465 +165373410 +470192769 +1524876363 +2145787621 +1205450760 +1785238489 +1651231181 +1090339571 +663073626 +434021763 +427154748 +1097841751 +1334010521 +796625370 +1563768965 +547934587 +469262720 +281047943 +482884257 +468682928 +1268469087 +707550063 +992182556 +306858922 +992791571 +1533239336 +1449209615 +1951239423 +1632331309 +1164323208 +1849222240 +1797704719 +1634515977 +1226614956 +1796008693 +692483089 +864369797 +1299756226 +1782822660 +1527443423 +1733777989 +62493760 +477801526 +920304863 +859119130 +2041570491 +1468239450 +1328381850 +175134787 +1951123708 +1797064779 +1443603874 +511190123 +641763687 +1750462797 +1503981694 +27519376 +1052188764 +1307737470 +1659850685 +69028324 +1009476062 +1310071757 +1703544301 +88607370 +958596802 +248543743 +952977167 +110869380 +2031366403 +332936942 +1844647369 +2093860164 +810738468 +617468584 +805495646 +704825312 +2085708035 +2133877497 +879960099 +1889348095 +1783458628 +176080325 +253054570 +277738667 +1926543122 +1757036265 +305258043 +831248239 +917290087 +1965108729 +900276563 +1926766149 +1127696838 +456337217 +2015373520 +2086293640 +704880960 +820867039 +49679372 +588763715 +1153803982 +1894326741 +535140231 +1964542450 +364311678 +1340635878 +521884114 +302536065 +1327029727 +1401844213 +44400512 +963004707 +1577924539 +297455082 +1240743374 +1356984013 +2054491347 +1546001418 +40748604 +824297786 +1363626499 +941025168 +603580288 +343839689 +1397362385 +471470160 +282649681 +2102243345 +1292337199 +332329053 +543523412 +298657533 +79172146 +1078663644 +115716336 +443483824 +271815874 +637600450 +746019889 +1598845601 +2039444664 +790420401 +414366660 +1469885555 +1087875484 +1655110034 +679385920 +994883183 +1053627804 +720134525 +1819180970 +269770655 +1661159693 +275277610 +613610344 +911038430 +746747770 +896260025 +865798127 +2039084969 +1228589078 +1409321539 +190258855 +1307761225 +340501535 +305975191 +1751245049 +612317409 +943575641 +349781291 +63679362 +835536657 +1140201692 +478046022 +157938564 +80593528 +2133156057 +837324485 +1075476712 +1039300213 +1557459010 +747174034 +1309070869 +1071135055 +1022451644 +1922681213 +1982173485 +1769199414 +671457591 +700487964 +1660800735 +1900046669 +2109809503 +1851059590 +1060324246 +302827391 +9551133 +664085648 +915144800 +953126775 +1013866939 +978824163 +1788663432 +6584983 +1456870185 +1946601997 +87178512 +1442542594 +636442834 +1162655224 +334359160 +46418196 +1909829258 +1643430029 +1117553251 +784797254 +1418627594 +952243088 +406513020 +2090085185 +1652731052 +2067313755 +1842648207 +1615056907 +1770889698 +755488805 +1917884298 +1780440831 +1419574453 +685545451 +586083958 +285957744 +1664369614 +227263743 +292542728 +973756151 +26382092 +379721240 +268815098 +662824926 +1542376464 +603174258 +709243122 +1304722074 +99120639 +1826796373 +2089519328 +1517748233 +631555813 +348548700 +1460349771 +136803217 +268378807 +1155514330 +1751860124 +2039268505 +1911003135 +1522260775 +1672225689 +1183093941 +60322578 +110825999 +1469051685 +1724692192 +338089742 +1761594413 +550964695 +364471834 +2141315653 +819779793 +1027296760 +1536208469 +1422954051 +1736539882 +693446895 +1522074690 +1415852607 +635482575 +892339276 +2047408420 +984031275 +205205399 +36727989 +1252410083 +1360719729 +1788588114 +1144194940 +1124239216 +1163365241 +668936981 +159849509 +1223687819 +779762981 +1628901195 +800896363 +1117852723 +1243011960 +1351861058 +1482324558 +1236843966 +24157204 +362137670 +625568787 +1447111255 +2098677553 +1319015683 +821702298 +1367046512 +1954498258 +1714041574 +1266971285 +791045886 +1919246973 +1303699274 +2043455969 +1132483054 +944803740 +1040167261 +109238622 +2108168981 +1709104243 +269088132 +1184373152 +341383576 +1897989327 +1985269515 +1459236299 +993517639 +1189646926 +794077209 +82877957 +1213804130 +1156214880 +708446745 +513431737 +1107408785 +2027462428 +1335134035 +326971649 +1834477038 +901691961 +1593942934 +478039276 +673455286 +750158561 +374011597 +1805938340 +1694962301 +1414178859 +1915176963 +1655647635 +975799454 +36781447 +692537139 +1317183030 +1934770774 +530323007 +628935681 +780804765 +1719969933 +1423012891 +863682723 +786290415 +431744123 +1572129468 +1299722152 +1539152908 +1452108248 +487372540 +1866124557 +1139101638 +1389064501 +1312583844 +1617140915 +2062519788 +2062742405 +1991152512 +1720974480 +1610221058 +1257847723 +1488667795 +1118385045 +86163529 +1525449242 +1810922185 +1403346559 +1312736368 +193761544 +2032282241 +2093541134 +1913731477 +1307811484 +809740209 +552538244 +1739555607 +234386029 +1852260396 +1131224867 +1686494277 +192149288 +849865776 +678112267 +1581213790 +14965972 +147769534 +1496249930 +2077708377 +2138922047 +1069740762 +1540445788 +1249286122 +410924910 +511347185 +1335449652 +1936374152 +174785722 +591312563 +1101626873 +368547266 +476111156 +1047684359 +134795095 +1783922640 +1857424568 +687333339 +1375994599 +2091810597 +392110088 +359735818 +1630821226 +584259376 +1209601595 +161449845 +17989518 +1224567567 +309219380 +1514239448 +1154792297 +300657779 +436496563 +547754437 +1549943901 +847421473 +1059101622 +737909905 +636311977 +1233887345 +1329222469 +1737938850 +1602434611 +1805333625 +638139561 +1737229707 +1441772618 +348080481 +277079398 +670283569 +292407430 +669189486 +1030019388 +1923228656 +1253448863 +92137335 +2084678502 +1271438381 +1316704902 +246414234 +638194182 +324013551 +547072013 +1074690745 +871767988 +2097015914 +1922112218 +1930869611 +687442172 +410940547 +1017273308 +2016664641 +1395750 +472224271 +1674514618 +639535311 +61970330 +968803588 +987615793 +339049729 +1639087158 +1280023223 +1008239215 +521622898 +1055768232 +114204430 +613760233 +992963086 +1385642812 +1930465135 +1239377320 +2023836994 +106995039 +1786449333 +951044091 +978763027 +1735981599 +725672661 +762148990 +275940123 +1136613208 +1779422298 +145121116 +1138008958 +104162922 +1819635735 +1777544270 +166133252 +640955675 +617676415 +505182981 +132559185 +1897699638 +1513422197 +654182083 +805984222 +1627626627 +1267942316 +1798947308 +865785791 +1050923804 +890840980 +742139137 +1157918843 +529806665 +1693183228 +2136681870 +118304617 +271372241 +751347213 +394244740 +1407985450 +383285863 +539365857 +398510760 +487448785 +211517944 +28571382 +653582038 +852473619 +646247797 +1158765019 +985032805 +396463788 +524703568 +1639214888 +1202448010 +4846548 +759673557 +853911671 +870632339 +1810597361 +1744752651 +1612771477 +821032556 +127075669 +1158471057 +810230778 +245380286 +1429843299 +1561577991 +639625026 +690345101 +1944863855 +1178990883 +1088855861 +284828992 +1390508827 +1117427244 +938411030 +95498799 +1763675041 +2097176050 +1080531604 +12655181 +474395970 +572262844 +1215103192 +479242518 +1331936401 +2069014863 +1349874858 +995050114 +1666283866 +815162687 +1816082670 +1793359535 +1973633744 +478829801 +2038739821 +1255993395 +2040407792 +530881200 +1946338496 +1837787999 +1709872083 +887710710 +2122616992 +952897263 +2005137954 +913544374 +1048396062 +1621329347 +863236776 +2128927666 +1633984529 +1337632747 +553706862 +701604073 +1816875265 +1885643264 +623135288 +1019266475 +733209730 +141935506 +1834429162 +401808753 +1935295042 +1660579259 +880638554 +1826551215 +769089006 +773562698 +209948767 +567943855 +463867050 +1919820851 +1455654565 +439000394 +725234466 +1313308871 +1352544768 +1773630528 +787154570 +68297897 +1755074546 +273655451 +1405930644 +161297760 +975259524 +1075322261 +2046941024 +1598394812 +2094588737 +632667107 +1740330319 +1781534251 +1034475860 +1528141713 +1294629862 +1915114414 +1207209280 +2063718869 +541193464 +1417158048 +484179076 +1005060514 +1189495251 +1939833641 +1444060908 +1914729717 +1105658864 +649122029 +1540876597 +1892813434 +717419926 +1148467495 +18985238 +2123350570 +1309765255 +994244762 +1051189183 +1209222632 +445155927 +998294272 +1841889739 +38002598 +632344876 +728881951 +1566144311 +1926974738 +496512717 +625869943 +1843209959 +1037706181 +2043027991 +179905387 +2042766696 +1085039594 +2119739028 +1339343956 +852285663 +1077914244 +1988465985 +245678612 +823244031 +558402263 +1394146107 +842229269 +534269185 +556427715 +1836474031 +1585458369 +1765650347 +134146310 +436268993 +1460056438 +172148908 +1068613869 +41454741 +1738293219 +848104960 +537967458 +216679515 +543831271 +1575673639 +112223858 +723736659 +1470956687 +1197263453 +695992039 +662816996 +2049549116 +1773906284 +503799333 +147744081 +449666667 +1062201597 +1541890188 +1291895936 +1596470782 +2098317903 +980886319 +1034445503 +1716484602 +1115032630 +1470714497 +1029057392 +1287181538 +391844718 +1070512133 +877991110 +1239949678 +1608479591 +1094670625 +1783780950 +1036669583 +1206894483 +360033961 +360142622 +256674288 +1056026000 +1022959618 +158739757 +682448636 +1526758952 +306483838 +1132115303 +441476901 +1848374026 +276527591 +2037947683 +1799208282 +1257413911 +924909539 +1368209236 +224962893 +248140388 +249782981 +1512144431 +639985106 +1320295114 +242651893 +1879934785 +781291058 +1337322518 +1516232087 +1817960641 +396733354 +1876266048 +30619615 +653407642 +784808400 +1053579234 +812147399 +1467257037 +432854538 +1118631237 +451888692 +874331439 +819521616 +728416284 +764795474 +471246250 +1985830195 +1689705013 +1839455486 +63309440 +1937845401 +2089238467 +1575453871 +430346860 +1262049934 +1818105765 +162797997 +2043340992 +1007944635 +1679030084 +1713817985 +1404677989 +1407812484 +1744437600 +2058085632 +45137236 +650533186 +722749383 +1512394273 +1083387724 +1841380621 +1964282966 +1957719163 +513418589 +545215602 +575030990 +984664839 +383562149 +117252355 +676636677 +446871589 +2055097757 +618391497 +2022325460 +337960969 +1880441431 +1692947577 +500758966 +1776298775 +553408565 +32305402 +1342633112 +1958086554 +1440117886 +939587064 +1868688538 +1485255122 +1590120251 +443954274 +850165748 +526024327 +137851247 +666965066 +336259843 +651269836 +1212180668 +911290833 +1635934675 +1595742817 +1028543188 +165087704 +2042614406 +936157297 +783479201 +1917456218 +1274118266 +516436984 +1462920148 +1774877232 +145252111 +2016328713 +1807182634 +1487885223 +1826931619 +1099816872 +279988640 +1548136510 +437588347 +1870108891 +1992090784 +1287754095 +248649570 +2129942031 +1954719161 +584909413 +633728219 +1019416181 +1496200246 +122179246 +467675350 +377259787 +287266950 +362806108 +1313417084 +1070746152 +132778678 +440051703 +1587183136 +1595698826 +67445287 +1732435248 +1464543891 +1874627922 +1072836823 +1143991863 +826961146 +1352825463 +544644725 +1264549493 +1075450706 +389251861 +404819940 +1324100277 +371710244 +212055453 +1909009690 +1005438463 +1231471634 +1257726289 +1127617709 +1699146984 +1634986076 +1414884659 +2061953092 +800919512 +338147163 +47248123 +1240971215 +1925330300 +1642946949 +1308416503 +1510281900 +960007193 +1035560777 +435635075 +2103999056 +1862521923 +1788460539 +501160133 +979587769 +716427597 +890411994 +1384407709 +2040527874 +1262122238 +1596463163 +1802053917 +120077053 +680451149 +912296558 +1247694762 +232114486 +399798986 +515095773 +146583930 +1200718498 +853242937 +193832053 +294206066 +631089589 +1836779003 +1602622569 +2141371489 +649302548 +490699698 +429522916 +605817956 +205737973 +70499807 +1106978089 +1185325742 +786927405 +1997390083 +422249804 +679971631 +1112028673 +2018712967 +334541900 +1232105726 +551680468 +1246838458 +332316840 +783794954 +1646637444 +847412613 +930378885 +699872295 +1700655550 +1124210938 +994078361 +184261491 +813506293 +449217282 +178149332 +1462808841 +939916980 +607672249 +2068626797 +1145654953 +678172056 +1028121238 +183497048 +1465099461 +878027673 +605746852 +2145071093 +1990056346 +476976171 +332129345 +1074678424 +1028656639 +1578967804 +1406995264 +1812451594 +1078121600 +106924230 +595346831 +1777993895 +1807579780 +1719557769 +624588608 +1991841272 +385580415 +1073805890 +22506956 +1848389256 +2013722870 +630179205 +1769532406 +1011894176 +1308351262 +650169996 +1195391224 +625967075 +1528197670 +1801138076 +623554520 +1370770368 +130630599 +955683866 +297965145 +1159287238 +387168022 +1704960409 +824255184 +1465289622 +1811884639 +1419602015 +1095799870 +1471980772 +991676137 +1720388478 +1316338396 +1377256552 +646710721 +1338845352 +1078162160 +512949943 +1969024558 +700210918 +1524844119 +1129892172 +1350380915 +572751695 +1755859247 +731094937 +226406123 +231930120 +2101865305 +357036722 +1187613986 +252346802 +1516323961 +1574782008 +1957307212 +193095497 +892587982 +1621708203 +1612697513 +1988387852 +946205327 +456890002 +1561292683 +115060075 +1834146554 +60519756 +1453905428 +764825066 +573469699 +1275446338 +1465035985 +2098313819 +257854862 +667933252 +523581866 +2013714109 +1399028189 +749987990 +98160581 +1353409846 +1107024712 +1285774567 +1605756649 +475865025 +713072927 +1415580213 +668960523 +1605660910 +889804768 +134174388 +1446565114 +1836010096 +591064390 +860374149 +1951070171 +277727296 +920893905 +1257491951 +1042552362 +1494363605 +385454641 +360104699 +1445193776 +643309503 +1028037951 +1968775642 +509539965 +279582492 +571279984 +607700546 +1632992339 +1678304697 +1893475114 +1091265340 +6686074 +459064393 +359361905 +675646597 +2064725303 +1249166673 +809820985 +1363806770 +937693121 +1400885375 +76697271 +741279645 +1678612671 +997591177 +1998771596 +573681386 +344471134 +236742590 +933786085 +1789664910 +880052093 +1961824037 +1610956904 +1389592058 +93922881 +34753241 +1997292605 +1726915220 +1713057938 +1743284071 +670696912 +1719744012 +54864816 +1030058817 +247906962 +2119590120 +131741843 +1057727947 +1335913242 +1069434964 +311129675 +1412610513 +1810714609 +1989742346 +262718042 +1662002558 +415940084 +607189176 +1898745148 +1349726170 +249370438 +631313593 +1164066559 +1860327343 +2020905652 +1257989440 +1895080584 +1870714609 +837421013 +1460654874 +1466515032 +1508117925 +1032915238 +1521379848 +390693095 +1280822200 +1493486320 +522434938 +191066500 +681915914 +1591869902 +502196175 +2094526428 +1255100864 +344454873 +209760822 +769619774 +760394958 +816949999 +520881274 +2110121128 +1066320437 +1152194867 +1126704039 +779164132 +1025616871 +237209831 +526761068 +748847832 +1074630844 +1987415942 +67879216 +435265122 +872847533 +1589259065 +825958217 +6186085 +935261737 +1348393155 +197252585 +1617177652 +792779409 +699448760 +1564220432 +2047880273 +1043903634 +1773981254 +670016399 +1804298592 +443447605 +1190897673 +1766936072 +1509768043 +195608893 +746156463 +141448527 +1221225764 +983366294 +668209596 +1970073597 +2057997139 +508141890 +2037952813 +345778613 +1380989423 +1479728230 +1171736830 +1387175509 +267506320 +372646337 +1584428094 +1884683972 +1165425746 +136393207 +1301420756 +1065822372 +1180296841 +927918362 +1735838771 +837111785 +1371365968 +779252797 +456564209 +733650363 +974861690 +1202720672 +875098890 +48603806 +38603318 +1543308486 +2018677403 +2096600457 +2051450377 +1909146569 +294895422 +1284956152 +1241391151 +1466632252 +524648013 +1508897471 +1839278589 +2109076108 +1246097795 +857220688 +97985667 +400034903 +1923043060 +1278282508 +1327953266 +1511398183 +2115394293 +551835586 +143167332 +424474854 +1285485949 +1118029022 +1627195526 +13101191 +1166632829 +1665798844 +1556409678 +1037826584 +1614915654 +1460376407 +799489505 +1909811076 +597848911 +2040880657 +1228959681 +1122496925 +1402294480 +920754622 +1084089385 +500908628 +1777975310 +1182075052 +900943531 +1553534722 +312873912 +81413149 +917449258 +280784557 +633248735 +1060616590 +705259411 +1918734684 +31161965 +184971289 +1931835876 +1197794794 +1850770133 +1340761906 +88137730 +1318202139 +653654665 +887627236 +1080529568 +1251503576 +781024245 +162005601 +226516853 +35835077 +1082760223 +1310606238 +536743705 +713251886 +345197642 +1437687237 +119302960 +658071554 +1519100386 +1036752218 +938856111 +4865474 +2097368809 +1644115522 +1923600158 +2128530774 +1829086811 +1707952386 +1178841920 +1532373297 +901230644 +1266979650 +703091788 +1554885309 +7123238 +1783621356 +658905238 +788147483 +1945626957 +885422091 +823982561 +880903533 +48544682 +1360726266 +1594155419 +393742324 +650929855 +1713458379 +1051813879 +22546594 +602726950 +1990669990 +27412068 +552612111 +1487301865 +1951012226 +533659237 +1168905028 +1511480965 +1712501157 +553794677 +265227961 +831997159 +1256886466 +1820113271 +839120398 +893024174 +331534861 +1627267881 +691167484 +1216956952 +303766794 +1572071017 +1265501634 +1664493061 +1018742788 +1659243959 +167939268 +584717519 +563574190 +190485862 +1187444469 +406760532 +217897930 +1740056580 +1894062397 +21426509 +126232169 +915483778 +1532907474 +1838733326 +1469278455 +1798135435 +523246838 +578681273 +1470765058 +1362367236 +1471705448 +1802299919 +842151469 +15389284 +871773224 +1145918264 +1587460301 +2137274858 +662927677 +458719441 +1649035169 +830866945 +1043436960 +65125711 +1021352808 +83397782 +471886244 +1239250738 +1823454362 +218464993 +1260677247 +1949686532 +1133948771 +646101073 +1640936210 +455743579 +296752861 +16699400 +1034424852 +1767517919 +1379066636 +358646652 +1422334191 +73734458 +374035936 +146623767 +1219652722 +1961496237 +136414977 +1882580399 +272732030 +1785450147 +565963696 +1316168991 +1850575858 +1587316504 +1399566773 +174978454 +679083595 +1075537487 +393443448 +1939760842 +877740371 +1527392219 +438378268 +371192934 +1983135798 +735131129 +387892334 +870077003 +355165400 +1766958971 +1228723655 +1777499591 +1840693429 +1602759592 +1924123358 +912862503 +1416772181 +2060538336 +647959254 +1689504212 +1698504835 +1213922950 +858189555 +1401597045 +653755807 +110272680 +1576575500 +1332839402 +1185810167 +1970018948 +1125116596 +2063550539 +1349927519 +1563494864 +287259825 +1185579670 +151142345 +675152159 +2055656673 +506307746 +294627482 +1136896680 +136323689 +2135320911 +592172624 +2060447048 +900699766 +2008944806 +1973501736 +1548659020 +1550965370 +1524522923 +615098323 +261671277 +778636320 +1268854130 +371943957 +207728172 +454209884 +1557754124 +30263472 +1579326480 +1473821015 +1380190992 +995337697 +1761080840 +418287014 +1146480042 +288749352 +326460039 +1652787788 +583376834 +1463356719 +1789111478 +571214098 +2055529344 +1702074878 +1471913864 +1916990502 +1528092966 +873089237 +1320472224 +905132241 +1488187560 +1582143501 +1683768561 +609558042 +1954087458 +1891496734 +1063767926 +1364357934 +1921760206 +495610758 +690695302 +1154467550 +1490948455 +304292494 +1572754564 +489944850 +593041846 +1899214603 +2142732638 +1176418681 +1215087675 +1784360468 +1747632779 +1123133371 +1338951698 +1072062995 +892640225 +719561016 +1945152232 +65628801 +1624693257 +1285856144 +1647772302 +1160978171 +1895414186 +1454376112 +904991257 +811698464 +671250398 +679267815 +1307309223 +1361945700 +1833735366 +650774030 +1666238195 +1259006282 +1140718880 +111796393 +1010737238 +1135967871 +1288215074 +78341265 +772844691 +888364205 +1201474636 +2111796390 +1960427201 +2094114861 +683873758 +1758095785 +12260014 +161083368 +896468282 +1660032316 +1322061539 +644398820 +966924780 +79569148 +1456097285 +1638175178 +758836963 +615922860 +852637231 +445088681 +1266696890 +371391778 +1704094964 +259932123 +483188171 +567348554 +1395899994 +1771403246 +645689819 +21261037 +512283803 +1847164455 +2133057427 +325227356 +1793795668 +669447538 +2083323142 +1806055682 +830530906 +832307776 +1318604350 +5108797 +1476706596 +138045482 +84677945 +785320233 +1776220660 +843514908 +1401243093 +481374243 +1288603590 +520456336 +852766021 +845214906 +780388459 +1335954193 +1412563460 +28804805 +959873791 +2058253279 +50065842 +1472157594 +1757934086 +35639622 +1797384951 +1404246106 +705087160 +1733224445 +1062818140 +1535618066 +418048573 +233938842 +1540726863 +1894755169 +371984324 +1625404808 +532591755 +721336 +321436068 +1933834848 +482095580 +1610039658 +306807536 +1334861601 +307770916 +1087195995 +523332146 +1720334376 +1116000800 +1483205937 +1631104007 +1166066643 +807879884 +1241554445 +1201706265 +457781187 +498316903 +1906793425 +43521984 +1561135043 +1294927843 +461570557 +1795073885 +688171058 +208842078 +19574561 +166092218 +741433833 +20295898 +487528286 +527785034 +502391478 +2097567945 +834592570 +1837253079 +257855213 +1921788566 +213101578 +1978189590 +890305718 +1696307515 +1461809949 +2056372361 +356703751 +555880747 +1110594978 +814484938 +1054197650 +869904755 +858006922 +467849046 +17348950 +1319577479 +115439283 +705520008 +1528419558 +135013845 +871612226 +122369743 +155309743 +1359140513 +650154777 +657701221 +1309224810 +1484747348 +347470652 +1567080023 +1259052266 +560572230 +1397785965 +1874336 +109396098 +712112267 +2058246698 +466099849 +1267993014 +1021358028 +1280584788 +174707016 +1891262784 +2138591710 +642556062 +1908611734 +1310685542 +757995346 +466648095 +691621452 +893009191 +1338260321 +813991195 +1048318934 +549917186 +1464145973 +1706020155 +1859141996 +801409673 +2053490807 +1278738372 +2060461939 +466579390 +529040689 +2062336275 +575975488 +1241152956 +1973099325 +1042075337 +361662322 +846973706 +175176477 +536369339 +590752842 +166284540 +1178925401 +351880928 +1476970082 +1936920747 +818529023 +21107886 +682446290 +9305697 +835099081 +1730765224 +559222883 +151761406 +1289301731 +270881232 +953171079 +1195308891 +1549619604 +866149370 +1661888281 +2078660293 +781001998 +90380121 +1172329602 +606617675 +1132455458 +1533991924 +1453591381 +1307631936 +2070361263 +2044344223 +1473916476 +1101803017 +248741504 +803402910 +891240116 +1067270527 +824510796 +1573686407 +1076576224 +1659609877 +1156967983 +1635799108 +1811371284 +298786067 +1906680340 +617058715 +1494094958 +1308816296 +1483208086 +1008499591 +1239992941 +116726436 +1098879712 +264838895 +723344111 +83851522 +1798830820 +29451845 +1391483458 +1721708435 +2073796068 +717916286 +676027804 +175053924 +1521319196 +1567267921 +1242324452 +198346344 +993470680 +171417028 +1857956222 +2955015 +1807216136 +1521843858 +301741082 +1566412828 +2138902573 +1795836040 +727745476 +1474627011 +656851983 +1967738418 +1591353447 +1755731695 +85093665 +167213911 +1839583218 +1883924485 +196665756 +1083583028 +1458149273 +122978176 +1801499315 +2134177077 +298032101 +1175334863 +1553961350 +1540356553 +1373681208 +399948382 +1711773581 +1084153782 +402903398 +1371506070 +458513992 +704644480 +790435250 +449932917 +352996873 +1518180727 +1924559929 +1009848856 +1338435497 +1368429728 +618096904 +1423529162 +1535643639 +310196474 +1159970000 +1732309395 +1393779502 +470635625 +1855287572 +1047795169 +457329054 +5836025 +75646385 +2011290405 +1546192578 +1449327593 +263755139 +1110482511 +385997727 +666658537 +334504933 +844511719 +1371303018 +1124940184 +1294444636 +1724299891 +495637263 +1071520917 +586665099 +1834072760 +292466998 +1204762003 +1110118274 +1828110637 +1514958477 +122604626 +1412936385 +761254332 +593240251 +1120740309 +1809049501 +1050569306 +1126576334 +1884695886 +914376063 +525285264 +1186539831 +1178131202 +1635767775 +1572537558 +1844789740 +1970272709 +269565629 +1068609110 +947729245 +1564010266 +645425353 +1443366508 +488047535 +1232090452 +1129955620 +780514533 +289368808 +92590246 +461141523 +1804327285 +215194873 +1874077908 +418097969 +808435124 +847334569 +79663823 +1859004430 +1973910903 +1964359709 +625896845 +351712519 +1003415893 +1804028048 +1987480294 +428469803 +1501334140 +1810269355 +698035433 +422459602 +610514952 +114562051 +1067884955 +2053881460 +602609586 +152491759 +1036353432 +1383124120 +441860567 +1128943679 +1844265643 +98704205 +1344138552 +1570859903 +516802174 +5090028 +270710824 +596465997 +1864094459 +97138079 +413342059 +342507656 +448850598 +1416757952 +2146535704 +288847244 +1845227755 +1500386196 +2099116600 +395779540 +1922845798 +562147904 +510341591 +843247105 +468545717 +1112951178 +995738865 +1504899149 +348591650 +1437599432 +486359180 +45373645 +1536303637 +1830497732 +1616233548 +2053105812 +1835587761 +1886944372 +502088161 +1552198572 +1984082451 +915430220 +1894706228 +285449401 +184704524 +1893758285 +574296645 +2029932280 +1246660833 +525929597 +278228172 +1022022984 +1088077502 +788569764 +1865270089 +1556623219 +1901520942 +713525306 +914038720 +102628944 +3641091 +1400397901 +148002589 +1539944728 +1083411985 +1764236137 +1445566892 +771516098 +1503696861 +1947655054 +176231022 +1340295664 +715601626 +2070937251 +1625745065 +900306151 +1817211888 +52558062 +782754783 +916389073 +578487660 +1060982955 +1938412057 +1666565162 +1849552719 +1656198499 +1075704733 +1603590013 +222240157 +1989743453 +1706218957 +225881248 +1242657706 +1854221546 +1765825977 +178586044 +1470974035 +1063909221 +950102142 +827187248 +864080627 +1126333165 +19999264 +1579682254 +1049786768 +1645744329 +332504757 +719515008 +1698302392 +1115259540 +1635904081 +129306404 +28758847 +1426832491 +1795871566 +1878311567 +935547342 +724092651 +1334417932 +1157787499 +566352456 +893153242 +1383668748 +1809010163 +599891140 +1002011077 +1987596207 +2070865176 +2065920298 +790214701 +750568776 +782517278 +1916547866 +770568041 +214715884 +818850986 +268828722 +547220641 +1538365994 +1967131114 +1662480181 +1026786428 +2096437518 +1691239028 +306135271 +1744825436 +1422066947 +1241682613 +321434439 +609001232 +251986464 +887786896 +1502154474 +1635655212 +549313411 +2102045614 +490182641 +389425970 +2025427142 +408619292 +1179640671 +628512271 +1191136570 +948704890 +1399080312 +1405852454 +1767555876 +1667909034 +1953073095 +1158438223 +1487556501 +1468069628 +37741003 +1436510371 +1011825008 +343876274 +1033852160 +286408308 +1585558887 +1355286599 +895409540 +1837545351 +95589847 +250080366 +1325716916 +644903258 +204642332 +1815899557 +1034329228 +82585827 +77035201 +66486252 +711098098 +1268171771 +1015191142 +2110178410 +526540577 +635263370 +1630603796 +332130024 +1793701593 +970676649 +1800199652 +1831442596 +259703373 +664541013 +27835222 +1293555533 +950949321 +1613394109 +501358484 +1846358861 +1303455813 +596948332 +2096439227 +481689081 +1241851590 +153597911 +150104990 +128697171 +236183738 +227140192 +195183423 +947281836 +1495311963 +1210374565 +909976598 +2021852541 +1845637935 +393096747 +206498917 +1491855881 +1363773396 +2006698570 +1175814829 +1623476769 +523755935 +1203650052 +769548654 +1474705256 +669560513 +1270907139 +1173580469 +1973016326 +1867855471 +1122536048 +307221759 +962223413 +1276133959 +457326750 +1090920584 +1512317698 +684466942 +1286104007 +312115886 +32295257 +348994924 +1222092485 +2054147798 +47149212 +1615189232 +113163068 +1539005093 +831478980 +2119861638 +567336274 +307472102 +496133925 +1770986326 +1077020756 +1970839181 +293063192 +200444247 +996936002 +118595870 +2068299718 +2119472050 +425817630 +883039484 +1248122361 +883144380 +1973960068 +612956411 +1567611322 +1112580428 +925072298 +1599906579 +1461575352 +2147164783 +1506570730 +1508724564 +1614870367 +1619733798 +900246009 +298865699 +1592111788 +1467582284 +606337801 +2088245713 +1091084962 +1683358558 +1911601246 +1384148154 +1883802805 +761053600 +1502744025 +1804618876 +733042002 +1928561655 +540174712 +1981164363 +664222387 +366651132 +446637127 +84350061 +1479231560 +1371709425 +1684256640 +793323265 +1371390560 +1043343722 +154564181 +838777279 +515593872 +1054810191 +1137642978 +2107705660 +374908827 +1743980780 +2048467725 +1465993789 +1279855690 +1812585323 +702658296 +1016174847 +426155275 +57918673 +673310075 +1159197277 +1986480328 +1213484787 +992877993 +503219067 +1580135920 +1439515120 +587569128 +911883832 +663740897 +124342120 +1705207097 +2035131457 +1167685843 +1859771279 +726425088 +1683279715 +767097822 +1864068066 +1643501728 +1142006649 +1460565198 +1544485805 +460516790 +592937240 +1209587481 +1163175086 +1609112088 +1635742756 +1221093759 +134938515 +647456386 +1060090439 +1348423303 +1640334379 +1563309506 +781075575 +932365851 +3394986 +1692959407 +1596106748 +127737107 +1250682857 +1483754557 +1295422950 +962970488 +62695997 +831219017 +1730068310 +1926764063 +327237097 +724591311 +1239845614 +1871722903 +1185108101 +1832782854 +933826736 +200799540 +1294411294 +422085844 +1421893299 +1429349810 +1069542230 +334500091 +630289465 +562392961 +1897809597 +1411365040 +1494758812 +1901204584 +956840799 +943381912 +2028941691 +60040008 +279652821 +1176880993 +1023010496 +342348818 +2008100010 +605595158 +121629234 +187853460 +1330186469 +1361474848 +2059576363 +367810923 +1046774054 +845919451 +568610463 +193701701 +1268005295 +1990503762 +1623051511 +190063878 +177520205 +105857328 +752456839 +2075329803 +1517222368 +99732004 +1829050739 +326579519 +1043113916 +1710508782 +386619528 +1322766738 +739906127 +1409630024 +1665115556 +600522489 +2015225183 +1786744790 +788375949 +1197928004 +1000735990 +700468664 +1565738927 +2047510045 +1546388115 +2134349390 +93728098 +666909763 +1977369505 +1716779609 +856973641 +7406062 +1822636937 +1609430480 +2082735865 +1192375657 +1709162484 +1764302956 +1518955176 +604792753 +1327328090 +1905574704 +1927559491 +2067234217 +1167721081 +1445191399 +520273059 +1035462616 +1084452542 +1308649008 +85906972 +2085188532 +2009117673 +1651645900 +1985214929 +1408022140 +1638511642 +2078943027 +2074931903 +1468397499 +1648238988 +784421896 +1475803562 +1323392277 +246368729 +1411055779 +368284286 +1955531213 +1027875088 +1887239463 +412840318 +207719530 +1645330519 +192916161 +127470100 +665567952 +1638107561 +647743159 +1701030568 +575076455 +1956392167 +1786937541 +512781339 +1818026192 +1291099793 +350512621 +1078564685 +782127787 +281972000 +1006012940 +103041639 +1930210989 +1790434837 +1578845201 +1106119618 +2036803566 +842417332 +1474403905 +1844851131 +1870292420 +1214159720 +110207802 +2078011951 +712006591 +303123963 +57998403 +1377574544 +1941231524 +705741562 +931121464 +368824331 +514650081 +570575357 +881605671 +185192626 +1861675150 +1232118292 +1263757311 +496319290 +1514090292 +122286603 +599360929 +1296817633 +1912721440 +30722482 +255453604 +1802041358 +873139814 +1729857509 +1499408842 +595948587 +796533581 +1609616644 +526476890 +1508540172 +1912740607 +584475293 +738631068 +1706488484 +1290216855 +1669752533 +2075312815 +1804866936 +92844242 +809434838 +1990059562 +1954519393 +2041553130 +1106333225 +303355035 +1408159775 +1228619829 +902715964 +557493760 +993857621 +933438446 +812947364 +648415332 +1806578260 +395321225 +340526 +255043199 +1191854806 +1609957170 +781520089 +552911331 +1375214129 +1365995382 +1291542399 +934218965 +508728589 +813811284 +862048133 +166111878 +906655527 +1671482971 +8687792 +713691272 +1565552454 +1115021018 +1017046307 +826228581 +196157199 +1919762271 +1383722341 +1190014820 +705717069 +49186058 +1838430152 +364811681 +444507283 +1838770678 +619854881 +1636362090 +1301244200 +1401374970 +41789773 +528974682 +619886705 +1333332172 +1463193647 +1128615294 +2147143457 +177758132 +1294727172 +906315336 +1849241104 +1303414965 +1620006608 +1267309910 +270952335 +489569267 +2093538491 +467109534 +261847890 +1329777184 +1657124354 +967564959 +1378963242 +1348070859 +1332376640 +1823470526 +1039357889 +1952231521 +1312348968 +193118442 +1206122844 +1354138741 +722093124 +1826009549 +539987265 +37803123 +807141195 +539647074 +215561256 +2101868368 +1445962410 +2064802360 +1257799685 +918485370 +1184628622 +1528752020 +1408054637 +1130683465 +1995861554 +1669902527 +312977001 +1505502260 +489983838 +1691940244 +706089471 +1822360479 +1367927122 +1745447361 +1627108352 +532792442 +1938565803 +685747548 +1886931183 +513175279 +364273449 +279434800 +550978402 +1171414645 +819081875 +766539658 +1125799365 +117560637 +683858370 +236115402 +1036046008 +1868486992 +1764867422 +296616997 +851686809 +1613245328 +1966519525 +1164663811 +971263940 +309019715 +709120407 +1677353412 +2131380194 +2077047529 +1275317125 +1611004899 +462356323 +1066399280 +149268799 +201803858 +1579574559 +513542249 +481238658 +2130552961 +1684956894 +1300320533 +749608972 +663272611 +1417881171 +1433467342 +899388013 +306443531 +1154470687 +516771787 +603060528 +2006157496 +2130017115 +422096405 +1023337659 +953797407 +731116121 +1732458066 +483667171 +715012667 +1662021947 +1758984296 +178533918 +2124378270 +677899928 +327802718 +178698480 +109990839 +841344967 +659937139 +93060153 +378818213 +1960257672 +842669125 +1042090824 +1230655195 +128652819 +1941478837 +1537098726 +1283123506 +310766976 +2140159255 +1141797355 +293300443 +414772012 +17651366 +1247097850 +1145888133 +1750109433 +1730765022 +1860900801 +1264647732 +1342265670 +2039434719 +1241542355 +2020165599 +219753789 +1420240835 +2130156438 +1061098756 +2080177974 +75732943 +1439916969 +1892951999 +918402068 +334524145 +976123546 +1047054888 +128519334 +365738625 +182694746 +439286310 +358414232 +1324492101 +732586753 +773186244 +1342143468 +1979684604 +1919074378 +944769253 +1562965978 +1632491531 +61933337 +757748000 +1524442602 +1303475692 +630429951 +1744196392 +576232880 +613102742 +657811500 +508927206 +688835685 +2097728470 +254395557 +1607237754 +284768967 +1230519104 +506808994 +413288302 +1596257729 +689503740 +852574612 +1954671961 +2013995842 +1585161366 +580374557 +1208655662 +1417362322 +351965287 +5941267 +832844652 +1984456818 +67874604 +1590592652 +1361415773 +1371350297 +73538956 +958128517 +1947583177 +686641698 +1615940017 +309026735 +1375477383 +1566184839 +563422293 +835231489 +1850953807 +1793941397 +1342040483 +116758461 +1242715478 +2031544224 +969333073 +1049903791 +1898056418 +407010791 +1630278348 +959228432 +1824373113 +1982243636 +965169699 +509734117 +1819216806 +1033044303 +2100326770 +1033148931 +256910952 +26382078 +1991277448 +57010481 +713023776 +1459733818 +366037217 +2088501159 +878435009 +929459510 +776249001 +581905168 +575917259 +2118289484 +698663629 +1818632737 +2002350060 +1667996703 +721052880 +1752922830 +2075007494 +203847580 +564667614 +1751896960 +38607568 +1529837313 +114147429 +1857824375 +415397969 +66990551 +743489658 +672308921 +93372629 +587283459 +729319403 +806396405 +2047017277 +1095356620 +747413917 +777968638 +2024816130 +1523662918 +1359873807 +453249741 +1494468754 +2058537436 +124398830 +1349335167 +1579050491 +845451710 +954774349 +1506574338 +1049299290 +1519441964 +1110987650 +1087906859 +901795629 +1225135079 +798247586 +1317193598 +1292125631 +1541737244 +1989502520 +1385498260 +2129020703 +571338275 +44411018 +2028554332 +1666694895 +791824935 +659039323 +1544027377 +168004205 +2018913130 +1997277118 +1662472959 +1929966918 +2121675948 +864324478 +1361533762 +819644010 +1819098828 +720624452 +1868943300 +1191057144 +1831612102 +809366511 +2092852773 +909263533 +1607614097 +1262562724 +53905516 +1001867694 +1104581596 +1439403777 +983404749 +1675919871 +1483814795 +864475434 +1195131118 +128156082 +1523514757 +591674847 +296160287 +1394944239 +441468317 +1958633246 +1177427509 +415660617 +675474077 +391477623 +1235304627 +347089257 +1112102075 +956764279 +1538146401 +796230529 +1766130791 +1483515526 +1705494063 +1226261240 +598594602 +1759399579 +80645286 +1703176198 +1051319708 +1064050036 +1231612421 +387650855 +1928525470 +279259891 +515806937 +1304556579 +870934738 +811967224 +552017170 +1312403055 +623116823 +1729444679 +1728063672 +1298590900 +2120922303 +815884651 +1645680157 +1085540730 +1772648931 +1036342910 +1881771260 +1391296074 +372374788 +1439781675 +470073666 +970969391 +1051697606 +550718953 +526661941 +2103017315 +1614768989 +1758274363 +343184522 +1395810811 +2037534254 +858991460 +552883742 +760985345 +1670958684 +1104900912 +2073388400 +146591859 +686861943 +1653968425 +1445182759 +660300598 +322369428 +943379268 +1745841329 +2095018359 +1979722178 +1480128941 +1338830785 +204613319 +772426968 +1808904452 +1175582710 +1824124574 +212139757 +1702244651 +1779658241 +1826908746 +1313035366 +2122842764 +1075235909 +1203085973 +834350576 +1628119651 +1964071318 +357825612 +585536915 +1889976070 +504417472 +1272398858 +1396460847 +1949600231 +1932699457 +1718830276 +745495852 +1531057138 +1666364987 +577734382 +863702431 +857712125 +782347701 +1636129399 +519132929 +1957930411 +1312770325 +731272686 +1512691415 +944944919 +410697784 +678243133 +920304035 +1485933693 +1881329106 +1754654611 +966569696 +1697916776 +2112480223 +1552106611 +1440409199 +469414047 +677021821 +689386398 +271530631 +462237630 +260733026 +1017026483 +1993294768 +1927098014 +1594760865 +709513551 +637326491 +229624919 +198159302 +1156459420 +40071682 +1510929628 +1887732106 +1552763097 +308390899 +150946242 +83522583 +1228694934 +1636879935 +1964851689 +835865897 +455965983 +1515284818 +800862472 +2008072594 +808210369 +1270276520 +537610767 +1497596767 +1541807151 +999848398 +1758329794 +411349986 +845659518 +1537944160 +2006110851 +1555173070 +27787003 +88252122 +1753332372 +1184246423 +128323805 +1116778352 +924494881 +1681086902 +1425169251 +1075441123 +1764609485 +506380537 +564837410 +1581977527 +1342246434 +1020803393 +949778697 +2143108907 +881392339 +1757989066 +1265901779 +1419003106 +1108102185 +660225282 +271367856 +718948331 +1071575268 +1117027375 +109408843 +930202471 +524716797 +137195846 +1018454594 +130565521 +1321442269 +1146778399 +1247343874 +98453502 +680381653 +525029477 +1173894625 +297507491 +1031410015 +1738732035 +1879485018 +226172801 +612051780 +681780067 +221798060 +1493444119 +292285485 +1487699839 +764963578 +1400387670 +441473 +1036331434 +2119336002 +1072016741 +5875161 +81261197 +2002219213 +530591958 +218457044 +873190159 +661157480 +1539899313 +2019968558 +1908501354 +1638352816 +552866563 +286047183 +664763793 +850374054 +1317457198 +256012181 +582375424 +1543630000 +868063961 +1264155491 +1765428060 +214024433 +1556440976 +1105644252 +978988011 +809344999 +1106085725 +2015319445 +781197353 +30618819 +2021194607 +862458550 +2032838032 +404302917 +1080915594 +758544543 +1065460397 +473331260 +631029453 +826478103 +2111684076 +1183896016 +1112525287 +628964221 +2034270071 +282498837 +884976402 +469161847 +1826128837 +1753040364 +1733317339 +1444073250 +1967064797 +1142274667 +402233854 +798569160 +1951619666 +1508319579 +666404957 +585333371 +1538938398 +540115916 +1447791922 +1424292782 +944418834 +381223868 +35353677 +2009879231 +854555128 +666383130 +688873687 +818755556 +1850279147 +1801398974 +1447719778 +1737065570 +2083897811 +185212532 +58743769 +1762543001 +1938252896 +1792061108 +1059132603 +1757834045 +786852128 +1461366457 +408919557 +590988146 +822202388 +1075324515 +1176321518 +213657139 +1615440431 +476629792 +1637949921 +412375617 +857853660 +1673303599 +274771201 +1712408789 +192203081 +963644888 +383680697 +2042482228 +617560214 +1831400475 +1632064150 +553974377 +2016613008 +1690807920 +169033730 +1807382256 +1335385380 +1228166333 +1417732654 +2122237508 +542049142 +1826652211 +565742007 +1364251531 +754493078 +1742063525 +1577908670 +222449862 +71209669 +1068374943 +634825479 +929063329 +594194894 +909596680 +493988470 +786397976 +1873241568 +877669168 +681396556 +343318134 +561585995 +165977059 +897292512 +430715355 +1856784979 +1066326242 +90613964 +1044686711 +147008928 +1508346618 +1019440572 +689058070 +1187515181 +1585182579 +2053309601 +1942008260 +1179762456 +1483734623 +16974474 +1250972125 +404625919 +651799953 +32551806 +998820813 +1561396634 +526540277 +1785218789 +1287154554 +1404209445 +319131698 +1630472689 +1965795440 +485108757 +380281553 +249027148 +194410088 +1446607795 +339641112 +1239096799 +1593616723 +1847987730 +111053723 +135191146 +888019263 +1696236302 +41017099 +682543875 +728515110 +1524751723 +699518349 +1979487235 +1929377642 +1351318303 +2012039042 +780714807 +765231289 +391095671 +418449949 +2052385843 +1795305116 +737581647 +1535374884 +1613616908 +1222690404 +1915656437 +1862644056 +1417100492 +1214780585 +54801520 +508713643 +660913660 +1902789250 +619767367 +796104806 +643324866 +168520021 +837121906 +1325868741 +897035132 +214389981 +2025387091 +729038719 +2143767623 +1229221746 +593594113 +776998782 +1994453035 +984689784 +1195448731 +1899355230 +632511252 +1933030378 +1287246467 +98644513 +1008237134 +1055419256 +1961288569 +277853978 +122716193 +2016090090 +786567622 +783629854 +1771395692 +1406334989 +1579734660 +267236910 +1574855010 +269372918 +1593105652 +324406494 +483762899 +1471009095 +1053445214 +480046874 +552747193 +1647039327 +1257045657 +399716580 +484245464 +305010740 +151588162 +1116756716 +90557471 +1438834629 +1215401229 +1098794605 +346770238 +1029206151 +1376648584 +469486431 +897812593 +15732558 +1253116285 +521724637 +1422067547 +685367298 +788961548 +849438909 +954740216 +234583552 +1173845404 +1438503116 +1705592647 +79806970 +1918549990 +110856192 +1726846297 +1028111999 +510572772 +63608113 +1333122740 +662160934 +1180364830 +1423680211 +2100995564 +248282411 +374991168 +300282154 +1277488562 +1751639752 +769768585 +27817507 +1767372310 +2022884871 +549542145 +1041956209 +560768521 +1338503693 +1891395119 +1515508737 +1573087245 +917756875 +806528205 +1131196244 +997563845 +577594548 +1242052436 +576926494 +1605706547 +1752625208 +640534608 +791345639 +267302494 +1820899438 +67542202 +220814410 +2069181849 +442533371 +521096564 +1199186764 +46689475 +1290865150 +1227004271 +1814061786 +1166266373 +1776546416 +708534347 +1727034894 +967566461 +452445818 +1095059983 +393170058 +1370202693 +1901588189 +1524366302 +220282890 +331699089 +618935090 +797209385 +1937405636 +224076650 +1437743993 +581267628 +491379145 +1111159783 +648809830 +712193555 +1032857984 +1091343201 +1233290120 +84561100 +1138032677 +376671622 +1311565372 +804610815 +1542937995 +940628140 +1513145162 +1122489241 +1908194602 +1965590981 +70065576 +153881012 +1188310026 +1971653765 +1678247315 +1408592917 +155869206 +149698757 +58318654 +2093274843 +373775408 +1496062647 +527058823 +865154553 +459738782 +1175868653 +1577348108 +1492596766 +119728207 +663154580 +1577157867 +1257760884 +1039826202 +741239591 +2062371699 +435280549 +1681867731 +1428033213 +1557769790 +1442578685 +1246140546 +1627835367 +1596459698 +286966925 +1452005484 +1127223365 +1695559842 +1607874691 +1276922122 +1753878496 +1553665886 +1650697530 +1102457495 +2080724709 +368368435 +1562196277 +1109109714 +1945716544 +907309395 +1228837921 +461387476 +336983614 +339115157 +1501213679 +1078223205 +254003208 +1936494228 +612607289 +1682036422 +1346780371 +2055185974 +780693320 +827132090 +1504162024 +1067660245 +131653926 +483901741 +615736439 +1739528617 +1760823864 +222131287 +1145710855 +1264037746 +1324588782 +1078951916 +1632406182 +739301411 +40577983 +1430639078 +1646610807 +1269415904 +1892026554 +1983594421 +1608531062 +1245756585 +914333979 +1862534270 +1034767166 +1526941268 +1397087044 +234063889 +1434643594 +30296717 +1061195979 +791321971 +1097956962 +1192849905 +1275223712 +1713693402 +784894875 +888563928 +1935824689 +1930605730 +5118027 +1112929824 +862073999 +1637524209 +1852231235 +902651982 +920679639 +1351358394 +24584238 +665222545 +1187469168 +1633115300 +1910979131 +2101803147 +1348165923 +798262649 +1481260767 +597769319 +1032326538 +768420713 +628066036 +2093522517 +1559742684 +1726022999 +1138888774 +687482749 +1292232753 +1923783649 +1576046677 +1080573794 +1706905732 +1581164704 +46019970 +421496083 +1071205265 +1898251206 +1324148065 +1991884904 +1102125952 +1348732303 +509623802 +142111472 +834363956 +273119285 +96430971 +35046231 +1071381934 +1577691738 +632815550 +2103708472 +198628804 +1260881587 +2049747341 +1758371488 +839420938 +1041152467 +298370589 +2131653691 +817452469 +1874417267 +1064743837 +376874553 +1308098323 +1110763808 +798370636 +231819941 +861531366 +2122518701 +76221197 +1963657318 +1323767356 +585844999 +2105768791 +10647664 +858964284 +54716114 +45693895 +1930346218 +1632407853 +678509446 +1886571042 +1831036657 +1939391033 +1788834735 +1441924497 +631328323 +682503555 +1740295087 +615498366 +1499956024 +1467228706 +1680242203 +1876830577 +627843381 +643522363 +527717565 +859663322 +1505053729 +502752618 +935884520 +1321227400 +1826519974 +1521729519 +1279512543 +1837167639 +233210156 +1334228657 +1882861534 +16072726 +819152862 +413887332 +1902643769 +502705871 +205794717 +1543994856 +1944630369 +837123040 +79014763 +1537441808 +1452621406 +1578970787 +857186866 +985379962 +1308317716 +1485030247 +1628902325 +1836035281 +197209922 +986472407 +191304251 +1133094442 +160216159 +2017824226 +507340313 +1439728702 +1707508217 +740550469 +626473711 +1442886103 +756623196 +1445626574 +1856773436 +511783317 +1948332445 +2062568153 +2055778173 +1745479166 +752207546 +2134792937 +1135437326 +57345304 +1566280076 +1992624192 +1042725266 +727114145 +1330170792 +524143944 +415665778 +1527380714 +1510616351 +606970030 +512991508 +1670832510 +477310608 +1020331821 +963077564 +37335177 +1760882291 +1589551275 +1480221280 +370021839 +887694201 +1189511068 +881805156 +688542999 +1104595574 +790099681 +286538517 +1856803120 +777408970 +1421975844 +1914148424 +196205399 +1267116388 +809390043 +923319544 +449803532 +1333533987 +1338985322 +1977184246 +696666690 +1945955352 +342692106 +220015552 +275782312 +1363023928 +1183093116 +313117489 +976422571 +625160743 +1793338770 +1346444410 +1512854945 +835366190 +80765918 +53914296 +1939961764 +870865599 +340452813 +1649281236 +1648274570 +1762428657 +1415946013 +1844479969 +882061398 +77852408 +620315865 +1331864930 +1411386395 +1959301187 +1161565529 +2108053085 +1757772892 +1504257635 +180584989 +2033555204 +719797915 +1363678105 +199189046 +1696220486 +1988838848 +1992527816 +895181248 +1354210145 +680410358 +975947166 +1408124441 +472888475 +1846812766 +1748577255 +2122169711 +1347603688 +1363522264 +1390632076 +1044600009 +98100014 +1468484484 +1664915874 +1429964945 +732387231 +1476733413 +444046826 +692956668 +1087022657 +1948304461 +873541657 +973094214 +520618729 +89736114 +1172283260 +69355567 +2078574963 +1017327428 +964536816 +1285301460 +1697737786 +1940483982 +545942254 +23142613 +1639813100 +147035861 +2145312325 +839933140 +1510558125 +1388460753 +1884533149 +1608658140 +709461590 +1401965375 +891139437 +1441848821 +731215141 +1335186263 +2134805490 +1818237798 +1136007076 +860863499 +643848364 +1656625805 +950599614 +1816131624 +1725981373 +881690929 +685975404 +543034541 +19508741 +236229543 +336034875 +565450995 +259372156 +1975847976 +712486856 +257200833 +668297468 +75561334 +1645661587 +405346970 +1684219474 +207639529 +1807312345 +427875263 +1649488350 +391043838 +1763061526 +1636810192 +61797989 +751584954 +350190044 +705646353 +260727112 +1300789658 +374294330 +1986708485 +34996939 +1060269734 +382259378 +54505680 +1296499277 +718294253 +619956676 +1555871434 +546658581 +1332443532 +1813072267 +1214956050 +1408004866 +1311250206 +1620303020 +944740692 +1518889735 +1280131717 +1372615955 +1020894438 +1671175556 +988193833 +510220982 +1732973545 +1739778788 +860411026 +291136250 +2000505900 +13717036 +665430580 +1839730737 +48713975 +1725700315 +74506467 +103219656 +874715944 +792800720 +723176332 +283103730 +1339459302 +2055619864 +2096175998 +406931704 +1316141083 +1259942556 +2027234724 +113398127 +631348644 +1159882793 +1486014083 +1652243082 +683574701 +326724268 +14980416 +269064598 +2066503056 +875391443 +560200849 +1919525308 +889108479 +1225631429 +1611772397 +937822455 +803848096 +1686278864 +1041042111 +1678564041 +331595937 +1764218443 +1961667771 +1671055239 +1672354659 +1910360121 +2077986943 +841012094 +1022819030 +1957738019 +954410222 +1654167674 +970137164 +292940657 +1158927108 +1653711866 +619664925 +1173907524 +1922776464 +538684334 +2049298967 +335493665 +310725994 +790923799 +1561125095 +1922498392 +1728746254 +217489543 +1461293608 +622304717 +1896053584 +1792889545 +239039512 +1710237708 +1316461136 +1911394171 +1473114181 +1246964431 +604922618 +348449563 +1057218802 +1559332840 +2002617237 +2027355967 +1852273497 +1014060697 +1533584185 +324454774 +40484574 +1308877001 +863139108 +2089783541 +1644370667 +1173865103 +733223692 +1058012114 +948879847 +314486298 +1275501657 +262689807 +936791015 +1024071594 +2055579353 +1175830527 +586825654 +1224556841 +939741051 +2059939835 +324037625 +1544663669 +260905751 +1381256427 +956512861 +116039340 +1261128746 +661302710 +1130100038 +647229283 +985757484 +1170584612 +1956106285 +1848896593 +1112884505 +1452993304 +875278048 +1846108198 +363521770 +1824157895 +13110848 +1639023427 +2086847702 +949901864 +515611373 +1994943407 +2125732391 +1102437027 +1072016601 +917989794 +1014893215 +1396054226 +315169815 +1275798966 +629827005 +1271682676 +1391838306 +1890955752 +1932985386 +374454696 +390701387 +771259223 +1545039308 +199324024 +472672168 +510440166 +1652317328 +1347950216 +209064716 +2015839098 +1024624463 +222175564 +1507378878 +963988517 +1172077428 +2022990251 +811448277 +1150326172 +977943631 +1883464878 +2068315966 +1992836846 +1132035456 +236002134 +1121152164 +1761862461 +1507684810 +365506822 +1505334565 +1293186549 +739961519 +1896035953 +2064445772 +137517179 +2095359977 +389634292 +647957345 +1600193658 +1737584508 +857022061 +1468549108 +614725323 +1079197626 +828444338 +1578713840 +103791406 +703950942 +242678469 +1254117578 +1681894573 +2126143347 +1174949897 +1527247771 +1110695155 +1410952031 +500916287 +725073969 +771153193 +866423109 +82924886 +2064339742 +1606384628 +1978960839 +1981301866 +1743901808 +1926837169 +223452510 +244375505 +1379547179 +1961037018 +1101397567 +700612639 +428278693 +33111545 +1529056978 +2006992534 +136902951 +85524272 +102187355 +1391020530 +1767418845 +80847055 +418486779 +1147182968 +1191542210 +1829438810 +1648099255 +1916616179 +453108355 +367038716 +1999541066 +369964450 +1973423345 +1831018257 +203782668 +1569841505 +1610371778 +427235179 +1814217010 +842435309 +240788549 +768130929 +1543047949 +669067243 +801242474 +924621279 +528576129 +938145426 +1010145551 +630763484 +181682308 +630080748 +711610539 +600169087 +1777263716 +1903152750 +282124249 +1277879323 +1672285281 +735232604 +1644918039 +1524342699 +1105197054 +1470857736 +1207877309 +1308979723 +893215593 +670765439 +1736214902 +559948956 +1513200749 +1977003451 +1328079885 +908765050 +498587046 +2129322360 +1833386329 +1027163175 +919984138 +696048232 +1657926660 +1101666446 +1326128980 +222053551 +1701835533 +955909048 +2125206301 +1983959782 +86304723 +1650007935 +571708738 +1731222762 +1026866986 +1676905793 +1054596851 +87260647 +838401868 +1947812444 +758026087 +427133122 +360277752 +123743188 +256652925 +1688357638 +1032508238 +755239972 +1670196350 +718410919 +1782403147 +442696840 +1414459151 +1292846159 +1544363286 +593104483 +1514899711 +1098715171 +1549013531 +1492622364 +935191305 +1635318254 +995146651 +1506900043 +1219057368 +2022013638 +1036322188 +126170571 +2109274285 +1874724056 +2073983016 +719816724 +154373530 +286777120 +843559912 +411026456 +1975134758 +1876068150 +1166266428 +1497847460 +446995421 +801185927 +1940544300 +1861454572 +2094032087 +1337423938 +307075407 +1461448150 +288655461 +1856088938 +806586866 +1223846766 +1343923544 +1801733518 +583263162 +415497265 +1676263508 +1619585350 +541667836 +1638054145 +1346825759 +468167204 +210387222 +1501199289 +754944325 +1053947134 +1912225745 +582595435 +782531637 +931008525 +2080442896 +1229527058 +1732194453 +1873503548 +943497983 +1678742892 +1063443839 +1250573390 +992707394 +1352099300 +959178681 +1799294260 +428462419 +155618577 +1453544130 +1011725581 +571115842 +982323990 +483827283 +1112783679 +472894488 +1830653042 +1580950883 +683281710 +1184368684 +188411560 +1737228844 +949110781 +771006996 +372276833 +1880119307 +703966244 +1601803892 +1464830112 +429986144 +397818227 +996089356 +1493429983 +1648391617 +1988796750 +698045636 +460086650 +1640607362 +1126508055 +615705228 +946667845 +2138233636 +1186821070 +1928991835 +474577271 +152121101 +254402675 +157746666 +1733071985 +937684385 +1342115350 +1921483545 +527429582 +143742483 +545006893 +899706415 +2023861790 +1248973137 +354026659 +1341208254 +1678959282 +751844886 +189813962 +1024905617 +252752856 +31127064 +1722951253 +712839506 +1671734427 +701975660 +1328544734 +470918624 +692725648 +367882157 +252426811 +1167302920 +520003258 +506829487 +1325049586 +105591595 +1444513872 +519681288 +2027075141 +1971943454 +663423771 +424598386 +724166222 +539801914 +1673571524 +1078192881 +1881010168 +1205047158 +1830037768 +2070824131 +82469127 +2082790624 +2101951195 +1805420381 +648146482 +1626201974 +359912393 +1976691217 +2097120598 +1052638042 +197089726 +202063762 +72457314 +717092984 +708893249 +1397506900 +822684580 +5923473 +1917188188 +702276073 +1977866928 +433128311 +1126874459 +554549502 +972930225 +652962335 +1632742383 +706456746 +1858009493 +1315296503 +629797229 +1940478621 +1250603479 +584264776 +1598415354 +1898749962 +62983103 +1958327747 +1727957531 +12620053 +863482141 +1925047257 +214683815 +935939455 +494656593 +923577064 +185962707 +1317341173 +929500538 +2103150895 +2019617246 +759883818 +388795559 +999008058 +1314433320 +1361725784 +1651970393 +799692055 +2068182530 +1362496239 +2114988559 +550496111 +1155491212 +1218108390 +1134760888 +606422918 +969374704 +1197743991 +417267017 +549848587 +1210364044 +1280749159 +327412196 +1425047860 +69204966 +822068790 +201141276 +255167674 +2139409963 +1130641814 +210834921 +2011543562 +1890525632 +599630480 +863067972 +1057475304 +1961356265 +367554717 +1857167360 +1882055147 +1730050956 +1824672271 +285067611 +738058520 +895297013 +1419828499 +1344481438 +1864671718 +470088842 +1761748456 +267036657 +1680452886 +895013967 +594448854 +958017098 +964218933 +1416517644 +1159158375 +1219386607 +1408443959 +142316541 +1430221529 +1272503873 +2032842174 +2029852009 +2135571845 +942833830 +1843724626 +355642915 +652517542 +1578296126 +2085693871 +329706165 +1863363737 +676268744 +1225003179 +1135708588 +2020750182 +942191249 +1605797430 +1635014990 +1209227906 +1138766668 +382545309 +1803676760 +2096783767 +1346764243 +1072710756 +1108458494 +418667202 +333671068 +1250775035 +1848888731 +1606174941 +1136133561 +1731257093 +1594263139 +2078967392 +1427498071 +1949906054 +584001286 +858310549 +1888116277 +913707452 +574190638 +416901373 +2138710631 +1709899226 +290167908 +933418232 +1168213008 +1925182898 +2142646138 +159496029 +160244560 +1798839251 +108796148 +1507008803 +724066359 +1217254642 +1925676005 +1057737427 +320546029 +1627081089 +516428721 +1456679591 +1210854534 +2110691860 +1388163335 +490868957 +1913114266 +1972164621 +1349179507 +1653746895 +738388425 +1923370145 +2070648269 +729615408 +1485785724 +213332529 +1663033640 +506515084 +2138515427 +1658196131 +666011113 +151276339 +1309551734 +774807261 +1658285142 +2033618093 +1992061903 +1436477500 +943871873 +165124285 +916074941 +1460300594 +1621803876 +2126929475 +1423508806 +862483563 +470314784 +1189139424 +687164536 +1819494291 +695402671 +1425552962 +1595380789 +618567292 +7684722 +933682865 +831899821 +1670718363 +1440197949 +822931601 +1181430846 +2106209063 +974207940 +343498932 +733532676 +485009435 +229633377 +578110932 +1921486935 +1173505250 +743235217 +690078228 +486322196 +217555445 +669524055 +1909831002 +1080039008 +1139838839 +951486778 +1767203544 +811849483 +1646889450 +1045272858 +259746624 +117973094 +1052957581 +1193429489 +949872916 +576192296 +486143790 +1772804517 +1757623142 +444869205 +599528809 +2101122074 +1178401882 +1084538244 +183271803 +1756512814 +858541531 +1356777054 +352264383 +1548619759 +1843099250 +569819828 +70660166 +1605446605 +1649858836 +1210499006 +409449735 +1269578732 +2022348489 +2056339185 +167367943 +134611465 +26828632 +1220325524 +1328040954 +976701548 +1796517820 +1814184744 +602022417 +1406657314 +111570302 +1201551226 +1360295740 +1289972184 +138605823 +1543567543 +899001350 +997147354 +752860949 +1251265733 +398283466 +448476552 +1821085561 +468943632 +2053923157 +1323460749 +1679442638 +315889244 +445555833 +1554307479 +224744782 +612923776 +1688918944 +251573414 +1833249300 +869476250 +1228274962 +1482283472 +536177347 +1830297379 +741457138 +647747649 +884364957 +2101752878 +1937719833 +1022970780 +1497836774 +689237535 +2020118135 +103214075 +1940503268 +270917953 +551690627 +1614105181 +739861585 +458130136 +790082282 +271820576 +774019381 +1235638115 +1826128055 +998764163 +1848561892 +1367563352 +1250337577 +1534327544 +89555954 +331128891 +869127369 +625733301 +13942622 +1610584507 +1273480950 +898307579 +1564853738 +1063717135 +1921278360 +915206864 +1752954670 +1793912847 +1018420939 +1545974290 +2064830800 +1570111567 +1012595823 +657208737 +2028241703 +1802678105 +929029313 +654777436 +890832573 +607673721 +1653541599 +591910817 +1975237073 +756395528 +2126238361 +2064793027 +1087524419 +847882082 +543042681 +1101467041 +310982942 +1816523631 +1999774621 +1875836680 +732757119 +1773569333 +643559896 +338228141 +1419998532 +1661980835 +1884202432 +1337345684 +1084608754 +749314607 +1994554421 +965366810 +404509065 +776100087 +1620144246 +1295341638 +1383773808 +1126202198 +1887252455 +1211527233 +1882597726 +1866007168 +1128836612 +822638498 +566405603 +1671879293 +1924105539 +877388545 +1340919277 +1776396512 +605741577 +2073676396 +1402482197 +1249301473 +264420889 +674997081 +763798660 +1139673 +2012342765 +1848407415 +750454281 +1859413539 +666290577 +1154963346 +488029978 +138951175 +302821336 +1871803786 +1265153373 +42590143 +935847371 +1000267452 +1908597311 +2064683983 +1822905950 +327519266 +1589079629 +1599527841 +1204907811 +782515258 +1228440706 +1810649388 +708708006 +483439255 +912467213 +973128895 +1158436337 +1676265874 +974268569 +1023295454 +1377189641 +1724722850 +735225345 +2043480218 +732202548 +1223255323 +34947745 +1035023884 +947575461 +1300101119 +1077614027 +1883422832 +152884923 +838727690 +1800623168 +1975790873 +1166246957 +1242219149 +1427835066 +223671120 +2024734407 +508792124 +2034320509 +585958765 +992231380 +799304074 +1559087660 +3184069 +328086300 +385872581 +1026479523 +1705275941 +2110595431 +1761704869 +1601272511 +695314331 +837476544 +1636220257 +1730338215 +1785052006 +788837728 +660468594 +1520991190 +941722651 +1499196285 +1174130710 +770029876 +517959594 +268866211 +50381294 +741630714 +146116970 +559173419 +628467575 +732075735 +1551404799 +1427771650 +143679748 +1554588868 +1755857950 +529552329 +433584743 +1313650244 +492664113 +47805964 +767439107 +1187978444 +885282509 +256175716 +770833012 +522850867 +1045013444 +1431301606 +2043842057 +1986736095 +783014243 +1070489120 +609282323 +1300973837 +1339355331 +659663618 +2042604552 +1485472302 +1218837037 +523588479 +70064389 +622758188 +1951360129 +213744137 +29863408 +1559734432 +743296467 +463448151 +725901028 +1235960580 +511254116 +1493340135 +276455376 +1396536625 +1749515852 +1047288388 +1919387492 +647045648 +331106347 +1815745901 +486298096 +1114120590 +738751373 +1095580419 +267610780 +2078106705 +1755244037 +162731684 +1416095359 +826597426 +686320163 +1486159748 +1449355614 +490196645 +1699903886 +1479219022 +2049931077 +295716705 +1942667174 +628348457 +1531677285 +306437642 +2121688592 +1808132661 +1702974267 +1723720796 +707937402 +1474878111 +223282797 +1039043749 +1143140364 +709580893 +5680691 +1881891738 +1805161312 +273291471 +1812514795 +1412921702 +436023155 +1081126506 +92035480 +1122343319 +419802606 +1541391095 +1612539964 +2119706492 +873126469 +1514987393 +267939549 +668309995 +2143335850 +1799616834 +974747637 +2117540794 +1460265848 +530238256 +1693777943 +20719602 +2005116367 +1917060740 +1059763351 +1000773084 +479157985 +1065444042 +735181174 +136835649 +1338735514 +400212321 +1549757351 +1774758669 +1481338827 +1641792832 +749618340 +1901141433 +1035700279 +214674656 +1873364278 +1908826748 +1729662049 +2141303827 +429653096 +1725514251 +1793437014 +1404400733 +1695571398 +1106219214 +1934638990 +1241865693 +1126938816 +1792271709 +1011442785 +39218519 +645561145 +1490600770 +1104662561 +1380742319 +1627436419 +295914427 +1780954640 +1029710123 +2070673097 +1114809819 +524019307 +672807789 +868467605 +1559719586 +887482446 +594348235 +1321062686 +469660847 +588168414 +1750715782 +47691451 +234121780 +1007632868 +1743262849 +1340340994 +794788210 +837644894 +319796162 +439576271 +1849087679 +359014681 +1085137417 +1192204801 +1463677243 +318396088 +672157572 +1759591670 +2099350729 +1701867695 +1682781119 +1066676900 +78403354 +208105261 +1935144505 +1638122940 +1095587707 +382009092 +811701979 +1565248554 +970177507 +414934113 +1612940005 +1204299287 +1422566981 +1208719206 +397156634 +69871543 +2046364100 +716952796 +509447815 +1747968131 +1075967478 +1594585232 +792689284 +392161073 +1912981320 +1464846857 +4269095 +1864848401 +1019230904 +1687050215 +784041654 +1097634259 +1895155476 +571702511 +588273551 +843259535 +953711604 +1399975530 +261024441 +1923889111 +1814909644 +1873964447 +980704750 +1089992977 +935200005 +1377861384 +1159864521 +834080458 +2094814181 +1669312336 +434564941 +1023298011 +1116413920 +1227254226 +1415459084 +881911592 +544617435 +1419728179 +599276346 +1563848339 +959294746 +1383318000 +513998950 +706966574 +1955020511 +1102272502 +1550226109 +761248467 +354764384 +1811250551 +537653930 +22190380 +1537731350 +1518358681 +1112183358 +325447707 +748736417 +124564231 +1159528165 +696066950 +1793876567 +1594093107 +1719364961 +762806839 +673863685 +987340397 +1644718431 +1218481120 +259584929 +96511129 +634845811 +1218879675 +1479829129 +1148844762 +1925846250 +1287365993 +103633616 +1328588711 +2048614460 +458398000 +992355614 +438784743 +480588381 +382603316 +1957143424 +1592771739 +708051024 +558396193 +1717335970 +1867579189 +1254463144 +1363728889 +1314188648 +826344457 +2126535728 +1988052333 +1813684855 +1623770511 +1059049805 +2073269784 +1720281641 +1693895617 +1144665811 +1052627122 +695256731 +923028413 +192509467 +798890347 +104133477 +93640280 +1257288347 +1096489091 +532425023 +1737876728 +1479092408 +342084799 +1183164819 +39659784 +900480992 +753017141 +1907238973 +7460488 +2116746030 +1073943974 +833804946 +2095798110 +914512659 +500006153 +1572084974 +1973562465 +425792289 +1144882967 +1519974434 +1570458100 +50026441 +67747517 +346002866 +242535909 +866637864 +450136343 +336176189 +2123926211 +1546625434 +868601212 +1714319292 +878234194 +1210686011 +750000463 +917893978 +2111167003 +1503017605 +677649304 +2118627492 +1472279987 +1751593278 +804948790 +1420594450 +518622289 +1304954943 +845195776 +344701106 +1730747232 +1990078743 +1864675540 +1153721684 +2040105184 +1932423057 +1499724550 +135157445 +651577273 +1949860893 +471333634 +628019837 +1349002680 +1339934846 +194855481 +79753226 +403137209 +944855944 +997647205 +366820565 +300389901 +1675296509 +337964409 +1772669889 +1279406139 +1142913199 +1045780691 +1798028428 +300384494 +1890976467 +2142729535 +2031131726 +1733571562 +1859921427 +1037369762 +1626193098 +1644860837 +389610665 +1761350544 +148954462 +191987910 +85200530 +776974299 +1540990590 +1425135377 +971829780 +1620743817 +1828272586 +1916685725 +470907374 +47609503 +69591978 +2146203883 +385573912 +1842261867 +1278126374 +1528487111 +740558910 +928671154 +1828871605 +484051729 +923917041 +1712519683 +70139643 +636354821 +602405798 +1696332742 +133732010 +992016463 +1310199638 +282686472 +1184004373 +1395400168 +1059660772 +577511316 +673051897 +2031490552 +50771485 +353840836 +1800692629 +521678859 +401450339 +1870284608 +520399094 +787024252 +1565062827 +1798525468 +168027715 +158138090 +579712974 +1996899321 +642189819 +1503630016 +1561935356 +712329463 +2139984837 +16857506 +261178557 +126233199 +1008873969 +1571378195 +408919671 +45394695 +819294715 +1468580443 +622906011 +1492346613 +1352587348 +673677496 +1846187449 +1005796329 +1195356355 +100154140 +728597289 +1715755449 +887178392 +146176469 +1366797269 +1055206108 +304314559 +1946510243 +904621781 +946504378 +1302656611 +319073489 +1658833841 +1295157800 +335930996 +1920012398 +1421390999 +1344804965 +1343906945 +1830310671 +1390199660 +15718013 +1151407466 +2013105671 +1508064626 +356511166 +539299519 +1206768427 +1362307496 +1734655874 +1306922567 +2090904785 +1302927675 +46617312 +89597606 +522241296 +1101823420 +393912165 +321267892 +2006445201 +1340416544 +1623924503 +178035042 +851766737 +771598656 +513966038 +624295488 +45506007 +1858771004 +1968202433 +1875816678 +1101487016 +1983920446 +879740497 +967109040 +1344501424 +1236251663 +1506408559 +403786203 +451075511 +1093580786 +1710708771 +394496649 +249024813 +1757326083 +484094255 +771266110 +711665855 +878006421 +1092534002 +570627408 +70939317 +568974857 +748662450 +922706054 +1340573513 +1262628489 +1547001542 +1386079521 +973915845 +1367720328 +1114412551 +2075402861 +1204157126 +1994153048 +895028253 +401174903 +1082921064 +253953165 +804961106 +1533996575 +1347533951 +368186229 +1928493224 +1596558764 +2125512312 +265103832 +220341226 +689694519 +1143110253 +1312875228 +1260321927 +1214049570 +1881850086 +2008984378 +2136755624 +1074939951 +1124129219 +1536273519 +313535824 +2098045064 +756510199 +1427948376 +2025964277 +1960667325 +1274617776 +773508883 +214358580 +210055192 +1027462048 +1019319687 +1744051768 +227512351 +1387505916 +1525061344 +1824071115 +1365534581 +1790165176 +2044412342 +2055229100 +785791781 +1209803922 +1168067380 +1999841351 +944170360 +1029568110 +1989113328 +2019110312 +6213681 +1377903199 +185162488 +2104258745 +2134413398 +1613110864 +1982739374 +1947597075 +740244993 +608764609 +14472008 +950300185 +1636226657 +1033791695 +546868305 +1863739008 +273813963 +2071929650 +1540326476 +1639348544 +1714611178 +1437255170 +1547093997 +352919312 +499575444 +567677729 +205277015 +1443745805 +1597245839 +46906695 +1315372469 +1603459520 +1424809894 +1500534957 +1560234617 +1411739644 +966162174 +1395490343 +1211853072 +1706407167 +2004254953 +1226325080 +509223704 +1492997962 +112633127 +1056092010 +1209253323 +386447090 +980538012 +602096151 +2025795635 +547665542 +2039351321 +1425405984 +900584854 +391443117 +1993083713 +1105861870 +1835188922 +1442845904 +1152768565 +1003077743 +898821776 +430094812 +356129053 +311572745 +1841834456 +1322291227 +1707063088 +906203880 +881214746 +1563834393 +2132528960 +1390438450 +909348708 +97678439 +299046812 +2118602031 +484125530 +1279584824 +573214534 +362437517 +1827250367 +465082207 +1787843501 +580351573 +856525324 +1633443566 +1686213443 +544230599 +928805822 +691498361 +1547308342 +1827627598 +1121593173 +1903437395 +2139200343 +815943981 +1078244974 +1698779783 +1722147862 +1959459720 +1115130529 +1707193174 +1202414523 +2024479237 +1804871614 +1501461335 +1995597620 +141513496 +633562512 +421328506 +503951013 +313329231 +886410713 +144310866 +893680804 +1742936037 +1777754432 +432410600 +139682988 +559076606 +1123908961 +1686991331 +239220556 +98018486 +1442945078 +230937251 +913962467 +373706405 +1929717034 +488626681 +185682477 +897363915 +48336208 +1388097000 +774359504 +1853207822 +742074688 +622473476 +1994721318 +1375637200 +1043801982 +351188683 +1688966431 +1930212695 +495499549 +435163587 +1525665085 +125770333 +867574187 +1665348073 +684846939 +1991483148 +1204855756 +924067495 +2089501634 +500317187 +1155004746 +855980454 +874023592 +937238132 +1344607135 +1059706069 +1834602048 +1392943343 +300319422 +461477904 +1098667517 +1042394110 +1083951381 +945905187 +270547662 +2127753363 +1297093870 +1959514093 +1910482411 +1792593419 +247194032 +1288663848 +1918363752 +1114768220 +806528273 +455727043 +958767720 +2011384030 +1379794538 +900785707 +364217569 +387315636 +1756766161 +1238241161 +1324553769 +953889648 +150463582 +1011672169 +199349344 +450783004 +1473150073 +1298016861 +1493177114 +409617806 +96438401 +1763724776 +389887522 +1393532271 +1575755221 +152886285 +1038642043 +1822949254 +1441550133 +809522147 +790233826 +100594758 +1265249191 +1749001546 +2111978788 +497560081 +502303605 +328712709 +884875718 +111586118 +1566953870 +61945839 +1065475767 +1717417453 +1073618008 +1264825111 +20716809 +399284433 +415358324 +1513893924 +808902240 +511796725 +1130135052 +1198789762 +1905328997 +558406626 +1351676047 +796487392 +233872232 +645742532 +1606009539 +1024106058 +746337290 +723775082 +625623956 +710832431 +1221335164 +1127927562 +1039545140 +2106210882 +1239513680 +459015363 +20673073 +157505799 +28949168 +1094291081 +1422330910 +49665977 +1493575514 +1837689235 +1563559901 +154994106 +202002312 +546211306 +1353783868 +2107331309 +1104617932 +557976267 +756335053 +1338490164 +1203718799 +214860945 +215112574 +1950056090 +938636027 +840736530 +513404873 +12487543 +1968664092 +1552950013 +2118698425 +1060694125 +2011965376 +2139371498 +1218199924 +2040914544 +1086178931 +493047187 +2090580522 +432270798 +183252774 +1506656775 +587264904 +385255086 +2052868081 +1941048773 +345102748 +1010002365 +351541392 +1101437801 +201008881 +1555260192 +1316298746 +416121455 +1357832634 +107451126 +1256857986 +1871237507 +119938669 +1078038430 +1276703872 +91153447 +2138732555 +1141185601 +83041297 +1209448832 +1034616497 +1169220229 +1702496019 +977713371 +1601491027 +1885748793 +336886499 +41272283 +123520231 +242270932 +1982321056 +468622979 +1252273298 +186378801 +1570060781 +1453282179 +1741638993 +738875879 +1869403635 +951987979 +846327005 +978777973 +675741838 +966265675 +2056816403 +1952445710 +1057419122 +2048065311 +946147663 +1140460419 +1110030495 +1980764161 +162197000 +665042866 +810993884 +1763688027 +403308011 +1147880383 +1804960311 +526828242 +1390151316 +1639797719 +995451222 +494940966 +1826176520 +418028355 +1948223145 +1420331865 +1156904234 +1670143132 +224836196 +2003231240 +501437457 +900578034 +822013267 +410770213 +705540097 +1879432389 +311351876 +1651687760 +872409160 +1421382371 +1484968273 +1034606161 +2086425237 +148478510 +650810540 +342249600 +1296358893 +308287203 +869077842 +539026561 +1948084923 +1864529064 +1033967527 +1626777795 +135073771 +834707025 +899626013 +1291978006 +357366509 +1124462209 +1147725598 +858803967 +2025040244 +1969738865 +1269574180 +583096693 +1701687606 +1580926056 +87300805 +426613118 +854824779 +1572269079 +1461219279 +793766368 +1720747589 +2112029820 +1136015968 +869622834 +272833375 +2005093810 +1408649396 +73434650 +1722139227 +295133275 +1700212446 +1857212998 +1129840300 +452354811 +1001707356 +1487206810 +1576817020 +1949306 +198527129 +1454373616 +1971688171 +1468101309 +2037470309 +1525892129 +901543717 +2124771115 +1952505248 +1756368496 +1549556546 +1266240879 +402651216 +1122820487 +1230787051 +1538667184 +1992443321 +1503620427 +1396277346 +1253609069 +1577055077 +970932925 +1548742345 +1129783875 +680662276 +531098997 +1582138686 +1682369632 +2018305807 +1011472059 +1684318939 +69349288 +318362027 +1508523462 +1537450597 +208348689 +886931944 +291510666 +185636156 +691953544 +2047879162 +1735192702 +1958194423 +303046730 +710529541 +1041497827 +1841713914 +555489214 +397634606 +1090507613 +1809098284 +1974689683 +2061440538 +1210356981 +956989911 +594619166 +1741455978 +391644949 +129505151 +1612278138 +1403117008 +1813824090 +1681627426 +1721479036 +1174863904 +1071594376 +1929827725 +2061795848 +1363105042 +2115463881 +606265744 +1263500557 +1703172935 +416976520 +1566547287 +266218828 +1458474347 +1260777554 +821708042 +1856108953 +203801519 +483322678 +1683314988 +117758409 +1693679659 +492821251 +712377576 +1287651990 +884466201 +841882727 +752446480 +140099561 +508223169 +286590258 +1861578597 +1683087073 +1358184634 +1643922674 +1597399274 +573806029 +1611902907 +56181370 +1837306586 +1167592194 +473157890 +1256370225 +1433811022 +1931632237 +369664131 +108035417 +1640257542 +573465650 +591358095 +1176088883 +691224060 +137554107 +1668910134 +1403601636 +1425206097 +405892687 +98000715 +30168929 +545992249 +606223884 +316759187 +260087198 +141827309 +1674943822 +1904009873 +1739226583 +101266203 +1368429132 +1795407954 +1938572789 +388537679 +121082196 +1047459366 +1822348701 +2052714434 +1417123498 +1930384118 +1545488328 +1990589148 +374258566 +574093563 +534329560 +511812673 +95520050 +1937931196 +1937018770 +501412737 +2035931911 +1967187699 +1047404986 +494672147 +136463238 +1307492185 +636499457 +1811407060 +1064018410 +228242392 +1912673263 +284963894 +2023650346 +1703762404 +673501573 +2144732543 +603738123 +348366627 +2049963329 +2020861621 +131267097 +1447968009 +1863967121 +505525663 +2022061573 +250813034 +1017338336 +2117581623 +41260582 +806873458 +471510712 +2077192494 +626577509 +1518915699 +424380993 +763040748 +678924236 +1060880450 +426964160 +1742942646 +1289122843 +192153776 +2027906540 +1165289541 +1895916180 +553924466 +1162538436 +352170655 +902291093 +1065018117 +225548628 +1033558190 +365502479 +2089515750 +1539083854 +240080404 +192845136 +408938542 +210178379 +234105718 +1215812001 +681689091 +163814564 +1842389510 +53121142 +588195558 +457946610 +732045378 +1649076008 +884910771 +327504376 +790715203 +1077064547 +207927269 +1956004745 +825497079 +761851735 +971059533 +1177667735 +1664142828 +2036077651 +1403216363 +550217370 +254096482 +1345248465 +2089301224 +494176886 +1538093601 +350756119 +704355265 +1772199320 +1566568120 +1386044356 +1936013884 +1261473982 +1439165499 +376725794 +1719420593 +23727229 +2025801803 +456847716 +351231606 +669033358 +1533912263 +559158875 +477554455 +211925694 +1321010610 +1448613989 +1389593429 +837669790 +1337207992 +645326145 +1387887160 +1591304474 +1990574610 +1329704737 +2085481360 +1381184564 +1680460856 +642352977 +1005900236 +1099545328 +2028397333 +794430472 +213535662 +1320079184 +1171156267 +1932956255 +1343806414 +1049474422 +242320323 +1695038020 +1718507780 +1776232586 +106713247 +48578588 +1988158281 +1427723857 +1497192577 +1230268062 +117909999 +686916921 +1875594207 +1505797159 +130737747 +1718685170 +688018248 +68735459 +952386086 +220995456 +711088436 +1958286322 +1320540784 +592002121 +605233146 +1534076447 +1912081306 +1776389413 +1319549054 +1108404072 +678380187 +1561869378 +655958444 +249404320 +1190618316 +762671691 +297982908 +1031292949 +42911900 +1795175485 +114077364 +160821899 +334608758 +1989671571 +1666619058 +465346505 +1560873093 +207153659 +534081964 +365775531 +428149115 +1245170400 +176578205 +1748689900 +1837172521 +781811352 +1135282699 +1601770179 +410717117 +307348105 +562690603 +1089097305 +1869217483 +1218649047 +1338501625 +912352152 +1981320738 +1636484533 +1943645101 +2024232638 +1284176370 +2057722465 +37570889 +1618785128 +1899910389 +1704189948 +2084131633 +1313299834 +1911343607 +470729949 +1679075366 +192009074 +1715900349 +1855653571 +1940698974 +1405589222 +489981275 +928498025 +859875754 +900698393 +1235846131 +1422566357 +1989795698 +957579966 +493731757 +1180813675 +1869932118 +327568847 +669814560 +1666093572 +204317838 +1953990930 +1576332389 +241888727 +1425292410 +1328759130 +1946078675 +1361940395 +494575317 +1709938634 +1832670344 +26167035 +1901947709 +1401087045 +1881820606 +1695163035 +659192619 +224318234 +476177413 +1519068373 +1125016627 +1712023544 +794151083 +967328677 +522119862 +1287882840 +658704 +244568333 +1615451687 +670473264 +1910661905 +1819769525 +476980546 +1339510646 +2061658253 +1902272956 +520786129 +1860253280 +1116729703 +1015361446 +1422708267 +801916399 +1041528481 +1177172328 +55519796 +775865439 +724851715 +714712415 +1000183673 +1201029128 +86297141 +2125200300 +765569024 +880448224 +945045329 +1287688887 +20847416 +945704033 +1532257220 +1636299103 +1616177297 +1295435477 +1308584981 +2093157843 +487462475 +1222759586 +1847947151 +1008248604 +935529218 +817193206 +2023610050 +210753837 +1619109605 +917654883 +1387926165 +1674629401 +1693520323 +2112777881 +241858169 +546220348 +1166323361 +328155310 +523937001 +1931892386 +1208603534 +1468982330 +1072097625 +1229450950 +267202716 +456871197 +718266405 +1883380013 +1752306674 +2026851386 +1829054209 +92285501 +1102127324 +1529517712 +1100534106 +2037656543 +199227271 +976660508 +100926732 +1818336876 +1894315392 +1488852898 +1345482630 +1440352067 +1454147131 +1587340799 +1986572415 +472986844 +1915496109 +363025768 +257395582 +976615995 +1832008099 +1329493207 +58583297 +2099210815 +1786364404 +776849702 +1835107180 +1391187430 +656217441 +1516677741 +1483472932 +1758344765 +898711806 +436523390 +1648517660 +1097939077 +1413183898 +1749444393 +768792305 +1160015642 +1090813643 +2114274935 +452884061 +397477126 +1554132086 +291972829 +870463970 +1322144547 +654998597 +1127859553 +151276894 +339523048 +309869112 +209860191 +291250215 +2096233517 +986709894 +2126357396 +1339937299 +1642927335 +1495551489 +675926583 +1253788452 +246779647 +1112449973 +754822465 +1344718724 +378150224 +356783210 +2113511030 +1538165866 +1447596853 +2080302317 +1991049928 +1845073979 +1486950756 +135539109 +568054301 +661611655 +790537706 +1695913854 +812888550 +1130060755 +2005782967 +1022748741 +1421310970 +1954532836 +2009458635 +1400184718 +1146986487 +1504902322 +748252560 +1822913071 +611207127 +995032207 +787879396 +1366029592 +192267284 +1166029620 +1722812802 +158294666 +556711839 +1022926007 +91113335 +400278119 +720516338 +1578064091 +535817228 +1288570639 +92192099 +1326354934 +837000846 +905080649 +308932041 +695300165 +1927829390 +1730243012 +502349353 +1789804378 +982944082 +1649335840 +1147223052 +1731196642 +1324765263 +1758430179 +578745202 +2112644660 +976976123 +771012486 +1131190632 +552305277 +929307152 +1687902471 +1575231284 +1020420487 +2088180590 +148263974 +451000931 +476514170 +1436834614 +543193030 +1802869105 +126351812 +1448273679 +2111801146 +821651977 +1228619421 +1694560510 +1324001330 +870940151 +530020945 +825853522 +2018163204 +113733939 +3135138 +1629109735 +692479141 +2115779798 +458602211 +1463491627 +1099486782 +1010907488 +245315131 +639905606 +438655125 +1265735619 +580602548 +586919099 +1716736550 +1057116719 +2023753713 +112445932 +712502176 +2621877 +1560719611 +676819674 +824273854 +641855384 +223896537 +791536 +1512795536 +753917482 +826645059 +1383475092 +867651421 +829780197 +865101179 +1560130563 +798076347 +1323703390 +876138542 +1897563129 +187127231 +1121453674 +389985087 +625782356 +239705645 +970587636 +1212701455 +1956442195 +2027704355 +1088971521 +2068888127 +592722883 +1091593398 +1482124090 +1269542557 +1915867253 +2123979474 +1493439094 +1916658789 +1489291362 +99872928 +595820200 +725282806 +967524350 +1425600397 +1590383986 +380171265 +76193096 +766603728 +1256309807 +1973756226 +953730959 +230279833 +216257665 +1579513315 +469985478 +1186845301 +644731123 +278944025 +1067066008 +1733702644 +200348504 +1659788891 +677812394 +1682472594 +781847801 +446195999 +1658968421 +127803247 +215371141 +1000776135 +227676176 +811191341 +1726058942 +1195200526 +89308091 +1168959280 +1575371791 +165501187 +1935563008 +684197950 +2139257413 +741810320 +914477784 +208031431 +173839987 +1384463262 +1394876732 +818571110 +1663407288 +314459093 +404790106 +1863755792 +1974247984 +1082602501 +1398744739 +608612137 +1528798500 +910229512 +736415385 +1744169641 +1911005647 +964091561 +407877335 +1489580941 +11808439 +497185426 +511056573 +1587180230 +662686613 +299135934 +123894532 +654460379 +1040946254 +1038372316 +862491810 +1214786241 +275351931 +109884894 +2033357352 +1938759219 +424343987 +290663810 +1655031363 +251108324 +1373266311 +906292454 +859720461 +754581164 +1816521966 +1596135846 +351267157 +1580043966 +412743759 +759144492 +922141259 +424552198 +1256329918 +1433197833 +2011732428 +1919016532 +1732333767 +2135626961 +425993263 +625796373 +1026515629 +1288485073 +1840582614 +1301867560 +1398369967 +1726456318 +1093143131 +1822713955 +2017120129 +600690847 +2073822279 +1242902792 +1506983301 +786059092 +1997483956 +1176021620 +234711291 +201267466 +608581938 +647455050 +960411958 +1530723197 +1072007249 +69258229 +816437382 +936256029 +1988274761 +401287501 +924399342 +266784376 +1027083874 +1950914972 +1555269449 +720182841 +1105298884 +806155768 +299155511 +50958368 +481386075 +168791992 +651649215 +407724706 +1411694785 +11148868 +1193783799 +1261695093 +1187170488 +1428495090 +1462962559 +1795752426 +2075950140 +275890870 +1178991976 +1000473741 +345149099 +1995429358 +1936729771 +185940212 +249233212 +713645465 +452724588 +1276317086 +517076789 +2007994037 +1996499927 +1622375674 +666666157 +148171791 +1673334042 +1148052233 +316963783 +177499609 +1555776939 +1728658568 +188648477 +602077090 +842870014 +1375818966 +2030572180 +158348925 +1024087744 +1959038673 +434239795 +55596072 +812028766 +779388894 +2051025431 +601274889 +965329106 +152774995 +1314920355 +1418053694 +1429092081 +1831997144 +1278564083 +1278108361 +1306889170 +1945230241 +1426280152 +832739564 +945798826 +1743243935 +1010239173 +354092117 +1324418856 +1198887651 +956169208 +19805222 +427222969 +839257740 +178154147 +1451310713 +650812765 +612393943 +1506906786 +1462841532 +1391782837 +1410448569 +2064116421 +209628296 +1563223564 +1231553128 +1627681990 +844831997 +916066625 +758762426 +2122940358 +75472147 +556509019 +1401736862 +908211712 +1502307845 +997497150 +1918450885 +1856399962 +174432358 +969854888 +665085522 +194237580 +1397077857 +1504343263 +372391727 +700904923 +7672380 +984785670 +60328061 +1470513912 +229084860 +1470776630 +1387146686 +438713156 +886516546 +471216166 +2066395146 +1731348543 +1387282791 +677673924 +1706805254 +1462754939 +1234182943 +961058468 +223483003 +589007140 +1958555618 +2141933888 +297923455 +2132987976 +964305129 +963008977 +179741908 +213899338 +319868592 +552133636 +914804261 +327540973 +1536919306 +975132322 +1798054885 +1766004166 +298425304 +1037717923 +57233674 +1184941850 +1508934090 +2123628821 +768806746 +748733233 +653819097 +328128352 +64004524 +1888002041 +1289186820 +287487527 +329525533 +1100258791 +281937768 +627448988 +1085763119 +1246242897 +1590457966 +1265505028 +1460142235 +1910326558 +1817638664 +227462849 +90383883 +1207074322 +1202595171 +1888438769 +825594841 +1501020476 +778673044 +882828515 +538478678 +140123486 +858973688 +1307285424 +888856720 +1512792786 +1635413776 +952861244 +1253311179 +777116949 +1240348772 +1582836712 +1877375740 +1522286540 +62802053 +815655211 +621045789 +1653260019 +2081160239 +2081188024 +1416102929 +1751315255 +161167225 +1506486813 +810905930 +1363762397 +1247441934 +1636500771 +717299225 +2026114978 +371845638 +1255777903 +18754817 +1230819327 +415579680 +907611537 +596128465 +2050993456 +1860472781 +1849439644 +680626757 +953337905 +1284792708 +410518849 +328140797 +1347594761 +1226174061 +949186586 +853371132 +1159850652 +882890963 +121990414 +763682260 +1044058188 +1628477227 +1574588190 +260336937 +728435513 +1063605313 +977636162 +607066843 +1435450951 +85930418 +625821660 +518786630 +501510098 +1533433197 +1114915095 +405019906 +1246422331 +816871091 +1085646664 +52276588 +2101663800 +1496165513 +380417386 +1301774913 +574855926 +1329603972 +7662398 +1734706579 +65011287 +129652812 +350905191 +1109069476 +1758130039 +1925493381 +1369406413 +339081904 +841615046 +199558928 +946148747 +129582349 +285489346 +1571970408 +648368980 +786999444 +957919957 +1763284075 +1192019350 +56858640 +432671519 +130182366 +109135229 +386851671 +1626347880 +489552615 +1688626584 +53720158 +1819156587 +1696288982 +1788426737 +1884167875 +1825941794 +2139331928 +845753703 +1436588185 +1917341661 +67676468 +1775670089 +611473059 +267235396 +574335189 +741055409 +552724742 +2146305597 +1389424389 +1339724186 +956741906 +1005224816 +384259889 +1013600547 +1437896335 +514442255 +1122735776 +1824748006 +2140790135 +1612288391 +1365890943 +47026646 +1283961330 +914696277 +1835453383 +1020645557 +593154424 +1827301664 +1866399260 +2029742609 +1597159677 +1934075729 +1657929051 +61149089 +53827477 +84780592 +802204498 +606552220 +83602541 +44145239 +1946276406 +1040344447 +1049370055 +183052647 +2053944994 +339782743 +697494903 +1029197122 +17047101 +690801390 +494001865 +1382938044 +737828036 +1777963196 +150150674 +425797772 +651125105 +743305098 +105615788 +370040718 +625564059 +1702775465 +156632799 +136009462 +1763924554 +210460276 +220790054 +418645404 +817012496 +304392595 +462790643 +615805255 +1344737043 +1512160699 +798857902 +1251198389 +1851943442 +1496352805 +132911864 +1868990543 +39670548 +626913729 +1104444940 +777498584 +257393277 +1254595614 +1203296356 +908518383 +1997900712 +1308912144 +1278559101 +475981123 +864203962 +1435191900 +611990586 +480644868 +1645652176 +832780640 +899290273 +315181025 +1137173236 +1362080916 +930986280 +334426631 +726757967 +1729844182 +1585625020 +431217761 +1078713340 +1718536884 +152724657 +1118383888 +197966966 +1257169597 +1895882472 +455360243 +364281563 +951695181 +1363878626 +214698627 +113123677 +494954079 +690679750 +977327639 +1930145979 +1302670336 +1457972508 +1428314508 +2135450977 +209779133 +1743495533 +1125140565 +1571860049 +526998165 +1459567196 +151134369 +109358699 +897708568 +582352130 +1188072039 +468761805 +735076787 +158972279 +666728771 +1992246384 +2054854752 +1122089014 +209044299 +859066285 +338483993 +423742926 +972189962 +833438072 +1114422677 +1949517602 +616100404 +269609365 +1260006462 +2044414912 +257576694 +1469785595 +1640426797 +1382717259 +894161996 +19941314 +694800807 +1045296365 +129300013 +1592509376 +1627648496 +1317372053 +2061271181 +215241635 +1476344332 +580516304 +60004372 +1383715436 +1702605318 +269048671 +95298073 +2041089311 +692791598 +1067488036 +727043736 +1807214275 +869521990 +1343144140 +2076823640 +2129528452 +1240075404 +186916687 +1451830399 +733018553 +1569633946 +198508747 +752959867 +116951106 +1243805113 +882259880 +1709460482 +723969961 +52148285 +1623248015 +939211596 +1528492618 +56280671 +999215968 +764724406 +1758885989 +1268264640 +860022480 +1652491653 +1961056238 +1927510516 +232051741 +1620786865 +649548858 +1575195881 +1550126857 +631593662 +667787637 +1737043544 +2083424061 +1400806190 +1159193843 +134449160 +6282409 +1276144949 +1378254273 +888542289 +838121783 +2102224234 +940690575 +313886150 +893952183 +321699545 +370166821 +1893168151 +1086423951 +2129052810 +1013949143 +1946446431 +1634060815 +827521733 +1726473299 +1866112556 +300824950 +228538509 +1293824789 +1850951808 +860132171 +1961612426 +1440511704 +796072584 +1214934968 +452221899 +930521745 +1221217377 +1728366848 +161292370 +2109759667 +419004983 +116032957 +902966594 +732891133 +1009985140 +1224666139 +1103057954 +755669643 +163606442 +1084627117 +1769618787 +2110052874 +571204284 +449656872 +1689042525 +289833193 +750481823 +1917581035 +1583657982 +453949983 +630229558 +1397786761 +1894461687 +1426302143 +465238081 +199199939 +209340240 +1686455459 +1927566787 +370632610 +1648731478 +199088123 +486665567 +404214424 +931979256 +1496650707 +1628880563 +2035037211 +104836703 +1792487005 +972180680 +1874455490 +1755056231 +1543384964 +176628714 +1296615109 +1833218157 +927110537 +1066712496 +1269392492 +1381060520 +1696942054 +519695605 +1128038560 +975760549 +984933686 +1327238499 +1185100789 +523905497 +1107321638 +1555733400 +25153327 +1306409761 +2042398967 +429367751 +90905370 +1391566027 +2058248314 +2125942581 +1496402730 +1703251672 +950639613 +1223374572 +1310824255 +346540929 +1400003286 +459955716 +32275439 +179630176 +1526668212 +1301667931 +1560690696 +1076126619 +1821363536 +541245608 +2051887168 +658813574 +1868484107 +1089504310 +1182719072 +828322098 +497754062 +1207872399 +2134731859 +392669381 +1637240151 +78153581 +1784235408 +1548004817 +56612514 +1133154490 +1103772841 +1007252127 +209045414 +267113449 +1353793057 +1609048701 +727069165 +1386068496 +1788678877 +106253730 +540252779 +1201885925 +1182380349 +214132667 +1743131534 +1086783869 +872946241 +1464131993 +28804531 +2055665313 +144970443 +526558593 +1116054065 +132218655 +919227975 +605810568 +210372236 +555979735 +6331737 +266984751 +1689134226 +1110104579 +1274236878 +1898179640 +1377218028 +480546287 +1359744693 +2104287193 +1866614783 +1000939922 +63057275 +259383914 +55342200 +1245437624 +473516581 +1798473734 +184737846 +1346462823 +1115122079 +213542377 +1254644488 +1260092523 +740100971 +223214905 +1392311178 +1659328946 +829025473 +1602683414 +67825033 +835357211 +1869668165 +1756959259 +1945461790 +996421396 +1507655252 +1175196170 +1476967683 +719916297 +1131999715 +1196098819 +1720856220 +1195056991 +1455482733 +1776198420 +293010967 +1928999315 +1427188506 +477748813 +1127978490 +394826937 +691291191 +235139330 +1654919460 +1431392162 +458354236 +899746990 +943237460 +1287379709 +354946757 +1011062493 +2122736920 +77131274 +620538105 +1920715062 +1073552670 +2128193357 +948427584 +403036706 +700626006 +2080427300 +1599135525 +273998578 +1128000643 +907134610 +2050196998 +1421011610 +688650277 +1329901856 +1898760424 +1816628767 +1724728794 +442567967 +2051768098 +1232164606 +1873960129 +362638686 +2131911597 +669713941 +1650018395 +339374706 +1680776434 +1625271668 +416505980 +153830891 +1398503082 +1490058651 +134540600 +199447019 +1893095357 +835166607 +132390671 +1344747234 +1109165185 +1260391314 +104398196 +1011878536 +533919276 +793048474 +194296744 +285196052 +462193593 +1919025538 +727764019 +366478043 +1003706497 +454240500 +729116729 +988134446 +1123954441 +231651477 +1327509152 +657247228 +1856923145 +1744015132 +811078119 +1107942579 +1086590135 +945618720 +1307389598 +832201844 +1780785327 +1439780269 +29465430 +742466864 +552687935 +133863627 +1754345400 +1086607212 +926912101 +1948642145 +1371803264 +1389105694 +1720184035 +2099567284 +1755583738 +576406884 +406324136 +337216819 +1564541330 +1530278578 +568868296 +744566834 +40042158 +278307793 +341098319 +851120277 +1386250373 +1427688454 +1796738997 +546156323 +112406651 +1430040676 +1985936593 +141872081 +25023893 +391140880 +275735708 +1779369293 +1477748092 +1202647809 +1580527790 +702067709 +444269856 +1153228178 +654151345 +52369946 +1729635062 +1060475481 +389586765 +1146692745 +443270411 +958455062 +1891259579 +483312569 +1236762855 +84874250 +1334432847 +475529580 +1512562705 +983688196 +1021685904 +1624969356 +266245225 +860138849 +1766841437 +291269118 +1251279729 +2042577146 +2070638411 +581544174 +1097741307 +1503682554 +1283611883 +1542011163 +509427084 +1937763228 +1594381109 +91578498 +850755061 +1983967875 +1238271243 +1294025473 +794939289 +982047175 +1777338042 +2031702144 +1066921425 +964287241 +359748077 +432000482 +1947975438 +1381433981 +2056969838 +66737015 +94089182 +1676327628 +358006133 +1345368911 +1571421126 +281160896 +1926913085 +521678785 +1784843450 +1063041320 +2063689949 +146786886 +853320900 +1510587410 +238365385 +1704075962 +1347071637 +1476636628 +850617787 +2142010926 +311200155 +480472181 +2026229423 +1378121581 +1444759423 +238493852 +1810122063 +1245251213 +1619927833 +1719608254 +1311988228 +1714017015 +1248452234 +1669994361 +911902278 +672389712 +1951155257 +691331716 +1194068497 +1588515060 +1754373036 +1110274798 +1735301946 +460210289 +473378561 +1973667331 +16802603 +1820450198 +1302820312 +867420390 +1814977477 +1614020467 +1347892571 +1693723252 +844658400 +645168346 +1932217104 +507296816 +1890419559 +1404661289 +79421422 +1054924139 +971194656 +1327873656 +577434852 +1883096934 +2000263368 +381106462 +426945002 +1046848217 +1969621522 +33834391 +9639368 +1557439820 +494044680 +483017929 +1383623504 +510847283 +155984479 +538960168 +1378267673 +1970961956 +5496987 +578676596 +1517201560 +850155388 +1223844943 +1301935016 +1357452204 +966780854 +559112657 +1436873626 +2021704994 +1530307313 +617263634 +451656198 +1265920600 +470043354 +832762660 +1692865602 +1516891571 +654900534 +1726699993 +1526530939 +64856707 +73261025 +2009548868 +1448480211 +584108308 +18049700 +1987440379 +1962375981 +1989011656 +1992937366 +393568930 +1358729569 +695609106 +1617413873 +513180937 +2053061310 +436711079 +1072293595 +1342451288 +310932425 +455117260 +1959714922 +762588624 +1721037860 +282274628 +1595351284 +1266419815 +1799166200 +102768171 +845636160 +1178213491 +167624878 +918897186 +1040278712 +1616105089 +1503005494 +1058328412 +1456061820 +1317897828 +899856420 +1301515538 +1711466758 +111102341 +1997124645 +1181396983 +624283279 +1902702307 +1618108062 +1696576874 +1097669948 +1929040488 +4210486 +909901222 +544145464 +1725248347 +1192175851 +2139496748 +844184514 +843858403 +94781271 +1689820674 +2022071894 +262406149 +461234212 +914866958 +1878511238 +1964239707 +1973195370 +1187089410 +1134653887 +725568143 +341121301 +698636997 +836670484 +190762298 +1880033980 +1460953763 +2093464605 +1350658394 +1010046989 +1043650905 +1132215234 +1014257476 +1953552128 +1676360698 +592022175 +998244331 +1668373799 +1436206689 +1842102734 +1763155070 +978543715 +1716690980 +2025561220 +1439777928 +484074291 +1756588810 +1256533987 +309786013 +796194573 +243704226 +1035354156 +1137315874 +942341223 +1872024641 +1328078172 +674891555 +1185494756 +1274059129 +2025549949 +48058098 +170226387 +1010281536 +1062315574 +2123778515 +539158586 +1654337749 +974539198 +60048737 +943060790 +669158284 +1823203808 +1921604505 +238365616 +1701281380 +1213898785 +722439907 +1310386542 +322949124 +1032225921 +2106581115 +566653350 +2067580077 +1096413341 +1508994573 +1792121070 +277007865 +36402480 +830132179 +1551066995 +2061952430 +878190277 +1721293382 +924750318 +1940505851 +1697588249 +1463908904 +1447359952 +524643799 +1523957642 +242937094 +1193802083 +1199677802 +17057951 +1432167699 +753475534 +1230956737 +7123959 +2063862076 +1553905861 +1039349880 +2022959544 +2120559212 +959446309 +971889237 +1482070137 +604083732 +1248897103 +1518472618 +1434215911 +652480450 +1432941400 +164922540 +226290184 +210208070 +2105428391 +1923878433 +1674116974 +1405304695 +301038584 +1050590968 +1648241789 +1494840667 +102785122 +1665299740 +779524718 +856260656 +748772829 +786648677 +772639085 +155195043 +1825998557 +648114981 +128270607 +637961219 +1620004218 +1610340744 +1242044951 +721417673 +981329714 +528777214 +1373898123 +266787466 +693699754 +1600188307 +476995536 +651644497 +1376583092 +3628863 +2056949192 +1677621676 +1054219831 +1557707333 +1024978695 +1157004954 +1075523425 +1804503414 +2013265610 +1824296255 +443668443 +638421047 +1979491298 +122183353 +1286536028 +2107761905 +760144572 +759056599 +1570619001 +2002189523 +1480474272 +404465068 +383483089 +706888748 +671252534 +1077182843 +159593407 +1148248071 +1728827340 +1536176500 +1151876934 +1638292884 +1066314528 +58613117 +1048516569 +2091293224 +1215618071 +2124039994 +1748312990 +1081400034 +1800852601 +44497785 +1719821081 +1632860251 +166681138 +858873462 +1593138508 +926825710 +1617930061 +1016273862 +781531585 +950920685 +1420738930 +1165014674 +1657809433 +2091991464 +94713869 +1817402841 +1092755887 +1823541209 +1206095693 +97149173 +1314350445 +124926573 +155762291 +215383366 +68736149 +1371380362 +191939713 +1817049139 +305296748 +1992792314 +1861546925 +2025117830 +1478168918 +2028228063 +736507644 +923823778 +807570126 +206954057 +1940097640 +1589101711 +1157874742 +1213352922 +606632738 +668200528 +1157860739 +701346607 +338119721 +103132978 +377404169 +1544215414 +200282152 +1691754614 +1669141987 +356044443 +1907137981 +1737878137 +1727424805 +2099077694 +1407443628 +2032721554 +1944386360 +1121506905 +1910355736 +1275071630 +1002251321 +499379732 +51411761 +1809821447 +706333789 +1991509401 +1251439510 +1864208531 +1057378676 +1858072248 +384925411 +67755767 +411935208 +723045132 +170888745 +789339377 +119776898 +371170897 +333610343 +1788918886 +727215340 +93264676 +1379313375 +307156498 +44858722 +639273355 +192394404 +1989245083 +1760780261 +2102750140 +1116833065 +615547934 +454646224 +1168244826 +277885733 +1160980013 +1012270580 +1529325243 +877704896 +2069649256 +1239913844 +1262630308 +2137405023 +1651849052 +1985675440 +160810120 +293704781 +2105452339 +531981018 +627315124 +1746887577 +1259196358 +720579801 +978717304 +1566352856 +765438523 +1617990659 +1758747260 +607199958 +1231287272 +1714013752 +1724033024 +1846835206 +21176328 +744794202 +2124720939 +1182156341 +1757064782 +1506562535 +2059861238 +1679230390 +598992731 +1175007898 +1669151765 +103358135 +1013199690 +1829961886 +397062916 +971168381 +214459256 +1024378040 +570572310 +1473655614 +1744957841 +1549289614 +892524823 +362912717 +1019796626 +503788435 +970112675 +103600250 +70318540 +546662051 +1950435457 +91494868 +1291456254 +1927672748 +1273651210 +901037388 +1286751635 +1186028800 +432784131 +1885744366 +213553050 +2101935896 +1989102501 +1226752740 +1784414134 +238681769 +50437474 +1998873390 +1263059810 +621009784 +1325045357 +860534003 +22815751 +70086532 +1223446720 +1042612377 +573874967 +46075748 +1146212627 +644193507 +592737799 +949164436 +735688376 +1884194053 +729353537 +2009339586 +637747794 +2016105172 +1047884738 +1070531925 +1754365891 +1261437788 +1024984173 +1595984744 +340706880 +661914660 +1834666514 +391144354 +513304402 +950242676 +1012154139 +1838349759 +1810776679 +1034969890 +1908436291 +886739752 +2077582267 +334827611 +932815500 +1076311246 +979021118 +1525553299 +2025475683 +1714709494 +1262263705 +607345572 +1576565432 +1900011499 +475967096 +476966522 +823059776 +82849339 +1738404310 +1848043949 +1678834084 +2079111191 +362474961 +1366016950 +322771897 +875779364 +168775978 +1334926036 +566645475 +1979552657 +222412278 +327598119 +718808761 +152510897 +662425730 +1651624261 +1228822144 +1641446848 +1029693913 +1106814179 +1208672695 +144473970 +1714159751 +637754479 +2044485469 +42643199 +1114721002 +720061597 +125492539 +705641664 +420621898 +1804326623 +637269207 +783096860 +1022859925 +960041105 +1658876224 +1191635903 +147483493 +78038051 +1023704912 +369895772 +405636170 +1742513674 +522406669 +1068061900 +1246654287 +1751228813 +562025101 +128864552 +710559344 +1770697796 +273338522 +277235447 +260968627 +170340343 +319878647 +1375689629 +890401940 +445371186 +2081331294 +1311023839 +102214161 +571116853 +2094120699 +1125074086 +1531157958 +1605513275 +169226341 +1678641452 +1683551326 +1192931253 +2048537224 +2089187497 +787961279 +423460245 +1009765749 +2034615567 +27205411 +1571790850 +15996471 +737764755 +1195004998 +289334994 +1015000203 +1455973626 +459675337 +1334878850 +684179607 +1350077278 +1780250036 +618027253 +513617469 +1882464197 +1189144107 +460254520 +860054635 +572818417 +2065767795 +1029280976 +103976221 +1601835473 +74728581 +5029797 +1543539322 +862689861 +428490043 +405821424 +749821780 +455695454 +1977612274 +765818251 +1193460209 +1025133625 +1055153245 +60976764 +333623603 +1514828583 +1395855614 +1017803210 +717422213 +1028622002 +1635830464 +1231039682 +763602551 +677490923 +1691294202 +1623657186 +1250309340 +1609578349 +505454514 +1354285562 +1063930174 +580183096 +1359315359 +459985849 +1442872957 +1787805402 +865807273 +45211089 +96017208 +695935899 +811029340 +1289477418 +1721069524 +1866182586 +1350454182 +2054693127 +1233527521 +598826149 +925012690 +1950949734 +1627448151 +413359506 +1034505768 +243567055 +1090850429 +578316322 +1867224241 +193676121 +40411023 +225195108 +1547961683 +1104341197 +805378204 +759793395 +1564327046 +100767513 +400115149 +282650671 +145978602 +496132358 +978586571 +957007942 +1785609776 +552172447 +675706880 +988580310 +459381927 +1909234401 +1587406459 +1384394617 +1712700487 +1067370963 +1797754123 +599722607 +1310938018 +741120904 +1178038929 +1030678611 +934797025 +1218449952 +1255873719 +335275061 +175307502 +2061251923 +1095068456 +1739634548 +14535788 +1495183605 +2022285220 +160514390 +1991315963 +853388143 +1117522333 +1629442091 +1405560590 +1793229213 +470538754 +1864942517 +1554979967 +2057945213 +1101853486 +1120196806 +977832528 +752123961 +1719919414 +141286898 +1493244865 +750474695 +1171965510 +280558243 +1968924648 +280355581 +615833304 +2144232150 +194123857 +1710901760 +1736383050 +208659645 +1058601717 +1611184622 +369174036 +902434033 +317089117 +1486696369 +384392476 +1722649708 +1132441934 +854931230 +1440108577 +539938253 +765392796 +394478416 +1660135060 +1743225324 +1146602377 +1232570826 +1884512223 +492363595 +1983045521 +908994085 +772921838 +1804486521 +1189349666 +1388755142 +1801235023 +1383473523 +952173254 +1390134426 +1592133169 +2010774971 +853835400 +1961307205 +765725356 +1170924518 +1300519926 +1150117833 +746090578 +285478212 +2005049063 +38715507 +825416466 +622958211 +433193923 +338067878 +218699888 +1579796301 +1570638704 +2103212111 +2072159896 +1406200577 +864722548 +697598086 +1063203451 +2054072214 +2086353228 +716954826 +1290062090 +891042834 +2107089252 +734711611 +754334157 +813441005 +548535168 +1520059514 +1984365523 +1849055094 +522693699 +582972453 +2134533306 +380259114 +621687960 +812466124 +1003217326 +1054881884 +1150534002 +1221917214 +487194537 +573689058 +1177645677 +411870785 +1979889636 +2042368225 +1109468871 +895609439 +1948956791 +1048338451 +1612564265 +1091535233 +1939381285 +1572169870 +1826246844 +546231794 +238127227 +227298364 +2066291308 +75009102 +2076353458 +441501359 +657981555 +2063403117 +821760474 +1279669515 +728385593 +1824977800 +187067751 +1878919596 +899411366 +674262288 +305125006 +2077057043 +1086133073 +137530994 +1971941620 +48118296 +1033140433 +1773414763 +1096456747 +498221051 +717466349 +888354384 +2070390921 +396229545 +1434586179 +161034500 +623527910 +1353393839 +236043602 +552397720 +1794895199 +894025157 +468317189 +469172025 +26211024 +1196702783 +146666177 +213278776 +928138731 +1046077543 +887541064 +1233263737 +975650938 +1973674138 +1370794732 +800108910 +2021792434 +256451517 +426040025 +970765534 +754672568 +1143506374 +1859119918 +677579841 +1539735920 +1146222449 +838614341 +15780182 +352132641 +1074657943 +568177902 +2147027840 +1968683100 +1036495092 +468716217 +1994894125 +85714227 +615382394 +60689253 +1013852958 +1661459937 +948230317 +99633047 +489627227 +774420807 +1470427779 +1289736137 +648729594 +1726879297 +1715776162 +1619495128 +334068217 +711798889 +1331131398 +1011648059 +104051161 +329870200 +1850262400 +119831343 +682002841 +777436696 +688009245 +681547033 +598636148 +1724504337 +1150263250 +446046625 +1810218564 +1765645644 +506735878 +676587874 +1279621933 +1454966196 +776220922 +1769249160 +81903355 +99165053 +911501649 +730632949 +1826044350 +479794163 +202644429 +12628920 +1191593052 +1533775828 +1024276979 +1295644213 +1863646028 +727055731 +1415475556 +398165221 +1504492427 +2103484802 +1079712254 +2103128576 +1680505491 +82491856 +401691553 +1343240408 +1848137500 +908427432 +2019828282 +980275785 +215909980 +648565556 +602041297 +297813335 +747730610 +1513542946 +1028446285 +426291312 +1993337109 +1231090714 +438920232 +1037446514 +617382894 +1463197211 +185607079 +333545274 +42769295 +1601082636 +731710495 +1547261722 +1557083790 +1811422749 +1502906650 +1090105633 +1893914605 +1904598204 +285862393 +1594568457 +665541988 +158207028 +427360594 +881451968 +806772584 +1029401891 +1179265303 +1554503194 +395461189 +60227940 +1980794507 +241314651 +1291318655 +272231091 +1278761165 +1908701549 +1735428303 +1464368244 +94763176 +1778197598 +917967232 +826473671 +1177975672 +327567374 +490412773 +533398675 +1417673008 +236843730 +290513231 +1703535401 +1831412188 +956055219 +1861742429 +111289134 +1837507187 +521031366 +1140691026 +869288842 +2075534560 +1536152215 +929516783 +1908845419 +1777466866 +73351790 +33592863 +908744383 +1982053339 +1769021166 +225628980 +2076816515 +1399735116 +1143596212 +755806539 +430227140 +1471163587 +1246219312 +963625815 +741352947 +1483063042 +1254139046 +297404700 +1166991582 +62710617 +11663482 +1278280717 +1900217804 +532694848 +271488095 +622022999 +460745760 +1807640310 +1551539782 +222107532 +1437623529 +1624891572 +255700395 +198884264 +1459461263 +2024721561 +424513244 +1388794131 +1276973029 +1568109457 +2144600670 +1707200169 +891789396 +1243336334 +523342337 +1633142343 +578915728 +1777481383 +1930547043 +1745907311 +1840192001 +1942210525 +876704380 +1592926157 +327421725 +1148192475 +67465508 +788167486 +808349137 +1619005290 +1010275018 +98489018 +1096413214 +1265975413 +297373283 +408390830 +1143213326 +721886527 +1797184961 +272702707 +142512336 +1794301983 +1979902876 +1034301732 +890154669 +355761565 +519960427 +1469070397 +2133242949 +303023823 +1067494060 +1825951302 +97750700 +1944198440 +1271393811 +425172426 +944907267 +1338859320 +1213339912 +1753256405 +810380962 +76131282 +1851745423 +1906794177 +1342106695 +1635058 +167701359 +337836373 +723521586 +1964886320 +610539080 +866033922 +1611704655 +442958308 +1900335655 +354375676 +798719874 +272812434 +1823446073 +784479175 +575836257 +743456486 +462946829 +673586958 +540171278 +1734340640 +1098759384 +1485078546 +925716312 +164615648 +1090851303 +1736097275 +240746930 +795113078 +1495407804 +1582853625 +796748137 +1663109163 +1920689998 +1520269723 +1480511835 +383745430 +238819997 +944732842 +826703738 +2139155652 +1299108518 +1625423612 +264484439 +975070943 +262419139 +840320696 +1718527429 +725365968 +1513907654 +111215060 +312222961 +465183390 +1596293606 +1237939273 +629799038 +539661261 +826552900 +870545968 +1334774339 +174477056 +305915945 +2131522476 +1837586219 +79122295 +1504308551 +1170614406 +462867725 +1743128549 +2115347248 +1289571464 +1734800553 +1266972118 +767511428 +1999284992 +94559414 +1029930568 +692122041 +1813086843 +1755296536 +58546047 +1924301903 +2067519497 +523729438 +1373111861 +1157975123 +1153528476 +1912773122 +1984528023 +2024074445 +1100063814 +11521432 +182506742 +1084102642 +1849107651 +261629038 +440927546 +872238410 +724496763 +36572447 +840102010 +2014068227 +1771373000 +2107074129 +634096008 +1623174345 +54149895 +1664026576 +167812738 +1867236738 +1271839464 +226358785 +1644054994 +1191875314 +750088223 +869683207 +202366789 +1903616700 +634972682 +39411164 +1780207497 +1735036496 +50932596 +1962714239 +671655490 +1900040248 +76859629 +1112583036 +624795010 +801356393 +1149155483 +1464897020 +667940972 +773044836 +1424487501 +1302036980 +248735533 +1478637396 +818579908 +416548271 +1198390487 +2090419373 +642907056 +694961833 +1134811039 +1392995280 +1564645040 +1337177828 +1149128332 +52134074 +1376588992 +781852181 +1787170570 +1427521589 +597082772 +311342413 +1180078189 +673942402 +1423925449 +1804873199 +1475298795 +425597285 +1122286571 +2143239767 +1198642121 +399290425 +1297793100 +1447377654 +1877927821 +2116373008 +1863925925 +928834660 +2059308733 +359349333 +1623796493 +1046636124 +1752344613 +1040957886 +236330304 +753989297 +1093091960 +1612919297 +1535841478 +732778883 +892957238 +2132924251 +1044121296 +2073035427 +659383005 +320563097 +1730424978 +2134681800 +746160382 +705227901 +2130437919 +1944802503 +1104518326 +1280747371 +1244696509 +834962500 +1249636732 +961138786 +1763797160 +1161461817 +1320488120 +1240110006 +60614294 +925349085 +133584244 +296944598 +1679338383 +1226676204 +1909863895 +1067696213 +1959455087 +655337485 +1053136816 +856092735 +580889264 +1712519821 +1176655833 +163830594 +1699717973 +1922816215 +869058496 +1682672245 +1720135071 +1973576822 +815935968 +817347932 +661055674 +2065572700 +1778486719 +277369187 +1079550870 +951491191 +1517479193 +1140165164 +1876840276 +1651063437 +1437109762 +1408695011 +730255993 +1199490010 +328907577 +542227433 +1854827495 +1382044393 +1398320168 +288233112 +947080567 +427492353 +452063706 +499314892 +202824921 +1321122202 +34503489 +1922959992 +1147215377 +850439458 +592824276 +1808271051 +768528510 +223827347 +2085640238 +1848079380 +1175318538 +1455635783 +840760896 +904675167 +959215572 +130387011 +165886530 +1689471566 +1329877021 +494794107 +84215351 +1037220868 +1876838501 +1482535519 +1325453980 +676435420 +1910027873 +1777517687 +1175750312 +2112852794 +951156241 +1210253802 +1888329138 +2098371618 +2060693260 +333669766 +1759159022 +681738122 +557497114 +1697315612 +382333855 +1732815652 +1005467748 +1223094751 +490007171 +1964683320 +1353481762 +655893702 +1506671238 +535875135 +1150687809 +1590886589 +1573096004 +880042662 +925938461 +751066336 +1556478082 +688482686 +381100375 +584744747 +653851832 +1332256617 +1794998549 +394697322 +1283144587 +1708208161 +728367088 +894819961 +242462635 +1285864202 +444651926 +624796490 +871196207 +1450119674 +1847891242 +1361203378 +1267319346 +1053889356 +2017097080 +626506937 +1589764492 +1020301242 +69909878 +1015376848 +1900343904 +995848339 +1766443184 +1309338339 +1684331025 +59912 +1894083086 +190699209 +1332316529 +1541597987 +585396531 +467977468 +1102322500 +1313763620 +1362797430 +1344785135 +452144174 +1807449356 +1969581626 +1323340381 +1110085382 +1669989220 +537060112 +229921080 +576394928 +406673544 +856428017 +18675772 +1426974786 +926337896 +1034052620 +1179835043 +1922186235 +653012157 +341689734 +1459033613 +653072069 +88289172 +1649732822 +1985388598 +1629887159 +87645706 +305882418 +584726011 +1401409326 +1668679848 +1929511146 +1853553500 +1328645556 +1751609124 +1029410234 +291247290 +1274114696 +1566470346 +521168371 +1850509625 +1973143890 +1377596388 +1869185397 +1252635029 +156450636 +755754370 +284986424 +2078636872 +1408766527 +626676158 +1390186837 +2061838596 +714965330 +892436011 +1899743546 +197368841 +980081717 +58142316 +782094852 +234007395 +1726822165 +564122350 +2087560896 +907984073 +168247827 +969487482 +1199231364 +1442362523 +388474180 +1720399735 +1145388500 +214134422 +950512475 +867090250 +1466769451 +1106963112 +1622844620 +1751755875 +1038116336 +884127499 +230948385 +280819525 +798482447 +945913715 +1173255536 +550742345 +1143282556 +5853606 +608884661 +1925377408 +239861001 +188223178 +342016111 +179938249 +1096207252 +510263938 +1149425731 +147954968 +1952626461 +1537899911 +1868354703 +950531314 +1752034334 +671383530 +1817621564 +1071320137 +1778346642 +1292982536 +675592365 +668979330 +29626387 +906540750 +949798855 +828108834 +1852454466 +2123054392 +1378851179 +848253374 +2128907998 +1987735840 +626147135 +221285351 +28475371 +968163246 +401223601 +1124682623 +1478427184 +1550649332 +1272637591 +1283569997 +941065596 +993508646 +86617663 +545616282 +1664892176 +1904239227 +1616936419 +1295755171 +1049738115 +145045136 +1964734501 +1079364502 +1051585887 +767049709 +1907473336 +756556705 +742620453 +1138840867 +1604810079 +724044803 +979093060 +83473566 +945330154 +1007568431 +1051636812 +1346553755 +2132251054 +382580348 +749719440 +1257404997 +1666150346 +1690785036 +103429995 +1752768009 +88917670 +1768322171 +1509523589 +1705854089 +916593694 +411778056 +1850899226 +733844548 +1491142559 +755001465 +1500894257 +1251132247 +1511558170 +96031062 +242489467 +968884601 +820075865 +1221582527 +1052358168 +1765406019 +81667310 +2103994980 +964476127 +66434716 +339091681 +1714195567 +1323839713 +2005242027 +1257496955 +1427269708 +1610526388 +1346414625 +1048108231 +972566329 +904785066 +1964701926 +1384344386 +608200644 +551062826 +728003297 +1363202109 +2051957083 +1979135544 +727276631 +504497 +74141363 +1696161233 +820580362 +1295723890 +601035753 +438502733 +1377391200 +557547085 +1402978860 +1443825916 +896638766 +969690779 +620181981 +754397145 +79704086 +2047451689 +217439886 +1426118711 +948076273 +1190006215 +183420130 +765294551 +426866953 +791620774 +1316357377 +1154870250 +7339236 +1220830812 +986522147 +734615867 +1221335309 +1060663510 +283293452 +2041915671 +208903753 +884329205 +332934756 +1586294953 +1441876291 +1735913617 +882637222 +191031409 +558120748 +1502819203 +945428555 +637824835 +1402787245 +1162868441 +2063943546 +203379870 +205391008 +99880028 +968674421 +632257962 +891500803 +137548150 +1787128212 +898840039 +1358378962 +626166711 +1633455906 +432230623 +1686830222 +1916749359 +326662646 +1895733975 +653594916 +659597402 +1334545280 +2095471207 +248027371 +69698854 +139018969 +806148120 +1572518058 +1084447524 +1443972955 +827821655 +99832317 +1360432853 +1031201525 +305223325 +1460312882 +1999875946 +937481287 +204330037 +2137424096 +577125852 +1103170076 +1348319410 +1203292563 +589142334 +1780550033 +742639137 +358408045 +2107212679 +490889464 +1012002962 +619326433 +1825434745 +959990521 +867353805 +1895133599 +1099009490 +1673501925 +1320168009 +35973366 +969991232 +506016 +135805683 +182940437 +1031707541 +441029009 +1643253319 +884099839 +1378510296 +1847583356 +874040287 +1955636148 +803269784 +74876049 +1011445064 +1392412119 +1855426082 +1754084201 +1750820164 +1815155113 +97490018 +615339478 +286997899 +1922924763 +1575330000 +1154351704 +1670574714 +526855842 +680369981 +843259076 +562829209 +1650361213 +843765092 +698634892 +1833301650 +1875472634 +1139663901 +1329071322 +612088825 +370690550 +1029171030 +1486129113 +178843050 +1832440815 +1561005162 +1190288114 +1077369286 +1268947597 +796888668 +680705802 +936619062 +894378686 +1296045281 +1223616961 +669819801 +723891633 +230485017 +192910867 +1250747475 +910854998 +1036169943 +1813576684 +413732563 +1879935036 +364727929 +99550566 +1607924022 +1504391830 +1428621888 +72529199 +1875082380 +310309270 +1558658312 +2053925431 +2142750085 +972179827 +1096729897 +1072635723 +93643776 +1893618565 +1753341526 +1030262838 +640513603 +901903159 +106396152 +1310333404 +1625794792 +336881169 +1503244272 +729058619 +1247736168 +391930567 +395151656 +1661468731 +124381955 +759879585 +1761019297 +1732305977 +116787767 +1042157537 +1804835177 +1991870148 +1352466808 +1216009841 +1898311931 +1347733245 +40706020 +847558180 +272885321 +134349796 +593693098 +2026226847 +1164612635 +1234206701 +780646358 +1271008787 +397056458 +258957502 +1607889956 +1900300730 +988016121 +708142476 +144747649 +1383167777 +222127560 +269129605 +2143047362 +1983146857 +2001435582 +112351482 +877820747 +1658787111 +2104221630 +82803907 +727313305 +1855049913 +1430537152 +768019325 +555124445 +1703422473 +902369122 +1148817543 +1582165672 +2066981757 +235540597 +215328382 +1190506896 +632597055 +474285884 +650913204 +385414137 +1462302006 +1359055681 +530161786 +697986135 +1581183241 +799291391 +693549850 +1416846450 +653243326 +805901332 +147183549 +164546789 +762639314 +229987456 +891860094 +470205579 +1660524609 +1659879420 +1025330024 +1216463434 +414764894 +26663920 +651145459 +334263003 +262204517 +866473841 +1524769899 +894801572 +1340759726 +28199455 +1280215709 +655578084 +1387255136 +1810377495 +1353564219 +820954729 +462185239 +2047114069 +90317532 +1115428565 +705531753 +237501081 +1279975354 +1468171067 +467488538 +24351801 +1938376646 +2128013147 +1684231221 +816223023 +1196992933 +2098996115 +842886943 +1848138392 +285775470 +1105091460 +567128586 +1810545369 +1999893032 +1907888312 +1838744824 +1132625093 +415982748 +1078516313 +795518940 +1769546967 +1899471042 +1257704179 +1669177389 +1989788574 +225649096 +227225494 +79806008 +1505624451 +1695396562 +547294546 +1529976252 +1486289560 +527824045 +1066723825 +155028935 +1724816978 +1018236292 +997915878 +1425471723 +1304011762 +2103007338 +1992600309 +967073483 +1955416722 +1753004973 +658334659 +940558167 +21504073 +1736850972 +1736077108 +1791051040 +1488838367 +846297639 +1312744781 +1331143293 +1071946736 +1539970276 +1410949301 +430087539 +1087883190 +1958243847 +1960063791 +426689102 +338584244 +879303968 +581718038 +2063401223 +1897540260 +1579633916 +1341389298 +1054068374 +1535157607 +1186505959 +2021141857 +1343090681 +792027284 +531992868 +136165201 +813531357 +121360193 +1872242309 +457098749 +1610198560 +571056300 +1769843531 +793858205 +1643003036 +1162330159 +57323859 +2073090575 +102729701 +2015567706 +1885670718 +529418803 +206668303 +617491038 +1111136841 +122585878 +367547650 +543287110 +1463975176 +1421616024 +2078444717 +502997487 +1295274233 +1274051750 +1295024771 +1827267102 +1410216951 +2108556128 +1948627295 +1134975612 +418171229 +1411342207 +1706031913 +40531112 +57716764 +1201551301 +1202861271 +115040623 +1127158229 +1305590972 +2130608330 +865345299 +1835009776 +189792985 +1482836338 +798662969 +312378863 +1850383988 +1341950079 +1776354039 +1124516365 +1272911148 +131867878 +272306950 +399479251 +1426892649 +2099574052 +1809696202 +1387965129 +1900717699 +797188167 +1806136358 +1164576258 +355736432 +1846667471 +1222293023 +1557287733 +902045094 +1337333646 +536962314 +60152419 +1320458328 +1402307614 +1895162195 +1510251313 +737660304 +546341516 +1822630176 +440560644 +1888291596 +1451500567 +1565077009 +1013719096 +1583368445 +1837383960 +1413198347 +862777446 +1789474364 +1075410902 +103258927 +1542708416 +1872599069 +1909395286 +559801026 +80851853 +1608579109 +1782094049 +1638139586 +363140555 +971944048 +27618253 +423292974 +144918728 +1429925867 +170971521 +1655170042 +20102523 +717313038 +1330316570 +460663167 +458120986 +634333490 +2025740177 +1471840082 +70218287 +1715640489 +737554782 +932995734 +1357631205 +1812965684 +1036254661 +752855973 +1538081105 +798166299 +1312657000 +1618932958 +259261760 +947267401 +1109588896 +622402316 +1919211449 +1137207149 +1045695290 +2064130178 +419649368 +1216666812 +1571816572 +439751891 +1933979850 +754649494 +900415059 +244617188 +1388982984 +778671588 +1716457270 +1459201272 +346828429 +306528404 +244713358 +1704459634 +2119494088 +1280968019 +309831960 +1510091545 +2079134319 +1622488960 +981540855 +190912431 +422272713 +2091129752 +813314747 +194000515 +1080853253 +1859010038 +110647045 +1500502622 +928193202 +1682463617 +1940254513 +714689404 +289629463 +693185924 +959306592 +1678612448 +1471857512 +528280214 +990330072 +1818685941 +834808619 +1235043430 +1375661928 +806819059 +368527801 +1685493888 +169426957 +300178472 +1160499200 +1150967812 +491090904 +1582771913 +1094613916 +1304405651 +1776772428 +27983522 +1015932041 +1887419473 +1528486144 +1944125243 +1422399442 +1321257009 +511330999 +1712028906 +2014442934 +1470637591 +1243157706 +1338816798 +1998917806 +86004130 +1010019092 +686242777 +1321047560 +238197372 +1493061836 +1689575361 +1923691260 +1662488793 +1989753834 +936706812 +665972958 +333361090 +371995077 +1760586874 +1637766741 +1283858 +1788570396 +506215135 +1888703331 +1169572892 +302856730 +1163619126 +343346254 +814187730 +728164384 +210305540 +137341673 +1971322090 +1549122338 +2136259479 +2057326220 +411657782 +675018608 +1230890132 +649855154 +20596797 +772981845 +426062766 +1683085590 +615252031 +1362769578 +201574900 +948613121 +1734764656 +1962161775 +438896215 +1736048514 +1603248523 +945111350 +1477268197 +625337768 +1247968080 +493403675 +968684022 +2062155810 +1221568059 +1178989562 +52013836 +1045406501 +580628252 +40789667 +955249073 +992286035 +715808276 +38655557 +1642141189 +736405073 +811637403 +2068203956 +272007015 +1426889434 +1283489886 +473581916 +228018908 +870770894 +288260043 +666915123 +459335760 +1891508566 +1612026473 +1936603958 +369362686 +712510905 +282523985 +1338046708 +627183068 +1504092045 +369552622 +679196904 +402014898 +950180875 +719986571 +1357263972 +1942466910 +1435794847 +1395919529 +1437124451 +24716272 +60073284 +1357844759 +296723288 +1486962719 +493850998 +770305204 +1714981627 +1364621892 +1058565247 +234413102 +1823957653 +802590165 +1846439575 +1613077963 +1171952852 +411466832 +1895601948 +362515912 +1038649900 +1252210345 +732068535 +1717846804 +1654225244 +1682249410 +290349728 +864005568 +1477232672 +1726144575 +112441449 +766873475 +1750860848 +172514734 +2124718235 +2047584136 +1659477453 +471085585 +670405692 +1226975432 +1835707477 +1728970939 +1461388534 +1512181482 +384077456 +1160344461 +977775797 +1556030308 +1571811293 +725894098 +1918546221 +462977546 +1978104443 +503131108 +33340702 +1484846039 +37896870 +323690430 +201367959 +1515129542 +2049835006 +313809409 +134519369 +1653212206 +486324143 +111753956 +1553312694 +2145801596 +582839541 +76234738 +1225293380 +271063371 +1805205677 +539198266 +1783244853 +41799485 +1699542727 +613537003 +1597829794 +1123870372 +1339431101 +1368892367 +1586847918 +1170051896 +1872023475 +1620188621 +507414288 +1909920345 +1943879051 +708782247 +1277566239 +1846230409 +1022591656 +1412085608 +1351958967 +1508915799 +1523839565 +757788013 +1507233747 +2106679106 +834022751 +585043479 +230258829 +491744780 +1124241745 +2013503683 +533544266 +676300824 +479557038 +2131374060 +1800171197 +1818988139 +1352782779 +1239535467 +841556387 +1077322606 +712240440 +1348970675 +839759303 +508635844 +2057752923 +2117325542 +207382605 +932860931 +1381927502 +1559341573 +294293083 +758283419 +169645938 +1801526830 +717478878 +1003668690 +239086662 +947737707 +1495413470 +1363328407 +813757742 +2028957736 +2039629232 +1293314780 +2012848148 +1692316781 +964819271 +1218147279 +784368600 +1806375659 +147986237 +1496609041 +1007862686 +987745540 +2005244885 +918131961 +957587434 +65143842 +1850992893 +192031289 +1624485415 +2145285976 +950314708 +1794131354 +1799329158 +1667793586 +650316396 +2038415820 +468047646 +2145729866 +1254260580 +1281805388 +2027203955 +1146406164 +427636521 +1892568455 +691239297 +1392455792 +963232087 +1475607897 +1051347803 +1111218324 +824733290 +2059210490 +2098963865 +682494527 +829858803 +909067651 +747638370 +533368048 +1101098940 +224640137 +531170376 +2051413649 +2018771491 +183015887 +1571723587 +521604239 +73948059 +2039771233 +519850458 +1328208639 +1174092974 +399570765 +327131155 +1601729495 +144655572 +1018370452 +846701639 +1107887659 +346494702 +1898049443 +71622336 +1171227992 +1809776285 +23102553 +1853722520 +492151440 +932170204 +453877242 +1025519489 +2033269145 +678517379 +1556689865 +1937199146 +549805223 +1739705752 +1361439085 +1071409462 +1813653812 +1253726671 +1591259920 +994378803 +280335997 +1990830685 +1321509959 +1882065492 +2135486258 +192396763 +581283483 +1095890269 +538891465 +331849278 +1167512605 +1710119458 +2141625563 +1190615158 +1416358330 +486293356 +2122785363 +1870235572 +1511812845 +2008570860 +401269303 +921019062 +1798286358 +951074526 +513241167 +1012241795 +2022483989 +179411331 +118484818 +1466260261 +1173790134 +398820815 +1309607299 +347816445 +133402659 +1297609909 +540213209 +714686143 +246016530 +1079104674 +1046535421 +1413529136 +641740484 +1040677337 +456660646 +2058098814 +1526970693 +431962361 +1780850738 +891299890 +293049573 +34636394 +1812318952 +2091335931 +985710920 +178076471 +956094079 +860711261 +357487802 +1074578897 +179487875 +1531277937 +1473399713 +1489095174 +1879094382 +1606802372 +639221435 +271823943 +174004867 +885237965 +1350928618 +1220540289 +151283453 +1992669102 +113733978 +607944100 +1903284269 +1640704671 +1039906461 +1536651359 +384520913 +1332956035 +1571287753 +49356217 +1276808318 +409515026 +227432689 +85418749 +1270226287 +584920491 +1159997647 +1449714162 +2116198428 +485913712 +791325688 +1847809163 +2092716084 +1430547123 +2119633106 +119237304 +168301441 +1323078076 +1339777593 +319584894 +1168263531 +1453511571 +927528994 +924064152 +946732594 +1967435456 +313231863 +1331253507 +1152907843 +1884519617 +1380609724 +282232513 +146550995 +1608042413 +367651263 +1416777282 +45479257 +1527648910 +719007797 +14194037 +2013562622 +1510333485 +1862003200 +1958795058 +793396961 +1834152659 +2078032362 +961698402 +1009747087 +1270326307 +1281283296 +30526970 +576354230 +61328643 +954591122 +1523086824 +2028764099 +1267822986 +706856683 +1034188294 +1004858955 +2087466408 +1316420807 +1151409950 +1548025173 +1684072070 +420703584 +1593504430 +1064237332 +1139711381 +1607698468 +930316306 +502561219 +1322218020 +741627717 +1295958180 +1008887031 +672176431 +110172934 +2018634119 +1942502739 +1391456230 +2049161089 +371373321 +1452784873 +856268564 +1894460146 +1334065324 +2124091550 +453833181 +220769970 +981466857 +393815941 +1537190778 +2132876807 +1941841115 +1073779200 +406096743 +1387861897 +2138016533 +1545808125 +848076717 +920849191 +2048369344 +22811090 +1662476908 +1196843876 +1031698121 +187169692 +1307016810 +902848592 +2129672431 +550989392 +804526034 +353562104 +2003774266 +1660794598 +100538602 +1190355942 +1637402500 +554371784 +1411125913 +471385709 +948187725 +800833043 +456778868 +742545192 +1874612243 +862875611 +2130407090 +1865145128 +261200088 +831000159 +638510672 +162085784 +853811249 +153503932 +1358929660 +1885509371 +340673624 +518462822 +640874315 +322862407 +1069452215 +1445400349 +676424512 +925742833 +958711299 +776963114 +2116098775 +448630151 +1331334898 +1379741040 +920015860 +132038976 +33090435 +1376794728 +874584168 +1907702679 +92186692 +857507610 +1625364159 +353386780 +1688507770 +116391183 +515472565 +394835371 +269895116 +1874402225 +132861094 +610568740 +245381400 +773735410 +933431148 +1314833615 +71652111 +1609855660 +93092800 +1030363411 +239335126 +61707927 +1478993562 +1570670025 +1441448968 +251525775 +1702709001 +1474539403 +1628320503 +429809521 +1234758434 +1720507195 +1287317132 +712638946 +2073893976 +828341254 +829030129 +441882893 +1223176625 +1098925245 +168801470 +1356037720 +1709493986 +414182870 +2129773130 +495441486 +1729016485 +53941593 +2105297146 +1822109285 +1084305004 +197148624 +1883817213 +415814919 +1767818649 +1177782533 +667340694 +1323044002 +504838288 +148177549 +1752853524 +1739596723 +1868684745 +892687008 +304752021 +1795095073 +1721028262 +1133782150 +89494318 +796721239 +85223748 +258295788 +5275311 +1794717734 +672478659 +2135048441 +142675572 +254011496 +41506387 +100489070 +2076120782 +1125811391 +297637694 +1812454347 +1541626310 +2065456344 +842753232 +61483356 +1241016698 +1347591520 +209660906 +846386574 +939704595 +2078345651 +1739073582 +1244456616 +1725957076 +1312618196 +230755119 +1815451394 +2109339436 +315978867 +2073747182 +2114614747 +2110696601 +598742193 +2102179541 +105888525 +852753690 +2143685928 +206377595 +781390824 +1122013671 +504015289 +446361523 +516156334 +421987985 +1289114755 +577639690 +1663004684 +489222627 +787300596 +361907610 +1428927223 +718162599 +2100981193 +525900191 +296636027 +1266115741 +756655310 +2112087421 +1227971529 +1072634177 +2038350956 +1195102629 +1035847130 +489609501 +1149798522 +1141735655 +1342363191 +1146000802 +1348113250 +2123754015 +120530825 +1852128540 +422631890 +636687159 +126632877 +1711746645 +1214326850 +1789637561 +53485625 +2001627446 +4061524 +1482412848 +572306398 +2105042717 +2008313039 +868942425 +1223674810 +617484702 +833546199 +304162692 +1690118879 +724413507 +1499265321 +578482362 +1214023008 +501580195 +1720218017 +408902552 +1647580997 +920847620 +385172919 +1768111822 +625492512 +807804810 +257315334 +752125389 +372067807 +1471642184 +394279303 +425553432 +1325785982 +398340827 +1907966280 +1898092380 +355899896 +1768795672 +619551158 +1579574706 +238796726 +1453097357 +1883737398 +1928915605 +30027216 +1235519071 +359914319 +1244050224 +1737099266 +2080132337 +1652952776 +1237196615 +853496309 +2038125696 +857824790 +1478988821 +698446858 +1115140124 +83630562 +1070514665 +439298660 +477909865 +1496068098 +1765084642 +876250692 +1256550730 +1515693375 +1232150588 +877862754 +2135244533 +664241647 +1116659480 +1440858242 +400495397 +898091438 +1470885458 +1636014469 +1258005757 +567452034 +1225630087 +1190654446 +72921163 +315343055 +2044150755 +2111046859 +1173167845 +1375655928 +662010069 +140824321 +1459286491 +1732524734 +580122981 +1937196356 +1081109184 +197723975 +665963401 +190176267 +1713417350 +1898113989 +1068039021 +1701178235 +414871988 +37214854 +994552829 +815367386 +935306292 +317954639 +303898207 +45828401 +885406674 +1529528294 +1236482848 +958327837 +1844871349 +1133149955 +921891048 +870555546 +361322236 +1583901117 +1011379867 +1820608727 +1168942203 +1591502848 +1610321435 +102567740 +1789226824 +128801188 +292744007 +1355160526 +2026915178 +1360783028 +908855114 +294303518 +1397997882 +1903407943 +1109670904 +185820526 +73878935 +1413569111 +231648928 +959285609 +795613758 +1468131776 +1917613446 +493001459 +453798083 +692020846 +1363557006 +815120319 +128438315 +227453225 +488245398 +1297380518 +1818956074 +2098566834 +1399948258 +1460699250 +79884374 +1692692265 +668376128 +2106799552 +905991646 +1577231242 +253619423 +156505880 +1333155538 +1363290327 +342326407 +1407034473 +629375791 +573975335 +218836434 +1424989549 +2042107111 +2136449880 +1917991008 +348421546 +680987078 +1134064366 +1163541866 +809425393 +1361517592 +1651787264 +2106805911 +1032990018 +1602870450 +1359270522 +346205620 +1682754825 +904479139 +1014581748 +1642070729 +1810470785 +444329343 +1895690152 +1966976666 +1777484881 +1111496832 +161819425 +1037035706 +1740872623 +735794760 +1255872140 +1018378524 +630418223 +1244838372 +788885884 +978839769 +1925825450 +1922950251 +2142381635 +587767195 +1136984195 +1646685252 +547089458 +22490565 +1102072054 +1906359980 +368696185 +637343231 +663355472 +1383277933 +131930313 +326342609 +1827607276 +2027620465 +145835627 +1457608509 +991633649 +307655052 +347160567 +585022624 +1043449812 +1603032707 +1603401148 +1673868035 +700387431 +244803385 +505224157 +478729233 +20269988 +500122144 +1066496428 +1157254183 +2146807396 +1613585887 +1179744748 +1101395803 +1372462219 +1548440933 +1738739034 +2035817691 +784235218 +1870669347 +214676653 +464358847 +1750806165 +360512280 +1921967356 +594956166 +668167333 +121644276 +1179978791 +1711617145 +1724676983 +635896291 +1238001533 +277580767 +880699676 +1743225690 +756310000 +900969664 +95864186 +1822806429 +2058223847 +95187935 +1288908668 +1090484947 +1196583738 +513887239 +491442232 +787839124 +402221283 +1275677451 +511024824 +616897936 +1740036298 +114347341 +977410216 +1514520006 +709303507 +1645577549 +1636164282 +1889282298 +1209711047 +1213357618 +377694942 +300228932 +1490938385 +1258394618 +2043454622 +99764737 +11880635 +2139318808 +1922571166 +2070104482 +87023095 +1063996186 +1013105782 +1283606833 +1577883426 +1504548014 +2071445958 +1980104709 +632741817 +434987134 +449518997 +225294467 +549334475 +1426929213 +1739814474 +1258637982 +925023115 +1228495108 +1000436633 +2134734162 +294369078 +1378131575 +287479446 +1785307463 +489042545 +183450420 +1885072201 +500923180 +175285580 +1660159719 +423544015 +262308676 +576672258 +1436649797 +1545915509 +7072036 +793714163 +1469877819 +1987176745 +1426455981 +1904864953 +289212094 +1651750448 +306715780 +1716141307 +1244081274 +1565353763 +493680774 +325092735 +418306748 +480931288 +619461813 +1796438323 +768410734 +257285629 +137997220 +951861154 +2142357830 +638920401 +1127146735 +1655033901 +1062464416 +1389455411 +84222511 +351630565 +787887272 +91294547 +1145344728 +110281444 +2078471292 +424317061 +2015146397 +220199738 +2076067510 +174378530 +1936341046 +1172665136 +1739732293 +282538172 +1497757871 +10555393 +763469461 +2117219685 +1806993716 +1531880195 +227021666 +1944990936 +336257702 +221895848 +436427689 +1463404437 +1876929749 +1498892105 +705376200 +1961152261 +1850522670 +1493263472 +2052446808 +848383751 +1603544916 +1983434453 +1272700812 +1471207666 +56150543 +1201284674 +1645586196 +1992491589 +226466163 +1237834841 +127546114 +1724224034 +1248390234 +891015575 +1693960071 +907900302 +275412122 +1920981737 +705407590 +611669824 +2142877585 +1141835280 +2075074261 +1872323687 +493243737 +632966813 +1685992300 +196282760 +2126230286 +1590955460 +1044666511 +1582291554 +1426906265 +169883675 +906015572 +1483056809 +1371168350 +404118120 +1328064750 +1597634513 +1641952961 +1455610864 +1174374899 +742859547 +199142791 +720851323 +1650759849 +474554914 +494349412 +208683792 +1086224738 +489743350 +1350519072 +1013815352 +214583389 +1843762809 +1646782165 +1900575689 +2040045569 +1625528803 +1344047501 +937228432 +1060336710 +623470119 +1107112108 +1966352282 +2106526928 +330796810 +222986755 +1287108030 +1928431323 +1864939716 +595235247 +955322574 +460315616 +794378038 +1676173897 +2111075465 +1268932952 +23039662 +172275609 +207674043 +512783012 +1522794681 +1221489395 +727366401 +1219073843 +720787912 +480458442 +1111635764 +198833068 +1824505943 +2048864197 +1259169778 +300492414 +1008492657 +1078038412 +259535694 +1339289467 +1301025167 +1546643725 +1120237142 +1018481236 +2141878972 +2075559716 +1478796852 +788773362 +1604249966 +1442388669 +2057706315 +1627289628 +1614664279 +117896710 +2140072640 +989975312 +1339386105 +719955393 +61565507 +2060174017 +1200413835 +1173201272 +111523437 +877436130 +1074581821 +1370693215 +1177928545 +2083074478 +301247980 +1437464239 +1274880297 +1602273147 +836624316 +247633791 +473270735 +831019640 +175709859 +1952067587 +1619793003 +1779959825 +1246972609 +1530015670 +1259765805 +714153240 +1647912380 +1252354797 +1704128552 +839814837 +1972310190 +1765694060 +752505206 +1025240377 +791411684 +864028644 +1902676508 +1865993505 +87238211 +933121405 +1801584335 +388486191 +223101996 +928980984 +1990759339 +1059726313 +1176614775 +316546426 +1890745953 +1352324634 +121130366 +1363055308 +984800812 +1368102975 +745587330 +97082969 +2082256215 +246016062 +1349437767 +1638901119 +1085830899 +1174264309 +1257111531 +1838336106 +52021039 +2048523215 +554881102 +1954697547 +1767033072 +642119313 +740335304 +1421133759 +1030605505 +963437300 +202631095 +873881196 +2023163613 +1379245870 +1190427622 +1766425919 +584086857 +1311557988 +981997579 +1568887669 +532177315 +1727584910 +1665970638 +466949882 +1973600972 +867924757 +2105851002 +911948224 +2042189067 +1215478885 +602800682 +2094210106 +1116518453 +1157681784 +1901424005 +736067877 +1799801097 +494275661 +9717989 +682922954 +1457712961 +212349084 +1556804150 +1333392927 +1591594955 +599748125 +952335198 +28198164 +1911306113 +1934332777 +1597085833 +295999781 +1514434039 +1115572823 +762949663 +1340551364 +1983497581 +721317017 +105015940 +1878203000 +1936795903 +707816622 +1824929458 +905830708 +1865498406 +1578869815 +1641898585 +1517815855 +2073145476 +1651616574 +53255162 +1383374789 +1863965659 +1610059312 +569284068 +1308076966 +62323789 +1521619266 +1336275130 +1973629903 +1308468396 +785877315 +122146036 +675418787 +1901450138 +885095699 +2015970151 +1737464071 +1606412717 +2120986091 +1468183423 +1395724972 +681319065 +1145629233 +154072032 +399333823 +577015400 +1795970617 +1917149679 +502677228 +1300103544 +1970404841 +1886052018 +1016585555 +1432980505 +307852438 +177178873 +1495304295 +1829471705 +1513454003 +1321450550 +990456453 +151847670 +1443596586 +1665875240 +2053297808 +181208637 +1534361744 +1643278232 +1787621354 +1507864187 +963978007 +1035862678 +41699605 +2109607241 +1189934710 +441033428 +539138993 +838421680 +210699459 +1041816222 +2138525224 +33620652 +780384592 +1007627131 +1466601158 +1088237030 +1184806004 +814421805 +770225087 +550776359 +2135872355 +1760681540 +702624029 +1431985293 +1279073133 +608438189 +1613193930 +665951229 +104232773 +1253331637 +26331768 +1068210781 +141710667 +68031373 +1030334374 +1331645378 +509064802 +1569473367 +22583410 +719764261 +463805941 +13624986 +753384914 +1244190533 +1021252117 +72502424 +184943916 +58574473 +886924229 +955169003 +609350832 +875312936 +568366896 +1311974861 +159814581 +1847440029 +1920413050 +1773008511 +365907610 +2024645824 +878856500 +392239378 +945372957 +1020567168 +460270752 +1975707331 +204728898 +969335554 +1397697050 +227312308 +1689099815 +1861502992 +240937294 +295001081 +958209877 +1262189411 +367503505 +1143153793 +1320763884 +1254427734 +2098322797 +1930114716 +2129740670 +519206045 +1094605929 +142071603 +219162426 +867535331 +1915080115 +585070036 +744697507 +646452967 +977309414 +1690070464 +1667020135 +1437580166 +1518294147 +1871749033 +259432072 +768507550 +2099061341 +1948531888 +482526894 +192514987 +96049321 +1440736771 +1454704398 +463552827 +436406917 +627984634 +1717980561 +387246066 +410615702 +1700237584 +906452111 +1505221631 +1842309187 +1125614537 +225273315 +1609905654 +1710684573 +969970822 +108874974 +540510339 +512557639 +1775895109 +1978090506 +2030851786 +1500160495 +90038930 +651875688 +1451738188 +2038570818 +1134402582 +1644253176 +2134620140 +427655706 +951473926 +450689319 +864062623 +1579458561 +21186232 +1251308689 +1990074263 +1721423816 +10277152 +1347812247 +1416249356 +1135891689 +1573085562 +878671362 +699092614 +395572736 +987546336 +1239602953 +908130375 +615957798 +1070209811 +791498514 +2116118293 +1160248742 +1443374202 +1420372833 +1051335912 +430293137 +917142361 +1038472404 +857948843 +1868616288 +1489161723 +1722011466 +1300591201 +1510347956 +825836507 +1143181816 +1084288124 +836113659 +343510415 +353053832 +1972005348 +1916595977 +1231725195 +523614314 +164685066 +71787883 +1763217267 +1072815441 +687745681 +685943431 +1864313955 +656380326 +1846192173 +1160204510 +2076753160 +750044437 +1590497647 +846411873 +1788516842 +300962842 +567544513 +1130194917 +2022974308 +1868135714 +493059225 +701327167 +863833883 +1577347350 +1537440826 +1207344298 +1930401182 +1361962526 +976456628 +1014642729 +1885576840 +1141141694 +1086430613 +1501310459 +66473487 +1774176294 +39770242 +1930787443 +283072973 +1885962415 +943508305 +212342485 +488523205 +386522304 +1058754358 +129556399 +687485146 +1626298872 +1259751316 +562975806 +1346950938 +1752810542 +1264302973 +63301173 +1182674244 +654260151 +1270645472 +965591778 +2016222677 +99618452 +1980234508 +1754315869 +1240760146 +919181473 +1108142680 +1307233633 +545874119 +1147912923 +1090537428 +828947092 +886391690 +2034045733 +1041289577 +1374914895 +273084389 +2100043936 +1504471294 +960569535 +1578859160 +616738963 +1523545341 +778326450 +222065857 +640364666 +841627624 +1404740101 +1294624817 +2112273096 +222848231 +1163363846 +64407900 +55599091 +770196067 +1305168046 +974780564 +1878338748 +464918031 +1520654684 +878768023 +1555455460 +202118128 +1765159713 +1442017545 +1243407706 +992590961 +1715101935 +1195967994 +349578607 +528187822 +627343506 +966317570 +2051733164 +1405669956 +1188383427 +544614182 +99813932 +445639880 +1839239000 +64603380 +668488112 +855119198 +129011280 +724087203 +1625315266 +1434179326 +1698867768 +1356170366 +1899097358 +1072038804 +87454741 +1307069170 +1274156932 +1852614454 +601603067 +370080990 +697721767 +169221354 +1566048984 +1047300375 +697409177 +45908842 +2013617945 +601658693 +1451578799 +1054517725 +1146272875 +1551392731 +1500157605 +838028227 +1615996112 +21162069 +1693147426 +1745007392 +745249273 +1170979044 +1031703071 +296633393 +379665762 +783316781 +1368672197 +467120503 +2090385951 +495345481 +172251309 +544505370 +865426472 +869973077 +713726725 +283991808 +1917273452 +1411135902 +329900651 +1783407749 +2012794595 +1781479450 +690441826 +1011583822 +1185388533 +43115784 +1849612050 +653900997 +64277853 +1395275828 +251424742 +809527126 +418771224 +1283127813 +1106160519 +798436986 +2066444594 +327349068 +1265557489 +2009346897 +822694550 +1437808798 +406368619 +1688121022 +160298227 +1120095344 +1972112830 +2077571679 +383747598 +154529833 +1713495781 +249058545 +1936009283 +256453959 +1260642368 +973914169 +299569743 +962770770 +1627815166 +363847597 +210562950 +1879239908 +1173374723 +629334174 +1014884073 +132051595 +1427771160 +933845019 +459400663 +545845001 +795708268 +1282095213 +1983653799 +1202076888 +822732587 +2143952027 +174688584 +647361770 +2074040058 +558436183 +801891603 +1640052191 +807494728 +590417239 +1896506151 +2068137096 +1564331408 +48592246 +883424218 +1044662926 +412439843 +1093987168 +776419187 +1585814567 +1723321342 +1791303260 +1717866162 +1003608854 +577664632 +29783177 +1549453855 +1373372900 +1311878391 +1385624007 +427966140 +2134610978 +1382092386 +602654725 +634489100 +1308648796 +1161090908 +1436380704 +801217340 +1968585636 +2026797943 +550239843 +1889239085 +1443645703 +598832089 +625179655 +340824981 +1011271933 +1719166824 +1117244168 +449602852 +1295004518 +761063781 +19985366 +151129725 +1338728413 +49768543 +1700583580 +564617665 +1361646934 +938723939 +992583806 +1348774265 +173332677 +1595238531 +1983263365 +1481981474 +608845791 +1272160421 +135715166 +429947779 +1151474716 +685955009 +171703216 +447636771 +1284787098 +796882872 +788461753 +148575383 +368566048 +1905705921 +598178235 +1663570566 +519286054 +618163601 +1814700291 +1858014467 +667932145 +1367800224 +275148485 +2029579079 +159040515 +1267732291 +1230869696 +332373193 +715487174 +1066649414 +1814354667 +1324332965 +191326187 +1950069833 +1754280744 +1342800904 +488541194 +1925983961 +1790437675 +1773328292 +575383185 +431415780 +1921903676 +943949233 +189638054 +372598263 +460036151 +708924108 +990761865 +127252795 +419454928 +1658694010 +1495053019 +694603413 +1540789441 +1654093534 +1962335704 +624175490 +1986466727 +530339230 +1690824904 +1653337746 +1854672195 +1882151091 +1455923931 +1461469291 +1077468347 +1944465125 +1239969604 +720422375 +1570309770 +1815352789 +1151838155 +1344729798 +611818374 +1341476209 +1717328061 +1071854526 +2050400318 +560606278 +1199107321 +322371598 +71816640 +546676692 +1016975011 +1612606082 +53286578 +831827067 +89297924 +2039753306 +1362166297 +1780122828 +1545607404 +1069354844 +1514790271 +854047688 +383340487 +444774971 +651029165 +1623310092 +1165197346 +73855287 +1291179233 +169551853 +1418585085 +1902997608 +1511028063 +988429499 +827368486 +1413944733 +1549035777 +2026475807 +1736316331 +1620852418 +425668851 +605807694 +1085974852 +478955429 +1437634761 +1175272776 +371225087 +652317410 +807911956 +1916832492 +1721672254 +175218579 +623396532 +2105012741 +619993550 +1274425697 +1580839185 +1785190896 +1348280985 +724534771 +1954742750 +619382422 +480048731 +1318287165 +1607811921 +1307417217 +584748250 +1009364051 +1186409376 +173580933 +482732821 +1612078227 +779388627 +1568707673 +2091033656 +69539740 +596496801 +314775096 +721857150 +1404408757 +84123940 +296045756 +1579627336 +707520472 +253574849 +52137239 +1981946169 +1834414035 +1837328135 +1182743506 +411465158 +1644587237 +1802125929 +891513889 +815390754 +1262454202 +51447458 +1400139004 +124334605 +1237856834 +1573719937 +607067426 +702451413 +205624916 +28291451 +646001421 +275164656 +624788252 +960776517 +997021806 +2029197009 +1044900457 +1293067562 +1461340698 +1752420929 +1546642412 +1513477937 +1586883451 +1233572799 +1203322424 +622143309 +1645037957 +700426014 +276785590 +389068198 +1515816768 +1539239793 +440515656 +768472125 +1663574398 +1678372490 +194708414 +123158177 +233340255 +400333331 +151449628 +879341676 +675497987 +776237881 +1840118194 +1672519794 +657951242 +737535003 +818103708 +2119291940 +342472285 +217262472 +1485286229 +1929355736 +1450835271 +541125006 +404015397 +948389580 +1241551020 +680800988 +1337457778 +609884140 +72557133 +1777973434 +1378356265 +1736131531 +1308862276 +1573064680 +1859289708 +1542202531 +1973398011 +2010739337 +274060560 +501412350 +639493570 +2114178754 +26448496 +1297444812 +704230109 +844552205 +1269253105 +1046702394 +1061814677 +607055686 +828574482 +365166301 +1148180692 +1232589880 +1313555881 +242248064 +1913390868 +503530012 +852132205 +1985948001 +134019798 +83004822 +1574595884 +1442882075 +1656069502 +1286401945 +837600958 +1481983865 +1149657634 +1111661518 +1983396216 +1789151204 +1078356624 +2009844712 +939112368 +1782586734 +706913269 +60881825 +681805480 +1768727947 +667937512 +1510379963 +2133894248 +1816118204 +595486195 +1299966481 +2058366269 +361393415 +1803496493 +763014826 +199857768 +1937516292 +846019648 +1774453652 +1232914719 +354605503 +913371949 +2070515677 +1836589368 +2063029583 +1034693548 +1672501936 +1704697139 +2113050172 +1534863001 +496325860 +1748153258 +94292622 +557207685 +282475091 +1863020569 +1225145197 +1792855054 +1849431169 +893779754 +240857601 +1001914003 +804662375 +602251016 +657926848 +1567677201 +802108784 +447959492 +266213201 +429078788 +1680874211 +620818704 +1342450738 +1603906241 +309924425 +1257996673 +491116141 +1982426361 +815210165 +456682665 +1369805714 +1311536025 +57352276 +1464098337 +1868743710 +339827367 +1179635258 +946405260 +2132682421 +881582780 +1840185014 +226056374 +1883496783 +497363741 +828307390 +393939983 +2065040942 +1630416174 +841899476 +183770495 +2059494962 +375290039 +804589200 +1254462052 +1979196280 +1114513625 +364975078 +322828773 +949456338 +1180185243 +779511439 +171778405 +344237620 +836863715 +1635876742 +65497682 +1176691082 +668028352 +1011902942 +1161889855 +1549611132 +704604308 +1387946229 +1285624267 +1201968049 +68769971 +1679564251 +1119525343 +1699186145 +373980079 +1303295839 +1611197459 +749270118 +2107885039 +718175864 +580982751 +1074915016 +1083150942 +903811524 +2024371354 +115852537 +1683322963 +48666111 +460090157 +372703030 +1684542853 +525587839 +1549394112 +205087558 +1537490782 +563800319 +1754698690 +94611442 +1951746548 +892839310 +1296579492 +2020516519 +424919913 +268621187 +1572219016 +798899992 +1571917026 +1035932828 +1548170110 +1532318417 +1754108692 +2129152861 +459749785 +689775986 +885480738 +336637492 +805628523 +421320053 +385303603 +1265718680 +794023084 +2069846457 +1791306519 +195933548 +127450367 +1181313653 +759733868 +1882149057 +1275925096 +563996768 +627504719 +425020940 +437029640 +1052424632 +693642127 +2009248656 +1851324624 +118075506 +897697836 +1252011087 +1650393923 +504322880 +1233680300 +2110143709 +1194098866 +2119161038 +299297553 +1999727389 +392997444 +684601156 +1117962421 +1187020528 +606963965 +761785293 +1382954076 +734414332 +1943098946 +2142687944 +469079742 +1071540394 +559201065 +1096584461 +1496561334 +996230705 +1525446 +42719814 +857995713 +1852850070 +160795320 +1755693550 +957377509 +1811189243 +112532782 +43574162 +1773849304 +1306631649 +15251552 +2073146857 +1158875390 +408248996 +610264366 +129354164 +1595269524 +1217228331 +891139457 +830739953 +1951642664 +686754755 +825944249 +273238758 +1758295150 +1385145314 +1369823219 +1107372836 +233892371 +1371348665 +1150092650 +1091888085 +1076715088 +1310887970 +700097987 +2034092597 +974593566 +812630769 +2077666759 +600959222 +2119262418 +2092918312 +526622432 +1130654161 +353683660 +1136886798 +1260008325 +1948953185 +206631481 +3664134 +632209490 +10790497 +690418889 +1458153739 +284029255 +301230391 +695815406 +1653852475 +1408603228 +929707777 +877717492 +411212230 +2021595862 +1954432580 +1722100201 +574210201 +1841041530 +549210119 +1386840971 +1771224641 +1150169341 +1358619741 +1716659305 +1676791773 +341790254 +2070342966 +666194923 +1601798579 +1871812503 +872826405 +1605462713 +356538345 +883616902 +148397955 +1814692084 +1167646158 +449628346 +363023842 +674014985 +1858231574 +1292731620 +1551732477 +121960157 +1166843834 +1358681410 +1844060358 +1741054036 +1052239292 +245786829 +980411359 +675980285 +1395956170 +191547452 +245155943 +925264296 +533337707 +168015261 +1591459219 +2135136286 +2039827764 +316801976 +1593115352 +248882461 +1200418879 +1741513307 +2063574545 +220581389 +43658005 +279114740 +894596374 +1901889580 +1571846360 +298845203 +2023849737 +591206546 +1657526613 +1720426447 +184776934 +562282257 +1966213276 +1165188293 +1238262543 +1214685798 +1356735746 +1483418486 +2139950094 +1890073453 +1651433747 +1583925666 +1877726091 +1543777863 +1900727642 +1323357795 +1792660324 +953662873 +917387454 +1708751221 +1174244262 +961045460 +1987865961 +2068840636 +715451392 +1412228673 +220202192 +591817481 +2003435220 +1877728805 +164760280 +40728506 +292527415 +2130973556 +1205916800 +1530789958 +1198175706 +415168898 +866724796 +1190642153 +157758703 +370674895 +627084171 +2035484794 +1914452758 +380328165 +1211358942 +1559629434 +1333991039 +2128746396 +1120897007 +360751653 +942308208 +961279321 +282108642 +1657759600 +226024346 +502310834 +102093433 +81975918 +232555991 +266853713 +122704425 +525083406 +250343621 +1328621225 +2055873364 +1448519328 +1743790123 +775114512 +491677833 +1901548826 +1145789407 +1118762004 +1789549972 +912758517 +1499090169 +853425266 +324904303 +685597560 +834688015 +1445801311 +1046349214 +1776996223 +259596984 +1328457856 +1287272176 +485621330 +1830768690 +1389365609 +567597249 +2063324681 +1656219323 +690301674 +440924440 +1906562944 +2018922899 +349314156 +1207598624 +1615229374 +1124428669 +1699276457 +1369294552 +122734428 +670554813 +1011360876 +1035492946 +22161335 +1864786143 +1360397249 +707758895 +551990510 +658714912 +1754108109 +181503085 +918311896 +935082317 +1468775261 +1403933227 +618367359 +710657223 +1971530476 +534208393 +219392898 +514348502 +975132833 +2125955842 +385787753 +1324446989 +1186070819 +2001017127 +301392010 +737863628 +1222828031 +424126439 +1408418442 +86705259 +1459619385 +1430579777 +1951491402 +672532986 +2138338672 +355998264 +1331247899 +1744963134 +537501350 +102076147 +532561803 +2006276611 +1506009374 +1150929163 +569450186 +1330056202 +1685137556 +788843084 +1844404704 +512786741 +767315279 +82708809 +1837233730 +1953386098 +2083725936 +2138625741 +543766078 +1159070319 +415268532 +1952184520 +1245775579 +1874887917 +1235280649 +1049783333 +399937255 +1226135674 +1405781598 +1731185154 +823615160 +1943282948 +1833261302 +1356176963 +1802075911 +1191787028 +359622478 +224042450 +374359583 +2044760034 +1012885534 +71280639 +410063127 +1780200813 +153989449 +99813210 +1586103263 +90231737 +90955303 +2129869342 +1249302057 +506223835 +1934570214 +347593988 +233628104 +1022367216 +1397377321 +633565359 +101019242 +655675271 +217266866 +924634402 +451474571 +2050528168 +133327717 +106066835 +1094831548 +492950196 +330109285 +1469191131 +390226582 +1342994819 +1540471771 +800289710 +975711985 +1694461220 +900102920 +414331600 +1784692957 +991058223 +396717294 +886511366 +1497282058 +183803861 +1234105354 +1730910162 +1206171077 +483999028 +216991873 +1307190319 +1139674299 +434258739 +84341073 +1591148871 +337303259 +217668790 +1697215706 +1432134808 +710618986 +2027324991 +753842291 +1100845569 +1222836162 +146830414 +1901135279 +51064499 +1841291634 +653754551 +465396100 +1478500944 +1644812774 +862113394 +217528662 +994611184 +1045917255 +1451634017 +578037698 +104604684 +1935633045 +795029571 +1411795003 +927823696 +1229288311 +1496136076 +371488919 +1566591570 +1713804867 +2068704625 +851242730 +276940205 +1948545968 +1605085022 +1377785774 +1023898483 +1751915436 +1131437405 +1074962982 +1445723423 +1785191956 +1540359082 +776740719 +1282521082 +254988829 +994269381 +129648618 +1300906084 +298419750 +707686316 +1405510769 +86569147 +1502715888 +669822124 +1014392844 +584520551 +18474553 +1385881763 +3628473 +1732279420 +1307102741 +854871204 +2009219625 +1108165061 +312472578 +1239521752 +2132063544 +2064388014 +223475509 +1059542879 +1362627789 +2008667466 +452418313 +2139368508 +1143704900 +707407142 +986154242 +1273353519 +2008313227 +1284573992 +1981039835 +1266340348 +1371143140 +1336272075 +1936162472 +238052336 +1920792626 +1954637025 +1623934099 +1924421100 +1539432797 +783553192 +631808656 +1401168775 +1891718254 +944281234 +493206879 +1876298150 +861185600 +716682388 +788357381 +76329742 +577866206 +1240775695 +68214602 +1721571107 +1948182837 +1054368844 +847440978 +1809012416 +191459189 +680997165 +927869116 +1562602329 +2017269241 +716547941 +1800654665 +1790578219 +523701318 +1277105116 +1567515671 +2063134116 +2060658309 +51840679 +1316819243 +1804892915 +996121913 +1810026122 +1533707417 +1857307514 +379224862 +174581151 +1933637256 +957091069 +1415356846 +2001851858 +531178528 +1216056035 +908737055 +1378619506 +877584804 +1100196244 +2059616671 +1805453920 +515314925 +1929402264 +374518213 +168485942 +1572496836 +898219532 +1445591058 +992528859 +813870000 +1358765719 +1044369539 +2130689243 +1016174986 +2040491452 +1793231717 +402398756 +1750315318 +24972931 +576979907 +1536468926 +982064000 +1992336753 +1390837137 +1513242528 +1060909140 +152090544 +744378386 +1938493944 +1252286788 +656511410 +1596464217 +1767601713 +438430026 +1970982430 +1936087655 +2010926862 +721718314 +1234195065 +855972074 +1535588314 +445477137 +1900341613 +1518793909 +1461652123 +1793349417 +1164541978 +1864050879 +1396181088 +1189514910 +293547138 +785166366 +24095262 +138400243 +28519855 +1537337791 +1199309384 +180610399 +134232529 +990319680 +1432897187 +790743939 +439300249 +1053015252 +1229173966 +262799032 +841619259 +1092617180 +984517346 +2075814325 +1948589254 +372622013 +373807814 +1701447219 +1891415922 +1835459937 +1347312989 +908474253 +1552027169 +596010429 +2097989163 +1845574307 +1381176795 +2122084425 +1983974551 +1409696651 +1511938568 +1035800287 +1590307050 +1646171098 +2026119967 +875720590 +289431389 +317936569 +1928735842 +1518605355 +580735601 +622871454 +463738888 +1565252947 +551202131 +264844494 +1937874960 +925009945 +1966291714 +1681807235 +612986234 +1166121055 +442797840 +17529755 +1762131484 +393303355 +1863104063 +995824631 +367904132 +1699594966 +258037634 +1879842701 +587911605 +1848344685 +1378530151 +466547924 +576581627 +1667961540 +784484493 +357833821 +1039083248 +1365220094 +980705275 +1502822136 +782989394 +1531907406 +1767666630 +573380706 +309433703 +1586474696 +107704293 +922419938 +605112103 +550502133 +939949693 +219759939 +943805488 +655570108 +1215584571 +1311709621 +207681426 +1473622205 +1044068674 +795593031 +1174483242 +275115177 +1262140956 +1751064869 +1943076717 +2046625449 +2108898691 +834676317 +1264361896 +942120318 +190014805 +2047351290 +326544077 +1957681436 +473248348 +635977780 +1396672484 +580952642 +1558397718 +2001784588 +1131454775 +350863764 +74060879 +2075260264 +1006433872 +1289645450 +1239486237 +1214115299 +615784008 +136071263 +2009708330 +1790267250 +411186440 +1124365638 +1393848472 +206779509 +1023507440 +1355263515 +1041455827 +140385688 +149900185 +1231470632 +40253330 +476444262 +1041668420 +513501678 +1112422043 +290857257 +1094454320 +523336113 +145158197 +78425448 +874199877 +219219076 +6202064 +1880633750 +1508864527 +1245688301 +947265401 +2124648535 +1381759564 +809490083 +1767432137 +1792946004 +1933855722 +1013796961 +1999725513 +809879514 +221576828 +893697692 +950265202 +371477014 +2125168325 +990518532 +847921276 +1019353097 +1504020210 +1960343319 +1310210354 +450990883 +336195785 +1455368551 +529416331 +1210395662 +1674587628 +535618395 +943545764 +1035968507 +1781306696 +1890811165 +1013133394 +1015582612 +552817601 +633081883 +661044968 +339189675 +1646878845 +513286833 +1149069189 +1868455673 +1406984526 +2099334391 +92449039 +1384669203 +942369275 +940370316 +256538652 +298905837 +753229987 +1566749007 +749896720 +1089425772 +874633910 +1279313051 +152337787 +401737890 +1814931446 +1095883551 +1437706397 +1448754494 +839211069 +303356143 +316853458 +1392028670 +936438027 +977898426 +1731218345 +435833224 +1491185260 +732803886 +156805249 +750686138 +684654629 +249254289 +2135355341 +1627023904 +1189624605 +244410345 +1925929741 +1942854592 +1811159352 +528342814 +884796717 +538309615 +1807655865 +1037134504 +940047505 +1475103664 +2133018055 +230270255 +776374510 +824745476 +533626398 +1093227969 +69290498 +1470064425 +2071126395 +1800508843 +1905897649 +1414828007 +385829081 +2062702899 +18030497 +1070483710 +164473540 +5902190 +550023966 +1354098145 +250312536 +328470060 +1149469089 +2061471888 +856812874 +2034265806 +452297855 +516985091 +923916662 +1392345361 +1992088755 +909451070 +1622615616 +620979618 +1734196546 +8758366 +1714207587 +1803487045 +1478822792 +1637850334 +1456512240 +1237236793 +905194694 +1842341322 +1152456044 +923225191 +765341384 +1316929584 +929127382 +1315365351 +523544081 +1179439918 +1643835411 +1673013171 +1093428158 +353164637 +1559795329 +1545726014 +870149728 +336228344 +790587727 +714754836 +1245679414 +265719695 +1335734454 +832392312 +274478061 +902458393 +488395709 +1753300853 +392825079 +1944907950 +843053999 +1298019773 +1639765624 +1995510043 +73761317 +257623360 +1164955980 +1002888699 +1572988711 +1688500061 +34844969 +1069340474 +1214029584 +1128273127 +1422505111 +626341266 +526515493 +145171192 +962569610 +1317103220 +859926028 +60765376 +1582822915 +48176834 +893157688 +1857300977 +950635227 +1381553398 +1463118182 +1343460306 +1178977700 +158688533 +493996432 +671259676 +6714929 +567757749 +928883036 +1171670909 +1570646448 +354388100 +712687322 +1605491417 +1423728574 +1926716907 +586280896 +698750038 +405574525 +1112796390 +843921230 +1368144135 +282415962 +1703847258 +1428909511 +1865238878 +1752024092 +174583551 +1575056207 +555175671 +1556136949 +890690741 +1898635977 +587631001 +1049379275 +245148761 +1258890677 +1056094204 +812906510 +40290066 +80281465 +236069310 +394678166 +792968787 +1841560727 +1818406740 +572202046 +280357976 +369673130 +977776571 +1393154366 +1213594360 +198437058 +1675570328 +769957970 +1627346569 +1393325558 +374498414 +1801930121 +820898117 +929674085 +1210583422 +1711588859 +680826415 +1798214424 +613484486 +925975176 +909621453 +1669578690 +1738881687 +949911519 +1749860155 +1974950997 +1344589685 +395345294 +1669028077 +1015512778 +967547341 +1949386053 +1385185908 +1945323912 +1195056771 +451296621 +2143760971 +723143451 +1221254591 +1623623892 +2116469010 +1595753006 +1278070365 +789883479 +377943443 +341170140 +353988690 +1058769858 +2139384564 +967473176 +1984745035 +901522369 +489568218 +1576143074 +1851433889 +91944725 +1403610423 +1048539926 +487290020 +925154852 +2064052704 +1454837361 +727057257 +1301754965 +1252677625 +1922114028 +1753051586 +1248954948 +497773832 +826822529 +725095193 +466759194 +275091887 +2003165558 +1256642673 +653035331 +196852050 +1610631364 +1711805189 +188752966 +430620892 +1549066576 +1090275336 +920189111 +977726002 +794225577 +1012133836 +233852778 +1842765503 +1499423856 +1159007630 +1759334560 +806777569 +1886064888 +913605877 +2059455195 +1660695268 +519173815 +1160926495 +10985452 +1345996344 +1886021688 +477744646 +1621088232 +1741703599 +1734387320 +126639915 +1938555649 +1197535036 +1838445104 +2127308616 +1628155928 +1240028033 +1070100304 +400861391 +70270387 +1864325881 +1412995228 +304123165 +1559607736 +764935436 +1463130796 +1171458648 +1571713006 +1201712036 +2085064525 +1483684553 +714923656 +456754692 +497127400 +725909109 +1802751037 +235665441 +1203653755 +1276355621 +1977369040 +790557427 +1402995536 +1768441041 +1988092463 +1093956992 +1748266009 +1468764744 +186501377 +670882665 +1869626135 +256771765 +387724898 +1135137715 +560894930 +1947332635 +1900073152 +2024025726 +971307635 +1324302510 +1078254114 +908888513 +660503415 +1793177771 +1365643205 +1157630815 +371603232 +1020910594 +1393296256 +1575256987 +149782567 +1223181648 +218330767 +1552778103 +844139042 +58939582 +499251448 +444921403 +1527704326 +685752825 +1115804069 +1249846814 +942524590 +1503528967 +237500881 +1503419521 +1303377954 +2137574033 +1379961599 +127201942 +1314392895 +310732066 +1036090455 +1974896310 +2103909837 +254250012 +985043478 +328029421 +1275160607 +230856086 +1903286408 +1424943174 +1454037735 +2121617175 +830237630 +150693129 +33073110 +1329489078 +595614532 +1560777436 +2015241903 +1711418601 +663140602 +810282846 +1067463921 +900641484 +166218719 +223358227 +890731869 +1546180318 +350560169 +57641117 +1856912384 +1386650624 +2032537427 +1813338573 +1640900637 +870097257 +2141367994 +768577596 +1100953344 +1897170755 +46037122 +407507431 +1871304282 +876274752 +558200560 +1904377392 +58280182 +1153815092 +1317671181 +2073522086 +717750046 +1980811783 +736321284 +1785213967 +733969619 +902540003 +2008572194 +1624701489 +301236673 +211648716 +1682342606 +10665410 +1598299340 +1567396385 +1824003983 +1091716329 +290009995 +1817888330 +1860293925 +1390963339 +1567575437 +1906331048 +1798470770 +1291396071 +635122152 +209187682 +1048289816 +693402335 +1363002774 +218477349 +619440773 +2080752820 +51805484 +1355762057 +1718483139 +785775104 +110818412 +1579571686 +262992945 +412055085 +1791220402 +1945335551 +422720495 +1242036094 +1365248288 +99240831 +186268776 +1655258283 +1917129161 +2046562701 +898737974 +1337220950 +1805410101 +549725096 +481133373 +293048606 +758912778 +1529423189 +986450941 +2121915553 +1747900538 +1605891714 +2055184725 +1799706023 +814170123 +1626184217 +437997479 +924988535 +1058272255 +700990424 +1337043620 +702009009 +498842327 +1759764116 +1944045103 +1864090615 +1859004947 +2130313879 +1371865251 +1628650460 +2029392933 +123119577 +818387762 +1687319386 +672844674 +1299521135 +1980367992 +1431757452 +681460677 +819335285 +1406189357 +281877567 +277743351 +1313890435 +2081583590 +1091913474 +792591004 +372097421 +2016902009 +1850863259 +1073087845 +1206461982 +405388620 +1571930172 +818742450 +201950075 +1288537140 +530263749 +184780307 +512918743 +11430561 +66689592 +636038320 +829818323 +1754008978 +1308882994 +2129339458 +1586893323 +593156799 +663316487 +258744960 +1999346156 +945194055 +536488312 +1165752943 +879293997 +1628401786 +1958343947 +1251391419 +1497820148 +1661723558 +176995616 +556798482 +2067112178 +1748925789 +1375540932 +121578606 +889979281 +1905804681 +306358913 +1402898024 +1917235242 +373048505 +2038936344 +599569917 +2127057483 +1200335691 +581425727 +1566467158 +1793492490 +1244742215 +1825212119 +1645354998 +42452622 +214216783 +663624294 +921746619 +1842618569 +474484593 +25654390 +1192955069 +2136208152 +202650007 +1749753551 +2055836682 +1951575796 +977810835 +29931640 +694071429 +736131868 +336290553 +2096969453 +505883462 +709339058 +1988422149 +1105453379 +688912894 +1041274192 +1686879107 +107896404 +687283034 +784137674 +1933108523 +185154385 +826590296 +2147325306 +848778679 +1748336915 +1842460228 +1323263272 +1773991306 +887931649 +1311987776 +1976641313 +490201553 +1220340811 +1780733461 +1468012388 +1250272451 +327321242 +56660609 +1586563005 +276807047 +562544071 +148418415 +117745548 +1667997451 +837331309 +1159019741 +1207392910 +945227714 +1846302775 +1991530584 +730852589 +2031457160 +670637232 +730694248 +732752191 +271490499 +425670828 +2056015464 +2045481805 +1313602477 +1220519592 +1874639470 +1803804030 +293376755 +1507889283 +1124332771 +1543649207 +1835210525 +1180993380 +982728564 +2112017572 +1743537451 +1131146979 +82279473 +1264051254 +1968478289 +1241299214 +323960516 +766222355 +940118341 +168007452 +1497074944 +824091854 +838644684 +80285544 +1556844045 +1110135184 +505956372 +1465375861 +1008133341 +1819558850 +538411806 +735289164 +1475879232 +831788561 +95694799 +452728355 +227954120 +1930905325 +1633721735 +1210682684 +1895439249 +1229775539 +194346016 +1977718722 +346343145 +15340657 +1071534288 +670303662 +781563012 +2011652630 +838311114 +131154308 +688260836 +1676955799 +211439853 +97621233 +639607335 +717396225 +1562997095 +1647740676 +389471427 +2101408901 +235546192 +1865350660 +785713814 +331240992 +170595367 +1013667935 +114662669 +1804317103 +76866971 +2010101918 +886608994 +271212987 +1840336993 +1232952139 +286553644 +764387633 +1903255801 +1068116656 +628556615 +594083268 +1199270965 +1316817451 +123555419 +1410710818 +1414438685 +763162754 +2128107043 +829952132 +263419782 +370094823 +783877385 +498965975 +87961835 +1569591199 +830206967 +258557202 +435775486 +944869636 +2062874305 +512642458 +807487906 +801999651 +783855445 +500341251 +2034951791 +1070409090 +1264728885 +1790723944 +2138525746 +1893285500 +237323564 +1190313063 +1062619304 +360878983 +453540233 +329574341 +1124041737 +434163629 +1159526473 +1387461520 +804258452 +1943403858 +1886427495 +892220287 +1365511409 +569150814 +1150777489 +1801286896 +1514020450 +1066168147 +166445706 +174024708 +1868167798 +950301151 +674365960 +1755635941 +2020710241 +1939094845 +1398876238 +2011752340 +1684896697 +1636199802 +1054581755 +600032353 +1997078786 +1508121989 +929606694 +973636875 +1942285618 +2089133167 +213614747 +599060422 +1885053377 +2100042242 +1491280709 +1103081139 +521709408 +494574550 +756884387 +2035729858 +1560742697 +923330093 +62270919 +1281426848 +1873631244 +736636879 +889579141 +1746857838 +528248076 +140971731 +1611126530 +65661125 +1777171534 +518224637 +665693479 +1626766672 +2026346626 +1595300173 +452919899 +1821148596 +1536949693 +666534647 +272725370 +1274519422 +619093241 +1764006079 +230116913 +1140802650 +111096982 +987001300 +1029048860 +1671839679 +1910331393 +1091319779 +805782879 +1636478990 +1827956658 +1695362021 +1235853180 +208721086 +1836333752 +699496062 +274382212 +1466021638 +1217720699 +940075691 +945304662 +1096583678 +387892216 +1398224562 +770248626 +1924841909 +2064759209 +1042973997 +1051877684 +536368802 +659496428 +1281994597 +1677171452 +770593410 +121512250 +558736665 +294949442 +2031843643 +1650056444 +1100732321 +1520838985 +1330529455 +648610694 +609208517 +1539250541 +337460799 +1308704579 +1813632753 +1803482437 +378941631 +606224796 +601303452 +1475525309 +994117013 +1999528014 +98290287 +771475274 +1916803575 +1141264284 +1823352958 +305688729 +1800760713 +957863908 +1982860182 +423870475 +1079376158 +394113199 +718819917 +963736153 +2044169643 +1819552239 +337091491 +1227215450 +320679285 +946300008 +618982344 +658140084 +107520940 +285131449 +314138874 +486462571 +891356246 +915442326 +1961987880 +1885473259 +767486692 +2060278167 +509464885 +536806619 +1054058804 +185334196 +842495348 +707335869 +1143198104 +677871882 +1131206344 +75090614 +1071985081 +1850026262 +1038826767 +968671077 +1522094853 +1375918258 +48402879 +1842774138 +174734619 +667385223 +353430575 +282255559 +952516673 +667569449 +768718130 +1843872919 +1583011775 +583222362 +1581862530 +203014819 +496016881 +2091327415 +739821438 +1550075685 +129177963 +1582316786 +109927906 +1272376067 +112705021 +1241134251 +1347466681 +1184690102 +943676865 +238809801 +5877531 +318288070 +1614728059 +54280411 +13578560 +1789462678 +721665634 +367009135 +2071718237 +1674182307 +1034578584 +692952719 +1370571578 +470106711 +1276175081 +804950460 +673121530 +1772191963 +748794228 +1412942968 +1174784000 +877972191 +847776107 +1284711907 +2864611 +960481128 +378362510 +1350331292 +2145171230 +1322039375 +1589141093 +3565114 +1640327445 +1056385505 +57845525 +1653906005 +698364535 +779511159 +2020915141 +622599125 +306209819 +908010077 +1315551844 +1676781397 +1378116789 +444243278 +334248210 +2051238319 +68951593 +1083042438 +1316697640 +1243735593 +1961014629 +16990099 +380963852 +1963879240 +977471227 +759326362 +1166726885 +975158809 +2081365737 +608384330 +978723923 +1574209534 +1664769835 +1036569448 +1080631892 +215650723 +1816080608 +954063385 +838249848 +2122290427 +1862073462 +6318044 +1651588176 +1092706603 +450561322 +1985836386 +996461275 +519512915 +921395176 +165675267 +1763248509 +734926158 +182665366 +2144212361 +551321750 +1160136593 +756055076 +1718048635 +2135295402 +689937165 +178949318 +966535678 +116663052 +1843719153 +2003105126 +1197294944 +2059369876 +1671702086 +3874681 +750136076 +1646508865 +1865948143 +756454121 +1150613394 +811171099 +1207015443 +988966132 +1807632374 +1726528359 +1910361309 +1973307641 +1342293220 +497803819 +8489359 +1339021933 +1049125569 +1168625952 +2095077009 +619690557 +1156437706 +637530527 +798639875 +2122973384 +754193579 +494875380 +1978594863 +1951488523 +406761609 +1502813301 +1955363204 +1156897685 +1001838519 +1673827699 +1913351806 +4968265 +337515150 +972883602 +993934397 +2145147524 +551928313 +756812058 +1970971517 +1894221533 +1254615877 +1979460876 +1085759818 +156257799 +1000603180 +1033353180 +775948356 +9557239 +1670883707 +1574588231 +2132530623 +277593638 +2069463611 +1963641838 +81598513 +328741572 +1318971492 +2036961717 +1485639258 +173326363 +1563305768 +1251507416 +178294628 +1900820919 +76907370 +1172229025 +1898484795 +628835683 +1929041084 +1721972665 +375573568 +1036173313 +1553949893 +1461333387 +1192431112 +407069426 +347202919 +1968379468 +416626665 +2018086626 +1395484051 +401673640 +148196616 +1317464015 +217831831 +229795129 +1646205587 +1536803323 +119273198 +984361197 +1710129686 +1682578966 +88384966 +1888424314 +1435916237 +165292336 +913169691 +1186917385 +794128020 +694727127 +761406402 +1169701588 +1730900441 +167872647 +483551327 +775847905 +574942073 +830754246 +596743726 +991568738 +701357224 +1992227777 +1393242379 +849553840 +1162208144 +1611074210 +1079348969 +660930084 +1000393885 +1198622167 +1645291281 +563039923 +733717486 +1733676247 +303980589 +22150075 +1898968584 +1217150280 +1209067460 +545612956 +1911877408 +1970473862 +1715314544 +1495294201 +2138346510 +51382224 +123658458 +565804935 +882136470 +720402184 +1557373674 +1583493695 +565146314 +803132405 +285563887 +1727354458 +266722967 +1364912857 +240800894 +1267116852 +416051376 +1886092176 +1830156775 +1149768862 +1472284775 +2134137364 +1171918938 +1223769711 +1203803996 +233502750 +1769382667 +968197756 +56492965 +1337213564 +316008309 +47355827 +1388595788 +439666768 +613160762 +123248610 +1160068952 +23050788 +1706742305 +1725215266 +826183193 +1992306193 +1305086077 +1092906160 +1209735402 +1545886971 +212539364 +1625786778 +1284495499 +2042696139 +628071993 +609296627 +2029349855 +1799990931 +1833066338 +1085670204 +2033493681 +1454965358 +2053867960 +2089986646 +644695274 +222392622 +2137342473 +2033291062 +662059390 +603019588 +9056024 +1822128342 +626070376 +1715798330 +1399859961 +1452253570 +1560620875 +557462390 +397676082 +622872629 +2103349361 +610215447 +101175759 +1240361213 +505427938 +729247752 +1849657840 +387294146 +381755035 +1535240530 +1472964350 +267765069 +842722240 +1379348662 +210268067 +1487417514 +1601741284 +200126893 +1373224928 +116317026 +803146481 +1382280953 +1938445369 +1429216857 +950595635 +1190821682 +733986779 +363732862 +1748284072 +1131662862 +986605491 +1704149785 +1741878309 +1087781250 +797027350 +99822599 +1817029003 +499201542 +487116745 +51300390 +2034442073 +1960081095 +319065459 +729680665 +1191946110 +529333527 +69614532 +646203746 +729460420 +1442839460 +762520773 +1532606901 +677636765 +553482494 +814340110 +1628232400 +1744304176 +1548326890 +1991965262 +1345104600 +532506104 +831087105 +901770737 +126900765 +1918868356 +1698798088 +226723364 +1588413711 +50515982 +713840110 +1639714101 +2084958055 +526437557 +1958779561 +667155073 +1718383667 +340629440 +736769605 +217103766 +1070089860 +32125417 +979624539 +455213113 +709762183 +1533107033 +1269553223 +190510935 +1129927561 +670396465 +34992550 +327548513 +1202902569 +866079655 +1229319250 +1329803334 +637464363 +780633690 +1556526699 +78394426 +831149673 +122883161 +1718108528 +768624080 +649320718 +1529404441 +1435779153 +220220738 +1870033881 +25065110 +437324504 +792640093 +57190528 +1416949043 +1247853206 +766952711 +802572428 +369922781 +957463646 +1932499989 +1040319247 +992456196 +112564854 +95738168 +1858535852 +1341884104 +1425541503 +348516567 +2122517795 +834584554 +426910994 +806183820 +957467715 +2145019522 +1574807900 +1606788433 +1526940315 +863103406 +1827009171 +1249490548 +888168516 +116850027 +2042130641 +945359044 +1533799070 +1142500199 +1712311755 +188887850 +1512422980 +522291754 +2121387839 +405258579 +1514747950 +86469045 +500996748 +1225800154 +1428353150 +1926538251 +1574316722 +1403387297 +613639157 +2001227716 +62087469 +1571106872 +1998763590 +1636895369 +1030411657 +1378220257 +352515127 +709937181 +480227157 +1240683644 +826787208 +374874150 +38559040 +213102631 +1517374349 +1750870796 +401990481 +882313681 +125678902 +375894673 +1287572261 +1640426852 +462363718 +1788569009 +718743359 +1890716868 +1567623612 +145576433 +1146620517 +33779121 +2146804149 +1208707986 +1604885993 +1998084091 +698119708 +487814002 +1228820700 +1050634835 +1197751183 +1709047857 +143834831 +2024538392 +2083922007 +182393872 +90157375 +1453812708 +1933264668 +492147856 +188642741 +2058943570 +868042529 +1476215002 +1551886774 +1330406248 +1117300363 +123146485 +1073639468 +537440327 +268722918 +72776338 +571219448 +268043419 +1281484324 +28621793 +118643862 +1979604032 +516435796 +1347464562 +882755220 +1714186979 +909028771 +1026590051 +1591241723 +845467130 +1208983923 +1681399098 +151796190 +994764943 +26063307 +340438932 +906224865 +894105836 +1816653934 +310627992 +77028436 +786470650 +433774477 +1150667905 +1323910977 +702497396 +1223444243 +1895130426 +970540815 +357444919 +1923752219 +1089184678 +189565304 +292704367 +289165592 +1072320524 +2006891347 +1198194364 +2098910575 +1450649422 +2043661494 +1160410851 +984564873 +47974037 +7692146 +1010628180 +388412969 +913917012 +1904734016 +57583255 +1224545004 +1981762453 +844053905 +1658319481 +984946710 +20481235 +213333229 +60907305 +1915611661 +1183874045 +418352224 +1691880232 +125575075 +607917528 +1984584600 +414740667 +1680238052 +1843992299 +1612935031 +1631664980 +1147158073 +1509112878 +644592183 +2131722946 +1557086915 +652284329 +994867478 +1945499884 +1566201341 +752117847 +2003083139 +643262697 +586396652 +699653397 +154098531 +1571343362 +720134632 +367431760 +1632250667 +488262645 +1551305805 +2050602891 +32659229 +1676880880 +511036772 +2017243829 +2091621548 +43791176 +1713752480 +1557072931 +1675456156 +713426906 +918702161 +172564691 +697666204 +328305428 +824849021 +1692533683 +126321664 +243566714 +297167882 +2129404804 +886829412 +883564534 +681574553 +1040927943 +307424248 +1401709185 +1408359703 +1939674915 +1889971830 +812181861 +1842794158 +1922631059 +341579093 +206347282 +1792391241 +285716993 +250138459 +1358660073 +1842789925 +1925594615 +2072086979 +614008438 +2098159307 +622269536 +942313867 +775524680 +167319571 +1068635531 +1019091394 +464487453 +1050556687 +1905920806 +1348051987 +1732131240 +799365101 +1655476235 +986356777 +60241157 +1447667502 +728844959 +872423018 +1142978012 +503992371 +1214002111 +1349325295 +148899964 +1499719105 +1599463754 +1507560037 +1195025382 +1377574721 +1432163369 +1809033820 +1328250380 +2054432905 +603864039 +2103775060 +74268828 +1672499571 +975382807 +538756281 +575572610 +733819965 +1886808268 +160220203 +1533185067 +1394800855 +1146576980 +1593426224 +694984709 +1875421940 +318365594 +1837962721 +231930663 +1532367705 +1039804368 +380830627 +884603162 +491784474 +1888390664 +2079628544 +1869359196 +1173070385 +1741178717 +1050125928 +1080019642 +197559108 +1006417341 +1154288470 +1870058679 +1981800148 +1693044751 +298147642 +568136465 +1432369371 +458367845 +2101321532 +679686578 +1604944825 +1547264108 +1374671287 +1332883117 +1865629702 +1065150361 +1564813780 +1250513760 +2104954729 +1945644407 +2135116922 +449255556 +1686551424 +2067261819 +171131104 +712138161 +1660956888 +1221257032 +1792157804 +1858515996 +80190725 +798962626 +1581091028 +2061990873 +344523730 +1879238670 +482643691 +1776893101 +190122867 +436481575 +309096032 +1795067692 +1983745684 +1683767319 +980467162 +1701891738 +601434032 +397797294 +804921850 +558905114 +195958054 +792555125 +1008160670 +1882509478 +712333296 +1179291774 +447163991 +225806536 +253065158 +91838147 +2084322532 +333255884 +890800774 +1517929912 +247763109 +1235324504 +1249684934 +730406800 +864733957 +1439807801 +1166888376 +1173829989 +1087391846 +1003150412 +710113661 +2067859008 +557558502 +1311547693 +318172654 +1362480353 +1870452807 +514130708 +7551830 +731129829 +249156538 +719885126 +1910421603 +696320530 +945691662 +16003114 +788158677 +882530546 +349258998 +1678959451 +252976811 +597022107 +766800307 +1502661745 +1327428908 +1631534265 +794985899 +346833636 +657880606 +1882377745 +1349984048 +1367994267 +1802753105 +1907542550 +532058313 +2120925759 +1122539255 +255027472 +487572820 +1130091085 +986157302 +736729358 +1849976211 +749095257 +1433049888 +648184225 +765098371 +73724918 +1530714772 +1114357369 +1752684369 +1783691583 +1711379477 +372001029 +1138869680 +891324737 +2003535294 +1933855579 +1238158373 +513932252 +1668749676 +440658773 +1881926520 +1324019133 +200717675 +266501185 +1297461245 +1323256931 +521528657 +1785034065 +305864368 +1507685959 +374279775 +8356932 +109297569 +1807329664 +656541157 +874395940 +1881054582 +39772281 +1988753310 +1486255303 +1823463864 +1552649139 +1858256332 +814849897 +296490228 +1714307978 +601221828 +1534648601 +80756583 +122487857 +1975307374 +1962683103 +1446506990 +28541401 +81700640 +596484587 +1351798332 +603229297 +234035004 +1657662701 +2110915257 +608314780 +1666019633 +72729178 +268160796 +175077142 +947125118 +1731730 +214849424 +788394780 +1487987033 +2038313288 +193560271 +1198759718 +705679537 +490050499 +765584048 +1306901366 +2024699100 +846340631 +1429389223 +1852522826 +661540086 +728412565 +1881064228 +743240726 +1324897153 +1085378912 +1346470024 +1558932157 +595557965 +1309901633 +19763289 +114093950 +1382630811 +287924085 +289171093 +182272281 +289655815 +504020517 +970667062 +1777642849 +394850157 +1164227333 +828918919 +1100529695 +1654277833 +1594502967 +259947413 +1531493285 +293359951 +1689336636 +1236532464 +954900037 +270265553 +970113044 +1698140764 +1595162706 +2055491956 +897127140 +1006611216 +503566274 +59545125 +1026374505 +617660224 +1442175936 +1314298591 +906831317 +1624448217 +1603954406 +1410851834 +447631631 +1234113607 +1805701992 +1611858965 +2063032526 +758748039 +1118653150 +1510051846 +1018695452 +502662787 +1803411797 +560548440 +1739195251 +610828186 +830813993 +561824647 +161485302 +278493052 +469832956 +1058612442 +1285104268 +973399230 +1118157567 +163995125 +1591059454 +412849855 +1478293716 +350407124 +2037298073 +934764475 +1761258958 +337446056 +21394434 +1419477302 +1949305021 +2084426961 +30741693 +920474523 +1446995159 +1049437145 +1423137311 +1102923308 +1609985585 +1014848914 +1713751494 +293315931 +1576673562 +1875236797 +571808983 +2046506518 +786365591 +1856913251 +872422100 +1904523159 +2020908376 +315997906 +169889366 +1351718445 +666405030 +59703791 +138999272 +280180341 +397149848 +160393706 +1699657643 +198971221 +97337019 +1730399337 +1119445745 +1544332178 +632352834 +395099408 +499771838 +94854772 +1409948322 +66039685 +388170703 +839138236 +1941276482 +959979686 +738161106 +580158425 +669409289 +1610583206 +337197936 +542834017 +1926581113 +507087303 +1894552462 +445502495 +566791094 +2033551734 +725682836 +963940942 +46461793 +277856832 +1162912164 +143798812 +2008256169 +134874261 +1688130991 +493125355 +529973669 +40419181 +587980127 +1939921991 +106458866 +976150830 +631576580 +2047735348 +1936130516 +1369737686 +480410126 +458056157 +832837245 +817608062 +1000890175 +611934710 +1324695365 +747958989 +1057437205 +1891486460 +634027076 +1783120042 +707943754 +680488869 +2060976874 +1870855918 +824287681 +1921749395 +2005730179 +364935024 +267391102 +388220200 +405354206 +855371230 +180658544 +511813072 +1831522060 +812235124 +412064773 +1620168929 +34489162 +892474899 +2078225086 +867326407 +1710082961 +931631613 +1479261117 +887294679 +1679590603 +389214675 +631297491 +166134031 +24851069 +1339241245 +846622900 +2085827943 +1062613516 +1670910581 +1860093690 +920860047 +2035845606 +2127484792 +1309080248 +293716164 +835372374 +1489738792 +805529236 +519410787 +154490268 +1217594009 +2139579716 +188979430 +2110068908 +2070321154 +1056305838 +1672668222 +854469120 +388083307 +412479253 +386576075 +777297982 +1043776744 +552710106 +802149051 +235534341 +1399333006 +740493346 +1298147857 +922759939 +453103388 +71524257 +811121897 +433104533 +1380604505 +1104838061 +1268476907 +722859649 +1910367298 +1787887694 +877349917 +980477659 +1779983762 +1066329347 +943062920 +1702821269 +2122635185 +468247494 +409806741 +363234845 +880726747 +796382816 +1140532827 +1924503491 +1349092922 +1942681879 +12554184 +600942280 +535691577 +1310702042 +1523702219 +988794966 +1382226299 +187340469 +1421899499 +615347156 +1292178530 +542892758 +1338206805 +1055062180 +183296805 +68073074 +2035539840 +1963280567 +1134402421 +831119112 +1518618188 +1109553959 +1299366606 +1928424929 +1472788804 +32609705 +577324097 +465837983 +1957113196 +1926417019 +261036214 +1969667380 +379875651 +796727792 +1132885774 +1903577871 +1785522758 +367628425 +2090918340 +1059938609 +982975581 +1235613222 +1602831367 +173698738 +143191755 +1786128172 +241771812 +31247947 +1601925092 +1376174234 +862367059 +973059632 +338244545 +14250017 +754000914 +1811033349 +46859722 +1331325011 +129387684 +2003972918 +1110258383 +390423899 +1826156650 +1490134034 +1187151691 +811558777 +1246228257 +825190801 +1179187202 +1189662949 +1885129410 +14679136 +277792524 +1340477129 +188377874 +420984279 +979121654 +430149687 +452232226 +433563098 +1806323921 +1314599285 +1406622730 +2144568466 +1328849302 +13139996 +1808118167 +1375709024 +1344465008 +1937505851 +1232198294 +307239743 +180446102 +910871296 +1797373777 +1367597793 +1722430073 +896118387 +45304946 +754133628 +2085781336 +1930434356 +768812764 +216090212 +1123427838 +957190638 +637074491 +2102549492 +1387340325 +1089306717 +388628942 +1046180598 +256422354 +1795251672 +1043265416 +1585271656 +1808391669 +703899935 +813497032 +1005373029 +493922139 +2045695326 +1312612772 +674368241 +809082975 +962502901 +2041966035 +384029400 +1858621288 +2087270981 +1138163028 +1796918977 +1870221690 +1906975792 +2013009189 +846165880 +716682783 +502600033 +801231724 +2104023108 +1591906750 +1189860666 +1002720059 +1848329105 +837628690 +2045985475 +1286117113 +498536711 +602401763 +2099614146 +1503909740 +1096323902 +1997825824 +669038864 +1770692143 +659425151 +1631541766 +1665174530 +1043454552 +1342679406 +1604961864 +34133932 +992114735 +1327699906 +1941109725 +857640277 +26382138 +510308860 +1360240310 +827613862 +466848320 +804663412 +2017474528 +1469568379 +505508869 +707619570 +1368070207 +1791625983 +1206156282 +1970471970 +1743756481 +562582374 +919312224 +1594098657 +1231621239 +542520719 +106040161 +715679357 +60211602 +1149494713 +2058358763 +1665173466 +1183628645 +902989851 +845389724 +977254722 +1760630128 +871771862 +1487563582 +973386790 +1699385724 +1954411903 +1778050202 +1569376604 +1276496634 +136075424 +129512526 +497083193 +1927701407 +1335668808 +320071515 +1523974240 +1898251183 +1239383739 +970589249 +982388774 +1781904459 +1076629410 +1698068131 +1842116061 +78640475 +1608943246 +1359805879 +1262269121 +364449449 +57711955 +92040195 +2125079577 +929483817 +1579603778 +950982719 +481385893 +1386532033 +581549274 +2050762497 +515545019 +717624698 +32791375 +1012628213 +497842457 +1368460184 +1332699728 +2021816697 +1119227719 +424599820 +844922298 +2101616493 +59020631 +1921551709 +1652200976 +1901136692 +2000192184 +1113660574 +1113458923 +1114977657 +1478110024 +1171170878 +1207017853 +1455705953 +2100654695 +639137983 +259205025 +434556940 +2025670016 +840754299 +337835789 +393731387 +1558378997 +370627164 +1406359600 +2056221454 +1739087348 +591575681 +1930554503 +710831419 +1016175501 +627993153 +664964264 +1075196132 +402061214 +169681592 +828849176 +254769751 +1283342167 +1942308099 +1369747408 +613968543 +965995329 +429281613 +2069674496 +919166376 +1068419596 +181395873 +1353723316 +946605964 +1022150172 +1691559105 +1340337352 +433045521 +2062186269 +599213304 +341783327 +1653789970 +1190788985 +124854182 +217137741 +59480838 +752847336 +882102006 +1134676970 +1154908550 +1051783598 +1963526146 +1409678301 +187642117 +1758350597 +631942062 +801610660 +576862278 +1061223675 +723801509 +1496028654 +2129643272 +905197382 +702268322 +928765588 +1927347555 +246343779 +121619292 +212909428 +161046401 +720832597 +554692756 +1814836371 +1911621582 +679546938 +2031974112 +1971102421 +1432394274 +766592470 +958295743 +439819177 +1818376069 +774338242 +1849497478 +2006018186 +385205191 +333955892 +660145199 +962067470 +1395179568 +1383946708 +310612476 +1377339192 +141660442 +1012880799 +158621132 +2069007997 +1259224578 +280240425 +134433778 +1420270979 +1001073022 +689126534 +1087623702 +765210956 +1368673472 +972114167 +588829729 +653584099 +1738706637 +1547125473 +1093403276 +1409599058 +173980067 +795417106 +1268133597 +559185258 +1129372999 +1928278796 +1521252728 +377068919 +1164741856 +1831865205 +1754408111 +1306402298 +697262356 +1913029243 +1227926648 +1956486934 +45786020 +1362360426 +1229274266 +1046859042 +2051486960 +169414320 +1812069999 +1272676784 +1141528487 +253416080 +1926260883 +732751477 +1800541553 +872180511 +2142350535 +1974521620 +1667597618 +1263000484 +386223231 +649486969 +1043795632 +1907475959 +1026555888 +61053840 +1591857516 +633480351 +1367456139 +141636224 +399025946 +447899139 +2098123159 +444811967 +1810259565 +1179913777 +1491671009 +1714262877 +1349328097 +1156257360 +839456013 +343372937 +1409673441 +618233249 +1076124414 +1062731346 +1490413760 +1070991301 +889769319 +1010527730 +186508138 +1275992550 +1660014699 +1230303770 +1035984861 +539086939 +1291357611 +480358730 +1172567290 +511330102 +621994954 +1571593237 +959229241 +572634465 +2016405204 +622005158 +1752548242 +1360592565 +188784387 +954392692 +369366278 +1028240400 +1297765629 +1779039719 +1646473649 +226406395 +694287417 +989403762 +1297397696 +1584056736 +1999931492 +1483905834 +712565638 +1512462544 +566725957 +1748550500 +2051549483 +1858083568 +81425582 +1076633126 +221930022 +703420536 +500742715 +1181159263 +1276055002 +369664271 +1803164421 +881119596 +1730256836 +1991948808 +1835512288 +2099623114 +872705560 +985794269 +1731179185 +371695562 +1212200664 +277982955 +1361099324 +362114713 +1862039691 +1213547168 +1846020547 +427121682 +578526064 +265262856 +28188534 +482591900 +2123346424 +109614116 +1559225026 +197792798 +813034652 +2059967741 +1378952061 +2089089654 +282148364 +1034632834 +822725603 +2012405200 +879097994 +510754243 +1964544667 +1751803555 +1496548513 +1548240204 +2123499117 +561265529 +1826223159 +1337114793 +923380242 +1540779203 +403178313 +621917142 +1967900885 +981704378 +887179998 +1996089419 +1464296278 +863042775 +2105703535 +876037656 +1060835573 +771254539 +788521749 +292303987 +712860546 +1070670113 +1326936821 +1535586149 +935591665 +58551168 +2046340392 +752652684 +1810354723 +1395405257 +153409241 +1786370192 +1956670787 +1979632400 +976001337 +732567381 +1372927955 +1379179650 +1354484523 +1193345192 +213400380 +94180874 +1041950963 +1677696658 +957223649 +1000170850 +406250666 +2018059222 +1771425390 +1194772415 +162879561 +336802288 +117958880 +1489816383 +1872388437 +1053550546 +1548367551 +1771245181 +1806203230 +1211238626 +1019166791 +1959612471 +850125170 +828353930 +1791761224 +1826126507 +1560921311 +1017205531 +1057822509 +767922187 +63067076 +1271222890 +862103061 +1105018039 +801435900 +1819326710 +2105188890 +1207686567 +1689902284 +1729130632 +254975334 +1852781846 +2065932920 +372934215 +1195114581 +1790837709 +1426484761 +595998484 +1414599242 +1085204343 +1807237110 +286282385 +897333167 +509878632 +1114636315 +541610743 +188521491 +528073979 +1558816274 +1246344000 +1295996166 +1621883350 +370083242 +10615579 +579417742 +1171519143 +1829942289 +537122984 +231722062 +1372360925 +118769968 +486697396 +1077659123 +37219240 +859631611 +125290056 +1828056949 +138632724 +721288540 +1095172543 +1223837068 +381042002 +1381454929 +2121170235 +890920634 +348607596 +515297330 +1079442125 +876681575 +2074113604 +178302478 +25194093 +1548513307 +548385720 +35809672 +2127931049 +1719904863 +1865751961 +517570385 +1951626925 +1090629239 +636340353 +290840674 +20804714 +673559593 +1150472285 +146094771 +354132894 +1289105010 +867383311 +1449305437 +365458430 +1248425314 +683276718 +339145017 +2139345948 +1031884315 +854442347 +1071304426 +1908565890 +781072303 +1249606904 +1933759984 +182101962 +1797992624 +1969569656 +162549363 +1370413840 +1687837970 +680119748 +1174557117 +630983561 +1316460101 +1465397791 +651788275 +1990019694 +468386429 +797883046 +196668940 +1757491439 +1665266358 +1645974378 +2122949869 +766208024 +181767448 +314611238 +758070324 +1213651763 +1169053585 +1829374750 +974734006 +1950125888 +931498006 +761010342 +2132227851 +582006983 +583096350 +147293566 +1952420823 +123450672 +827413315 +979494292 +754434233 +2143873416 +297408436 +1406222509 +1986409463 +765794865 +56621907 +35594755 +375802656 +1721888265 +1681569133 +351268877 +340612641 +1863336582 +665880115 +1098682966 +929504697 +1834933700 +780574068 +1904238703 +1637575940 +1712072075 +517765397 +1622320143 +146595410 +1100861748 +1769613710 +2099016233 +1224312420 +449543377 +931026877 +1978746654 +445933145 +1228435313 +1237485515 +284858960 +1994230178 +1294107422 +320453716 +222549186 +868512040 +2002022849 +573818063 +1209124681 +1717875783 +1239698178 +160323999 +499896833 +927148230 +940898068 +256651888 +417240523 +505486495 +774417286 +2039560666 +652081905 +1875279034 +1661690728 +603614490 +952107806 +2111234105 +1534641367 +783370812 +409683603 +615593033 +2020856327 +694542563 +462339563 +1167480102 +1014996279 +684888750 +2035992142 +869535481 +1258706813 +1097633175 +439927616 +350921344 +1257957175 +939824449 +1278069574 +51371595 +1196476338 +1695310097 +556858090 +1970893624 +1587387116 +1208939995 +1698689010 +1101594196 +1812554485 +503313168 +1065344654 +1199712204 +1286683981 +1475028257 +1815305237 +1160056660 +22087172 +130161153 +180053114 +1037083452 +815049903 +68561608 +1906618933 +2073756716 +1166194784 +199062901 +277194412 +276668311 +1138887351 +1555263987 +328039906 +187880041 +1103090436 +884897996 +11290017 +542993904 +2093837991 +1709979027 +1644588101 +1758908828 +65808547 +562449107 +811137384 +1352492528 +2037477364 +478958974 +365065541 +2059564536 +609120127 +545118655 +949164340 +1424170030 +613680264 +708299625 +1350443098 +1779875048 +907362527 +1627637511 +2056543359 +2046249878 +1035417850 +237099617 +86646271 +2138508286 +1121997613 +97936288 +534018543 +1068351956 +1807915315 +31122996 +679777136 +1873723862 +593572103 +1490914520 +1078732743 +483565819 +1969873494 +1443798284 +395646707 +431509973 +1988916939 +1344811048 +1855680003 +455113555 +2053110673 +1058639454 +87504955 +812989552 +538793317 +2144048314 +711755782 +1574211167 +233664283 +798402053 +1565235805 +1355661896 +896338341 +2099254348 +276530204 +556770008 +2130377344 +956307340 +283010223 +576465799 +299738213 +1361742966 +1060031618 +122128059 +658057602 +1455678326 +553638033 +499490893 +653005726 +261834388 +954604449 +558632751 +1320473842 +1042109404 +1371622304 +1859267159 +1038674071 +2083378086 +1285994678 +1272338354 +734296492 +703746836 +480516603 +1630634833 +655517536 +757046807 +39921194 +638411233 +1713354148 +322931417 +1214877032 +2013092361 +1684674383 +127425003 +2135220420 +195248337 +1583103329 +541374805 +694739230 +88625407 +803209194 +1649343679 +647258158 +2123683036 +543969436 +2018880462 +1835466548 +1582643507 +1954774901 +973977578 +707498213 +541587745 +1677724414 +1188014816 +24738930 +185758303 +1945061624 +64660124 +824169536 +1510932124 +387591541 +2039046568 +1376540837 +2072265924 +18987923 +1364277609 +120030613 +1602091252 +1905652415 +814769844 +1690716659 +561377961 +316629875 +190491170 +537577349 +860599311 +61887984 +225560249 +295759170 +2016662885 +1199537828 +1003257384 +410766982 +729778594 +43788552 +435505913 +915536897 +1988850176 +500166037 +1739706433 +1352298652 +887757579 +1631269354 +581355841 +812539855 +1650257277 +1945633451 +932570469 +1104864882 +1703802218 +1747340313 +648097893 +117696531 +2063970188 +838589063 +655273880 +777085852 +900477048 +880834130 +1072845022 +769656285 +2080371958 +2076102406 +1180423268 +662666904 +2119890959 +1615929181 +1578203802 +1961257487 +2116095218 +1170426587 +1166072492 +856369149 +654212293 +1747428333 +1668909005 +156985923 +1545578136 +453995826 +1261850805 +1101896706 +53852491 +1909948698 +1219593237 +2117822679 +601054114 +1874867118 +747424883 +1501531162 +608217600 +1820269906 +123703799 +541105910 +1748888664 +1304127067 +1203772814 +1721295975 +772572600 +634492968 +1535069815 +741184171 +1804919556 +553658659 +1597553320 +311648201 +153603344 +1118978677 +468634124 +1699181481 +1572974503 +1730484929 +653594539 +1626826994 +1492949980 +1873187777 +1597166026 +2094004094 +1600571247 +197107261 +1448051608 +61305199 +2017377167 +1571755407 +602411109 +1618782184 +728398827 +1806183923 +1192594511 +1500971427 +293193244 +580180678 +94671950 +2098112800 +1133839337 +1692225271 +262277353 +1287442682 +663720300 +730911478 +839140515 +89211156 +313912759 +1492735054 +1716038150 +1806862739 +1218439183 +1165720528 +1753383185 +671526782 +1362827790 +1053951145 +732831981 +1232721309 +478222905 +1335243090 +704019845 +1206621732 +993943366 +1896614357 +560109511 +1287136610 +329311387 +654781462 +1237765762 +1463150725 +199523085 +1500043115 +603109759 +863243385 +83470945 +1442250274 +952454541 +397383705 +787501680 +521009044 +56762796 +2005940864 +1686729572 +1810145982 +529983998 +902073714 +716613479 +1262815980 +2134795024 +1194836384 +450575422 +691331221 +253974468 +1444518788 +440461930 +814083980 +584171750 +769773318 +1468865442 +1821937512 +85440395 +1668388527 +1174496980 +688550154 +384148264 +1257967925 +2130800428 +1336602806 +1655351630 +770818460 +1857611850 +1712114427 +629275676 +1396857774 +1374776761 +1159259675 +151447841 +2091390240 +274592007 +138759217 +1138742977 +725167429 +830090438 +1392717445 +22202570 +1270552369 +59317777 +606374320 +2040325687 +1528183219 +280828185 +2125766082 +1049088098 +1455325165 +666832588 +1433236363 +565809442 +650149368 +622355521 +73677425 +1420967828 +332483723 +1785791852 +2050243505 +1729341497 +1013084965 +1062019532 +1880789338 +956991557 +1336611539 +2019548555 +2095734534 +2061778968 +702155346 +1340968332 +2083981538 +1972707715 +1400286109 +542872211 +1865549754 +780985681 +823700396 +1843832188 +1830073779 +131541913 +363181128 +1115826494 +697351355 +1013330496 +1738182015 +771028780 +286814676 +2070665738 +409336984 +189574533 +1652523588 +1422421949 +1251594065 +1385829278 +231929859 +440721956 +1257894186 +180180745 +355017277 +1960049532 +1521149077 +291515167 +1785273599 +773951539 +834387378 +1503339705 +1554937220 +1658087774 +1199688245 +1237527351 +1789629687 +1562869373 +205870198 +339497395 +428716221 +1944052213 +1110526175 +715530897 +1867234304 +1519863160 +905105431 +1372274244 +794801461 +9215848 +610619874 +1026731320 +449937805 +1868514060 +1206912066 +804955082 +1681079944 +580577495 +1096470249 +1318869895 +1354529034 +1930857628 +674725952 +761982606 +1441461754 +1874414197 +1999509958 +1083607794 +1289799922 +57896508 +1423105189 +1718516143 +2001948721 +386147716 +286563393 +1721699377 +1906010876 +1191668824 +946489973 +553328690 +1200884672 +1557109848 +1580060010 +1650822477 +1278140260 +639488428 +308293911 +811736557 +1220065924 +1404764161 +2130606452 +427111310 +1188138141 +657848757 +1189093917 +482116247 +384779306 +1041120227 +1565724041 +1674579229 +1099016735 +841345582 +1245611724 +953481808 +1227493299 +1532175117 +527697538 +986020527 +576360293 +1474187511 +1539349217 +1777244966 +883813711 +971925580 +1280583795 +14470324 +1611414008 +1588877707 +826206881 +683996284 +846158220 +809329685 +1111107595 +2034296361 +1467178442 +152717864 +368928960 +1851957749 +1193838091 +1934653002 +1379053330 +145371178 +628514936 +477181406 +1098852986 +1856008235 +2009356524 +1626550524 +694545115 +438233169 +953254388 +86410684 +67994487 +1837068099 +1058336264 +1348578283 +1851538423 +522266625 +789972342 +530261656 +1206262909 +1636130562 +1339591342 +169886856 +1522943275 +659286136 +322604720 +1891872235 +363760237 +1516442811 +1679041589 +1742813567 +1661813989 +160072878 +72511326 +613183328 +2016081113 +2081867850 +92250204 +563142580 +372617371 +1045504592 +649553265 +440611859 +735089044 +1707889529 +1789190142 +439143819 +82672506 +431678836 +969405476 +1288935416 +2067809398 +161513170 +1458822272 +1443269025 +820799306 +1781426993 +1187657612 +1184559544 +1150386156 +719215554 +779889463 +664716498 +879288432 +852400789 +1277899826 +747885897 +786784991 +1370150030 +1311028478 +1159402363 +268170975 +1960581743 +1600014222 +1003260019 +1520987624 +1241720716 +1442403838 +1603660131 +1673399552 +264325666 +745111899 +1593725302 +425838836 +56450523 +889510679 +1246638143 +1837877516 +2077168291 +283714039 +840780025 +648900197 +1063603502 +1505496523 +1528188629 +1916004292 +635912701 +128590879 +555305635 +2006062731 +1439619357 +1714707998 +126750058 +1252717452 +1167238572 +1130010077 +626221428 +261475640 +424930268 +82397911 +1934875192 +689255934 +827509810 +1381116846 +1115094771 +883960334 +123143877 +214249266 +574354202 +52828521 +497963305 +1415134227 +701728718 +1561566807 +773147102 +82433700 +1330087451 +1409059803 +211024579 +1885393087 +1267638887 +1650643936 +1452617437 +1394388945 +755877740 +472372362 +376915375 +1382099168 +733848002 +801845643 +1464497080 +521239547 +1491101577 +144523242 +1902356393 +458712700 +1028483576 +2025500271 +672961966 +1602837779 +2078328792 +1170925271 +870488358 +632573862 +585008431 +1643635461 +715007562 +1915095882 +905211616 +926032141 +1653005321 +25366855 +429192429 +958139111 +1419755801 +1185070169 +1430511473 +1796671176 +419685690 +16875827 +451033171 +1884182770 +538115374 +1942134748 +2028706012 +292988120 +253363801 +909705941 +171004743 +926325767 +365060072 +101849887 +2097251039 +1235548430 +734423749 +534775822 +731700243 +1449431312 +302388056 +1636911860 +227979805 +1955393378 +1662278715 +657172235 +766048841 +934550868 +1842242404 +49076666 +583738396 +114444446 +65952493 +1034771567 +1998627216 +604067868 +829422668 +1879849581 +897055988 +1082786469 +642071874 +1068060731 +2009112236 +1007131946 +1169910618 +1958879627 +95196728 +1904334367 +346171801 +826896972 +1206282031 +648559858 +316325184 +1434261837 +456469588 +1978603899 +2091434072 +1222518429 +765671120 +1786192828 +1271595095 +1349409516 +1900637275 +1337547588 +236697436 +1751780843 +1941615456 +1066120104 +1484146776 +691187796 +1422925 +2126218650 +1759248527 +2010535161 +985866948 +781675497 +1821931141 +1081063677 +538526217 +20619294 +1907960649 +1744808248 +669179152 +76802185 +1031586437 +1125648740 +2055406084 +975536861 +200683521 +673593556 +614246042 +1472278616 +2023003073 +367399669 +662342557 +112216861 +2119180512 +456474365 +1178336965 +1455843641 +1147662162 +1179759890 +1434578643 +759427041 +1042811403 +272961944 +1541102539 +717258896 +1354025621 +2079628756 +737878191 +1114502622 +1676953356 +1407057343 +1191304807 +561056146 +385222436 +1099227243 +1536593007 +585905957 +1772820800 +3355401 +2058184574 +1648340225 +370755070 +573043483 +1760557086 +342451935 +1029517848 +791410403 +1798295576 +29696362 +1971170293 +1085390571 +789123404 +866498048 +1358352515 +182742295 +1583756945 +564894488 +114887403 +174151488 +1679397110 +1791840759 +1581208831 +723218269 +205413257 +1966431267 +1822445513 +1742006265 +404853577 +1447782665 +1745361666 +315554503 +948639242 +2116116737 +888597986 +561712680 +311085024 +1918115834 +1353123083 +2109380600 +1947812197 +1176809728 +1047287523 +589451953 +2043307776 +258156391 +772194248 +1479581073 +823050879 +887081651 +1653732561 +354964342 +531438762 +1087457745 +1078182611 +736852020 +906405364 +753144476 +331374637 +1311258941 +53443493 +2076736303 +1626813444 +1002082735 +2045369392 +367927782 +1563795415 +208970768 +138559969 +769434850 +170867720 +2086372166 +1946244578 +1218155244 +528340471 +1842068707 +1476311635 +1300534719 +1174166132 +151878866 +40132722 +680415046 +506843208 +571571484 +1767872791 +1585025820 +1308423504 +526794507 +190686648 +1639798141 +1838053449 +244130142 +1569050797 +1317383245 +1246212877 +1466936541 +1685311028 +662524645 +1675907310 +1823870997 +1431959495 +1846775030 +1762759515 +1230720426 +917446626 +143616338 +925305485 +246274613 +1444151057 +2099471617 +398153480 +1484283779 +632403015 +904996688 +2055855263 +252792158 +342538860 +1216795120 +779586666 +533225509 +709109613 +470156467 +777355651 +130676762 +1787539712 +2023568528 +1597613304 +1325367092 +538609525 +1126036966 +1001754441 +1970569021 +825328348 +617030308 +1053805799 +1742774975 +760646646 +1979111284 +1989049588 +57314055 +1931099253 +239719420 +1541597834 +416018621 +1144716109 +1449969450 +668810779 +1487254969 +519280922 +1448397445 +2020480478 +1228390535 +1918553912 +650352481 +1359067298 +1558609977 +526437362 +809196954 +736493421 +1065046887 +1935233920 +1738247863 +888132260 +613078620 +207794523 +1941938059 +208369947 +968441170 +1773565695 +49935888 +1025755225 +1557181301 +289655308 +419869412 +1973199922 +1434371417 +1869838862 +494527053 +774142739 +241636136 +1942924499 +647139569 +1470026671 +1713994763 +1297492051 +681610321 +1125121092 +1823929413 +1490807275 +1861614514 +741492652 +1278557547 +1452378729 +1629624913 +1891636168 +1660173252 +1424079324 +2100006115 +481130774 +1050161372 +2458355 +1506886000 +459859025 +292113664 +1926755412 +285575299 +1726485081 +1649110626 +780102352 +353144172 +1890746762 +575543203 +1000283742 +1213289785 +142054319 +150292145 +1894900107 +1267175411 +1974221558 +1238223734 +981306277 +568230562 +369297634 +286201358 +50371827 +113450154 +1946374611 +1474451152 +65972621 +280021737 +377128876 +68430977 +1786907737 +836987901 +360544641 +1566179501 +1122563200 +2087029722 +1067806479 +1902665552 +292690247 +811069593 +330725108 +1292973989 +2024359379 +472779427 +1443266134 +1771775838 +1739954838 +1270004044 +862515924 +573777468 +1838234606 +1231813558 +859978826 +1888606434 +1345263712 +658869789 +1215573938 +1411236334 +938891527 +1592702814 +1479667311 +578315616 +282207067 +1840211952 +2144495118 +1404770267 +1779758026 +1064817949 +1159952171 +2072448273 +1875887543 +1490677279 +1217938614 +1752763274 +1963456706 +513721100 +1377055464 +1555927897 +1783725144 +92087740 +2129705365 +1474476103 +1323901299 +842200543 +1215598889 +521681363 +1501070333 +283689179 +1932917697 +292478212 +1876391993 +1265101360 +870793828 +11115412 +957829664 +867805298 +1415885679 +590104043 +1932623248 +428354202 +515068668 +1661027143 +1919031482 +1733007283 +1266306769 +1735004540 +99244735 +495878585 +1143448789 +1882969880 +587966325 +1125670506 +1209962335 +1911867624 +1967871050 +278077576 +286065340 +1321457735 +561766755 +71499389 +1613935947 +290675100 +1336600750 +337246127 +301790512 +146946766 +1205051426 +1717676191 +737050809 +990191026 +2146030393 +1252119478 +503734521 +1917578227 +837643113 +1770041290 +1505099120 +936887848 +118436227 +501064261 +672374080 +706402552 +1626734768 +1882336415 +470786529 +1447122170 +12930343 +756851869 +621096257 +574697098 +828351258 +87548556 +865372198 +17468360 +424794683 +1167162710 +164415127 +1629846109 +737355253 +901465936 +472553487 +735901999 +6101766 +976288008 +505996578 +843744879 +598845650 +2011095698 +1780632728 +717281877 +364676312 +305523160 +1423684430 +1991411080 +40375928 +1894470959 +1291049602 +53306271 +503839180 +1912145859 +628003370 +1332190438 +1999694415 +1493375568 +1349658799 +277005450 +513054631 +1514073926 +1906851560 +1250409884 +268056214 +231921399 +1986311883 +274157981 +1208209408 +344824814 +1117902860 +1807055058 +208436864 +751051940 +376853288 +573113176 +1056575101 +1800537718 +417040608 +1096951029 +1547525029 +1708090210 +1150257300 +2051364209 +1472752421 +1778260670 +1236070999 +1324963188 +1124152591 +438246150 +1601968639 +1637207222 +1952320076 +1361336551 +740133458 +72892643 +1593257950 +578961694 +347050624 +653983710 +923786508 +1464953484 +313555121 +1132223372 +68521777 +690408409 +1705336549 +1125096878 +343462479 +2122377157 +74564259 +1890987508 +1682983720 +1224821559 +1794868069 +1008252493 +855598582 +883455420 +185732034 +1979751173 +1321701571 +1787700673 +1469474747 +1126537999 +1001553576 +62124557 +1199430642 +447327878 +641086251 +1546481266 +1101311589 +1564872759 +863951103 +1414866710 +549612484 +932472880 +2105275119 +107465385 +2057569758 +301253950 +82358894 +2132134017 +44757810 +1765342614 +1209471928 +1839625879 +626111460 +2065070510 +575597651 +811843494 +1897338035 +1897299222 +452060519 +1219329134 +876353574 +1453614095 +1281453692 +2075784216 +1900941973 +1922539943 +1474781835 +854769914 +1339929055 +191249290 +122152976 +1889541539 +1123722170 +79944447 +1997006924 +1033808280 +381198397 +2079365818 +1018458649 +425956207 +1697224785 +80446929 +118098438 +175852597 +2145517440 +693696090 +987696091 +1895371827 +443511664 +1439756610 +967217314 +1319865238 +745887057 +101187358 +1248165807 +499345382 +2023727301 +575463994 +1354115297 +1216172708 +766713284 +1476268273 +958230599 +1890435454 +1556212721 +807753875 +776760086 +1937411118 +739636046 +1795218735 +215883678 +289377183 +1875665664 +333982116 +465229780 +1873699456 +1027678206 +1452925871 +1621587636 +1471189871 +745198833 +441321302 +643571461 +1491085890 +542508660 +1891737268 +1990431272 +418752313 +319717614 +1197062921 +1634925022 +1086430898 +525847547 +445671973 +829382704 +2082060268 +1253425849 +1606142790 +1871987738 +1993061895 +1253877877 +2087871416 +134955430 +982059894 +274369885 +600185210 +708275702 +1302048091 +2053111081 +182379690 +625754314 +650826266 +623700992 +1269325776 +2141912156 +1166209652 +1013579396 +1984859780 +1584961966 +1333297011 +1034439054 +1072403340 +272244261 +1560286601 +1518075313 +1101626966 +1494863221 +624017514 +560286108 +1219367311 +469595761 +1814163986 +1159755080 +604551191 +648740232 +1434124965 +1204736401 +1357015934 +588689408 +1110363834 +1539395625 +1214443723 +1761190100 +15612969 +336285851 +1755618608 +1181822622 +1349865247 +1592994741 +619300940 +535678610 +479950147 +1691704280 +807922872 +2040236748 +1062295945 +1909549838 +1387616321 +1686313460 +322352298 +459499984 +8425573 +2136516284 +1619255064 +612976765 +637772868 +905896381 +1817713166 +1994788803 +1494585790 +780593353 +1386700780 +561545865 +394299805 +1402313749 +897831716 +2434766 +436652723 +100213315 +1595429507 +1055953663 +635891926 +2075379654 +600174295 +1443814798 +1968132754 +1662470241 +1205880988 +1208265427 +1201300053 +1528233286 +1667765411 +1209725626 +1517265923 +1139536828 +1822702391 +7555143 +2045433209 +1492931910 +2002343946 +1392535351 +126041615 +1241561078 +1954081216 +520341420 +496391180 +704429284 +522776186 +933043903 +804642600 +2118205693 +1988997567 +1440534526 +2046101699 +441688214 +736865676 +1866750805 +2104158455 +1942746664 +927532584 +1157974860 +1323496302 +447814348 +220216839 +693278577 +1587351176 +2042919230 +700833721 +1485300737 +1388367492 +555694019 +730352441 +1514409107 +1797255098 +536950009 +2034750528 +146162630 +1241379294 +410043066 +1079206533 +2046021894 +380765112 +920720452 +1339072772 +279383163 +1362408667 +2075938448 +2146133969 +1319083474 +1871201464 +926182905 +329574687 +1047214118 +1373997253 +549791526 +1740492696 +813864781 +445227108 +293842769 +151681871 +1833594601 +849536788 +882034312 +1200520060 +499308238 +1418984321 +1087786940 +645470868 +512879967 +1497830007 +1724677402 +411418213 +1878595119 +497914206 +1750490985 +10494634 +1860322873 +1678945785 +9144955 +1031922700 +1402663601 +935327861 +1361497387 +302394072 +161841466 +1911288913 +2042886768 +975706248 +209032373 +189245889 +1127388119 +2042626974 +1038782677 +2009422431 +1095663387 +1538090916 +1280923104 +35966679 +36078136 +1793803072 +1533796686 +1760755538 +57737637 +1264908157 +111186097 +1808228623 +1275402792 +1971508970 +1339690760 +1284547747 +855948022 +594870714 +72391960 +69961761 +897264786 +234233427 +1981250674 +792667906 +1209939675 +42799400 +981913795 +189844146 +2085426374 +2020696472 +51782929 +1033606113 +1411303740 +1332706033 +1069572793 +1447381877 +979025457 +455885831 +1060653767 +1036763095 +1720793989 +1171839864 +697508070 +848713133 +995865187 +2037198830 +2133260880 +1851813209 +484585896 +58169193 +1921774971 +1381850682 +292402620 +1755541997 +27034940 +1502342295 +1798341397 +1008948735 +1692186441 +1736284124 +882161560 +1743969370 +622406589 +145981652 +929191755 +1691979382 +1593363529 +1908217213 +381566 +506533649 +797496660 +1721175555 +1678373513 +1495004730 +422405040 +526755052 +1384719912 +408182272 +231084614 +1869305809 +466351465 +5375937 +1103672843 +758754085 +1760917934 +1130707784 +113612732 +1411775684 +2139656519 +1805799173 +1000576160 +874334431 +1402284895 +1622982749 +1020316084 +183993003 +1167478484 +466195965 +2092210216 +1167860050 +972729614 +742223228 +741551957 +503619480 +89744310 +1163956997 +1030374532 +1474464222 +1572139269 +1261459146 +1196286383 +2038490735 +1266835083 +152475579 +649761172 +880269370 +1283183363 +763373905 +144561406 +1275356234 +421689430 +1145137566 +2207018 +1823974326 +620636667 +1022523102 +2007967329 +1788115151 +1488719067 +1952693897 +808491553 +313965034 +547433477 +1550043510 +817584514 +637177787 +566516859 +1847959046 +2111642009 +2138656129 +961934545 +1160444745 +2029663216 +81285980 +1312920324 +531940740 +961555350 +448620039 +1295314645 +1106116756 +1723976273 +1717004076 +103770674 +1726183291 +1393494754 +724407342 +601222745 +1253978435 +365038845 +2089941813 +1059188684 +1173530399 +256423199 +1606622161 +576090261 +1074007713 +96316300 +1142607121 +774483111 +60474661 +1133779602 +1736417656 +1220919406 +1015959170 +1817703637 +386356082 +1547899910 +631775339 +834976121 +695730908 +1737892096 +411468747 +265251336 +1841662770 +2137652038 +1658746090 +418586464 +591391136 +765240877 +783625310 +533849301 +1824429561 +1957155709 +790272500 +1283568074 +385762322 +1864280213 +1379884374 +1528369443 +491279676 +1440359035 +514665397 +80213685 +513794794 +1530624567 +1897917322 +900150876 +931040830 +382209013 +1735126998 +1626771738 +2120101109 +2146595745 +1892023074 +1814280232 +2136764135 +1403285516 +85383048 +580671623 +21042745 +869008358 +1114520924 +1845472306 +678680419 +1904793424 +981556732 +1064442742 +1621589989 +213957458 +445328537 +2112869666 +1654316493 +959993935 +45599703 +20627639 +343134854 +1943517025 +920778516 +1274175684 +178242390 +508421866 +753463774 +150859852 +507533963 +498003200 +1965140084 +496814450 +1901288716 +2050523132 +1077486074 +1922331461 +772047843 +44523350 +1620320119 +1450728262 +1949316775 +454393203 +367687356 +1423423116 +668350661 +813015894 +1388809134 +175183507 +1773009829 +1434408837 +195811146 +2116144683 +1230442214 +1116589662 +1242836720 +1408684605 +1625011528 +1996300494 +1559544457 +2132545491 +346820047 +1377200893 +481876294 +100625115 +1280240377 +1559362368 +2022956577 +2052288220 +1603885718 +1495793048 +1355532835 +1405718845 +1950186252 +1723220191 +681658314 +471053265 +388752437 +2070467448 +646236772 +14278618 +1357392638 +842047919 +2130423302 +440351204 +1958637581 +1225776374 +1849035809 +1436165462 +1074593220 +1261096618 +1421227305 +1421413267 +490813863 +1903103599 +1522038383 +1771054241 +1314982319 +1397511312 +1675858813 +771384390 +745820712 +883908000 +29619587 +548523316 +459644544 +711277901 +1019576582 +848396981 +634261702 +1665813354 +862675600 +1991654340 +360377625 +845615254 +284521896 +171531559 +2071391628 +2133557706 +1607697021 +998501200 +1247170676 +881440678 +272430820 +1737984540 +637060630 +1794469203 +1361555133 +1952042949 +1044496867 +889930298 +575943691 +1790317579 +1773838299 +605563279 +191357248 +85999195 +1316841180 +1210933830 +934396176 +1951102882 +729263536 +1797071776 +1795273574 +1089641162 +495203382 +2079795471 +1261172721 +419111362 +2065869529 +721386094 +1417612563 +1165556557 +1602826772 +1690043383 +756057449 +92403754 +1337028938 +2117612582 +2044446704 +234042157 +860059233 +472906747 +2024359736 +486413884 +1078470026 +68233336 +572413079 +247827559 +1279167166 +1506809255 +51446793 +2008430703 +1156397384 +1846720368 +950588217 +1651600766 +1779032191 +64277290 +2070712129 +1697418072 +785663384 +1340841044 +715490981 +241006508 +883400779 +1471548431 +333410263 +72946069 +1441677365 +230373319 +306988226 +154252950 +703280066 +183864314 +640666834 +1781750093 +252097651 +1213079913 +2029577652 +1531264817 +572405521 +2081024445 +1392211872 +1728802905 +1780261165 +195316441 +1232920023 +1411809708 +259593731 +1156148504 +961744132 +1045257115 +349505900 +1677235114 +1286263624 +1232906679 +1001299897 +1619673887 +1305852748 +295493614 +1850047206 +1612840974 +449746565 +405843624 +1796705289 +1090413399 +40110069 +2048802940 +156009665 +2069687721 +1432584109 +728415186 +2003228519 +677312334 +309734443 +1636006036 +872628775 +1542654466 +900332097 +1132222507 +551319323 +1862076229 +29995974 +900825223 +1391827695 +1316259598 +2133731903 +245643944 +788449837 +1292101003 +541137559 +491013395 +757458330 +990884124 +896857020 +406679971 +2081297523 +936967089 +307999263 +89823540 +859171163 +1740583372 +818238726 +714916034 +270412058 +1127973169 +203438422 +1143040834 +523143988 +1103770519 +127779693 +1074463311 +818363101 +157775667 +1975288534 +62707148 +1474035266 +1961536789 +308351093 +115001455 +1106154145 +849488652 +606014851 +1863612475 +1840372776 +1502871871 +122808798 +1774186651 +292355312 +430808061 +1864010192 +1151526475 +23907785 +534765270 +1866442509 +294319844 +1662738440 +2069880932 +1437360678 +38398780 +1026167803 +1565140371 +1112862091 +1844530904 +1722916038 +940666977 +1907238053 +1049467656 +754720119 +68105498 +1164469112 +1860874264 +917594150 +1770483963 +1577003091 +610483278 +1125872186 +1699811889 +237186281 +1418227498 +2130619950 +2101196473 +422270326 +7044087 +488478096 +141229187 +301363931 +3732888 +63626471 +1738724609 +42131668 +1089794275 +1156381332 +1154993759 +786841531 +731813723 +2095660736 +546595936 +1781281379 +702897207 +614701434 +798266843 +416287823 +1532295584 +421267158 +1993290914 +2142778862 +1547139344 +1545619155 +232481496 +817883195 +1528755457 +186194321 +1240153521 +1535799545 +674672417 +1381382708 +1837163476 +678405305 +1445009180 +1428404438 +720536973 +387319807 +437302122 +1875530732 +1174161338 +1169115845 +1823707821 +1720757275 +802913577 +379121380 +187975061 +1601180420 +795409204 +1720270646 +2022447579 +641216470 +1715565860 +1422103275 +39351978 +1948047356 +92502822 +1568107435 +2134241678 +1332656343 +956423332 +661430447 +566555404 +646103161 +1339835753 +2011564584 +2074507599 +2060372726 +251400743 +364326073 +1788419811 +1425562081 +1533441919 +1464643984 +998835708 +188871848 +1843765364 +1186810770 +1790052268 +491690920 +759597768 +1665016199 +1132907391 +327679980 +939635827 +1172259369 +128243689 +1032138649 +592883156 +115001719 +217311345 +1549306489 +776432166 +783866749 +47926002 +2116267919 +647947685 +2122433601 +2029156998 +899348428 +339276026 +1670093161 +177426861 +1872717945 +987253497 +1176262570 +2061589793 +683535213 +215589692 +1704158414 +1175226134 +975187460 +1221690965 +160649877 +1302867440 +13843144 +1332909246 +1431111129 +1045981794 +1925792402 +1546112848 +1263293139 +1327615243 +175061367 +2047159888 +1375541245 +143845638 +547623925 +1350491198 +25518988 +1446972353 +1689767225 +1695612149 +1624399214 +1415001522 +535381998 +653178136 +1329107668 +1218917212 +868767828 +885782434 +246659698 +1843955288 +2107473399 +407309575 +999339081 +2121316544 +1740218821 +282966562 +1019814690 +1518527575 +1829079411 +135624181 +698659171 +2004140778 +35300421 +2074200416 +502768 +582924346 +1277207967 +26021757 +2029896699 +819491544 +1721633906 +1506812265 +87009418 +109532257 +12506754 +1416117086 +1328449469 +881274582 +154415872 +1575109167 +577746223 +114405624 +1982418742 +1577085304 +88238520 +1575153915 +1860051866 +1108053210 +946197842 +1541647629 +1243677391 +1644857013 +1398304759 +1278977812 +1571573782 +1398807528 +1861902158 +701298101 +1424829285 +1744315209 +1520789645 +998979543 +1103643826 +1607799063 +1108511800 +1116150580 +876432502 +289477621 +1997425163 +1030848374 +1864586788 +427687738 +1145253998 +1699521882 +2004773042 +1233492518 +1127192149 +1717341260 +194062080 +2073389992 +1111505242 +1437739471 +1570763357 +362326353 +569233635 +994853491 +1761133881 +283652145 +1696151592 +1038479518 +2027967354 +1069457589 +2037459062 +984127533 +529773005 +998487214 +2100278113 +1406205507 +1287964836 +1950219628 +289570233 +1005067976 +230423718 +1434824232 +557106211 +87713112 +520833102 +1684298360 +1805054373 +714895183 +1610204704 +769075967 +5151006 +1033484414 +1131402320 +574384642 +2028337905 +745052554 +858036787 +1577005850 +1783532072 +738520494 +498979791 +1673507486 +1722648027 +1028752796 +524511053 +1675442492 +287474655 +1812475889 +1478178473 +577044889 +670060217 +1708602191 +2011869121 +1227166428 +1796315304 +385218575 +763981141 +1453886029 +1100113758 +226702197 +75478348 +1105264765 +1260186611 +1206880668 +1679649407 +1141040869 +1951933222 +390202546 +570563071 +1587981647 +1128723040 +1069542862 +1114005485 +703887419 +2098295659 +1638516538 +231846264 +238286666 +1303508779 +1710024737 +815331555 +1973568997 +1271143280 +679717028 +1053251777 +919974936 +1064935604 +1817232918 +226377317 +17565714 +2043935116 +301855665 +1122830479 +1156638079 +1508736334 +654996238 +150195300 +1313185908 +1045198785 +720758371 +753683907 +26438177 +1790301234 +1867689393 +730325597 +1741113245 +1358722283 +962171861 +1979399911 +514747415 +524712950 +647247819 +340832764 +1795856230 +1326964847 +1394084541 +568347519 +244416803 +1063833812 +794724836 +261982518 +960285280 +1096580502 +1384812997 +2116923359 +457833188 +2039809236 +119635012 +1771019096 +937524373 +840393383 +377219356 +963962550 +483210969 +97425101 +1694288147 +76840566 +1456147384 +508976360 +2056240478 +1970894799 +1033689310 +556004649 +164243915 +682061893 +1882969496 +1558328457 +1250409412 +2127386300 +474678621 +2045134248 +241885170 +1434963901 +994231102 +1626698167 +1404403612 +1452064290 +1519023755 +1524038624 +1075599739 +309064480 +216948360 +1452819095 +1273027031 +700159329 +1550244196 +819831530 +776999896 +858907932 +1328807891 +685756726 +682319084 +215013553 +1241761375 +846562999 +897075446 +977247223 +257407808 +1210 +957149875 +732086429 +2045135459 +1199035045 +19566682 +891882913 +678249565 +1423970295 +196463556 +49789672 +800525271 +1272063295 +358854153 +1017473631 +577398742 +1631881184 +1717632961 +2127642938 +304229066 +347149209 +839067222 +1633036957 +1032905935 +1521386306 +1848050511 +127183662 +220465658 +597642309 +1104430885 +477873466 +597643520 +2061580761 +1209959896 +495295331 +1113132158 +1229526578 +1387178244 +1791381723 +506013225 +1583641800 +1841171396 +1306538497 +708221447 +52541901 +176528480 +1285620189 +1684423085 +1894161441 +1265779479 +1988652151 +93827002 +2104846702 +1474205461 +1126732937 +1478749360 +1174772324 +1253916599 +1699215018 +1772414633 +210863837 +29604837 +222574505 +124960950 +1239564733 +717869836 +1238093108 +321607663 +2105048081 +881991184 +827620889 +1541206233 +575678932 +2134159386 +101944033 +628220833 +163204218 +1387564222 +165160270 +2057365660 +505860054 +6328773 +3709014 +463223108 +1480534234 +1130441952 +1941972468 +507822910 +236874903 +1493703839 +132753896 +447738740 +1523308676 +355328401 +572699690 +615389761 +1073198238 +1810792799 +936997424 +1030762671 +545300335 +1764618313 +424485256 +1120979267 +1751294051 +526429289 +1749200100 +1914498270 +1913993512 +1914360370 +1824380282 +272369918 +1920689143 +1828089296 +735593026 +1253739730 +811047600 +530081846 +1761562640 +1047922504 +2023785685 +1894316536 +1495661244 +1399610713 +102161290 +2068360935 +2015000474 +1175359528 +1731670086 +804514251 +58638551 +129486773 +421648916 +483123807 +1250466040 +25459320 +1009553097 +852182492 +1939957590 +776062961 +619059214 +1616854224 +1048432879 +392264709 +1297459872 +1784025905 +1646004439 +2108507473 +166624103 +1260083432 +1008946329 +42926141 +1006916320 +357123925 +1442536854 +1109077610 +278001212 +1310053681 +136953490 +2009671298 +2114567932 +195592041 +2139158071 +388733200 +678715849 +1242140463 +414192520 +1688268946 +2094322955 +206666462 +316848259 +565898521 +1823520686 +1365281138 +958163231 +973496911 +1001823395 +456684022 +934520736 +1168447498 +1716767454 +1943467065 +1211373639 +576200127 +153107342 +506426846 +1685277737 +431108555 +1816480527 +1822231228 +293296205 +1783564811 +2017823269 +284970629 +24814363 +549055470 +1527111092 +439006884 +89840768 +1473950400 +645673346 +406689027 +2039848921 +321710385 +1771970165 +850528504 +1295207296 +626309912 +1307212527 +82244384 +1794757411 +876496333 +2025711449 +858647402 +1452696460 +31335143 +1365074248 +990490550 +462443698 +1034071127 +665238130 +755739904 +670152290 +535577751 +1040710533 +694966654 +1084633222 +420337977 +1133973538 +1174473990 +1894288377 +1779646884 +1581163018 +1786653651 +2101357269 +1205649535 +489698507 +1249080917 +1831959448 +1796911034 +1331325301 +1479233211 +525923720 +1209553102 +190396965 +1978620180 +1240888246 +1555471214 +821627082 +1703331944 +442058693 +1486865212 +311588200 +1112210984 +2022442964 +1352298733 +1807177638 +959592538 +1772636711 +793667528 +2134066528 +1519441440 +425830764 +1567745898 +1158611443 +379704386 +625911786 +1648309951 +1628785303 +310387586 +1297737337 +812626957 +1789620797 +1823661057 +2022180059 +1980017762 +1654797590 +1115584657 +1388005328 +328941024 +671432954 +1830064022 +1815806237 +983021154 +794791358 +1690765553 +187836240 +454485348 +502874443 +1960472951 +1248152876 +489457323 +1332430743 +1673983640 +2057203222 +343558539 +2053688026 +535631360 +1991868490 +1534989682 +846018946 +1142122179 +200132991 +488156095 +818299589 +74829402 +320690209 +325613531 +1190414060 +1708695538 +654554555 +1861847014 +1391275912 +322877144 +697384520 +38583622 +2013642697 +885220760 +493068970 +369033492 +698210063 +1741221846 +858490816 +2030640807 +1267721838 +768210390 +226715698 +1173926217 +1303841750 +71100540 +561432251 +2377048 +1213222719 +761565242 +490533143 +2031522308 +836394644 +811223352 +209652191 +2026808704 +372435242 +864206747 +1741172070 +1763711154 +1187083891 +291072943 +1802294776 +1053242941 +1176293703 +147880098 +1422276433 +1874503767 +1889101944 +133283601 +1757660926 +1009340135 +901493991 +1984376624 +35782704 +57852093 +2055477164 +597214955 +60229141 +1121216235 +1358780197 +550762284 +1005254896 +47691193 +1361985637 +1214907087 +2074499898 +1734420879 +2079113834 +1668188320 +1350648386 +1118714078 +1959261263 +1005459514 +24473371 +988071319 +1153339613 +1446749804 +715091438 +894957909 +1580033406 +325268716 +1904298044 +334043749 +162161692 +1940080748 +391895843 +70155208 +389812055 +452124984 +1191371443 +1748592252 +1002887269 +49142691 +1796283446 +217389258 +1264049779 +1723299696 +1951810137 +1195679965 +1244004368 +1154974875 +166910395 +1055781984 +12950742 +191383766 +2043853303 +1166290355 +1638133571 +611461093 +2061248264 +1070683329 +936729809 +1818062661 +1404727078 +1098891501 +1610659761 +1796622921 +1169046709 +2000471817 +101264258 +212934504 +1601580421 +1104151527 +262077196 +1250380219 +1321540785 +1526126975 +826196267 +1125867274 +574323292 +2070200636 +133358502 +741233688 +978498972 +146309244 +932617454 +874868627 +1312599599 +423267377 +1486329720 +1226364215 +1493950706 +275575881 +896943228 +751194137 +1374467382 +360119342 +400333410 +396030443 +213107511 +501597668 +608964947 +1814687932 +1605749195 +871042143 +917584504 +779806332 +249685470 +1743780771 +1905673607 +824008763 +1666497759 +2039032109 +1565242451 +497513083 +37857705 +350376257 +1372381710 +1350457304 +773643635 +711227782 +429337871 +120110693 +986803663 +1326281100 +871304830 +213787397 +1686400442 +1271638241 +609817840 +1899507953 +1773235909 +1218782788 +1566712237 +1231501457 +2089824931 +336813093 +2011307789 +192026754 +2080593865 +1769497748 +1016035517 +1599607976 +1661046209 +433794320 +2097121060 +1698903914 +784170577 +1322019122 +901877570 +1557814212 +2033246905 +1331215442 +1677924906 +872566920 +510012894 +401746088 +1086354318 +48929688 +1673384329 +1696172158 +1948437641 +1299136591 +767471298 +1367666230 +383154400 +709812582 +1704479324 +246978541 +901839336 +1637589541 +2016476290 +1917874853 +1089713869 +1530038851 +204185525 +1039351281 +1081459118 +988356102 +213886756 +1983336688 +398686667 +99650013 +1167068482 +2076611573 +972216933 +1677081376 +330874013 +2058571251 +1726011064 +2004258343 +1607259762 +1526965057 +1155911286 +227247412 +747147640 +1539065686 +937059994 +304143316 +1786044227 +1838899330 +1941732857 +1655036869 +1609290535 +883963078 +1037592073 +1813476060 +1923314360 +2119051191 +654348515 +2137201116 +1954904231 +1053035182 +89367481 +974489066 +982163107 +1061584414 +504086794 +1313037120 +972672018 +82614211 +1169811815 +432448132 +1609579268 +178239453 +659695544 +209243260 +1717305139 +1596755539 +513386576 +1355865719 +1288171221 +307635785 +863418940 +749978109 +1191598864 +1901011013 +415970521 +967429576 +1872578556 +1070319036 +957147044 +1679999140 +2123354218 +1046514525 +507004558 +958033677 +2108098939 +1011091352 +123587150 +933287309 +1093705563 +1293398965 +1365735441 +555801184 +1471638419 +2025430986 +765044444 +1041459910 +1474702877 +1278431021 +249841981 +615390450 +1586066806 +1113260922 +1365368559 +630182022 +866788287 +1781339081 +1597611598 +591883196 +704174469 +407274994 +124398688 +680045040 +1453789519 +631403246 +1638078717 +1414404811 +1642494598 +1761665867 +200208472 +588716514 +907581185 +1565943914 +1144517698 +231735956 +1443891252 +1909562142 +1273195866 +771110481 +1040509515 +1523037848 +1386500931 +479092674 +488815122 +604385843 +1109274696 +1355603409 +238241276 +559402647 +1947486605 +942415745 +966677641 +2071885293 +1622460785 +272983513 +555804891 +1113055855 +1687388324 +50815842 +727238074 +1887596796 +639532356 +1634819259 +1306057062 +1784050054 +1866555215 +602464666 +1546128548 +992267434 +1373575147 +439154416 +367821634 +612592431 +918247090 +856636756 +1216978274 +2027521786 +64756517 +1455219550 +439440785 +2012243123 +250151647 +1406118427 +1936644768 +1872612433 +1679101940 +344966012 +838184640 +1219006616 +395781854 +1565422714 +959119764 +1035314210 +1052758326 +117693179 +671880616 +771829893 +720157845 +70525516 +1764097327 +2093732993 +509679932 +2131918961 +558841776 +1427927022 +841072069 +1775820050 +1307965161 +905828587 +1083555952 +1747405946 +770588062 +1333707599 +1006040725 +559749182 +1058836384 +537659017 +904715194 +1897021024 +1756665633 +1300497048 +1314960091 +568301750 +188327610 +220234769 +685994929 +860208226 +992064662 +1406152774 +930733743 +608678342 +1352402119 +1440413675 +593113655 +1911243895 +720857050 +1434185725 +1539580297 +2028822211 +192530664 +475652601 +1628744509 +963118726 +1809360201 +487301587 +1522867908 +720712937 +1024960604 +280099455 +470250314 +634142590 +1580596503 +1785210405 +1202444340 +1768924114 +2005445174 +1888439269 +481648692 +850026188 +1147108395 +1412382435 +1458704530 +352026867 +705312463 +2051818186 +115787114 +1426169513 +1338520263 +1655367412 +1307508076 +1531050927 +2131020013 +788768937 +346686005 +1792896566 +1276070524 +1869553913 +366125856 +153547481 +2169720 +836376170 +787690071 +1582766224 +474102927 +1990134411 +1204206690 +332064453 +1731090032 +1685855382 +1182090641 +730714779 +950754170 +493311524 +1082741646 +1656066633 +397646062 +1198528761 +934752498 +1736166325 +706412525 +94776926 +1119733604 +689948890 +883545863 +1466419609 +335361809 +12132740 +1188489874 +701487665 +165680221 +1190659595 +1537863835 +953370292 +625942171 +2011966762 +796021055 +1830148861 +196547567 +379627439 +1368520595 +1378638208 +1110342218 +171791117 +1871949732 +45600217 +1827857750 +122112146 +1244128978 +615126600 +1858278471 +1950541503 +709903526 +830528427 +493006745 +1593449390 +149464388 +828368554 +1605582130 +1337954263 +1529856219 +1771262351 +381130210 +920236406 +577148995 +1007072381 +784719520 +1373170050 +689737594 +981267087 +1752797489 +2058258189 +212421648 +715656059 +82565659 +2084371380 +761256276 +1910423409 +58999879 +2005385254 +378066362 +1917278350 +1808443109 +1087969888 +600323130 +153966207 +533935630 +749787518 +982334761 +2139517760 +2087741781 +364707333 +1763296463 +321388343 +1284943739 +192961810 +1328460724 +2069663260 +1566131860 +2018198318 +903446699 +1171445701 +1928972860 +1115868347 +1887101761 +2011538519 +1052756080 +500874389 +1774478280 +1111755959 +358775996 +5060994 +881550661 +19735457 +1093030883 +1481873791 +173701664 +1626966513 +84177662 +1156036426 +1619000626 +24435795 +1520743759 +1234813441 +345824139 +658203850 +1427775252 +1674284863 +580383462 +846423464 +1544999534 +1483830162 +2017869166 +1326488746 +452214861 +1757487279 +1190543617 +1504970941 +110878020 +817538249 +469243252 +469654016 +822599244 +1350793914 +489389474 +1915630127 +685184057 +663091138 +1395112992 +769361719 +1819127564 +866629970 +793797515 +1192387675 +2101443412 +1139621654 +1850591526 +1381735016 +666422869 +283491340 +80674832 +63938755 +1767321502 +2098543998 +1390427501 +72052716 +1708547629 +433487470 +1577023657 +1819425650 +1251025720 +2046266910 +141596018 +2073624964 +1249577176 +630985492 +1841771443 +1934761233 +1294076631 +1089400787 +556639305 +965720547 +1956030758 +1350436820 +10624575 +1909990522 +342574826 +1861216101 +1144241890 +1008997695 +2144707441 +1224916722 +1072936451 +1764545296 +1175977073 +315880304 +1836598012 +737041054 +749367775 +1266138021 +408983056 +2000393495 +1164921283 +550579075 +1926534811 +267014811 +1181564567 +1620822606 +54292397 +328157550 +562739745 +610931702 +1293878098 +371286855 +1961368522 +1304502673 +133793729 +156459700 +1018235126 +1278035619 +1165457395 +1015458919 +355468694 +90910198 +632520567 +1531445767 +406790503 +321634931 +121003173 +1156158278 +1587772953 +529986230 +1009068125 +605210588 +1080565305 +788119288 +872225400 +114646224 +261458246 +926517797 +442803775 +824197991 +1537449499 +1736681873 +1195484847 +1351334373 +893700898 +1329278576 +1507794073 +1911936024 +459830548 +525767820 +779911295 +815299242 +616678019 +1412431863 +199261361 +1023468522 +1734066794 +320264534 +32143152 +1174356099 +850250764 +1041211277 +1779566688 +1930816069 +1829330565 +504308440 +2045462294 +2090788811 +1430826237 +340782421 +767503154 +820792088 +2077464294 +1962988001 +24642813 +823681544 +1144782930 +1532436886 +588133920 +1604613478 +2058204706 +1368045215 +272429072 +527399077 +632993430 +471690433 +1550867599 +219576577 +791954967 +1583010751 +1393932676 +1642205732 +476738380 +1026015716 +1425538153 +158585297 +1530324156 +1323516799 +101890460 +813666745 +1664299220 +869393615 +1634458833 +1594279866 +684897968 +1659101646 +270477762 +1829680898 +1044054884 +858611682 +1286810728 +954775943 +79173250 +1559239800 +1482175020 +712166680 +2030930233 +885558972 +931743257 +675401553 +321086075 +178192286 +170123637 +797824456 +1204208002 +1595661790 +956409753 +587048511 +771694942 +1058300214 +1400715256 +288510514 +1927693829 +887690442 +1882790381 +465108149 +399308440 +5784495 +147305400 +1443363325 +864396178 +1434116128 +250655620 +943569428 +845872281 +1732830640 +1655736108 +729318866 +470905964 +439995718 +1404720419 +791992040 +618188004 +1574844056 +1589816496 +1822396006 +1023022199 +398742601 +261960869 +1794717141 +1457042815 +1662676126 +2083227655 +1237252996 +402882920 +1818534388 +1702361146 +802191360 +1824318884 +1849666546 +98071037 +541231414 +1136299026 +348726657 +1484800842 +1982171307 +2081557298 +993053302 +564006526 +404979614 +1433049020 +1968726945 +1196971654 +2051237024 +1396087354 +639304502 +1726149383 +271625905 +1038047104 +1988110252 +2066343046 +347606271 +1503302730 +2002087053 +1584859268 +1906185650 +1673137794 +1139736766 +560893363 +1349973030 +841919664 +658964400 +1891204444 +1978218690 +1007691058 +1228521638 +1812906350 +941764708 +74091292 +229429228 +1346744322 +1507140313 +50672525 +396232329 +1410893689 +1446759879 +1035536831 +989559424 +1718385784 +2073583935 +830186029 +1637245182 +273706559 +186005111 +1491848588 +1858565827 +2092190762 +1017502734 +850818945 +505600477 +219992116 +1692738609 +1164564877 +2111196560 +1523473651 +24772287 +1192234550 +1188896353 +966536995 +1266325842 +1418325581 +165797670 +625982507 +1468998107 +562029999 +2036876197 +768274338 +1597566830 +878951973 +339176475 +1523667118 +1709138002 +1976421657 +1797373677 +1895143114 +1320786597 +1508455856 +1839850228 +190805683 +211791153 +197967057 +410797799 +1904529762 +1362531934 +374510711 +1280519765 +1387304222 +1566745261 +321932471 +206357569 +685587456 +1740258052 +372155239 +1311569963 +1061772511 +934185238 +1200962512 +1830046850 +384268421 +2079914486 +21739677 +1907935539 +1641568840 +1998161334 +1557825568 +1389228306 +1171464284 +918797776 +1081594886 +1362269967 +1130588929 +1279561943 +1773067767 +887635043 +494610230 +94830 +20671160 +1881914452 +1566840092 +342603631 +2088272021 +104943900 +2082861684 +312943613 +1416513863 +997150547 +1247128851 +469992728 +679713749 +1631397272 +402423566 +701453426 +1391849163 +2043992406 +552131113 +802191083 +1285737065 +1723595397 +1720988859 +219848303 +938381716 +704094140 +1499410247 +563965835 +1591729183 +1994020477 +564060666 +1612400344 +1728451281 +2130900758 +1955003975 +1669239654 +88361010 +1890382011 +1982183267 +1504874873 +740048911 +1081828471 +1974867601 +1419762660 +565742095 +229807519 +2121216087 +1957591259 +126316278 +525863552 +612298694 +1412053343 +101975301 +185803906 +1631901646 +1040357017 +889898046 +983828245 +1604322853 +334143582 +830365074 +20899871 +1946543926 +411332707 +4316981 +1754064253 +2080572362 +92677991 +1496962617 +1915271981 +1597552864 +89527880 +849616804 +1424936818 +1509290540 +1415358900 +1654744337 +1483022979 +1225466511 +1781060615 +2008886531 +1837765205 +1045630310 +2110861832 +2023569111 +530048309 +1003735202 +765983510 +1513876554 +460574407 +1100127092 +196757981 +481474278 +899187370 +608090688 +485791259 +505767975 +541179402 +578469250 +2002730592 +308967736 +28538466 +2092258472 +1158584540 +1453475284 +1454065365 +426459792 +960735974 +789604696 +1651926303 +594312941 +651007580 +1342207861 +1639943252 +614385764 +1218293324 +22507913 +1618120966 +1984276834 +1536384467 +2078695373 +936920278 +1733142448 +412686003 +1836107648 +193749489 +898477262 +194391976 +734928891 +1476946512 +49638920 +1043896627 +1505484979 +2141897393 +54997520 +811476615 +1448479110 +481457312 +1772212589 +90600158 +2133383616 +219041883 +741607738 +1328107829 +1858985135 +1355993503 +398917505 +1881493048 +826630821 +235710692 +1270393867 +757842547 +1172630970 +856052668 +1170528550 +861254971 +1049802157 +2069005813 +1055646947 +1784731048 +1398468677 +1105285867 +681144028 +756470008 +1099699612 +736141548 +1567946624 +400695074 +1217598860 +1192675565 +491295233 +1203498828 +1411717448 +1232902971 +384123009 +1123218935 +441412826 +783040515 +857228335 +1268043648 +1018751207 +2127622203 +2025886195 +43898529 +836191223 +1048931097 +905153500 +1885993380 +970453262 +1960800447 +1523240780 +221438292 +918602667 +56901160 +977908300 +2018302279 +793042708 +398371276 +271513706 +2010641569 +1591046842 +762808939 +1066656749 +855280642 +1995711910 +1450779759 +1978499578 +289641089 +86336626 +688244265 +1557684737 +1105087833 +668382820 +1436087284 +1148986362 +1504574043 +337534733 +2054139863 +1243083775 +1307987996 +1867456662 +618840908 +1529426288 +638575681 +675742068 +359850940 +509394313 +1468784777 +758222217 +780908019 +1331942698 +201785411 +1543716958 +251115799 +1057066053 +1391945220 +1701895558 +888081983 +1681586309 +1788232184 +1576326249 +1091787398 +745836369 +97225421 +380391034 +1894822732 +1601799465 +717925768 +1801478947 +697399592 +2025913764 +1521451961 +1316240500 +1407856404 +12543995 +1991982569 +1767707344 +521938308 +1313283698 +378445913 +1302846327 +497742748 +580231324 +699079637 +748858547 +1637297378 +2091024857 +303270458 +377895713 +1625127519 +2091502642 +1954221962 +569431269 +689855364 +2051447384 +949822304 +437194448 +1505763201 +1667748072 +91189747 +55679145 +1546178188 +1612641708 +1371919646 +806550944 +1625185703 +1216418567 +426774640 +2147124011 +382218617 +805220554 +1302486690 +879961365 +1385451878 +2001566327 +1628819912 +875265608 +1945107537 +1932090370 +1253161322 +1422751408 +1876109365 +1059899636 +1992182677 +418481081 +963863372 +794521333 +855675529 +322142925 +314785757 +946865276 +377822071 +1860963945 +412023336 +1749741717 +520031241 +2037209040 +818676636 +946805882 +2036849403 +1200895253 +1752026436 +1191852446 +2080856618 +989994666 +1045935125 +1562192882 +1865260275 +843559014 +1346799605 +970937949 +118826774 +1075425322 +2030837585 +2111009452 +1493906403 +847217310 +758047137 +202098284 +1169360235 +1072832895 +1148963560 +1547182306 +786313192 +1560986896 +1149440375 +1306344434 +1450712288 +1968117011 +105666668 +1340078044 +1021528616 +1857693104 +384446842 +954901586 +700204122 +1430381967 +369610821 +417980749 +126457334 +1716410426 +1388918698 +245284108 +644352100 +1272272636 +208809912 +2138258503 +2119489946 +966857050 +192873139 +1141366533 +2039689945 +1341836699 +541065192 +678519489 +755339947 +1690505567 +1984863923 +58568588 +1511138931 +2090530591 +1398646632 +385183899 +1800740047 +1783093474 +1340085486 +353460522 +1065991793 +1709696307 +771441271 +1192449127 +1278623085 +12876322 +1437733236 +1922975185 +1285148958 +1646543148 +1913750040 +1257155256 +465916550 +2106623179 +251038141 +358122847 +1300976230 +792103333 +1036642337 +2056316177 +335125253 +874022612 +2114884765 +1846264184 +817069556 +1366047749 +83964435 +470325955 +1001657575 +1424049921 +823786477 +2067649369 +986262580 +1595227749 +1112614848 +117402017 +1608104071 +402864436 +2040377202 +745769381 +2049407585 +1806643594 +2002924637 +367840487 +1765783125 +106479130 +725963335 +919275707 +898582464 +1762605672 +828108237 +1233707717 +489144636 +795509354 +932488253 +1306214192 +14073456 +1016452688 +1776540148 +1015731031 +293018962 +452842977 +935896752 +1279281542 +2048070726 +2048511601 +1396683560 +1508691149 +303892389 +1289577114 +106976882 +205816326 +948737061 +2109901519 +573656814 +567036538 +68897002 +1299620149 +1486312246 +967479466 +914742173 +166936835 +53703535 +1403886809 +962446189 +986191788 +562617354 +976519645 +2002644476 +191673854 +1992250677 +148179790 +644516831 +780663781 +1427461333 +545103910 +681691734 +676661245 +2053795059 +985584124 +1966238359 +13288294 +1191400450 +767491772 +2123189813 +1765057264 +1334528311 +44603167 +917193765 +673356909 +1012082633 +1831935938 +840293744 +1065786168 +1088339100 +1802739933 +2051977956 +1650956454 +631775931 +1907138785 +1842630308 +476542960 +2055318575 +339663491 +1257206741 +1335296260 +884767401 +1938898476 +2011957505 +791078813 +776998952 +1830712217 +804367107 +1968399402 +450720341 +780073272 +1585973019 +1785248652 +824676440 +355683136 +311121913 +1836759073 +40135427 +1151415657 +755061594 +1128474527 +806671943 +659555902 +631947333 +1438447874 +419211039 +327093993 +1914990834 +327045967 +666757484 +1024713927 +1662342227 +1551524886 +816128755 +1526816085 +195120051 +1593127707 +1210044654 +999487158 +1414043462 +1660764995 +1779560430 +852532833 +1298530000 +456753222 +1208215969 +1609651913 +146028648 +1248351396 +613583923 +901090242 +229342275 +1420255866 +1560646144 +861289608 +711220092 +1979857184 +1188383601 +478727278 +159419503 +1855141086 +1503441205 +1821761730 +1259182324 +172086313 +1201094167 +1454302375 +1765214020 +263655173 +306305885 +1031773834 +1924420169 +2085866315 +1884306667 +1075466521 +395135890 +945038989 +537634786 +541164538 +45906737 +1151218709 +1442254780 +275249013 +423990927 +855417276 +1136538621 +1135211019 +687790812 +177438575 +1613938297 +847210315 +2032579661 +969895855 +521488398 +1144278337 +1141982168 +1722582565 +451097064 +759712540 +1986237739 +757402949 +1791486375 +1763174260 +695785616 +1528309394 +691157133 +1090921506 +325864735 +1228791919 +1632086044 +371771473 +232526981 +926857176 +647020486 +656517908 +1782274453 +1783559107 +1791728928 +322581617 +1960997682 +1258183577 +1169791933 +1846093695 +80595784 +1691280331 +842888384 +1222577952 +1266379248 +1293985448 +1982290493 +1105133339 +2051388397 +1626293220 +720823951 +599690366 +1007118966 +1411981084 +1690611872 +1332983702 +493289356 +1175214269 +1704755175 +725816337 +2102071445 +204292013 +1382334245 +1736862250 +1987851120 +1026579525 +2059443868 +1801365155 +137279455 +1081752153 +1499975202 +217875239 +625548836 +195379939 +1440453192 +1891928084 +1489365387 +1275260037 +849577776 +1393270137 +754069609 +1570401727 +1992960503 +1761188575 +834899164 +1536088727 +946688629 +1328188520 +563819348 +503960156 +2054004857 +518407146 +708252169 +1288855454 +107785748 +548619642 +167951332 +19745968 +202501149 +305230787 +1101498121 +1702476351 +523106026 +1727046957 +1897856290 +1963559218 +1471491394 +1239738030 +1091335607 +173585522 +485524519 +1845405216 +1743987249 +331001374 +1459110144 +431402765 +1867090101 +258315125 +1759591285 +283425802 +762275282 +1666112494 +801832948 +1470527451 +807484301 +909618696 +2019147093 +975435633 +929364665 +74164594 +1280666420 +2030862786 +1776640946 +1803772446 +1610426096 +1527013588 +1619848017 +934433842 +619267970 +563699976 +1108019364 +1104792489 +261621545 +704522965 +1435793863 +1720731689 +1135925731 +1155400317 +1979046814 +748033368 +1438826119 +593838448 +266662215 +93175419 +2064365900 +1074146516 +1002794115 +1936029345 +2049582149 +1932158780 +2010193940 +1182764921 +1815537919 +1639351238 +839053719 +1278480367 +1018881178 +311418088 +65430561 +1638149149 +875118065 +1173449925 +595457990 +1136739610 +1877972890 +2031251854 +709987651 +866414973 +1039168523 +541550817 +1614448342 +330510994 +1135389266 +1881110557 +423686413 +1052271518 +807773425 +1426480528 +840817215 +709871926 +1211155661 +703527507 +1892636847 +879209932 +195395097 +584206918 +10206651 +1214276276 +895625007 +75637212 +704941777 +1770743072 +1249087137 +1300399767 +759999034 +979576379 +1184167973 +1469986685 +1845991353 +75852848 +2011537502 +1312956047 +406363842 +999443120 +1046582956 +830050255 +2051714638 +1854356381 +109047136 +745048206 +416744659 +1320202797 +1448575713 +161897858 +51929081 +1643970811 +746104776 +62135732 +710763439 +1641729783 +137772944 +1415705216 +1264989207 +1386860081 +568621335 +2024988241 +218952812 +1752789309 +1347491278 +2064944165 +1828642157 +1211545133 +1230416564 +87522352 +63504605 +129515872 +917572607 +2115219244 +1983872253 +1026619743 +712783802 +253133264 +199338892 +13875867 +415031122 +251267973 +1657846678 +1161135899 +313403705 +221126469 +655382034 +451176649 +1636831685 +1920371242 +1838036730 +57969373 +1797875835 +2056989543 +1810758682 +997883466 +1974450060 +1491917191 +61944951 +1057382977 +1579439543 +125449556 +1186898849 +349528503 +93185152 +1023287455 +1376148246 +805968954 +1276420719 +1575487139 +819844822 +1691451842 +1826755112 +330207852 +705104093 +2140158818 +551334322 +1360486127 +443851819 +40682359 +1133373721 +134404902 +98651732 +783765909 +43910797 +1909410414 +1781649375 +2018360857 +1253843958 +1843594326 +928260186 +685799853 +1969043882 +2115159036 +1035328356 +2062229035 +990962843 +263992955 +720714341 +119899914 +1839480094 +1540559163 +1811351756 +1518751558 +1870767016 +368972201 +1511426728 +274617690 +1729458329 +1955278548 +315300049 +715348402 +2089683450 +413951782 +1499114311 +2133594247 +175878548 +1133280038 +2004471456 +1429722506 +829390716 +785247995 +2115522360 +650950951 +752923383 +1003367068 +565696338 +1743886226 +1267360023 +1286410679 +1863786140 +959356469 +679486195 +1527654249 +330624380 +402769563 +1896626450 +1842051108 +677387253 +1478601131 +1649846008 +992687302 +46465886 +1592045810 +1406639084 +1545580197 +1578156409 +1582517633 +531376588 +1435144218 +864756491 +1360767304 +72908565 +832795203 +2011718255 +825831948 +1836162272 +429930945 +422234526 +956038647 +1716341625 +138537018 +1915395117 +248344172 +1666191267 +98535849 +651113735 +1415334070 +1940586957 +1328500988 +746451553 +1442949318 +173704642 +792917439 +887511480 +1580343727 +191013989 +318184242 +1015377712 +722390577 +1753328460 +1880134203 +2083157881 +1826237025 +565445759 +1947392489 +504585325 +254124383 +229839786 +926819851 +1210163030 +1946181411 +1065356869 +978074499 +47041935 +584064489 +1076610348 +698155670 +1999398559 +869713658 +2026656658 +598366464 +165179328 +52877653 +1391283904 +1052690808 +1633221380 +1582297893 +1370875050 +501115444 +157204822 +976719862 +233765999 +92879055 +655473239 +799211758 +2040271544 +1160058564 +1053336141 +122627683 +2086878415 +116015524 +2068809094 +1004751637 +1094090023 +2115851030 +1588816126 +23216724 +666523052 +1440731037 +892930382 +545696063 +2039097501 +1058109710 +598573716 +1282897757 +2110800518 +84311448 +717712002 +1334191921 +585426892 +874916824 +163428135 +819192891 +967795880 +818901375 +1618404650 +860583776 +1978959939 +524257143 +983211459 +1918354707 +640272667 +904536906 +775622696 +1734362691 +872904288 +216955174 +1757579415 +1539427340 +1657686211 +503026149 +2085123403 +1549300064 +1561135859 +536213471 +684714174 +1524452729 +620524919 +1402426176 +711161002 +1205951811 +129859353 +874589138 +2025144703 +1097655233 +1693490513 +1496065705 +1958239009 +1524966804 +2020322848 +793966821 +1295837863 +513111868 +1698503727 +2071460559 +99990911 +423924367 +140932085 +1857570326 +1963351707 +1798618296 +213112827 +1900991463 +1200434713 +1774248686 +289721286 +1885148887 +1151217767 +910246206 +1140091415 +1862378770 +2116198017 +1269950768 +589484260 +1993859072 +220122353 +135491125 +1342441129 +30877715 +1660457929 +1215280330 +824844536 +808812145 +1728392198 +375864615 +732789056 +1828383109 +799788982 +873721142 +1538469787 +615657041 +524855790 +1751582614 +369164856 +1725290503 +1378347652 +658886143 +1462955742 +382081771 +1569132349 +455563510 +96976893 +1537846718 +1725514278 +686461153 +1384222143 +1945636632 +821952278 +579179624 +1976514347 +334926560 +1794459954 +653875235 +1143738705 +1375368504 +1029739850 +1876527761 +1056267965 +1829528832 +602765255 +447254104 +297702225 +1127621046 +51353070 +666867082 +705427901 +1429700722 +1325753225 +20899996 +1811782494 +747401926 +476463506 +1908759387 +137764996 +54494136 +447736893 +1521987139 +2000130768 +1269689171 +2101166764 +1829161467 +1604615731 +1748143070 +335553054 +600870788 +976027927 +1365292904 +329914902 +2032295892 +1047338088 +932680157 +332066349 +1345040314 +2060301203 +383419419 +2011907396 +618245457 +1813120142 +1190176973 +639145453 +1477418988 +1937578899 +1115608959 +1238694727 +2075343895 +1170103095 +1686431620 +1449847387 +1022750216 +808637144 +1403530503 +704428035 +265769227 +1004189925 +1039981090 +866640016 +1980217852 +257790346 +1196554918 +1865030097 +1305128435 +2129235075 +49612798 +502685101 +2042052631 +433032217 +367108849 +512814440 +98668711 +1557285822 +1151959893 +1576087699 +1347381073 +120085204 +667298779 +1275241320 +1290188299 +206246751 +577605059 +165454867 +1014883895 +1981135562 +869882903 +1280653123 +837841840 +1909863993 +2147293139 +670576044 +20170691 +1196364409 +388122493 +1325299126 +1178115836 +437735291 +1827984227 +1072684819 +870767509 +47609428 +1585499259 +969436220 +1604895250 +589975504 +398040272 +804792675 +710060708 +1065339051 +2080033996 +2000249008 +1271585802 +510155407 +18220227 +138986050 +343807322 +888103130 +1419639173 +1181649162 +650483475 +1419448664 +1852225206 +670654167 +468329425 +92864052 +1995953293 +1646445261 +530599343 +1676453873 +571646433 +1401366852 +1724063301 +9662044 +223319425 +1181474904 +599637549 +621359697 +1986267579 +1309698257 +1686698748 +1918817927 +1162463617 +810800902 +281489687 +1180683845 +949786952 +625297009 +2068786975 +221942477 +1806946171 +571786803 +1641391141 +1511687729 +1242440970 +2109720566 +1604551781 +1090910615 +1608682180 +2135151125 +619880840 +32844965 +1389034329 +196460494 +42507009 +1612353754 +1377935398 +642144558 +86229803 +1216719329 +1951842816 +1772928551 +988053609 +966822785 +436245806 +1269543296 +22982 +1386032758 +1894840305 +2068809958 +1607975236 +1554302828 +493113113 +1101882729 +918506909 +1735554083 +1064119648 +375575043 +678981050 +525318180 +363242520 +1298861891 +558163145 +1752276849 +1495322385 +600670154 +1217146956 +725774135 +1242814713 +1303376759 +1942493464 +1047173881 +928821663 +783063425 +2013996666 +1365067469 +2052606721 +2014019649 +603616579 +1799963378 +1935345959 +64108167 +1206782558 +280975424 +1165990897 +2125289468 +2016529507 +82626897 +353380863 +548026909 +607945077 +716623383 +1846888800 +1166108222 +321416584 +1194727537 +1766778376 +1538563540 +1920501672 +862109441 +694456652 +1715511489 +1909283322 +1623278315 +351091266 +1775796341 +840862136 +256214340 +1642332342 +1444478715 +2056177718 +1430194653 +1508586883 +1115476629 +1711170077 +527094132 +1093282449 +1580215936 +609721029 +1446663312 +2128242845 +1217666106 +15803047 +1827647998 +236290680 +337219631 +874891887 +2003069056 +1875783172 +647909912 +717694850 +422756176 +215937753 +479494524 +2046034491 +567029019 +107807217 +739412979 +823243359 +1750139559 +36408046 +731937430 +1032850564 +1544994929 +1847414059 +596536993 +2072089061 +793212860 +29269281 +534326442 +92392524 +10028479 +1751992548 +108195571 +1837676477 +1988283228 +445415202 +565084716 +1843868637 +173714726 +1212994628 +414079839 +596470902 +1428932381 +893574363 +495021745 +1995961401 +1001381581 +1234434724 +671721112 +604037492 +1270842771 +1403658542 +1636888057 +668354052 +1103588953 +85941402 +592959466 +1896801813 +115210684 +1127285908 +1989194337 +125239163 +731794809 +2097389908 +1962915640 +572594389 +395321463 +380516708 +268979378 +569036189 +1593511337 +683059217 +1165507092 +874960070 +1576633581 +1660528837 +723437823 +430531514 +747479914 +1395158936 +1034569006 +2018322685 +651333830 +523973415 +539193089 +1754922784 +609914818 +1132152555 +1504240949 +725125502 +111954816 +1345951639 +850364665 +843749625 +1295857899 +665796657 +1416344014 +1691179362 +1046313365 +1685323393 +112731904 +492341054 +220898962 +1278238996 +1367301125 +1797532543 +791284185 +2090738948 +80580409 +1538764099 +1338414236 +1115149416 +1409603136 +1989748067 +1639122831 +1948796226 +1597187203 +101554001 +933465133 +953944504 +826679503 +1045419949 +152412495 +1677044168 +1889169574 +1448270395 +195357177 +1158029941 +991966109 +1241670543 +695869686 +1104698013 +1734011597 +916768648 +235453361 +953829074 +566817544 +1026737547 +897084375 +647397953 +418017998 +88014963 +1762547369 +1827621135 +2077763030 +1254186553 +1628933713 +1527466585 +1355740554 +414915198 +333927442 +34936410 +1460335148 +486339937 +1711980578 +1202021074 +1934610332 +1907337756 +212567367 +779092794 +1001524651 +908437053 +1883790807 +588052600 +1825205702 +2119244169 +1541881675 +244539598 +998498068 +291482402 +891937551 +1416516066 +379497365 +507001273 +1096653553 +309776748 +1761187826 +578103618 +1837243333 +969444732 +993018817 +23687127 +1004381142 +305870317 +510027065 +568878073 +1507891391 +297153749 +328732181 +1720458759 +1076246543 +1330256832 +481412164 +812553703 +1918309432 +159134218 +784314224 +1312707459 +403673816 +1782812292 +1604189861 +1295611368 +1051844710 +1983687227 +1802612641 +1014616 +145980327 +1416316819 +579118234 +1983223660 +238277903 +1572137051 +2006910788 +1242659046 +1878007368 +369454205 +1811537119 +1238415112 +666607954 +2140269300 +811390223 +1742854498 +1323042484 +1292802387 +407924553 +1093868268 +1451936606 +1192238777 +259092080 +1855610422 +827567421 +1863281941 +1003738142 +1879412131 +1699485520 +658867135 +1880426747 +1845465847 +2075183954 +312061334 +1681205860 +165978210 +1884198385 +1540633000 +1408637256 +1614722106 +1910087205 +1072690727 +705653570 +429211511 +1065476379 +1517043793 +24582361 +241035215 +662362532 +432506914 +1334903483 +2114299138 +1624745691 +1593995563 +1822425913 +304829464 +1309793857 +678680407 +36757948 +861795729 +1337547543 +1917184695 +559777929 +1265247849 +81762381 +93500141 +1431226059 +1965960767 +1634133141 +692379667 +1433199225 +1396736698 +1765070394 +2138852795 +1825948209 +683063125 +1508412940 +1850530571 +924098340 +23291824 +135553837 +111518176 +2137590963 +1760299529 +1705513739 +1812533228 +2065128993 +867823948 +343729987 +2101886941 +1729619678 +1681277530 +1871587989 +141913959 +799041732 +1953350370 +235414100 +82784143 +1771827489 +1869547241 +775163811 +1057543066 +1118800291 +392750557 +1048912213 +797264852 +1075813683 +409841505 +500311775 +1999912023 +433133330 +635865613 +2111430199 +423240645 +248681494 +1669460291 +88290225 +166326839 +389800591 +432020212 +120730133 +2119420269 +2113297743 +1992318122 +113850580 +764855827 +1798184844 +349264680 +847639970 +1422528686 +71328273 +1622803781 +332588104 +1190128564 +2015554339 +1381500318 +1987393417 +943884374 +1791341823 +340221544 +796312749 +76991505 +976087157 +760259301 +500232150 +1224768651 +282235944 +588522375 +1391095491 +672036535 +1020542588 +1511825624 +643973157 +986356683 +1356660098 +757823737 +1751212510 +1007361294 +1107088418 +451368832 +282406332 +1178416691 +2074172614 +614994437 +221061608 +1942243305 +1996494755 +60971377 +738644031 +1640352930 +401192921 +1534956780 +1717344436 +1377280079 +147732433 +70092938 +454565082 +429968377 +658615314 +1845660573 +1102004913 +1679157902 +1210002549 +1745978070 +518030937 +419178999 +356318159 +121759799 +1426540294 +1463406577 +573128631 +1708946626 +494339621 +499817597 +176457415 +715401229 +294577254 +25468522 +776372606 +1033221285 +1665821453 +1177565527 +420694418 +1235682241 +407361958 +568426851 +1305775179 +861927041 +998395229 +1964390493 +560103966 +2100400142 +1496064747 +1770106516 +1698894564 +2014095684 +41801867 +2055212723 +2135855483 +1468342161 +1371135653 +561500467 +1029805140 +1865475274 +1061318064 +1206262555 +433392855 +1355895319 +1231731078 +1209765461 +241632956 +750068883 +239847340 +662327374 +1985751124 +647209299 +1230754226 +1144042655 +1509136340 +81665807 +960949501 +2069240306 +34582301 +309530600 +1691863174 +1733476865 +176142637 +1733665042 +1641205940 +164514472 +1054523555 +864857945 +726014939 +2084328695 +582849571 +1787333004 +1143107603 +1016242426 +995744675 +227355033 +78524239 +1237377631 +977423916 +318371580 +1899705006 +815691392 +965580879 +982975584 +1959734047 +327233571 +1064641391 +773199900 +248990229 +1099223692 +1082730501 +1940853404 +685216909 +1258873138 +1527034798 +178939201 +1423387610 +434074705 +1043797147 +1918902 +370919753 +1626646718 +1789251906 +1514027356 +495405497 +637512933 +1741382389 +573929736 +1874890564 +571322657 +892301316 +1627111922 +1387014049 +1857882195 +462603858 +1199264448 +37632118 +1527245249 +1972464349 +286622348 +478985293 +907711202 +79992104 +1164202202 +19100692 +1607026902 +1343141404 +1442488302 +2041101607 +239454903 +1444407204 +264537712 +1866101621 +1086175462 +1778565068 +214023470 +1723688395 +1372463809 +787953207 +1451095312 +1943786466 +1680254523 +930723586 +1183316867 +1390653071 +1393327445 +235097668 +1428285189 +773089046 +60078369 +1714907537 +1252074340 +967789571 +1794899641 +268792894 +986890263 +1254442895 +1611934298 +281894917 +1148060855 +1851389201 +1726302122 +1412598567 +1570007175 +664993936 +1043679988 +1784030645 +241198684 +268660149 +424500204 +1692293996 +64962968 +2104754728 +475533934 +1248279835 +1347924151 +1868861379 +1483377503 +628725692 +494466778 +1543455872 +196149582 +1746541118 +363761795 +1991049223 +2015334012 +1350652058 +1098008471 +1479784663 +1632546976 +98585678 +1183690216 +1211365450 +1511184245 +606213743 +1876359386 +407380585 +242760741 +2117558070 +676040735 +667260945 +1662368418 +741003703 +624532025 +2137902353 +1989283538 +1972456176 +1859280084 +1325177394 +453698221 +206263214 +721149618 +649847803 +1952804332 +1084911414 +493413378 +1820654697 +288079824 +1591421849 +1152955712 +1920626800 +1690007527 +189162280 +984508602 +1053708125 +795376024 +713384341 +1461088710 +1038136765 +683458763 +2137129445 +1705397710 +198343534 +730649500 +182446088 +188762239 +572449391 +7418616 +2048042323 +1897626785 +461116837 +106821890 +471292755 +1110964640 +2059626222 +1556204169 +1604378019 +1732797271 +1844283994 +1048316220 +738269335 +1617427146 +590840100 +927431616 +454452101 +1644548225 +1722807640 +1167836442 +958153287 +613460757 +1851295205 +947799085 +171374819 +2049638739 +1678448585 +353820907 +90917330 +103414328 +361239524 +2138959654 +2001041113 +822356361 +98297896 +324850221 +1933321002 +10440470 +1881054390 +1390215373 +1743237742 +1577854736 +291047945 +334023429 +1047798235 +881888045 +1261455045 +1502250336 +378952622 +836779037 +522603130 +1337105910 +1450239794 +226414687 +137421347 +1621614614 +128569779 +1815869932 +1975435521 +219487109 +1919284261 +189191397 +210963115 +1772841726 +1011547759 +309261011 +2097691947 +797385113 +319701482 +1831262690 +40116838 +2062939224 +1261633778 +331164783 +249479005 +161948365 +1213052829 +1510934051 +1664198701 +1592005451 +200229440 +39318183 +781627713 +1650469235 +265732871 +919049060 +1124600201 +394302650 +587435345 +952552074 +613789759 +359235958 +1141743472 +824752875 +2132077684 +5807583 +1134013886 +2082285984 +803192696 +1453715368 +1766065026 +843309534 +1369170944 +880215156 +1174474317 +1618649950 +1042163522 +240043498 +982100353 +558878575 +1832048950 +1182329793 +598196759 +466193015 +685315380 +863929630 +1385242076 +1809915581 +1258232280 +1972677421 +614984008 +1872022039 +184429731 +1756727480 +549291266 +169023767 +1762535063 +1683305153 +103826103 +418244111 +989536873 +1869891129 +1261553645 +211224170 +602622638 +288544314 +1829874120 +1644786160 +528587813 +664490825 +56181087 +213153115 +1846820618 +654377846 +679346130 +384652351 +1518307476 +2064588206 +47084284 +629056108 +1889781979 +662068292 +353594500 +2074211710 +271312124 +902885766 +95751830 +2033847187 +438707271 +199577933 +304607650 +1428244145 +2069469063 +1566161295 +1639468315 +524608053 +1854705610 +1321858787 +21910565 +235809775 +1986349612 +78091652 +448962890 +1685686582 +732469499 +1128309020 +2070338933 +103293327 +1045413579 +2117423218 +732349436 +787711910 +632007862 +1085943936 +714439973 +903319987 +1988829702 +810191803 +789683526 +280053326 +1009769736 +1094291177 +1708297471 +931755151 +512968824 +1200282138 +1456363204 +220190786 +374657277 +1478273769 +456000561 +213523241 +1556365422 +904963451 +1899209823 +141351273 +2033272472 +1822065109 +244644600 +931202403 +1792004679 +976994036 +1718914313 +276528893 +2062937972 +285870638 +1179848880 +1904284027 +1096062441 +1969532407 +36853705 +2105832178 +916339936 +1745151176 +890103681 +1429308760 +797949666 +198983238 +1649499547 +1172606943 +1677257007 +2105500108 +1386130184 +1086138781 +862979912 +1137856359 +1227490054 +748768736 +812437820 +1472134655 +1679971139 +456958851 +301645043 +1251401804 +733487745 +217099368 +1537272443 +1913336625 +2121383395 +485851236 +1735385384 +10753452 +444199766 +504241672 +1755904628 +1334303448 +1933550433 +406370646 +1533286686 +1435566332 +1578977589 +1063060045 +1393582792 +817624125 +1715179 +109079056 +1955480484 +1229205233 +857847792 +620434657 +553856240 +390335283 +1077393508 +855501284 +1641737088 +1810881253 +1072600652 +1031525883 +1576734231 +1046500399 +1517377119 +1164635967 +1057253851 +1961576886 +1668877640 +665674831 +1148396686 +1454944425 +1072045477 +534199724 +743027109 +503539418 +1597259769 +2136609901 +1321163543 +1598974948 +98205310 +1129160379 +680696534 +956053102 +1749595036 +1234552774 +1346388386 +679504897 +2090054058 +840641826 +342902502 +1015171062 +1872167709 +1919636733 +2061671461 +1242061180 +936789053 +971441664 +1056154418 +458183045 +1637116495 +57067456 +1913127470 +561678324 +591267180 +508670931 +1065217742 +41043302 +497797184 +238897637 +1640018250 +596002494 +1368058017 +173231136 +1552055597 +970169405 +1407783911 +750960335 +1649674302 +1350354321 +1591602161 +1992576805 +218041736 +1316286222 +1764729890 +132229549 +410863754 +554035295 +1103671214 +1467018173 +1012218340 +593304061 +1524085629 +777862162 +1154982386 +2115352810 +1286533093 +72716480 +8912464 +1784330278 +311614118 +1648930714 +232849124 +1679672135 +1822161851 +1784904721 +502357892 +1082462114 +388381408 +4548547 +285332787 +1979983569 +1997125352 +503374523 +1148786143 +1614371594 +635604073 +1559649898 +20923242 +1739275287 +879184423 +1033141582 +185095700 +255786404 +1811003745 +1340078086 +223655566 +950053190 +1412794567 +232568030 +586899820 +1724408685 +1881498745 +819748945 +1256597172 +1556176948 +457170018 +1758955064 +491155414 +845551427 +1763503611 +776488201 +678051348 +1613145315 +1279862725 +1826837492 +1080033262 +1915466798 +1239003742 +1100956504 +1507258437 +2118188165 +2134098086 +1692354137 +226490921 +1797618183 +884948576 +450146488 +600187726 +150259495 +682714518 +1187087546 +1874668180 +416729615 +2006836491 +983781704 +1972906563 +316522862 +595253120 +316578329 +1162074289 +211273084 +1093066531 +1840125637 +1824418399 +225445608 +1519479481 +756968013 +2140912406 +610999575 +1857924517 +1500687195 +581704092 +1844538956 +1045557684 +808195014 +1494673491 +1930506260 +1258341502 +2094861217 +2080765755 +1941056020 +1134465116 +1807950287 +210301988 +993817959 +644248343 +35724903 +1310340821 +1239501464 +352303233 +324931462 +1450774548 +1445369764 +17573452 +1127709299 +1670815372 +1537052933 +1884677313 +1664244130 +568861 +1595118182 +1017447677 +582272953 +1292173490 +2063005361 +1390467967 +639363334 +1846027974 +501325821 +586740903 +1779310081 +294898194 +1721206019 +1439776721 +505200182 +567540331 +2084025064 +540925085 +1877881152 +1176042880 +893228318 +55328967 +479333780 +191114434 +72902419 +1607043080 +1861929806 +1609955352 +1344236745 +1378690288 +1610524213 +791871279 +248654317 +45313519 +2084044770 +164176031 +1435781486 +575924456 +2010204005 +1937107308 +1162665359 +1642030438 +84521854 +736387731 +934323511 +589722036 +1303928062 +870864928 +1130647121 +1034325566 +2046907808 +2023875440 +1089654533 +378757941 +67506226 +1162556952 +1985801021 +1929436033 +625028657 +1182554118 +1160642673 +88069222 +1974425397 +1409296991 +133382741 +1910986519 +1573473022 +1569164228 +339427327 +1436193379 +1358787888 +1502092687 +930740169 +1443309742 +90996770 +1865063681 +2033031778 +1394924832 +588444961 +1016195251 +281766750 +487869121 +892587043 +1371421284 +866627062 +960093270 +386494588 +704944435 +742045655 +1011523245 +1887498553 +1902688328 +1099592468 +1714440303 +1164501671 +1232975209 +1477943174 +590491045 +654655789 +1817370502 +2026684424 +2013443677 +1171979541 +809940946 +1309269771 +1262976311 +527520979 +1194817901 +510417495 +1115965940 +63529505 +792184245 +1603835061 +956116548 +16121881 +322978476 +1916209818 +402616470 +1027922911 +510771825 +1414139715 +767937817 +265976506 +366248535 +334894472 +1430478177 +1599223745 +1812837646 +2020969223 +106395886 +1482724500 +1900169999 +2119839564 +507220393 +562627297 +1281625687 +1770196704 +1090148276 +328959941 +133130551 +58630568 +392489446 +925314797 +1662465630 +1348605994 +941436678 +1985444106 +1117332165 +1344053148 +865883369 +1628103990 +610709216 +1633821186 +1894080496 +976957751 +1968715658 +1177075026 +428697848 +1634069657 +1050560601 +535093735 +969310509 +803246952 +507449651 +1476530903 +1365874250 +1789075338 +1099243959 +308538878 +2118035279 +1232374511 +367169447 +363041077 +10205660 +2029635077 +1711647072 +951642338 +1867595535 +681495589 +148211839 +585995256 +162115931 +758921055 +72332795 +2056196428 +1735878806 +2041048453 +1085787806 +17093007 +1527634462 +2136348407 +552186742 +349461324 +792111711 +1059636393 +1825992227 +10502313 +701228083 +777752538 +319041192 +671779715 +2010127049 +686210639 +1034820792 +2020332709 +568362068 +598984216 +824491400 +288473955 +1280479805 +972703239 +874469211 +1442595737 +1731624294 +946802006 +1351308517 +1320019452 +840366812 +289612675 +1337112459 +220517626 +278477434 +1889299201 +569978950 +1070589145 +801451946 +248487529 +1081091459 +1502680030 +1026240068 +1400132651 +26976097 +888883469 +2086343290 +1061796889 +761732531 +507221710 +1660781106 +1586223931 +795695665 +793777263 +411443522 +1670164876 +88889352 +2143067816 +469483235 +1440197869 +1315603620 +1309850047 +1729810544 +505232432 +1530367673 +2008287978 +247047985 +2100346624 +931393476 +1048499932 +201350505 +2012484935 +403696314 +1227590573 +1265133938 +430672411 +2116474043 +1203993580 +1492469300 +730722926 +1711215290 +1005766758 +169463209 +359427307 +1799544022 +580906731 +2029592183 +1888433374 +576490899 +351591770 +1181147596 +1892094519 +1661441817 +763474492 +249843303 +1044325843 +624278823 +496891289 +997188819 +1555672299 +1545391221 +1198539324 +1420673586 +1949087535 +278646250 +538323876 +232276298 +247636645 +1742317456 +1724745598 +978359571 +1306049098 +583028709 +1147822780 +1665476405 +235089083 +1728729511 +1547584940 +2123522457 +157736762 +1899176711 +1157186405 +2049831281 +1413134880 +1920660898 +152190937 +309977075 +397456073 +649082226 +1307165894 +1953128372 +46989799 +358221571 +1226318310 +1996077334 +636867821 +1764642186 +80869984 +884504466 +1359475994 +1805615582 +1862864037 +518041444 +241160643 +863203169 +36034201 +476249726 +444449032 +1583619141 +452288536 +602185794 +1335312204 +1609474941 +504533427 +600963437 +1382652191 +656724364 +910940512 +1780108264 +1305806590 +70622759 +1585752988 +1352796389 +428844330 +664587650 +1201390075 +1065712151 +281746188 +1282260059 +1950216617 +1641222182 +940391994 +1665597006 +11779978 +1181552637 +381316527 +47814179 +1657802364 +825765559 +1631433321 +2110090900 +1427951353 +819261877 +1572082193 +1932484780 +1420225314 +807250737 +441725497 +183682179 +439875353 +1747532087 +254304938 +2025628342 +952844829 +683149268 +542732344 +6751256 +1748861419 +824478533 +1289011316 +1551594388 +318217067 +81919662 +1069707746 +329997046 +1263472299 +1451024273 +377811225 +773791015 +129306184 +2009244546 +736398267 +1557257537 +681022776 +160996813 +1342258669 +2101248090 +968247550 +1783984166 +137446621 +1408122903 +1384032606 +391751559 +1286267597 +189393787 +1074900827 +1828999942 +196145043 +676278598 +505994827 +1485156359 +80389338 +824211894 +1567076021 +1150097084 +1154208940 +683064673 +453637709 +1532020166 +1456855688 +582943893 +1393781064 +45770308 +2140201430 +2074803840 +206767121 +1334976452 +2028568283 +1175014671 +971476970 +18531256 +435653926 +208025928 +410282816 +1721921524 +397419715 +1485183643 +1403437818 +593564759 +13978594 +1909432645 +2078721118 +94367932 +586160891 +1498313492 +1244465017 +1740369832 +33894517 +1698102726 +1124906350 +1490750205 +133562972 +371203766 +1536520513 +126280754 +298523959 +1743287634 +1461257206 +179608594 +770818657 +285250529 +198139850 +1206472584 +493276457 +608422666 +780910460 +890696173 +2093606310 +36864630 +1484260932 +2107584904 +1946297275 +1415498402 +54469188 +384974518 +766328246 +1298934205 +2125344350 +800222763 +849553284 +1102767052 +143489321 +983116256 +1473970819 +1680009834 +1109397010 +1772494778 +1275813821 +423170569 +1952103372 +2046632478 +708421098 +2759574 +1105621414 +1201697555 +611182241 +1886531874 +2092393728 +557304903 +1923396504 +1429171012 +517406159 +1722210131 +697185767 +571875347 +2107184650 +1463514013 +1870809553 +2085045352 +116253129 +572879189 +1040328757 +259742450 +1555995445 +366815928 +1939752284 +517908807 +2139310706 +1068082457 +941079376 +1943930430 +967231288 +1649500474 +1946690004 +2072852702 +703714382 +410388597 +1811900929 +648624462 +967693500 +1587813785 +2077795475 +1485099659 +1162540269 +627497594 +2056975007 +1122241271 +2091011607 +1780300912 +1059802975 +59781088 +205696453 +2100131732 +319523538 +1761691898 +319464012 +111792175 +132117057 +311291070 +1179874632 +1073196434 +107737852 +2147105920 +575213260 +2054427857 +2072474975 +1278927642 +317332806 +1736892256 +1927552105 +1285026307 +1177222393 +1857863932 +622642318 +192279014 +337877878 +532133677 +1314520285 +281405837 +164950941 +226839613 +341186926 +370647394 +179487697 +660710464 +2132339292 +498951710 +772502639 +116972702 +810242780 +1952377272 +1190169136 +917980633 +1951999544 +1765382396 +824924842 +1876990871 +896826391 +1142257648 +1466399479 +676894848 +279800307 +496138225 +387275132 +902442626 +688417239 +725153010 +1434576303 +2002937525 +1006558847 +1599527245 +82293490 +1347745773 +1970174639 +261781187 +2008456238 +1955030284 +760732897 +633475229 +2072002986 +1570975678 +438368853 +1114688474 +341472663 +242884750 +732587222 +1166397505 +2119875621 +1629413613 +161171505 +1438791453 +158824813 +440971813 +1934929678 +546099945 +1343414439 +475863269 +1271252955 +630507094 +331317146 +130328155 +82550691 +413610636 +1478073928 +2052725331 +675391824 +1339046518 +1860271967 +1436124721 +1972521748 +1784791305 +859616751 +263406953 +751996131 +1201089414 +506291703 +1484583353 +220003271 +478683677 +966513319 +381174777 +1917475130 +1125338132 +822146590 +1704921160 +1671438078 +18077381 +33300781 +795207385 +648584475 +364617928 +925535540 +731135167 +778228564 +256125821 +636376850 +1453620388 +1595172339 +349165169 +742261462 +1420210439 +2133956474 +1601878213 +1683617393 +738468957 +655483980 +42425448 +75568662 +875487251 +521109125 +1042081981 +1256662028 +291100607 +19936466 +2078808618 +1996021767 +1691374544 +2096885999 +2029322549 +339098281 +597986827 +246456829 +1264633822 +1329121994 +1024685393 +1520759643 +1965498844 +330822134 +968448334 +167180365 +1073083596 +241175126 +153653191 +527478161 +1924792519 +892122148 +1182962141 +1967217967 +967690810 +2058449393 +340843445 +2009772792 +1167627773 +631944052 +2029709258 +1098952744 +480482172 +1573600154 +1048355095 +362321073 +1912698435 +1646341922 +608777902 +1029848609 +827980268 +1633463295 +403124604 +645995464 +1964285429 +1371572939 +813175829 +889885377 +1612748065 +966829020 +1417363539 +1390056936 +1858951168 +452842032 +1209791255 +679158331 +363807777 +1550634700 +541447475 +1531435551 +35095105 +423673085 +482904647 +515577277 +1997273239 +1531259742 +877898350 +1762488026 +1030118017 +1486676252 +644852988 +1858098285 +972655899 +1047977592 +356610102 +789457681 +272066883 +1169785931 +1679343058 +1884814948 +2136614952 +949222949 +1127388236 +1848082472 +1402064982 +189695844 +379757155 +1765872759 +1740330544 +921204630 +1149824662 +1775425649 +1344877715 +1632729309 +143519278 +1194667306 +1016505404 +1021417628 +809671685 +2046623421 +360610232 +1454524673 +1757238058 +1333266132 +355018617 +2113848160 +2122723813 +627085501 +1136150444 +1654583223 +364416801 +1125281748 +456322525 +1491805038 +825880572 +1858387507 +1681500882 +1205637728 +1476776618 +1274347778 +2126842358 +479117633 +902289780 +1324236426 +2111846942 +1045809058 +371420084 +980868698 +2067226687 +1181091769 +880008471 +280353271 +488132794 +489762882 +1613619403 +843151412 +456127394 +1588859568 +1470236913 +1592277838 +1095959144 +1834653714 +570075938 +1552281669 +1178975104 +1395956511 +1263185528 +712992338 +454110591 +592478498 +1987340117 +433469301 +1071596131 +742146249 +1757705727 +1035959426 +1787955307 +2129125812 +2016828124 +1707698346 +1162733933 +749352948 +1988051618 +1650866728 +1239115830 +1454187373 +346534492 +1695243224 +895563294 +1816771405 +1140037415 +1991522438 +1503941471 +1710113353 +1396320459 +535432928 +958586216 +512022339 +1248425266 +1412696807 +1104500837 +1088281735 +1846166109 +28613321 +1830427984 +1456388188 +1064572747 +1470899644 +1438030352 +933917223 +1031114342 +453280638 +1683270171 +871682312 +2104147366 +774902353 +178386038 +303198210 +322661930 +1073949332 +2119969615 +1462699345 +917988122 +1476427438 +1025329050 +166824933 +2011860366 +1983915267 +678847272 +1112801985 +1249128426 +1783348109 +53600072 +947810887 +1811961430 +1884028057 +256715428 +729050529 +1207444053 +1694745780 +1662967753 +91074747 +542770 +1198754276 +962757060 +2104690136 +1973656630 +1141143098 +260404698 +148834912 +67608782 +232890665 +1611534257 +985596904 +1709318104 +489379659 +1152421837 +1573694822 +325811278 +1831269109 +539013159 +1574939705 +1467133570 +592613232 +375266944 +1131611353 +329157641 +631982372 +1860661882 +1536601694 +179244505 +1376145987 +1627676441 +179787275 +427416616 +442949853 +136993764 +253589598 +1584092951 +397398462 +402424510 +1651701733 +630289128 +2013958767 +489814989 +192123584 +355854778 +1642236826 +1765818406 +681666057 +1326022287 +157347918 +109122114 +645672210 +749961150 +484389058 +1777283563 +1079118791 +1116371431 +1490461797 +468236837 +1295615936 +719124137 +2095913278 +1475403211 +1146540753 +391379484 +1612396975 +1400130351 +1975472435 +2009795438 +1802554861 +1479690521 +492600918 +1669029980 +1969505510 +684724502 +2024884758 +1464258689 +303059260 +559067167 +642797328 +460407178 +668189281 +1288469538 +1210368328 +1152578340 +918269453 +142003471 +121466123 +261247603 +610240308 +1417082059 +980371740 +558669939 +745001622 +2126912493 +950049423 +209914950 +1379559196 +778038210 +72226740 +1034630409 +110245083 +564827658 +556176741 +2079750594 +1249552160 +433577851 +1396525635 +1552611420 +992645019 +2039322963 +2013018599 +1660834300 +1180308854 +1075903279 +665928992 +2098578307 +1217906751 +787395115 +212342262 +1828147059 +56993526 +1192714002 +239333350 +801995149 +1172142847 +1189382773 +1011910099 +404218395 +1967420984 +1084136839 +1438848804 +2077666067 +1648964497 +1995025545 +2009933013 +751033009 +281119749 +1258975000 +156160781 +1273764768 +1150814316 +21695732 +787115420 +183639522 +1097599012 +1453044413 +134734181 +168022115 +92955880 +347076444 +1996169174 +149949407 +1539790446 +88018877 +951944556 +564449646 +1277401650 +1963854655 +968668041 +1097338986 +900507846 +260033198 +1027521406 +401988695 +107575095 +889970771 +1153021704 +388694844 +1462124 +1309182485 +1662459612 +1152276440 +1330878218 +302091385 +1335915962 +280993582 +1755135798 +1470650143 +449015697 +1848091678 +1817726587 +297701223 +1998041085 +1210033386 +385720100 +802501993 +1774483032 +1663121751 +618873000 +595667425 +612977089 +1519380846 +855700623 +1640498495 +1921369541 +963275719 +382985619 +926907597 +1351970563 +384447743 +88606435 +866946528 +1536724183 +1419484653 +1169037913 +725156497 +1700478235 +776690063 +48322992 +2010284 +477298093 +1866049580 +299711507 +327855531 +928599318 +685431608 +1130357524 +555598702 +201069711 +1749230525 +1151266127 +814046800 +1121127723 +2006966751 +307061648 +895013617 +822758822 +690047267 +1821921214 +27245737 +1074495010 +1910527649 +894192265 +463735545 +1182528654 +2063230178 +1188892042 +735523241 +692436593 +1237215034 +737533525 +1169734687 +955780966 +1037245033 +1497590218 +1884380284 +1722676641 +480464094 +292495338 +1923746352 +82210971 +1443761466 +590309504 +1203338695 +1303244569 +897371152 +2098352312 +2126003391 +1587418419 +1772789878 +5765480 +514429781 +1535833880 +899957746 +978165326 +570878886 +815704276 +19573720 +1306402128 +1508140870 +1256788755 +2043935653 +530391909 +65086073 +933697038 +2027982127 +1949466358 +508890031 +360962573 +94478048 +285152735 +443173545 +1538239514 +875462240 +1646512240 +694000435 +1772833392 +1597380904 +672520178 +1212768164 +1222687134 +678285659 +1727197945 +611037366 +1578243405 +557879624 +1181916253 +246464033 +577453344 +340834733 +1754604903 +1834242099 +237286738 +137513164 +1899328173 +1170983777 +18011643 +1701310883 +1679873808 +378974217 +1795788931 +1965026544 +822147762 +1186544798 +693005136 +321176354 +1880545233 +318354880 +1918557258 +405581764 +1531123044 +993760744 +1083867423 +1110837342 +1604798111 +514627180 +1668716966 +639230716 +761091213 +98686662 +980065449 +368212469 +1932928762 +1217352187 +505725633 +1684773287 +240852316 +523737277 +1238600522 +1920726125 +902711494 +886905805 +1738269021 +1724859256 +2073450603 +283790509 +2046035610 +1806512189 +602145389 +1817109220 +64610305 +2133268434 +663386316 +1148477728 +1096622128 +120700779 +1663104908 +617855446 +759931495 +276712473 +716542108 +1739996944 +644924942 +501987222 +809865484 +1150650576 +39276861 +1050717800 +1674387853 +1277877383 +823960277 +429615699 +17299541 +414745650 +6991307 +2090750144 +698536159 +2053026917 +1749778685 +1300681549 +1722652489 +1814388990 +1286466335 +238555157 +815383070 +235604815 +359255937 +331004330 +853460261 +1119187432 +607716804 +1570002369 +711700729 +1252641746 +2071989592 +1521566213 +255808674 +2111266453 +424800365 +1930196527 +1241660189 +1248760643 +212328578 +1258959730 +1663506293 +219319885 +1202226226 +214558805 +124863154 +804521264 +1515240354 +1847515643 +471426606 +654223041 +2086070801 +1286809677 +889827856 +297843090 +1617814007 +1743288117 +1417030522 +78047163 +1165806838 +2128731251 +1330688910 +1090312782 +1502813816 +1586497584 +1054095588 +1927614182 +1369210464 +148272129 +1028891177 +1581539042 +1407231859 +544913822 +1800858928 +461974437 +759472627 +1925722082 +1266495701 +127229333 +1625754078 +1737922308 +781452374 +1564341231 +877248337 +1671280230 +1862184321 +347578696 +1267084699 +1131731195 +425625860 +285407890 +1112978799 +1756314770 +1375720672 +468308967 +1195328706 +282332612 +248439501 +417055522 +430604741 +1277330678 +1998594565 +1837836600 +1822244501 +1651969845 +152327390 +434233480 +1430208279 +1418823091 +561462814 +908478709 +1009261751 +1342915188 +325336292 +1886510088 +866711771 +40036965 +86605137 +2133796470 +1171768161 +512230997 +271720712 +137263312 +121062119 +1647441385 +605572279 +1316390825 +1929773997 +854011781 +1733446348 +212895091 +2131342459 +1584557265 +2050731691 +1806103312 +1089043462 +55575433 +92853145 +371768093 +1474398525 +654315959 +1280246803 +336176628 +1997231147 +1605583095 +75203069 +716459270 +1645620061 +161808206 +702772093 +669904574 +674039203 +974492805 +807167886 +795101322 +474450542 +1412740165 +2111492147 +256740892 +119268298 +1697454847 +469635983 +103127110 +1134528464 +372884026 +1909230422 +76088278 +428459460 +2002083567 +447856372 +1902857985 +508915878 +1728103175 +91550965 +358663378 +1186202622 +166754034 +1075122648 +684339035 +328562240 +1777894741 +1354243609 +1002601443 +604903899 +13927847 +1797702765 +1079354441 +1426668013 +1761711265 +1336095333 +1545936311 +1311682464 +1805731316 +1649063421 +298727281 +31131695 +1410810196 +374815559 +459591155 +1265410115 +822671931 +214965492 +1774325994 +403291458 +306516457 +2132989372 +1589494081 +473270492 +1060628372 +126349468 +801832732 +691039466 +1480593078 +1804434176 +1295943365 +1494520925 +1454653293 +227814158 +773705290 +1068880910 +1563909492 +172157954 +233079727 +1222157160 +1821221375 +531807008 +1253288855 +1084547923 +906622567 +1712880010 +202474391 +1729294499 +1927845502 +1976800385 +2132585957 +86878312 +1962306109 +1574596390 +560148804 +875450833 +1700945859 +1361981536 +1566490299 +1034055289 +1018932064 +714950016 +381092566 +326101710 +942764175 +1154797857 +1394982620 +359190019 +1326955811 +1628062347 +1581347179 +1000693538 +12385707 +687152387 +2085241462 +919008275 +252548749 +140232205 +500819126 +32910604 +2117032590 +485921435 +119788916 +1931855051 +2060517826 +679937720 +659822236 +1613980037 +2041919256 +78828888 +500551678 +913367673 +793778904 +881644244 +1239469383 +1736543079 +2036442101 +486968355 +2095733098 +1215914264 +2115030703 +1529596630 +69124155 +2127416410 +69265369 +6881969 +898941037 +321814118 +147114174 +1399760163 +354724722 +116663116 +1885681599 +474513638 +2048518167 +1798715777 +1154451358 +560856755 +1265212166 +1048886967 +639685643 +1765763844 +1962254640 +1433464548 +499924440 +1054240375 +1022523979 +388882894 +1541208730 +970773430 +1604797158 +1508755785 +352886412 +1673921313 +1488688548 +422151781 +1680803282 +240145937 +743965899 +1827917456 +1639906101 +1098690622 +1944580572 +1378104052 +1573204260 +1845615091 +1029336181 +580171971 +258988199 +147064699 +1629058938 +898673842 +1912828543 +1443829930 +184654742 +265269335 +350586657 +1207178722 +654152229 +1891795387 +30468504 +111465740 +1253067525 +383354916 +1785387053 +594272425 +805506697 +1318706688 +834418362 +1549472596 +999140496 +326840815 +500679570 +796237421 +1704944867 +2073883831 +494368864 +586797400 +506572154 +753357063 +733862099 +2135631092 +1652030906 +499206994 +1431977374 +1836685648 +764476330 +1782564031 +896380722 +1418628559 +1526875770 +926849226 +1530094299 +632459647 +1310204142 +1167997705 +1226732072 +2115710839 +339220745 +2061150435 +1517699788 +1338361241 +240507602 +2018379358 +2134598662 +1945452470 +1944779541 +481483879 +384766222 +303868047 +1234840942 +1118628322 +292015491 +739388200 +1617835316 +1723992865 +428590201 +234827998 +1359073248 +1324970923 +1653456558 +738465371 +104336502 +1036067209 +1370925018 +1414540644 +56581266 +450173443 +1382767836 +395802011 +363840230 +752983976 +1734163253 +604347832 +623879686 +1721278267 +402316654 +421175580 +55278498 +787082877 +725043627 +1290119441 +1905711199 +1017059119 +2029507641 +1376062867 +593568336 +310614194 +1610890866 +1952641585 +1635585118 +1116863776 +543623308 +1739921620 +5447337 +1914548326 +1006978616 +62028604 +217238121 +242262804 +457830615 +581078351 +995246780 +44510220 +1185426184 +1619126467 +1765788488 +1587742838 +2040302047 +1821066986 +227342067 +617862026 +963702779 +2133053266 +1634921145 +845726773 +1361632486 +81005834 +1156340967 +825039704 +2033647419 +644442437 +1941903480 +429787079 +236880409 +1947350817 +196851757 +1243859026 +2009379421 +414089879 +1486121830 +319726389 +995168230 +333884963 +364236609 +33110766 +1953011430 +2130025097 +1620853605 +1845829829 +1803608436 +1848195672 +316208207 +619827567 +1833765291 +1951129353 +1465554340 +1047914129 +2032135187 +474411660 +1872953833 +1918298958 +1118854097 +1667373665 +200602389 +1355734507 +1467240834 +397454146 +452109885 +1329136608 +811544025 +1938231715 +1648862997 +1806712256 +124633030 +2013099606 +1839823022 +2077644460 +1995641056 +1313192979 +1775990641 +1651765844 +1013905004 +2092198849 +124109763 +700186647 +1895844554 +1589664104 +1748100776 +1780496093 +2064075764 +1473570961 +1551311403 +1035446213 +993460978 +1751913792 +243697072 +313218164 +1884290 +695806957 +1642354772 +813428316 +486555025 +1143734121 +472656924 +611188055 +1009350080 +164996298 +541348868 +857507488 +1478189278 +169855861 +361789684 +344610634 +114571062 +485899447 +1044797281 +2010415616 +2075563551 +645414409 +1643428061 +1992155667 +2118985370 +1047255816 +880118233 +964962700 +651685960 +1123815305 +1278180864 +653570251 +1819622263 +773051989 +1466998567 +158693640 +1916786110 +1939655491 +769881695 +778652542 +2104651789 +1311230563 +1636160030 +1435357419 +1481086425 +1997949714 +1779968053 +1595657487 +336365514 +677281686 +1458589456 +264445417 +1322696095 +954533869 +109117437 +1294197817 +2001789686 +989235670 +111676869 +505991998 +2113050975 +1389857734 +1159562249 +1785189590 +15426075 +479077168 +1943883230 +1932212185 +271249011 +566281278 +563381080 +228417153 +1877511841 +52057462 +1663774572 +1211114618 +2050007177 +1296258978 +659288458 +238889043 +1973540664 +2117877914 +503334460 +1148753112 +924928135 +612451897 +295467281 +779234173 +1601687567 +407144151 +1285226172 +1567254895 +1797001885 +297304773 +1204960837 +1812427960 +776381942 +1001360420 +1597156497 +1047630953 +1567641698 +13053929 +1276048106 +1297669891 +65111392 +792339031 +361300862 +2115118569 +2088598009 +1020589320 +206523964 +1914655025 +990983586 +709858424 +915924489 +1915911721 +1322310322 +1211391771 +547662247 +776514241 +1618535922 +1832888419 +196285488 +1268054159 +2130193192 +1401246326 +932998471 +759091486 +255123098 +382671320 +1806722440 +1822764796 +395725250 +935286898 +972951039 +460836642 +1727625929 +1334251901 +428471563 +1668740290 +207357573 +634995527 +1435911668 +1198341159 +1344853951 +204352509 +966769233 +519680625 +1415744280 +1514431480 +1296194867 +886796554 +1199836251 +1492480355 +7367065 +1182545795 +746243033 +940365536 +1941637282 +1001366131 +1323036857 +1600876074 +676647279 +1718762107 +388679324 +1649598319 +32115101 +2116305254 +836366572 +460586664 +1637561896 +1043724146 +1095582191 +925989916 +94581657 +292952494 +1130342426 +1061350890 +812633120 +398603058 +428298722 +2108827987 +1285399613 +1628134973 +1453824694 +1292766678 +663197121 +52584080 +85648567 +457350755 +1053950211 +1408685424 +2058226829 +1730597491 +979963883 +299422505 +1232712162 +1012078984 +268244111 +2069078734 +1472665648 +1905806008 +965319232 +420764191 +684312276 +1059900890 +713716685 +1814654702 +2121251780 +1526349805 +65774113 +402066855 +1487694144 +1351173726 +2030201828 +794035191 +496456756 +545915301 +846619271 +582105323 +1003266056 +1900569482 +1990790747 +914009237 +1483683325 +823270982 +1213431743 +568911839 +1835349966 +1481675854 +490506926 +1160531966 +1239998214 +1455826158 +1581296157 +1924310491 +368243400 +147529195 +1591481545 +342011533 +1673879000 +1657255658 +744078388 +1014089497 +860945736 +626796568 +1808124688 +1357402493 +1172711870 +507260311 +1939507816 +28494278 +260346145 +1782814916 +942503516 +1744029471 +458602250 +8451611 +165457662 +146468569 +1490127465 +655964588 +1307000535 +582642032 +2111790747 +740813045 +359468875 +332550499 +888342240 +1950950420 +674562032 +414737592 +1460722431 +1418640420 +1428827089 +174184519 +2045436989 +1089468129 +1531587012 +1070665211 +1596728440 +1323611181 +1099159489 +1857074586 +958942449 +2041663005 +1453620409 +1417544699 +2050114616 +1619078071 +1564013268 +1392758434 +127559012 +723530156 +1975400466 +91866111 +1464343201 +187385693 +424416610 +205201793 +2138336113 +1098978643 +619939385 +1451574896 +370135415 +2048766475 +1625759416 +268088756 +990750956 +1009862780 +1338753967 +439995749 +185990313 +290429809 +149586687 +1144932762 +184609166 +1603207096 +414993814 +87240135 +1074801519 +1979007082 +1479998569 +1202360531 +555053590 +1307915387 +1294226642 +2019396791 +1495301080 +1718643253 +77114936 +1486153545 +670138248 +697054322 +790244794 +1040273663 +598337149 +268520562 +1308362420 +1589088105 +1278383342 +499632739 +2029083854 +1464373656 +790062548 +31186893 +461822770 +974671715 +1634393989 +876816584 +1061911850 +561711861 +708340019 +394426771 +1764072392 +1263393609 +1702342158 +910815387 +1135306753 +1050159590 +481974992 +1212421689 +388829487 +1152113240 +1909476011 +1179074281 +44903255 +360329512 +1447594843 +1353265675 +1949417618 +578494538 +1852898415 +1831017824 +2042868194 +495477315 +1862204718 +357207316 +1470149030 +1349115059 +1234023901 +384577232 +1910826920 +1942363920 +779004003 +1527415665 +1058273881 +333862513 +290747404 +46096986 +1384022103 +772722396 +1258518676 +1772851591 +1924835636 +1020511039 +804442224 +1969738891 +1380840552 +104553420 +1175520919 +1182774522 +683047958 +880935686 +866308698 +578432504 +1376413001 +581029768 +935639820 +699078384 +1930144828 +22180073 +1083655616 +1693488100 +1964543993 +1862659620 +1073420117 +875334227 +49038485 +1364167521 +921431213 +1433060589 +2136889917 +32466241 +1058428532 +1914241905 +1052977281 +1862870756 +1736497149 +286334185 +1967424176 +764534420 +1469108707 +502988486 +1645470106 +187933757 +1081420990 +874399459 +768963526 +2017060811 +1573477843 +551624706 +2039240884 +509649812 +97629158 +1856301230 +224825784 +1171049276 +584151809 +273864269 +387733149 +1505583022 +1706924858 +377139419 +1538049264 +617869742 +143897676 +443542897 +333256851 +1880394825 +729877082 +153197379 +497445597 +51502141 +656185866 +2142915703 +239435898 +1737606856 +869831515 +1008399424 +1607184019 +295825710 +1560024130 +1498941256 +805475522 +1657653289 +1207758838 +1030301306 +681218917 +1791910647 +1304165576 +1068952066 +1150010021 +863606786 +1446091485 +540575637 +1481476529 +1589989162 +984118534 +1814733380 +1322900339 +1713995616 +1967930759 +1820345937 +1765497757 +476632977 +1815777992 +2004933656 +66756186 +538125859 +865849432 +1673940205 +833951570 +278389915 +1025397813 +1639427092 +1936043204 +85673003 +522244751 +469778473 +1877583650 +1826410327 +1538730539 +880110024 +542533465 +837338377 +1420685661 +2024009994 +279843891 +257320548 +1691259726 +1602744230 +1971316164 +1511706838 +1275606519 +1589330274 +1988339815 +943900864 +1446780282 +2055096001 +1482026723 +165146066 +1581552559 +168494645 +443535981 +459466724 +1807921738 +232095537 +545139728 +182682841 +701874010 +275239730 +2009093168 +93120902 +1155349754 +404142985 +930459279 +428551768 +280669332 +1210303170 +685872316 +1971929058 +665563752 +509704832 +1336152248 +1941170272 +2099035106 +1177008416 +737587488 +1398331740 +1084620769 +72130563 +1563477807 +518689680 +240625209 +2007013788 +978156405 +2048546947 +91625678 +1523296133 +83746140 +793499688 +1798535863 +2092839308 +886620590 +806401970 +349498645 +1817079869 +1234953738 +630167977 +879899391 +1920826054 +454613388 +1545463144 +283047238 +1790765636 +1339149768 +234598697 +820290404 +2076737256 +1632930437 +1904911174 +1384171 +1048924596 +276117206 +242009380 +908454737 +1254273611 +143072679 +1000080415 +630086096 +226818819 +1793580103 +281138312 +172174479 +532717046 +1087540282 +521673125 +202313267 +175010372 +1151841102 +1082212659 +2095836426 +1606454490 +480192155 +231400016 +1249736479 +1819341923 +465998713 +2070026883 +1748595531 +2098929151 +1827454409 +1749979702 +1000370099 +2103571616 +1991989083 +1908824836 +1210361579 +2135061762 +761421603 +1840447676 +214396934 +407518059 +2121585988 +386571413 +940235105 +1061642622 +908244538 +1142548372 +1236652994 +2060085641 +77277383 +1185005772 +1519056483 +557469538 +1416405788 +621309314 +229327813 +1882404502 +543852550 +1977923344 +1833850005 +223823311 +1580419399 +686736456 +179911279 +1424924834 +448077645 +1390272859 +1412502948 +1209499248 +1083236887 +1626899882 +1617017307 +1057339227 +2013471296 +409768764 +2118981849 +774232186 +1552317137 +1208151195 +686834179 +1629594520 +245673319 +58407015 +39580411 +1662079107 +679716329 +268908224 +1396999961 +1223568879 +99347921 +1083366318 +1447392191 +1679767320 +1770102775 +1627303470 +957208506 +70696772 +870092681 +222227806 +1280196020 +1953329568 +1849127689 +749729680 +863185147 +1715115337 +1159498444 +834683348 +341863875 +564331933 +2042834543 +1028698055 +46442806 +141024214 +1087105070 +86023217 +1803103322 +1766821399 +354931441 +1052619635 +842906631 +454279362 +2135985954 +142815174 +2134046682 +1758605081 +1770118644 +943771540 +1829301853 +492727678 +1165999347 +962014225 +298573598 +867643388 +1711743905 +1161758746 +435275077 +723758702 +1996442094 +777138952 +1288090635 +1891792990 +1805837007 +1334533441 +2032817204 +745458429 +1420556658 +1688436878 +364796181 +1775488100 +593572866 +1207702812 +82283814 +582075172 +1350517986 +68846849 +193196605 +973152982 +1012618389 +2022498458 +1465880660 +31134088 +837029035 +1764454259 +898777476 +401289293 +778729357 +1334052553 +1125047995 +627687803 +2111191506 +265654982 +371997145 +1769544865 +1600188424 +257330702 +367519647 +873261434 +1945767580 +732315828 +501265886 +391856798 +1940018640 +583549701 +973931970 +1143052978 +652396550 +1167128575 +2116205960 +1665014939 +1042143385 +1434602973 +1696149028 +1879172421 +1051573584 +447442856 +132978066 +1830302941 +1781495410 +1258026061 +310507096 +1745203268 +1523681043 +682504242 +1367264485 +976385819 +939834944 +1734784132 +1849647254 +738118876 +319616312 +203429492 +1129975675 +112151304 +786979193 +2103907645 +1255204282 +1439375743 +1123552573 +1223926595 +956907035 +18212310 +511045920 +505572415 +1897384731 +1562619504 +953015271 +2030362797 +1245438797 +587027033 +1140905210 +1555945893 +184746653 +517102606 +90966487 +1552011139 +1493488425 +1030801431 +1139311623 +1195652031 +1768920308 +1458927936 +1399081524 +751412335 +1571079240 +38577069 +707836332 +678799875 +1477952813 +1831388905 +1902726470 +287376200 +1849601216 +266288742 +792948615 +1599502299 +1828908246 +1745963886 +1482381449 +926863395 +185507272 +475803011 +335325640 +370253925 +992905617 +426292128 +1922265064 +338910395 +1457093559 +914093040 +1534562426 +1078530219 +225537328 +786160302 +1829942554 +1796616568 +824737372 +390295239 +327932795 +155206537 +74200496 +83175617 +442582737 +1923801712 +349464359 +1235531352 +1375820364 +30888957 +834011590 +710718165 +957752352 +1019518862 +1186521176 +1293077993 +1389772788 +31943146 +1719370121 +1164554204 +370853541 +1028980032 +2078647244 +1905415967 +2107510252 +156700924 +544092622 +1789969158 +1953317493 +1368829994 +32780749 +133766640 +1524036531 +106981246 +216942258 +1966619268 +2030782958 +566406617 +1054666972 +1259119674 +597295575 +1888678562 +1969837839 +1555047927 +760713777 +1008875368 +700642272 +3002917 +1040818514 +272528745 +1167557121 +1411672055 +1301508778 +1098720718 +1169604374 +1261535382 +1255421642 +1713696996 +904020892 +1061255487 +935043342 +936801642 +1195022128 +311596225 +1043782888 +1411964386 +130731845 +927082198 +1978371003 +1185398817 +38718225 +428182930 +926593732 +2008556064 +1983230858 +1687307509 +869947784 +536389482 +1690310426 +1910766298 +808918228 +710383899 +1174954705 +2110427006 +1809104617 +197075432 +1224478740 +917042612 +1910772428 +2128499632 +1978298099 +698332123 +917817626 +1025836579 +1009928348 +1961600514 +290317317 +1140660194 +741199065 +121204673 +178575363 +779917290 +549387603 +1105169095 +640989706 +385134813 +644992956 +1510937491 +921524296 +187819734 +1274220141 +1730442524 +898203634 +301691199 +1693385882 +559824603 +498766631 +770380974 +1476867215 +262055411 +751396958 +1307681667 +960387534 +1669214585 +186034598 +1970315883 +1483331451 +476351916 +963492429 +77046868 +597556589 +1142067792 +856964158 +1146944192 +99753240 +1497953865 +1532079006 +744746196 +861407708 +306119654 +932565931 +2135627849 +2036562178 +1830769565 +289835400 +1582464412 +243110520 +788602031 +205361738 +1719977736 +1050657443 +956758696 +880175755 +2011044977 +478489633 +1066210353 +1833877212 +1961821085 +1542562269 +649885993 +2038867953 +2140118858 +1791953786 +748348464 +1139579403 +1891707026 +98818681 +524174761 +488969574 +960226389 +830294415 +1421535505 +948370590 +719372945 +1104821422 +1238205991 +154353709 +1347931943 +2026808022 +359715447 +920426031 +929981817 +1316474143 +1800601786 +793543147 +1794963777 +719328491 +479936711 +1609301214 +114407113 +1129822705 +1500685519 +107042323 +774292843 +101550335 +1246621726 +518516221 +200369016 +1770796487 +1007485795 +1160595405 +453607254 +281537653 +2108965996 +1172980199 +1386359075 +1199688339 +1327333908 +586807370 +1079012713 +1687049355 +1507233401 +2008994531 +856039851 +1160351539 +655054030 +503519980 +1879680031 +1134990741 +2112821194 +1994087144 +117329798 +1466023065 +2101129467 +891622641 +1567573401 +1200267546 +1410138862 +1767942417 +823580385 +270141010 +781054175 +1277187640 +551678663 +742536523 +302684191 +1938037738 +1942224862 +1630018100 +377361461 +873753927 +1169583807 +1884594862 +735264810 +2025623658 +897462754 +1390318840 +381659990 +629659137 +377825934 +346997536 +476262633 +495155732 +1813020602 +429908452 +1386778374 +1233110355 +1630175998 +649433588 +853569124 +306272736 +919574598 +1634623299 +1583460376 +1471253261 +229676174 +1886144567 +1261807352 +24417388 +1368679019 +1639168813 +898171316 +390779179 +1376280027 +1633436126 +268919189 +126259133 +876271319 +650579180 +755918270 +1254097253 +997576716 +1232180903 +1749252985 +663113670 +1662089356 +988547711 +1896224025 +1144781706 +1637981300 +602309502 +1451054442 +410072250 +89449153 +887031170 +1881325512 +319125328 +625692090 +995649216 +343542716 +1994371109 +487334381 +1241714032 +237666640 +1863614408 +727666511 +506585830 +1989873542 +1603937830 +1157165010 +598308164 +710551435 +7258078 +1830489068 +312320772 +670371749 +1345094776 +1300868484 +419112126 +342392834 +791366136 +1021421628 +1793447277 +1201438386 +1110870782 +532994799 +935280250 +1429996110 +1158686889 +1930929466 +1773538826 +1005574351 +270780199 +867769211 +1243240991 +2134394608 +1595435722 +1749826821 +1976784502 +1051889904 +759508183 +427609018 +1762441339 +766766262 +110614438 +2074762111 +1437138011 +1455709214 +1228146947 +1856250137 +1798102049 +2019513083 +730188118 +1444065678 +1073467822 +1841058900 +1977060477 +2008748072 +1123571362 +988263719 +1792193891 +749626540 +1993838070 +2062974090 +1617395751 +1089595413 +2049885050 +1065347825 +691938587 +1879185904 +2117237729 +1451446770 +159311275 +1732195420 +70729384 +269925713 +1659473884 +1507867395 +1725634928 +740137183 +1216633885 +1376253329 +612166619 +1946822003 +672835359 +1685634441 +1640397255 +502412188 +1546898865 +616484969 +1490675907 +1191609108 +1366111509 +1337030329 +1107099551 +836023613 +279142095 +1009500953 +1901371438 +971080682 +741203210 +1871125520 +275043804 +900514485 +1455837292 +345773189 +1170440198 +967827528 +1853640584 +748591478 +1707964712 +922790821 +2124844807 +172647683 +722129176 +650196518 +1858282124 +215042783 +1152608707 +1257697341 +831527752 +495800966 +301822802 +50155614 +1832831296 +1408922353 +886179227 +2111973391 +270939658 +640067017 +935570425 +1012142868 +363708889 +1210614229 +1912657353 +1819546182 +1556387418 +935613904 +639890062 +1262544355 +1684205382 +200371126 +37851528 +1661566542 +373018809 +759980705 +164279412 +83817285 +975023488 +1316888119 +1341514627 +1806551241 +1812689086 +1643337429 +1856706855 +1498036734 +904776134 +595402434 +1462526477 +1175715792 +1235469451 +250613254 +40375013 +1599178341 +1461227483 +1953032366 +1271240875 +870131254 +741162622 +1911130937 +2132675609 +277884357 +2111502064 +23043489 +1939450899 +337037225 +783024194 +2103730311 +420854511 +1758047683 +1273134783 +1762369138 +1417115276 +938340221 +1258222919 +1126338483 +288893307 +15515405 +1721740917 +1751419784 +1191231197 +809726720 +2002033038 +1231606210 +261421413 +1315776873 +1037154929 +1532662288 +38424479 +1778317551 +1296309578 +23616440 +2056201908 +1260327994 +46659930 +1848169159 +1597365219 +829684124 +1804415823 +2018219730 +440248159 +930066958 +1633105220 +1857363435 +1868407179 +743844491 +836218270 +9816838 +759359896 +410475539 +1761236622 +1950591094 +1220202260 +1615786012 +1034713656 +1481623673 +784079237 +2071868585 +866802314 +822503717 +1702702489 +15628244 +846120157 +1611420749 +1275956238 +892780087 +1312106261 +725837809 +1722464212 +969038436 +596573892 +15228723 +1899105394 +82195464 +1872592159 +1620028925 +826039956 +561326781 +1629845763 +1585399852 +971802321 +1243598737 +1388507298 +44520933 +711901101 +275737307 +1526144606 +1495980338 +200122244 +245463272 +171000407 +1902824733 +261091516 +1017120565 +1366761835 +1537047754 +1909900652 +531384448 +115401916 +1484881216 +1500422884 +711975808 +1500109940 +1252044630 +794171272 +1225218451 +724589907 +1620211228 +1786545232 +206952022 +1058127433 +610863905 +1450550759 +299151083 +655384838 +14968212 +574888390 +34045797 +1510948550 +775010635 +279509069 +1681948958 +530351720 +540600586 +551585875 +1897113555 +2077648340 +314002879 +281014355 +45566608 +1798884096 +1781437239 +757542416 +1151510388 +885998221 +1551713689 +229245191 +1610588128 +1024441269 +2015790423 +1817540150 +2082568702 +479170681 +1120607261 +234236138 +1134555519 +1135575473 +809124528 +1168601316 +499040376 +1584135163 +1448110386 +33505686 +2114486884 +1988710972 +585091561 +1864116791 +1918875664 +899094440 +2145131147 +1964442273 +550494888 +1779084738 +574501041 +1702005276 +517599312 +2126214730 +1931250467 +2128187440 +1003172352 +1799557243 +1798243943 +938257406 +131244276 +771367556 +1172493544 +1265799795 +1906943030 +1981618073 +286917464 +258499758 +1418269588 +1735027850 +292005444 +1385272824 +1576255174 +877097005 +1101905968 +1347647190 +1776191445 +1099553467 +1164605815 +179202686 +731154557 +1739106857 +1881207962 +1248753869 +1717837939 +1664974782 +1229457662 +573526643 +1317048377 +880217957 +1511784050 +1448292653 +1651585513 +536793946 +566608800 +1411044895 +370928371 +853526264 +1669544653 +1789197960 +441070466 +1961550097 +1026987136 +2017325640 +691163454 +2128893104 +1217489183 +319871252 +1080962923 +234611350 +499073938 +1812117481 +1973718207 +232798252 +913387702 +1544072499 +1897773034 +2142845364 +2117599142 +1067337763 +875579673 +1481899544 +368146768 +379681539 +2018693491 +934755569 +1790726434 +242138214 +1788281833 +1312787440 +2031336174 +81868652 +1126853889 +910839663 +2099194292 +1818017344 +892249119 +1169199827 +2137888596 +1973212043 +1403811178 +489478886 +1637845876 +1230045737 +722277138 +403749930 +626634588 +472566525 +399111647 +596750083 +1539904288 +1274691320 +2078649627 +1908051057 +1654372859 +1949859470 +695322978 +1297615646 +44514037 +336121163 +462919438 +2075850211 +417989815 +1589773327 +839206226 +369700460 +1260307023 +1731455346 +1538900287 +1250711971 +1557183741 +795227817 +1740190857 +1047545969 +2025273555 +314984348 +1451295899 +504424495 +787550873 +1850407546 +1101174578 +179971513 +977615219 +1032340558 +2088022570 +484504430 +834716380 +635861900 +1782120076 +879230417 +971983064 +97555866 +807596981 +1389972879 +1687329194 +1646803207 +1759673339 +800152569 +1230774905 +1151089979 +2050864541 +640474998 +1946317796 +1643571750 +1688020967 +1824107703 +1958556098 +991833219 +181048551 +598623323 +694757117 +1282223129 +778594837 +1672372336 +167080039 +719133759 +9393119 +1001796420 +1354995660 +1791513195 +1881026837 +179495076 +1889069062 +541140170 +1569467955 +1428914608 +40459730 +1181657647 +81583529 +1271234635 +185263978 +2132448070 +1911709634 +2131581774 +1628536173 +1452246953 +1808205830 +1439608623 +296596524 +1989254381 +2038231947 +991353642 +1123993862 +669343136 +516242330 +1291073902 +1388476895 +525635449 +145386674 +595988907 +169664997 +2026413511 +775483983 +2058734059 +420070034 +197468291 +1340165019 +460529764 +1379125938 +1421748548 +1731764399 +1564389916 +1406712971 +1495990385 +1548488042 +887765496 +800753691 +1209210224 +179890471 +1097350215 +1050980957 +70638770 +2088703857 +27491172 +739981906 +457462540 +1318565074 +2128458802 +983097989 +1463951748 +576964061 +1152762986 +1342881611 +1352448045 +1064013397 +1762951645 +1549916336 +256694768 +75997761 +781558626 +1678443317 +1807762161 +198464894 +937672640 +1156268898 +1746952936 +1825438136 +1957022589 +808679513 +2005328607 +906889157 +1859660470 +2075967378 +848109366 +1887151642 +668465636 +1305571906 +1058233068 +649440790 +141186248 +374701168 +1226404852 +1293949234 +1717582780 +431369249 +210478984 +1333050777 +1981285585 +467173752 +1409048539 +615360563 +2145617069 +1069327052 +813825457 +935806061 +78112302 +413294745 +613760549 +2035134892 +1221974258 +471605509 +794540401 +934151081 +400089239 +1642649767 +673819075 +1068554875 +800738026 +1732052144 +1717995666 +941924274 +2106753312 +796916870 +88389860 +1676852444 +1228286119 +298868844 +862419574 +1062088056 +766042597 +123984465 +1677448619 +764176018 +1193311517 +343790428 +1699982080 +1271423819 +757085173 +166258981 +1159075063 +1979059432 +637864490 +1953615464 +765726865 +1037953729 +1448781584 +1439545940 +2106508605 +102035962 +1024114436 +1677020623 +1043960236 +983384101 +326453845 +1132350096 +512752897 +1554739964 +1431218941 +1375172471 +469344372 +49777890 +1499156936 +2146792991 +813953908 +544984805 +343099771 +366452340 +1816408625 +1100184944 +532711322 +828000040 +931760728 +1170575812 +634131857 +1697487593 +61045894 +2082913441 +989549886 +20070851 +37465755 +2013664322 +1697091474 +1081425991 +849564775 +2023545319 +66292439 +1362317673 +1430801635 +1497511380 +590006496 +1900146007 +1547289270 +2089163433 +1899455350 +213759531 +486664590 +95071473 +580211871 +155589567 +1195256417 +1112923193 +983589608 +2127017146 +136015358 +1617721465 +1677021091 +197061252 +1553151258 +519087329 +217132103 +1590617013 +385268004 +1914223577 +524559356 +1234832779 +1790285248 +590851795 +449666804 +1073603235 +2088363176 +1039673301 +826265594 +1488168798 +981353086 +578237296 +1701928329 +1468017676 +673308769 +134656553 +1623607244 +1868565186 +1247579746 +459713204 +1848098684 +1383595104 +2077434669 +1377636128 +1580656356 +1483102279 +1896723457 +1797788459 +926235644 +134507813 +1564528388 +1450795000 +1369340593 +1207329988 +2041646795 +1819007397 +133449575 +1982526323 +711197050 +959715169 +1323211474 +1692550136 +1537952465 +877656155 +1013084165 +63777586 +1012312708 +489207761 +1932342773 +112408807 +948920965 +1632957809 +1496003911 +878871986 +863110289 +929176620 +214490617 +612350099 +579481431 +1140726261 +746857912 +2144009820 +444037613 +2116198505 +1203856160 +338200760 +1787722255 +1337305736 +173243436 +351435657 +149537257 +1496454910 +2043985794 +1687489723 +226627417 +909586311 +1751267309 +1238940126 +1398794072 +1536126434 +1351348933 +200231389 +1021600596 +699869196 +1079103375 +1884710885 +1629045816 +1293593992 +349577336 +61043600 +286836605 +1096435249 +57569772 +730874218 +1065150106 +1261425932 +1069074978 +705388713 +451248020 +1242318414 +1056824371 +600785278 +591289676 +953326517 +140791353 +817917094 +1862912828 +1892058662 +2056857220 +1114223252 +1280701449 +1260722505 +1314454641 +154818397 +1960591701 +246074368 +2039529282 +1442153870 +1539668360 +241622971 +1503197470 +1826504965 +1338058220 +1560767242 +409895535 +255724678 +674709526 +1478970513 +961113392 +1125957547 +573805280 +2017937763 +1726742825 +1165094956 +823780632 +1867534178 +1983012050 +539209812 +1612109192 +1892385622 +1653433064 +745326993 +1005624479 +820404057 +900145390 +818732533 +1066478425 +792191025 +113402755 +458663137 +1033813996 +1616600225 +137684454 +224388568 +1029883819 +547579989 +480113246 +1704593345 +2026550502 +1441226638 +683067244 +452872134 +1311680753 +262326421 +1617967091 +2135461385 +2129860599 +1453495493 +527187549 +1594486144 +1198397468 +33136965 +192329489 +56538299 +853541022 +1092474880 +875270832 +1920019447 +1884665905 +988673587 +231198936 +770996253 +457790164 +368883390 +995384821 +1487673983 +916463379 +1475498067 +1044783681 +795530234 +769241058 +1727850925 +1248402368 +2080921811 +1990177347 +718885811 +2068899549 +1972554298 +24897657 +448603450 +1419556794 +1223295125 +481740416 +1611886284 +1279833424 +1335281438 +556877516 +7620609 +1107817238 +294059773 +996294196 +1339016174 +1065056026 +1454084361 +1707899565 +2060440847 +794274696 +476879296 +1388455266 +1839058377 +1272409530 +10212676 +1419425655 +373328251 +2091134488 +1262119354 +1092214062 +2012550389 +1087190004 +1117111719 +313670191 +359263151 +192923196 +795410607 +1971149435 +1472756621 +2130692046 +380543303 +1480377230 +1091025636 +674603076 +329187778 +282558162 +1739659102 +1783272139 +1990457727 +1652616301 +430063188 +319853376 +893587919 +121637917 +1592262906 +903800596 +1541063572 +1965591157 +847451436 +655699278 +910321572 +712518177 +1742889283 +2027433291 +1026188368 +2102152434 +72872840 +1821598976 +1925818221 +1545629461 +1804807374 +158877876 +878523043 +748349362 +833480952 +1207710821 +1030907524 +425656406 +843499313 +873881604 +2078272707 +1273562501 +1193734980 +824376978 +1395200418 +638514238 +1728177574 +788780343 +456621748 +428145362 +1444479621 +1366943320 +1140663539 +1039885256 +1246892963 +19368260 +994554042 +1319765803 +1840967236 +772888615 +717911616 +1498290962 +931766491 +1596434659 +99156676 +1765247443 +656661833 +1130064200 +43420201 +1500161146 +2003945804 +2121692908 +626239999 +1050197136 +798586239 +2021440417 +1688711375 +379280165 +662737112 +2145333123 +807425528 +2107216734 +1364792795 +1948089067 +999618342 +464202110 +1967457327 +1994172385 +1783967914 +1660940915 +619577352 +354395882 +1011748229 +1551343844 +1950830542 +1110904905 +1169107639 +460008727 +93485458 +1212527841 +1960169873 +2097431262 +1186737101 +438926224 +1000144751 +1985323340 +312882993 +541372478 +217119858 +975620106 +539221953 +1024545386 +935353192 +1904014748 +825150805 +1934971534 +220733210 +645124485 +1781660271 +2004701124 +158581752 +253753976 +211613359 +1170329982 +1805097820 +14960253 +133751239 +826721811 +474968980 +227236697 +2039249652 +287655205 +177184312 +1078503106 +726581429 +1177329063 +916342798 +1039464422 +1718701541 +1133462656 +2015084528 +110439846 +10524394 +802954072 +2014454594 +835675200 +590441959 +87704156 +1480799685 +224618582 +2092405281 +1639381437 +478372558 +156534992 +662227771 +135986730 +171495245 +795979011 +962708542 +646464225 +1023215708 +854474546 +934119430 +1200400020 +1932977652 +1660700859 +230245435 +701836803 +552681633 +1948946976 +1835299459 +420282514 +2059386822 +1845823854 +1223236586 +1926357768 +534015406 +1813678545 +2014061925 +2014815091 +2038297128 +1958983558 +1506712880 +369186038 +2115518550 +21457004 +505172769 +139530147 +817436015 +1467881311 +785994372 +1840651723 +174872209 +1720113802 +893568096 +2107849862 +1233331013 +1123813531 +662203017 +1786012646 +925276860 +350018828 +58811512 +837180034 +48359034 +1282048099 +616054155 +582374440 +948242996 +482632432 +449705883 +839056476 +294132342 +1956418764 +1208242515 +262167244 +1977875768 +1713415284 +401697391 +647828135 +1033812947 +1187691763 +340996210 +1208685156 +760321917 +1234564306 +1169051370 +1993652930 +210894190 +1831254387 +1632181928 +1136171050 +33789568 +1690993441 +1973351084 +82148602 +825557892 +441921591 +664523043 +1773800888 +924554023 +1114228926 +465373717 +1218686365 +923164042 +1673616232 +1480853609 +753556162 +1239547868 +1882551000 +1401384297 +125877167 +922759115 +1742380508 +1334562323 +1683081032 +829461166 +356130046 +1529250314 +1040355356 +39900785 +1013948595 +29042758 +73690353 +557458388 +2002393843 +155838956 +1383016280 +296831786 +820361999 +1009333520 +1221385810 +1934590925 +1474707237 +292588527 +710271320 +1000839821 +1773442137 +1463827482 +92904041 +1508509489 +717728132 +218781208 +283784957 +312624992 +1553343532 +1966865989 +1142086158 +1909473578 +1348632656 +34957867 +1949374363 +215097603 +64000625 +2023064717 +772555991 +2066394468 +31420025 +8088623 +215742607 +851782024 +1017422143 +1437128417 +638889301 +344645733 +1729716944 +1349160621 +1345485554 +1355675433 +665504456 +1438389596 +716701275 +1383232588 +1657170804 +1000486232 +1695857580 +1063030688 +819868573 +690460090 +825020618 +21017581 +725417957 +626911334 +236115184 +789418583 +502492403 +1008671175 +708329403 +533912428 +1016759798 +924072010 +1385694452 +2034181942 +213716779 +2024583753 +231344027 +1943433724 +1226260727 +1576829581 +1151625509 +1891765183 +867735529 +1868326784 +1127514123 +377422686 +721329368 +675888055 +1440453374 +1541197942 +1366348145 +117990345 +1562215523 +2091766103 +744901679 +1798330708 +733701038 +1247394082 +659518235 +1442030441 +1781306510 +1676278034 +218618804 +1019517314 +1562976328 +432335583 +896617419 +1794320355 +228285659 +2122878146 +1223666288 +1379911169 +1867159681 +2091401818 +1100754305 +847190156 +321340856 +1822083674 +1523078211 +1761794230 +1215797968 +741942709 +1879784575 +630529843 +686225164 +477202606 +281376903 +1419926202 +1724596688 +940895139 +714472995 +1358419550 +469689525 +933091799 +230453216 +2032665853 +1365427383 +1127070636 +1679502560 +1593713042 +1102465134 +755685200 +826140563 +822141168 +699603370 +1926894869 +1669331324 +1020944226 +1601494895 +1044925888 +635254809 +669809215 +1786868597 +367555736 +1300339058 +325610113 +844758343 +1581715962 +1745536315 +421871383 +375127453 +312525662 +1780290934 +844816978 +1245617462 +2010744150 +729999183 +463561197 +990331138 +262018095 +2057274239 +2092796273 +1017703295 +735931155 +767453793 +1717306666 +515342376 +289301469 +590767244 +2116837271 +1334227357 +1226022053 +639162838 +973612306 +1593577790 +1939501896 +1299222419 +290852485 +1373734210 +897275086 +712723868 +1748861663 +1209800749 +345531154 +446194993 +307934563 +208791657 +1176194176 +771495760 +1199122795 +1438212271 +681286351 +1144435420 +308431919 +1417217506 +1911889213 +2025738585 +1932559882 +53707035 +469022181 +1901913505 +1387934392 +1695044235 +393592695 +214063051 +1141138377 +185610944 +1513285470 +1431990862 +1559345154 +263076909 +2144714730 +1160723170 +1472877658 +342762237 +1606918163 +1780812221 +551553894 +635628692 +404824333 +1750676689 +2073840963 +1086110684 +747628462 +234789234 +355844543 +512034027 +113044171 +140920777 +565741062 +582066353 +2042834283 +1953675455 +129626940 +288943330 +20254858 +1270765317 +474554274 +1533540328 +555272531 +2033899429 +1796617237 +552503613 +1047138951 +1122011247 +895265850 +506573466 +755339820 +1446819744 +1142202158 +1160164153 +1050012786 +1068559474 +98791190 +1797641248 +1303348708 +454635733 +162191627 +1416392880 +595556510 +727932690 +1998459233 +490907145 +534124497 +2128086173 +779850476 +554379355 +1251367842 +1254404750 +2087919683 +1806640373 +1140820531 +1737053273 +211660338 +40475834 +711580872 +1106926189 +547049301 +1466920693 +406262285 +1689251459 +479601198 +1456275071 +610327285 +578392388 +1106432671 +1913675994 +1033028121 +1268624299 +1182585226 +1628584632 +1996556989 +1033560811 +2119491777 +383197838 +1014163336 +751858605 +937577193 +118047530 +2006263356 +878013228 +1924687903 +999600239 +467582853 +2136348241 +1040076074 +1179163726 +1095790782 +1587125375 +498600771 +1502053068 +1128893186 +978201969 +810844491 +1739220472 +1556594358 +1917277163 +1505412818 +442138831 +1038417814 +540514396 +2070723463 +887491155 +1574075207 +2042731593 +1270688993 +440754895 +647106550 +60782538 +558802425 +505886258 +938795766 +336006680 +1505486498 +1406378620 +324871273 +398078924 +438058698 +1420662056 +1985204299 +936659469 +775231476 +966613837 +1914861438 +1586075967 +558350661 +1323972148 +1355869482 +2063763479 +1766110980 +246803648 +456794227 +1689350795 +1134294803 +2030869434 +1584598740 +257500148 +324140681 +84221643 +318282686 +882943106 +590107901 +1257078453 +1218949786 +2095594399 +515973425 +1543821060 +346189675 +954032123 +816999468 +183910326 +1890691592 +1592230944 +1150524164 +1658069382 +1030823263 +1708874825 +834557883 +239209098 +1625154657 +453185215 +486012746 +2081948884 +2142536010 +1620307550 +1965334671 +1579651103 +1877807698 +141991704 +1663872746 +48606737 +1024934811 +106496999 +1305685190 +96400949 +54607751 +1821658615 +1640222009 +400797426 +628207090 +309737829 +584707753 +371415034 +1901968773 +1735231917 +2029484416 +785308389 +1296623094 +716558651 +1024517487 +774294103 +1169743866 +1510530233 +708759340 +1164796229 +983354135 +526610363 +596963684 +713678186 +668602067 +113352782 +762284923 +1693536878 +219849781 +2067970113 +1789937828 +274457532 +1742145080 +1282676189 +675254959 +222868522 +1592414019 +1259962712 +594283556 +1346899144 +847710981 +476284324 +2132207533 +2144334075 +1192842976 +1009241372 +771144531 +215103194 +372287958 +1479903871 +1379899423 +1355642093 +2006514234 +1976863107 +2069320279 +527632653 +2090215889 +684121554 +73685884 +162582023 +604608019 +1863623712 +437039555 +199269451 +998816253 +1112294514 +422137973 +443746624 +224773578 +1016421529 +1790645769 +1072484559 +1492705854 +1775369654 +1069334987 +538065182 +637127379 +1840479518 +753168376 +1009415337 +1172899741 +2133067800 +217573782 +1031930327 +1962447259 +139410414 +1559562980 +1905179501 +823531968 +1633248864 +2067761524 +1428139988 +1349388928 +357317431 +1627409439 +200721534 +1469611946 +2049547413 +644468158 +1694385524 +918485294 +287630279 +619386436 +263707500 +2062999934 +1688721423 +801772682 +552643665 +1381717293 +1554941059 +1562059002 +407133386 +1540525211 +1779632784 +1439063713 +1355488822 +1919043198 +851143045 +1113184675 +595091519 +336908262 +1033462551 +2023231507 +1686297190 +1390779983 +1503157298 +1887018724 +712908281 +1405221063 +384003235 +259810157 +176222710 +671633514 +879196593 +439930210 +587149800 +420434368 +1241702893 +1139793465 +1802151661 +649160304 +554368819 +61801399 +42201867 +186517956 +1500865112 +1397690689 +2105561154 +204524510 +363391717 +553169025 +541432772 +1396854268 +428916884 +80246314 +640150603 +1932074183 +1967265039 +1353058884 +1189811598 +203784626 +1612869042 +1366034308 +875418140 +344581987 +1805964519 +1462567941 +765016356 +900183764 +454877758 +419684369 +1549344068 +1009246578 +481485769 +1591545935 +1195764534 +1982350881 +841752976 +1153842040 +39391743 +1205144693 +1707011066 +580824515 +454515314 +2135927950 +661070830 +1094665917 +1920518485 +480852221 +300241154 +962846436 +684636847 +1913110196 +181397096 +1560054987 +110208535 +1987361615 +875139280 +875224891 +740061731 +1330017039 +1294909261 +141922151 +191779969 +1776395030 +1733468086 +1387544503 +1611262263 +427737415 +393902895 +1650654007 +1632882108 +2100913961 +83994874 +2087397422 +2089358264 +745065704 +1034579692 +1862393101 +1225917925 +1334820846 +677755889 +1910554772 +1100447394 +859152986 +1323126112 +1210655929 +699030953 +50781744 +2085880821 +1439092685 +1380798783 +1233306434 +1581014836 +1572578752 +862217816 +1166999275 +812639607 +325996431 +1594736690 +1206542503 +1976650438 +1080135150 +1159972816 +2060645313 +1020048925 +1101847432 +658227369 +2054628617 +816756886 +1884145295 +1241965815 +1494512775 +1647216419 +194929561 +206182113 +822858883 +1405585490 +905213067 +873640628 +1343982663 +196822104 +106955763 +429805449 +1777836940 +1679534516 +1292023265 +797352567 +344690475 +1618019697 +244605609 +1551232978 +1447186487 +1324740760 +563722147 +1360348152 +197306037 +1665569579 +2018575522 +104451006 +334842817 +1755237169 +1346416821 +1829355593 +1254969940 +1541346382 +2035537706 +2077828824 +799448224 +793267125 +803985804 +2143430888 +990089229 +910941567 +425752689 +620442522 +442992435 +1717775955 +1417795089 +787682911 +1188312004 +1662400699 +191432241 +488014843 +839657811 +755154388 +1848362996 +1036963848 +273240320 +1719454870 +1141414854 +608083137 +1327208391 +340348027 +289955082 +434694683 +1881694409 +178009141 +365039859 +533658985 +971276266 +1169025663 +529606225 +1961365496 +2079967231 +955358915 +434324370 +375476018 +525651222 +1852119459 +1163158929 +1713963226 +1367036510 +1354591171 +54494421 +59210673 +2109745559 +1902857417 +1096174521 +235502231 +1474828639 +90105727 +843585369 +654553382 +430453754 +1133540451 +1089248066 +164664515 +1311549592 +1454287925 +698323501 +135342211 +475829941 +1227929726 +2096707707 +408313524 +35804993 +383548429 +783789542 +561456215 +88184240 +1946948472 +127935793 +1455220751 +1154055995 +182430215 +1514431424 +1116317906 +2085287632 +463122298 +1351820138 +1412632624 +553228025 +47921859 +2067186006 +983681780 +1181462310 +1008950424 +1148346295 +345528255 +315754702 +1846669796 +480870466 +791584643 +927115875 +430094525 +1199898167 +962920868 +813642954 +1983687709 +1524377084 +901827194 +1783152533 +1652312877 +209564297 +789724880 +1834743092 +1723995722 +1906042787 +1772547077 +39634372 +1110379277 +1037696053 +592862397 +1158301136 +957398411 +1576544177 +192279798 +1966348836 +577406825 +537808053 +134619890 +276592973 +1018678519 +926204533 +1203708848 +1448773044 +2126102700 +19146069 +114932350 +1962306761 +1543523153 +1016759545 +1597975647 +1048352382 +1226323842 +240216879 +735611827 +802835916 +2146259666 +360675256 +842470288 +1109155295 +1398371309 +1435332686 +119972783 +208286072 +864393215 +312252582 +27151260 +1441800040 +850060635 +161771150 +1718393014 +1868739155 +1087975683 +774618214 +1170028551 +1066594735 +793764283 +1284960902 +881417849 +189803788 +154236799 +331909848 +1238156171 +1380560641 +572126727 +1973767998 +35912910 +570902746 +186959606 +878383198 +1680058041 +1585330915 +166232236 +1800030825 +1793616987 +1030625452 +2112283407 +1820768248 +324941844 +814860394 +1982539398 +2043334858 +536115901 +923031434 +670469425 +1706144453 +1989626169 +1464233708 +843621707 +723560370 +1654037497 +997858506 +1055470218 +744710020 +230935499 +1627596946 +570994370 +266848409 +51016044 +757953976 +1145231608 +1731074085 +195801243 +1311463844 +1383621262 +1989418230 +194605648 +1348421021 +1662702830 +519547493 +15797768 +1497758581 +415398703 +551913669 +273306367 +1085868128 +110574474 +115448888 +402618189 +954196181 +839009259 +2056655686 +1952054687 +1894479477 +653882058 +35506539 +1374592775 +1224876428 +302354948 +1425608819 +1982830404 +1447586556 +1009199257 +31147999 +611566753 +245336871 +2020566229 +806172401 +1593757893 +1535785412 +1325719894 +1609555661 +886060345 +1741118598 +13985682 +1159366712 +679503078 +124560157 +1274815600 +1082121267 +1078756338 +2113824859 +991293305 +883327378 +1860820689 +1645175363 +918833917 +1087929816 +722568143 +1221188865 +366054988 +557914899 +521291774 +1375254245 +589062898 +1132858527 +1620591116 +462145480 +1939030928 +1066865361 +1997930892 +1117267175 +528937374 +736507589 +710902125 +542923057 +1895874301 +1390405203 +667483214 +1023206253 +325042823 +1746239552 +989547465 +1316336128 +482083282 +702884506 +814027844 +1400917199 +1790814322 +1536595987 +474622417 +9385662 +2094510887 +995914191 +1384639907 +536090137 +2128772718 +857747376 +998235617 +1920319998 +1924612737 +848682861 +890103525 +306066464 +1585190450 +1601005650 +848989521 +1333581103 +843927206 +1516472735 +209303709 +1168970029 +1115228639 +1198851174 +337822509 +1597311922 +1901735680 +1151850353 +850745473 +1545066354 +540962693 +1325367890 +1554452017 +487989932 +173798433 +791608276 +1024080069 +155087503 +1649355652 +2022315687 +2075407502 +1426484742 +723514900 +818027379 +1732551206 +161221703 +271549382 +434057079 +1494802806 +1115476588 +1950529814 +1704106515 +136962969 +918274805 +755474041 +474785478 +368103079 +509726073 +1626635832 +1218848553 +2054792428 +20114877 +396732795 +1461760797 +508104809 +570531229 +105885425 +1532184878 +725618732 +1755241078 +1407016917 +653542586 +1034242172 +2130531818 +1471569966 +619309730 +144269873 +1743119348 +1053366809 +1639072679 +711112288 +856412975 +1195695547 +848075257 +1774687780 +1951169588 +1322860735 +2142790860 +313412014 +802012919 +1214155765 +220720794 +822127796 +1610888560 +1682481591 +1330232605 +33936141 +1788367016 +714933836 +759554874 +1396124446 +2121950753 +1413097460 +282882970 +2104998923 +737183778 +902192700 +101785148 +332819478 +1955559509 +1740857828 +1043931766 +664488836 +789069727 +1892007023 +291692969 +592755667 +1067384111 +287000181 +906167681 +1869397030 +1501155946 +1126888475 +544041179 +964560858 +661886418 +1874273784 +998497000 +302769787 +441723972 +1758051874 +1698894233 +416191078 +1023665686 +1981777204 +373706353 +1760849465 +736486256 +475491502 +2093668943 +544562118 +68865682 +990117062 +1209050954 +857935409 +734640437 +1500743923 +1450691076 +1802024548 +1787744104 +209375110 +1523937931 +1141416402 +1336263585 +2067979110 +2105977261 +1998150004 +1794769246 +956990613 +153436143 +89009571 +567558839 +1852330376 +505200649 +1591224525 +1686623932 +878907002 +1204590342 +275626541 +1354398504 +1150775638 +820188659 +1423264186 +2140892700 +2029239613 +133715947 +728049489 +1382499889 +1584407024 +382590390 +1022760345 +1793782134 +1906528321 +16693100 +982562071 +1827023783 +2122670361 +833228427 +1474309381 +932177326 +986664570 +1563318952 +1499736165 +691511299 +2068519601 +943477042 +230651583 +799942956 +583737 +506278124 +6857812 +1151359375 +1326466783 +1430121999 +1144768427 +1208222749 +1563837946 +1872817916 +443238990 +1000761322 +107924658 +1465999335 +647059808 +2014452979 +1482692435 +1629621880 +1693993114 +1457879148 +315366659 +1020818848 +242572826 +1302031230 +436654152 +1742308991 +1993542529 +357690106 +538302386 +76710464 +1157633062 +538886123 +582988589 +1164490874 +1690245498 +1909455372 +447129225 +687530277 +970194473 +2010967172 +412864545 +1413433463 +864244846 +520789204 +731949151 +1511304655 +387758535 +67157938 +993442887 +2081751650 +1525037087 +1308809546 +955086850 +1767609913 +463357128 +1391741002 +1362435257 +309416009 +1749431108 +1900737643 +386126474 +759580522 +292140118 +969115063 +1924071397 +1982385616 +731086787 +223716974 +522432245 +1701281261 +87200498 +935296790 +967231076 +951445345 +1456085994 +1699180227 +315266352 +1843844530 +1766338166 +1308709239 +1778112532 +1143891605 +470035137 +585715734 +764017870 +933392266 +1977456736 +2126453127 +1242808275 +1579404197 +1879707122 +1628934749 +191501071 +24363592 +450566164 +2115572468 +2006749208 +1181652952 +191805795 +381697805 +735450565 +279006293 +1316994596 +1702681641 +1230451638 +625596942 +1254378221 +1545717990 +321957824 +873232739 +706943581 +2100070356 +2017124344 +1176978719 +538302442 +633658566 +2110370985 +368275531 +612628046 +1205695612 +1947679728 +344851520 +687146714 +2139180799 +369215113 +1137712878 +2107269620 +228480673 +171882182 +151591767 +610178479 +907332747 +430598060 +1927173075 +462530741 +1661049699 +405286369 +1716908962 +1059284041 +727244194 +442658053 +1766227623 +679830902 +312298749 +795722694 +1218133345 +945957315 +758610031 +1586408876 +1558585361 +1964305643 +1386604956 +1903436882 +503968709 +1378302107 +125168347 +1641681588 +1338088079 +353649020 +1813563770 +1489679846 +963827499 +573412870 +1920277907 +743516926 +1035943611 +1433843958 +1148803296 +605368925 +345644351 +1876047490 +1048026978 +2111871974 +408394744 +1360325727 +760111020 +1626528089 +158799394 +1518721051 +1065453317 +1717384756 +1335543047 +304574625 +1473337990 +1839511756 +1682876733 +1598506337 +1333709696 +873481164 +1952155357 +999789819 +215677363 +768499209 +1573202689 +2135955270 +1512016135 +461662652 +1422315580 +513335783 +1067031577 +1767959931 +241899625 +2115058555 +1732348258 +650294370 +1327900634 +344975630 +129338811 +1486700028 +1863696682 +1194792129 +1056601136 +1051756081 +1499366754 +382455478 +743784189 +1034759839 +1980961815 +2077493886 +1908241004 +1785633525 +929800057 +2123918367 +406649086 +355519098 +2112389989 +1918665221 +817181750 +1387221921 +284517357 +1884213327 +1007698204 +526416982 +1851788234 +592562814 +1176711352 +1032205220 +937538445 +1306050164 +371421600 +653751479 +353358645 +1428022737 +1705507560 +1852725399 +1810478215 +301808101 +740001591 +1643956383 +231818339 +500758947 +1282106260 +1161618396 +477193666 +1688755346 +1517137494 +442100007 +1459936919 +186835596 +1829321928 +1744454276 +2071048923 +689536484 +123387611 +1775353509 +1282099299 +1300098963 +660075081 +72154096 +458665479 +1031496682 +725905575 +812024124 +312035771 +283929487 +517265876 +2122513986 +585737588 +1257267467 +1618986721 +817555928 +1758026414 +753609333 +1979174324 +87736432 +294881031 +1348828171 +529836439 +1754817951 +1535663767 +211674719 +1351788579 +1459229043 +901211203 +1475176190 +1087098904 +35826854 +627791506 +1747173986 +107980950 +1086456985 +631187020 +833886525 +1898481110 +943222791 +1117816012 +268263338 +918253129 +1703553601 +1525530805 +389756203 +373625881 +1136073571 +1143365536 +205316557 +1223810003 +1438246568 +1554144728 +1753646442 +1045580871 +942324848 +1965321161 +249885802 +254070243 +719048716 +1725061993 +1341169147 +754875571 +205369851 +940859485 +862856521 +1291826836 +1572046505 +1696743047 +1042824298 +367785648 +667075411 +1311087636 +1286038778 +223145364 +689134793 +1675794981 +596771245 +1825208364 +671676869 +802087803 +901534719 +2109923437 +208748883 +507697513 +1008020660 +1151073731 +325535026 +1257906463 +1405143974 +1044583743 +835484808 +598829474 +1799459314 +1040854659 +1539688959 +514832187 +185197847 +964251817 +64091586 +1228022146 +1332037465 +731166998 +391626134 +470592595 +954312362 +1080760928 +2146387576 +1551083608 +758485644 +670580798 +205687763 +1660020364 +633020587 +414436646 +20234229 +1641041248 +1565510378 +345769256 +751464063 +823170704 +1390352999 +1586948871 +1422000178 +1042328665 +480319882 +814205490 +1557160852 +665517729 +1778457307 +1621252439 +1893539875 +963011124 +204935789 +137682362 +1433603720 +1159248151 +1218443290 +1432507648 +562848111 +1976928934 +2103088446 +768535874 +1489465650 +588625386 +1182972521 +1509699880 +82182986 +600999251 +1855469136 +833647049 +1424169955 +1098338487 +273112272 +698686486 +2140667152 +753432154 +1512891976 +1550344356 +1418949883 +1143865635 +1024113147 +1165006111 +2106876759 +1229048936 +1302688473 +1392996831 +240813440 +373648115 +678020832 +803661551 +203093401 +633625630 +1572197426 +1692559052 +1222251016 +607686299 +1054775284 +1304434002 +1208685550 +762760772 +2138081051 +485371857 +1861099259 +263709675 +1184058343 +1854282763 +1017141829 +549466671 +1257143471 +288608065 +1693332306 +133772971 +1453614176 +1652725418 +1362821907 +608819001 +898238601 +1603635347 +982467116 +1576259433 +259813251 +1185560517 +62401416 +1832010677 +730635921 +1284652432 +292213328 +1785411205 +441602787 +1500898878 +400688329 +432200190 +1986270735 +114303940 +695909866 +1022845431 +1968586703 +1713051695 +1572312102 +1078246527 +2001659760 +1118160761 +1212019498 +1307790288 +623402531 +427357757 +1916609289 +1521641132 +2030993105 +751592757 +950416918 +143322708 +1937153275 +1012818334 +1975333385 +520305548 +149987118 +120063065 +158233106 +591589905 +1620961943 +558921435 +1023790096 +1459749030 +673225376 +1719699962 +335110813 +494328431 +1285268009 +1907422916 +1572574958 +1139444122 +878100029 +637110808 +299750762 +1501502560 +1064468566 +68876404 +875660044 +947978023 +820469161 +1826076962 +1091300731 +610138788 +691411648 +919150468 +1130444337 +841398767 +1039213533 +1288677443 +1432988672 +512691828 +1847598878 +309295120 +1972440858 +373340606 +2028995082 +160068024 +867669038 +1166779444 +2067490940 +292760348 +158739918 +798107321 +929871157 +458490680 +152126233 +1994339723 +527367084 +1027786277 +794834098 +1347836246 +706379592 +1886134829 +1957975034 +1397791240 +657801649 +940935723 +91706359 +1697015182 +82129518 +1524695032 +62223362 +1929728397 +1833990152 +2034664220 +155585355 +1715501587 +47248596 +1023254393 +734797383 +2114739536 +1316014742 +893537301 +765363209 +98402251 +1352027981 +917489442 +2092741974 +1879395066 +1945275720 +740092424 +1079747664 +504171664 +478743605 +890239050 +1901962904 +1136545254 +1831174774 +1993669264 +686076788 +1913304292 +1370880648 +748300150 +1695549041 +1057387152 +635480722 +1851134397 +625405091 +682729319 +726905142 +1360202474 +649985207 +2042919884 +106256127 +1415348417 +2141322135 +1458284109 +185354211 +2086580461 +1190195527 +2130629931 +679189237 +122459543 +487317947 +1157932842 +1012698593 +241797204 +146994448 +696389719 +87982820 +833071236 +462210364 +1458863468 +1581371386 +10275757 +368766972 +69368461 +1861410154 +994172064 +752097780 +440831649 +206890890 +1402082987 +336267885 +313147018 +669947756 +330106373 +1771431127 +855301968 +269203186 +814143006 +838448251 +948392424 +936602549 +1325766199 +2106325266 +1949301142 +1567563403 +105836067 +498207214 +1655546223 +938907303 +960417578 +966926043 +372795042 +970693335 +1335693015 +442163503 +684619842 +182381431 +1194261283 +1125451491 +389272322 +448860622 +1461719376 +702419340 +1118808379 +1791825749 +326366819 +1974110347 +2061028936 +1140509825 +665074950 +861937712 +2077112374 +1990841149 +820779330 +1878929868 +1410920904 +926615397 +229653434 +918983479 +1865522701 +1190071012 +1885909522 +90834095 +13280700 +1074118890 +532997598 +697900542 +1256500321 +1727258881 +1823352033 +1645772643 +28635855 +1137587761 +200708335 +1147444234 +781929863 +527075154 +974070933 +695475151 +1667584979 +1639145884 +1557412863 +1597213705 +1482503385 +230708545 +1328659926 +745940642 +1157323943 +1558313360 +1664924121 +875362996 +600900725 +1403349996 +966197091 +614181425 +329985238 +1499194689 +1312081967 +1586485559 +1078969922 +987950352 +1084774555 +1107605777 +2125538113 +1285482890 +107566364 +759984328 +1812558045 +1081637297 +1455459479 +1332659376 +573299533 +865388694 +782389434 +2055802919 +1096097240 +2111049360 +654259913 +105937535 +1521879072 +171700386 +981300531 +2122779797 +1575050382 +1947497622 +589477574 +1905035620 +1299208663 +1901559541 +1344037532 +230694937 +742026245 +281328439 +1338300714 +720080711 +1566811329 +1445867078 +1480065039 +1231885726 +380020728 +788040871 +417061455 +953320261 +1653429565 +1199450889 +861639532 +602043157 +1163016601 +1515899445 +707980692 +537412025 +1687599832 +1689281223 +512708175 +1115166566 +1489295197 +1102185749 +872718539 +641020212 +856261643 +69272423 +871715149 +1598287888 +350600862 +62532216 +170884951 +1917412191 +1508399294 +1650949991 +1001814270 +1888420022 +291507214 +1418875725 +694256636 +1944936779 +470842966 +1555896168 +399496289 +1633859567 +924311966 +1107476981 +23787944 +464428150 +649274557 +536496119 +1579594716 +2138569754 +1638681869 +304829607 +632106319 +347459864 +374102030 +1503821468 +1945747752 +724702892 +1566353684 +2116632704 +494631436 +927269331 +1620099047 +1496445706 +668205705 +1911606261 +767837783 +1362462341 +1709059392 +1238680749 +770874862 +2108555681 +725056668 +1695186828 +1068549015 +748844612 +12131330 +1717823572 +1285340732 +1591726046 +1708909678 +776538953 +1896555654 +193532349 +1123998817 +123174036 +1697353818 +922262921 +847876929 +1116223854 +891411977 +1342508365 +2043493185 +364027376 +691470423 +564215243 +128149989 +1459308206 +1926677584 +1837209382 +550505307 +550068798 +1798281415 +1275561975 +97771978 +719346782 +2024406587 +109903308 +289686706 +1162263671 +1701629355 +1998596385 +1938802624 +1450701361 +44645086 +915317793 +1573875397 +1741998904 +1837580715 +274268678 +710739111 +581509044 +1616777043 +606748648 +945536421 +160763818 +1170963891 +1073686410 +1620072024 +950157828 +763412144 +23093683 +1500226626 +414209912 +1298655658 +1597998605 +1133556694 +1175578598 +1707901913 +1423243401 +190358621 +1262047620 +1274356138 +2129161246 +565265333 +1319001224 +896995391 +2139140731 +913516481 +587092458 +265925761 +1624255592 +1168601503 +1882702805 +83520592 +2114137924 +2043466623 +1254484484 +1040340686 +1516055000 +57158664 +1803752831 +1539148683 +1557385290 +70479095 +690320694 +1007900247 +1204035789 +1865899292 +568318513 +479795542 +2056257913 +1830366133 +1754151680 +2037935511 +248147819 +925669257 +787447255 +239804902 +1839185738 +1374539713 +505730663 +1315957682 +395657568 +240949820 +1399478274 +362311844 +136932796 +506479110 +1402652531 +1652987796 +563637774 +1058921714 +1044652831 +2121023065 +1129400809 +1734973525 +981439664 +185952950 +1453389169 +1549758177 +665748493 +1362163435 +1232640663 +272416525 +1252615298 +1480788482 +1198085782 +2040062553 +1720593384 +889787872 +1267118619 +78840399 +58261906 +1662776187 +319790220 +1457740181 +2025088032 +456723016 +1964219291 +1280256915 +2109710812 +380373418 +191694981 +1006879995 +353912835 +1321095790 +594369873 +1335352499 +1507048740 +2047759042 +737627029 +25313585 +1262438829 +1970267692 +297730111 +367570480 +1303572526 +1495815893 +260149385 +876682262 +238120118 +1527268004 +955522661 +296382024 +1042560544 +1275312881 +1754122205 +920164928 +1732035897 +1570857849 +52938195 +1694263061 +1951231267 +244633176 +553659409 +157660454 +1565728966 +1148029282 +1493012953 +925294058 +1048304676 +83156334 +950607644 +163259858 +2053424026 +1248337755 +530830338 +1209512904 +596670000 +790979723 +2086195166 +834790118 +170764080 +894234180 +1131172143 +1213324624 +22063413 +737810700 +2133489552 +1754099311 +161184901 +38944099 +1300878724 +2112416168 +283577275 +1854538133 +122592974 +1849306241 +855083767 +1615605928 +627116651 +1903388444 +1698762262 +1577724295 +2066648302 +1604702641 +678578402 +449994992 +666731897 +1275248403 +1240974715 +605443416 +2110038521 +1411738795 +1499677596 +1093727016 +477579771 +1521741009 +1831537717 +463585675 +1128356672 +1992722618 +502529774 +281751749 +1957655139 +786107049 +2136289882 +2080248113 +487929642 +843890002 +1548370393 +1115046294 +599794798 +1099649008 +545286941 +518959452 +556868001 +1223865344 +968954444 +1223599898 +351630099 +62445511 +1829043314 +314184972 +1474184307 +1181237262 +1407911989 +1951764078 +555494624 +1091966058 +267866106 +1683851296 +937205028 +770395880 +1965603045 +747376519 +1556502930 +1954409280 +680140985 +2044432572 +650815634 +81027730 +1011995218 +1250610432 +1180676738 +1557282160 +1769569884 +1737544739 +633663856 +591040680 +813660990 +985293955 +653486191 +495220656 +1299478927 +2127670498 +1676457919 +559907268 +1931950929 +84468895 +1651873326 +52333387 +1768320191 +441594707 +822729267 +1586439589 +1188971226 +231748549 +1393365221 +1869112211 +128697474 +2044180855 +1950139942 +1140692692 +1147307639 +983333032 +550491204 +769393875 +573394124 +1184155060 +1360434555 +1387055114 +21965367 +2013920746 +1882275770 +1321444295 +1994107597 +1411250041 +1881351563 +1778574878 +1495718936 +1385741242 +1830908265 +1116555480 +1827335949 +506153884 +555511421 +868823527 +737902434 +1948876642 +590452091 +866599908 +1845573849 +393108385 +2007292600 +845397840 +1376441417 +410300157 +1614791715 +1949835541 +1594455217 +827742622 +1189407007 +1616420585 +694179720 +924199130 +790381232 +540803669 +187965523 +524249147 +171894899 +1683684460 +1909990389 +2002803164 +652756292 +1589842690 +361473401 +1208267713 +311182570 +1099375835 +1009660707 +901634661 +1965975743 +707750908 +1294743046 +1825784695 +1553148748 +523700815 +88601204 +1020456815 +326052709 +1683056422 +1848199437 +1515459716 +1151993359 +394895509 +292175198 +1942374591 +935699179 +480140722 +319140090 +1107594078 +16341534 +81646832 +962913595 +669097826 +1671489522 +1324386996 +1877365539 +1982672092 +276279183 +739542598 +736823105 +94771278 +1447293506 +2031566151 +1920555973 +852958606 +407783319 +2009157178 +1873415421 +733836028 +1544729952 +1574131210 +101812096 +549239663 +1969026719 +393987295 +344130606 +757242250 +874128017 +663270696 +1864836329 +890469551 +744917528 +680266276 +1559567377 +268923403 +2004653272 +1289449268 +104111847 +133448807 +2028991866 +840934953 +228220085 +1328801724 +725017456 +1292410 +34276682 +1132800775 +2010449588 +1907692103 +1866636803 +1407695892 +1334339665 +1968448900 +1956935555 +1155882736 +214952547 +153582513 +1913124987 +1089080564 +816853210 +1630477668 +1979550115 +1561770738 +163260296 +1391633844 +1830694141 +20429920 +533599464 +1934805989 +153878727 +415107682 +628257294 +382098812 +1743909406 +1353274750 +383391222 +1778186088 +338591878 +246357163 +1538394543 +57745033 +1654053055 +725250560 +2026193933 +1463504963 +1881133296 +93662832 +1617087476 +1646774635 +1182743396 +286457038 +1129768655 +1014809863 +1848227777 +1293028951 +258960059 +1531438270 +1313458871 +792559523 +1318760611 +1467337598 +1207667205 +1947017905 +1849436410 +804092963 +1152809008 +85343985 +434795403 +1491400886 +331701148 +1973189946 +1549145919 +1985754203 +550956858 +1427856205 +1301775518 +284606507 +1521519037 +771379347 +1931381142 +556778786 +1057836385 +913666150 +1571588649 +758580514 +59211453 +1830548709 +142535137 +1372670325 +475624584 +1461295748 +692524275 +1683291790 +1260830006 +394477038 +339901105 +266155366 +479821023 +774696509 +1757556252 +811522171 +600402807 +1159218523 +649792726 +1151359666 +439591080 +1951568245 +1435966173 +1961110118 +575463944 +1219863667 +370405256 +1633300329 +2133529817 +1941993905 +244397196 +45257623 +1625058966 +386932333 +1417927948 +2100683551 +1848228081 +2110452223 +1636491693 +961574439 +357445613 +1976392798 +1227729805 +837266636 +603605659 +837802409 +1648788807 +1204008467 +1997020933 +151097886 +207884485 +289128365 +2102666131 +1643850658 +102754835 +530646427 +716230677 +473160091 +16463108 +702276847 +267670349 +260860304 +747534470 +1892729315 +647792637 +17978770 +1845929218 +348537071 +2128430993 +1334937263 +1310111510 +338392959 +1163846414 +390357668 +1175659595 +1767452073 +1228160077 +676964755 +823976892 +1077697362 +828062641 +1031861377 +1366825728 +783245124 +528228387 +1469580563 +1313891551 +1244459065 +1942740655 +1330354659 +1946735912 +62927356 +1591214964 +546786734 +1955656671 +91523953 +564765504 +1654102242 +440061024 +545712849 +841555857 +1750172535 +884105808 +2005402271 +2140530203 +2059765404 +1625370697 +1221206632 +589246511 +301863941 +151420347 +1417309152 +1333725319 +1518246075 +53070628 +1861953706 +840342990 +1366962179 +958929123 +635599997 +549833190 +758181387 +698527353 +2141048154 +1304968121 +506700377 +85088460 +1869733625 +13318971 +525149484 +267962827 +854874828 +127838371 +1152068635 +712793452 +120884926 +1064350391 +190680501 +1342091559 +1653596902 +492544442 +1493511906 +923422406 +1826269761 +864274333 +976493034 +1540739820 +1704617323 +195971565 +352185295 +192733673 +745804756 +1110366683 +891261026 +739369262 +267851156 +1397961403 +824457722 +2137584782 +1411280374 +1349607207 +258063961 +118671555 +1477445578 +1410132596 +831465007 +1598330505 +326999340 +1022145508 +792938416 +1980596242 +1514689950 +138966674 +756535001 +1193476064 +1003241007 +1733028035 +586732236 +560374682 +1928999601 +938917531 +753108355 +527320709 +2049284214 +1644369382 +1266689971 +169651723 +894847137 +2091147694 +159752857 +158643864 +1293271253 +417816818 +277315419 +623233183 +1827949414 +1108780426 +74080040 +7465106 +2130925934 +867018456 +1988061349 +1498132236 +1005985130 +597112702 +544124652 +2009226137 +182657089 +1130856888 +422117172 +2111656690 +2069774420 +1175225527 +491493751 +1971574986 +672111261 +1758183723 +2141226709 +1566958399 +1701847769 +153495918 +1725602263 +847635374 +571312736 +2002917682 +1470868557 +251778503 +964214460 +1544948598 +259243609 +947656746 +264483406 +99821310 +298305334 +1270468537 +696934012 +842429987 +1132211026 +879591102 +1973286875 +1554328198 +843764144 +1895577647 +582070078 +1335257896 +1719668986 +1254181339 +945957971 +1713412047 +673656090 +500322092 +1866907966 +251774705 +1347957466 +290737054 +107208739 +671342375 +542515557 +1071423199 +68807325 +801759167 +2019079945 +333290732 +901580477 +169901632 +1603759269 +1598514490 +1012331619 +588486647 +330621944 +838134846 +2142814846 +1174386088 +586228846 +577401276 +362160336 +158414184 +1831582615 +1308118307 +1871826231 +357755058 +1808440399 +1591250549 +609529763 +1008914217 +1881987604 +716738503 +1680256593 +277019513 +1788161702 +1749063918 +1078778680 +1659758000 +2082354650 +1980359158 +1829659632 +1538630271 +1431390000 +694507603 +2127116919 +1762011944 +1532642449 +2122448117 +788914384 +2118871295 +552365745 +1151074721 +129801831 +236464712 +311709380 +2001628063 +594219770 +2120149780 +1445394964 +1203749534 +981580349 +1179898920 +1920488037 +514353294 +1456918434 +1561166091 +115933565 +388213466 +1073440443 +50804567 +221088976 +755616427 +1589434839 +1652478976 +1450124030 +1569068110 +1267007272 +835282832 +1544032579 +2055921657 +806670479 +2096398324 +1059512730 +936472311 +185379388 +1371222110 +790616726 +779599159 +1343888242 +88528042 +1983348693 +177984944 +1268426963 +1756353082 +692338238 +577861749 +1170035525 +808271803 +966075215 +95992321 +859076371 +1187164192 +851608748 +301027562 +692159520 +154249131 +1870095672 +1959166793 +989531963 +1266644603 +1867604802 +1796202442 +1215559279 +779633884 +585191105 +1400938667 +3372346 +1375807831 +33054178 +1347260589 +1464335874 +2016402871 +1525245533 +585279189 +1625272305 +70100123 +1163140938 +647824183 +878371927 +2129216153 +743816504 +1737448298 +1168896697 +1595425252 +2038475860 +1861056218 +1749674383 +1761087884 +1672739363 +591722698 +880248839 +1392860517 +240441493 +2095808118 +25010753 +825632598 +1349263137 +28383099 +53956782 +1382317316 +1375643688 +1518292656 +1251236539 +753405573 +2103571845 +729025197 +823505697 +1119229135 +1376849380 +1701877624 +1100961640 +2120665884 +1291842274 +122374690 +1568607488 +1182834486 +1983430908 +1170798224 +796438722 +1508686623 +1762520922 +1676687561 +754063492 +2002962415 +1625012031 +779074245 +681111366 +826791520 +807457344 +735068148 +61625188 +35617385 +105877156 +1312861728 +789022958 +61965353 +2041886925 +1612528655 +1181194488 +1271252657 +1166922631 +134672480 +1244434893 +311281257 +257047170 +665558733 +1494115743 +92994430 +1836356957 +143070817 +1601681053 +1451394232 +1819758378 +208260897 +1306872999 +1297286761 +987335142 +1987984365 +2124078282 +1794792487 +575568865 +38219822 +1830409872 +681446021 +1351081550 +471949182 +743411374 +1245484827 +2084477838 +1924605862 +369253836 +1103916821 +2059278343 +1613688729 +1415198079 +168841865 +131763815 +761830174 +261836296 +1968120772 +904900992 +1863517349 +1272031356 +577175722 +2071778247 +431420708 +1874462484 +911629741 +271921425 +1851057118 +558938580 +847490291 +1889276940 +241864804 +1528936312 +1092874843 +713813987 +124864039 +190876022 +650808177 +2049469901 +560129859 +1754724998 +1961264596 +26334940 +1022439429 +2130106462 +158098755 +1784269604 +244459110 +2126219528 +541686948 +2107976459 +1250767236 +1118862670 +2032271058 +1682187944 +845841506 +796417152 +1954109370 +549414976 +1355355732 +654116013 +291208269 +1597220537 +35568677 +1384083112 +163550876 +160432716 +1574959134 +814359053 +62418970 +2135088993 +421600403 +2023683566 +13940286 +1444039833 +2006306380 +172039041 +1080825789 +103281842 +150774921 +1622512737 +63774654 +1401542158 +593891759 +2096045712 +936246454 +1439733266 +744979216 +742872176 +1989148242 +2100334949 +1396988189 +132872863 +1550071838 +1432556867 +1516955975 +1713622714 +1592989583 +944431462 +380498119 +1655408553 +932036807 +802098522 +1531608472 +945977093 +98654707 +1390431204 +1118016135 +1179480496 +1493713047 +1268791056 +654509585 +1557487701 +522849566 +1248401345 +1506049765 +1459096021 +540650963 +103545334 +54484549 +382315557 +56396635 +1451472739 +515188421 +1606468473 +736545958 +2032144396 +1172607539 +182051893 +829092210 +1553105658 +1837460447 +1761129018 +207720532 +1221585271 +559622463 +306375240 +464532827 +1677638598 +1485855736 +1958245874 +798946007 +2140365322 +1368249927 +1321795573 +1241283019 +726816045 +633407946 +1781933982 +830361379 +687892496 +16765891 +886758014 +2139365235 +531954312 +345742839 +728427545 +416615061 +1518350378 +910479438 +1245707271 +923972388 +600456237 +859352641 +1131692920 +1822041508 +1418975105 +1438068160 +139090688 +949130055 +776440249 +2097336562 +1748076062 +769321923 +1318102842 +922387988 +2010604942 +2044918887 +1555795934 +1645055276 +727796618 +96204782 +1661821167 +1614554632 +88086369 +46291832 +1960297471 +816513914 +462906893 +1331164201 +1726993353 +1708614164 +107652941 +179965942 +420483158 +1239345861 +2002007451 +1839458263 +529930374 +2141098139 +641104670 +1306370623 +2090951053 +241697085 +2075692546 +1261570247 +1164085073 +1938813840 +1159005486 +572397359 +1436385468 +1886802104 +668602142 +950722987 +1353873088 +756688511 +997014819 +1166686911 +1573202426 +1459921712 +350367464 +1152712131 +1021052229 +458020405 +1332678073 +1441535387 +1697366267 +1187201876 +1133510002 +79812993 +1180816367 +1774614672 +1386183616 +1124283773 +2016311757 +1314392514 +238370372 +1032913182 +1105722706 +1397375859 +1605310542 +394624526 +1136694315 +126429036 +1345347513 +343083756 +883117547 +194878685 +1509770667 +308836325 +1654800397 +1860138132 +1461548456 +528368978 +170674889 +646742882 +1969904365 +1868041156 +1833944758 +955930719 +1947854149 +867277478 +583061744 +1186554117 +1991561251 +451889853 +353462983 +82447975 +1484803036 +1459185689 +1479823834 +942629930 +1853810215 +469034502 +1069058966 +1051674081 +812118258 +1952176513 +1246552766 +174405277 +113529191 +753869515 +2034543409 +1575077647 +1282238494 +57734651 +74336881 +1104659211 +1925775807 +1908281640 +2060589931 +1726146309 +628075470 +496168027 +765216778 +472153073 +948057880 +1118679762 +554601048 +285377268 +430381803 +2034424883 +1228007198 +136708371 +355975737 +149582516 +1188382452 +1168093995 +2101759030 +287451570 +1342499272 +67804573 +1041321085 +1229559034 +1642882220 +176075931 +1287293685 +1717219102 +1280735143 +1065585844 +1478017094 +1193841426 +644248505 +2106092564 +1690009453 +1409465284 +430761989 +490583685 +380661398 +985363037 +775960954 +811043201 +872304272 +2003968152 +947751572 +1228280009 +6067021 +2136134024 +248890356 +2107826051 +276101946 +1591389629 +28146976 +1317423032 +673465015 +1671029196 +1493498963 +1960758700 +1240764650 +626750458 +878860896 +571298096 +1820591884 +1523109402 +529907012 +1363117689 +785091038 +960669001 +1853701375 +1165752436 +1946032039 +482178681 +1976795637 +670852663 +338663185 +777063562 +1899132673 +344730206 +765713938 +539381 +305072609 +1041815885 +1591929010 +333219585 +211755269 +117910377 +2004248782 +1705254232 +2078669077 +1097529784 +184521043 +810046326 +1668827881 +2005112927 +185672080 +51251245 +1220746969 +970763118 +1011920247 +926964696 +2136515554 +810468638 +1409143377 +1965827543 +1481321301 +1747806562 +595407457 +1232970326 +2092536769 +1361121396 +1233509708 +250125730 +255453633 +677955070 +583345316 +467208902 +795865448 +440110450 +24979486 +727050877 +1537640234 +209500529 +1537097203 +1058984467 +67129809 +1722769283 +1110235713 +1287876778 +546048753 +2122155960 +67357826 +535080659 +785140950 +1476501203 +353424555 +118978603 +1076824117 +948832012 +1351948930 +1021877238 +162469760 +437974990 +1272002969 +417923393 +1115930060 +1855348285 +885132295 +1911795508 +147975087 +910111782 +491362738 +1685615321 +1119612311 +2028459941 +597116141 +1186742120 +1603745577 +1707351854 +327135250 +2310682 +1682024166 +394493076 +537391342 +319681468 +1870994279 +890815897 +438660071 +800334749 +1839647909 +1790609001 +1822211987 +2002117670 +81100343 +946731308 +272557415 +1197030404 +654595945 +1157689711 +961342264 +802571032 +2067801493 +1452705002 +340702706 +1039930156 +1333681296 +937818847 +79188629 +789943225 +497687053 +406323879 +792253907 +32227571 +800816956 +1329645249 +351909039 +524327587 +72977498 +790569110 +1324662336 +1912625408 +433694464 +999390676 +1767259430 +514794807 +1946121984 +2039816845 +1711825211 +453234282 +1050022908 +525683828 +1255805314 +970340753 +1978388830 +1596508020 +2010270910 +1164586478 +386843219 +2089459539 +1954529703 +884530272 +348299770 +599299963 +916757843 +1149116726 +1928945212 +1268666882 +1673444314 +2001922711 +2059235993 +850623002 +1767064471 +345446809 +1850013678 +1386840253 +860241616 +1648652015 +1279173450 +424583180 +2101886297 +181712711 +950267008 +1210207963 +1152053464 +781172190 +659232336 +1014840726 +1945758669 +1046075555 +956816617 +1752804724 +1930605828 +1305116388 +204621039 +699880023 +306749466 +2133566252 +1968546906 +1980193780 +1988005315 +1880299251 +683333135 +1607586138 +78262412 +385863165 +846942743 +938504028 +2034515180 +2126116193 +1363087208 +1988917829 +160345256 +165870568 +1051642145 +1312398721 +947042759 +1710874481 +179755799 +745317780 +609466388 +1136572417 +350638856 +392588568 +294205157 +555259896 +1092468592 +600954623 +541342500 +913531850 +433664756 +381864167 +646347453 +1116997891 +1989450305 +724609865 +1502861056 +688909400 +1663113893 +1389892589 +667541945 +878717454 +1231326770 +827887202 +1044588022 +135485267 +2140285923 +1991630781 +1846359748 +172558074 +589464913 +308342489 +1309130491 +940103770 +700931057 +1603335648 +1495363666 +1793399649 +56806624 +2036706166 +559447851 +490471380 +271086685 +1205795304 +1607469271 +113053342 +1930405169 +962846679 +801962742 +1446035415 +205255620 +1469504687 +177269221 +1436582391 +149908241 +1221857243 +1572067658 +142710516 +1066004377 +1270943759 +315268591 +1655469290 +1579286248 +1624399082 +448089412 +132733657 +1080251083 +1943453078 +1926133307 +1137057707 +1832675596 +338097510 +1627529087 +2103762281 +1543892815 +1087514710 +69331975 +1326814336 +2050361389 +871294717 +625366103 +108133362 +193315757 +802635324 +1544715753 +343223998 +2024492568 +969299763 +485934515 +943013297 +92759874 +801203106 +450998939 +1672046122 +278118540 +899088352 +1804779780 +1358369623 +695057782 +1583429439 +347943682 +380249731 +1921526949 +1975472769 +336528364 +1317936116 +915503831 +405860340 +497266805 +818381573 +1277155057 +1122632908 +926514935 +1470470814 +1925268233 +323747040 +1813694813 +1802277153 +1293046803 +152145680 +597806802 +1385806678 +953348786 +1048805741 +910369152 +1231467326 +1947894093 +567665284 +442353302 +495468228 +3611075 +790296984 +875717959 +1925138025 +618286106 +1212246323 +1095590493 +1533789937 +1618106663 +1592857298 +204687862 +747778073 +568006559 +1131202797 +70765239 +345791144 +1454949837 +1884460052 +584649 +600512993 +2036605732 +598391451 +1986319671 +842470870 +1647197192 +749205175 +2073938197 +1447607638 +1316870460 +368807851 +1943075866 +1320481535 +1159104835 +671310177 +1098135912 +1777390941 +1883556500 +46242758 +1163697231 +1354179516 +1639100056 +1368385093 +2101957589 +59622967 +352104243 +25239180 +405414111 +1807054080 +1909699233 +405998760 +260083425 +1798821317 +1004390211 +98919448 +493808540 +504103756 +848124624 +420263089 +1951711394 +17511436 +789070940 +1747303612 +1337992971 +1948175775 +271130141 +288645236 +1578083069 +7202993 +334887994 +594296652 +1361382509 +1973988050 +1962681745 +1315856450 +2033611018 +167302340 +1341095631 +291541481 +1974356421 +1103311216 +697540242 +86956198 +754648885 +1701930453 +185875647 +1248457425 +58550561 +1034000271 +1668720514 +2010261955 +1051511707 +310307806 +1610081919 +242021030 +110999934 +1881212060 +530666266 +1689083003 +1888415054 +865554260 +135896007 +1102313915 +692058663 +2098577752 +270686718 +578186033 +118396445 +1611782349 +869727514 +2092752866 +567609917 +1567267756 +32225416 +1322258802 +1121714562 +218101063 +423232580 +1180265123 +1252101334 +2091953094 +1043043431 +156129393 +254777253 +505641702 +398150424 +365777187 +239370115 +928816690 +2054860190 +2127785169 +1794370951 +43272549 +1082615436 +338945966 +2141850301 +1353302154 +917131999 +112763098 +817600855 +1786859513 +58032316 +1385210772 +1206643622 +90257733 +559985927 +180874536 +308358796 +983218507 +1361139659 +1560460131 +927687953 +256699442 +1716589524 +1182465206 +762341145 +2114739948 +1548242393 +1001711260 +896072991 +1455618935 +982012781 +542960294 +1498891484 +2064628217 +881906260 +1493258138 +1270446724 +1799038259 +1606021236 +2088047579 +1438414124 +1664053553 +1325774704 +497574098 +1754311286 +1885760631 +678448634 +2062670082 +721495490 +2039588294 +1475646565 +1649183443 +148804088 +1044752442 +684165002 +911145233 +1012008742 +84923747 +1912856493 +1908081733 +1540542683 +747385626 +303558379 +891950519 +664530196 +1185464639 +237725009 +1934976920 +837019250 +1843746246 +1875540851 +127949727 +1360316151 +1053831907 +625523825 +967143789 +792108890 +1303972460 +882330223 +1513604380 +1196077106 +210493141 +1015304176 +1344881194 +1255245583 +1699469178 +108542780 +119770677 +1784392925 +2021399273 +2027852411 +1177451960 +621301252 +183927142 +2069402480 +1285831448 +1369391782 +159643841 +1073324720 +58927384 +2003390087 +801381923 +186877111 +1216222590 +1855213831 +812400937 +35882731 +499839073 +2116373397 +918212955 +2013443454 +1164966855 +1128706096 +881263982 +362364401 +236468031 +433249512 +470907181 +356238708 +70158789 +344822807 +236607471 +1247610750 +966124059 +420534614 +1169529582 +104471859 +1789926396 +1329173423 +1177796579 +1848853780 +1185079863 +1979178502 +2035730892 +253818805 +1686908685 +700648181 +289701537 +39264111 +669537930 +1207914492 +2052707565 +1834504785 +189136940 +786487899 +49385538 +425604971 +1219737411 +520292720 +781843679 +1289896200 +865115527 +1018451151 +390023302 +1831239586 +1438985765 +1559552884 +1935711445 +1081428513 +741242660 +966024376 +782798645 +1926322523 +797719230 +671045889 +32657680 +337144268 +1371694070 +322359217 +376408379 +2041232000 +1530273709 +281632296 +1728253137 +1719410649 +1068120195 +1777638676 +2145015620 +140373958 +150447748 +779375652 +1430270158 +1015563275 +1797826803 +1820293461 +699319213 +1089328920 +1232362697 +487547010 +23273785 +1973605357 +1453571386 +806072430 +1752444232 +103806968 +1477118320 +1785101913 +440951236 +701328742 +2107461130 +817359615 +595077095 +1490251192 +1098991911 +175846584 +1062178193 +19628458 +1953485260 +1059710166 +160002416 +2103933008 +1839085818 +1590272575 +972012635 +1489428973 +1263082388 +1671331848 +431274245 +347961437 +11395210 +454548030 +174083147 +1464966596 +1260620460 +1926527379 +1568773565 +590255132 +1564145644 +2009724801 +1291583875 +1524123127 +679600769 +1886660970 +866890671 +1778592680 +2062507554 +1929068864 +1798221139 +1868509167 +841295382 +1958223555 +1824958527 +532897552 +1401012482 +649487515 +2022326525 +516611222 +173335715 +306117122 +864572660 +184730926 +760665152 +1038655807 +1649697522 +2021285613 +817699538 +1070987439 +464057097 +234361535 +933228593 +1755640972 +1758484662 +1612829362 +1494818294 +477891685 +1243938394 +1409842201 +259476901 +894675885 +1130867720 +1100772284 +705415793 +808342599 +1633669836 +2106428275 +1457830114 +1508512714 +475555850 +1631165830 +1814629836 +1340128510 +1815896756 +427811341 +231300669 +1318110630 +301613306 +1049000207 +241614422 +765670403 +1283361742 +1174843015 +373827728 +894362756 +640188729 +1868646022 +1372254441 +1884127123 +1131004575 +1631731343 +631319361 +114388647 +585019979 +1336735154 +922731247 +71206167 +1295679781 +233077713 +1579718881 +1771235631 +1864243543 +1246865070 +963880493 +1532656651 +1674676411 +1195181162 +703283634 +1976289717 +96697722 +944898056 +594476472 +1380059464 +2119741071 +968304200 +126938573 +612446152 +689466575 +1499193014 +349089627 +1820471150 +983440709 +980408988 +1934859798 +1568460688 +169660494 +710107397 +1639666856 +1465340276 +943185110 +1071902089 +1089092259 +659945006 +171283511 +2052972753 +45118009 +1845959922 +1100670267 +748401643 +1674765991 +1197367989 +1693299699 +121758816 +429943806 +1665557122 +1090063016 +556882379 +130519626 +1779529591 +2056075393 +479609254 +1452517094 +892032455 +1460018242 +1239893244 +313009495 +1629678737 +1950000641 +1952676351 +947535365 +745702103 +877094793 +2036627624 +1405647109 +1048378304 +1942116729 +1450765119 +746854579 +895303349 +51683114 +274136922 +2092671338 +1744982814 +395895738 +375131496 +1263056288 +1485958755 +932013875 +1393575915 +1118004698 +840605621 +1873185169 +423038144 +1732638076 +1185719763 +1662931388 +2045647571 +667914852 +1465448381 +1850840275 +1615450217 +63666837 +580451420 +1504594194 +1469313946 +1628829724 +1299227275 +772595417 +228200655 +47046976 +824278532 +502337578 +2139718315 +421777698 +898233316 +367366163 +1684833986 +236708423 +1299380039 +930926253 +1354713122 +2139985660 +656627774 +1777751266 +1725140088 +1842347538 +1293199007 +1623304011 +362778742 +611163740 +1326660638 +1978228960 +674830577 +1907112058 +1335339506 +2144144524 +1388458135 +487083133 +769256293 +1616658790 +534130110 +1593534825 +2118996368 +526364777 +2015312523 +869746037 +893730940 +1552662862 +1106454460 +45627331 +336105467 +313683934 +38129343 +992733242 +2091435201 +1763269431 +687597132 +1237150560 +1239089795 +1050375874 +1848314300 +418266785 +881121186 +375661230 +177895196 +68977044 +372322106 +1566353331 +556060178 +1141578399 +1035528473 +1090190288 +587629577 +1007041194 +1616555065 +455458452 +1876787231 +362802357 +2008121314 +835758043 +408429689 +196743134 +1149441978 +446559032 +1189476376 +1093393531 +62344816 +1877073508 +183060443 +1301434611 +779965734 +2031374743 +1719701396 +1661086921 +259552325 +1897596592 +1730063965 +631874431 +1316466275 +138640495 +1773452831 +204511101 +1228830783 +213598760 +1211552295 +697902200 +669057212 +940855878 +1060704558 +529694879 +1776613921 +1469134247 +726438013 +778572251 +1915693279 +1915914389 +1871965782 +1978038095 +1645504249 +2055026225 +1131989058 +277986335 +1938917321 +704206807 +1939073256 +50985998 +454319751 +1521653574 +682860430 +1770786027 +1660294069 +308829613 +1975297128 +741641205 +522428373 +1039365775 +1439543405 +1191485585 +1980221653 +352764315 +1721180464 +1609351926 +1821898562 +300134829 +240440530 +1590108194 +68565570 +2112406312 +1420662641 +1714069819 +2019948890 +405168052 +1992056155 +1811382563 +1109374859 +1783645763 +1862368561 +1563694610 +1157815689 +397745343 +1186996989 +670626111 +706574956 +1014810469 +1412267316 +1229003329 +2054176244 +704327073 +273005267 +1886914249 +1057091389 +1994185731 +1348782528 +731506303 +146836913 +1589223058 +174130849 +215402483 +1554145722 +1594793491 +1929472303 +1426610964 +1999961543 +1774044810 +1090509879 +961852754 +1410206925 +805394793 +378063716 +420538967 +1203140136 +1565060706 +1091165078 +1909715093 +432387527 +355948746 +991234774 +339080124 +1060275819 +1264240041 +78510725 +2117367208 +1110942125 +1427293253 +701389864 +1257779038 +869032663 +875520713 +1473181521 +275694738 +322830556 +1255170176 +1702305702 +175308451 +881731338 +645331934 +1137161205 +144454616 +1450726727 +1515224922 +564993583 +506383215 +932801980 +1656158661 +268614660 +1365189507 +2012107407 +1259849435 +1704269631 +924899578 +376605828 +1782780357 +894783139 +1487547953 +1062589962 +1596173003 +597843343 +1931622626 +324210068 +2071024865 +59833716 +647040625 +1178711393 +1762139418 +822349076 +2060442732 +259987704 +1959510282 +57413700 +1710714431 +1327251556 +622407283 +69613999 +112569888 +131082296 +338228659 +1477759395 +2143189703 +1598078094 +1034545379 +920605633 +1974683923 +669842088 +1815388772 +1314748228 +1732432050 +1264078127 +1912591572 +1516571028 +1588288196 +1836132789 +1576404744 +87845173 +867360534 +1191060515 +910194249 +780319618 +1451048219 +722220883 +837733318 +1014279003 +2049472439 +1460140601 +1083893002 +14558679 +1591222897 +1422121661 +1492318075 +1586928952 +872716108 +379379806 +360050938 +699916383 +1049221894 +27956062 +2014664611 +634170296 +1292034190 +1779772535 +3257677 +732838738 +1468421676 +1579662421 +820683911 +188298563 +623239288 +1730878160 +968618181 +2074287508 +305615396 +1806351500 +941082863 +207604187 +1119008453 +2024975865 +222162867 +562747703 +1299613878 +1714480942 +2193007 +24846338 +2093860748 +362243945 +724762721 +995598994 +390200008 +591943685 +1629769290 +1682234198 +224232572 +1633026967 +267589288 +1692654249 +1065205741 +1088273199 +1880952812 +1688445029 +671667711 +702087345 +1615248889 +977283107 +360955197 +408848104 +1184887295 +1479963651 +286340321 +1407050162 +2042711354 +1585954200 +974047456 +2044904361 +1610800538 +920424556 +259664659 +188079612 +1916023550 +649864667 +780023297 +1398309192 +184615217 +1004255869 +883852512 +452204505 +549426470 +1949058253 +1540477704 +282895634 +1490019634 +64661767 +984982980 +957784876 +1041944875 +1345938177 +1366632980 +79348522 +678418180 +1652973302 +1486398684 +573645886 +1091443854 +312962492 +471066600 +554760744 +1233387048 +730731259 +742840356 +1001926950 +1380595926 +1522863653 +252752494 +1565211143 +379635875 +1136605006 +2017415648 +929062345 +938179611 +1410409704 +1211957980 +280715598 +1475071471 +49457312 +1238500474 +369532698 +1395395489 +457649806 +448881220 +2073813670 +2110623108 +1935279904 +499975908 +1054583314 +100758748 +971042508 +1609344059 +1334145796 +1701773767 +204700767 +188589098 +934886045 +1727564421 +441341593 +352613540 +2107200296 +1577946599 +222545540 +888778993 +368642563 +1632955244 +2100736973 +649358161 +960543068 +2710637 +1887858635 +1330075766 +1398106127 +198024793 +1778956987 +1324436149 +161164254 +1566753243 +1824412057 +1215747568 +1667511992 +647970918 +677607979 +854174140 +202261037 +882308747 +1042763239 +1137147083 +462389520 +1484104832 +1489760623 +422106168 +914567783 +1712306164 +1310885161 +1283210346 +1197777760 +1264138487 +1932568507 +10837180 +1266849124 +1672943494 +1340912947 +517471603 +1870968288 +972386286 +1841907752 +2032132542 +391655881 +1518836162 +1100396462 +2059167873 +19323432 +1778004442 +765858366 +221584469 +512829541 +1808621605 +1358731552 +975219061 +1145242789 +701008528 +1397325229 +2059810572 +265831044 +560726742 +1195537271 +1463608804 +1824865229 +980622130 +1474445985 +944230706 +506081977 +667875284 +1461702309 +229566617 +1640261570 +1156126414 +114215511 +2031917451 +527478928 +1214611973 +1943601677 +546802360 +845132767 +561976395 +768386829 +1357962308 +223114352 +2127118382 +185697721 +1368357141 +680643262 +1583022950 +1280684065 +946474306 +2143749693 +328737688 +262599462 +1821131274 +1309359819 +1737045447 +617878332 +1815441796 +257437083 +2079580642 +2045008413 +1897698653 +1088223408 +11740276 +1782132457 +1615702336 +1226352249 +1578250486 +15021048 +2071485017 +2140226881 +783407877 +1281963677 +215857585 +763042611 +1467661399 +1584214726 +1443685873 +903200701 +717415143 +242676531 +899466746 +1046152832 +505275994 +573114373 +208029003 +94837793 +1190992705 +2023470799 +352274877 +1123089699 +1920995564 +102489882 +63829459 +1932735840 +1884622339 +1679531795 +1011604441 +1315389177 +1694552843 +935605810 +1308132410 +330477073 +70085840 +1523989995 +1093519684 +1537747239 +960721073 +389721910 +293464292 +1678136217 +632398441 +1192931039 +576805401 +1137674435 +1766045412 +784834404 +1232512229 +809554469 +660821555 +1584787106 +1932644169 +434333471 +1687276988 +1996473628 +219585663 +1424415680 +1528521776 +1231190104 +592321209 +1075590971 +19312267 +1900453620 +1406068044 +89398107 +1276959967 +352104081 +1627145346 +90197393 +741825991 +1920609638 +1768333610 +1374224432 +966057029 +197655363 +364415220 +584618793 +982489767 +1596927449 +1394173263 +1643311322 +1034230907 +1179333784 +2077644793 +574024247 +1028323764 +149746808 +1998439927 +409361892 +1380936912 +443277489 +1484952864 +1400249179 +196247461 +743537260 +1489647286 +1473207428 +1095641341 +969308984 +1563404821 +1837467332 +742434975 +1184254783 +1064208117 +1708492004 +1381910146 +1428623337 +145627150 +216916265 +878067138 +1539800413 +1860227587 +1912298045 +571650549 +1790388732 +338838644 +1599974313 +1940135540 +189794924 +2009336206 +1173588805 +633072413 +1346805422 +426354336 +829319874 +2090342682 +1916001623 +155043654 +1038500376 +737826959 +1718448476 +728484060 +1480261934 +755219611 +1792692177 +1041270291 +2137129758 +1073831866 +1186897441 +206562375 +1951899004 +579214206 +2066789963 +1716713401 +1150864755 +1709695047 +2055552046 +603355420 +1502346940 +97863322 +465207978 +528452097 +730935735 +1812013400 +954806433 +1560255609 +1754872435 +723324408 +1715299263 +645889163 +1461151368 +1286264091 +1374373223 +793929654 +2041483703 +1019581753 +1835199945 +2031129813 +2093413619 +874613738 +90208540 +1897828976 +1453827944 +9514855 +1467058729 +457209051 +1719209903 +1375127127 +1060564472 +1074073195 +1472990449 +1525772450 +1602525292 +56442536 +1190302203 +409848077 +1616698145 +797690990 +1133172486 +1184513761 +1443580153 +446840206 +323294204 +670469728 +1240769860 +217294259 +1690051481 +928486158 +100940424 +1635981453 +1803099896 +191148965 +1386326781 +1109444193 +200663820 +705901862 +1566653244 +1919873723 +2081028990 +479734068 +846463270 +1406535791 +2005506519 +301504914 +1462978328 +1048325074 +711352992 +932192825 +1846016064 +1844525478 +2116706586 +1142112569 +143882036 +292517143 +1812582297 +1384651896 +509811402 +1355150131 +165654406 +610751827 +843647936 +1968754303 +801900792 +82491069 +930714848 +1002564612 +788392931 +349884444 +774954688 +721938273 +829618513 +1621417958 +2128474065 +687641384 +1922922873 +1443968745 +1735966458 +486792217 +228677922 +1434498874 +183834047 +197900861 +429127795 +327716083 +490418004 +94226444 +1712367979 +1000229406 +1449376575 +1878022386 +1610981233 +145540863 +1699293041 +265398377 +228031932 +482524241 +1267962990 +1016424864 +832408685 +2042917678 +1738363137 +1662027198 +1516851988 +1719353554 +202184934 +1292291213 +1015838651 +1938151392 +1779083430 +1244516574 +1225166618 +1962917477 +1442417435 +1654294413 +143149912 +1932835439 +1748520858 +1855517892 +785581197 +1050413785 +1586056630 +249078783 +1195954649 +1137866023 +514477160 +1423986581 +1620390264 +1782440150 +292927797 +305315301 +1677874180 +2031290935 +1967342500 +1047242521 +1603160841 +22043786 +192050086 +471515845 +1960195179 +1971133517 +1716032419 +1037878149 +1786567346 +1010966206 +544688915 +1929717259 +796317997 +145726125 +1637751503 +1581899194 +1196139910 +1076324485 +1830977977 +244610911 +66706860 +197971490 +1668597493 +1687097124 +1980411640 +1961525290 +1992412425 +1510802173 +1845332577 +1812271277 +410561046 +1301009771 +1834315064 +602611132 +1772525616 +1647026595 +426261001 +1341074387 +537421096 +65344700 +204556945 +1082110011 +1995061959 +1000874942 +1227836136 +1485329814 +435290488 +276492399 +414170651 +118784818 +521103310 +480877511 +316756308 +42217155 +20490987 +149684300 +2003742446 +2012903412 +1660486473 +1701591375 +1677691042 +2071047519 +855117498 +1364522458 +526175004 +480159466 +864065405 +952436005 +1821233853 +1401486501 +1017780705 +2025790798 +336112865 +865359016 +879182092 +1563949001 +203205182 +1314472581 +1840441400 +617375833 +1433257399 +214061063 +1098253344 +1750013707 +256278218 +1118744331 +1899698007 +112537016 +984164096 +1412700833 +1814128392 +514371490 +1336264704 +521762242 +1878893948 +1862439708 +1001921709 +595475705 +667392066 +675671914 +1996962206 +1685172771 +553979065 +185591423 +403048140 +1433161157 +1749540425 +606253322 +600150090 +1442498177 +1223629156 +2033407489 +1656559240 +174398852 +1635937548 +1912837459 +1293143184 +1388151908 +2025374475 +129823632 +653369093 +1692019219 +644195122 +1989633797 +66297814 +375605422 +1704589858 +1068219523 +971081127 +224498276 +1743891437 +820559685 +1909671047 +150386854 +1006151109 +165235539 +1583548012 +608207886 +771488862 +36214454 +2050706063 +1995118018 +2069621944 +1559781656 +22033222 +1558075844 +1325135467 +1315176406 +798744104 +1203026294 +1445000038 +1452113197 +747561866 +2089195160 +1294263347 +813859680 +317316934 +851369557 +1882079203 +1288398061 +1075867833 +1478486992 +2108957747 +838055232 +1628873847 +967625208 +1003290772 +1064938211 +1575833094 +1774779634 +1101152665 +1479055509 +1622414004 +1023290961 +891353517 +1644447226 +433883158 +69005336 +812139985 +1232627262 +1272031631 +109656375 +537256812 +2019593497 +51367888 +1831520159 +685969529 +368684822 +535406068 +420565084 +1657082884 +1611273901 +1899052076 +1618556983 +301845485 +1380442275 +438698543 +1305136257 +297896838 +2014531637 +932432243 +1399049504 +1346103498 +407362599 +274856817 +89973368 +2051809826 +708739975 +158978704 +716466163 +1941367238 +1431010335 +826122538 +331140402 +1303120184 +877490426 +15176913 +1989089713 +1246175249 +550582981 +262171149 +755774485 +14373234 +13739578 +226847820 +316218719 +1394181853 +665546363 +1621354977 +1692078692 +532594352 +406303572 +943644548 +1878697850 +813666172 +1218501365 +1968671218 +717992350 +1927241341 +2127649923 +1434458513 +1721124931 +1411176610 +113097403 +2052265333 +566813147 +990587830 +2067442246 +408419212 +89279431 +470541579 +670590362 +845053916 +484914813 +684329940 +1071901736 +801133532 +2078511793 +1737448099 +275004861 +1623106837 +122558803 +681308434 +419267737 +2001256653 +1494974606 +1637769103 +1822444224 +65483308 +1417526796 +1802610499 +1499941821 +991168079 +1066303461 +1613039224 +895949764 +1633116608 +456143406 +815908362 +2041535821 +545422837 +1286449941 +564642535 +1390476753 +1771364754 +1248972475 +314894841 +425014638 +1180000620 +2052342940 +700019500 +655623810 +27418095 +1381327934 +1074891547 +2028674749 +728818892 +565177002 +1703635325 +794302200 +1982703798 +1358762176 +146760373 +826388229 +277581989 +1759799597 +1722337993 +1910698598 +68459356 +390762707 +1804750771 +613882193 +1677212648 +221909658 +2004358947 +1301093754 +1470882133 +171770140 +1726108393 +503399105 +76629433 +278644245 +1159022915 +104047528 +1659972179 +86430815 +2132722277 +241307423 +651607817 +1688873954 +1035609623 +486827968 +900152482 +1182369996 +1313216197 +1177734472 +794685945 +888070543 +940949422 +863145301 +1278833250 +598216545 +1477027495 +808562251 +820126203 +1333902794 +2109656005 +143524688 +1505672934 +1688280750 +646923793 +1582302367 +1966924995 +1805946709 +1686349896 +1479413526 +1892377524 +1671588525 +1720720949 +396501693 +1212978832 +608846924 +883329661 +2113131314 +1791216920 +49062211 +1143382138 +438419218 +937132754 +2084331560 +1301564519 +68482356 +535064457 +631108366 +877044607 +1355190660 +1965011160 +839216965 +1498715348 +1323200447 +380014067 +2145639142 +758019166 +199455415 +1804102203 +296885414 +1678868941 +1548996079 +1968473940 +1252106243 +1945497772 +1033969124 +1860953167 +681343786 +999616790 +1504686440 +730405997 +2142998929 +1943105658 +1667538751 +2079846841 +1097186529 +1736021107 +467427651 +1728294896 +465582067 +1822618311 +1545822408 +1304799032 +1173850012 +721539207 +1684813099 +1172005506 +1479558374 +1884268514 +828624061 +1776443788 +1415653808 +230136492 +1597434080 +520276403 +28150616 +483919556 +233745922 +709494402 +1483536347 +1738432362 +1439900399 +1479051628 +1534054372 +959955502 +1411414821 +483757254 +548492962 +1878842472 +64568502 +1014075029 +1553977136 +1610390910 +171390413 +580343500 +184446470 +1856203512 +1752349006 +1664004844 +1592988379 +433489419 +1292964984 +861158539 +663625911 +742915417 +1381434942 +691776527 +1226834973 +1615180864 +1401270930 +562887672 +1206129579 +693687681 +2041939300 +592700303 +1653643184 +1305870474 +1076457557 +54652498 +1037229298 +1141026059 +1068727527 +443722786 +603933322 +1240117940 +1024066286 +788379792 +948837804 +628931644 +304900988 +394342535 +1062421063 +1597865972 +1255501074 +1726046974 +193297741 +489452368 +270339854 +1420132715 +2104633233 +1671610784 +1983020387 +1163279164 +217814817 +1877476040 +1755979467 +1871458001 +1035862866 +684953377 +1926110499 +2073092164 +1825979436 +847354378 +369331303 +282429110 +2087472318 +1393397589 +1070808902 +888826475 +2022329234 +1375709890 +1283169010 +937266649 +826092215 +391186437 +515829976 +1019389956 +880638805 +786169830 +292039023 +837788390 +310296966 +127575763 +2001067554 +528111783 +2005051803 +1609563374 +252086137 +893431021 +147033103 +30712988 +819039537 +1973012539 +878067367 +1188370840 +107958002 +818056037 +434284782 +1178766904 +1706882512 +309130368 +406993147 +842567875 +1246397017 +1233085362 +1233754312 +1762226993 +104991670 +2114393117 +400913175 +397030694 +804697860 +711210141 +524606457 +658281766 +1239321925 +382174612 +120361492 +1491408062 +1275605633 +267394595 +1522121050 +2094645170 +92923487 +252704769 +1135532363 +200881489 +1070760807 +1569817145 +1379648393 +630159671 +1878947513 +1786641540 +1472727546 +977860882 +872243254 +558998210 +592604228 +977234925 +525907680 +993517403 +1374265619 +1330605540 +1704727545 +1898872076 +1988887306 +796565822 +133563040 +2109248799 +140490236 +1409168673 +229159746 +1662611286 +1356330195 +322083233 +1915316056 +344378910 +522964722 +838593215 +1914196055 +1902613116 +1468752886 +1645659920 +1541771008 +793996785 +476037155 +266530615 +1352994995 +1068641383 +1243765540 +1878902675 +2062158786 +470547511 +1062024567 +1619402683 +221935939 +903428226 +268484857 +355498979 +865193377 +408975093 +1764667652 +1094353123 +2071586380 +973514199 +1416436357 +1839418788 +1317893110 +1939401079 +530528355 +1084605517 +1694530547 +1999281241 +582781790 +1088817908 +645794378 +1058818945 +1355348523 +1998789374 +2127460328 +451630415 +1730208401 +2042135466 +922177926 +644749321 +1514054502 +1144113865 +1548177547 +1782539359 +1499612844 +265887276 +44030805 +1116796848 +1360240399 +2115617185 +2090311047 +629193108 +1807552325 +1260720509 +421110540 +190597032 +197842379 +2115641087 +42394625 +780624169 +1056975347 +688189004 +1839443114 +264840222 +539494730 +1819419794 +716470637 +122219483 +1714071612 +1638648563 +766968804 +1080642466 +635278780 +167662703 +715698178 +2134891624 +433549979 +759728983 +1104204824 +1793790379 +727862520 +1047032224 +275499839 +387931197 +160269085 +696610379 +578528229 +358111464 +664767819 +620922854 +1138735633 +1721743166 +1309111858 +830695099 +1986583389 +1848606588 +502631245 +555570378 +1970826072 +69219210 +46735294 +590311228 +1149861676 +682014074 +757973932 +1865559854 +669422051 +1191523911 +477805189 +1773626875 +837830642 +1205667709 +673175451 +1113330482 +1593598906 +833444537 +1809940861 +24643487 +1191556001 +327225032 +645566342 +182807987 +2048968199 +1954678200 +1013503086 +1888067940 +1655801141 +1516134332 +296154670 +1479143565 +1585353542 +342889964 +2069454793 +587731570 +1024904039 +679945077 +305807777 +1694326090 +1871468989 +783612966 +1320469317 +561815983 +1989280676 +1993644769 +1675146465 +1435395934 +679605658 +1337603679 +1460039422 +1871161659 +1664828711 +2105605764 +2053969646 +1566313262 +1912800316 +919989085 +1306897554 +1421117809 +288639769 +1603052225 +752777726 +1873993311 +1945942189 +674748872 +314241233 +823362580 +1354693949 +620049010 +370205022 +1078679290 +1403661977 +1690674340 +1640495274 +1245459005 +1536835461 +1168158091 +533371291 +68957471 +358278122 +1993410713 +1940119130 +2023106834 +1951532829 +1846605129 +1441936448 +1716849498 +619110566 +601350355 +990483659 +907750335 +56918932 +1743261386 +634259998 +2002861121 +270526610 +948501231 +678740054 +1625220559 +1568550242 +1048945076 +556416202 +824728571 +592135768 +49427828 +2070187576 +2128971229 +1217585919 +456075219 +50445052 +1575864042 +302002285 +1990564183 +1451487228 +106051466 +1689685664 +745940028 +1822900964 +161312582 +1347290383 +665900976 +1069062917 +1404209315 +261678714 +1703322915 +1259586789 +532205324 +504340498 +1938326843 +9942235 +2072890740 +839788271 +566358437 +750135663 +1431924040 +615786265 +672839591 +1413411621 +1833372185 +1128914811 +1463856674 +1261752579 +1430917096 +1306937209 +565756159 +1536968562 +849139225 +1311696187 +1212385879 +1010451807 +511502923 +1878286855 +2079514724 +1915712238 +2139965569 +1635353991 +1027815379 +524687245 +2139694489 +818658574 +534629480 +2065101582 +1658446846 +1100987918 +667753597 +942887238 +1716774183 +1340593189 +208815211 +1402662720 +322024352 +1672671885 +516931651 +1752941448 +832125446 +1082687810 +1142426362 +1681264671 +246900350 +207328593 +544232830 +758403273 +2085615448 +476263906 +526631863 +2078097369 +2111617897 +1554447243 +455300966 +2103828739 +225622169 +989930447 +2021446673 +1884069015 +2090918365 +541716622 +679472605 +1660208900 +1882309811 +888287817 +915387973 +56850515 +413476054 +1432319624 +1809791963 +1245601501 +367523787 +804734678 +779382524 +614424137 +1012063271 +1323615355 +1372827410 +950195072 +1799879261 +1899459273 +880808793 +1764013511 +1306422868 +1336109760 +1720358602 +1532045038 +178556559 +1594321627 +1268630405 +121991276 +2136038249 +1948103011 +1782200176 +1870864413 +688907180 +550104501 +1927714928 +1102383234 +1982424126 +1590023244 +200501087 +202464265 +247274274 +979883612 +816888402 +1259337545 +156015319 +42232164 +62048969 +1955894580 +1941691437 +942857763 +1572424443 +1100630658 +131483875 +1145299397 +485192048 +310040434 +592137376 +1753822453 +432031710 +580691978 +1554441816 +66748238 +304072743 +95865348 +616852740 +84304023 +1198248583 +451793218 +1674327267 +1398749670 +654257483 +1921601541 +231149634 +1471145885 +1033455439 +387164953 +1513378049 +1095504408 +195575886 +1307585838 +2038362171 +1768000329 +260732848 +22362398 +765816079 +745924896 +332402832 +1357953455 +352263702 +764434542 +1938645433 +1906705518 +831182781 +95234528 +2002570867 +1448035521 +179538552 +1053335802 +1899828739 +1853865819 +304601824 +406602574 +1627983713 +535751459 +1877748459 +513955504 +922916412 +1243642860 +1609459912 +1118492298 +403745050 +1500338436 +739008980 +664477899 +1522700834 +1504825059 +1410402795 +1855103667 +715294866 +1762666497 +472054561 +506456652 +1521888368 +1303237342 +601691180 +1376975587 +603789215 +781229732 +282827741 +356134306 +487611904 +587429565 +762736880 +2115595617 +1123181024 +493001691 +482067473 +2046097437 +1736644551 +2091527385 +1017106087 +2140389602 +1444382173 +1756115067 +657383853 +819599360 +1113456478 +2067786648 +527219379 +1828751345 +1682969498 +999273940 +187724349 +1057374218 +155027635 +789415529 +286866157 +758816850 +1570645262 +569693898 +1114951157 +2058257166 +1157123463 +1877688037 +2026369135 +132820840 +223206081 +360952960 +31434629 +1959850632 +304996697 +1048540716 +1952756586 +1749378871 +657172136 +462656791 +421494583 +1770628614 +382959792 +948713962 +1451896311 +2065929290 +1947987902 +1639620660 +975819860 +2103015537 +281552542 +1262686017 +714348740 +1852197804 +1832379915 +1829299897 +1762971322 +842019730 +1559504286 +1641856809 +974840570 +1782710367 +2002809769 +1006275199 +1595077352 +160322818 +2054815916 +1400350290 +1909701689 +564504404 +1863007082 +183712624 +187649370 +98483226 +1132426586 +1639545682 +16928868 +932930841 +1131682694 +992748728 +888462730 +1413235236 +107951097 +1602811470 +1117949392 +1940331012 +1284627719 +733437066 +634867094 +696648358 +227810227 +1609707665 +331875077 +83136348 +468499216 +1926952429 +243459167 +375831484 +1179819072 +5677208 +940335888 +895342506 +189389833 +1127985259 +993825732 +1321816419 +620047293 +1010754600 +107263612 +1751729987 +2003503328 +995726343 +1017481576 +2111454425 +451054165 +2135430968 +1904301789 +1735681885 +721384387 +391685235 +284846595 +949194614 +2001392900 +616721672 +1032330963 +322408469 +396190454 +1275790130 +698239953 +1576009526 +1281467338 +1638575842 +323868384 +1470857171 +619077453 +1317694116 +645189943 +1239124746 +180965068 +752453555 +843371085 +36984748 +1748179898 +1860852661 +955525 +51750416 +1848799982 +1905257314 +1787432301 +422700721 +149458901 +2072278896 +1371895335 +3368154 +541516920 +256742650 +325776623 +937707374 +1532532780 +1024016576 +366233252 +666516471 +515108770 +690101636 +2137373642 +1134186223 +2007795752 +635079937 +225827321 +41277172 +1387533493 +1069198407 +78261920 +988229743 +782567420 +79217445 +1039980159 +483883754 +1984474759 +679928812 +906584475 +2133933661 +604724060 +130996163 +2137301815 +1146240981 +387738813 +315594790 +2083948355 +1920271594 +1339611366 +302697960 +439304417 +1854720137 +992799596 +429194411 +841422712 +853111701 +1064274349 +1067250034 +894388873 +304324194 +2136448441 +972650794 +1292553937 +771532213 +1051868239 +185050449 +1255415968 +888859351 +864979261 +14516795 +875309364 +1469703322 +145512958 +865127531 +468460655 +533251772 +1180722321 +404925362 +306039718 +372850039 +707623322 +745344135 +80086528 +1700422919 +1174538546 +921509241 +406050972 +91329247 +1988759275 +1300439845 +395653441 +1977724068 +125606991 +1688207379 +601772633 +1177475231 +1873257828 +1857188601 +2066334582 +590753441 +1871705397 +794160298 +2060456763 +2017218355 +1659287829 +381433770 +402986479 +692526502 +786359133 +709026197 +1065376541 +1493982455 +1454370332 +1145463070 +1046921726 +481425231 +2066972311 +1452972698 +572754478 +1908247938 +605928896 +968407920 +1738488358 +731535887 +509131651 +192777343 +1909011118 +234905831 +2049965945 +1827862052 +825659272 +1774187694 +474538702 +738632388 +1643922401 +2133826531 +1120066158 +2046908881 +678869385 +1906425291 +608451430 +1744245927 +1252924099 +2062821763 +742225349 +152362177 +396763346 +661714012 +1605334876 +969517824 +422478302 +63780124 +1937925744 +13483012 +795316011 +299573747 +206260355 +556843482 +534479578 +108742652 +237221886 +1360138851 +1882930346 +711760589 +2098771239 +1379369100 +698103472 +1071353749 +1278794333 +1376972858 +830295393 +1887245763 +973735137 +2083219492 +1802583878 +1715960486 +88098021 +51863576 +230190850 +1693432897 +1021381401 +652669152 +1757213021 +811823497 +666152164 +405045385 +1111397245 +872412519 +961888867 +1645876823 +981155172 +1199110753 +858532026 +716601870 +1910871342 +809819617 +2095970970 +461491167 +1881173367 +1227281655 +1838464025 +563985112 +967043771 +664715514 +499720956 +622144001 +233192352 +587818977 +674007578 +463383202 +133768227 +1695388979 +1116052354 +1890981248 +359728828 +1782204518 +148542985 +1471126073 +507133389 +1110431852 +969519249 +1488288561 +162058958 +1828051275 +57406784 +2072930300 +490387245 +5894106 +386937819 +224076964 +1233175762 +77918196 +788062076 +52735885 +742633710 +1287783032 +674879886 +975826062 +1875602009 +1348887464 +1439209264 +2009370236 +896792795 +407777970 +1752867837 +1256521624 +42498840 +1901410822 +580164049 +549632230 +864359027 +1549683298 +2037920791 +1026417985 +1230250926 +2095327575 +951864637 +1720638171 +2101221682 +1338802457 +1944715135 +1186913796 +1416720653 +585293563 +1239649681 +11870716 +1873076595 +1914529567 +987696778 +1601194956 +1115933384 +279422395 +1463081545 +2012726179 +687200365 +1068465734 +1121764155 +729699206 +822392908 +1701928205 +1279331436 +1686751935 +1104127855 +1169768579 +565686272 +186895133 +1117612507 +1517550910 +1907533304 +1071350541 +708869719 +1704764791 +110780689 +2125590372 +142574706 +1350430370 +2137461088 +2015651301 +1117476289 +977674219 +1469362610 +85926025 +1257096614 +784960507 +2098652205 +1944296979 +1853426241 +1072932712 +526512537 +528335501 +627377269 +1805843973 +67603789 +1731505125 +828128905 +633290061 +1918400258 +1945741412 +3357323 +1678449915 +869608305 +712227042 +1235731058 +980388994 +690333767 +1378305765 +183335716 +680311207 +1246473418 +1300812005 +1657985426 +568352380 +1386738031 +767598392 +1353312887 +1337906588 +564411724 +1059255480 +263355652 +1090924261 +1587590982 +890732922 +749284587 +1655194771 +474754399 +1577413492 +141001184 +245671009 +1375671256 +144358508 +1924120924 +97795913 +856585550 +1012368335 +1078184907 +1546919317 +243190452 +1261520623 +79746877 +1489663870 +414848980 +1737732303 +2058016251 +1801587011 +357847048 +1263845490 +992009951 +922258772 +175617323 +1255365604 +2013183033 +1763208305 +2146098526 +614983972 +1270919428 +473369277 +44913816 +1411920612 +719040286 +1420585072 +1556279120 +495677563 +1518380985 +265381023 +1508045898 +449082244 +1812300340 +1751236350 +1710602867 +1892047217 +1093416572 +2125451848 +1482295873 +1003949175 +1779555211 +1840142921 +120311018 +624081515 +614918045 +295928341 +1879447119 +480617430 +2059136646 +1878061997 +1095601403 +1182572426 +203947626 +1140515219 +447009390 +922987912 +413616644 +2003288511 +1418665475 +1931997629 +121185886 +779227725 +233596226 +1933486226 +382980427 +1944199093 +1678049796 +1476397000 +1922167293 +1012862021 +332862527 +1554238857 +705521294 +453173545 +30836724 +1320439339 +749101886 +1910283843 +1801056769 +660754884 +1640862192 +749174524 +1843327310 +1844809818 +1889689744 +142853053 +620314082 +155822740 +2146141564 +2038979558 +2087820369 +119843802 +670723635 +173932947 +2053330028 +1053704063 +2118132041 +1583896176 +382617415 +1892815686 +449274549 +715479942 +1299570895 +1154795843 +1168653488 +1330407619 +327751534 +1917755374 +1093207814 +2128808304 +431026611 +586586358 +730499180 +126870273 +283912528 +472705276 +269723326 +904226611 +628528016 +268381242 +795722521 +568864738 +388225044 +1466446156 +742797685 +294071425 +372666571 +713446078 +1877967601 +755283986 +458778117 +179758503 +1470763929 +1758349012 +1334554346 +491933769 +941272984 +1662305881 +262205495 +2034480798 +1643630537 +693232106 +473583509 +226646069 +820102380 +757496037 +699351346 +1089825706 +1661722648 +1327879362 +1358206949 +309961521 +1896744100 +1746431993 +1776407678 +492058138 +2040503418 +1590601 +1205504216 +1770987372 +756874588 +1664282333 +1950745875 +80154869 +1275147698 +1137816573 +572088638 +68937034 +652638806 +834294133 +2103417832 +148785695 +1527526240 +429517693 +375431765 +200144972 +1187013731 +1074783111 +1289970678 +701252731 +255178825 +500693979 +1011214253 +4439278 +99642325 +640138283 +496497416 +2140145743 +641728884 +1702001632 +1763649467 +1398603472 +1218800318 +1566911694 +1478758341 +346464368 +557244620 +2050846979 +415401402 +1209883426 +737657465 +371335586 +1358669122 +117700057 +800853280 +1734100887 +317845029 +1987867011 +661400350 +1607815707 +541636094 +916579175 +2108509687 +1552850347 +921018453 +60668364 +45504982 +1417515869 +53330459 +687233867 +972033854 +1816979927 +2085837339 +43350524 +1236407973 +1417112033 +389814892 +1793652593 +1320475364 +805216294 +856052372 +2058132829 +1176551880 +67237846 +28349238 +1977405160 +1801338733 +346194267 +1817788523 +315255435 +1954009975 +211940970 +1231834610 +1915036014 +1764791317 +5369416 +1975704378 +1810296300 +1422885285 +2029034837 +350046519 +247435491 +1698531116 +288400210 +290786015 +787455442 +1705512243 +680600907 +433624387 +878503960 +1485817201 +1289676759 +789153141 +514885434 +1356914605 +817502380 +344806946 +1010769690 +1163696647 +15111822 +1326025125 +970222974 +227052792 +410376088 +737775340 +1991844109 +415745504 +565996070 +1654656761 +1838630789 +447547260 +2004703280 +2086066281 +2146078376 +145619843 +229368648 +786050170 +1851132086 +909969556 +1219674558 +582152398 +248303109 +361867669 +1371305540 +763188543 +1718782275 +41324272 +1107995490 +582068317 +1205020919 +1123107312 +1908093443 +27760246 +1350160104 +170985883 +765535586 +1194520565 +586731387 +1331531657 +701693679 +277878528 +1779078917 +558913311 +216461161 +1777673645 +704533154 +445829810 +416240168 +408181593 +1355799366 +1635914726 +990333991 +1604102475 +1997782395 +214155883 +219807371 +1569081022 +255480155 +1327802861 +3665692 +1460501075 +303426525 +1911759135 +1488261321 +1653586629 +2082745018 +106313259 +700623546 +521992757 +1437844916 +1402317225 +799871285 +1069440185 +1961230537 +1016332447 +699630183 +518280043 +1462162257 +1115870351 +926461636 +670477975 +604301429 +1916795628 +127096802 +454600176 +2130951511 +346904173 +2023681199 +238948019 +1674707034 +2027346891 +1699449094 +1978133559 +1791622378 +1040226767 +1484236540 +1726883748 +1146540026 +37376439 +101392857 +436901295 +1439693664 +901264142 +1506341480 +1253440553 +1917596589 +58488015 +1771720597 +1232275198 +1174358366 +550698585 +1902753173 +1778659795 +320010565 +2029849976 +85776324 +303478429 +229270501 +2109457523 +542426448 +1903977536 +1989320766 +94391894 +1734627447 +1633459496 +1134618661 +1071380340 +1212859596 +133675039 +1108756779 +1314252453 +570576334 +400966795 +68032947 +2076917815 +1654407349 +1985629537 +2135405830 +1278644298 +1070421087 +1162280549 +1829342883 +825690613 +793456696 +1869801 +708056941 +879233020 +305348230 +937327442 +841206895 +847774678 +693821330 +683044013 +942166572 +280965130 +169019861 +2076785233 +1352345470 +1381879457 +62976624 +313618601 +548648262 +633552959 +714585396 +616681210 +562987126 +221509097 +454827099 +550909308 +1500153395 +1525248186 +1713189857 +1182012631 +203455151 +359162906 +1183882432 +911512092 +1238395926 +1489230662 +1848839535 +2079602822 +189521692 +395177217 +615163187 +1131688264 +676142347 +784183049 +1060989849 +2028487817 +18578858 +1123966473 +194622770 +567227121 +1757519432 +909208167 +1183908331 +173022910 +1130717264 +1638735430 +723932219 +483387012 +1016499968 +289638428 +1665399643 +1219955120 +648801334 +701798427 +2131467212 +1887197261 +43545441 +1832823099 +1819316435 +233067133 +80516669 +286995974 +1364755397 +756659016 +1071179023 +278261598 +637663186 +1089757882 +1402228071 +832285956 +1656985003 +1012263856 +1741494123 +693409686 +1185286766 +724727740 +184661468 +1909218985 +1208114752 +1201161436 +51373766 +726030747 +273632908 +700175100 +1427829174 +257616473 +439888713 +1471374615 +2090439572 +111721500 +1704441748 +23472593 +398717475 +921713497 +780131610 +1469896498 +1199975095 +1417794796 +412170732 +454719518 +102597104 +2069155735 +1466983374 +1844091228 +615081773 +504786493 +421335320 +799743241 +266521830 +1629450072 +2000904678 +317895596 +207997171 +127053938 +1018070697 +1635826345 +384670411 +1457959410 +959717312 +327626336 +1569680911 +516675412 +351098929 +1968398386 +1438388909 +1131230539 +1290811236 +490880356 +401541687 +1702981969 +945599874 +504138792 +1624654056 +265099601 +200746372 +92252182 +769886094 +622081692 +891995423 +1036407924 +104048116 +745416453 +1354303521 +312045287 +872470392 +224890570 +1947871632 +1257140803 +1682849980 +760105296 +1584767139 +1105047243 +1276780708 +1935866069 +925961981 +567685969 +919612960 +69289570 +1058566325 +1321154648 +1772271539 +2004166199 +1825293440 +1249441947 +121782152 +2026039812 +1341694129 +891668246 +500637856 +86205905 +1928076171 +604685972 +831622358 +1134896044 +916731259 +1704092750 +1359786614 +717119243 +813749906 +895152946 +1477224539 +251033397 +2000200190 +606521599 +39415818 +778678523 +1174207568 +959028779 +847968093 +85290245 +132699779 +472755984 +2089456444 +1957993219 +1722197932 +63754949 +1836549383 +916408413 +955423195 +189703591 +1002614318 +736015718 +794389563 +1834236677 +1870911762 +1711120822 +1390845779 +1083214728 +280756417 +57112037 +1978367675 +1757980956 +308145435 +1831084217 +217018907 +347561253 +462279092 +1391226475 +1306590032 +1310247186 +1476516720 +1439289811 +1783003170 +1418489516 +1249799382 +1357717454 +1482244465 +938865117 +126642220 +290184013 +1128568708 +1129256538 +1026199731 +1922958271 +816009567 +749627846 +1486595445 +59371699 +1832842574 +1767351862 +116483736 +1663726601 +1377849170 +424629171 +1347327170 +1594868077 +772190425 +1809606263 +838610904 +2078780457 +972369801 +167643976 +1370586621 +607889323 +1586133493 +472902355 +1965606778 +920894310 +1411767473 +2092248998 +1211078323 +392852533 +1074021888 +89794407 +168327157 +1890031456 +839422253 +1654922602 +1949403155 +524781179 +1274790817 +2065886891 +41024133 +505156339 +343032415 +1388351303 +2100024417 +1115222840 +1050473918 +791151673 +1046519649 +2022843719 +958795650 +269622622 +483249395 +397445495 +742524978 +301372525 +1318339805 +6808803 +246137875 +381934481 +399661336 +1320159763 +471728888 +567988493 +1062707571 +1311151141 +75427448 +864627078 +1835932320 +1350218265 +783030322 +1876956453 +1855374604 +1126062737 +1117824109 +1807915373 +93801929 +20814379 +451583399 +1140321578 +2043658099 +1410379049 +1409944201 +379423846 +1807824544 +4985531 +680796371 +978680701 +11794334 +926934246 +1360615182 +411455670 +99610361 +1832344070 +979444164 +1162317933 +996011563 +1054871612 +2026945011 +684460236 +257606229 +662491685 +413933041 +2112980833 +1788554422 +1531757150 +1773412559 +1882356351 +1552571530 +77512310 +875194282 +1448745981 +1487891359 +137654835 +1828169827 +1148232255 +142640366 +361482550 +2126912956 +154434700 +1288416796 +1340044491 +565890370 +1388027157 +1024904913 +1545334534 +402861442 +2020916477 +452722498 +282322806 +557893065 +710328727 +944814491 +971826106 +675825913 +585885266 +356099609 +301754824 +320757969 +1908671139 +379267134 +1195952251 +1209933472 +1867158493 +1333607086 +890619651 +867907100 +1476247452 +1252102201 +847336408 +1630682152 +393035349 +39897251 +49088875 +1781062506 +1064802165 +1594423409 +36440301 +938234994 +2047145908 +318763107 +1496128059 +609990987 +1263577598 +320470517 +1285816900 +1849462864 +676570126 +1587571724 +22737186 +437757617 +1966838858 +1218689437 +1647691089 +1686513703 +404812876 +390827092 +406937155 +1881060328 +1642929293 +1254273564 +1364258833 +2035964642 +1294170815 +1413347708 +1669543501 +211489332 +860287469 +1705983802 +1149724326 +759949729 +2024746909 +498368737 +1369940717 +1140840859 +818839255 +508273969 +842820076 +1495409381 +2095845694 +865557262 +1933166999 +1915200904 +2084246699 +1433374440 +1454230960 +341575927 +1824201533 +1861168115 +75152608 +1319647178 +967958031 +1439411441 +1208128173 +114645199 +705275501 +730188026 +326134531 +1565562970 +288688180 +1475858858 +178029052 +165951441 +1974227595 +1547969769 +1306792300 +645583202 +2056243738 +2128728 +2140992584 +2004605784 +867685990 +1926675935 +1772323041 +804449042 +1212566727 +1079070353 +1146024969 +889284612 +792754820 +1221177577 +61448143 +1760712852 +513105370 +1269576316 +1875358051 +1218380871 +1999764342 +54008934 +636460194 +140968874 +1529867792 +814489246 +306920315 +1356611740 +214975367 +1613712615 +2002194942 +123735457 +1615841344 +1995703878 +2128341242 +336043686 +1774896165 +1753180635 +1140492728 +839979245 +684767340 +139034050 +1729263857 +1477522160 +1360211627 +1790712000 +1090751364 +1873316998 +912804668 +818625767 +944214221 +765085362 +872634702 +1580674415 +906054236 +255018846 +247680013 +1212974551 +1611630586 +462655380 +679203519 +1466341881 +586390838 +147561215 +1314562111 +567248432 +483604901 +941974629 +172945419 +1624097630 +1781953874 +857712759 +1763131680 +1363734083 +187751271 +975859659 +1006962436 +1278502636 +701693009 +1919767104 +2097128403 +1645907231 +537368819 +822279457 +1079097998 +1443423055 +1077298304 +1326778012 +508913959 +541445242 +1789433392 +1188117478 +2007787123 +228340582 +1335678693 +1174865587 +795589014 +1819283594 +2116840216 +968534433 +1295897576 +1751310442 +1826247192 +911545608 +967560877 +2013998464 +1887405268 +1974523313 +1145017452 +441614629 +1746806770 +1094662207 +2087521860 +136691941 +1916941665 +1019136211 +1580114996 +846756321 +198430575 +2089028955 +1388201563 +1987863967 +1129662785 +1248505039 +68720902 +317857830 +275886978 +864309916 +2137141425 +245243546 +1832844350 +1285555353 +1996553988 +1511607894 +49617314 +816631217 +1378122710 +1937022582 +643670883 +375656514 +231153563 +242994005 +1470318722 +171191776 +379685946 +1239776739 +1190327987 +1959800942 +2086533060 +1388758562 +1901346250 +1327250975 +1229138881 +883525387 +428272366 +1297859783 +1201383218 +704159344 +14686052 +1191040995 +949402890 +1847530402 +329112700 +798473230 +1211654648 +378730014 +1615104448 +442293711 +168268948 +111291683 +817950225 +399422512 +354285688 +140785299 +570614288 +733971634 +1380562038 +1760942275 +546288928 +1319611450 +1002217189 +300151530 +499378778 +83872422 +1183676918 +927651144 +1381732206 +237576488 +1631810489 +1396418258 +1428617483 +433729731 +1096465012 +1757730183 +1232202962 +160636012 +2136460198 +699823762 +602929723 +157245498 +811115445 +1420879949 +556668010 +1165401133 +1561665248 +1127282298 +1899372767 +794743639 +740740925 +298178047 +2114355089 +1742958114 +598329578 +466250219 +1826830537 +1782006496 +1393901364 +1061079095 +2019582984 +878228205 +310013705 +1300716819 +1311957936 +1406478717 +910963354 +396677250 +1567114729 +899939904 +1096501012 +22560805 +1057185403 +1907616457 +1443440754 +1613853413 +925533942 +857622354 +593652064 +677423061 +1652365993 +1334392989 +975601109 +1619237435 +929867456 +1573930687 +2085487654 +609214345 +1208453535 +1331905370 +1670293440 +1080552871 +62649927 +1980307145 +233786042 +1374607864 +1239302214 +1144749396 +1771285114 +658933295 +2044689301 +720302479 +681494100 +954391056 +480435288 +2124934854 +420760821 +1405969231 +835073561 +1014412885 +2083392292 +339955906 +201322227 +911509753 +1959193341 +1131189683 +337956792 +1897197348 +1740404028 +1546410327 +1081619070 +1263213820 +479479550 +1144268998 +1096037317 +713265592 +371393214 +187855883 +1858014989 +2142678328 +846789178 +1755220642 +715497159 +1528283279 +562128050 +1195932448 +1505734485 +982888871 +454418031 +193324398 +1997301757 +390326675 +533280305 +51140336 +1301836429 +344989998 +1182330019 +1639793221 +94703698 +775250399 +1038719901 +1176322769 +2038464219 +1518199451 +173108119 +987017888 +83981396 +544501333 +1174873771 +1941996385 +539696013 +2021662949 +1549733379 +1255193173 +1402462580 +2111861429 +303641973 +760713418 +947266652 +758060004 +954037816 +797084761 +1148386679 +1487318121 +848225097 +302739460 +1832308120 +2030555116 +1942532682 +1927011818 +658321867 +833768935 +955850939 +549302438 +204484738 +1128959058 +1536320326 +288466134 +1673460391 +563710449 +82978871 +65672757 +437889751 +1632712250 +1320865930 +1840352331 +1597090031 +1624507903 +453582101 +396873036 +235084259 +1407619918 +1193957797 +1383470938 +747454391 +2042182895 +1686210399 +432278863 +1925254363 +1481259433 +211807034 +436092583 +167544720 +1167657973 +985395021 +372029458 +149133384 +374231700 +660495593 +1822593775 +937942149 +743474464 +1888266532 +1375831900 +228703067 +1061648814 +1068700584 +1825793098 +538673069 +1522282685 +75182486 +773757328 +782418955 +1269140284 +9744619 +1529873347 +1163839531 +1695955018 +1962152210 +941610246 +1029730803 +26475596 +1377702829 +1197275523 +1194133570 +215614203 +1569304981 +1343266954 +589845903 +82316926 +1018377081 +1527788052 +825791391 +759159966 +756136305 +1054494458 +1820808780 +1824836889 +732803908 +211998202 +1199635926 +807986395 +985755530 +1982054882 +2077126679 +995500149 +1364444581 +1093482562 +543971519 +1179113143 +2035092808 +1573702322 +1205588740 +1265311990 +623494197 +252238662 +1480926193 +45315531 +1595505616 +2070772096 +127632457 +466399049 +1451076500 +953423848 +1225559015 +59729157 +2007918306 +898884148 +1884566046 +593238567 +1110882350 +936718325 +1401224962 +2096637880 +771289559 +1330867993 +944654382 +2135734140 +276866907 +1488625901 +1167363635 +164476067 +914844576 +225468727 +1429788057 +1538338773 +477707389 +763230602 +1583654304 +2073213005 +686519050 +1711286762 +392128407 +2137595551 +517226962 +1617687422 +49841060 +377661621 +369087922 +1934407107 +970900188 +1479970272 +723641784 +224641502 +1429124505 +1494931343 +1555509495 +226295239 +1483181835 +1832376402 +1714921140 +503061822 +1996852469 +482282068 +728530550 +1279156879 +2020620842 +1206237939 +2042387481 +1456791498 +1131967297 +581422884 +1020594612 +1524095704 +571534787 +1537821575 +994299478 +621375847 +1915483196 +1363387401 +408299306 +738899736 +695874025 +1131941090 +963541238 +2124998530 +479388785 +371567085 +203810121 +1962570620 +56459839 +1918731262 +318148795 +2053312308 +253529682 +1046679345 +1184985539 +126666876 +105433636 +1079889373 +1583458375 +1237400933 +1661312257 +456569339 +614012989 +85363396 +1994390914 +1608312468 +706739243 +1762390462 +824216221 +1115038550 +353806550 +1520090246 +99495992 +1317347788 +1497605129 +578884778 +1688914873 +1701415250 +393971750 +1745374712 +1472662864 +712120545 +1651203373 +1726192547 +1758799890 +688705264 +1852859423 +1864233527 +1768594637 +1288834150 +954150812 +1282423246 +1745403490 +1568163802 +1367786642 +1592310756 +1028992622 +2074525886 +1207217571 +1853208843 +1042080788 +1561024121 +1225815441 +1141576780 +730888262 +575936922 +1720461558 +272319487 +129868525 +2114433309 +2017694200 +1602531389 +679070206 +1521413925 +1181240288 +290386449 +62635541 +886616064 +7136328 +1831230179 +27966566 +961287140 +966169777 +1773370056 +381967294 +186472772 +1218197165 +1410959916 +113515010 +277931088 +1116685111 +1155595798 +1838955209 +195016905 +149688930 +422359823 +770953827 +1870150489 +694679311 +900822352 +1837100150 +564889863 +355870094 +368686708 +2086303788 +1537110382 +659073157 +1455681 +276242798 +666209485 +1832685860 +304209365 +1627496626 +651371990 +2077579421 +2009463920 +837844762 +1148292938 +1272940189 +951359772 +1426224026 +242141652 +2106955570 +1117695588 +437158557 +109160852 +1540055411 +1208112385 +1979311341 +87251074 +2108934737 +1668927843 +652140937 +317321183 +2037614552 +590961077 +1854431566 +549204061 +592416759 +2130674364 +1215413547 +277618971 +287400081 +695426525 +928990961 +217495855 +557406797 +1766835723 +1365788793 +1830346986 +570711847 +644529172 +2072488639 +530183769 +1762224760 +362163548 +639344622 +1154796523 +1570275933 +471172315 +1242047598 +1531727023 +2140100159 +1894188535 +1849048206 +2030231063 +337665965 +1555996124 +431951476 +930082724 +1539186841 +1647365023 +1207701695 +1826586922 +195307900 +2136692657 +2044082777 +752714698 +1756044732 +1262387923 +435578036 +179272932 +1906917095 +360583027 +709456701 +1521658207 +722746576 +1348801323 +528971082 +145538861 +1819973639 +1771018680 +1677265884 +1812590150 +1517723568 +1378830443 +1695337565 +1855389533 +787342919 +2127289041 +637988609 +179046112 +1627170417 +1845690304 +2005633035 +1822478317 +1834899313 +1902232164 +427709367 +1443460398 +1017136439 +863287404 +1622733330 +776569886 +1223870431 +184706383 +150744445 +1946617007 +1533507707 +679715528 +2092155869 +1205997698 +303250560 +1621938105 +871104200 +1820974128 +853284900 +418958117 +1528880013 +1640627820 +398763510 +19384974 +1819673932 +2025933927 +1865075279 +1677823319 +1700928597 +1552490944 +1432571836 +2128637964 +848467694 +302224627 +844441720 +323717376 +1078794514 +2068312152 +508423760 +1229538959 +1867445511 +2041931467 +1909254487 +1812117732 +1100445517 +65021400 +1286572190 +1971549717 +1885995528 +2139857090 +243024186 +1267391894 +1633001262 +641787696 +1286776868 +1305191547 +520237976 +1004368499 +835531218 +73682925 +409375796 +120619406 +54837241 +1257843490 +422844034 +899278962 +1581560867 +1501638548 +820107466 +2089984627 +583693859 +540069329 +1984432446 +345464699 +204703414 +937394315 +410486099 +1491275604 +761460384 +148997979 +1483649046 +1004484570 +1416389873 +969166661 +1646272266 +555683094 +126874560 +19026594 +1560051593 +962405778 +92709519 +1969427389 +1083025185 +147546761 +1079787232 +1505869219 +1046825723 +513864451 +860024119 +1866933189 +456365430 +1443717978 +259518870 +293314228 +1789182677 +464222284 +1230708543 +52185128 +1955497888 +1992168927 +201183108 +1291663287 +849169849 +1617572981 +113346300 +347958467 +25772427 +240220860 +366985062 +1585824021 +1202626638 +459694581 +1407767762 +138168175 +607241342 +340071346 +1644037394 +1654067065 +853935797 +356577865 +1373516606 +1310301227 +1800295844 +1633035477 +1603615455 +1441994873 +2097257761 +686840350 +1494180002 +1905272002 +531525629 +1695363110 +1049451641 +1380695478 +1165452443 +1162797941 +1728653946 +1191224871 +1403018801 +2095639008 +629565244 +458161791 +407849941 +2037333006 +596329967 +1015091284 +229920705 +92883713 +521674701 +1083856502 +449461579 +1895191308 +246674082 +102273775 +1380743137 +1850289537 +1544268648 +1330517250 +389646240 +890965002 +1088305604 +921171869 +438844464 +2137757245 +154383700 +1604296908 +1153071538 +1883037646 +648038131 +408606691 +1831193006 +1277603375 +866768483 +91559299 +1167452733 +1463098450 +1106650583 +1397373438 +1555982163 +1628325285 +333746293 +2005443742 +1376032945 +580420375 +2107717517 +609292434 +283226264 +1504502518 +1939809684 +672872504 +247983872 +880631641 +1594044374 +686828337 +870905238 +1748428074 +143641597 +2023976777 +1483982072 +791679728 +285099820 +1167691430 +2069283103 +1151868303 +1259250729 +1089252188 +467483105 +218417665 +339141979 +2023465269 +1846742950 +672888272 +1881425363 +1075292247 +1253308647 +1841659233 +1684584681 +1536534911 +1198678103 +1476910717 +61923768 +1446661975 +210058710 +1655968142 +2133490312 +1080963949 +1256912568 +129648261 +957457078 +593410992 +921327989 +1242556898 +1761102422 +843127444 +246941554 +872869503 +1932379633 +714424659 +1091287168 +124037964 +590406280 +790546470 +796926236 +324347996 +1865838717 +2050234883 +18523581 +1402939750 +1439286146 +1217201684 +732366820 +1501209914 +516380011 +942425530 +1009694408 +502386676 +2023389479 +119123328 +632034937 +833362909 +712534320 +1553362927 +2075919808 +326153094 +249006723 +175377714 +1199022598 +33902708 +889802373 +142826118 +157940672 +1480208654 +933372589 +954866908 +1804556650 +651727658 +857618143 +1823080231 +2054667409 +149420642 +892798267 +639550581 +1650630556 +1409178278 +1581976111 +512841317 +1911564954 +1457881943 +631964645 +396116244 +143761204 +1344498966 +1949479171 +72197364 +1670652060 +51002246 +247575078 +722191010 +84904955 +1137377452 +865017129 +242845627 +470102458 +1798389718 +1197712536 +127175460 +302633728 +2055330679 +1950255691 +209817489 +57267673 +695570310 +849368070 +1707898230 +2104748588 +283860534 +73255899 +1868829895 +1741742477 +705220544 +117462491 +1885503681 +2049719510 +2066941662 +1957701046 +1572887923 +2117943908 +57792476 +147595285 +55365215 +1195169928 +1012612414 +298210843 +1665272386 +663518484 +1495923379 +1792447846 +966152213 +1403770410 +1595219889 +1175969702 +1461038084 +143306551 +2025337773 +1021452666 +100571492 +161714659 +1094708565 +1969401387 +1903457136 +1799929109 +2086863878 +1641477169 +1702164972 +2006321892 +1451694567 +1127569247 +1976782152 +1509487044 +1275164532 +2032147368 +557173324 +140293299 +182874563 +74962063 +803811783 +1678797942 +1867409909 +1769963996 +935084704 +1315146151 +798450051 +248639140 +1458452702 +676304176 +1270091806 +1559024194 +838018835 +217316723 +1380941933 +593992323 +2017245833 +1320322163 +87985844 +1571927157 +1179160407 +1539680412 +552012756 +1008458912 +901683808 +1827177288 +893122632 +1458857132 +1967470587 +1075997195 +1533819195 +623798723 +607311489 +1253745457 +246279071 +1542396193 +421407960 +1044729122 +1791035334 +1879860662 +1721033298 +913643492 +1291401209 +411568485 +1130960216 +524859494 +1005560808 +1000722401 +1845181658 +1093546653 +425165910 +876858417 +485743417 +977178666 +1885317329 +1387427225 +656872306 +630956313 +698800709 +476859246 +1706953508 +85136257 +1100657969 +166781349 +1338881714 +1346937040 +1709177543 +1760289674 +244182515 +1352729229 +1492666688 +1965215813 +118889073 +636584249 +229300651 +1249849289 +1161443744 +1234861459 +103088042 +859141754 +180924464 +528253952 +1736000171 +666667881 +1505432618 +1473833853 +2054095106 +14821277 +2104790166 +605412168 +491680523 +1664260027 +690548425 +1592338492 +1831041376 +2029430139 +791791884 +1392735271 +1642236165 +1035974399 +597980852 +987419205 +853706565 +716869926 +1624003455 +1083007216 +1966719215 +637963551 +170385027 +2069807258 +1497105305 +351309492 +450577562 +1085621828 +1017977373 +1956010181 +411972033 +924588832 +1970831458 +369278552 +1530001000 +315028333 +2033538579 +73065777 +1907366825 +1717096307 +2102495916 +551675061 +962347931 +1597248433 +1587649461 +1560328783 +437183990 +293872378 +129715061 +2061187445 +1376879594 +2096434277 +551667348 +1547264621 +2018757887 +2048772653 +1898574113 +321851801 +986910834 +769067839 +130378334 +1398882867 +1693656671 +2101209792 +1768161419 +1076174023 +268754477 +1654216350 +1149239800 +28637654 +1223829010 +1104252068 +580312716 +38693293 +554016853 +20478529 +1599022076 +991200843 +314350907 +1728737138 +904904641 +1691230501 +1677687767 +1456571989 +1091011474 +1548962006 +1357860995 +842101940 +1870813807 +197288181 +1611169779 +2001192142 +1596171048 +1157342802 +1954918286 +1216848820 +86033177 +76189116 +723581522 +1235272977 +104826770 +1947410532 +192041397 +685139486 +1986103825 +746058250 +705618015 +1437642254 +1737259093 +1019968922 +1018895744 +494680086 +563715775 +549099863 +1951252076 +1654727250 +2098061869 +1161629423 +349345542 +1821392028 +1358917604 +1960515321 +1675100522 +807605004 +970374475 +1482535161 +2024453824 +1056407652 +1558724277 +600551699 +144196981 +1663551047 +400478583 +336238378 +201206886 +239098761 +1082296628 +906824901 +1676741015 +672072073 +1926793824 +548153111 +1166752160 +343025951 +1097252974 +970520588 +1997753201 +1047831195 +2132150011 +199615095 +721739575 +1343583967 +12646768 +249356450 +3705323 +983021243 +1731891611 +2028159148 +2039428895 +1143132240 +481227199 +36142228 +659199639 +881705782 +372380606 +860406525 +1120804543 +1454677234 +1767231427 +650061910 +2126749308 +1546541603 +1198215021 +1146017820 +1889567554 +147984347 +2116538408 +1739837108 +1195815542 +2101204771 +1939452203 +1917555118 +1297305090 +1952098972 +19427920 +1301010413 +787636567 +1751319531 +1181685913 +679581815 +746968123 +1662913112 +715724043 +1406167762 +397135247 +1088104650 +119090640 +1517939790 +395298236 +1886322067 +20518053 +374563896 +1285380022 +1218733074 +1520581716 +1027463928 +1366717422 +1489636476 +619817388 +415049316 +1443357599 +411785944 +185120786 +593179041 +216401268 +204548706 +1894189455 +1004037835 +1955868237 +928391720 +1683619650 +555352712 +443821185 +251860046 +1961520475 +840956432 +1339964696 +2080611115 +211412574 +1735262932 +1819449534 +231930627 +2109826829 +957345908 +1450663702 +1482924897 +1984809836 +669897476 +825077726 +457143577 +1084946792 +120951677 +868929521 +1270067579 +714130719 +1085330789 +1474616285 +460836526 +2089368624 +1283000875 +1389228246 +1625504627 +1838353587 +1833049431 +1877364673 +1652390414 +526522215 +1069845721 +1585517881 +737934790 +657625005 +1257483767 +969865417 +619968186 +67346027 +273045471 +2102893084 +2052155864 +942942947 +780487162 +361815793 +2027889740 +901438839 +1230745314 +1150473671 +1615569558 +168592455 +477606308 +2076406084 +110477431 +1760607183 +1318150683 +1735982058 +1451477123 +1003716466 +1465863083 +956383889 +1530238682 +388225156 +394418123 +120689824 +1045850162 +1651901890 +1090555241 +1665818348 +1719247918 +1363600713 +1621227784 +1623920134 +159060012 +254231298 +1985735927 +39466104 +1155670138 +1068997593 +1189939775 +623756048 +1237590048 +1667546084 +552678485 +1348067479 +1280669619 +1870829168 +936565890 +584663094 +727061986 +254945325 +1541046984 +109817020 +643170482 +1935465107 +230506844 +1689020644 +1439883349 +1321062086 +1207355344 +1011647619 +537179151 +681099481 +488084105 +696239163 +935330779 +326336384 +735705268 +2091000917 +1395333977 +1925645043 +567273318 +485440377 +1445707479 +1119951803 +1833507857 +578893451 +843297323 +622590099 +1163556545 +1570359309 +877535424 +557119881 +1680176330 +1520705906 +345101340 +1910683174 +1062242902 +1784984690 +1084261612 +122114599 +649148661 +1621440763 +803214080 +1137232767 +170196279 +1738544859 +1463569151 +905901547 +1682062129 +711419481 +684062942 +101851799 +1196859858 +2129770422 +1221803602 +882884067 +561180225 +2065100925 +1505474166 +1724736770 +1487976586 +235525943 +134373004 +1020669268 +1756231849 +479474344 +783868795 +670991104 +116975386 +1868130407 +793105703 +766124048 +1342087523 +1596319783 +1903356815 +1512283802 +1187380994 +1219442318 +270701701 +721959475 +1930861799 +954764643 +823811274 +980238010 +937051417 +2045614876 +1863122077 +1498231642 +1963232153 +1221112596 +1075484765 +1303725092 +1456638539 +1209857769 +176910712 +1065386740 +1689332113 +960779507 +1736377844 +1806307500 +681426267 +381999899 +424947900 +2023513790 +1978319682 +180821067 +1388313944 +1018217029 +1400263385 +1659015645 +1740176504 +1183641537 +466296640 +416504131 +16395899 +1403348058 +314635359 +1879517976 +754096052 +130383865 +953146924 +1829580817 +1434108957 +262301815 +891954938 +1611019669 +1327688556 +433803404 +424315529 +916582752 +92627256 +1105741796 +1298582652 +517575156 +981771938 +1129418686 +698396223 +222602234 +152067 +2098659608 +1881617879 +1740328572 +1134817497 +200430871 +9349055 +1151213396 +1603778929 +323984414 +883247725 +210391334 +454368279 +1836394649 +2039972151 +1888477236 +2098696465 +784443442 +1352013258 +1278901373 +1218246846 +1776328787 +48000477 +1310874102 +734586935 +1346583129 +1828449258 +1716358873 +328518168 +379361833 +1938961107 +328670235 +330537793 +1673095338 +2068998807 +1465355291 +1873526209 +2078347862 +469085039 +1329821491 +254848629 +1352332764 +1540212825 +709216908 +1041243766 +1432701328 +450210497 +992456583 +69661122 +1802223755 +123874308 +1287907968 +1431068894 +171874785 +451298422 +18172181 +1518457915 +132264032 +1734531054 +1846976083 +511625865 +1526008513 +28162670 +842163659 +1051620203 +2097161478 +160035302 +777662764 +2028025692 +629120341 +2107484255 +135390673 +1981453106 +1500213432 +844607582 +875213224 +785431113 +1294818079 +1867669807 +855092235 +949558186 +1991544115 +2143000204 +233143432 +15935252 +446814978 +251315613 +1534393167 +579079011 +1985846667 +1233885602 +1090704876 +1364371532 +1262048273 +1932868535 +268508087 +1211726103 +2092903837 +1046170851 +1092268147 +574540531 +1006171459 +1227658821 +408509989 +358901243 +2072266403 +1283723213 +1144332356 +1219600834 +1003909372 +1999424592 +21675372 +847969839 +1994941148 +254818804 +863905091 +294272478 +506134417 +250814611 +873351489 +344497436 +1484700213 +1964056366 +1708868968 +599264838 +1749441253 +1977377055 +1810990941 +1694861443 +876064258 +755775441 +121918326 +1882235717 +1983434262 +530428315 +93653313 +1908217017 +1814151528 +1237985669 +980334203 +670577252 +1089926613 +1002009575 +1518547091 +937384113 +1256828379 +234968534 +1231656592 +1762962796 +485783145 +2105008081 +2107460232 +1970483359 +1921580799 +1668845552 +422264549 +1523538405 +1498738959 +85771843 +1070916200 +227319569 +841547284 +1192834526 +2109555287 +677497898 +1723262841 +55724952 +438231267 +1389930721 +1293710621 +1418565470 +2060507973 +236153587 +273091397 +1431571416 +1173537700 +1529919776 +1666539950 +257710644 +1145398924 +4839448 +215235078 +1105375508 +1975322807 +2136815877 +626737412 +250103708 +1512870634 +2125476371 +335875551 +436303186 +205312292 +1177422835 +1629137712 +167383931 +1854920733 +1204916905 +223108883 +145668352 +447363978 +1516819505 +1564233822 +360388303 +1752973092 +1837325219 +1791959719 +779027144 +1219761347 +1311016022 +1036737789 +217676623 +1315855470 +1251972867 +1323052131 +1143694629 +1241305096 +1949789543 +1393798337 +606692083 +1927782266 +1729673889 +1042995269 +2133094559 +759613076 +524649334 +152994842 +467050162 +1729566239 +376103726 +612718514 +29446570 +1892923231 +29468689 +389834873 +1498412675 +1866793908 +34310945 +129956171 +939071608 +1345326967 +1166693960 +1156748231 +513698789 +271183179 +332316715 +1657393418 +1512488276 +134622610 +903708107 +2119180359 +2062404877 +485898348 +1014691980 +2048015788 +1245511425 +1539341314 +53526982 +1712561587 +1121423906 +429630708 +177796453 +1150870476 +175070291 +207265142 +1540705349 +1673482966 +2074059051 +1575016294 +1803439138 +865647011 +772859613 +822649450 +2022395242 +1286558402 +1093832630 +207228309 +796468172 +458837258 +341850920 +1700176280 +430533969 +256772149 +38590980 +1445225949 +157304289 +1284102405 +837083616 +210831271 +849180344 +1958507522 +640461980 +1026976798 +961894350 +815532271 +1234241940 +355116051 +341531590 +1160817343 +1930132346 +2144970728 +2026464354 +555508311 +820136530 +1901375949 +1842066714 +1913969160 +2108604258 +491051238 +225322770 +302971530 +43743870 +655856739 +559743679 +82334851 +2101082689 +717047968 +1366437256 +790682657 +927879240 +68133953 +601706531 +1568341220 +1095110751 +1563600881 +236389843 +181869043 +1918716932 +577921433 +1342686387 +1701365630 +575408513 +1221667093 +109390294 +1395545044 +975559394 +1951457008 +1162030556 +936680005 +295024598 +1387353327 +1239651535 +338768469 +2043210066 +1799395215 +421103320 +1996809107 +368959535 +1787540576 +640008116 +1296838775 +1855674529 +1241714647 +717696347 +803301632 +657831880 +954086191 +985170676 +429065165 +1532007624 +180373415 +2130430795 +2107416138 +1402040508 +92337441 +1355477534 +230116255 +2043794449 +370024442 +1166796260 +191335400 +1757377769 +258964147 +530103869 +1653104188 +2058359362 +951207189 +1502429647 +279835250 +591264117 +2142437764 +1576674025 +299454999 +1236668763 +146886725 +1102756631 +1894500644 +1100972916 +2087927307 +176082161 +485496892 +120817074 +159029308 +445429382 +1522857583 +251366750 +1800906916 +1752973838 +147677551 +23447711 +772286450 +339012951 +1780825480 +1031250597 +869116820 +1286446020 +942126312 +1820324009 +641392020 +1221961562 +264104479 +636346136 +651151939 +563559478 +1873014899 +798038664 +1666316109 +1620031895 +1899011580 +1606759769 +1796114056 +237024825 +1727576843 +1955143365 +682454207 +1102950778 +59026467 +335877476 +708440968 +206704018 +359325187 +1480727418 +545716970 +2140150667 +364494368 +1414833790 +1279113040 +1306620680 +1087674152 +1920505060 +381098594 +1351778631 +409367548 +1032250533 +1915338109 +134898799 +1830289198 +1434170570 +1754930695 +1581817130 +893446691 +1403561103 +1818841955 +473539887 +1211220820 +353812515 +1576490665 +1270247287 +689689991 +137447986 +1476951306 +1049015178 +1618175404 +2022668276 +1041682197 +1982669772 +1290018418 +173311589 +1141806804 +230208922 +2093816649 +1522905398 +1581987553 +355700549 +407672284 +1349842014 +490599349 +90477834 +636528937 +98046396 +1672294964 +1529975628 +1501607499 +1343653272 +2003515515 +565344672 +1697465787 +1432522533 +1835591959 +239672130 +1569970519 +1165059617 +1288687308 +1040662275 +1040244245 +182885857 +875848400 +182779016 +356197447 +2017655204 +412987938 +302530448 +1393076955 +1994975492 +658230998 +1800749239 +1197333858 +1148830347 +1891227073 +1833862795 +1246876743 +1416038389 +1216354776 +601000594 +612208013 +1072386643 +1166345266 +162190152 +357425528 +854453578 +401862282 +1927396047 +2019513195 +1690549590 +820574675 +912273793 +1873435448 +1696423075 +1095052809 +82149247 +1566594631 +1508040747 +384679695 +812187938 +1355532591 +1042910693 +465453529 +405382802 +44257392 +209196954 +91761949 +1291134135 +1625235344 +1308116725 +1892134730 +89959709 +233019721 +910996348 +252149862 +590445249 +1765449926 +654012144 +370357649 +1637479474 +197078087 +1190932324 +402269619 +2070513535 +739871751 +1497322428 +5179134 +158982734 +857879527 +389858829 +971170673 +65928471 +1432769523 +1436624202 +471311273 +1477026915 +1645821157 +563073222 +620677403 +1123572853 +1871189948 +365328485 +1213532562 +2104209669 +1276324833 +1465682424 +547171270 +894291112 +2119694569 +917528919 +384286938 +169289008 +2108461243 +786556557 +92318895 +700849346 +136395337 +97498029 +859832081 +994274864 +487356858 +1831002754 +1060203335 +1920126381 +1120143308 +1531514608 +1249669649 +618480817 +2094587831 +1870347052 +1742053670 +1818294131 +88191889 +808102585 +1775020152 +1364516722 +126301361 +174707774 +111324186 +98512282 +1092236694 +495611124 +267801290 +1053214289 +1282167681 +360120185 +1754063636 +1418563018 +457618214 +466412069 +265354235 +944975073 +149931175 +1325557570 +717617806 +1270074483 +709588531 +1967287455 +1888555301 +656692714 +1690150859 +1483125323 +327503197 +1778342748 +143744260 +2102523349 +995375823 +270045622 +129747475 +1106700009 +368557904 +1221984169 +1602311134 +636359195 +127714811 +736995167 +996479380 +1881778447 +8074538 +1454097595 +200706868 +273428773 +251589020 +350638043 +1598986343 +969206826 +1620712526 +161091226 +789010634 +1361784179 +817783940 +331677845 +697425855 +1145287137 +2110020594 +841170115 +1100326838 +957912769 +1111215737 +1230074314 +2064612778 +1479773642 +304574835 +1519440264 +2116132837 +432289646 +108951784 +965128569 +166584445 +117026322 +271742516 +367291313 +390455095 +523331536 +717929356 +1989441438 +1492538363 +191158235 +3049017 +134065349 +1552942414 +820832957 +465743194 +102884621 +1966120095 +428280140 +944054737 +918963285 +1386192909 +2055270474 +1553951 +1303322040 +1387560468 +306128787 +675278656 +1356209657 +738418433 +784230440 +173854579 +905002879 +901256762 +445597095 +1272294192 +1291711857 +968928632 +1990223549 +1133669648 +313983347 +33898136 +1136718665 +448048696 +1586840550 +1957551622 +913791890 +1689725172 +1776188069 +1342072031 +486296261 +547667707 +580781292 +394083087 +549221658 +1884103332 +1781643556 +855350445 +411898341 +990369565 +1593768879 +1196128781 +1164224144 +351288110 +2097385544 +1609821240 +1623582302 +1241613753 +431266224 +1466322203 +227799753 +745249571 +1500220339 +1364518418 +1193298267 +939577242 +1174586393 +2107090157 +481818766 +803290814 +1301678540 +968115027 +1350958521 +1882459833 +1362198114 +1900180180 +1619079517 +996358022 +608046977 +2030977858 +1986727588 +54332208 +1079622992 +1003468084 +405620318 +1029524888 +465805676 +2029202621 +123654993 +897071900 +1348041176 +351454747 +1642321471 +700777868 +1715973165 +688136090 +1640355110 +743075910 +647742600 +2122173876 +1546366725 +1949421140 +942805255 +749841598 +1684397325 +157519721 +502538130 +1155993195 +1153877744 +1110585108 +1039487405 +993121684 +1164917316 +2119110397 +1996589768 +1570537635 +1001151637 +314911797 +1452256608 +1124806631 +1211983697 +652814136 +1476261378 +706821521 +1353592004 +1044750895 +1394957611 +846463466 +1787826806 +2042700211 +821153694 +1186709883 +1844637704 +1763958949 +1936551481 +1381551381 +1921478671 +291605964 +390060928 +927872767 +1402191072 +1429548334 +1920994451 +419624740 +1401175083 +1770100571 +1990162375 +254843073 +2085012368 +1294935335 +1379649704 +1149512418 +1947749472 +708427434 +1856333939 +1153857828 +1753178329 +1103807902 +2000321295 +1393521487 +999024466 +673991341 +432747722 +696178522 +290466643 +221815556 +2077729903 +64461666 +513421520 +320307184 +992334433 +1915612592 +1749855518 +765845236 +187753684 +1003546953 +388462159 +30432412 +1258390026 +325990880 +1325367747 +490556082 +1475503298 +1125633571 +1198983516 +1184353589 +132007752 +804678198 +140677843 +2132329047 +50716037 +1139702309 +658836740 +483463760 +1835880831 +949303383 +705279316 +1766127087 +1013765049 +1218700836 +2086434271 +2006099482 +986829780 +1688806141 +624461070 +1174583464 +544869446 +1012923230 +1205015876 +1803259473 +1338914110 +382899976 +146331907 +666933760 +1508533547 +1345315424 +1851287349 +1640541299 +2509974 +1991965192 +1625386698 +53226011 +984183854 +136739791 +536689771 +672581037 +1086043174 +1241969087 +291224476 +2099808224 +313186275 +230175099 +1958424058 +1300016055 +1918981240 +435401481 +327115872 +316367039 +1448324711 +1532131748 +2119626512 +639755173 +1915031724 +118474771 +1306688933 +1276081624 +1463790195 +1010492634 +769139275 +1466300169 +854974178 +247042326 +1519526181 +1839158032 +383782117 +2056215952 +364255422 +1469825291 +1150701392 +655479898 +1422149867 +1463887667 +885654998 +1233090278 +616420075 +657152590 +1668491759 +943535947 +973519629 +969332822 +328184047 +945662493 +1609087995 +95732124 +1064137265 +768293280 +1371813748 +380443812 +1778785914 +2140953023 +1846743982 +486276444 +240511701 +1218786515 +177950829 +624293818 +1127518819 +542206251 +2094119110 +130736563 +1197686149 +1368785329 +1594624231 +2083341147 +454391959 +63560658 +593010090 +2122883718 +1007096605 +1566529719 +944732892 +1335280652 +364708565 +406337239 +1431012776 +1428845830 +1174630519 +655342876 +1809289642 +805932785 +648812252 +1508549976 +1292209230 +889323953 +579852843 +1470160059 +1513617772 +1707371663 +2012366310 +1460253234 +1838108226 +1062568811 +681554915 +1285248809 +998426311 +1135946875 +1348809467 +1591436401 +1111346945 +208422424 +1010482472 +2056079838 +1543703077 +1375191037 +314933429 +827232205 +656553219 +1489563949 +1482575082 +318359214 +148013086 +2131387334 +1826909190 +1440222316 +873227639 +259278386 +762898727 +239361763 +1966650049 +627781389 +1699614997 +1657274627 +1690350201 +233686265 +795039789 +541292864 +1369633140 +2143849256 +2132729265 +333496437 +204788033 +995728089 +242092627 +1748491110 +223435479 +557026057 +428239667 +879988698 +2046590006 +1910814749 +1198347912 +47119444 +1894718435 +877773455 +1487341761 +620462427 +1137051841 +102756840 +859824190 +956218242 +730538230 +411955540 +466009221 +273404783 +645641805 +1261049010 +814697647 +2015274945 +1257414619 +799943264 +201287734 +1462202652 +1795671353 +443380362 +1063210114 +2019106832 +1000406419 +1491449781 +751611883 +899512777 +1254780883 +1949959795 +946632221 +1002015670 +680249602 +286490334 +1622478097 +1817301443 +389247175 +334818640 +626036037 +1119785405 +746774180 +1092045259 +1393190188 +1392415985 +205610621 +60404187 +1260207282 +1463025240 +860347451 +1461495016 +777744244 +508535156 +1904875378 +1840954358 +380158341 +757798149 +1184920492 +1131770224 +1657310926 +292217727 +934246371 +456459500 +1294233397 +1614495974 +742949834 +769227847 +1284313769 +1132197009 +1104046487 +1910349807 +104498766 +1850820667 +854911418 +1497688954 +1095753004 +1060522039 +1558093141 +208476638 +376063632 +270956944 +1669971654 +1153807876 +779492101 +1427363385 +847278587 +1159650442 +37677886 +2032199079 +143937018 +1694988813 +176933158 +1078183389 +3964665 +1471166555 +545195715 +746914499 +92910754 +1829509485 +1879111509 +1196957241 +1592375644 +1983610275 +900294260 +299803414 +1333815582 +1996047264 +1360325453 +744425075 +57040254 +1736389085 +1015382020 +1727011909 +742713314 +1794874121 +1006891646 +1589991901 +807040915 +1044569532 +1474707332 +950977933 +592074697 +1651640490 +2029161322 +596039362 +975323397 +426873390 +1342953862 +1068234152 +108899227 +1074581723 +117707745 +1701274871 +910708350 +1018002006 +2001078285 +97040284 +866565622 +1213920090 +841465360 +923605877 +802825528 +1856847380 +503134138 +1545538842 +1504237853 +1510025784 +988047095 +163795120 +407111668 +315270779 +1114773053 +999186366 +1966911269 +996450727 +1595225728 +794751018 +1423324117 +790695942 +1862985170 +1532223344 +1865277665 +1980692916 +1086014567 +628502368 +851211274 +939609204 +725542652 +1717776896 +6045647 +1567008012 +493899125 +808871175 +1276371744 +997033263 +206926369 +633125949 +359575399 +1194973464 +796921069 +766687068 +1510244243 +1911694122 +1765873434 +1329671864 +760661202 +1213615514 +2124422882 +36501671 +2004311457 +1839924405 +1568725016 +1722105474 +1673133673 +507255935 +203124194 +376861299 +1446865140 +928666847 +2094638195 +1452910787 +348191211 +441053673 +114298314 +1624562956 +1438086936 +321224683 +110205257 +1797662336 +1516198147 +907126327 +416865756 +878958742 +671336801 +35255542 +61146958 +1431998003 +1248871056 +38086192 +1468499675 +1105698865 +1878010597 +889741043 +680320692 +1403660622 +1396996978 +883444886 +1780521921 +696378470 +1812111733 +1727676469 +1805609 +12819297 +21246494 +116103923 +1637382253 +1459333430 +437328606 +1747587510 +1109512118 +1953526753 +507230189 +1526377874 +685001847 +1178566991 +1561633416 +746148805 +463081346 +663020825 +784234998 +1931581021 +1768719690 +514761947 +673838416 +301556734 +1918422570 +2070835395 +1185001621 +1551460843 +619730217 +849629706 +1131653664 +621535827 +862449003 +1152900158 +737639750 +352347608 +464749941 +1174968357 +2099935119 +1574262059 +981011462 +459681660 +953156286 +1666013310 +1638248651 +367306054 +264678467 +2101329998 +1030326879 +1048913465 +1885427371 +651562922 +1563675413 +411782140 +953119656 +1334614335 +335133887 +2138121277 +738591530 +954864104 +840267336 +1870245195 +1576399931 +1702716339 +875661705 +166556034 +2055063948 +1340411646 +1341524391 +2007515419 +767190058 +175052205 +319713431 +1720346344 +1841065515 +1957962083 +2087652398 +2105743983 +1911808433 +970495630 +1007173800 +1649752156 +1622058552 +423365565 +2061534296 +427694560 +1757979900 +249184535 +418332190 +349087783 +1204048640 +1258599526 +71849330 +632964923 +813832217 +947511035 +799520957 +721412517 +140439034 +2141045348 +581444288 +907629092 +168613906 +901157720 +480491788 +2009679421 +711636155 +420660538 +1967939756 +475960940 +1391156168 +827629909 +2125713096 +865731072 +1250995474 +2039763745 +1293425633 +861491727 +141464632 +1711757823 +1210579510 +1345513272 +822873701 +1282428840 +1978478196 +1636705918 +82456227 +630515505 +210634788 +222895261 +624077206 +792079076 +1130524353 +792691112 +1693236796 +1611016141 +654886885 +257389303 +2031676680 +475342994 +733350243 +1275349200 +1302972903 +711579692 +2141080273 +406484729 +603859789 +1287022258 +1267976456 +745324421 +851296433 +331072318 +2090837694 +1674170134 +1613501158 +1921832242 +1163392404 +1695957386 +404864099 +1374027192 +1918852647 +1028941305 +18622621 +901893353 +1821632417 +1711859417 +365425846 +329035655 +1969248721 +249618878 +804378649 +555115316 +1524968079 +2107351552 +1266695008 +1518564704 +366352633 +1870554797 +658103314 +1634329090 +468395571 +1509399747 +1965401408 +411749617 +1036086233 +1431418919 +186098211 +51994989 +979892657 +590962310 +1426022182 +751261656 +1619903616 +1444644803 +1653155009 +1294052385 +1009020572 +2018580856 +1623088040 +830785645 +120716086 +279983041 +1385900962 +1645684165 +239850945 +505112322 +1016765221 +606203579 +228183472 +1674868535 +93049021 +696579043 +1036784634 +2058450429 +1108328660 +2072870867 +1342385700 +1294426871 +2124865857 +174794709 +1885389181 +1403404391 +926056366 +1357809149 +700565546 +431727727 +504377887 +1709586118 +302824935 +2127465927 +392888116 +423541022 +259965321 +1778789078 +2069225187 +499816266 +136417752 +938506761 +1106019845 +364601224 +465891648 +1199068866 +1061180267 +1502676283 +1110035648 +22025279 +1428063502 +304937700 +1316452150 +1405445711 +479732410 +1054357684 +661366454 +1405788776 +264683185 +1361932000 +1837516503 +769061072 +924034471 +2140341439 +749043352 +1316922587 +416398813 +1009008673 +948228017 +338140352 +1508824939 +1084645769 +1276647113 +467361137 +1449246994 +1742538762 +1666430003 +362943613 +1097731397 +628982003 +384968893 +378311251 +933919704 +1701421043 +1783756963 +1413652114 +608295079 +297639769 +671957242 +872978265 +1659571770 +361990097 +1642039337 +436122593 +354847888 +243599041 +1753045180 +771246701 +1252607714 +553789549 +1109387054 +613949006 +1638435318 +238550519 +1081310143 +940198664 +1981089281 +600256498 +1303142278 +931337030 +1229238502 +1688111171 +1309648282 +15674558 +1242048566 +945921597 +1429326672 +1850343646 +1243561366 +2101283914 +575838263 +755649488 +315790363 +70393952 +1191772081 +670638252 +313992994 +797333613 +1441884953 +1566600708 +1351123162 +403788359 +33066066 +842074833 +642338879 +1114376209 +1782273497 +475944512 +1714632708 +937932127 +1407281543 +796387562 +478559650 +569446177 +812062120 +1720608217 +1515367774 +93905144 +1423468215 +611445492 +47705410 +1999306478 +1367094981 +363495773 +2069700430 +411383414 +1034134025 +236209776 +1208717028 +328535331 +1802810485 +412356542 +732323690 +1835876551 +1254431375 +1374662569 +802769113 +889221225 +1850607082 +369918173 +1827153352 +1110404977 +1166305735 +158229355 +1679851154 +1978367855 +1878837572 +1047735280 +2072272999 +1154822139 +1659180772 +2119978409 +1006644969 +878792105 +335990534 +928861751 +1290175520 +1370124560 +1165071528 +351408900 +1698659891 +820398365 +763765442 +283499933 +508791268 +2018196818 +1658162503 +1311560381 +759934395 +1361285937 +1681478554 +439604099 +324207266 +700300641 +597833454 +2004058420 +531184848 +329187378 +904310052 +455974199 +1484009517 +416007176 +428468960 +343170838 +1294799282 +764459495 +1272032590 +437491154 +2134584055 +289620470 +788900054 +1685760298 +1110018835 +1552665496 +1969260231 +1618810103 +1423378666 +1479939086 +782886837 +35829413 +693741375 +316881743 +475433513 +1017948641 +1017182385 +1073266967 +874523413 +1548367233 +1402454346 +1778833465 +2004341433 +738980215 +47356994 +285326745 +1082151054 +1342156276 +1049786240 +206699996 +1779647430 +1036886647 +496320466 +421063836 +575163297 +1606339301 +1973729332 +396939881 +1077665756 +1249624351 +1876878967 +1860552593 +1285453764 +423136695 +29950689 +1760887277 +1441085336 +1047133074 +686670597 +168125102 +448016659 +2089124943 +1946958567 +304874444 +680621510 +1994315561 +590201190 +1762772564 +1188988189 +1639987430 +1969472560 +821151971 +529390430 +318309378 +1242215807 +1104553727 +1924648679 +1068461492 +1501493608 +854830788 +170602195 +1230888928 +567899733 +1456055959 +1654025623 +597850422 +1069459589 +947627311 +1644983496 +1756130186 +1115752413 +2093000156 +1697771481 +915227333 +250390952 +230909343 +762059246 +840592142 +1993681908 +1951047436 +333095925 +1815670820 +624715759 +862486355 +2133980199 +1866931567 +1967040082 +1911145230 +787909411 +1321050043 +618492370 +958511606 +404455323 +1186392104 +267083917 +2058480946 +1784242526 +1336543506 +858624609 +1281742375 +945190044 +1974377023 +1227258883 +495477877 +742120708 +1477649835 +726387221 +1504179954 +170758330 +572585481 +1307743742 +503854255 +240772653 +1932459502 +1366340610 +227269204 +1651907421 +1185897044 +2138414435 +292333184 +359463439 +609423157 +1250844790 +763918762 +1795815261 +1517928707 +674916060 +1432574140 +706988566 +1533540670 +566832867 +1652178610 +1360434045 +1794091750 +172840 +2102554753 +1124257937 +726560061 +1459251059 +1295016267 +1299145542 +619511154 +1798870522 +1539918195 +404487008 +1017727484 +1767187400 +2056394429 +56140881 +1758118187 +201243965 +415604320 +220057696 +1452088755 +1179523083 +2015872958 +822533814 +1854439143 +1300963450 +1529522380 +1240496165 +1867796317 +1034217343 +453446562 +1514404419 +1034390183 +408517667 +491178708 +1760950244 +1867768727 +1786194976 +912612138 +339796233 +1437581850 +305046685 +744283241 +307825687 +2072234085 +653194022 +363966568 +1682868624 +854437987 +779570888 +1902926321 +159043094 +1959093971 +1771315631 +981576908 +1666049467 +924795433 +363615641 +759061984 +645108102 +1397832984 +1212508547 +12028873 +284739519 +1621026214 +503207581 +2045689763 +1341311293 +141918909 +810818253 +1681107526 +1579500760 +1115864938 +277907119 +1887326447 +1040615376 +931101141 +103809367 +576000352 +1785539128 +883380255 +331443025 +1944582222 +694990579 +2102758656 +778675483 +213556398 +880070441 +1142291124 +972618382 +1525178543 +392640460 +37643281 +1537207416 +677379979 +1658669496 +2040414998 +575586094 +852497141 +34850259 +1386404347 +386121020 +1614351019 +354785637 +664028139 +1354193818 +1395401013 +1595129281 +1458003185 +1971401366 +1233184761 +193899793 +155360743 +1030283336 +888890372 +110635752 +1808958819 +1102446770 +990706193 +803766295 +2075065152 +368401089 +1196406755 +2112708434 +1905608505 +1873786734 +1623894282 +1798539855 +301889180 +328907775 +1833390115 +1688293527 +715028795 +1300257486 +2043079164 +1379056935 +506967657 +1290996530 +826702568 +1964970842 +1114914248 +2059887329 +11386987 +1270274991 +942687017 +900277359 +1380910743 +604162188 +2002724129 +224133289 +1407928483 +1930305634 +592534378 +456851590 +1895530420 +350659235 +183154676 +1371941054 +1715443 +485043856 +1700848829 +1835105558 +25853735 +268393977 +987879396 +2068932900 +1647450912 +1494847053 +1212445782 +326669832 +1312334248 +179876382 +239073513 +1323721235 +1450151373 +1181760531 +76514947 +683578469 +1785922719 +2079239076 +907711758 +1046367555 +1862061062 +1500246136 +1503219145 +1610107834 +1850905371 +1686373822 +834565240 +1852620814 +23934030 +387930422 +1540242724 +49787766 +656324399 +380638473 +2118720666 +156291663 +1875485526 +1183682800 +482961495 +1040336126 +1363559182 +722035008 +216573714 +666226907 +1903795539 +293088661 +1349805376 +1542234611 +224844089 +110033486 +441118518 +2086905152 +1610279622 +1944337663 +1549529338 +1313701346 +1483227837 +236610931 +1018838512 +1507161868 +624541353 +411597589 +1556949634 +1280865752 +792236062 +1528186652 +1437157415 +520237940 +564385804 +1920118910 +1560574067 +1927944986 +494670270 +1777147781 +446688245 +250982162 +2070236442 +1796493622 +1793216773 +147596883 +1906527108 +86851643 +87018387 +1369323083 +2031189306 +1636547726 +535540781 +1366933496 +1873158657 +1554379293 +726611716 +350216362 +1965976882 +136077702 +1631082114 +610729296 +1664264354 +920755881 +1130967237 +81166510 +693391143 +544057656 +2009111496 +1188061413 +173721789 +308316093 +1439043575 +96474583 +2104809715 +1084776700 +244071466 +1863853176 +1171628343 +331089854 +1085692611 +1055334002 +1967637580 +1621233392 +274783850 +1693312589 +1028129037 +1001395566 +2043528951 +846622272 +1137473268 +1527127417 +1457351568 +654253974 +300399650 +440835157 +735420484 +993790793 +984892813 +597048332 +34368558 +1158614602 +905364425 +1473412134 +1255089185 +862690493 +410705186 +1499160652 +579060021 +1582333530 +1830250506 +1664752632 +490183884 +1650404438 +1138502376 +764967734 +1196233379 +19147765 +1766363300 +1092278682 +865770037 +756352920 +471922451 +175637958 +1410606894 +772322101 +616473115 +2146027378 +1766112894 +1601365929 +595592062 +1800481452 +612496883 +1500956487 +1126409938 +1867586069 +216163332 +1537115125 +1219263073 +795223353 +971965007 +902029931 +312492337 +1462148891 +404950721 +1450994713 +79632977 +1601184100 +1470142479 +1845996277 +545979134 +188428868 +454865549 +1017901585 +364066826 +1865472443 +1790223686 +980539942 +1864016173 +1408852932 +434422223 +312124587 +1061850736 +1046919106 +1813081074 +40777027 +767021527 +2029244407 +1577892152 +1986284600 +676984112 +402373511 +740830883 +989476450 +1864522402 +1145781604 +292987515 +1944155379 +599482056 +1763129994 +1642668008 +1145461190 +1951558863 +2097533557 +15879127 +168142041 +1815522352 +1806102813 +1148681983 +1532054877 +1067472097 +1583104206 +1844179464 +2129322834 +482539665 +1509776890 +22616213 +1249561192 +1391537649 +1600508365 +1088362145 +2068521762 +2002881876 +1829193028 +910514564 +1719920630 +827490985 +1203502079 +1516592361 +1426973041 +819148426 +1011776721 +424950584 +623223641 +961826630 +440829711 +791365682 +629865334 +99448877 +1940047666 +14436563 +1166920974 +1375668224 +1858616027 +1148760160 +1858207889 +1220909269 +1171376373 +960285434 +464963271 +624401090 +2048647579 +386001385 +479799318 +1730356959 +1296515949 +52236300 +410364296 +352534380 +1568828661 +1837337338 +1171682806 +433121734 +114804274 +1794906447 +1394948364 +555633985 +438788482 +2024813698 +655082862 +231352500 +2039250261 +1822003837 +1607020724 +1750382640 +823280349 +1317744966 +823808262 +1994656723 +130546752 +1288771533 +471574165 +31710683 +1674772918 +951373484 +1762067642 +823805219 +1003609784 +24948291 +1176339599 +424954798 +1862285629 +200538758 +858076532 +1977089903 +1995445205 +105541249 +385240240 +286750039 +2130354947 +1040323103 +518102539 +2022121561 +714843292 +2125123264 +1625020553 +1538123641 +1295384582 +301345167 +1385296716 +1425931334 +1590116700 +1856870882 +1457642017 +1117405970 +660760718 +1072226011 +1941211189 +1664370502 +1097174302 +970067141 +2089325300 +811976283 +1170605899 +799918185 +641582538 +1018567456 +905459434 +1026822779 +1305317496 +888330733 +2067145882 +1823420035 +762968646 +634505526 +1801059651 +240505552 +25145519 +948960585 +541850719 +1410442236 +227408271 +2131967420 +1119829470 +1685050288 +1101889742 +1780590188 +609792652 +895617284 +1297477042 +1706966954 +1865684425 +1239318695 +371459590 +888806676 +2039236880 +1013042128 +1907374132 +797212666 +2039864907 +1065207980 +1685543399 +1959527141 +741144368 +301028398 +446549019 +394720371 +541533950 +471694539 +1343680957 +1083384669 +1882136775 +1571089228 +1067868441 +854482597 +1108655869 +22274536 +487589137 +1718448521 +917891820 +1785066179 +1277931827 +636092597 +876901226 +1649391417 +1524899273 +768654458 +514949898 +1284789757 +1565867124 +407331157 +202514090 +1103926876 +219374651 +943658458 +1404955274 +665923670 +1338378829 +1946489224 +1137618209 +534576138 +882390245 +872271336 +2105665367 +1950258687 +1726753933 +1066837588 +1972533223 +66859422 +637802461 +742941395 +1851925602 +1915734288 +1379033992 +581343180 +1417642058 +756449617 +1349997639 +1932591956 +2041239374 +768381115 +192439465 +96269816 +1872307991 +411814116 +1039928274 +1129779617 +1077737787 +230823456 +928785193 +67872348 +765399594 +1811175439 +940143685 +723581313 +1613950478 +519413970 +1790418901 +1439000053 +586273393 +280737714 +34457800 +290715347 +48988355 +1413491792 +872058527 +1466630413 +22457761 +74572518 +1251738721 +2063697135 +842953634 +1444178186 +12483304 +567777977 +1855992303 +1052411578 +1697557595 +786246442 +1283235034 +478859140 +854118790 +2048634629 +142550931 +1794262475 +624732294 +1756501409 +166192798 +267667548 +1048017814 +752466191 +548405262 +1082475614 +1043181538 +597393617 +348483758 +1915240065 +2064024030 +370941519 +1989812584 +1168279103 +287155007 +685282570 +464973642 +299638311 +1253060547 +173482297 +1352049889 +803134494 +959728739 +487801276 +1281993635 +1813847529 +388952257 +1424544566 +1460626357 +1013684551 +1033562328 +1626819155 +1281352099 +2081580142 +231801698 +1829757362 +1016572109 +1274983236 +279667331 +1365055867 +1042739653 +196207714 +1735997387 +885068589 +1364486817 +2023152394 +1570351159 +1829460459 +175307057 +675928059 +2002942756 +1527356946 +1479062553 +815187847 +2015158222 +613572540 +481551729 +256626831 +2038117107 +1942178086 +1270311383 +924195787 +1421513593 +404179834 +858292281 +1653315291 +86453548 +1874864390 +780814879 +366120880 +1092436610 +1823554532 +562328594 +680950349 +561139474 +1926815411 +556619095 +2131490633 +1608792223 +731926152 +659935044 +1464251331 +111799450 +2138997598 +131955531 +2126957673 +605086490 +613507260 +236100856 +495719949 +408201698 +1506412239 +1419915736 +1829715291 +1910592074 +130724370 +1335546934 +1997045622 +2005588760 +2116361813 +215682854 +950541722 +1792432697 +778011448 +1631492071 +206088523 +557343212 +40627518 +190095509 +18651787 +772553670 +850030553 +1482903118 +884353121 +841544503 +1614858649 +863827146 +1446630994 +80882261 +1099928002 +1942350943 +489083959 +458856594 +1214783032 +171315602 +221965020 +1345507402 +1506862536 +71526994 +1203612514 +1475740701 +287209849 +6670589 +1120689751 +1065221297 +1638162660 +1326778274 +1622564509 +1678790179 +1516873783 +1641216296 +303860201 +219420689 +976635767 +1188213322 +1060965192 +444010768 +2052040468 +360112538 +524893030 +1004484823 +154979834 +1013976989 +1463341417 +1369762866 +1185292592 +1685306437 +567786620 +544671480 +1756833431 +1771399134 +2020412182 +2044043280 +1778069723 +993618285 +961780930 +1268748736 +172912911 +436861791 +800055267 +1689786695 +2078078088 +1103915468 +1909207384 +907230207 +144645143 +822688928 +1351240975 +49201963 +1182801467 +1876134005 +1053686786 +1337781301 +742627347 +369544555 +560060519 +1927919939 +2054850992 +1127847139 +325107771 +1664200776 +751762625 +198036305 +1560760408 +382348701 +1191654590 +375057690 +1651097437 +1364567502 +811919482 +303669056 +906870549 +742513922 +1407584524 +668594285 +1649744129 +1552229667 +1491283213 +853501456 +1601431631 +526601032 +582151814 +507634769 +1864382333 +1324779161 +877179325 +276959204 +1105215452 +784546669 +1404806343 +1430323223 +301263797 +9085321 +1628359529 +1862024206 +391434022 +672530471 +89598248 +2042531459 +2037097973 +901517730 +198716867 +796484874 +1644031652 +1606301391 +1465079159 +1146292133 +1011047411 +808878725 +1999793590 +464995394 +1335479757 +434461756 +972630163 +1052378443 +1759240917 +1849809488 +1329337647 +716972721 +486872510 +586660343 +2147295944 +788136307 +595745664 +1628171825 +502676865 +987179686 +153218649 +592275114 +882227497 +42832974 +1493792844 +1080944364 +839317849 +990340849 +539762107 +156913360 +2136632982 +1550809518 +965792085 +1988942924 +2015804912 +153788195 +275921032 +840951428 +1206166638 +2035161949 +543277268 +388020637 +604651022 +1030149778 +974680980 +604463319 +1818286086 +1570426644 +85151496 +173479303 +410122682 +238370145 +765754417 +1292350179 +281203120 +112063614 +225810895 +1120520969 +1102404463 +765573003 +1277434329 +1091553797 +168898873 +95742767 +933013074 +37220138 +249530962 +1208934106 +878171566 +1455697600 +1096612408 +1421448834 +1843718237 +1701263430 +304114965 +670915570 +158243101 +2122401051 +93858566 +243394598 +148396706 +503981249 +481764743 +914151124 +1796331428 +762967863 +1026214738 +2022142324 +1883488832 +2128619201 +640231679 +1013439514 +1072689350 +809130552 +1109182281 +2005702424 +846350690 +1358713243 +1067152883 +1724522256 +666927195 +16281643 +998487443 +363161784 +1717545073 +1302602408 +1034077354 +1875788175 +1277519811 +1127935921 +2119182773 +1425916517 +1631917170 +453463868 +192583993 +1280764950 +1216431732 +1218798731 +1155423626 +952436916 +1199934284 +1795655305 +1965876430 +125139987 +457302210 +927575063 +2130842411 +1303652900 +138804658 +1050511646 +880691509 +805731853 +1066793289 +1879178952 +1168893638 +636854715 +1034297712 +55487344 +365159242 +164333875 +1183423265 +336858367 +1590250392 +667856787 +790322235 +1782834386 +1948621738 +2006753967 +854149469 +956561716 +811707236 +2054083754 +604733374 +630100018 +31740093 +1062035584 +1557675082 +15098856 +218204836 +1696479740 +1065610503 +1098896345 +354727946 +2132403792 +830591649 +1523621584 +621774859 +1864889361 +1579108928 +986934101 +2029223236 +615048546 +1323792468 +1471989981 +1282905333 +2114114704 +1107340719 +1084043423 +1973385023 +1961490188 +2040605140 +637608611 +1868090294 +497854866 +1267708630 +1899830387 +1559890450 +677900064 +1914929244 +1778095286 +226896156 +833056099 +729507984 +581624102 +817976243 +1560099633 +2105245686 +1439751103 +1277505347 +1536870967 +279201556 +1159244935 +4435865 +1602994025 +483751268 +1287341198 +1569625081 +1591091987 +223900974 +1395526456 +1405098528 +117022466 +2033135068 +1125705174 +614877332 +1153360050 +878051914 +27284134 +1831260114 +645497510 +1805379420 +2058156270 +1478553609 +387403756 +492296725 +149046204 +1947503390 +450058763 +1588797307 +1077525089 +1986929730 +1867998864 +89286376 +1991365595 +1323509241 +573037645 +1131223146 +745650674 +16645984 +1355124120 +2141177130 +1421744512 +1472146586 +2026828550 +399966039 +2087023918 +1032704952 +1278017953 +2114308052 +716481418 +1923515463 +1772203824 +627154041 +1254585424 +12123933 +1119450766 +1403631628 +1959627323 +1569509529 +844945288 +889668764 +1408955612 +565460504 +978955140 +1252837559 +1888969745 +1551992785 +236577057 +487136771 +1568638770 +1591701177 +480830253 +842899634 +916364115 +360175156 +1242865673 +855904385 +1392880108 +373399978 +822728789 +2109361527 +149431793 +447448966 +589031920 +1404017217 +459572899 +1708482686 +660165198 +271716574 +1130508567 +1505110486 +1161385338 +391980531 +2070570990 +2140340478 +1644818091 +1812057087 +1544849616 +1881395148 +151710210 +966004738 +1325612678 +632540463 +1808904372 +94493145 +992715619 +904286398 +950397531 +238112080 +1277686376 +1773126320 +199989959 +1427118170 +73091638 +789021879 +683651739 +532664537 +350020917 +1343816937 +804381111 +1480529484 +701443775 +1965766449 +1872510016 +624531117 +1958623280 +1369844459 +289104556 +1355989248 +1103755959 +440814766 +174510338 +281884989 +1073355230 +1983414710 +376378135 +2066070849 +740217460 +1326775666 +156699281 +2017903837 +952418338 +356689240 +1297538359 +1025509977 +1145711119 +1981190098 +1558174514 +1495732036 +1177523388 +215071978 +828777873 +1878967163 +33354779 +553804241 +356014633 +1991978059 +1923648700 +645119189 +1200483659 +879921011 +1085933956 +1374993997 +1161806001 +11805538 +1210925060 +1538184136 +2077876387 +1951142520 +717476154 +87092021 +1821562709 +1669894492 +443781261 +971617420 +547920821 +1589492381 +805323871 +2106095336 +937740769 +1982847259 +173683666 +1766518642 +1714330774 +207038445 +172839235 +2070345407 +51532857 +2096487935 +567980949 +1252016516 +828925299 +1653914905 +479526866 +1990731300 +1665720443 +1690451926 +1381431788 +1596113182 +1494110798 +2098907942 +1683205203 +1168189860 +1621318786 +2126986465 +2139807280 +21755960 +1568995198 +797647503 +2127851296 +359252319 +633011114 +154051314 +2125770962 +199858241 +361089759 +151126549 +122720000 +412622616 +100130837 +690700949 +1664639133 +929056136 +197132206 +2144165999 +772303788 +1862852649 +1687134277 +6251928 +1311482184 +1033761427 +2105159870 +847203739 +54467639 +1578995008 +826706556 +46791272 +1600750968 +248218106 +844438775 +1581118616 +607470426 +1477449890 +1735169930 +585757740 +1677308131 +2096259690 +736884289 +1800028131 +361398658 +837015126 +343245433 +2026037791 +1766071262 +540377639 +2022720142 +390891402 +255746641 +1562370771 +397143330 +1567228825 +448648551 +354819552 +266948916 +503116190 +1933814561 +1093655473 +549907462 +1387081881 +1341873579 +1394346238 +820716850 +1949344005 +724312480 +408403132 +387618097 +254136963 +357179174 +1124502387 +2054165094 +718577833 +1961517513 +249926879 +597131976 +1580105128 +790304519 +472368471 +1970996530 +1046051160 +2034739242 +220656213 +465796337 +335904145 +575475765 +732745253 +839020336 +361806678 +1826400726 +1388927798 +1748888560 +1020790658 +635790388 +422121762 +822651015 +1360102868 +830524894 +1210269113 +1614239831 +1187704069 +187287852 +1520921278 +1906281902 +1321717 +1770848157 +355930230 +1581426845 +413669028 +828298701 +1404939728 +1459720188 +715554296 +1625595941 +1925516525 +1051458441 +53588058 +510778131 +1890478777 +415394737 +189695209 +1131922928 +16799649 +1210485867 +1767713316 +438921411 +2033136883 +980332537 +1269446305 +1095922348 +447088720 +309666726 +1283210200 +1968009998 +68464980 +1284531917 +1591374508 +424395211 +718475115 +2005043536 +1252693912 +2123414843 +1317280077 +1968248208 +1601527136 +1095312954 +872223002 +1655115194 +1606091085 +615218131 +2070509931 +1795786295 +1747141059 +2087309580 +858788514 +1367370728 +378747343 +744441749 +200219617 +1648193649 +1840364097 +647308337 +1957860375 +976090649 +467834688 +2026325356 +113138919 +2059209196 +303236919 +831614034 +1916769084 +1555930831 +807545229 +1086565513 +1376695392 +261588717 +34394820 +101434746 +1916703911 +1640485905 +716652877 +1839730195 +1288788552 +316310289 +1779556127 +93419 +1683681017 +10819823 +744535168 +1883900634 +1659013472 +437415618 +383725323 +1469390199 +1413506267 +851560011 +1348231907 +1526645186 +763285559 +1651468826 +210775572 +532570996 +1059916010 +1018320801 +1619136509 +289127754 +1279909518 +1653531329 +390562500 +1049129782 +1146533587 +1107215377 +741376329 +287838491 +1423525666 +373448808 +287931910 +959723035 +384268631 +1032467079 +696140021 +2043282103 +1469882697 +1079865345 +1365188655 +735905316 +1931425356 +565936914 +115066855 +547227268 +69922093 +325842427 +1079798264 +1129838103 +1344163229 +551451125 +1418965857 +476589099 +57498807 +1809528357 +1525718881 +1204032394 +769260086 +119611562 +1491870885 +45302105 +493060371 +1779802796 +1005025140 +877329002 +664786227 +1701165162 +773127458 +2134668924 +633546859 +2138316113 +723090592 +417488567 +556769379 +838157447 +964715835 +626691472 +1163999875 +2044514099 +1756529575 +360679456 +448481577 +1028011784 +837268555 +505980384 +690056493 +215503789 +1710012778 +1459316580 +335115351 +1054400015 +1504618685 +828175722 +686719163 +362160177 +1705504725 +1351505390 +2063325339 +331148535 +1338690666 +549388550 +321981000 +2061781259 +966877118 +878750379 +752455058 +1931592953 +1505441852 +1916454933 +1828623405 +1114487779 +129650741 +129621334 +2142499564 +966919297 +635601718 +685072409 +1182423086 +198130848 +2144388989 +1517538437 +1252530863 +1501524026 +198230512 +1939250027 +1863684204 +1903735237 +1143271769 +1779525895 +87400124 +334478788 +181430798 +409381124 +248776399 +1148307916 +1288131503 +1001231457 +932417221 +646089707 +770202743 +613556978 +1760577487 +899853484 +743178312 +1755593403 +1866772781 +1378780030 +293182164 +901712219 +1576910878 +290087506 +271767009 +681958094 +1791611532 +469997521 +473724473 +1507812088 +226249110 +1616996242 +1139854336 +313649234 +1951475030 +1321285134 +723030358 +52767781 +322109402 +2011161861 +1053999239 +1254526623 +509767921 +1824201982 +1868083602 +122861760 +576571818 +463778266 +1878455163 +295860952 +1842558297 +24153679 +1197573171 +1271985527 +314241185 +1469340180 +1953943621 +2105852718 +1939337701 +280184446 +1466181158 +18103163 +1897180689 +458551846 +331752397 +1701172071 +1779836980 +1054782755 +1753939853 +2101946382 +918460969 +660455444 +1208989358 +1428228890 +337173778 +929589312 +1551090650 +913745596 +1393367578 +1282062165 +1209606548 +1088442227 +1306215844 +259696072 +212944107 +1620457030 +1729036252 +19404080 +1578826100 +1520890306 +299588527 +897523610 +1538993469 +49285568 +1356075457 +1870745867 +1750457639 +988428789 +778044974 +1356913844 +942891524 +1696505943 +2017369288 +4397234 +977251185 +207059418 +933986546 +380858187 +1120805015 +179870476 +1662920352 +182927915 +1268312704 +821652549 +442623987 +1481256811 +294625931 +24176592 +1500660891 +1873452031 +1545066898 +1800249418 +623491993 +936576719 +1849534986 +1979567450 +659838938 +1452508978 +820512592 +1437883913 +661939174 +1763404116 +986906208 +531824815 +1767801350 +1964157394 +738884233 +554304248 +197531933 +1859689248 +734174724 +1860452286 +2042617164 +2002487428 +534621187 +337757503 +1336260591 +829247118 +361934095 +689437835 +555215501 +1907000993 +342203605 +1178707494 +696094065 +44254944 +1010791297 +1355933003 +1496763922 +1831303889 +646333268 +11219448 +1447224357 +1633239477 +543044263 +1067542059 +1449913223 +1281928497 +1621846307 +1647445156 +994134097 +208537383 +1360413794 +889267613 +63541164 +1895034981 +1227025117 +1399801755 +576798451 +1588959212 +2089239590 +1132013952 +1348476558 +283959548 +163237799 +2044570623 +328214492 +1174029096 +1253019978 +1824978414 +857849337 +1899353247 +1836197862 +157590046 +1385109076 +231758478 +1225132105 +687538651 +1513686975 +699494764 +187500159 +360337424 +908032147 +1547913954 +1249605038 +971573311 +1295465287 +329146507 +223891419 +1872263739 +1918105719 +165647361 +856794043 +1119098629 +449606909 +1020031842 +1016185604 +777821401 +46577290 +121721935 +455316167 +904426627 +2021075182 +144030382 +1062016673 +1258700610 +375788860 +139665130 +1946239261 +1889475835 +839159894 +2133739420 +102329611 +1747192042 +1534169726 +1351934649 +571281705 +682151366 +1681081156 +795173124 +406931457 +1451703228 +960820486 +1263725500 +423318209 +1410427395 +136273695 +1439503814 +40765149 +182850985 +1561225749 +496081316 +1087277613 +1434817283 +640111698 +1810638 +546034245 +1015900558 +141475769 +344789858 +757892745 +980635663 +331045630 +860222357 +580344057 +1865215357 +64673358 +1151625763 +399883075 +1745754515 +1946798887 +806814532 +1049974095 +760135725 +2070540032 +1473292304 +23079473 +59330079 +765312470 +63844622 +242181065 +179054571 +559925938 +1329458678 +1613871854 +1200037637 +1331269316 +12422451 +68454547 +1472745085 +357212309 +826347293 +305897101 +688257940 +1686569650 +886241158 +405989649 +1751243008 +2037866921 +805872724 +1349513875 +1837182161 +1612687256 +252004322 +449834238 +1535743640 +1725296627 +472913711 +1595073720 +343125449 +536758333 +1837254785 +522180021 +1096684272 +1019229815 +2136051875 +149238261 +203015483 +990679 +217692808 +1675760569 +358202988 +1044040101 +1981657670 +1046460928 +583126103 +720415180 +1452450577 +186885464 +610798454 +110839653 +1536399339 +300496967 +1723526909 +1788403662 +750331205 +1111786902 +1366216641 +1223244917 +559376974 +1709342090 +1760003250 +249148111 +84038463 +709203874 +1268377926 +72606691 +858442135 +1471393409 +73597370 +1076134944 +999670330 +431800358 +2120175045 +833844352 +1478261287 +555817501 +1554259533 +783228216 +742702965 +17574339 +894067870 +131618656 +318071306 +470111131 +1920022318 +1068402511 +1581898033 +1138755311 +144163780 +2141275007 +700613754 +1904167031 +242939470 +784652217 +465887257 +1511317396 +857258908 +1324329393 +835227158 +930856278 +252980689 +1834897488 +1362656637 +225672086 +521258193 +693434276 +781489587 +2075517726 +1476662492 +1524192552 +2093092065 +223246714 +1655811209 +263679723 +693357846 +1428349879 +1332082234 +127772231 +419621543 +1476246015 +121563591 +1120235297 +1232929398 +364503061 +1904887514 +1698816655 +1875820458 +614662775 +875662400 +563563968 +1545519053 +1128643089 +250977808 +760692042 +1354315176 +772236001 +1454126318 +2135804763 +700270079 +783305163 +1512513668 +645878496 +1006551877 +1020841229 +909558219 +1699909723 +301707460 +94156806 +1827681955 +721329003 +1570402821 +1949245546 +1841564300 +655848571 +166264959 +1598968167 +207181578 +2042085417 +66147294 +1082843979 +458165737 +1611666347 +64003420 +709143546 +224874742 +1418318596 +1481379547 +1679001060 +1406639712 +34165979 +314822575 +771669732 +680044475 +1321374453 +1792510961 +1589602695 +873800528 +2094218421 +1683759501 +553998835 +668063777 +1106678674 +355760733 +362144429 +1762527245 +522025693 +1961112596 +1969708823 +416627462 +2027259890 +905069154 +874793200 +1491442590 +969072575 +1583936746 +1716317332 +239907523 +917832645 +1247834744 +1646547235 +951998624 +1562657320 +270733319 +1632043100 +736548125 +2063244280 +1074162147 +1610348653 +2009979054 +610438000 +16863841 +530559183 +1717116674 +372624574 +892703612 +1332160271 +894650267 +706332561 +1154385446 +1311277730 +586108803 +2059454601 +38587282 +2077551393 +881043528 +1622524028 +1646385077 +1120951051 +392873025 +746736174 +620014639 +1344871650 +161909846 +890747958 +829431102 +898457971 +806508591 +1903593249 +361322976 +669003997 +366547601 +378186817 +1199563180 +2083664275 +750811392 +2092266792 +1268340898 +1645461659 +651115705 +275242696 +809255741 +1237224509 +187213649 +847843023 +1167292254 +1068257177 +322883403 +666193684 +41724581 +715756429 +1412929858 +661739220 +2060628079 +1574839704 +1552487178 +742575533 +325814027 +211512121 +498685134 +687137003 +880516118 +865232735 +1065323821 +2080079298 +801413362 +1816135213 +2024862443 +2069754260 +1314113224 +528494500 +197513308 +2123368966 +1765719009 +384726958 +823728341 +785527616 +1452984135 +1146611745 +1451721300 +1494708716 +1862368174 +717167510 +8964288 +1775512605 +144523566 +1561451467 +370604490 +470337593 +1772963588 +869289624 +1157474596 +505996059 +1734522359 +75314769 +438591709 +388452073 +1891449982 +315970504 +310722685 +1058079559 +844465005 +508235993 +1033964877 +462700366 +892962951 +1857693218 +1248227982 +198463439 +856821315 +552465634 +1693172155 +571705841 +1269633144 +1702136444 +199734798 +1414156710 +1116104263 +570339288 +1884494303 +741584203 +1439628912 +894485252 +1247580262 +1026667623 +969800021 +1686171972 +1415119696 +713766356 +2002142476 +1725842381 +1771845915 +699123833 +86594727 +658327144 +1161824200 +979557678 +368536714 +262568534 +1178021117 +1225358030 +815034169 +723709625 +1797063871 +2084667313 +278362421 +1996798670 +1351340376 +1394466684 +419654310 +1088351031 +2136050887 +1859283223 +1982836283 +1236147502 +738467198 +805152657 +774835826 +6103247 +1518919013 +629494654 +1731945628 +1143281280 +1328618488 +1818540355 +1801608424 +342959040 +650614386 +22661490 +605527574 +1828635503 +1248019520 +1420561743 +404861480 +897599744 +1357745409 +683223901 +746914766 +561602137 +2077690585 +1166569076 +1649953168 +2066257825 +878368651 +1485305804 +1154921679 +1616835850 +142974813 +1929757505 +1622939097 +1661893826 +411768511 +1207401077 +657691458 +1740386999 +878457785 +311816234 +2083346039 +1529072171 +334477724 +541389966 +1210224026 +1582497245 +1961951709 +1615085507 +332613341 +1172213470 +150825760 +1079528107 +1733815607 +81032698 +98613535 +1236285128 +2147290523 +976982187 +574107284 +1154728554 +446334389 +717082097 +937002411 +2069273486 +231492275 +1348770922 +1129190915 +889183733 +941674274 +2007648700 +1200999967 +877536665 +1389237223 +1535477691 +1418926631 +451977602 +970491288 +1233394693 +2067063109 +1303104629 +258124515 +70405221 +235149088 +1991940123 +151437919 +333762624 +1080741603 +151244794 +1310744811 +1654848887 +1305973348 +1757079200 +224447336 +95492111 +1678869038 +455939611 +1444263034 +660576305 +1345123344 +238453660 +520741358 +398639663 +1115990325 +1909978581 +1934117354 +387433309 +214472535 +757124995 +1620828002 +134051996 +2060229624 +1878952517 +204457218 +147895065 +1723408992 +355895137 +481657689 +656666947 +507139932 +1792402500 +164032186 +1813113280 +1401998052 +388479522 +1908605392 +933383442 +844419133 +1205384778 +1593959747 +42058829 +1443838438 +2114701105 +440698492 +412345115 +1877196039 +227332199 +799778424 +2091668574 +984457194 +273122778 +78236923 +897203170 +4591648 +282694141 +1045098235 +1728000640 +638589278 +1526755924 +237183940 +1145729210 +1171674776 +401216126 +811358843 +426189180 +789695649 +572480587 +1359572622 +1634114782 +1777865365 +806048722 +1676173612 +1074220155 +773266179 +2116872104 +1486565270 +502978570 +196720655 +138860047 +447163497 +1181177849 +411982825 +525400420 +2078381020 +416574473 +808094561 +975995607 +2144575114 +1446683839 +355267884 +234275406 +444929402 +1526942660 +635491532 +1256288245 +1953131841 +1425187181 +1828768832 +1165220815 +911818316 +1459150549 +1971269537 +440508280 +385887056 +597052069 +409896736 +1872452326 +1100030639 +606617392 +2011312373 +1547194136 +1787795241 +275811551 +2072594556 +1718692613 +692386024 +733205469 +547204573 +689477490 +32405661 +902472457 +923752896 +477335063 +281931469 +1559244429 +1733623308 +87579662 +836947962 +1414908492 +1252800478 +1748766278 +726575393 +1076586367 +41790910 +1112462449 +1673638436 +451687647 +837431127 +626185428 +1058305039 +701259853 +25895916 +698616632 +977071404 +2098490473 +269825598 +1669457428 +684212294 +817030171 +211451271 +716617955 +1719502628 +1135204167 +1193953018 +2001434097 +546964948 +780092678 +2089013760 +1383912911 +47517522 +1194330590 +985195541 +774092915 +123433309 +1026986452 +1886555364 +1797071746 +1478674099 +576502844 +275773526 +389495490 +1277762697 +301669442 +1088112122 +107350453 +252676267 +1357937720 +1776807881 +936888562 +27484243 +1988259152 +1653506517 +1746986871 +975979672 +699975888 +1600937321 +1522944620 +1480068566 +1542467433 +759373883 +1527586089 +589314375 +1744569425 +154195356 +712747684 +624072229 +2040750721 +362335782 +2102746328 +469769917 +638109308 +344758170 +1747532614 +939778751 +1432870292 +1854883067 +1192455018 +643324365 +1484207300 +2129343580 +670808608 +1324982805 +1635366450 +270311832 +153478829 +187858690 +1871249153 +1676423449 +1667927256 +1266232938 +288313685 +1048029697 +1855547313 +2032883110 +1202225054 +420811349 +509471691 +1095492127 +783147132 +464734371 +1565262044 +1421256440 +809492541 +1165311010 +213551543 +94879185 +872710429 +1406006562 +738203550 +209434081 +1387866494 +1409012159 +1534416886 +875749296 +1679323991 +1687895715 +1063607986 +1403089496 +1216835517 +584051595 +521838786 +1505149202 +1632081292 +229902451 +1390548664 +686822698 +650713800 +1900020355 +1782314825 +1433860932 +217271078 +1200093221 +707633725 +1026763619 +217920583 +921185268 +1121642804 +1090631012 +179708182 +1859846355 +1300065094 +1567574677 +1121374866 +686998332 +295840325 +653215209 +227410400 +1359448312 +2056304705 +1444245917 +1943499907 +430659843 +801911471 +1428097551 +660562294 +44976487 +2114920250 +1311276094 +1944996842 +1749751427 +597653379 +14784272 +802361001 +1305287104 +1041547891 +1020281584 +78988724 +15707047 +2110912597 +258696907 +1875553402 +1263494043 +1826271584 +849444620 +1950492375 +2122111909 +1502659829 +30419127 +1334076573 +1411480886 +1474665044 +1130092832 +1842140729 +129092867 +410706736 +355219375 +174069354 +378143338 +1666495470 +2119066196 +2127894765 +116665201 +2133850468 +782772118 +1421952305 +1027914711 +1803053703 +1500941029 +1043621759 +1766482652 +1759637936 +771691513 +882493047 +1438425872 +1621136134 +685501774 +1413054134 +976312315 +715920902 +599647059 +240309554 +43102298 +1729739892 +2082450283 +172195166 +2140446628 +290186011 +346264520 +371106318 +1956681481 +317847069 +351517435 +2073346682 +304213889 +1134289554 +1347815339 +1332128601 +789859609 +701272720 +228266712 +408858613 +313427009 +999958225 +1291351660 +1751852881 +473610711 +1976853434 +1017423367 +1449923027 +545290688 +1617070427 +1690232581 +588392987 +1199326671 +1625199216 +760588153 +1192289651 +1915385227 +1106852673 +1563395969 +1724583060 +1424699742 +1914913404 +1650446094 +1728913632 +901719310 +850777785 +913558585 +1691578919 +1552050506 +1141825297 +2100437532 +1865477515 +2141783522 +1244305544 +1469846748 +467910586 +1073675331 +339786468 +1917833613 +1618966019 +1956856895 +1460582546 +59875358 +1008699918 +938298114 +820463511 +53505921 +706199694 +1927316185 +1616901890 +283299106 +1204532279 +1384331646 +1933745201 +785962263 +138567309 +637039338 +1699520848 +1830146228 +41606196 +693862497 +1783100113 +1907083711 +688162372 +879922009 +1229446812 +1156072958 +1953597340 +1569233280 +926422923 +1425079712 +1378606527 +239521821 +1484955070 +239822797 +1177819935 +157934934 +293328718 +1884019629 +2085251119 +1910230608 +19835088 +1142299750 +1147078606 +1953580289 +1928262014 +1285645915 +443135979 +1480299214 +968308496 +484742176 +26678064 +603924961 +244342239 +714840436 +1483846970 +1473789051 +1870913394 +1289960663 +895538683 +649852669 +567556727 +126661562 +889374490 +2052511797 +366484359 +2067194425 +62963083 +659813077 +1803730407 +730554 +422560037 +1823565495 +1143030305 +1569638644 +1629662136 +923808671 +707800911 +2072798115 +256624237 +1676109407 +410056643 +283302301 +132550720 +654398883 +998142737 +1616397691 +2128187934 +721572483 +758874706 +876242970 +1371425152 +1326431433 +1002904532 +113315994 +1231459582 +1369388892 +33026772 +1294422666 +2029201969 +1836757179 +1295153220 +304278359 +1512839026 +290699877 +1873917003 +995017514 +1214508548 +434234266 +920331981 +1471132786 +2110343674 +1330388625 +1754435087 +95410746 +1984787508 +605094177 +1711808437 +1965491794 +1326666660 +323199495 +694251116 +550608165 +1649630928 +1697155649 +663924159 +733606863 +919060893 +696950931 +2028029529 +800779214 +386224462 +1175699101 +1105057573 +1899063488 +1466398979 +831490928 +746597354 +533423879 +1265725195 +1666929336 +2004556665 +1228585221 +849834313 +1611508105 +1323995967 +687138173 +69118634 +888320757 +505146319 +1395785294 +1211520252 +1199397436 +1946393459 +713667533 +749069437 +462833971 +1447274396 +1668130330 +1159784902 +1327820277 +321425896 +1546009365 +356035730 +1426483470 +1297589205 +1822434709 +110490750 +2044186560 +208374941 +1376215945 +1563632248 +65447958 +457317518 +265982913 +1676956063 +1781313486 +953121086 +1746074697 +522150595 +1458267405 +994376344 +1733670847 +510181193 +793286155 +299854732 +1259250630 +1256120126 +1747129128 +779897312 +268421381 +927465757 +1101323209 +1814430746 +1283501488 +380323031 +964536303 +958452549 +490813781 +861239215 +1166827490 +1867029727 +277387815 +1232275449 +176863597 +543370728 +761747864 +1958177083 +1496491814 +360338914 +332844030 +807275572 +1354715258 +2066514878 +1317456765 +517765 +218885962 +429223748 +1256637892 +1966015091 +1209121060 +1525059273 +745997200 +162960621 +1192006371 +2029498688 +543283652 +9059026 +840467590 +1034097434 +870298242 +2007295080 +753643513 +1147686057 +1092086881 +930507110 +1691056786 +1853834746 +741200546 +1040064952 +66690012 +1074044576 +1847340524 +1421405270 +993075806 +1017313642 +1421923035 +1211961769 +1446537390 +531077279 +1030493212 +508174802 +2056136552 +1776490412 +671135424 +1100659275 +1658505453 +1214419076 +1109718302 +351489395 +101032862 +1980016544 +211300827 +854676375 +980218953 +1303387709 +1785183486 +523792091 +1009738807 +378900384 +1563857044 +1076428819 +1452944960 +1263713920 +350350441 +298537119 +133543914 +1772273476 +1510498888 +1580081304 +155867108 +393508452 +2088256107 +64520012 +22515216 +611907883 +1165179288 +1681020669 +1826326959 +127413942 +2032510064 +1927359822 +2107430486 +96327244 +634552549 +940165791 +1399714953 +272252387 +1463957883 +261970112 +651152771 +880331279 +1338398931 +2104097732 +2144045199 +1688749372 +255151203 +130105466 +1313539200 +1765650091 +1710186770 +1469406308 +11674895 +1650959229 +1533926321 +34190111 +115383464 +551621961 +1715210781 +1941710424 +679035903 +1600237197 +1721586598 +638982741 +1696564441 +208655499 +1579148532 +948795746 +480907887 +895622767 +1210765858 +1132060658 +1775954046 +401681141 +1088674742 +1772515598 +2090430513 +1343825945 +1902621064 +1256486066 +961992388 +1465324186 +578408726 +973667283 +968799768 +2112335047 +1007857395 +1084183232 +516473360 +575584528 +878410008 +1195509263 +28338077 +452512958 +1834492004 +1724902519 +661168458 +1266156889 +526214617 +1142076345 +14296008 +1736980476 +126653355 +1790250055 +2138661617 +1215328098 +1415282005 +2081608483 +411670395 +1170419421 +1190610901 +1373662784 +488259959 +1769019627 +199846419 +1457059727 +1733871027 +1207703814 +393759312 +102860739 +1783288342 +1272169320 +1298370003 +1811626420 +1724682279 +985378359 +1389045291 +238367089 +104051600 +1915259908 +1380443434 +118347609 +1504756736 +1507096789 +1908597664 +1495934706 +574941239 +1176396021 +1430059541 +986611635 +199331794 +473186794 +212790771 +687591753 +94722773 +412637190 +2144651481 +1828593800 +1620341005 +390927145 +1931454540 +1256145699 +1663096465 +1082340895 +920288471 +1240295096 +2067719254 +161850114 +1478662185 +24287207 +2077110023 +711621971 +142634816 +1434383111 +71235113 +2051232480 +782834169 +646176352 +1080144853 +65410062 +1632787987 +1279476647 +538596856 +1845578758 +1967068400 +633319630 +110732301 +1964236233 +314429782 +1731073306 +207679730 +98400674 +839735357 +1870776196 +1180741569 +1760023829 +963587644 +1100977176 +1921873943 +294766182 +1125264383 +1851500318 +1006388153 +1267899199 +1138399782 +1077623266 +1171648031 +1921233951 +1723799619 +104309236 +1986644014 +1209103958 +1383785883 +377757222 +907199069 +1203370635 +1011076852 +1017931370 +1020123221 +1325506635 +601521028 +1227802951 +1423907309 +1441256385 +951095499 +457165231 +1053796566 +1914683144 +1558142407 +828186862 +61965678 +535923142 +532203532 +1068353831 +1803822341 +1670603314 +2145977098 +827986724 +1444353618 +1722293069 +932295960 +1283513984 +783913379 +168598195 +1661271206 +1691112448 +1371968830 +524864411 +561560170 +244608403 +1850371046 +1163081198 +1472411355 +1126794707 +456853936 +276023206 +1583959938 +1510650502 +43222702 +994618697 +191353716 +105188380 +1530541839 +723557249 +1173542212 +1186880532 +246676915 +1172035662 +2014867256 +1691030533 +746845083 +799679568 +827060869 +1530758462 +968277763 +340848428 +1074387263 +192762946 +865712839 +1635947433 +437371349 +568600237 +651544984 +1909782704 +1695394944 +1108398920 +38322263 +1131871235 +471565774 +81544965 +2126489932 +662919491 +186733346 +1509548124 +1386476740 +1360275558 +548945008 +1633153655 +384827572 +416328617 +1176700541 +1131672655 +1216008185 +2003761410 +514947469 +36802301 +197126190 +1589334732 +229565247 +1062839029 +1077798518 +666936596 +1631439266 +1729343502 +429235653 +1179350563 +690258774 +467557916 +163738150 +1161824548 +549102881 +142744434 +1824744039 +735836227 +1652292558 +1063737131 +2096111785 +53753919 +549407139 +333455709 +470082536 +1726107680 +1465128364 +1686090721 +1582385442 +1980075834 +1722893022 +1779511633 +1421926918 +1952458269 +694867014 +352241788 +471911218 +178822633 +2081585290 +901146871 +1358173196 +624360416 +1368704787 +1521911346 +1786184965 +1917807668 +1664655780 +1463445356 +506160248 +1169464691 +379698840 +454788385 +1223218610 +929105979 +788244095 +1693301146 +507730011 +105888811 +1231908219 +2090115453 +2085964645 +807317594 +1722143438 +1360407916 +612292215 +269526805 +1712649704 +1084203433 +448349438 +1646751347 +1985350304 +1806522634 +123628115 +1206571443 +1180950332 +1909813080 +976895464 +698122464 +1225774789 +1483055712 +1867587155 +1605473629 +1937844097 +943322117 +387095960 +578604544 +489139615 +894825971 +684493356 +1721047835 +837457776 +622974353 +380881781 +412117567 +1983382269 +993173996 +681644372 +1548548326 +2077377430 +1129993810 +1047816025 +1915244086 +789032796 +1171444140 +974331882 +1969983128 +933773573 +1951227346 +520621944 +12064714 +1286799410 +240725452 +1617538343 +1077159859 +1184047569 +2004634303 +1655764404 +1673187185 +751976626 +192774112 +1246751372 +1589434402 +815748465 +1627633153 +2001551969 +651647087 +473323501 +535712693 +52711765 +403217283 +1665706503 +1100527790 +170977722 +307255651 +124488282 +1145309604 +129755131 +1058261855 +949053302 +650377076 +1070326569 +88369064 +891102528 +540381264 +1165528923 +2075150097 +397531919 +673809679 +1600853634 +1149508545 +866583791 +700121358 +591459300 +1682332257 +180270863 +445527621 +186495696 +653594365 +981240315 +239207461 +1056811648 +499463170 +1339735251 +1227789370 +806718822 +1464223533 +225615326 +936473953 +375001741 +1174668628 +1586851029 +1445328310 +1263037692 +330469909 +1985709575 +281082968 +258136359 +235757846 +954892647 +1858989993 +1385266392 +1821476439 +411627704 +1976725692 +1356325048 +591898567 +274769665 +1542820744 +1245492932 +1256009980 +1782028205 +154820933 +1755473151 +974279808 +1382610303 +414708325 +291019693 +1608225630 +1351182278 +666021434 +635410610 +790549660 +2111349745 +1898448303 +1121019569 +1949575672 +32047623 +1379155928 +37849870 +986940270 +1090662274 +1423116262 +660933061 +1502289978 +1252358306 +2017258109 +2094188545 +1527127972 +1412595205 +1192197830 +635654304 +1047139762 +1347018763 +243643807 +2021419570 +582145418 +658352132 +164955616 +42887400 +2009534411 +830977050 +678298011 +652600423 +794843147 +429262666 +1773619992 +596935171 +461310289 +1005292273 +634785042 +1448250559 +2095954547 +2057901304 +2109183621 +1450760877 +1162775963 +1978958082 +1397465774 +542420287 +1244069640 +442179956 +1178074591 +143725754 +1789198719 +1421718399 +17661677 +223860490 +2080070531 +182617293 +266747890 +1942121294 +1013594343 +945045901 +447238069 +1808437491 +1374308567 +73374414 +257889014 +1835618856 +1078666687 +892674056 +1136385768 +1027137586 +803091713 +1098085741 +330414815 +1965867676 +929560175 +1727880589 +360804315 +26146167 +22576898 +1538878906 +169871922 +1811775617 +813113657 +187533599 +2035636107 +745700541 +370150892 +154900350 +540338187 +1383745235 +1099946251 +987576257 +1044699078 +326771171 +1060950671 +1302588093 +14906379 +2139617358 +47778501 +1151292147 +1019271296 +850870214 +101894240 +1349686111 +669254242 +1031454416 +930083052 +1030058557 +1057600583 +952659950 +421453816 +1227472505 +616951920 +1234567473 +1415006104 +505104379 +1980268014 +1785156996 +660004729 +373122554 +1021418584 +1759950981 +1360698811 +2066117662 +2086722152 +274165834 +1221222107 +2101628531 +266299544 +1269000609 +1105437031 +1285570840 +2119870823 +1207331271 +487773303 +641641418 +91302039 +1417856355 +1671699975 +1148902623 +223032658 +2093153791 +228891480 +839984578 +1180237617 +1643897585 +1345088957 +1013021983 +1281570933 +2005093687 +1386144537 +155505869 +1617561020 +599359700 +74139884 +1556799524 +873525534 +1295361991 +1510944407 +1139825078 +416878952 +468897790 +277912270 +389266128 +1676229062 +765685573 +1030907546 +1767531101 +36058281 +555123873 +768950076 +259090939 +500794017 +997841557 +1099075517 +1681031634 +494255494 +296680826 +546569969 +1775826427 +154290865 +1932714507 +1931332297 +1771851885 +384590559 +2005472181 +1181167761 +1258116094 +1153350524 +544628521 +250457524 +1570229477 +1013526311 +528369795 +1959495605 +542271725 +1294055368 +842919503 +162319179 +1330113649 +1398043376 +931269255 +1589204588 +1898837393 +1929110812 +540796457 +1432385379 +275882658 +837477284 +1978955349 +2051709086 +991768149 +1764186208 +1835557735 +616136387 +1293119 +1693546268 +1797304148 +1259409213 +699413144 +194449021 +1509866738 +122158973 +1207975333 +2038236533 +2081654578 +1750247058 +1184808253 +777090433 +1912566237 +367438255 +27650162 +696351845 +1956642843 +1926487555 +477979009 +349955653 +1211389287 +753861668 +1187432937 +1042860988 +658087106 +31717438 +659563548 +346161193 +647853825 +660856667 +2039707461 +297674326 +1920265881 +591636957 +492123347 +1282648971 +713795931 +1700098680 +1173401856 +647966861 +1302862091 +210726461 +1425057295 +1067944680 +578164716 +1452707457 +1764296525 +387323912 +1231711364 +94791887 +737279565 +295617003 +848653555 +1924712502 +1338477991 +1506740661 +1956429940 +1998041539 +1852901854 +456800118 +511414559 +1745125667 +754474444 +284196792 +189278976 +1246597791 +1566845763 +903074907 +799212824 +592763971 +1551041769 +2102074915 +803490432 +828615416 +1022535947 +1381655149 +133839225 +639348825 +1768979061 +1365550589 +734140712 +358774978 +1661167593 +1582794267 +136003832 +852161936 +942051280 +2092433772 +702719828 +647469486 +401750242 +1214134387 +245111505 +1156224686 +1498331179 +434390481 +255338830 +917693294 +1337465389 +1054551654 +1510457265 +741023510 +1009142921 +166464049 +1569638926 +2031678868 +1548119198 +1703478151 +523544045 +1169614611 +921545092 +1257684757 +1528389589 +435229037 +692995376 +1664393421 +1287390974 +1635046656 +1609343546 +1990110802 +135032494 +2011093788 +1056761541 +380143999 +1019834827 +407609072 +814534481 +1275173657 +1325302366 +4516222 +182241663 +688275983 +745539732 +1191384584 +854740032 +167695010 +1075579804 +255375583 +1871173161 +1599123850 +1424990194 +645234605 +709324959 +805896136 +1080463643 +1402320336 +322805909 +220370969 +889883344 +1932149455 +62998123 +1024915839 +1795759596 +1119759664 +1405059838 +668110775 +1527368736 +72110671 +1943284432 +705187454 +76626893 +2125526095 +1393463437 +822166625 +1169427031 +100719821 +989861635 +97523187 +356095404 +713551148 +1696647037 +1781085599 +1358785754 +258488349 +439498087 +291765749 +1660808685 +762303996 +512136718 +403208381 +546969804 +575134841 +1428124220 +195245752 +1694894505 +685700411 +863356527 +1074779593 +757811082 +659157311 +1779967047 +834437976 +637199758 +1025946836 +1656604601 +1806626789 +1126666657 +498982589 +1904149976 +1482762062 +1212533737 +1453313366 +1116364013 +423835843 +1711801715 +1555862100 +715601592 +1225126752 +170682448 +1227738310 +1628335133 +717652252 +1802873151 +908975706 +912898004 +1350284008 +1594676117 +1776254531 +277579953 +205003551 +287928194 +2057547000 +1039441527 +925127952 +936010188 +548562481 +584271093 +2062676846 +1047545070 +340937422 +1397955260 +112595159 +1794250788 +366835625 +536431003 +1358568855 +1922697725 +1252032595 +436211959 +2093380173 +332287258 +2064547092 +663548778 +2135160409 +826039150 +1576446782 +1337960770 +273231619 +1205217666 +1615540723 +478235171 +1493145860 +1525604076 +1517676698 +270790165 +314130616 +2066239179 +855061258 +229323814 +966300601 +1195998680 +1627279074 +1078895761 +842765820 +1994114699 +1615326764 +53851027 +1769328776 +719875711 +490062986 +1715225302 +1052162969 +407126431 +231290432 +1039839731 +1233165581 +1807737214 +230316853 +1506397201 +865471232 +1845857576 +1984632372 +211133445 +1223978004 +1354825422 +481923610 +1538108621 +1273580954 +1336984868 +1767432435 +92397907 +385499901 +1247227862 +1171293668 +1228265721 +1093858913 +639136784 +1282116749 +715704042 +1359012496 +1772179735 +283445696 +263691817 +31822518 +514736128 +1303531548 +1264988100 +174989694 +1533848401 +623901653 +1040460927 +1232222330 +461050377 +1251594372 +308716686 +1815875799 +1733517982 +1846825307 +941973105 +923019202 +1466774095 +1034371013 +1308519103 +566518309 +58181033 +389301177 +1660377222 +697317818 +1671417926 +228597616 +2056330314 +1296114013 +512043312 +172538483 +1327936532 +1026779440 +1476070032 +445440984 +1201769135 +862434785 +1069342637 +94746414 +2094657115 +1530393014 +1346340786 +255890154 +1198785165 +932375120 +2102715461 +2140758271 +1855394322 +1422005908 +1027645636 +1016429778 +1988524217 +1085826669 +1405730955 +1501417792 +1783144487 +929665233 +1730015408 +1691991153 +78295598 +94575073 +1864529637 +1406232130 +1121354513 +1193116021 +1851673114 +175640000 +2055550806 +773532103 +270386414 +2002724274 +156441469 +1616727200 +111130780 +1355226635 +401618672 +66362593 +1348501258 +109529347 +1488368502 +228663246 +1125959125 +1329409071 +1314489915 +384206432 +683343215 +950150755 +1313871665 +265874976 +494658260 +1392167263 +360450049 +211704249 +650915746 +1481804562 +1404820270 +355105212 +1657444563 +1312887429 +1128637316 +1927830977 +1168128055 +1285078785 +1397074530 +1279258835 +492821772 +1798693202 +1345621428 +1841323030 +1908222549 +686506282 +2069986276 +886698026 +2015915354 +1236992544 +1270904458 +551774921 +39659651 +437292475 +817649897 +534317911 +1829459739 +1178099946 +746022161 +332891837 +512420861 +3358783 +687997049 +22381776 +1316246212 +1816634365 +1950212753 +336890619 +954229503 +1199803635 +1616149454 +1447051275 +851013190 +814287235 +1140890658 +611752091 +1500793517 +1063393286 +1498450118 +1369225223 +152902182 +621870928 +1921000145 +192561833 +1059163404 +591166394 +726879745 +741139495 +1769266341 +1472901906 +1074031332 +134203554 +1476260689 +1762028381 +156585330 +645023254 +1431179099 +2106798083 +981913873 +237924954 +1159118071 +450579680 +1684976229 +2010131261 +1264866915 +678383239 +474399704 +618176784 +1741776526 +1972849822 +1987402008 +1894678708 +447237103 +1760918505 +2087240542 +1506400507 +204601251 +666636639 +100056354 +1973867592 +2139538545 +1174087686 +2108071146 +1468315586 +788632419 +117172828 +2113338840 +72327870 +76487264 +947769066 +310252824 +1235605335 +1398348746 +1995229054 +1098252948 +515732013 +526128645 +1572652652 +1133908797 +120421523 +1398018827 +973827157 +2015100232 +1845255930 +587262014 +1954857126 +1204172789 +791863266 +474010117 +1304229143 +618247210 +466065014 +330833181 +578834709 +1934380600 +1119465600 +696007537 +1900235793 +1191793471 +772494801 +700521211 +1502046295 +2008100136 +2098869957 +1349791701 +958869436 +467118322 +1875920347 +384038441 +1601027119 +1996341870 +1782057268 +427370629 +1863958454 +1479829550 +1014632643 +1671331932 +536518691 +1806495909 +2145342049 +1840747834 +277259472 +463923415 +24097367 +856094181 +250820368 +1143562967 +1552101718 +3572513 +187872790 +177112872 +704093724 +1689919086 +37729360 +655480033 +892227139 +996598797 +1122598355 +620663838 +1380637238 +576141826 +469522061 +1015210858 +1003512455 +185996867 +347556760 +2018145099 +1857328800 +884075451 +1677157360 +1855187201 +577339637 +1954416832 +171626969 +601437004 +663027365 +422447337 +1744999971 +67645436 +426019850 +1932872762 +244758308 +1130113574 +1475308200 +282487668 +1785593607 +220051691 +1279086465 +760708314 +840715530 +512240055 +1336850140 +1310237591 +1527450913 +192878948 +1496234458 +1875007673 +63540399 +1206079610 +611599476 +1740697759 +913783164 +1188939113 +1547630944 +1085410133 +1790376117 +63174661 +1507857470 +1387892441 +130820097 +1933877320 +1173281555 +375578405 +916507246 +501106107 +658066074 +554617205 +721157798 +1937152539 +1315325519 +1561873328 +301908947 +504692011 +724627271 +1829359860 +697570959 +73378082 +1556883886 +761111358 +1279457692 +20999714 +354325470 +45757208 +1209938828 +1901956414 +1131167341 +852831297 +1965131075 +491541163 +93240090 +2095951173 +277934835 +1266521645 +324045930 +1194442081 +1767627752 +982112004 +1749059286 +341301903 +771780896 +916901157 +1903175231 +1073689843 +1421593169 +480318855 +755566055 +2119164128 +553696937 +164966293 +732791839 +1833154629 +185966008 +1087117309 +1878911838 +1395904836 +841590075 +862595531 +101252485 +659237502 +1354136695 +194492576 +607705027 +1632071530 +1461014221 +931750958 +679029964 +1081158326 +1913862962 +280605602 +1422460229 +538160210 +1197506760 +1178151812 +1611850053 +471616281 +1658470667 +219932461 +443296761 +64683956 +384898754 +1176088600 +1897838586 +570864762 +115722261 +1629266776 +1966769598 +957312336 +344378659 +2068022084 +1616549839 +1698515354 +115031012 +76771218 +1183103237 +1576045233 +1008522176 +1862133201 +509719911 +774901491 +2142738803 +1932180140 +1313061701 +1192761915 +962848305 +777428107 +1664378196 +473835324 +997360568 +2107674958 +538519281 +1382259322 +1136279910 +288874219 +1953124085 +1252002172 +1918140995 +1772410035 +61830860 +115036006 +1692948471 +1678380699 +1813551361 +1807979483 +1755151918 +849170950 +1236541069 +616190446 +563820503 +1746260980 +1391091937 +559075658 +1530957473 +556669991 +1751837574 +346322130 +1334098098 +1268732122 +820157454 +183975018 +1228923432 +1358676735 +1566234340 +217719695 +1647550954 +1371874777 +1469721867 +1418208301 +996801165 +1531552727 +1533244308 +542265988 +1062449779 +1199312021 +202761824 +670118049 +2048482971 +1439302893 +1286308495 +464819826 +1038080225 +529916785 +1023895484 +421554050 +1086586776 +628249410 +767876180 +273201226 +1896981533 +1588033635 +457176244 +978421317 +799226722 +2023410584 +1196141012 +299294029 +1247801714 +518379231 +1717502330 +97119231 +2049931959 +1103262990 +639385219 +964898090 +155091363 +842147043 +1635016139 +56090686 +133966288 +773840986 +520910512 +1172046514 +1303757771 +1544805997 +1593600564 +242860899 +25571759 +213993097 +516062125 +1922553292 +1802026732 +973238369 +753490962 +453769806 +849165306 +1949631974 +753063835 +2096967020 +320527558 +323082518 +46602603 +222975869 +1426345508 +685987822 +1187873959 +1581436872 +1528134866 +675406450 +1637527558 +1662101154 +1449247436 +10954423 +686664020 +605521560 +1555760420 +132780937 +848382459 +1581332179 +346774034 +1364444585 +1356401824 +1317118 +190199306 +2109892786 +455086924 +1039364612 +1912041112 +1208150760 +988847984 +85085022 +1531233278 +1035450587 +308060891 +810095138 +1721438410 +1495934850 +244048362 +1102089628 +23857652 +1881575921 +616707134 +1473105089 +1892530344 +1303371155 +2078626649 +1300807116 +1436152092 +779525460 +734655647 +1782926126 +2143970045 +2091057471 +1784243244 +186685704 +2053466609 +91846520 +1226050316 +1818024074 +1299997280 +67414653 +1903109096 +683746910 +1102865240 +63686340 +1493842049 +676820002 +1559621190 +1737890411 +1778909630 +1583478843 +1471982684 +248133117 +909100284 +1217029380 +1551504272 +840243285 +370352848 +840172716 +1619768745 +1105008496 +475615194 +1616255143 +1048582319 +112374790 +1802940847 +954565281 +204221310 +881507515 +625105707 +1504218591 +948922168 +380731155 +40481853 +2051787409 +444417495 +1534323902 +581123763 +2004038686 +1124730666 +212549746 +1440033881 +449229702 +460682863 +201650517 +1666259083 +2012187135 +1041893802 +2036611931 +704876203 +514178899 +994136779 +1180491397 +2130434042 +2042719099 +1292866187 +1785891241 +849800732 +1497087497 +519915109 +1474906439 +853822440 +1468837277 +1855637594 +894304294 +1373141038 +152571442 +281144548 +1954264802 +9126480 +1405875214 +19330900 +1449160361 +1855104917 +480013763 +1650810878 +1373880352 +344717250 +545221032 +1263008635 +1049593453 +1059399931 +109661767 +82601202 +1042350326 +4897218 +1375467389 +680757919 +854697950 +725071238 +1200673028 +182120741 +1578893679 +522026658 +2037758335 +325714325 +1895167696 +42846129 +606858873 +1701948850 +51972609 +2012734088 +1721279750 +1501132970 +1720355357 +53809865 +1004460200 +946752061 +398527115 +1549681232 +62277048 +1448120568 +461597516 +171938815 +1530721770 +1503947842 +176836033 +758705511 +37222113 +1031533983 +1483776750 +1237895142 +1213654724 +915186781 +1759921800 +1103929412 +1240901106 +1507605848 +1146775541 +1847759979 +1062071051 +1198748151 +1713010419 +635867153 +552397473 +1285882128 +689677019 +1556857674 +85150541 +1088204134 +959055258 +147427590 +388841055 +1420652774 +319366405 +1919562825 +777116968 +496202439 +530784689 +814339082 +1527736422 +2014561439 +2052234224 +593907499 +782264572 +1664672376 +1697836911 +2023165678 +1024794576 +697128804 +1723442009 +2086865627 +1895876955 +1288968781 +575249133 +300790781 +427367261 +1264926152 +1857648455 +512517803 +205646638 +669220065 +659945393 +594487693 +2089872840 +979311798 +366566871 +719506160 +1475514237 +897351560 +1533845242 +855767012 +764429351 +1438595818 +1449674511 +1546693923 +955784546 +1000027774 +1422375953 +1980579123 +1697156578 +998334314 +1919961102 +1445549886 +139819447 +347726587 +1746340667 +567186709 +1612652739 +1456505474 +1079704512 +1818299378 +2125725539 +1739649905 +265303423 +2068114731 +571478055 +631870294 +640137244 +2046992293 +1529221854 +26498838 +755275657 +146167557 +1465094657 +57466520 +1692861480 +273395555 +1057494294 +967753785 +106491030 +607167224 +1966088100 +2026452133 +2052717110 +2105907547 +226695072 +1651574129 +525610608 +1839347812 +960595955 +1605315120 +1510163542 +938837847 +1197481377 +1775466965 +859468930 +1768959433 +259853612 +1499606174 +1668468078 +1789075466 +1526105013 +276260087 +1935243024 +843716022 +333726607 +1480620856 +1117111577 +1391220901 +300890994 +1223602608 +1998388125 +119495446 +1102571093 +1903621588 +77919345 +1329266165 +1407712069 +603529954 +1021130329 +220824377 +61361426 +383810223 +1159662224 +1258842804 +11793541 +2019131154 +880318589 +271647153 +1371253681 +401303019 +2060722619 +749875046 +677563106 +1848481995 +1593591068 +1011289713 +1181619204 +563218997 +255026966 +1482510198 +1786821605 +105931443 +1602005644 +741909050 +2009553031 +1679924989 +2071175216 +1269781453 +135971295 +944821897 +1490605830 +197332722 +1328632121 +502784406 +1456175526 +1340425662 +374431912 +189010467 +1612072815 +1745685593 +590313486 +1525311786 +348076991 +1267876592 +1226310134 +1941668059 +131682657 +260445690 +357403409 +386709623 +1742955888 +2144225014 +492641066 +1197477884 +738650417 +354710450 +729919225 +662341985 +1624491903 +865890521 +1607163882 +967614085 +1063223243 +788312355 +1470398491 +371915121 +2128738017 +1844830403 +560925588 +1593327184 +1443032349 +1151239074 +971155323 +1791109340 +271632018 +49981809 +1585293752 +403314675 +310427499 +1942697161 +790024298 +2053383387 +1939438527 +1282665364 +1103377623 +530605296 +1637375814 +1833296848 +1192947281 +1114384069 +551703721 +652627516 +2081998154 +1614926964 +1440939871 +1404912997 +1986842085 +1422194241 +1102259753 +400284025 +868037777 +397808454 +1551523099 +1839193100 +41434146 +1823155117 +1889174909 +1626727898 +78986144 +52118760 +1421941411 +869010442 +2105502147 +1213896291 +4192159 +1061396122 +1744501587 +1641567973 +747209323 +789965221 +608468395 +1298913044 +1442592737 +542982901 +766356361 +736048960 +1947895899 +605714798 +10759553 +902672004 +1005998824 +878797331 +1300480458 +410038275 +570506783 +1341914604 +85709745 +312198045 +821158855 +164695889 +364316805 +95616618 +1033706332 +322335305 +1309512909 +1037898491 +1383731427 +906530849 +531982816 +2130940750 +1696496070 +1140451211 +1282370147 +991605159 +1683434113 +2048726508 +1727654119 +1483846364 +506957658 +1738413673 +239034720 +1512956482 +469727356 +1539515178 +1922994758 +1040234139 +733946134 +2008704503 +1352432184 +1555104989 +25916744 +1716748990 +1650721608 +1059623076 +2039084295 +812750869 +2097521567 +1275332074 +1719281718 +482020736 +1258789177 +1268294140 +1622471947 +393675676 +112415651 +1158422412 +294918536 +1840069771 +494785128 +801876194 +1430999796 +733819848 +167349029 +1900727152 +125851378 +2090343787 +793477643 +859797513 +1951564642 +2145909828 +267418854 +1977481386 +1715175170 +1918140462 +889620815 +1606775817 +583407684 +839658734 +734624243 +155205754 +1321679470 +1993413420 +1423499895 +796667770 +239605448 +1535915546 +1955090182 +534523984 +1228501669 +302391663 +1336400179 +512017817 +1036211511 +1503749208 +265261321 +1162062890 +1446609347 +1058738965 +2021860403 +1250690341 +1057165145 +141795609 +1080688079 +624856667 +2059936072 +1970308894 +84148836 +495860108 +662483981 +818773079 +651065862 +1984163451 +664702852 +2074565757 +633347573 +904308300 +1462997656 +440954108 +1438832285 +544015677 +743345771 +627748816 +1056033495 +1779557282 +2131498024 +1321294816 +794136524 +1430623723 +232550133 +668513279 +533830416 +1289715278 +810308889 +1614518495 +1914571945 +722761313 +1437343742 +1998720781 +1218621421 +2099827723 +670010213 +1869687283 +1936507526 +1334713065 +1796769393 +422371452 +91537717 +1112283401 +863325560 +1530370002 +1656299078 +1606671331 +10635170 +564848925 +1238744965 +2142133194 +1886143742 +2032881490 +1425273269 +2118693875 +553911121 +1959103685 +1260925506 +1364220010 +1426138533 +1028013803 +2086981323 +715998627 +879250937 +1158119096 +668342702 +1549261150 +880322732 +457366580 +736490567 +529608477 +879738032 +828028284 +1641891878 +1743063592 +210914639 +1150707308 +1202251275 +221549809 +1715556234 +293512593 +216199356 +1454216328 +178910435 +1641472625 +1425426555 +732821556 +1453092663 +538868413 +2097041567 +731747548 +1566882217 +2036539242 +1447746175 +298649506 +1047174691 +2116088877 +1847910656 +1927497423 +425971809 +436917575 +309622252 +1305709842 +1264945859 +1951514130 +901289786 +1475860498 +954737790 +2103541062 +1697410308 +522810376 +249570007 +1913609664 +1977026704 +428480442 +1407598641 +1254969612 +1161301998 +713207656 +1793838025 +1110859917 +1444955204 +1213236594 +999915512 +745217731 +1511886100 +2047090203 +713822960 +1212313108 +1827103978 +1139794770 +1649230683 +2136726230 +298020964 +766692895 +1940756712 +1199310750 +95069745 +748010854 +1155368164 +1792480053 +1270821231 +1404938171 +1558606069 +1100364287 +1833418613 +818721063 +207850251 +847236964 +1531928719 +2001688277 +1958096881 +829400276 +1067441223 +810528745 +1574618007 +431843676 +710135300 +140957320 +1644156784 +389755630 +1280752090 +1145903820 +378998212 +1578773054 +1912596715 +172271276 +630600156 +2007666460 +920282131 +1785968321 +1652662866 +43619714 +1043422844 +1063785287 +1143984001 +729357810 +1882506350 +1351834253 +1576594774 +1266951422 +1206038882 +1387208007 +2096351698 +125996457 +50253105 +1523486057 +557840133 +760388405 +1664443377 +54513270 +1150144036 +797711819 +1200417090 +1529142248 +229001225 +965530157 +1701413525 +859601382 +825712969 +474212008 +498086055 +330892187 +517831722 +1541508899 +1394677475 +1661815723 +123383061 +1129700177 +866166328 +1699977835 +249167951 +2072205210 +939702195 +198036001 +50718020 +989955300 +1721522059 +608558153 +1750343705 +1238481788 +663071423 +753004093 +2036193608 +1863488513 +134662694 +117711185 +681535022 +1836076219 +977312567 +1507247992 +162804579 +1475398622 +1838140179 +680636301 +869423874 +1085334006 +194968376 +992806935 +67550536 +1061134705 +545301123 +316718487 +985856267 +1485003318 +514754489 +1036574287 +327474970 +88792900 +1645132441 +2077818675 +1327274688 +160720216 +683339121 +1215984648 +2024208730 +818001815 +1333695834 +558260104 +506594386 +163524753 +2065508096 +669398965 +1638923376 +1756164628 +1350035266 +360863602 +694014986 +1545003642 +1353670537 +761565522 +458654699 +1898971660 +1078284010 +1444510967 +1236491330 +1593038499 +333601606 +1563966300 +1681831399 +1978734047 +1494301328 +861622439 +2139454264 +30156801 +2077607088 +2016179346 +848158616 +1263819274 +426955802 +1354753002 +1427344027 +344980251 +2024151967 +918783755 +2101144879 +1226703585 +1279647357 +647676217 +624223579 +485834247 +1409241740 +1082878279 +237322259 +340042102 +379905598 +1473813590 +1933080601 +713507204 +890296242 +1467428352 +544757604 +237113922 +181567143 +536728220 +267270723 +111690583 +405423918 +1115429339 +1375509857 +832379720 +322698693 +655370237 +1177359971 +199367012 +1574153992 +1131021202 +1426070597 +706317702 +1778697420 +2050294177 +1192151949 +1040455512 +985688808 +1429474208 +1380497614 +1365594406 +755804150 +1166094567 +2079101610 +1646100393 +486039271 +476375566 +1883214315 +667606414 +1013103786 +3001391 +779296998 +1418527704 +1118430730 +7323207 +103423777 +1441129424 +662693444 +1280783748 +1640496436 +89363789 +264321303 +919083386 +795681491 +2043018723 +821893915 +1987833440 +935990587 +1807582723 +1269824000 +169004553 +1025693481 +2025628151 +1335099120 +957311443 +1524244896 +1821138391 +1433687010 +1259975563 +341261157 +299307148 +1262976954 +1120558155 +1717834853 +233924037 +1127881363 +1821258630 +1675053461 +1790574807 +954558730 +1168066249 +1879938596 +1218880033 +2087149635 +528136439 +1114415108 +761559902 +368486231 +2050405695 +421658977 +1638310232 +71926600 +1447352458 +1516454735 +1407025720 +257180254 +893215983 +1080680463 +1690867264 +5707898 +1421941621 +1990174412 +1268684853 +395016128 +1560525617 +1502608890 +1522897491 +1234300599 +1030178703 +1165988651 +41375682 +50761304 +898443599 +1260255715 +2137910940 +1426580039 +227187176 +751987194 +1795066270 +130109223 +1173646172 +1285892854 +202035824 +473514982 +654863941 +1609061544 +730695236 +1548079924 +542258360 +274078852 +1553787823 +1964199981 +116769617 +674989028 +211732461 +1677295234 +30114270 +1734629953 +764112186 +1060292973 +753134956 +805487868 +1111054277 +1651578555 +2065743583 +1101481569 +930674946 +145447111 +1853468764 +578257569 +275556335 +879631288 +1864150423 +477592159 +1353146270 +371530717 +2086653703 +2083841507 +1919610641 +481428415 +210436711 +1325914816 +298144748 +327206328 +2000903844 +509877210 +2004501563 +2031018114 +97023515 +621130101 +943827439 +850158471 +1426617969 +2054881717 +354253378 +1344877904 +1008879638 +1284928325 +1490325016 +714864754 +1863185894 +1765881351 +1594496042 +1579852669 +95989862 +800158665 +1951383386 +35159917 +736516524 +1723510380 +516588333 +946953235 +901941548 +814733081 +1274159564 +755361745 +1324610291 +1131177479 +638896211 +1421633806 +1752307580 +1582723651 +124308629 +1031441901 +1490121720 +478562008 +228836157 +351517710 +1763490333 +1719161173 +1066382465 +1479192579 +1337558876 +513394859 +911561600 +1433548738 +1313553524 +715461339 +1468708656 +2050070048 +291488071 +1985296989 +849539636 +1193429619 +652546422 +2123699200 +1948791364 +1977156714 +1107393031 +440203928 +1251306872 +712216963 +2022927579 +1375615502 +1743658864 +1365565651 +1854177510 +1972495021 +1717083361 +1470184195 +1544172547 +635982178 +801893126 +734247775 +1149377038 +1713454726 +20312866 +315446914 +281432417 +1489021522 +218033315 +572920488 +1326834863 +1067572951 +1766350108 +1979381285 +1043788503 +1567657824 +1809054351 +3697886 +2007861752 +912877576 +715914849 +1883305683 +141009430 +312090065 +1101387686 +1995186940 +137101438 +670987400 +1317887487 +1681273985 +1306969578 +2119780613 +268038113 +308862968 +1685751691 +288350979 +624309883 +1967184109 +1777372501 +842343198 +392620949 +956723716 +1909916149 +11487409 +788621353 +806221004 +1579145234 +450192057 +809918890 +1439523338 +1363069633 +1525833739 +1175345374 +1504079063 +1837923804 +129249412 +1351782355 +1975025242 +800236812 +522186194 +1508815580 +2107206391 +494483159 +1776853693 +268585711 +32751202 +2065204672 +892895594 +1999935311 +1695093525 +1735238792 +245072613 +504333593 +1497671293 +256560022 +1292954946 +156408649 +1835705256 +1743147003 +966327539 +1127744947 +958732988 +344677630 +155606673 +315328403 +35117786 +284856085 +1667110758 +2010143029 +1085092898 +41813304 +1371474961 +1044815641 +536296463 +1000845006 +1313401352 +569047666 +918566030 +58813299 +421499329 +466175907 +1794052091 +666571942 +970509500 +1144239737 +923131965 +115980798 +1300648386 +611353573 +1859127802 +119492278 +1739098520 +670377142 +464169908 +1894705193 +985705546 +499287695 +32077631 +505332656 +361947076 +1117170529 +547145961 +1733422037 +14502522 +1083442424 +586783395 +1327903874 +1652490090 +1505349425 +1386717173 +2073989420 +1971525332 +1033285617 +593077714 +794551184 +30041706 +1516209679 +910531982 +1330690092 +2127563253 +622176136 +1450182370 +1719178125 +1292553279 +1914352279 +1466399671 +130775177 +266156326 +1498477302 +636107833 +628103402 +468164183 +1183253794 +214041791 +482666705 +119212571 +800825186 +1810570579 +1771702661 +158690963 +1049804105 +1698208433 +2130216295 +2083089722 +143802500 +777283831 +2113131428 +1660012179 +1687815813 +1296337872 +1640091784 +162508302 +599036595 +1211786262 +1455061581 +365905226 +530702285 +1585836758 +632061552 +2029179587 +74460943 +1260164954 +349860122 +1257714738 +1474206745 +832526827 +1376927309 +127548283 +495613758 +1001146322 +286239246 +1545417863 +551871108 +268971893 +1481023937 +695673608 +1046255724 +1446671717 +208202139 +586587889 +595525942 +1848293924 +749096191 +1194562537 +912596538 +56674124 +1560467763 +1443298823 +1642510882 +45045667 +1324994762 +1716971826 +1305210621 +1674854884 +827202916 +631933718 +359898063 +56646577 +759482001 +855511821 +1057792899 +1045721247 +253446037 +1609664007 +1314693140 +1734469974 +157853967 +213465216 +1033658044 +366056107 +800053105 +1629183986 +66866383 +1549149297 +676262875 +979462921 +1605823421 +89246990 +275278096 +1100850656 +134292657 +1600272858 +670338834 +1439503278 +1127644094 +1497541750 +2071436996 +1487542157 +1554188327 +683435349 +195570330 +464497578 +1729156596 +449016367 +2074161586 +896366088 +36002694 +84531905 +1109831304 +1069660738 +450588012 +1909884409 +551361076 +517454395 +1311550058 +1227623951 +1496917316 +769889832 +1316870941 +1772195412 +1870740488 +1451163598 +1224984622 +393595674 +743183228 +205145068 +1891137424 +667136576 +1692687225 +1297842103 +1350571925 +1888257556 +1762339681 +932244873 +189790275 +1689017619 +1828610961 +225792969 +1773549525 +790958617 +1295453707 +76653889 +553359378 +1846814783 +594108285 +1864909437 +926955086 +2091025601 +487315621 +96342379 +1715737366 +210572461 +1547505977 +793238340 +604168135 +143205557 +998383409 +347821911 +810342133 +543586986 +1645664014 +13430410 +284360894 +1260520047 +945675283 +474151170 +802054019 +626802596 +699944139 +428119896 +1417761213 +1995397847 +504773785 +1971120592 +1694728982 +1098882070 +1688546381 +474200421 +1042424024 +28378354 +570542800 +610677742 +238950815 +2118048778 +1403916082 +843118950 +113770687 +254815843 +1190940861 +924112821 +798402830 +689121227 +937543231 +1082763724 +1949641274 +1883218515 +1556914894 +604211645 +362537463 +109375386 +1032331541 +1780298677 +2104773233 +1537105327 +1603935621 +1652018567 +488503749 +1144998354 +2126218988 +1530927773 +1173376708 +549278141 +2141605515 +1412327523 +519843271 +1398037950 +107962825 +633613958 +1652853793 +1298903686 +1557726779 +303772975 +1988024913 +347786363 +1386536700 +1790182539 +83521230 +795967946 +246910537 +446058693 +905343332 +1279242078 +78873722 +862632917 +668863757 +1682809343 +367167837 +1157367507 +680324049 +345903177 +540811632 +1853700757 +895181318 +534933500 +1118544632 +1415024589 +1932971450 +1226507457 +2048638548 +1438341595 +377927495 +1458881679 +1742114571 +218468760 +1806668042 +981167623 +2008651300 +1890189272 +1777135569 +108078189 +188764318 +534995254 +1387320267 +267638040 +1397628171 +2056184025 +1950447384 +1764796008 +1066067884 +483287785 +2110699186 +1606879516 +189504895 +858396856 +2141813016 +1308049527 +125937798 +1927300818 +387073337 +27092698 +1218158766 +765000832 +1485974377 +812789689 +983469593 +1145158772 +1793957312 +844637245 +887864396 +1423609233 +952715434 +1076628714 +1958604487 +192552053 +1344266755 +1208749011 +101252430 +1147230491 +826061371 +1167320314 +1630518276 +789276909 +626716183 +1820023171 +1647673766 +621045551 +980589051 +1773611564 +400862722 +1367662388 +1800704262 +1619021488 +2132663220 +1139194991 +284327529 +968649165 +136870115 +2078284841 +1813286410 +1024734512 +1354410426 +618518196 +2101363226 +1165531266 +811070250 +1298146333 +226796629 +912322680 +297893176 +1052858000 +2079642995 +1928411453 +1842134910 +558875530 +1600950976 +1342325028 +1179921081 +434056379 +968452944 +1580783803 +1801718767 +621673558 +1052321643 +1786898340 +1760868549 +1336649172 +608063857 +1897738665 +1267450365 +273866620 +774989529 +474377144 +892384816 +728869107 +1639908410 +1703455066 +2027015441 +1866705039 +468294099 +177424969 +772079391 +400453446 +2105836422 +466730653 +959328976 +1559303751 +1809055681 +2139250057 +1993360130 +630024977 +1572550213 +1647595250 +1251698535 +477388208 +1287009942 +865083437 +1814037381 +1895073799 +615338454 +934004098 +21456771 +1390327983 +1408381242 +913841588 +2119197090 +900806004 +469813006 +1998728883 +620027395 +938107105 +28670205 +1392106787 +1338560551 +2134506627 +1858837440 +150405879 +1546326730 +1520409474 +142172289 +1392203213 +2950803 +1714722502 +892314815 +1254649339 +44627062 +31841109 +2119732776 +1858664443 +1926914908 +587587582 +645184894 +1948371680 +1977915565 +2053566136 +714729620 +1949629007 +806888493 +1184542626 +1800874243 +1426915888 +2122649732 +1829544448 +671539027 +1313726635 +1816567427 +382892820 +1464132515 +1215410510 +1903302294 +1606304804 +460130075 +1906253097 +1173543658 +1352444890 +1013418788 +1218170720 +1384285999 +985667916 +929351516 +1163717259 +1573255498 +1574536410 +964605291 +1403687415 +1480618898 +1679334911 +1205832775 +140023743 +716393890 +859223370 +1566939632 +691559974 +541284170 +90995011 +2005286609 +210367949 +473887831 +1321935476 +1425778459 +229706477 +780756632 +1885908534 +2135959575 +1954300290 +1090869776 +1001894715 +1024987363 +327672127 +1987562632 +1954338879 +1491389387 +1413334482 +1381391641 +308511030 +669538250 +714526891 +1987845942 +1875371025 +854550635 +556756184 +587110747 +274006619 +1248316158 +1128394917 +365001630 +1106119119 +1338762866 +838889462 +280570948 +617057678 +1068595939 +1061327580 +355482564 +1057071866 +868144223 +1446352341 +2058966582 +1893131586 +1774024468 +1899045566 +1699986817 +1117930207 +1164896400 +933894810 +1426441238 +1834434650 +1648421701 +1266803532 +1562322027 +355488688 +1823559716 +1949126 +629495307 +924392226 +1130344043 +994496938 +2030511345 +321623262 +1833386400 +163598645 +938680940 +754498691 +1224926226 +1294163504 +1811570558 +2093070449 +593032197 +1723053492 +1838718387 +219573018 +1474615410 +1391221556 +1337503225 +492028162 +177632718 +616460815 +178979165 +1826054419 +1883264347 +1741301192 +34059460 +1559340415 +1743250319 +663554767 +336248993 +726110714 +1658051705 +219276691 +1047733976 +1343954457 +382875336 +1986414916 +2098453149 +1607801562 +1133094773 +1762540059 +1553388363 +1726126970 +1338109903 +1244623102 +1945699988 +665241665 +488361010 +1135719566 +1157269827 +665993728 +1752180381 +1336248992 +344564500 +1487961081 +930066537 +378623960 +899817848 +525833208 +1042178727 +1236066842 +1251943922 +552746785 +1455343533 +152194251 +1896701242 +1838218869 +2138609167 +1847670743 +1298536784 +1124220292 +1462727154 +704441499 +702863615 +653353409 +1949064602 +501079955 +1318595074 +289941964 +1636799521 +328381254 +955935693 +1241496255 +1664630246 +1300500193 +581973688 +447213135 +1679124153 +1481791536 +973046343 +573819232 +570374730 +77506618 +1126566017 +2025718263 +229700869 +875783612 +1716453485 +220826388 +575970707 +867506621 +1345046681 +2038697862 +1571948120 +2047910296 +544567623 +1373529074 +401506603 +1863162698 +1663471039 +2038306125 +44060304 +471923084 +1132318732 +1708690550 +1772423277 +1714292420 +8420038 +1304063782 +1048600308 +981466381 +1877883014 +1618975039 +1058972999 +856965384 +1497209654 +1288673868 +1732748996 +1066179491 +1509500257 +161236055 +1933686112 +707063290 +52450269 +1358150585 +607489938 +597017893 +584196011 +1008996541 +312696943 +100183402 +899819018 +356757247 +572106486 +2032137750 +2065447797 +197046115 +1598946522 +2073867835 +1501109897 +500063183 +907850569 +1231509264 +2119038222 +1966823568 +2088474648 +1468764228 +1108013789 +1673739996 +387460072 +470030398 +1834976051 +173662536 +1177093688 +1887426321 +1531813121 +1784583626 +336960566 +2116009133 +646096519 +649657509 +68708887 +1545915538 +1006414756 +640815374 +1430569640 +924378905 +837861489 +882032515 +850763093 +191487739 +1382095698 +1758613662 +1422997003 +1353650272 +1577953582 +1363988003 +674930852 +538483723 +890244351 +1062390924 +1008514121 +577736754 +1236053461 +38124161 +317679427 +620382934 +1822707787 +654639993 +588908419 +321320659 +1304297502 +657617307 +1867236197 +163228610 +1298432681 +1150322189 +1087607516 +2136294170 +2032354704 +1938370609 +180298261 +1266966754 +1549500623 +1603295264 +473133378 +979970557 +819799619 +1148064231 +1518454281 +1710043970 +62971507 +379484754 +140297077 +1299024968 +417608916 +457976504 +1919407903 +92833055 +1112616498 +360832674 +414153714 +269430352 +1018449981 +133906263 +432658963 +169399014 +1284228453 +1520266479 +158209537 +1169099509 +1311153440 +338507798 +288582616 +713170415 +1941803063 +761715994 +1693140972 +614119034 +1909780225 +1064111605 +176679357 +1972751733 +1443596360 +316976434 +1124293053 +1861205276 +774952938 +896217308 +1954038331 +1887569436 +1257049983 +220708398 +9516141 +128016316 +354614661 +442175104 +297415331 +1638843114 +1962441583 +455624868 +660458976 +1126111375 +794132666 +949041592 +1839281790 +588452081 +1710757586 +1384939114 +1202571116 +1473054164 +301567072 +1379250473 +1298322249 +1745163432 +1696226907 +275131654 +1458885060 +323696197 +1171348963 +1265439743 +63781986 +280915298 +1486148141 +73298127 +408931614 +1840762803 +515473231 +706346945 +1332122269 +330431166 +1161971813 +1992581245 +1456542541 +1956104480 +794139189 +1148340683 +397072913 +357413128 +385796149 +1599644029 +1830467292 +687363221 +831410854 +981305893 +285043005 +380154113 +1256437547 +1743928065 +703850311 +280302862 +861884161 +767632297 +561218160 +200548654 +840930424 +970149775 +2041311457 +1356403655 +1676496720 +1225950079 +1686834821 +690984886 +1071047676 +995893714 +499605718 +1865186866 +2144234397 +896678631 +75116346 +382546898 +348839013 +1905583638 +1069910120 +1180249867 +739405883 +1354953125 +1560403981 +1995843430 +951397543 +116770644 +128662645 +1813281704 +884402941 +689880805 +2013830358 +1725333365 +1660030580 +1907658168 +934253372 +1189043653 +986124599 +473604545 +1880028539 +2057172275 +1469498259 +232150609 +1774875493 +1466249008 +1128829240 +1849991839 +1848795906 +1477668253 +1608091829 +771222378 +510434473 +200014064 +2126175504 +2070838454 +48373847 +930089399 +40125450 +177036492 +595887455 +924528391 +866917297 +462234165 +502378108 +379464230 +222408685 +1436631480 +1568507883 +1208533284 +1910236025 +1301052774 +1118221912 +1232250636 +1533203383 +745613757 +551015996 +514548975 +448121949 +252328254 +1992217229 +2056213778 +1023550633 +355168054 +108744195 +1002242489 +278522860 +157118042 +1932331888 +318648310 +334154534 +380735695 +1243176701 +1201071831 +842969860 +1745554809 +1580536061 +1065378546 +1034702641 +1001560296 +126428182 +797455018 +155129422 +1244650094 +2029705654 +1688332805 +1990263852 +433238002 +55398133 +290902153 +685566256 +2047615362 +199632283 +1709116889 +255299768 +308376478 +563875730 +533822628 +465494520 +348723970 +852470938 +799649054 +729459665 +2095647639 +2000720886 +1572429526 +1693718800 +1433773299 +490324424 +580937793 +287849948 +616752606 +1378392811 +442979370 +1861402701 +1260614817 +2131312176 +1704182905 +1693852819 +39226661 +1995085058 +231935427 +2086842023 +47233693 +1941052317 +194658143 +355610172 +357444399 +728480771 +821104692 +706168370 +1580951709 +1620753747 +1435628035 +1529115700 +1473990985 +860573913 +1075350852 +760280636 +1350898337 +1656288645 +1048130584 +1967650944 +887197808 +1491109955 +1681569997 +328977 +1474938483 +1238269254 +1694181796 +1514165144 +1085870664 +1926117223 +1453523519 +1133104357 +1719685892 +1648181662 +1488714529 +2077130292 +229178785 +162335574 +635815014 +1810130494 +1783089321 +2071443049 +1191762546 +1109596658 +784533315 +119629750 +1869877294 +2135431652 +1775918395 +770524231 +1955598948 +515632555 +114150538 +1489685297 +515961532 +1589089021 +580470903 +62659680 +955770517 +1666341567 +1988776903 +261810388 +651962277 +1560979148 +1909992050 +2140676806 +1490625792 +2139170835 +155528732 +2126440806 +1801817681 +1938618053 +2050400207 +846096579 +900731063 +687449874 +965726329 +623124710 +675397879 +594161076 +1393648941 +483513179 +1109793631 +1507799479 +1973198477 +1625755163 +949404852 +406185732 +1688414843 +1905175369 +2072527300 +1529708098 +19502109 +577005929 +943203598 +1929494159 +570199087 +286345742 +1921181346 +725727820 +265302900 +1575515379 +516862225 +168219460 +274128310 +1417593289 +855669334 +1239854639 +2040717999 +1531067213 +1834015715 +1286883292 +2014580393 +796325698 +647199123 +1840295222 +274597213 +1596603975 +98997306 +1963012056 +1354295696 +24040958 +1345236506 +1373797805 +601046887 +140956457 +1155808316 +1171245975 +427302199 +929506014 +1896973795 +692605100 +357537745 +266352372 +860824560 +631666055 +1683945661 +1716493894 +1871520694 +1577180012 +1100077460 +1558052761 +716579656 +967174205 +206894811 +1363778779 +659985779 +481492024 +812899106 +758983085 +297020432 +19711154 +783024044 +1642256938 +1393508959 +1384070931 +1783213395 +401833627 +407833258 +63031947 +1331339641 +157323405 +755637047 +1688877386 +423675778 +1616461607 +173059793 +2107621439 +1185471853 +2044580487 +1537317804 +138065665 +1455149600 +106413812 +1105239870 +1662044411 +1470192592 +1765225649 +2143536435 +135608050 +376725087 +293073219 +155319205 +1159749131 +1935330158 +1548828164 +396336414 +1571059905 +1950661792 +804169673 +1634091852 +1134517785 +961493078 +242245251 +675911524 +1385168856 +1858706858 +848971317 +1345306648 +896695064 +746068157 +735140804 +1034760729 +53734109 +841554616 +2140000600 +1715778521 +164263560 +1757742601 +1711831308 +299871611 +2134467688 +2004904528 +455190816 +1146733171 +1792751038 +2004018980 +1543069586 +1216327295 +1807197124 +199755611 +702935500 +794231262 +1161248689 +945180751 +1470142786 +398933898 +656403962 +171630455 +1744240546 +1553099026 +917698612 +331897702 +440376107 +971432722 +1173452318 +432893059 +539727595 +1337715879 +43152013 +104075255 +1637587490 +30136053 +2108979783 +2092778306 +1176869225 +1754247173 +1949313638 +572455163 +823090821 +1609027115 +772210774 +1526026321 +255774729 +1933459463 +323723424 +1725917515 +184909713 +980127386 +1897547970 +1929150259 +385742764 +667762935 +113564313 +826118872 +1639195657 +1287016632 +1259011931 +31439604 +477248863 +1302163944 +135514859 +2114836353 +1332299998 +97010995 +2060131011 +361685575 +1851258168 +1861961001 +934140738 +526865341 +1323504468 +1706351512 +2052891662 +1579279197 +1492327327 +229131439 +1157713064 +1677237041 +1209258825 +907777387 +1458903652 +1595001590 +1575540322 +1572467966 +273636814 +1067252331 +712000950 +1532648745 +1098691935 +1189249813 +687329042 +1234206794 +1156602518 +2019629040 +1331217789 +1069249881 +233830967 +1034992310 +783727234 +1167971705 +1561857651 +2107231703 +726839569 +1467265666 +1539027252 +71683248 +1696397105 +549256669 +1748920289 +758172282 +1457034056 +1060340294 +205690224 +885090730 +485324612 +479327038 +1952343061 +1197325562 +2011975784 +903551348 +239091727 +551821178 +2137758142 +1395694245 +423966570 +1321492284 +317460478 +657797537 +209000946 +1101187712 +1825769242 +1770858597 +1060935767 +405125163 +1090640615 +452479372 +476808411 +639554072 +1001736041 +78245053 +1397726355 +311286449 +1138585347 +1603416579 +1196377179 +1623909959 +2082743618 +1001236592 +673751873 +1947235754 +1904787940 +912843600 +351573284 +1895062434 +161054197 +775539854 +1069071070 +478514675 +1433337391 +1278072016 +1579702387 +1111622985 +901446966 +493154507 +1516748148 +1992087581 +945633879 +1993556559 +484158006 +1947369920 +2071801612 +1881884361 +111172721 +1062903311 +1337817292 +1307549900 +539329622 +1273077262 +161302844 +1213081495 +1072829368 +2066090784 +2125925095 +1424402652 +1813669570 +139495644 +52458858 +735256993 +618010319 +1485796249 +2013329009 +50229059 +449935586 +767292327 +543383566 +1966683734 +611896261 +1489017445 +1812756646 +1096054267 +1288903717 +1737074610 +830454980 +1400076438 +652494274 +20788624 +560142690 +1191823896 +1293865887 +721445534 +257421744 +219211607 +640052670 +235863191 +1643614260 +306238592 +375358836 +1696073118 +1041495585 +993369155 +1034385720 +907340947 +1043598214 +1484321306 +1674633274 +1586981780 +1303521393 +139045887 +928515577 +968794391 +1235100154 +69935646 +558385353 +2065555134 +1470012084 +1210879627 +2086343759 +2030154774 +255219876 +1232725998 +604116660 +512641620 +1451937605 +1244169330 +748504811 +948068217 +1550407923 +1123863647 +496657688 +444419860 +2117232803 +1531043408 +1351760807 +1013347369 +867881066 +878910434 +452845502 +23918811 +1017956321 +1381361079 +992713202 +105572828 +1451296726 +1551098556 +23644314 +773825162 +614494535 +2109988073 +656496289 +869714411 +1195230423 +1260612949 +1382356031 +499684381 +357298632 +2130860843 +1447752598 +1907706555 +1107240842 +1944410286 +204642767 +1076989997 +1327970046 +1556403575 +2090337367 +48367465 +287830361 +395699221 +72286276 +1305786682 +1777060300 +1064999479 +1411359510 +1080873378 +468614387 +1435003825 +1854698541 +1083108922 +1397508250 +363711182 +1952823334 +445255026 +1624324131 +1187695717 +944939407 +1981622763 +1171072912 +245208357 +1741845670 +130830107 +42134996 +1946488438 +1207820104 +1370105042 +1355408365 +1150673823 +1418472507 +1643238726 +1546373044 +1490758784 +801541760 +1175949697 +408274615 +65417623 +109339427 +876889002 +1500421448 +1964037968 +1959997924 +750446050 +180265502 +1765337610 +1195701076 +1804589634 +805549680 +2140640483 +1638728749 +1976622592 +238365193 +1233090772 +2107452699 +280500189 +1032095562 +1167789156 +1650605231 +240020279 +170979331 +921594091 +1883259005 +1717352376 +264869227 +537317117 +745818425 +673143842 +602734740 +855157852 +1550032844 +2103156188 +671712173 +1362547120 +706118591 +851977675 +980401083 +1901819667 +509083661 +1785950763 +1894976503 +328763 +1615089707 +2133341696 +1233419535 +1575058759 +266358237 +118031449 +595364267 +1916963468 +358051728 +766343598 +691073911 +93827085 +336212326 +955943138 +631144202 +1082030751 +1629086980 +1233878943 +1937188604 +1031636176 +1189551483 +461417129 +246699649 +1895670074 +1313394804 +1227100732 +1650006094 +1822478466 +865567847 +1397498949 +1822807229 +333173906 +1383356997 +908743116 +1908232665 +1649715234 +1026774565 +356113284 +1419195054 +1384826293 +1122456883 +2110268966 +1478653378 +1458669209 +918728456 +2109797580 +393216313 +400331789 +1196192875 +182921269 +1431967965 +238260711 +644338398 +1678667614 +2133930785 +1957733202 +758284698 +1636453231 +1632728020 +1623852545 +886468532 +1308051601 +1957026452 +122341881 +69311069 +1717775469 +1772057115 +1096085634 +2073888754 +1043768522 +333428279 +1048861989 +1006553840 +1812081657 +360047550 +1925282296 +1774395590 +753263863 +178130437 +823104817 +936185132 +1610098403 +1061365528 +1580523530 +1141282369 +1047812666 +1390773085 +1899567068 +536782249 +876017457 +1375935965 +1423250782 +36585411 +1185478769 +1545592663 +105896480 +755770591 +1170166131 +1201982115 +682175697 +66451005 +1535410394 +1731037686 +1073004845 +1200008404 +2091085236 +850803493 +826920346 +696865452 +1028933931 +1650025163 +1633050584 +491548686 +563907044 +1066090467 +1632831055 +1611719710 +309379904 +1384914475 +1018311 +1185397361 +613366793 +1424269093 +1221982772 +1798845562 +822378109 +1327879253 +407132505 +1992544240 +382377720 +1089308202 +2058995245 +1917788114 +672862240 +984516442 +970312870 +616463829 +1835319935 +1797233216 +1313329281 +716770218 +1299774732 +798896217 +1208318904 +1863681776 +1864986684 +693666312 +1327917838 +26882940 +2078580787 +1328936149 +1212280302 +544463932 +605721595 +286779426 +195825847 +1428099704 +1614658679 +602958352 +1273160296 +1997036399 +1692266555 +1184671893 +1767340866 +217645147 +21704687 +590170088 +834108976 +1857024622 +239919657 +2147438257 +426311193 +1539694389 +798850827 +1634630097 +1255892517 +516353863 +180812761 +436326707 +543236804 +111909901 +1765262856 +1755517106 +656373833 +223500803 +2042296532 +852199680 +1651600507 +1509471564 +1455158033 +777277155 +1359024315 +999940940 +1961949048 +978881533 +1217586087 +1983653735 +1569051622 +2051695064 +1693194710 +1808971279 +2051649673 +2119505903 +1201182020 +703016852 +1606652352 +309590889 +1219370716 +1787465114 +745917596 +1762607520 +1899375015 +363696804 +1370640978 +408265200 +587197608 +1265453862 +1260464881 +91314467 +627441778 +568139266 +868591623 +1986466094 +1568080206 +683057023 +817863979 +638182645 +519227111 +239431953 +542394061 +64938173 +2048403232 +446560087 +36960428 +1102101604 +1149576939 +1643612780 +1411692493 +221464007 +1283594246 +10126441 +1984071527 +1035485613 +373823246 +1207228857 +1443750814 +961020854 +325199072 +556732047 +1052335321 +952640850 +1124871313 +1920926944 +791623296 +545467871 +456500320 +1609487276 +1183650516 +975727431 +1848919229 +1726044578 +1040665604 +1749838814 +25121017 +1077626032 +704456770 +1174697956 +573755164 +2116149264 +1396161964 +1857349411 +2126275705 +1232749843 +745351376 +352615303 +292495053 +41618542 +1313636157 +617694125 +598350589 +218487831 +1570334975 +1723221902 +2139414775 +214474624 +121206125 +448431447 +1823961900 +1304856642 +1424158878 +1525397481 +883417572 +317340834 +1127752647 +908538589 +1394966866 +1832209418 +2083236545 +1968722031 +1800875034 +1331914861 +1678587794 +1779667091 +417181057 +276455522 +2132282395 +709676110 +318074065 +1298434904 +1327370235 +916424654 +1516922735 +750221562 +492162909 +1508853863 +964696186 +613369034 +1957285310 +641174438 +1918225676 +1233960541 +19088272 +654159600 +1551301375 +1146840919 +1562698189 +798784594 +831566689 +1498451087 +620022977 +484958075 +682882300 +151127123 +117141519 +1100063357 +427582645 +101940266 +1809739467 +745656710 +1400375170 +989626054 +1662081365 +769814258 +1739847617 +6760626 +131184473 +557060155 +620129660 +2088469783 +1198234594 +390871689 +1174946676 +1217322866 +1045031289 +578764404 +216680137 +460245831 +1377548998 +1048246827 +1958696918 +1997571975 +1533204902 +494095570 +1215450 +1650346421 +1594158928 +428798095 +1752286687 +1256414747 +1174454806 +1005178210 +98557154 +689052523 +1774992468 +1838404771 +695813149 +1906176941 +247981278 +1315942809 +1847163076 +1446215872 +1706814498 +874626105 +516055090 +604362140 +1453390509 +732735228 +1064607971 +683455859 +1780982055 +875821241 +533544186 +1166703309 +1369916811 +534759636 +669566083 +816592091 +963557731 +274369122 +2073006839 +2138012537 +1279547332 +24080345 +679581412 +907056152 +1862485116 +1375394561 +665749445 +2110466394 +543853723 +365428874 +1409198619 +103184573 +1240054979 +1925253709 +707546713 +545961840 +510505289 +1772154684 +1229417699 +144003696 +500492277 +1762961885 +1310707006 +1870409089 +150237873 +1980273089 +539517532 +1113795604 +107158563 +465040723 +1104324494 +1386705896 +489121068 +1783905906 +146278400 +204122536 +1011816820 +812027846 +167105283 +1555670543 +1177456720 +1576303902 +1658855116 +270028051 +1354073963 +218918182 +815989891 +1864579253 +1991072866 +2045407590 +2008582949 +344081496 +1660885827 +1171806307 +67006937 +1811123700 +1004595748 +606524469 +777435656 +1111754312 +1071565193 +1881760150 +350976560 +1560686261 +1518182409 +497254960 +1764808798 +382515581 +1309282806 +1931914081 +1938186124 +339255878 +1360734335 +1449557592 +609283929 +567324650 +1668475774 +1425273820 +284420255 +1512064993 +1323197762 +145519557 +1856146489 +836599941 +1317325864 +1923153426 +500239993 +174437965 +382194247 +1277675650 +1286192277 +1453759440 +1011952152 +1637168837 +866962054 +382650913 +2134423797 +484287204 +765166494 +1296222956 +268717637 +555868970 +1635478834 +1629451972 +2005426563 +97279116 +49292974 +1526418689 +1522552936 +333713230 +891000034 +698267051 +479232787 +599662875 +1534866992 +1796558651 +375332653 +2035106986 +1970996616 +757526901 +1165298988 +1109705245 +63802693 +29767492 +599390434 +930764747 +412418406 +586330584 +1415051951 +1177584900 +1882553540 +1683769588 +1733453871 +1370548726 +1165737912 +1591396786 +1467827842 +1215030887 +970331827 +842897131 +1548744117 +1861331862 +1541164182 +2027976904 +313511089 +928547526 +1677051907 +688843743 +816170864 +1500564876 +1446370644 +1981469852 +462786473 +1510173337 +2011237345 +1062176908 +293454437 +276172103 +1648507492 +1708506388 +1453757003 +1383577384 +1244792329 +1039727226 +606642462 +263046593 +483640364 +2074470305 +1478077480 +1453972192 +769883788 +879337949 +1167820406 +163564322 +759831205 +1481331495 +1092111848 +289399465 +22691590 +1908282713 +1789964341 +1469062234 +1742268917 +105267166 +831751924 +1606022614 +1167444074 +1125206361 +1882194717 +668467918 +686229101 +1188468073 +2052045302 +1931021430 +80711651 +511204117 +46584376 +564352016 +438190774 +1524661856 +2018324208 +1208074562 +256516158 +1038660966 +1371638884 +1016347363 +372508813 +316267084 +1305746828 +395200404 +77066149 +948227521 +1864262638 +1819335067 +1053494688 +548530914 +1277874033 +73455114 +1673737275 +1012585103 +741923033 +212482729 +53569528 +646484687 +2143504159 +134281179 +1157688804 +42604887 +698633195 +1595879578 +1567266744 +569473755 +656470492 +1823782902 +1608134721 +2028109376 +692646617 +1980643535 +196892813 +1998393446 +228360291 +273958962 +799137319 +2092622929 +2093294029 +1852632007 +493670196 +1223684415 +1926087122 +19923823 +88785870 +520526507 +232406552 +142355398 +1167011194 +228427064 +276636577 +177216351 +271031951 +975269773 +1773095929 +1838298695 +1544743528 +282082774 +1514597949 +1005394602 +162708502 +59760919 +838554489 +359601315 +2058154365 +1066914780 +633560278 +709808036 +1012054061 +579370659 +414956396 +1505724257 +1803055074 +193559870 +1525648081 +1891840944 +714086377 +1758054633 +2034196342 +1881097571 +1986481697 +163349272 +2058313922 +110030001 +1138619045 +1683926204 +1948328696 +535878925 +1966008978 +1315442998 +1541273527 +2128717480 +1375203917 +232344368 +340835148 +1285874634 +1299259148 +974395426 +1995682670 +163829562 +1553766085 +263155418 +1669553819 +1209337512 +456715288 +1047718252 +953694808 +1170801665 +658289238 +840407503 +904415589 +497287287 +1003756775 +815245863 +607317288 +2142375820 +351688419 +408162337 +530771097 +170213749 +1723605335 +2072044625 +151447582 +951325604 +156905345 +492282730 +89716590 +1456164494 +1466678156 +2085399260 +1619994056 +872960593 +201071031 +1142064227 +2082298105 +657786319 +42298832 +888509266 +1828587985 +700588070 +1728916769 +585519926 +1197875357 +585189896 +1400765789 +1805192646 +580082068 +1752454209 +65871335 +1110853165 +1922667958 +1789476670 +1035414142 +2074115540 +593318626 +1192319488 +418914622 +683035216 +501000334 +1885592778 +620950828 +2120994390 +611069724 +822021859 +1115574969 +545884181 +1479808179 +1157873801 +1434393447 +1160912516 +1858461871 +1015826568 +1746432442 +908853581 +1601016464 +999714583 +566562579 +33614884 +604685144 +632433914 +1144468050 +379869455 +274426936 +32398544 +306501347 +867745562 +1224718032 +725415970 +1550780778 +1725718366 +463525100 +24247958 +1699229108 +1074594824 +846269818 +667320430 +1620479006 +178594349 +1825194231 +907388805 +1339506865 +1536172455 +1923215374 +938455659 +297542388 +1376748190 +1938170242 +864104967 +1410363075 +395371739 +1496538881 +407347477 +775241194 +1770965817 +439746021 +1081742541 +491227731 +1664464054 +1807158511 +2042008509 +1242698772 +123199964 +2066256467 +794444233 +1197794788 +765042637 +1461764663 +670790146 +943636986 +1139475246 +1578178952 +135660203 +528164053 +1353910678 +1074115862 +825706441 +583175220 +864802457 +1689811408 +1993538295 +1260174196 +1038866641 +253402124 +2035415390 +662348810 +693148146 +969674283 +1153576541 +210128552 +629349147 +1048101402 +1452827324 +752549111 +966874222 +99787909 +1950343899 +1731916859 +1561552572 +473650398 +528070198 +553544171 +2051829350 +663730401 +1081708224 +1258256380 +1737846264 +1907414666 +1841431600 +455165073 +1449742426 +1687486248 +1715339269 +341125420 +1940888372 +1603271011 +1003474230 +486552870 +425461646 +9567124 +696681422 +1054810793 +1057668526 +2025099 +1807359904 +2024542748 +101813008 +1610220156 +1608975960 +1663365581 +2083870554 +2137046158 +69426104 +1988216256 +653292911 +1151134328 +1098988988 +243655527 +911065346 +792936940 +698820600 +213324125 +332939540 +266676221 +554449545 +126344265 +1869947232 +1557923775 +612897135 +147925231 +1567490899 +1309578558 +1202736024 +477675778 +1311603657 +862612281 +354734878 +1413416665 +325348789 +1963710838 +929298598 +261735695 +1953273348 +998724702 +102468303 +459082612 +2375383 +1201457291 +702738139 +913440729 +1994394231 +1401558740 +1126764854 +179850124 +1668234961 +1681214399 +306194389 +1390698546 +1091654527 +919091524 +1538623777 +511661778 +81186434 +593876153 +989337556 +1392790091 +1456488434 +1344072435 +658723109 +1781837223 +1160299625 +1588021707 +2043572918 +966089326 +439262762 +2146041221 +1425171938 +441638145 +1200014864 +2127910077 +1355078874 +1046925448 +1381985169 +334360081 +1226775572 +902736483 +2015574480 +1532969961 +145951381 +959745359 +304577837 +1684575158 +1471407138 +385764272 +130967663 +313261046 +1778554363 +1587456098 +1657333481 +289793824 +1221809673 +670149459 +1877815532 +1117898944 +1636238785 +169594646 +1116456517 +913927075 +611232791 +168987734 +894353504 +1966311665 +1215913182 +128855026 +153188098 +295205106 +1031591509 +21278931 +1828175067 +1177542890 +981024290 +2132752904 +714634400 +304947780 +371033528 +845602063 +618208827 +2104244 +285574513 +128058660 +291898068 +1507384187 +798208119 +22229952 +477799483 +286963256 +191824598 +1594256000 +1200890331 +803057389 +1763243734 +2095243836 +621885407 +831673268 +76615214 +775073505 +1126878374 +1108206723 +796352436 +807569793 +138265965 +1777376727 +792839050 +852900365 +2082324507 +1163872578 +1698502428 +553049686 +1165976822 +1984076942 +681108347 +1457874891 +1343977481 +1479316466 +1480104843 +1821776964 +1766279723 +1671929442 +1268549316 +819686406 +327503183 +884309403 +767446594 +949388590 +1715982671 +844061808 +1724462096 +695377398 +1952268531 +373330884 +1502947191 +2090534496 +3223963 +148302593 +795951213 +2085548471 +1312175172 +346969994 +491114509 +330668346 +183563288 +1172222856 +1788543237 +1527540769 +504055675 +1121164433 +1201834085 +122851750 +645610227 +322899753 +942538156 +973113410 +1207209156 +1709984751 +1922502001 +775708180 +406562911 +1499480449 +1471085578 +211347795 +1872811333 +826549121 +154398643 +1876035297 +974851715 +950349857 +1814100120 +139543239 +1297319851 +157730981 +470211585 +1480883139 +1329953838 +111271175 +860940260 +1834009513 +1232435608 +2062774345 +1956861263 +1878045835 +238190450 +751915771 +703675597 +1445399607 +314416874 +478693950 +73624139 +720979786 +1978174399 +1544709717 +932327581 +1703502085 +223775190 +1086726224 +1432053734 +1198626905 +2037076081 +1098670206 +1338170144 +1186912284 +1256401187 +1808381730 +520311775 +438871377 +1919652905 +1381252035 +125397242 +1004604865 +1296542732 +2082258505 +735167052 +1534733183 +686690629 +1438842649 +832649142 +1001107503 +1917536600 +906273281 +1722087289 +1748227351 +303499350 +506931222 +1304245788 +527274540 +1593657447 +588815874 +1725901446 +1483249880 +1687486080 +916587942 +522678517 +796403620 +577486024 +1042990292 +1235274997 +349655281 +276758680 +1360672240 +1354260146 +1573301412 +1295447097 +2089427198 +960550947 +1982137726 +1380786200 +1793200089 +835761582 +1150839152 +551989722 +410365223 +751582855 +855489072 +917296446 +2055828644 +1382763613 +363470245 +497160870 +961181411 +1846720125 +37163303 +1877769353 +221914994 +833566923 +307771730 +1264905287 +2068841920 +657427011 +1541663967 +1282030512 +2011687158 +967481731 +429993962 +1953630708 +1928032679 +264648040 +1186933260 +1573749120 +1100409622 +190288764 +2125738843 +1510774846 +941871620 +833744267 +280587644 +850216616 +69024232 +644057889 +1347377486 +1030205643 +343294366 +1384540789 +760491349 +565209361 +70624064 +1068263079 +1830114648 +2139465985 +1725690090 +1224294967 +1274012849 +1589893600 +44293050 +1704006811 +1396040661 +1972325729 +1968654852 +435490273 +1398591202 +921580826 +625779038 +1376846397 +284872024 +1567650658 +63107016 +565459668 +270383626 +132131249 +1209517557 +1617761112 +1162336892 +1552811924 +854818254 +1922828241 +2118021285 +925442318 +843607672 +1800652285 +917424655 +421814115 +877463604 +43953857 +2011707715 +921756654 +1747960668 +1260264728 +746598736 +1569131872 +1695755002 +2145189938 +343229051 +174050392 +1374552687 +628101075 +1741701050 +1437659703 +1193560744 +2012084676 +1569790952 +255594653 +1482362140 +584644197 +1808406577 +189696746 +359988790 +1778944214 +1115139065 +1203596463 +1432112851 +2032563720 +1625410578 +162092807 +2076517577 +1489634645 +1083849462 +1676994598 +602415726 +1830448198 +1098642822 +150687080 +1828154488 +1441871873 +324737472 +1055223527 +2069972949 +2066438522 +345399582 +1116050045 +1931039550 +1915190535 +1371644698 +1265918042 +352351084 +1032567628 +1455614789 +712339874 +664028194 +423270206 +1915936337 +2096141046 +308350278 +1393863267 +110750205 +237384208 +736014265 +1194599667 +1914378806 +1338429991 +877564217 +865537980 +1489117071 +558235057 +159926206 +1813854543 +1613458584 +82415507 +1732809417 +1958858167 +1198465552 +1516365319 +1726565054 +422626602 +634799713 +2078916138 +1455194230 +2090414502 +643772364 +2119222425 +366201060 +412225054 +2067879823 +674551339 +1806088321 +31146380 +911935547 +394618938 +1225746048 +678830705 +1733048929 +2103310265 +1544368685 +1074682352 +514061675 +1704294891 +741053247 +2127520259 +1786710398 +326379016 +1938894778 +837692302 +1842744335 +1517976184 +1260318905 +330060401 +1449408674 +568029487 +272991255 +2093181039 +539768264 +639192316 +357922445 +460164439 +1313743655 +16527118 +491310820 +78195554 +411146057 +1717056868 +757026259 +2144194986 +1672883485 +153911296 +1071393691 +39461512 +1858206188 +1812446938 +19498124 +1497432938 +2138825955 +1958392902 +187641593 +1834086642 +1328885439 +1447960498 +16663395 +630810465 +2015989985 +289654651 +576507856 +408274602 +928846967 +934430301 +868439041 +95106974 +950957420 +1359749861 +173302528 +1362103477 +929323081 +930328787 +1358814815 +454722919 +1084240083 +282724858 +494184431 +794962623 +2095171797 +513682555 +144911914 +2086514104 +324591810 +332553507 +1773117098 +1653477249 +1780514005 +1789780494 +136804066 +1649020342 +2079435145 +713311923 +2057294944 +860798464 +1647742224 +778250338 +955905438 +451215996 +2138000199 +1129207966 +1813319473 +919839633 +2059536753 +1024650641 +1374562552 +996293188 +1307375499 +1868746983 +1791255812 +1255063648 +234945891 +1936167726 +1194094104 +559537701 +121237585 +819727555 +65531302 +1901751590 +462024401 +202335368 +1403288284 +393975898 +915647291 +1313099581 +1254774362 +415905868 +2091349919 +63196152 +867121864 +2081866470 +1192404118 +532957690 +854222455 +1104457223 +1557608331 +81301359 +2100750411 +717500182 +1950048343 +1744522575 +1972563831 +37510586 +1533206653 +1019174287 +597048287 +1654444238 +1838901842 +662579589 +1408712180 +153442595 +864914957 +664516817 +547418493 +1780562249 +1977616398 +1802192855 +48984469 +1921482669 +1865389007 +916106333 +1855865491 +910309477 +1449064023 +562604299 +2014766700 +859188706 +643905658 +1968033464 +1576688889 +446470353 +1565072391 +1401769072 +483980939 +950795397 +273459711 +1081029226 +457755987 +2112361554 +1743608815 +1866468168 +118320501 +461040125 +383501337 +665738995 +94118726 +213634087 +320448202 +143103195 +2135116756 +38353562 +1059209528 +1843498599 +948663039 +360789904 +258619250 +815946092 +1219978610 +902524909 +636495908 +649183851 +1348995262 +54084651 +2050952923 +1832976202 +1004880048 +176928987 +766521780 +1462636036 +141806893 +362646948 +1181620556 +260127394 +823687073 +1565121893 +925866389 +917805799 +1778755980 +1246314592 +1060908994 +1766389088 +1284668154 +2120118522 +1462404039 +85847545 +333424778 +1721023290 +901793637 +1553403389 +476064551 +1538289545 +55103592 +1825059813 +1592374197 +2106056516 +1510552367 +449770597 +135501855 +129590500 +1912406633 +277308748 +492237448 +946543541 +537436142 +1315924521 +364181786 +1463302532 +86246672 +2142937766 +562133476 +1147155666 +1761843206 +1846801630 +1119790540 +1076763598 +1932649175 +1453215319 +650303240 +686959165 +859135060 +1126367791 +77765062 +914238652 +803943956 +1670139259 +872811520 +167012676 +2119909857 +1008313375 +296603176 +1884832842 +1285622123 +788840624 +683892736 +1823058266 +2104765145 +1048074522 +1138877150 +43528169 +1043528641 +1701010626 +1190683835 +657888199 +1400328608 +162990727 +1734651797 +1185494135 +1616206046 +237471389 +1872453300 +327857458 +1363839180 +1950218363 +1242096111 +20299489 +1472873974 +2114907631 +187312165 +1445300183 +975737359 +483915341 +1182649378 +113875834 +1272755965 +1866542114 +1936934100 +1230037462 +767132988 +928327602 +1273565631 +1810661629 +481854580 +316765818 +321066181 +1882183188 +479756545 +2055717978 +920193676 +2095962592 +145705720 +645163328 +276336402 +1509544900 +447898043 +1518432513 +1529844389 +1920772018 +1485856497 +1717156554 +1218588553 +314110208 +53588247 +253754283 +427986042 +1326344212 +2120296397 +217436495 +408898026 +739945738 +1145764097 +1682463657 +403123719 +1627618678 +1999229475 +724189900 +1362318218 +331502373 +632424231 +135028246 +279981317 +778129951 +780191575 +556317719 +140191203 +1228089618 +2074750233 +1670035593 +1001377988 +1413123082 +1239708499 +72482894 +1727233290 +1293296747 +326237177 +7735684 +472157311 +299049927 +225172179 +881055338 +1038995665 +1370936277 +416035347 +1442119384 +851071307 +267781175 +18825637 +65905877 +599283548 +651249868 +200934124 +879264865 +1429379819 +981125699 +1435582584 +1569571022 +61731669 +1362849169 +1092122967 +1063109658 +628488603 +184347819 +1135592552 +208238245 +1477644566 +1461829729 +215973930 +1949801877 +1760879656 +441146109 +683373567 +652391673 +1812082386 +1099408915 +2094511058 +515670045 +1367190090 +2113336695 +581575923 +1966473638 +617102915 +782510047 +698254855 +2046482734 +1763635746 +2133837439 +1468570108 +1825367415 +1349202961 +413209428 +740993425 +1977691564 +597557247 +1876585977 +38446162 +2075201813 +1190932059 +254420092 +1877520042 +804328067 +695566201 +413409962 +1456719741 +360164940 +1512818877 +1403747151 +875834985 +732525319 +1369600198 +1457410908 +551515309 +1986703113 +92437307 +1249770164 +1885702199 +1856073053 +1236123955 +1206788659 +1533956821 +437843268 +1619998087 +127466598 +268051185 +70071686 +2004052576 +306497347 +2145273499 +1047500987 +560917439 +1875309894 +1851829054 +1256483640 +141236208 +1161065147 +1616648580 +1654055085 +417328650 +344999918 +239096756 +1786928848 +1802410826 +790612065 +1626148313 +1894848134 +2040382229 +1364366864 +1603437539 +1129022536 +423671876 +989910712 +1566865805 +2043669963 +1117377311 +1834916990 +2113741650 +973946239 +2141414337 +2111531501 +2021447226 +554848128 +1839357747 +1725792632 +1811331768 +1980593955 +739374132 +1280496701 +1487165392 +1156702782 +1625496619 +1726262148 +796147983 +1280423797 +369390565 +274812648 +1027788283 +262289146 +1639179513 +483742175 +1391311683 +2062851389 +1473652887 +810693840 +1959037704 +443546550 +498127182 +1925295706 +1417492789 +492057871 +1889343560 +1291456367 +1046905999 +1581217659 +869765352 +710754119 +1414327967 +1609139484 +1991250820 +754009711 +618358618 +1469263791 +332788212 +1414506601 +602203941 +702178777 +1689319250 +1629992224 +964467924 +1181015115 +2113734399 +208295959 +1096382856 +1439903639 +1018989799 +907936912 +1883450189 +1517116981 +685748971 +1153459331 +2009174852 +427608883 +297432050 +908597203 +2008826542 +1167197402 +1619351322 +1275670861 +628853238 +1463118495 +2029680573 +1247211857 +784898638 +214985137 +514234810 +1387102579 +917163914 +56070412 +869611156 +1881631838 +1237085527 +835861907 +2089927797 +185984735 +128281898 +961433948 +1093921648 +2011732088 +331067281 +1779670619 +1017707771 +192758485 +59795854 +1315139821 +1101355688 +2068622396 +334853576 +573223363 +1196809610 +963706814 +2036341858 +1079006535 +63435023 +673756848 +1293991672 +577669834 +2060859428 +63671938 +633740246 +782986936 +1945303777 +1870825774 +1618848843 +1887747926 +2056810509 +1747130742 +701698227 +1003248509 +1611379182 +1032765508 +635435480 +481603305 +1225523994 +695231334 +1796743126 +179396034 +616370083 +2131596702 +752619397 +1813179693 +947819869 +641477607 +744702580 +1011254892 +1315234456 +2038694252 +1588924726 +1228610236 +2102366190 +75181325 +2011597172 +1900186319 +1946007099 +1482962367 +1640450598 +1855333960 +1082609461 +194665177 +711098822 +546504995 +1227430685 +1346534302 +1028108300 +305471031 +2041765637 +677367779 +484867066 +510652072 +661480833 +1237486463 +176348117 +1609300702 +1878964071 +921050697 +473071947 +1046714879 +812261301 +2061996673 +127841467 +767143843 +2137177998 +2139438639 +519846515 +1935701449 +1474917358 +12813465 +1643551762 +410043172 +207478642 +207166936 +956548167 +1434909327 +1553701238 +1984656468 +1740380359 +1447983227 +514540599 +77763777 +1958635299 +1176021432 +1315250240 +2134983416 +637838487 +1046730663 +908550465 +1110910434 +2093445542 +1720811766 +1025423459 +73803361 +340471962 +1015117810 +65758352 +860318477 +803335611 +1540675711 +873131942 +299403725 +1950718883 +1080610584 +506570661 +759783402 +368036263 +2060271900 +596956222 +2108416622 +1360771479 +1111496821 +38696751 +1171923131 +140034606 +1353946992 +1159422899 +777873093 +253194007 +2067973365 +1888783527 +199155902 +1641301483 +766723338 +272959263 +1981773445 +1781841148 +338717616 +694608274 +437693112 +1879393327 +1567740216 +737096837 +1682628562 +500867152 +1243667499 +294928316 +868903416 +1156455751 +891884539 +829836390 +369743582 +2003381360 +868533142 +1541666713 +2143415966 +74996486 +553605965 +773805411 +328190493 +474095682 +515105290 +527346395 +2115397165 +1281828629 +800305659 +1949686963 +916186129 +1139023275 +496811589 +1353879241 +870932954 +2064551806 +2090976079 +406077868 +417935310 +1187159930 +701006184 +1286838726 +196132033 +1592890723 +2116675117 +565875615 +1448788436 +837724611 +2107542329 +1444720754 +912721097 +513664646 +71042518 +1240911590 +987760328 +586147808 +1768257986 +955673845 +1867976437 +421079997 +757877160 +636678919 +1560103272 +1254688750 +1990558160 +283552578 +1171756908 +1934050591 +689630446 +1589692218 +973726873 +1390636630 +729047297 +1169858906 +836043706 +698238766 +1735734522 +137348494 +1535963377 +1695793203 +1582069248 +301200826 +61974201 +1653111766 +1542112416 +1049734529 +91775927 +1162886754 +2005408374 +1959752364 +1583966751 +615801887 +448947635 +996586375 +1870490637 +292022148 +1280138953 +894763897 +78589091 +1969769399 +336972467 +1052315965 +1212922382 +1066019764 +74691223 +2048966088 +1764258530 +1810425745 +38830934 +1152738259 +1358735300 +1620900182 +1453939085 +1420709501 +1126528301 +848567854 +322960382 +1218304228 +2011454608 +180885109 +1030572944 +1447937712 +796686996 +1479520580 +297040439 +519693985 +1771542728 +1577179393 +1414457882 +1850131819 +1399465144 +1751430349 +754964136 +464903878 +669966466 +829655360 +366386318 +286741348 +492597457 +405217252 +1439479608 +1851332758 +2026117435 +745935045 +1124558611 +1005162088 +1594502899 +1447518994 +75982668 +1458473860 +1628404103 +1106555612 +758927924 +277607451 +438592544 +1055968363 +797301436 +62651624 +485664108 +64275670 +1912783444 +1885129253 +1815706019 +520263932 +202549483 +338188837 +1349919292 +568935802 +624930186 +1842516750 +974153054 +2064409794 +1546365860 +852786841 +662861191 +523440823 +1857948929 +109880443 +1970959817 +1933931597 +1568354303 +1451880272 +893003562 +179798579 +1729487723 +1331596106 +1235766942 +379305511 +1394247731 +1721431051 +443581181 +1159547527 +1459076656 +111803553 +1679811459 +1661626139 +449992390 +882247104 +83078293 +1074922576 +577280206 +1057231348 +991848722 +2123646066 +1910018189 +1654709914 +499603241 +1620483471 +1764590357 +323079411 +1406931420 +1185461012 +1774959683 +152451334 +1365259591 +1356963759 +1484047441 +453542885 +1736269270 +730811524 +27490288 +32366804 +1890359051 +1486566944 +144170357 +1422686862 +1000709436 +594162747 +157450318 +1083787729 +1669085324 +734730524 +2141019077 +513450398 +710892942 +1903553619 +20676664 +1210496184 +1376553442 +1785267021 +1533575595 +636001214 +823244385 +1161051630 +788452549 +41020328 +370531741 +125016342 +494563214 +2106801012 +855827866 +522053502 +2139167816 +598703269 +2008620447 +135854525 +2021390131 +861846235 +730017272 +31356802 +1945633964 +251618948 +766087326 +1939169394 +765069347 +1476980269 +1695239365 +785746011 +539992805 +924309159 +423529385 +2073568400 +1560310373 +1246773770 +1087136382 +201279274 +1287794099 +1457668124 +326295616 +1782357313 +1416985488 +1182123482 +156927167 +1408669656 +1780826751 +18063966 +1544524181 +1654733235 +879910201 +127057805 +1686090037 +678060518 +378676754 +304693715 +469746264 +1143746101 +1781673984 +17501981 +1929492112 +174183141 +941811140 +205537849 +100267893 +354637865 +1452311620 +1187404276 +555917140 +592622071 +497588752 +882212756 +227495736 +1914574240 +2064336239 +384422903 +1175760248 +1697679342 +402486870 +572800781 +1204928929 +1282397071 +699858586 +743535318 +1960457589 +1078535340 +1048229034 +282720205 +74797793 +682419370 +300222186 +2004289906 +856602512 +1242033326 +62344107 +956870405 +1596671192 +1514655727 +2144274681 +5104684 +2107277798 +494379785 +887317440 +187289886 +261470377 +804170031 +571712790 +1437230625 +354365726 +974199660 +2010031406 +1559294655 +109113083 +562406345 +155346326 +2069570673 +1640941685 +1203575360 +204807230 +1715739479 +1885994730 +505029417 +1572545737 +595113594 +1747062743 +1634889844 +1551984000 +1196250287 +1002061924 +1548775033 +1201354971 +961856074 +2043154819 +2088672412 +1149145961 +157141548 +745358795 +1720858751 +1594372174 +1099724521 +547574763 +1456919932 +511535529 +656687846 +2019326277 +666881855 +578774871 +1512784315 +1870457215 +783582102 +1081040146 +1608968297 +1288611519 +506102235 +56598244 +888190614 +2140992079 +1608582244 +2084440902 +995570355 +1009873629 +1138312225 +1957426430 +905544800 +1079500989 +959088743 +1062686349 +1824859785 +532463846 +509574875 +777100658 +1080038609 +1966494807 +1288636187 +1736726455 +1838337437 +1955518042 +168017679 +1203638104 +1678491609 +951599781 +137194602 +1139976259 +92727652 +643296837 +1196574503 +980918266 +636805268 +657673099 +917875520 +1632375624 +1667546728 +2056187746 +1442318406 +425607881 +988205087 +253923501 +1488294230 +665581224 +786387347 +1997869105 +1442681883 +1866425956 +1816880264 +583834422 +1455668763 +1507734053 +391868817 +1623686442 +563888509 +2070360426 +427802575 +701083111 +1062853037 +520530227 +1344379948 +111943892 +1501448494 +1981185217 +769616991 +271840366 +1466077193 +289680072 +180544464 +760911951 +715287953 +1168749552 +1014835452 +56098535 +1834330776 +1801222799 +2053967640 +1129529011 +1520165107 +1723364256 +1713363434 +828350222 +1083614662 +2105232251 +304553017 +1647503171 +2028109029 +732355592 +201102635 +943478419 +1252885820 +1545482583 +1055422311 +606850666 +1379184152 +1825039303 +878691032 +697777697 +2114719375 +1059235497 +1458689648 +682523680 +80501401 +326041452 +738622215 +1914832177 +2127264251 +645106207 +896877541 +1499945710 +220986815 +462757327 +180812285 +1304601477 +420505930 +485365302 +804621001 +301131311 +1217720894 +1005723636 +1244609730 +323123066 +403722571 +152548394 +929973732 +1782906724 +1977587697 +1808664765 +333200773 +1944823424 +720416614 +1791890422 +479863456 +800918015 +2117931874 +1218485671 +568266544 +2097712478 +1863591878 +1465144085 +1450174540 +2084578693 +1927901412 +1630986825 +1241696523 +200923694 +2116352127 +2046317524 +502055006 +1186589374 +904557512 +1746664736 +1509712440 +1308280083 +1899213130 +292202525 +943703159 +1729317179 +2100867290 +1276903933 +1526656955 +673800256 +921310707 +2006520411 +1474718271 +891758933 +1077522434 +2042984815 +841987763 +793630664 +1360645253 +144678656 +730725710 +1141063017 +1775665481 +1972422233 +1341986712 +1744533961 +1871256109 +1844041718 +783639687 +628329973 +1443222806 +145868479 +1936610056 +1194952289 +438071004 +732829568 +776785820 +391454646 +2009733501 +155959128 +1065254902 +783560560 +14995891 +392489525 +1675319493 +1092518326 +287990693 +369823609 +1886148990 +1648635946 +514502265 +469391052 +642215315 +142684098 +294329637 +1984202027 +1887218059 +18102098 +1680760097 +523374098 +646432071 +976499256 +669242578 +435558480 +23967897 +1107313582 +1168388048 +800753717 +1498768229 +1030637901 +956712845 +416539483 +1814198461 +971708737 +809029009 +1342034306 +2064227063 +1097019702 +1711857915 +1802892405 +598172000 +78876532 +124799810 +1240387315 +221560631 +419129447 +1077105695 +2108778690 +437231546 +610382144 +484669141 +1083663617 +1586881400 +1153911719 +1519222097 +1610849297 +113741653 +540126497 +264119367 +1612509882 +1570764398 +1220832212 +2029049366 +1237479211 +45057301 +690594727 +432029870 +2109284364 +1787614429 +2143887785 +1764693122 +238302781 +75280670 +1889492932 +1478690096 +296841301 +161138731 +408312143 +258136343 +598370277 +1018694288 +742805484 +1682033895 +458092040 +1896717203 +1053772344 +2068941338 +2010458857 +1593898842 +185577057 +1475485091 +1017179592 +1406409269 +1357050809 +107175156 +1451466571 +2047645536 +539205026 +1413267287 +1687776317 +535609163 +1030476761 +1926079098 +610889833 +772486045 +1257285547 +907731134 +933624777 +1665597690 +1165867478 +1531995054 +536808330 +1908672962 +1066545301 +994900371 +1657906518 +2120317646 +916358061 +1520881727 +1566732840 +1101935118 +848883170 +436428784 +360860739 +58450332 +543603940 +1812327310 +2106095868 +1082808966 +1078110950 +1646388538 +1618418130 +2108587711 +1424983988 +81824315 +733590109 +534785887 +989555450 +1667214886 +52899930 +7939280 +1051726292 +589708260 +1916612242 +2118271594 +1584608631 +1427035112 +2091105592 +353483044 +800433191 +1510354784 +1455418162 +1649316362 +1946783568 +1816278902 +1707766694 +342903861 +1481122564 +1666378914 +1425712827 +411749866 +1165283804 +896647309 +372853930 +442784145 +978471625 +1106444039 +977570032 +1968027075 +626175277 +1030469962 +1975966355 +1677901569 +1620178223 +1745094949 +1648689515 +1057303206 +1024646414 +1592311459 +1410786251 +1825079605 +955182595 +718720765 +1326912319 +754482516 +387516019 +887195365 +1097386377 +1868638584 +406090632 +375615556 +132904802 +1571374436 +1272262866 +505758732 +2014158581 +103250843 +1612202771 +844244966 +2071277918 +90894400 +1874714928 +1899760625 +1768795970 +1347409503 +1497371926 +1270001837 +257229062 +374534692 +714829649 +1668015313 +52130650 +1670012244 +239252430 +1379042969 +277011112 +626768450 +118754687 +1374397489 +347923386 +524845319 +1750013046 +480828188 +2096219755 +874792264 +986586921 +1962894689 +978043107 +451306044 +659656007 +901837377 +542200445 +386887287 +654114354 +163512767 +1734296791 +4002632 +1433514604 +1991525853 +378537325 +860605 +1512057518 +430667975 +1670872850 +1751309948 +1809710944 +1947883962 +230594750 +1928465631 +1174797804 +578518136 +305827302 +777327202 +1059346325 +254563410 +1652119466 +2045933246 +69974451 +482678925 +349755642 +729630458 +1384516302 +891956087 +1116517745 +2038630656 +1055468854 +703330888 +2042633288 +341499811 +547373093 +273686965 +342360416 +2059430611 +704354940 +2013233266 +1663256912 +366582237 +1813633581 +1893851662 +147564220 +840947737 +324886151 +453391523 +1618274939 +1384232476 +707954933 +1122910757 +1282682074 +777929384 +1605589682 +1632437716 +1507559842 +842622336 +376910156 +476593939 +733769344 +1432379010 +1179924828 +628918984 +1773878821 +1727297921 +902605950 +2116239238 +1639244885 +1606960890 +1981988856 +1155018149 +1973543127 +1648138789 +901386163 +2121107348 +341602878 +1226272314 +427015223 +1959877817 +463021142 +1134970156 +935304926 +1745703216 +1912899540 +393410960 +1230657285 +1272975734 +1236033296 +1607567441 +1749569673 +1969802640 +892462803 +782010853 +451237977 +518857977 +361825127 +1353843927 +487613567 +2001070012 +813321169 +322118775 +1008604513 +639380649 +1970257565 +1909990676 +613004349 +164376795 +988779343 +1040019572 +2124254613 +1451800485 +27506080 +912075891 +1050020054 +1940405620 +1305486852 +133193691 +1065897706 +394036500 +1740761132 +667983731 +216355493 +485740287 +1449994585 +667593470 +1004598264 +1811819712 +2021437397 +1492211831 +1665406076 +687274918 +1814330607 +526526941 +1326655567 +1637104524 +289033969 +1939659916 +1801481319 +1277813312 +832195840 +1778252284 +582130150 +859701920 +542844528 +1632150204 +652623892 +1848331380 +1765343895 +1718521598 +94884232 +1358621379 +239021682 +311239725 +1844361666 +1689016267 +978833195 +701476283 +1353352331 +852786944 +46204466 +871274759 +1540061863 +1860535073 +1397801700 +719233782 +1350155949 +1686835669 +511410051 +1004153621 +817165334 +1343605891 +634922257 +1399295484 +55824164 +1177766785 +883962040 +708448056 +878614517 +501822287 +279486007 +973498750 +1860443666 +518507689 +1284738475 +1557321684 +60040308 +116088023 +111314319 +1413392639 +968874967 +157518786 +137183750 +361453182 +2018053859 +1534985450 +1080686965 +1220726161 +1074337471 +1592097016 +77396134 +1891502805 +788219259 +712318391 +1143314641 +844043423 +1890085177 +2027276681 +1552491480 +621216046 +381615320 +1831977487 +1594714796 +94575338 +203001528 +731969624 +1651897023 +263041836 +848057647 +1763211342 +1676434475 +1816932614 +1920730128 +1813618225 +30902149 +1791300340 +1201120027 +1111589114 +864542853 +127973850 +556202482 +941938987 +2019476656 +1344421741 +1654257378 +1015307649 +40981517 +1396858907 +895100683 +1593472997 +2018074954 +1276716003 +1277966836 +1465306102 +1371291342 +1480968364 +49792078 +875704717 +1744010200 +897849725 +491432411 +1272961027 +567298692 +264678892 +939095604 +598200841 +2055979232 +2140215631 +1709789955 +773038437 +120705833 +118508789 +1714977424 +2140182489 +1462930530 +1221751154 +1008006491 +1503912047 +471126414 +1903107174 +949901396 +341717720 +1032339529 +80384584 +1807023822 +256147223 +1561352948 +1856815901 +1131851940 +1157879500 +607181978 +1623284352 +283356879 +1174480670 +1887963244 +1222452483 +1772681511 +1796458828 +1215184466 +1334987818 +422013617 +1335890300 +1453496607 +2136991041 +1328589141 +768943490 +1211258547 +189111984 +125371889 +1682384961 +2092219158 +1075273286 +2024102681 +977075040 +1155657870 +1683642856 +1233222263 +569527171 +1392975109 +217590556 +1727406671 +2000157087 +1840874908 +2010763551 +1027154110 +1581354504 +1085732386 +652351973 +1230329684 +153433205 +1987339792 +1652343301 +1489323505 +1293352751 +1641850694 +670428998 +2062296241 +705625593 +859540983 +40184483 +240526907 +804276493 +1115457769 +117145940 +1781351533 +123631991 +1800788796 +867090149 +693159162 +1046280257 +1084680705 +273082186 +898953697 +778071965 +136362089 +1926107807 +211942821 +1222094475 +430976132 +1442272505 +1375527680 +270832276 +947132158 +717367537 +1564185028 +441499204 +1387796536 +1478997621 +1147124797 +99853871 +1519182104 +1387651704 +904130364 +487156225 +1504797645 +537998250 +610788217 +1158102793 +1405088399 +1303947379 +56899403 +342285456 +1577029565 +955853100 +1120357421 +1713391654 +734477259 +1332300242 +788002482 +1165453391 +627089099 +16046514 +1436285668 +1574221257 +733414052 +852987048 +2015720461 +2121210588 +184501021 +1015361610 +73580811 +1703683126 +255529667 +977711175 +43355703 +1760327312 +1515709425 +654143920 +770946457 +773314176 +1958091300 +827845860 +1115599632 +1387637217 +1783698960 +88473405 +953545224 +370692571 +1420773647 +1741547706 +1536145963 +2047862746 +1757594220 +824947983 +1474600355 +343524624 +1677935031 +1342837168 +317251564 +1862436052 +210715131 +390832375 +1418635530 +466244798 +1368543551 +1461991234 +79088462 +736769328 +2116135154 +850034919 +1510083505 +1926742806 +1677880780 +478199489 +1166896376 +1314096092 +566672895 +2120441600 +1684788664 +1987446542 +1714505658 +1073450979 +1887825641 +1324616230 +1898398962 +1214942348 +1668140855 +1428850345 +410295869 +1985392419 +1143802749 +621011000 +228741147 +414954632 +1087255798 +1597284698 +1876945866 +1166344260 +186570378 +1845597372 +2016379179 +1696653883 +1624856531 +1546776311 +27369725 +644269259 +713388756 +594042620 +617227211 +250693772 +434005514 +184249221 +1324144751 +174347507 +1508865451 +1075060065 +1389289856 +1029522658 +356426762 +1799585725 +867431430 +1500229511 +273113077 +1096172577 +1915184143 +1360368875 +545973627 +1644646361 +379229487 +732544005 +1342760086 +248125018 +281714241 +820132969 +1794901330 +309083966 +1464402228 +360806438 +903126586 +2081629439 +611500210 +1337132100 +118395012 +1935644961 +1511479608 +1627260463 +863221378 +753285816 +509299474 +1219648140 +405387893 +1376730904 +572394003 +678500970 +325419833 +340094499 +2038869845 +871393460 +1984740860 +270615684 +1603937465 +1180017298 +518740702 +1885651706 +2000150267 +166158384 +47252024 +1317068847 +526964822 +950378610 +1251214638 +1138465032 +140027063 +1369609650 +926626345 +1651506671 +849386466 +1789847723 +257308839 +1358685940 +862012215 +662696732 +587933196 +1434406219 +1341197702 +913353029 +1774500718 +1232583899 +1784746489 +1611757930 +1503199583 +1241200306 +644291581 +2021940285 +979368365 +496958200 +40615022 +1026620389 +1814027048 +567579844 +1976999000 +917758038 +1706044877 +2117026063 +139884041 +485187574 +1621049086 +989270507 +127551650 +1878357925 +200472799 +989563865 +393571009 +788405995 +276486436 +1734768711 +1701759024 +2050987154 +819868962 +1339021865 +1515261437 +175584897 +432738523 +12069370 +50041534 +1412106888 +509027570 +90656556 +291243630 +175570970 +658236401 +120758982 +1093329009 +216797630 +90301397 +1233213050 +701985204 +1711350483 +74999909 +829536854 +1442224760 +275472708 +1819100720 +1835795769 +1063878703 +2095587156 +1423080832 +618154079 +1999090663 +95466146 +1957175944 +1366868452 +271051043 +242430819 +1378937822 +321092577 +1654537708 +1887965392 +411749134 +1945781338 +2063536363 +1069985535 +2066540320 +1009381724 +1286783165 +9358069 +95111126 +1988768369 +1720708552 +170111035 +670821576 +1015449664 +445583743 +342438648 +703761785 +1509462446 +290542156 +2126842617 +2127616525 +142149171 +74825115 +1937308821 +1509017623 +345876158 +32255992 +740471797 +666968735 +1686793700 +480953542 +1078717869 +1485091390 +397006257 +1219756 +1404148062 +1406387981 +1288002921 +1413506131 +1501499107 +1129287643 +986731035 +1671610142 +1800109219 +2002180699 +2117193885 +2142547867 +558458836 +1479172683 +285606375 +537817805 +1459305560 +427755547 +612642920 +1249130733 +1936773170 +958519078 +1281386725 +529761320 +1625487814 +820696778 +1010714862 +556722035 +158304520 +1407721119 +557941792 +1562452583 +666625452 +1845944713 +828475066 +20640911 +827748708 +1815206102 +1692251053 +480374279 +1669903153 +1661961290 +475438498 +80878342 +993650325 +761044874 +618696147 +305472237 +1188800421 +1231339068 +1554602970 +978089943 +42374498 +688506047 +1507851263 +1667862312 +1509202825 +371082477 +77100700 +1667507346 +1778803596 +635042492 +1082476281 +297945400 +333503557 +1910951347 +318586311 +1161252266 +1578673801 +2010837364 +1641626545 +1101093307 +1525315006 +2117065044 +1181971649 +371481683 +730626270 +1800667796 +676953920 +1919426691 +884523216 +84073242 +750032986 +926897715 +772579290 +110400602 +447276379 +134298467 +481483079 +524377079 +1801805813 +112803028 +1159419571 +736798446 +410748428 +1492923129 +500266146 +729334740 +506691747 +2078939947 +592688456 +834644 +1032549606 +2118003463 +2117899688 +67037607 +342001498 +701042310 +1867705404 +1018955419 +472985353 +604744972 +1103028661 +1223018340 +1531642687 +1875607951 +1333418942 +1978919067 +2009906419 +1814902021 +355812498 +1664228584 +1927705049 +1515232070 +253543383 +190969830 +860671551 +753809529 +920304570 +1367363298 +685265828 +1512993026 +1368197942 +1717815435 +1483512841 +1338613983 +1784853042 +1825514340 +2039656293 +1505074798 +696986111 +365157999 +2109819771 +1800014772 +1588176339 +1493978810 +1528139076 +774111633 +1325414229 +1390561847 +441530006 +1681226728 +907306783 +221751408 +1048975150 +1160850166 +412721238 +1909646701 +1914659695 +1333025808 +1129526351 +452441876 +698535186 +350240645 +22773663 +34564380 +1688854628 +1807626705 +1860078720 +1581027274 +1165217856 +409581183 +1946185273 +1127553979 +62112307 +1386877964 +474049141 +1590251383 +13505949 +1799463371 +833329582 +455035955 +1333206451 +1740636366 +676787363 +234697953 +754002884 +1089508601 +2144344654 +521178932 +275050761 +1126387357 +973620808 +973585948 +1476628002 +996394471 +1008150328 +1017998983 +656537528 +720745400 +451542609 +1821755384 +1130326583 +250244234 +801825715 +1192438890 +1637122198 +1275874857 +635206626 +1650628147 +927854580 +1468536208 +2105664102 +113577383 +1061688926 +634967818 +348275336 +1815691811 +1724476419 +345136342 +189387095 +1999527181 +1471523699 +1163007903 +825629481 +800668053 +11918726 +1833779809 +1818667036 +668456254 +407041561 +122725997 +342727991 +1537368144 +372970231 +1144553706 +582323386 +2010092429 +272944915 +1217530012 +1513236928 +1200799495 +538582573 +1471417383 +1314376878 +1600271499 +2106385201 +1662652214 +1268479662 +1683377972 +2007788556 +1457866757 +1535421505 +1331828607 +473391012 +213567338 +2132496661 +485309738 +2047347147 +1803680049 +1153765993 +306905060 +1926406047 +1496493984 +1844273204 +151892630 +493564042 +279112943 +14501412 +766508958 +1496642955 +1527738340 +1967308453 +2035225528 +851672075 +1134201684 +1488013380 +810573628 +649370250 +609009394 +346467953 +509675159 +2066876152 +1881889458 +1841503766 +392783516 +2095456797 +1826516779 +878093255 +1995320296 +1482713181 +2031859248 +154741709 +1261635580 +1380869584 +1999014913 +1413528210 +1874433626 +130644208 +1428029622 +493458936 +1627287164 +808284315 +313283742 +1515029044 +1659956390 +1447485426 +855558776 +323046371 +2096855676 +1464568171 +669514324 +459047187 +1383960675 +403920134 +153067306 +1776744191 +351893283 +1979584085 +507353798 +199729932 +1314813618 +391729398 +354471641 +428965550 +1772598982 +206002906 +1842493761 +1499548961 +336647115 +1123039735 +1993007897 +1963934279 +1931324050 +158807991 +1331479675 +1443796793 +1606293417 +39554804 +1766843164 +1555665446 +1504122975 +288873840 +2014712633 +740600002 +692793974 +20296291 +369860545 +1044687258 +1999880377 +877214344 +1244417190 +1167210347 +1268943742 +1598888831 +1596175898 +894059077 +1804891737 +1291186011 +246124390 +2141538852 +266742098 +91648639 +1957989483 +50582501 +250456631 +1141985511 +1494379294 +1856750048 +1181540315 +1113738810 +1264931846 +538179642 +1402612650 +1132160832 +1278779644 +2095406624 +1152457123 +1648640189 +992610234 +1004853852 +378370885 +89543776 +24580552 +1647314628 +1688432607 +1620756450 +393890057 +1345840697 +764458813 +640014447 +1339895901 +1031200911 +731663086 +1150401737 +1081783412 +982119717 +144903600 +428679058 +691386118 +1326443915 +1542417868 +1956317964 +1864623557 +797546870 +940995148 +995919553 +745469847 +2093452272 +497076094 +1738080081 +950822476 +875446980 +1827623858 +975403028 +375277960 +1368572817 +448675830 +769168017 +566929866 +1213134643 +1409182464 +1906825768 +96851907 +2140845550 +909743857 +1178635319 +975481620 +1054647457 +1607314378 +1666867738 +233607724 +1002248598 +1475702054 +2098231281 +1799795469 +269213555 +946667186 +397781668 +215182179 +1443743280 +2135861749 +1166004655 +171706612 +1816001959 +2141407684 +546984572 +1037091129 +442599866 +1316152589 +1604020995 +1655734510 +577851405 +1363363115 +1752586417 +571213308 +125623324 +783738088 +1546694928 +1180270781 +243568818 +1066079018 +1413878505 +1245817417 +394297424 +1364626138 +898129238 +663510979 +163809676 +1295910906 +878693158 +1607552957 +1284289007 +2044697814 +1779259569 +952807319 +2038621850 +178760494 +1989898448 +333738068 +1494913083 +1446435795 +1989472578 +2072764489 +662315263 +1594575347 +496494149 +787938587 +230829788 +2043189077 +1968209369 +474398606 +961784447 +1234604226 +1720216023 +1356081871 +451746717 +470861613 +2019592851 +615556393 +1766772519 +750802361 +75625702 +903577879 +648016527 +1854885272 +1856385198 +539154729 +2033645766 +1698799998 +872892798 +1381075201 +997752145 +714881728 +1306356042 +1660067408 +161973428 +1802850191 +300522348 +392803216 +1698555620 +121248069 +867201822 +512856419 +1355852295 +439934198 +1868938291 +1807599012 +910795811 +1741047494 +275671758 +530084683 +344366207 +351297460 +1433662562 +992382735 +58699084 +1142564112 +1531537464 +2092344850 +693880462 +256946614 +1325936404 +1691632607 +971828343 +484808798 +1204216368 +1133801771 +140175342 +1504738716 +1526604987 +1838730962 +1625986785 +246323161 +204103734 +834355432 +686257359 +2073042025 +494470797 +1597053171 +1666605871 +770142555 +2127137854 +2010972078 +1121440015 +1413316768 +855871165 +1180139100 +408397232 +239924982 +1125000302 +1102277694 +496871596 +303453058 +646426653 +1468699939 +788261857 +1850643021 +455018062 +928437199 +1207898089 +1981623049 +619684513 +686401226 +80462563 +823788247 +1520756659 +766719922 +749346624 +2015227456 +216289445 +268468847 +637886363 +195943651 +131957278 +1759326378 +1609260419 +987828443 +791981830 +2017657651 +1227753425 +1916982133 +972451697 +1724625022 +72951543 +1618878351 +1045841313 +861213400 +1322037724 +1500859376 +1789650599 +382452166 +1334998777 +261851465 +1068853392 +1415461340 +1085639712 +442126403 +34697615 +1834986337 +309870211 +250987060 +2103455184 +947756574 +446930712 +87928814 +559599305 +2056191131 +1075757258 +1351581135 +1926365135 +156027035 +1121079620 +751333184 +1880652057 +1194031164 +222727887 +779009723 +2055244564 +1544765612 +132385451 +1697411516 +1927217778 +1467384228 +1959262981 +848587522 +735361921 +897419045 +1290713926 +770059536 +584921734 +1600584137 +1021046596 +540893271 +400857064 +1467977308 +628822085 +960456369 +1376684792 +1704579343 +164553856 +1155566279 +1860606379 +1285633477 +1906899463 +1593774788 +332180993 +2129627351 +225300863 +239941909 +1526909315 +357686314 +1937353425 +1306643445 +1825070543 +1749132758 +7747319 +412948816 +499068156 +1298461245 +1183008352 +1083989890 +751561735 +56571300 +1624883161 +1152418799 +1524548609 +106221599 +2112875168 +753749753 +1810800942 +129945376 +1909316032 +1523923673 +1415578853 +1668731847 +970214814 +1747759846 +1650875550 +1195515677 +1987701756 +1030301217 +1553201992 +1777571533 +189461014 +1230788887 +1379220644 +197208334 +1643737703 +1878288800 +1495669579 +679262407 +814795042 +99747666 +735833707 +292194556 +1252166465 +112898668 +398416155 +1217557985 +866648421 +61733449 +1347503362 +628480805 +1585657123 +615598567 +149729005 +408388289 +215874766 +1800604555 +1603903966 +56092874 +683422125 +1009622310 +1833664407 +872883139 +92927549 +1065401403 +1070091473 +1736665252 +796206555 +418277405 +268444011 +1611001598 +518025071 +1004277719 +1903196154 +1770191537 +1117176387 +154128661 +840265874 +1983824809 +215862110 +40285588 +464821966 +1801519233 +655884156 +614550971 +62423874 +871758922 +267671879 +1666327841 +927851796 +951094004 +528466503 +614032555 +1823977143 +621394053 +1679433959 +746584969 +210575657 +328156866 +1164862374 +479019669 +1939158464 +1682887445 +1483297388 +1694870970 +1305595334 +452990127 +1848999631 +2145861209 +289331288 +2064861742 +38663149 +754153255 +1718897327 +694547305 +1368704226 +1781321202 +1566306227 +1636376105 +1300165395 +346674375 +439986461 +1828631898 +960706931 +116479957 +302542303 +492657242 +863064926 +513117961 +820814108 +2027927300 +992137630 +612488925 +1563331097 +327951370 +159876247 +721442784 +780941497 +2008875879 +719820345 +1070272786 +1926253973 +758483494 +1824426041 +1497667652 +1453030800 +1045646619 +1131505206 +871853379 +534539077 +284186953 +1218527755 +974525538 +2112818852 +31751038 +1091005495 +267877507 +524408280 +1954070421 +780995468 +1345222388 +1834514073 +1773133098 +1957711313 +1250361523 +2101084468 +2117587561 +1971804307 +734542318 +1978979792 +544141004 +1804815104 +1757750117 +1302624498 +1481757497 +1107934121 +608171650 +379920468 +91955680 +1480025030 +914459545 +376142633 +551069137 +1888985084 +341477837 +582820175 +832506931 +609355345 +1107228455 +639093705 +1390350813 +304967195 +326124130 +1016000264 +115194861 +1576485653 +969601084 +85298774 +1400806312 +1704143402 +2064278566 +1944947316 +1361474858 +1674545035 +1100088167 +695748707 +634995508 +1708259817 +1075669176 +726951188 +1040801199 +1990128721 +1103093822 +1591870336 +1731630157 +1444571659 +27206863 +416653441 +2053927004 +1134435318 +1055747146 +1296794170 +1439402514 +1381871276 +165310786 +1554597375 +810873282 +1134911870 +1639896149 +64195946 +691571625 +1556691067 +2009143263 +2053046483 +1083752454 +961747782 +601311543 +1718747962 +522523951 +1676980719 +298215503 +1563325151 +1519625792 +1401309325 +1007711839 +1103772302 +698397336 +1034918703 +1520425743 +604840693 +21870373 +428689241 +1901634863 +1461272887 +1810560517 +2066945649 +868386614 +473950151 +1054373871 +360799115 +538146098 +1745945496 +1917490182 +399805713 +1651508332 +853758988 +1361553495 +105336227 +425023303 +1884077446 +1782316946 +723238806 +1299918949 +1154459090 +2124548131 +160147141 +110747744 +675461819 +1195065844 +1631173487 +1280302512 +1216936217 +2059862728 +1034453727 +530725457 +1722939598 +953915728 +1399112071 +49406101 +2008289600 +1759911187 +587552199 +1606751448 +1529917721 +987357912 +1110776132 +236193062 +201427759 +1216112359 +661216365 +2085505206 +850945657 +1384455171 +1237940507 +2005404748 +1361519654 +1398087648 +2116152492 +2036981473 +445669844 +1599842332 +1169800338 +1662606062 +1512221412 +56770417 +45847871 +1087677362 +1010686146 +1444959942 +1137083464 +871492098 +1057387481 +1724635663 +330759898 +439821555 +564509928 +1441536031 +676014617 +765937687 +510164742 +1337230982 +703959245 +1361110400 +574202505 +1941899753 +1219031500 +1935722159 +1192503753 +1187700344 +1825219984 +1638173598 +640059028 +847536674 +1153296012 +4796793 +904307092 +1199143883 +1092474155 +1914993238 +496620177 +82073971 +639001688 +1554007659 +1806709635 +969761586 +1993829214 +223735915 +263813969 +522360183 +989673602 +773978712 +1859591165 +1693632848 +2135089112 +286310022 +1488048953 +1206636964 +74548533 +533069058 +246853660 +1899768517 +23759008 +886912689 +599821544 +1177055020 +891709482 +1504128636 +228715255 +1984183637 +1271638226 +725335433 +2066257609 +1910639914 +131859444 +1725483596 +732917852 +2125688658 +1949219511 +996731822 +500565193 +791409465 +1770710534 +212672710 +337558665 +1758315998 +498982732 +1825607618 +817469314 +573531265 +211193029 +1064322974 +325816134 +234952037 +1951235663 +925637678 +1412007058 +695461497 +282282666 +1640722313 +532161487 +1553920892 +218574098 +450935448 +1317077158 +350433542 +28935396 +2049995011 +328638552 +1978154907 +899243185 +829203745 +622080724 +522470071 +1041876455 +959639390 +133302421 +1540859187 +637763360 +950771735 +2114390452 +848956389 +2015094709 +292722939 +1083908427 +1818846725 +1218360617 +348431837 +366824574 +1500643284 +1989154150 +898986061 +907080528 +60244601 +1349921509 +76674039 +410678143 +1378856905 +2126669050 +739316696 +1209528164 +878428587 +1568520441 +1831608889 +1400898658 +462913249 +643764631 +1534201079 +2003772436 +1281527991 +337489166 +1970679241 +2130484381 +205100227 +115918532 +1066909160 +2023946952 +1334279149 +1415340997 +243287879 +687438785 +1257011499 +1142273940 +1594519314 +1317256100 +344711802 +1671193353 +1727934244 +1723568707 +1650378755 +319767292 +785613224 +381323694 +1888287733 +469738465 +1782222352 +203717334 +1113503096 +1168939783 +60006123 +247547439 +1506428949 +2030685364 +230548172 +1711529176 +2146603896 +1297457332 +1587992481 +1333399397 +565314681 +1831280360 +2020838183 +1822326181 +826070652 +1467873849 +992098633 +1170782454 +991583554 +572549229 +746867514 +494478661 +892316521 +1532480738 +875802355 +633120607 +2002219203 +510541059 +836837941 +968238651 +1679480842 +896844064 +1215786090 +1038426143 +780045780 +1446334263 +602471671 +779166028 +596307947 +42980504 +2112565426 +1161622629 +1874260864 +1985919961 +836465162 +552847869 +1306310162 +1828563795 +1723630323 +150410068 +253629377 +323014189 +644888729 +1145945898 +1855494927 +1520691084 +1779066505 +1710230482 +2031232143 +468420799 +530985485 +1563229337 +1365264863 +1746771576 +454171832 +2145310644 +1045622191 +1056643503 +776993024 +1641930138 +1099624008 +742074802 +656069119 +826401224 +580511115 +1492534281 +1379249093 +1886821277 +1173614429 +955395769 +2037231345 +1427243806 +1278409958 +534636426 +425706056 +986421238 +2055327510 +57288914 +549168072 +1939076005 +525709713 +1080153558 +1354821694 +1890974576 +679441486 +1808993526 +1888801572 +1725063677 +718153382 +518310949 +1219510167 +1817777390 +1260385751 +1875579287 +496694966 +1840896867 +1220629920 +1875944060 +1580234496 +246760701 +683856181 +1469982194 +1674004507 +1962266139 +2004618620 +2099710564 +801203729 +1912462483 +9515830 +1350371802 +1704054840 +535225543 +283041712 +911392887 +278716471 +962483198 +572902765 +20034396 +540063227 +1291056147 +538345345 +1759573394 +961349889 +1798731096 +1487669033 +1458044856 +1492144315 +560815306 +1186505268 +924895164 +807576007 +1870361449 +247393710 +334096867 +1685143940 +104528682 +286323783 +338864022 +2016991165 +295839613 +1689235824 +1573562358 +831065156 +1972277536 +337471597 +1109781627 +787277086 +910374362 +1129816023 +1327340313 +53946862 +1668161368 +939430059 +1015296751 +1319408817 +279615445 +325857959 +664069484 +840430751 +1512363227 +1588964648 +1648006758 +1235241028 +1836358358 +1982103625 +772901321 +1940887041 +120943760 +1111765343 +1810394558 +416783373 +653517519 +1236473268 +1247848529 +478311407 +1573944865 +210146509 +1265588493 +336835580 +1339962532 +445445158 +390782442 +860640253 +1384875217 +1406079193 +32565422 +1664490662 +1731937153 +696634906 +357437765 +1096816732 +138115907 +2005444524 +184574113 +1974474265 +1840064501 +957475434 +1767877658 +1961008262 +2069240777 +1430788569 +230307987 +575274648 +519778189 +1478156517 +1053586055 +2093723055 +1688303026 +171690900 +283074987 +880781910 +617136058 +673857429 +1741422163 +2002011275 +2079936622 +1773987585 +1519018290 +1664390127 +323138844 +1876456055 +613723212 +461254751 +1734416931 +798297325 +288245368 +1426997785 +1755772759 +2056123027 +1240522399 +1677529888 +1339427948 +1470830386 +105320888 +1859206137 +801503255 +1158906943 +1805445544 +342322633 +1330597843 +2088520531 +1223104544 +1947733901 +614894312 +817043059 +1802261528 +547347287 +443546997 +1173796170 +64253766 +766685841 +902768578 +677976978 +1227940592 +489701861 +1476274303 +1516185960 +1916699646 +1084563414 +1424825339 +1009738397 +614609654 +616769639 +333085136 +719930542 +328492129 +1134588391 +1878837485 +2133937673 +1476911025 +1061951680 +2074974557 +552531921 +862201933 +542385221 +1369574980 +516979814 +1089732508 +1813121977 +1690775984 +1153986275 +432324170 +446060914 +1831963253 +1660264762 +935762776 +1160753909 +1028967075 +704978774 +97833675 +306308766 +1714717172 +712443330 +923078406 +2047802308 +1432373872 +1251570535 +1034907051 +1163727710 +1238024560 +364334428 +78195742 +1165515469 +916866349 +940397676 +1707900691 +138957682 +1457377490 +650149551 +1952079659 +1000669826 +1804135826 +236920182 +1446730741 +1488615432 +1897184944 +235009869 +501885693 +778668371 +939988643 +599719368 +1084977138 +507222167 +1312162698 +2008055544 +407540827 +597052923 +1112142431 +1442447879 +1760780633 +202683343 +1806782307 +1838976375 +1368198813 +576165009 +631890403 +928615856 +715122691 +2089267893 +1578765407 +519718702 +942454072 +1235417586 +756638884 +241701165 +576549370 +506340181 +476711034 +1078435063 +1285008552 +1416699677 +1678154431 +222502042 +1923921845 +842833482 +83073938 +183979024 +1439886405 +1195216369 +1626426903 +1053183390 +1397899713 +1285725563 +744676117 +618614878 +1861890572 +1376566521 +1547230734 +429529615 +1318350766 +978512493 +949248317 +113321190 +66446431 +1705887202 +355022355 +642995801 +64743735 +831733389 +1721430864 +1349752287 +100949419 +1252101648 +1572254330 +2024871264 +2094935130 +1655328268 +61366640 +1387337887 +703060990 +1687793544 +293037629 +2100960703 +826035459 +1037713746 +572091933 +540442383 +266796619 +2119322667 +969971998 +1585147386 +950351512 +1919220315 +1698468576 +1016797944 +1477623869 +2053490932 +1659793745 +1542367604 +737740673 +1233740962 +744636244 +838690092 +338358962 +169406926 +716077708 +285810444 +1824735194 +777444349 +1673148331 +380312536 +317754245 +1966185960 +333789591 +1143789704 +856416058 +905881524 +1684232087 +1123212678 +877720543 +506720437 +560876416 +1828072056 +278457104 +111861344 +697386352 +1756080974 +17868628 +209696449 +1150964930 +755609302 +1443437411 +1895601174 +1594299394 +1781796373 +2065008100 +162893455 +2067606817 +1742259647 +940337804 +1593271500 +2122572183 +1258092049 +1411973812 +308878127 +254398105 +120906223 +1214759651 +1938630192 +1244118901 +2092480195 +297866981 +1804995317 +1773068603 +576324085 +1916856661 +322971307 +184921411 +1934725290 +532667756 +1335886342 +542850944 +1976105168 +1084003868 +2137150338 +1610417893 +1001528321 +152560145 +1530541063 +596304320 +1092897949 +976328915 +571392855 +203506350 +240819080 +880270982 +457904455 +361725303 +2095030634 +249050999 +1605844204 +2040027181 +546917980 +1263355873 +1665612136 +1123242066 +1032728886 +1988583443 +1308163477 +819970528 +373767551 +496566171 +1362821472 +202389071 +1580570040 +1352488163 +1812806965 +434614713 +1505048308 +1195864380 +1030919033 +450462610 +24709647 +1602311888 +653968960 +265528727 +335099223 +1111873416 +627254030 +282646209 +1360924415 +85614586 +175189742 +1907842396 +1348970459 +1840801878 +883600814 +234215698 +1681901673 +44280643 +1054186226 +2055669224 +540846815 +269524051 +110574648 +2121416855 +1622012214 +1923381613 +408547920 +979576874 +971762345 +1439466953 +1430039484 +996471992 +894295193 +2084008445 +1262000720 +1229394416 +1048398213 +1889254750 +1512040625 +261838980 +1974869337 +1687230367 +22197728 +1176356148 +1380548597 +905798542 +1410571846 +914966622 +950079186 +317274425 +823152199 +1490926001 +586798476 +933726847 +1464859208 +61327042 +709624812 +1873407128 +1040903916 +1681387157 +1165390433 +323459753 +530375501 +2059685626 +259984550 +1792376221 +1141596395 +1308382763 +1534147324 +506153372 +1570221743 +1361533013 +45900092 +1592419472 +390405513 +1426448689 +350734366 +1800977360 +193931664 +1300813552 +2118251785 +1017083863 +644255905 +557566613 +1950810710 +2109115113 +618893655 +512951874 +1835038593 +1659797571 +46855383 +852945378 +1983257324 +577230884 +765147357 +95758226 +222123458 +1906743752 +1404140989 +1756270782 +265413476 +826879085 +970320147 +311313568 +271814909 +1360725660 +1737762258 +622549275 +1014219372 +1931693922 +1923362828 +984987509 +801294137 +420135085 +1542554122 +604621199 +381766551 +13964129 +1117573073 +69321496 +1673761701 +1164428456 +922266875 +1509535377 +1741659340 +1687414232 +1605293604 +1963782798 +1446674336 +861950945 +1572569932 +1712087812 +1688830030 +395406431 +2023401381 +1960644939 +1756132092 +1613679991 +435710567 +622867816 +1397890265 +211589747 +1607855326 +51700754 +631724832 +1002925800 +656321953 +1013491383 +1016889930 +1773895026 +1082812880 +543167983 +790839834 +2005079755 +2052703360 +385015526 +1545010339 +1510513316 +201314677 +844201027 +224980614 +1773884609 +408805191 +1913810644 +21807393 +284722924 +1726971936 +1777939485 +1898402915 +15198855 +253323653 +1148809532 +226788602 +1861178979 +1200510286 +858513434 +716621132 +1856832239 +1872004818 +1733511062 +1483243617 +807334050 +129195397 +126599803 +664930157 +34415109 +511615330 +62456848 +1544928426 +712930007 +906657875 +1769909040 +339330968 +1315463066 +1536236036 +361138361 +1600185991 +1115724324 +2139077846 +1351105258 +1130923179 +244917852 +352431143 +1357711781 +2106096831 +1552941429 +68741568 +675234315 +1262290021 +1940746386 +261261729 +598049990 +600596788 +390457126 +724649794 +1265526945 +424872236 +1236265124 +1327983793 +1969800662 +1949195131 +87158020 +1592226054 +141042451 +1402621086 +980978442 +502180813 +855323429 +2096702767 +493775011 +58945040 +1080142298 +738692863 +411376183 +290370432 +697306047 +1964317612 +359112000 +1372540362 +1079123985 +152374738 +1633802092 +1677173976 +752971526 +2024259218 +254340122 +2018498471 +301647806 +1490605246 +1198998616 +123964820 +1292316729 +1286156636 +1716190874 +1433359180 +541294074 +549685669 +1935539993 +1396617504 +498904788 +281831357 +1455562544 +1579047086 +1020524220 +1866938727 +1869417518 +1717830267 +1683772691 +81045870 +942886982 +615413029 +233420608 +429205426 +145103357 +986392134 +305980996 +399443479 +857406957 +607628803 +1890048725 +2056405573 +731593623 +1034881806 +1195078561 +300300850 +320757338 +1736372636 +849986519 +108813684 +985506492 +1348891307 +390645041 +293585388 +780454745 +1411169261 +13040467 +502388616 +981515881 +1696813158 +583434486 +1924402863 +164742539 +816855095 +206124641 +309845896 +1803247229 +512105637 +709289375 +513170539 +1119734440 +451854452 +422092464 +1851328064 +1486736258 +1617171026 +4145266 +1807493597 +1206060014 +854131785 +1916307281 +44082858 +55539444 +159468674 +337668246 +835994189 +1570637935 +350708713 +1338382805 +404670168 +2047521871 +1921817292 +181589383 +64780763 +591188739 +387714024 +374626659 +246952320 +899819662 +1083916035 +760122859 +2019554102 +1535770487 +1182215324 +1723398518 +875023098 +651902702 +1727543784 +535033047 +1857962716 +434191921 +303856680 +1902045574 +489731365 +463325354 +92230172 +1325725555 +2033963289 +442938885 +516624712 +291149810 +342977108 +290958356 +472739193 +407757871 +882147095 +860453218 +782384531 +1129099416 +1760272880 +1866300566 +1889222275 +1632343334 +1254587405 +923953951 +1208258205 +2129610503 +1575856653 +788318341 +517159902 +1286335721 +1222510263 +821016582 +1040897647 +1712241628 +1284341936 +1133127819 +890483535 +1170821578 +1576066704 +1407108248 +1461971388 +1919043813 +1698066604 +1934710581 +179318036 +432730052 +647680151 +961702567 +1561829468 +260469383 +680519485 +1303568095 +1892812718 +1935106891 +80038399 +953587275 +1917233746 +1655895052 +1741905616 +286910001 +794747126 +816932231 +1107926583 +1835644773 +381690212 +244784872 +821288945 +1272173747 +1415606450 +249872001 +531798347 +730094190 +21432166 +82381304 +517321123 +200750203 +515111356 +1165001275 +1162452770 +2076940824 +1425470658 +1842972256 +1233025271 +1170799728 +1630595499 +1313063670 +2124387003 +1400345597 +821475075 +1718808972 +1687255598 +1616222201 +388257555 +647698534 +1304383326 +769947767 +892483406 +2125672271 +2042121515 +160606208 +228060625 +426436214 +890700398 +249492791 +508817518 +1408021521 +450242994 +1023928874 +425539148 +1612695765 +953386050 +1851009807 +1308184373 +38927674 +874325887 +791296224 +1351991344 +851229243 +44158173 +25982771 +422554567 +1731413772 +1642204972 +810812122 +231628658 +799104651 +1580759890 +1124112064 +777293274 +1475397757 +1284718272 +1005353899 +1901833971 +27935022 +1254846691 +263167842 +1435956543 +1705089685 +1287096716 +1861495692 +1170301802 +92999119 +1565021851 +331002527 +131926793 +291864090 +1122298751 +1483918137 +1143093333 +1166456925 +1509900909 +1565647900 +750387049 +1004622233 +228976375 +982015707 +1803726884 +1809736265 +2106127771 +433536511 +1137650374 +1243362395 +1438890410 +892000697 +1271297417 +546253453 +1155168539 +559770312 +103859491 +294781608 +273782356 +1274161293 +387780727 +1838804207 +1605163821 +519707520 +2130668298 +579978924 +2003625657 +1126277983 +1746435849 +1366042918 +544442236 +349339250 +223181504 +773418611 +1331354957 +2026908388 +435671228 +1289999080 +312961251 +1573321602 +385877827 +1751851662 +317838651 +1657175244 +150621467 +1473007191 +69461909 +254480958 +1767788799 +343244265 +1528642252 +8085878 +34564825 +986322425 +527793398 +17749475 +1566301349 +383935407 +1144027458 +1165253551 +1749978326 +1688469694 +1514592801 +1973159830 +314404657 +698464111 +1852584570 +750075885 +1988463191 +18062174 +175913839 +226857371 +1769913836 +493752491 +1884032615 +1920535303 +1966759682 +1953494524 +27532614 +1587064833 +149255142 +1556174866 +1595150711 +183819967 +395013643 +2122944109 +201569442 +1961314992 +359395868 +1345596900 +979084895 +2109374194 +886582947 +346194049 +1935050376 +1200987604 +1044658160 +1640151299 +1951063490 +885637703 +1658213473 +2126977329 +1112495074 +1280643661 +473246172 +849044042 +1053695316 +292522206 +655054918 +1081227930 +1879587039 +804310060 +489919148 +1327254102 +988130027 +884932791 +1302714563 +1189699469 +698764136 +1662110432 +387812722 +1677849031 +1624000978 +1274395669 +2024043080 +1411567707 +327899625 +921217592 +904235358 +131479467 +1806855296 +414965183 +110973149 +771866722 +1695608844 +584219321 +1620910764 +601820512 +876741528 +128482035 +1683048443 +608844919 +932792095 +25483943 +1936099022 +1920922123 +910416735 +1091329937 +963137944 +1609180871 +605956721 +1350950666 +1139546254 +82474052 +477862687 +1016105687 +1494041759 +805762313 +1937323279 +250793469 +937241780 +1596694927 +665758652 +1048214929 +221078002 +213883848 +1632434251 +1841988766 +815704360 +361692131 +1970470801 +351269155 +970537050 +755779249 +376753099 +759152424 +529217724 +1287169834 +1850482362 +1492355668 +748867057 +308955435 +695822687 +1888413311 +391429487 +1173685374 +757035350 +1885471246 +1979447687 +546874982 +2136264715 +769205820 +2143569909 +654539719 +1817420749 +217164263 +868423567 +1302371352 +2059153030 +1684127928 +1664063483 +1882140183 +2035397083 +487116886 +490435784 +264666534 +1246269310 +1019653508 +1551836368 +949268024 +364525529 +153219777 +1258223460 +1060348216 +2041633089 +1649652947 +86549942 +651184791 +1387640546 +2065997630 +1198059773 +1376421613 +687719802 +1194146035 +2030961333 +357656903 +1411310298 +751901252 +1660028256 +1322979680 +288545532 +1176608091 +1057636216 +176458968 +1663724977 +1548072000 +441125502 +762510640 +420241861 +1992961871 +1711778664 +784767390 +2146181648 +822518476 +1845115606 +2040331089 +324687776 +1931665548 +544032233 +1712328322 +1850179530 +1742092006 +941266287 +390415684 +788754393 +824743972 +748072588 +52581044 +1576645225 +260617196 +1375560724 +1865190757 +1437225287 +285713292 +2041649725 +953466617 +1833785293 +335291580 +1715977257 +106543506 +180769803 +1280272273 +891310896 +179467803 +2102790750 +588942854 +72315245 +279994878 +373124754 +616347478 +1992323200 +75820637 +210955836 +786105839 +466236321 +999710230 +1610849812 +1214308909 +1052291274 +1040011389 +1474926105 +280368350 +757718498 +764667745 +566081643 +651884576 +1718134362 +252383288 +987176156 +1286627971 +358926794 +1167945959 +419416596 +1250237690 +1347413762 +374723698 +1839180544 +1419729007 +654718576 +64821650 +2036076485 +499558128 +140642287 +99548674 +1285663968 +606878609 +1099258904 +749030132 +1821187518 +4066530 +1789041521 +1148629976 +284434880 +399276371 +1913297721 +850516523 +1051160947 +1483948435 +1102899811 +2038337103 +623092758 +1461826605 +1058799414 +1042509354 +564580647 +258729529 +1417233053 +256277543 +1678458536 +2071951629 +321099194 +1567051374 +424026110 +461741481 +1666600048 +1709690078 +1068620090 +618375304 +311236562 +742323961 +622441834 +2100278083 +1890953937 +906876714 +352070806 +1656768010 +1757393238 +1403231754 +993232797 +712809401 +1294085209 +1616325555 +27152359 +205400976 +511351261 +591733006 +464130505 +1928584314 +848010550 +2142589041 +1853052296 +1169109744 +1562156767 +129594758 +1630851225 +1081273167 +1839284836 +551987668 +1699648471 +3037750 +1294311629 +174606657 +2103315833 +1037781918 +1081483372 +307902991 +547066280 +691392962 +1711134745 +1540299077 +1404202363 +857736307 +1009140984 +1431354722 +1063137283 +1520492245 +2023087729 +1527267788 +1301592912 +723614631 +1522373181 +1007161560 +1892724375 +937046301 +1136756318 +1376091952 +2018319468 +828557506 +1928079620 +1570484292 +831595256 +1074907601 +1745090949 +787427441 +2112689519 +679090673 +1095330432 +512272151 +1370483635 +658981530 +2052571228 +627202351 +1516717837 +914228564 +2058557073 +432371472 +287237162 +1934161154 +1959639260 +1588830074 +510292137 +1334528793 +448507986 +255532864 +124091446 +1585264304 +1631624817 +2142410915 +266338162 +1412220789 +1565411559 +1097933418 +339644743 +1163018860 +1885360859 +304850614 +1842109534 +833207643 +817122766 +1065109521 +1492189173 +722210346 +1692311872 +861423362 +1636438911 +1603385298 +1293794834 +1923676073 +1390062804 +1105950446 +1365022499 +1900354942 +292995592 +1813530485 +8404158 +417087038 +1251311141 +1640028975 +412014305 +1517649303 +904766117 +1977425864 +468099073 +1244410860 +992961077 +205976284 +1549261474 +687586963 +1039183927 +218900592 +1752696484 +383889453 +941110939 +1297524709 +1245312815 +430066202 +753426359 +391624002 +206258627 +2143489163 +1497574448 +1571281126 +1896360457 +1790570040 +1237327963 +1904764616 +60173431 +341155456 +1397309943 +472187736 +1858804759 +154592412 +302129953 +179420184 +1399003272 +1295091030 +385396468 +800781099 +1982677993 +1424580395 +1019681691 +1587890829 +1808469848 +1960792630 +737931890 +906299016 +243375184 +1491358249 +1297923018 +449633811 +1487363765 +648013818 +2020914937 +1236240574 +291100211 +1110759252 +993521542 +351273642 +1451914708 +243347838 +823461378 +1163235819 +397940250 +1125591331 +1342656003 +1796943523 +273198713 +1728052471 +450240974 +108393058 +1005149219 +1469922665 +1696283888 +666135419 +1283231648 +286732130 +1572434435 +1526606832 +1778090380 +722873805 +1976240644 +1117970497 +1370887624 +1849671933 +206727423 +1661987835 +812947538 +1200248966 +2013261477 +117378598 +1443596804 +689239207 +1280614418 +1841537054 +1814830539 +475786773 +1490996929 +2088029252 +56355597 +1941237903 +48938663 +1061504816 +1263676921 +1745222551 +1727640235 +399424921 +2031954681 +1152591023 +1926031753 +1662561413 +1875464828 +1754788749 +633048262 +1098868804 +1456977035 +839775686 +613372991 +122440925 +2040024652 +479150820 +239819523 +1336137808 +1168390028 +1520433941 +1030191214 +835736919 +1996220715 +373704496 +776282523 +2052576312 +167458751 +825221186 +966597480 +1431135672 +422960089 +546754067 +1830560593 +307431123 +1699345090 +1609108699 +1969992536 +1427326271 +1216413800 +455557151 +378711427 +525907187 +1295332837 +992084419 +648348112 +1187873841 +1471235239 +888167636 +376528001 +492141619 +261117929 +1406719215 +1327878538 +109854996 +1780423711 +2104161062 +14947660 +1947882463 +781898600 +981545140 +1231534487 +1204858690 +1528299208 +914611433 +1512289813 +1080160650 +376236484 +1334798701 +360003273 +1592650284 +1790355852 +738714701 +2118557472 +938205041 +1730799120 +619421936 +2126078882 +1054550711 +1507589572 +355123235 +1546692331 +1768707502 +1761842451 +727087221 +1878562498 +1394782514 +683764635 +1893510159 +1195181329 +1465663236 +727571651 +279232169 +523038278 +108387211 +1193843602 +2035328091 +1188547862 +1570080086 +1222643144 +1548551135 +1015246722 +865515349 +139782188 +986320546 +1803720390 +1870581308 +1605742483 +1782315625 +777648372 +965848407 +2137438860 +176857055 +587072261 +1751797663 +903944276 +318151112 +999096530 +1587708912 +64177623 +46794211 +905888500 +791749274 +326026380 +1428926778 +900136486 +1519869982 +1316771221 +2088684348 +942466420 +391930717 +1489751835 +1957713143 +1257446066 +1629534024 +796550041 +913682809 +1352631684 +254808876 +548514786 +2130280056 +1220657284 +538469998 +159653463 +1807729545 +142784014 +1063597740 +2125880657 +1141880544 +503823004 +42574632 +1188674755 +1409711504 +834323907 +1514701136 +691154634 +1734460393 +887087470 +2007925855 +1675661093 +1829553891 +252372924 +1017929280 +1639783386 +1509818991 +499979656 +288849779 +276018152 +1852611341 +543658656 +824532938 +1835407749 +1764315940 +1363002936 +1995061213 +1424561837 +1505786950 +911175305 +1402958847 +500183846 +1414998309 +1445533479 +1688858602 +677226165 +132373738 +1056076090 +1368380799 +1866834131 +1943163560 +1228823006 +1395011576 +1625233803 +1481195930 +265457209 +1117533541 +843531273 +765436865 +1406383321 +1119549425 +470564558 +1950041977 +1944082363 +158488660 +1566874269 +1159601652 +6066225 +843952458 +517904954 +917241530 +99427657 +1018088801 +184756191 +1544961137 +559463755 +861982356 +1677334875 +1615539845 +82879507 +1396685359 +1411219757 +1311702513 +644213287 +888969913 +645414795 +909670496 +2006503454 +1488946069 +1675107362 +1265403127 +461011846 +2145671920 +1067961456 +257610562 +156676932 +487352077 +1417212214 +162743157 +1331304536 +1935117168 +1079984687 +1430732193 +805722321 +1264740878 +828209682 +1365186076 +2126723234 +358060910 +833242273 +62119093 +1754746269 +96978383 +1373821606 +251475908 +985948296 +2019236402 +1161146405 +844968102 +1360698823 +688770119 +2110371230 +1821710669 +686958391 +1030849038 +2079321231 +843635324 +1518201116 +1349049797 +1006378481 +702022004 +1136683318 +2086363169 +2132754197 +1942405639 +1203620399 +813480232 +1160108068 +1182859986 +1171541142 +1993350341 +1244979079 +778803763 +2090328724 +471317038 +1030279671 +928793372 +343069792 +43942428 +1773761475 +1703768615 +732712547 +1736649057 +1377995636 +1419670939 +620014447 +1309833220 +115822615 +2138215563 +511399369 +1122201096 +692753919 +1648082687 +1061080617 +678024469 +1443004679 +117217369 +1491504701 +455629099 +1300077355 +515562195 +301495792 +397572786 +1294365958 +244340869 +868889824 +177161981 +1173134241 +1211959616 +221104410 +799412068 +768244583 +953816957 +388577477 +2146240220 +226004248 +1008591925 +1308589792 +341826863 +999323840 +1819989161 +1464027960 +1692077760 +1320588201 +377624929 +222618581 +616109232 +494842298 +1714123282 +1071738331 +1794919653 +82201829 +1373234123 +45008792 +1376567787 +1617574992 +913898616 +1553729768 +643225586 +2125858233 +1774834178 +1442637654 +746619168 +581167488 +1831215132 +745375740 +807171736 +692323409 +2053965532 +1148998600 +1691647249 +1726471046 +465542912 +1236241361 +899575599 +843167841 +1458859942 +1515684831 +1338010140 +1025499576 +439939514 +985446145 +1107701405 +1813173637 +1030454937 +336785544 +1283264982 +1944353554 +1890515313 +1926490568 +1922728139 +1517865843 +1221644574 +521863659 +2099033331 +905376058 +1267239400 +758721420 +1597699467 +1173721284 +1907720020 +1141863069 +752708682 +225779284 +230620782 +1652284281 +1068947125 +1689480725 +1020485464 +259473617 +567496653 +1460424978 +1244919763 +1675198059 +1126114968 +127891052 +2011983603 +261896302 +2072244606 +1755015268 +40903222 +1847489097 +1125397464 +1262547796 +221869109 +1076947147 +20440207 +1489108509 +1835668567 +1618139674 +515346145 +1595904939 +612519095 +1268054828 +1821684223 +843139878 +772855461 +743147701 +385136955 +1793340926 +1002621318 +952633608 +1106282256 +100057433 +480348019 +84913576 +227948486 +344847975 +346809878 +152709444 +2099863243 +387713100 +2000198542 +1077777059 +1650260897 +74584003 +7240559 +1670701104 +1563692512 +1842909126 +1141357130 +2079038657 +1291330418 +1753876226 +1199609837 +965530993 +449532456 +1972465299 +1708678694 +834669411 +1618322577 +563816365 +1787303019 +577121185 +663873798 +120167391 +662034762 +891822284 +465015366 +1008844640 +1044531729 +417394961 +1396557741 +897246623 +1495172021 +899334990 +971830626 +1502412580 +422552446 +388039490 +1197838058 +1563909576 +319594499 +341684828 +1170302154 +1519204337 +1307215822 +1619834610 +1344185988 +868410868 +307020373 +815024917 +1432227233 +2094323393 +1392146102 +2096101032 +67007136 +2054180864 +840439668 +532022502 +915541857 +1884971397 +949417463 +164615950 +634734372 +297105836 +1063950940 +1606564998 +1799518416 +1486503386 +1994604488 +849872827 +902929314 +166715340 +1191557655 +2073231469 +1685919677 +351289829 +1545582431 +882622017 +1219700698 +1852602805 +1697646934 +504444283 +1799442550 +942309388 +453061667 +1866449686 +849006605 +1293501336 +250988540 +1764548462 +1030989085 +1200406003 +1929164412 +1665723458 +1497511840 +845631704 +1124804808 +1149546608 +184651442 +971925649 +1999419435 +1087580756 +1138640989 +1043493443 +1013328577 +677077018 +1394783272 +411427361 +1559699035 +467000322 +116546518 +1109862321 +971444606 +1915989068 +2052171709 +1424506273 +1634955106 +753694666 +570523961 +1885943646 +370759480 +1601513047 +938866001 +152440244 +1119752857 +288894193 +998071948 +97074017 +1438440802 +1182723390 +1068999666 +1290376589 +122820499 +60157007 +186386384 +1136149076 +737234025 +1581169657 +1547576437 +149449412 +2048169979 +1664122955 +1259311733 +872130937 +1432628375 +1163999795 +149153563 +920099833 +1917694461 +719677524 +658559831 +140970294 +173706923 +1597425833 +293410538 +1293459780 +1886320026 +1291482487 +1390533798 +1177277180 +326722229 +312049816 +320170122 +449542728 +372206824 +506556506 +1585691805 +1109440849 +2087726163 +985784594 +1258890262 +1988412495 +502423902 +370718347 +713059784 +1935052277 +1534718142 +862213347 +707668463 +1304928956 +1581890872 +1366228294 +1445899250 +1755597795 +816170479 +1739309788 +901573928 +555006858 +883308627 +144624078 +1732284038 +1210030857 +456673894 +2052454160 +1659573585 +828880718 +411527019 +1097781742 +1938321568 +351769534 +2083566337 +1049728182 +192698381 +438506591 +1420446529 +905758166 +226075220 +807681024 +1767971513 +933743683 +2112609980 +1202378737 +152488330 +1411025582 +810492885 +968658809 +1002851722 +1712066813 +1523665667 +1886160350 +1856690891 +1108466058 +948707559 +165881137 +1013436570 +460797496 +994761856 +1424963589 +1558579239 +785599776 +1776733124 +1494661928 +1835327958 +1969431505 +1933168519 +1108290839 +727706023 +11760091 +1915971863 +348193889 +945503775 +1881098195 +1550572626 +1097992105 +1144640129 +213581863 +2066650914 +8204 +1925648676 +1442832934 +1886168554 +1634855919 +403815344 +687392465 +1800737057 +1417251914 +1148189961 +648015265 +694731856 +559285552 +1433615041 +323981332 +2053947480 +1121459351 +145929189 +1839632351 +82266542 +873635213 +1851392443 +1998238406 +1221829102 +649412570 +1731852953 +624918080 +1747404675 +729009435 +838499944 +1666571941 +729017639 +616664972 +961921227 +467702545 +104037244 +1365736571 +1155095010 +1904774301 +635504838 +155801323 +405305918 +1330236694 +715086876 +1838920959 +1654218026 +621550708 +812896662 +1800147215 +313699412 +895163204 +526298780 +17608207 +745917962 +1748127882 +667020777 +330287268 +225562315 +266941804 +1059296703 +1064062259 +1933513745 +1788314342 +1680727231 +747951325 +108533239 +1784764475 +2113687896 +1263628249 +1542055128 +601709086 +1419429572 +1947361046 +1931945780 +2134516448 +1638798357 +1438680158 +608583509 +304211371 +1091343726 +922282921 +1199374576 +1617642506 +939891128 +1945292538 +1218286741 +1606911905 +128096158 +1443849056 +1873853709 +1187392861 +360427667 +1659883806 +828223555 +2041154898 +260351483 +936756794 +1678435726 +226555732 +52901395 +1073007206 +828264818 +1472330968 +872884605 +612726951 +1459363768 +364199314 +2051407109 +2067947277 +668410686 +995267187 +842746550 +1867785262 +465426046 +1782637678 +1665594152 +1683712787 +1242065935 +1793690311 +980078195 +968435996 +833599524 +1340505862 +480836155 +1661823080 +1234177112 +741187638 +451096226 +765129190 +967743370 +503997622 +1838136397 +1796008189 +1976328590 +563537354 +261251492 +1288208710 +927736668 +165174953 +1208672340 +1596147354 +1160442141 +2051418890 +1316448968 +1625868187 +1686572921 +834559473 +1162097326 +781155208 +480766136 +2142175521 +1749591205 +1314365660 +1335197735 +82943712 +828705092 +421891199 +824131350 +1279801319 +1187020390 +1791874721 +1783798941 +877673139 +1440399262 +1612643883 +1441210493 +1701650754 +753368945 +221463513 +1866825707 +1962041285 +1817610868 +879784200 +1865976528 +986576188 +358168739 +1405065801 +1821135661 +1520266065 +38737361 +154418149 +1514957938 +1788328566 +1468783810 +702672025 +1871272278 +150005254 +1124563225 +547919981 +1429806573 +164099967 +192311054 +1066121866 +1041773106 +1632710316 +531282101 +335499951 +1186877422 +1284651047 +556963464 +906219481 +1099208684 +227090684 +1786003682 +817701564 +1213666873 +2144172421 +75283717 +887318886 +1516954839 +114021079 +1041737036 +884429129 +1902349645 +363037198 +1587101155 +1626138276 +513042452 +564180732 +26574609 +1942849026 +728280699 +218885663 +861487244 +1770053805 +1851595979 +1392769346 +2105553756 +890989753 +529936745 +515033572 +1797209234 +1629145429 +742124257 +1435729268 +299363346 +1955791130 +1432418042 +374647063 +695626368 +801889233 +488668142 +1737363404 +1686318362 +243534140 +2100400602 +1125935869 +1869672416 +465959407 +1690116601 +1896247025 +261324785 +270913652 +2115132688 +1122812029 +2040967457 +1819245019 +368097727 +1999037565 +562751124 +898034472 +366587490 +212476710 +379696254 +1108711747 +1648205979 +679059600 +917019229 +933140373 +1053706663 +1612645597 +1735029606 +1542374806 +1202525354 +1273864320 +1785908946 +1155442308 +252316542 +1508097714 +1621401715 +1942433143 +1256861091 +1882726500 +65863148 +1224510131 +858054882 +2106830605 +896271502 +1226152609 +1958384523 +1459022626 +2124187082 +177488365 +1671499336 +356399688 +1286200112 +1172221667 +1035459288 +55735693 +2105362040 +2089165951 +1668381290 +1692907998 +1484057109 +723422996 +819288671 +1122482407 +1878865305 +1071605213 +483096473 +1352783372 +866554708 +1739957564 +1088026225 +932417856 +816984047 +1946081107 +891764814 +1713255549 +1024750068 +702665689 +1024794527 +1001453502 +880154054 +548810216 +1357853190 +18870518 +1721031883 +245828830 +74606211 +1678910276 +187511134 +1742987501 +1224334626 +1671568243 +318926850 +2043623297 +646567003 +50308507 +967744862 +1129663476 +1403091879 +1834299571 +722137393 +343634456 +619233779 +1539121440 +142231915 +1510998593 +1104893342 +1166981984 +66180634 +2129687869 +20951838 +946334688 +531014437 +1378805029 +965205206 +104562673 +1624633859 +1039811417 +1783472949 +1812144993 +635315271 +860323927 +1336229589 +954242121 +756463577 +1982796592 +1004550628 +1724208439 +964976420 +260158859 +1411024362 +1687113813 +603793316 +2030258142 +1078751606 +746025231 +1393773087 +36161300 +1913007215 +1459953722 +18365521 +1933959054 +258804762 +549379959 +1165280435 +1224009969 +653942632 +642430646 +116337738 +289931933 +307091992 +751653009 +1150255860 +1643321581 +1705895130 +1906719437 +1478634525 +562962110 +1483444229 +296127297 +823120970 +746984943 +1983241111 +1426914286 +629759437 +914509069 +25455869 +2023532525 +950670369 +1938463085 +1336002599 +969035890 +1724938491 +1594807361 +1518415849 +742735278 +671333682 +24874833 +1385165924 +787671421 +314806766 +1692257916 +1539324430 +1465062627 +1188095849 +1097735913 +1224298416 +519246726 +1660698023 +560258997 +815374024 +336335345 +1307243941 +651131487 +1763249631 +1937003378 +1565640556 +1788705501 +1813052255 +368827277 +1579684938 +1001571206 +1337863167 +1157139781 +448894920 +708795369 +1899875059 +1120228602 +733670202 +1137557335 +1907900023 +1048476969 +682331604 +1299740806 +366055948 +1870427453 +249993071 +1590354364 +242190532 +1910691094 +3129714 +1057564556 +99542792 +1310373655 +1708696043 +1862792423 +1099893385 +1126852951 +1504014276 +765461993 +1495680228 +936215566 +1767033199 +686059747 +2093355347 +68444471 +1394855116 +1845746758 +1188673074 +2128525319 +835820446 +949089449 +1029518640 +1518152050 +101346607 +1395574588 +1241095855 +351339678 +838445304 +1483286387 +114547125 +841575018 +393367295 +214089917 +4465025 +2102063338 +2076882340 +1104358411 +1081432641 +1433412969 +1869820404 +429629221 +222144887 +1489369955 +1115688969 +168016587 +1557814427 +363060437 +2013763345 +599003853 +344102108 +702100143 +1548093302 +1373620748 +72768545 +1649439910 +621711688 +1313864401 +2000779588 +1460156993 +649667140 +2115326713 +154248363 +1043034436 +181932982 +158713389 +997614126 +111331675 +1263071800 +2079046768 +1544744644 +985408556 +361192341 +1766889531 +327294863 +1476881310 +1934906118 +1885109290 +1839941748 +1801185816 +336629495 +36560208 +355802311 +1884722798 +1410180957 +428570857 +1386679060 +2031892645 +1742435258 +1239975000 +1344565990 +244618750 +1207818066 +1498814354 +1287653186 +1389751048 +1657527743 +137783665 +1501082723 +773115895 +69346785 +898343719 +1758524451 +430539126 +517749603 +2085819314 +1907420437 +305172073 +1823444957 +1599878537 +2106357889 +12590804 +1636438745 +314676553 +1897313602 +899136054 +743247410 +1136509014 +783545052 +338199020 +229000367 +2128111042 +582817770 +1436818433 +1479441748 +1870470957 +679085833 +989485843 +2008254622 +32684909 +1762601738 +2077601407 +931028628 +1373642541 +360656885 +1448778231 +1311978208 +120593674 +1753950305 +987939517 +1720472211 +1712824546 +1000530321 +1209427309 +2027501099 +750360276 +2108563363 +623264861 +1886869290 +744624767 +961463881 +2115869657 +725252162 +1544281652 +1405204442 +57210262 +1267268961 +2084290276 +1046696106 +1128039935 +2116975185 +661814196 +1058157694 +900520165 +2035456738 +1418814579 +201814749 +1199951298 +1539408254 +1955765054 +40407167 +1112396817 +1521105952 +1040937488 +174340478 +1401123404 +1791297764 +135420194 +2024388265 +1530683407 +880044961 +838368499 +1499069416 +1605297123 +235166503 +756790211 +1662507386 +1502435464 +693596839 +561719844 +482991751 +663088376 +1223534040 +1541149445 +1563608541 +1111507130 +812480376 +1765423290 +163974780 +204404982 +1573704696 +204381947 +1316801800 +947327001 +1245319436 +1491142278 +200966757 +889133552 +1626562472 +77871374 +272333311 +359123786 +916239873 +1771402728 +1964420909 +1151406376 +380709291 +1479444647 +506358192 +1074306130 +2041164491 +989349943 +1737394506 +1117214884 +383015740 +1153519399 +81238366 +1195496117 +771459042 +245213147 +1399901099 +197680090 +449595094 +569219251 +1145007091 +1694914530 +2060361530 +1345973848 +436564435 +1539440354 +1423845223 +708897746 +1898564140 +192601448 +332816826 +1715501402 +1344007825 +713526117 +1047462401 +1850366017 +1787832247 +941143245 +692232313 +1377743105 +2058358129 +1075248053 +383778857 +2139596495 +123260522 +1155237899 +237325994 +1523161622 +1352917989 +686921089 +2092380873 +350441433 +234351971 +2005258755 +1696415281 +670916406 +1397215462 +972776856 +1379814153 +1148295954 +1165378305 +1712630979 +716313708 +361902482 +278673449 +1763776110 +64784851 +2066505696 +557435707 +757017164 +1296765154 +468310188 +1832265218 +1680544011 +460423035 +1955525740 +688298262 +697749030 +1331203714 +2041216251 +1384670119 +1276100940 +244174036 +1619022090 +1133876047 +1940589318 +142454849 +383607861 +765882526 +1522269002 +1531903816 +1931260831 +1087416333 +100733876 +145679665 +1366089782 +1864509986 +210464517 +1285111831 +274462045 +967481681 +434393337 +742772233 +652263251 +2114937348 +1203195269 +460305344 +655751962 +1900944299 +1791509058 +549484565 +1138130770 +920126350 +793658602 +609669212 +2054002398 +586764272 +752124061 +290126611 +1352646798 +126909415 +1822030427 +1136423982 +1214325749 +1922764304 +1282103647 +432931883 +1639790642 +1492568164 +1718043714 +1914252688 +312566198 +4953403 +509541273 +964829449 +2119890751 +1712736542 +1425134793 +628159065 +1466197193 +1069160204 +1177643631 +456844315 +1989286554 +1971302233 +1066513528 +1895805304 +410582857 +1818637589 +38448268 +1763229655 +1945547005 +1860478695 +752169989 +1012389106 +1635759351 +2034273637 +1445320989 +1128066346 +1379358153 +1015881056 +894835386 +1691924351 +1020834459 +1404376659 +509270153 +993241563 +969629554 +1934404946 +1621400628 +288343099 +856081502 +651560611 +745187415 +697884409 +475379196 +1811700943 +446206065 +885962053 +1482854884 +484654333 +501708061 +1280918241 +197649381 +1253878050 +145823699 +1833408732 +1140668039 +1591144689 +813991430 +372542545 +459542097 +1708826816 +2064466896 +1480376556 +965719828 +426253401 +326134471 +1935349382 +213174700 +1947535100 +76208833 +1069256202 +451612063 +821396248 +1767140611 +926991260 +485613543 +65863029 +1812953313 +1968468428 +550517362 +167177726 +1101903021 +748166743 +1421055777 +1247726721 +434091828 +414240168 +691387762 +1248083258 +786782713 +1150929859 +809426427 +703765962 +483822767 +1775146255 +1130019363 +809957239 +1563011989 +1343194063 +610008691 +1639220822 +264966618 +1061620754 +313133423 +2032107229 +1988612014 +798746966 +2097970258 +1654081680 +619731746 +501003973 +1821259406 +1721634768 +1249170716 +1094831535 +821877841 +1683262544 +1509071704 +1513265603 +783862155 +148370769 +516711814 +1593288582 +852136731 +1000534581 +1220951189 +1982156095 +1810491820 +636479530 +1177866510 +273016863 +128216704 +1442833128 +1334637618 +441350127 +1327456710 +1175765984 +1240097094 +1277943320 +682364016 +1859828840 +1778947293 +356139775 +1433979960 +880634362 +1450971310 +108374153 +416413258 +812559366 +1621639756 +1200275413 +960930136 +2138351570 +646080347 +1813066867 +991402504 +1867031536 +1647739314 +654410676 +356027418 +678122177 +927427540 +484244123 +2120955305 +114581510 +925594250 +1300928367 +1290347494 +18207696 +431388040 +1972711511 +1878036537 +62851685 +181367638 +1164532849 +943486047 +1632338948 +1272907003 +1359899306 +297414667 +747063111 +412691071 +1258344803 +737931034 +1058771419 +923928022 +1729333538 +778319307 +424183689 +236260566 +1134346726 +1102305866 +1163688106 +1618590849 +1075777523 +1278269616 +396701451 +229222243 +421133463 +414909148 +660610283 +246361326 +145462037 +723461968 +427728964 +1309994886 +1666948016 +2060067912 +435418241 +879363674 +209998931 +1182481353 +1292054745 +1468343734 +1920412387 +203342516 +244788109 +1502262277 +981661824 +668971798 +1738522843 +2116008550 +1771277664 +754727302 +1587115751 +699571539 +2032996918 +1983817202 +928793782 +306646733 +251242702 +1589404065 +553008059 +396704739 +165382386 +980737023 +1706699626 +1832330402 +893321288 +2142117867 +564210428 +1103320219 +1177115572 +1856265173 +424180306 +950044311 +2059607690 +668968415 +304822940 +893785866 +1337940213 +2043345784 +862310768 +961734229 +650589438 +301942871 +1661305768 +536102708 +138276425 +442615903 +842749442 +389519128 +2032019968 +1395757501 +786223867 +49918706 +229010877 +345439845 +1882249108 +1122332165 +340074065 +298975888 +78168736 +1517189637 +7757414 +502349042 +319750301 +2067365104 +1171317457 +624573241 +813667322 +361774022 +520435377 +1675978090 +1323508251 +1171024815 +1977920961 +837330372 +1707127524 +2116197386 +1279946275 +402393318 +358232866 +1164482595 +1798150819 +1144456734 +1214401302 +2027161696 +1489896579 +949166762 +1002010213 +1829970644 +1248142651 +1080178950 +1199676634 +1255900065 +1582527992 +1519426935 +1175781521 +606361802 +2144000176 +1989448843 +968135824 +516951906 +1517943285 +144160428 +1687976721 +1348380598 +981490800 +1247620597 +1317094336 +113953427 +1650013915 +1675327203 +1278436022 +1300681087 +672300289 +345353676 +1180359135 +14713220 +1294520439 +34885701 +1844683865 +395179442 +1115064651 +896876851 +1651079507 +550108995 +268820138 +679377380 +1156470797 +265336666 +521342575 +2124606622 +782288572 +2039285860 +121283402 +322781646 +1240182810 +1102774202 +1570402243 +409793498 +1216727629 +1072932511 +2085120701 +347680003 +226129950 +609937342 +693033680 +1406489085 +624650563 +1987554119 +1441374786 +321850780 +235249913 +408955789 +1218727631 +1886329420 +959064785 +1487547769 +418223152 +2115535582 +1752884435 +939565727 +2092658556 +387689360 +831367939 +66458310 +710471006 +2071550749 +1169232512 +133389601 +333860599 +238476493 +1206322112 +271497653 +586156497 +1432452062 +881434995 +1279190177 +691457500 +1506085558 +1119260648 +2132832286 +1827936338 +1354510561 +394304428 +899180321 +1093356333 +1353369213 +239244442 +1511579485 +1321421147 +1992128878 +303661564 +1266596056 +232334590 +1135029503 +1333054366 +942805596 +1059096604 +354803231 +1076195197 +1392957203 +593279724 +135033662 +1664454856 +1179436221 +1567485724 +398406204 +311142750 +111459576 +1904491762 +1430403398 +96808215 +1584944453 +637430311 +491112643 +336641126 +1730786644 +1844481856 +575885569 +1094882481 +1018419355 +420530799 +1398544045 +137531763 +652865389 +386089900 +1470586130 +1595670985 +1445186504 +1825389361 +524382534 +690660060 +271185437 +659416196 +207631268 +1450621659 +79418273 +606037472 +1761764409 +190877849 +363045587 +1044684160 +287686064 +1947990040 +1682114471 +778798707 +137147518 +1265417468 +475796915 +713033087 +212816301 +1494216271 +1133563886 +1611360347 +1631748034 +1786429275 +1997450247 +954850516 +1234616612 +1295153104 +632756229 +1758999147 +1985813164 +903941667 +270931695 +45960784 +207079678 +350349968 +651998257 +1968844087 +541227818 +1015043844 +866044599 +828913882 +815550236 +400675423 +1607712590 +952697754 +1666092891 +2083509505 +1665730842 +1878909192 +1430242128 +651811080 +1342785891 +914506515 +290756708 +1192752491 +1869357031 +1525373320 +340421947 +354629613 +1136888819 +178751463 +1258571280 +1407820515 +224712247 +1465650958 +1758170483 +876710504 +1287011397 +151914653 +1891754348 +5572349 +980828536 +559820936 +406247772 +441057478 +1512518691 +2072340663 +377083335 +1030765885 +1803766207 +1807325464 +1682576965 +999068451 +574348331 +1973333673 +44337294 +296221714 +1351223346 +384759241 +650851327 +340628517 +563510704 +1909422607 +1748449032 +788222951 +1227589917 +1359135868 +1664933456 +367117667 +1511050521 +1409204156 +372690016 +344395409 +1969025093 +778937788 +785452887 +1334060136 +703794803 +1162536223 +217342373 +360077362 +822378039 +1899919338 +1359145813 +1396726370 +1725769364 +1403483107 +1692948084 +929509062 +1788242348 +196315764 +1270137579 +204269404 +2105738371 +871102964 +992492356 +1185844641 +82755184 +509942164 +1552962308 +1593805705 +1919146320 +1925652324 +1938201115 +1740687765 +557106464 +576170354 +927264253 +1260901267 +1738706577 +1144606626 +1620978629 +413600968 +897042317 +832640795 +1810327338 +475328033 +88640254 +1355791775 +1404837095 +1876882603 +1552107539 +527491026 +2081152007 +1510362262 +1398593990 +926160715 +548723255 +1481349174 +1436102879 +2101685563 +927671232 +1207765552 +1879854239 +718388699 +800969669 +289477055 +1294559053 +1728233923 +1550378322 +885781983 +725356901 +1023873304 +1299382951 +1622399218 +1856514099 +962226642 +2097727251 +1945154353 +170534769 +1355080698 +1674553308 +1722642308 +1882571725 +1608221668 +1085520922 +1133682067 +386898735 +1634244178 +467547594 +1823001615 +1588446093 +1395218826 +883283519 +1320816685 +2113607525 +1684253188 +1610293740 +1260682930 +1265003463 +1013188415 +2146464913 +1990360365 +2037061719 +1298364217 +1465275935 +1746092170 +113107211 +1415519539 +1543762875 +283641980 +623116589 +1070832536 +2006284288 +358204666 +531570556 +944321562 +1491886734 +918469291 +431082092 +1959434328 +593987258 +2019528186 +1207169506 +1477270777 +1192861223 +1173293383 +1014040318 +655671315 +286492665 +131560133 +1668859730 +285473931 +2121920498 +1558437801 +1583838148 +1439712786 +1157046323 +1696945359 +707748677 +553325551 +1980587339 +1330865266 +1624158087 +1839387979 +1689069933 +8244995 +636225893 +1033473019 +926714286 +1067307986 +845423699 +1520701545 +939352524 +2052593205 +850488674 +2132213747 +1078402940 +1864528992 +640401414 +1364895605 +1996089126 +161777497 +1650369536 +1970525976 +1720215298 +1086724036 +1262755114 +729777974 +636185747 +1970503791 +1283103525 +469289438 +1153885410 +759777964 +161193769 +695471695 +768022959 +797419663 +1728944714 +1694737245 +1864727649 +426884765 +1067955142 +656596525 +331994322 +1918443817 +641326624 +1410397262 +1635489161 +1281728038 +627809219 +1484094639 +1443505535 +130695108 +1307136968 +1016237186 +1217419144 +422408434 +1746015160 +1853604892 +245428578 +881635037 +175410682 +1399313988 +1641413001 +336604452 +2094785683 +261952312 +1134024115 +1676246749 +1956689557 +851268116 +2103131514 +877161052 +1507864641 +287642188 +648121221 +1707617 +1698039450 +136126734 +1283435655 +178365021 +1620221374 +579457543 +309060129 +779874694 +1595694729 +1526479274 +1202283128 +1194226241 +1232600518 +1447711706 +2075861278 +1408011200 +699542046 +1569790631 +1744615652 +646844081 +1831742943 +731156119 +175607182 +1640948852 +1582424235 +131255048 +370626256 +942805228 +418897236 +1018747477 +944512845 +2116936686 +1154874212 +80464853 +147818060 +627611938 +659922396 +456878189 +1407486632 +108133477 +1983357463 +462286112 +1302359718 +1068474333 +1909997819 +1230737348 +329001886 +462056217 +653044331 +2073617538 +1108900299 +337303626 +657290010 +1284507481 +1978252478 +92230597 +1415762530 +201395087 +1035035826 +1834659766 +1220142564 +1979548671 +1804112805 +227533128 +2060013524 +1951930865 +855145066 +572452272 +261325406 +115148050 +680585749 +97199222 +577434163 +1982945467 +1165673555 +339948334 +1066199167 +1494675441 +802004551 +1719243498 +1420809332 +1910904850 +2056547124 +2078099342 +1047928684 +1887315955 +22846291 +316207566 +2088711042 +1057882117 +3383684 +1161369958 +889947141 +1807496489 +1388903087 +802477017 +1611943706 +96564505 +1374929290 +1873269113 +211712556 +2055515039 +1970468335 +789146719 +1890976859 +988658242 +1129095053 +809692378 +335850036 +1931099604 +381452229 +1756659368 +1694520807 +290515705 +1687275062 +594965843 +30348012 +1710121353 +911173409 +2119059054 +620519823 +914557093 +1132945365 +1510466964 +574569935 +374364804 +165460333 +39029993 +470929309 +1540389623 +1912299106 +682641865 +1448421015 +1735283793 +1471788584 +1191914226 +576458388 +453399989 +2001606604 +912308424 +237015946 +235575185 +521484144 +1931536753 +526090891 +61275558 +379018948 +556438903 +1771396911 +1290192357 +528014310 +244433086 +57265802 +1660959675 +1754900050 +631835737 +2035324479 +1920360384 +670865731 +358770140 +1313266359 +435681189 +1041412006 +614203726 +23481335 +365716942 +1806117952 +599939723 +819116932 +1660240909 +1512248147 +1056132878 +1895816094 +2033732291 +840185983 +274423337 +2095007849 +1219204931 +830862241 +1718921112 +361913640 +1358876551 +1963354199 +419179442 +872352578 +1570770601 +1051015180 +760193409 +1343647337 +1721880911 +1118963549 +509430049 +10078452 +12891907 +1123633775 +33559787 +378608850 +782268080 +633499510 +1197725782 +295025341 +2145747657 +106375012 +43357787 +2031996300 +946560995 +317781125 +1979520501 +18282278 +1148643366 +1550957966 +380195918 +360036269 +1366828517 +799375360 +1232388847 +790115470 +1850390540 +1992582256 +2133762808 +1424787803 +964062157 +495709209 +1434866256 +976954065 +1619342984 +1468426043 +1355562915 +254127416 +2101925554 +405805049 +549152757 +2100189563 +512180061 +592510545 +1984702216 +1458741056 +910291670 +1816739069 +1477023334 +2058935036 +1220213387 +1857219252 +271487657 +439558256 +509110964 +1503876504 +1229673727 +212017857 +1348975112 +1215952887 +1636805660 +165553621 +1711662096 +924188268 +1142507686 +1183521432 +245130664 +350586953 +1437648849 +199572570 +756392002 +1986801606 +152278485 +1268572063 +431828503 +2136980701 +579829471 +1342120173 +1806236123 +2056852805 +1253571561 +878965862 +1766588409 +1525059218 +1318524119 +128215726 +881452074 +400714198 +340233583 +82943538 +1616667085 +1977039243 +248497160 +1180845533 +753743864 +1391004846 +216883317 +998874528 +1741591800 +1654532166 +1198447098 +350500154 +1493850125 +1350725583 +1619072218 +1925678628 +1340222637 +51418041 +1120315154 +998975112 +2108270847 +226403067 +1877940974 +1727375608 +1751462286 +1048981445 +1855591334 +485430712 +1449695643 +48341269 +568374251 +918879080 +2025380513 +816871411 +2099724613 +631640729 +60392609 +169124283 +1630515257 +1801984409 +1823656449 +681478707 +5000916 +1170022926 +2032204290 +1624073134 +948217907 +1224943279 +1675491175 +2068533061 +76434743 +1636278374 +147452480 +1954375718 +1216170335 +1898914766 +855873515 +924278021 +236861831 +158085511 +972619291 +805236082 +1076964591 +850516156 +1622107493 +1029205557 +1482156885 +1682500102 +1198329840 +965188494 +1337000864 +874502641 +1646667201 +1342001780 +2044525568 +1531387843 +818591266 +845259827 +608847475 +346598793 +766309240 +685282218 +1982877168 +913761720 +492174288 +1051563855 +665192839 +1348047804 +1975841876 +902054670 +1506133315 +800977519 +1707290752 +435614258 +1651493675 +1181914597 +1464819815 +986166912 +716931051 +515666007 +1951355406 +2053931915 +1390168649 +1450538959 +1248450047 +1287210569 +834443155 +2067041313 +2132470396 +1443290630 +266156459 +751295988 +2128572848 +101549979 +1665057708 +473263489 +1153113834 +182766899 +1821311293 +981472062 +1084821569 +1179960960 +1782449582 +644628673 +1615575218 +1286459609 +1826543270 +932911386 +125142874 +395990674 +1448577393 +2076498280 +302438941 +691262394 +1379553592 +1550888989 +1978472963 +66513099 +1470446654 +1963459711 +1509803729 +1736603113 +567272051 +1490892929 +1838153092 +84846112 +1964156418 +843783278 +267613011 +1637984063 +1825255341 +1352434581 +670461375 +1460221275 +1997063254 +138552946 +599197236 +1676122877 +1071464332 +724340110 +2072113551 +372558077 +653354743 +227068844 +1063820472 +2032908335 +1777957833 +894809787 +2099421434 +1100920840 +710785851 +1461741515 +690040305 +1278057902 +805150796 +380709750 +1362904014 +621823567 +1224493028 +1630517026 +112323982 +902264721 +835467959 +782785358 +215002348 +685047565 +921338304 +814199585 +213686794 +1992802636 +1538539695 +138316697 +217877065 +44410790 +365385542 +1281697537 +2077319125 +2143343375 +29023677 +2029256911 +1096780567 +739809528 +1343514778 +1786820873 +2017867430 +1181927 +20046975 +1233287797 +623005494 +1244540003 +716321175 +735329476 +2146804725 +1551789134 +1518114834 +214323425 +89353051 +291969490 +1028523010 +303039846 +137288478 +419579058 +441356543 +355165544 +463989848 +806742085 +1636863081 +393825326 +802601813 +1665886758 +275598589 +1899382380 +258212638 +1619113368 +1538719605 +128596421 +1620295295 +1558766580 +1361884218 +95817141 +655822936 +2078205393 +831146617 +655144013 +1482510879 +201777804 +869467438 +1571863930 +493747294 +1897990449 +1874903776 +631035773 +170085859 +168776672 +986201317 +634075707 +975518757 +475580750 +1027901033 +1778120570 +2141467509 +1303499623 +1530019303 +252196499 +775129343 +921255260 +380792920 +247940990 +332538193 +1742677138 +343758131 +988361129 +1673398883 +1174904748 +1643505142 +1008426114 +1376682552 +365488932 +432806397 +1870429847 +115995733 +160226525 +353981972 +286081592 +329003197 +1340183289 +920157300 +1304521955 +1815764039 +1948058333 +935158877 +1809747900 +1104074308 +317694532 +2061944400 +1879203651 +1238949793 +295253672 +2127144641 +1571487986 +2037930811 +323419124 +412365467 +1563846046 +1498323873 +2055870609 +424788513 +727522777 +273875893 +857594910 +450468976 +389871627 +1017821435 +804450948 +675953219 +1346824633 +2144634237 +1596110519 +503862940 +1812914629 +1396685205 +1439021817 +1475178881 +353275865 +1756716350 +1389639633 +84995869 +848182495 +1684893306 +64656862 +272186833 +1575340469 +388075987 +684552300 +991702867 +1886399860 +592939261 +1416491380 +466438989 +866815154 +126602642 +916907966 +1256686781 +1144424078 +1721358914 +1932640001 +343765063 +1718509504 +1381266872 +847628003 +1383940485 +630468429 +139166172 +711635718 +983744295 +1895882522 +2101275352 +1068740164 +596581369 +1638685010 +1133397026 +868768202 +1066541831 +1521473013 +1553320502 +2058244698 +1260389225 +2146259763 +1327252431 +1726828215 +865591270 +1453855073 +496252533 +2122278051 +450795503 +70127799 +1907434404 +794560566 +1788637303 +1141217629 +1642188569 +1025094140 +1771686058 +1781354742 +1736729859 +607946705 +1529753616 +1690521563 +1676686869 +2126334986 +1181722925 +662600248 +847619540 +100781108 +36589613 +253456395 +11542158 +1296978839 +252232510 +1338794589 +876323406 +1117823780 +645166015 +1372575939 +1092618184 +1095961518 +1442703738 +852568940 +1890522085 +1083857394 +1993786569 +1385227006 +2108951534 +1617988980 +1019098100 +1698197745 +78452037 +401368069 +1241235660 +1755138907 +380219407 +275474937 +270255507 +1227838947 +376256045 +306845120 +1481295342 +387798204 +1603823959 +1733527853 +1726592793 +332663717 +703867985 +224275160 +1705239656 +1796486169 +1320236679 +1000459747 +501571462 +1063275116 +2084317141 +347874383 +301018474 +2045785027 +1965863363 +1320116575 +1596499125 +2044315401 +1721484644 +690251137 +1651970660 +2101704051 +965726075 +1922226167 +1182059350 +1341982120 +81587639 +515871045 +1729780324 +1685411599 +101915250 +1308889470 +2018075316 +805783235 +1533164630 +1575831325 +454785757 +705917661 +428807424 +956357219 +1769192777 +365640917 +1304231602 +2070211252 +263942296 +1122611318 +1242844179 +1860441421 +1019443071 +816845175 +403208911 +523930083 +771065578 +1368934986 +298672602 +1953124928 +563433458 +380260241 +321512325 +145730135 +2065671840 +423427575 +1454619605 +1936263509 +1229210811 +840300587 +1364611186 +1683996568 +1546218249 +1793418610 +492870139 +1167927378 +11575879 +1797101741 +1090654982 +275518175 +772229411 +186015513 +2135959597 +1791672482 +1002860688 +391684860 +168118917 +1773926266 +1760619846 +466791519 +1579567547 +176569656 +847051761 +1901079872 +322299791 +765239953 +177023800 +1776919396 +554019814 +1406234611 +469736336 +1918631000 +942747531 +2015954585 +1564565962 +1435617670 +1036398315 +1576141841 +1085235763 +2127053298 +1851660017 +1857465175 +165585163 +1840135966 +1501654009 +1168445852 +84337178 +1669772927 +794888470 +1844957024 +2136564446 +226972369 +2021526680 +836132559 +2128052242 +196342824 +1601372513 +157592394 +1973262220 +7908679 +1563827005 +295514908 +1926539680 +359090888 +163985845 +1343621994 +1794708558 +1200384161 +772280188 +732460673 +1179953811 +476456557 +442442200 +1345538974 +169108875 +1944096210 +366501178 +253446053 +1466385489 +1161389649 +2098403077 +1455466287 +1388362018 +1972446109 +144115199 +1368930612 +21305285 +1745487712 +1526523006 +1994567506 +1753396391 +942866363 +142598766 +1532452423 +1301957251 +306584612 +728590770 +949182161 +1506968773 +1500870958 +1681642835 +539438936 +1977327515 +2124085035 +1884977910 +2146436390 +1920697597 +103995441 +252398795 +1239599438 +1265385090 +203318224 +547582078 +506263460 +28280685 +691697277 +1875194073 +49585971 +289701341 +1254233431 +2044153477 +2043097732 +49616147 +39268595 +1428066508 +1351573398 +345853207 +9173630 +153271912 +1852821980 +1510044588 +1834914747 +244777268 +1339888455 +1811516134 +2129755179 +1338841197 +1584730084 +86266972 +1591239992 +676845874 +1351652062 +1794558216 +1224427952 +1857915522 +1822838901 +1916125229 +1585625947 +1872424872 +58342922 +692375731 +1769094701 +2101440655 +741991878 +1808363297 +1382023515 +2093565276 +6732856 +1391197145 +99353540 +1859554837 +753758085 +1934268287 +2104332105 +2093646540 +1598300774 +2086603636 +1285004089 +1035547210 +25386960 +728760433 +1712393084 +1377039022 +375835001 +789337389 +1087470897 +51190254 +557978970 +525613196 +1923615127 +616321893 +1217988927 +1545226180 +570278900 +1959980805 +1206105829 +1952302415 +1906062434 +1212838686 +1196015912 +2005415974 +924909875 +1949773997 +1792200614 +881758332 +1895936889 +1243017740 +820878321 +1033457330 +131081302 +846265281 +1762217763 +1843474386 +75820656 +2138052764 +485328127 +1163291553 +41759370 +1043307098 +1688904749 +1965374497 +1659628991 +759410029 +1363117030 +82424243 +571907186 +421739211 +2034726658 +330485972 +1634577897 +1083258922 +188418299 +412004124 +885549271 +1980618913 +1293762457 +634002512 +1076153005 +2114640778 +1667459842 +1207234307 +813422411 +1282193957 +903225045 +889243067 +1272763073 +1388553173 +2052534620 +1314522443 +284376623 +1593955722 +1132413293 +1944005614 +205882103 +348046675 +2026429857 +777789289 +769785886 +1913672867 +1108275262 +256880136 +849448141 +1296693561 +668884260 +1734997412 +1129828826 +1962646717 +221516276 +58498183 +1929803847 +1888976118 +1265732490 +595742611 +1023686427 +21473887 +1484985678 +148965852 +1410027060 +1390036651 +1463488295 +1694403683 +836508725 +448417940 +1490925649 +1042390828 +796464615 +1369871858 +1820180117 +1566250502 +1136061077 +780971731 +1823130638 +1985509218 +2077665292 +344531250 +1573022982 +1060010470 +159694320 +1794539258 +1118508653 +2089498167 +1536031728 +236757495 +537757130 +412234507 +258231383 +2022742809 +561200359 +1668258443 +1265295812 +2024688655 +1215178479 +2101804537 +325622947 +558620480 +996711717 +1122087563 +1928492339 +669408186 +540854417 +917069768 +1450379918 +216501407 +755095339 +1380561562 +561032657 +180634673 +293088385 +720726977 +1975173932 +1411597038 +662741497 +1363722012 +1648354534 +1200498627 +1775956520 +1906585917 +1075757788 +189673231 +1427360712 +193569952 +66878238 +495055543 +147890841 +392501186 +1053676024 +1144602558 +1514588749 +834684715 +1814010745 +2055443166 +1751754483 +1116907015 +124460925 +359366174 +349984929 +685493582 +540000848 +643073314 +1406220560 +367691132 +2054670353 +2068962057 +1731413144 +1555541239 +1121977036 +1359886016 +1314643508 +50251177 +1549559248 +594520572 +243821129 +1616437486 +1089576116 +391711971 +2008938672 +2143252140 +1536314529 +1376043773 +830453207 +1202841626 +1284003291 +434724042 +172264993 +1408464216 +794090217 +522249923 +2093957799 +1334091065 +1165323237 +1352694711 +1701782197 +1072509942 +1274173120 +1285711693 +480567533 +248666508 +498114062 +1795211041 +298917685 +2047673310 +242247966 +542738815 +1516627148 +1331824082 +934450786 +1378082173 +1327592574 +323281667 +606642298 +10562133 +1526123294 +1890645590 +445286175 +1698388287 +1151626158 +1239376392 +73154562 +1098100309 +425983809 +1238477800 +303311372 +2127766006 +163504094 +1577484492 +1265994052 +644071628 +1826151001 +1764108114 +291799021 +2125068686 +1664297776 +534046987 +520323853 +1033441276 +1865871069 +1454774639 +264039801 +1045979995 +1778056307 +870682100 +1056542128 +1156695953 +613844042 +1501828304 +707600592 +1765470200 +593721048 +780755155 +716086862 +1019704858 +2019232955 +1019398234 +999987216 +35253401 +449399079 +118497620 +679325029 +128066432 +1882605734 +971124051 +105651470 +1399419862 +1505171038 +625975324 +285377491 +1223558460 +2080749963 +549417292 +122054807 +1711322622 +1420099392 +1178596936 +720534927 +2033943434 +532941592 +1428135520 +1651929987 +1126662640 +61407027 +220533201 +2146367498 +2080639982 +1239931435 +998871067 +2115893383 +1689330514 +1117368687 +647734765 +1817396946 +852490774 +1618858816 +1923048417 +104426988 +976546206 +401540093 +389804479 +52621018 +334806408 +939221772 +174675826 +2046129031 +211837516 +1353272762 +619180310 +98297303 +1886214354 +2047315830 +1750227290 +865393346 +2108722857 +1970760491 +864277197 +2041879191 +1063208278 +1863148264 +2010288927 +605055145 +833033303 +510540044 +274968443 +1685524077 +2129398860 +50533212 +1789951066 +958461418 +452073305 +32271897 +1011082437 +786879714 +971493669 +1185758263 +685525097 +1183331186 +391547377 +1304705407 +1281628489 +130278083 +1204537590 +884372131 +995671429 +1165776799 +707648974 +1859948626 +1060172343 +1770857252 +1575613242 +922977622 +228428749 +261162898 +1433517666 +503397193 +1946686975 +1415432878 +553930405 +1589154393 +226410648 +1006003711 +1621426291 +1237493085 +1792883425 +445436312 +275767700 +330924874 +1628767498 +667315077 +1635630281 +762912339 +797593160 +692684223 +1647284470 +1793264590 +1858461023 +207449796 +1505729568 +771149718 +1978307049 +933859163 +1694127340 +59252150 +1195022061 +980161358 +562649343 +994225388 +248110588 +1116579749 +435896134 +474521236 +2122583460 +2057322425 +1712014322 +1767983237 +355275089 +1987782022 +2098908111 +1984042588 +507613452 +1587054744 +599471279 +1305206612 +132255320 +99272102 +950987554 +1990716343 +306721898 +309233475 +614382413 +137545299 +1243092638 +161026105 +196797450 +290631051 +1141187463 +759446793 +1284856439 +1389298051 +1876026542 +1720752573 +1863819287 +1851126354 +1630591350 +1428349961 +1471625943 +1985866440 +1268648336 +1423050406 +1822425380 +1776261788 +862621503 +274413011 +933984752 +994876823 +373685113 +1884972307 +838109518 +680407012 +46722134 +1452491931 +817952311 +1289814772 +1613518036 +1014749761 +1580445823 +607221851 +1774196555 +717818614 +1996519902 +1502739449 +291087540 +1712855541 +1206382156 +1921678890 +993721855 +530524451 +1760061682 +114886543 +1953574858 +1435003414 +1891148331 +668712713 +1709416426 +677649435 +1663589536 +2083101539 +415138094 +354215406 +616024903 +461860228 +1806707337 +1433977215 +1751675000 +1272741725 +301243328 +1184637175 +1879963576 +2075439883 +1902455790 +1728999830 +1430695685 +46059682 +1294371723 +489594193 +1967738572 +140609930 +1020118644 +1580316607 +255496473 +826209854 +867836373 +2146644804 +1494922567 +429769151 +676810592 +1011028455 +365387043 +1091948686 +1365243861 +981411946 +1553808915 +1024467550 +267905513 +1158000267 +149725627 +569148842 +195153795 +2029689203 +497105077 +2097609585 +1611205385 +1927800762 +2143669267 +758093461 +269911307 +1963924191 +898703391 +1290029952 +1396757150 +1154199865 +2116239806 +117109876 +1153361021 +1463678726 +546879027 +1830171613 +327223533 +912266070 +774636652 +1692467395 +1893678017 +180961919 +569451297 +14099882 +1338962186 +719176925 +583248724 +1534115981 +601382480 +1080353802 +1484241918 +65104218 +860670916 +1480427537 +823197679 +1130582224 +1296868081 +1721901070 +273128528 +546141583 +728617287 +241884686 +663251459 +1881978309 +1705563412 +1210130487 +1564666274 +2032786946 +2122396557 +191819278 +1577770693 +1868590926 +372781197 +2147221990 +1882690809 +1711743384 +718915267 +318455885 +1098375717 +1320297748 +1398809687 +435133988 +1385401966 +111996956 +1915561525 +61115997 +1242579180 +1064945958 +1783017067 +1515707708 +1611087542 +364150707 +1757592394 +126855353 +98645368 +1315672159 +1336985840 +1663311642 +1200975457 +1311898750 +1855130921 +631262502 +1033006028 +80428470 +631000844 +768213189 +1792171854 +1349916112 +1086669075 +743063924 +522730212 +337995114 +1178197912 +1908132178 +449992070 +946275789 +1969248175 +1692571250 +2011221748 +1604781594 +1060795310 +1474825642 +1968932301 +670904057 +1601680995 +2067577669 +1986576216 +791183188 +1583405664 +1040068025 +2103081938 +1291052937 +1671330527 +988604318 +1371481407 +154847723 +1756817508 +1016169614 +1504763835 +696002935 +1759233538 +2027494047 +1033998049 +789947802 +1788142577 +1483990120 +1736223591 +1609907104 +1029077722 +1599961691 +1067205051 +2089873033 +927303685 +888653704 +613293442 +381501033 +808747726 +452386010 +1172684221 +244669742 +1492454035 +1128282511 +1535722679 +1016300914 +2116886829 +759720438 +1171148637 +1726220689 +1775890052 +528428825 +274739976 +1387639942 +408439224 +1308738026 +30104096 +49098154 +645244498 +1766327688 +1659005258 +1674322220 +1218805731 +578726661 +1616711605 +2146109417 +1467380366 +82521399 +380126802 +128644444 +534907409 +1552811023 +373314186 +2027361444 +533609886 +1909036865 +896178710 +503013067 +521273655 +2067327348 +81750109 +149680060 +448272525 +356490085 +1537320002 +856711749 +1665228111 +1567424099 +905809903 +162988961 +1186268139 +417331514 +1837311182 +257590222 +996058175 +1306539139 +256215991 +315954893 +1389060539 +636342793 +444599337 +1923967948 +41670168 +817913523 +1803845745 +575280054 +579466740 +552540807 +1078293122 +1100740396 +472384507 +1160043231 +1250420456 +920657032 +1516533316 +640256810 +1777368782 +1034277780 +60197261 +535695037 +1197266741 +1246465400 +953026551 +887094275 +1504055623 +1949084727 +46149767 +1760271614 +117555972 +1435210306 +249130760 +562155310 +1211694606 +290800928 +1380068833 +868056703 +866080983 +1959535574 +1420597511 +1944374105 +912792322 +1892982018 +956933688 +15729130 +666155403 +325983356 +655985940 +296040537 +1360261136 +716183202 +831735574 +410044230 +1962648602 +1784762126 +1297138505 +1319220577 +1586363205 +1343288272 +932008544 +1703919177 +631014930 +1181139304 +118590839 +1842709537 +1471940232 +1498659673 +563282592 +190537567 +1310711599 +1983880103 +2134911672 +76020273 +1729378474 +944361712 +91749403 +248050229 +1270345069 +747735343 +544090766 +483122557 +1463918545 +1375826340 +893166787 +1279083500 +1013104818 +42821645 +450820429 +451984375 +1386109917 +1382828973 +8419905 +2017124848 +416484629 +127010744 +1712350737 +1888424862 +1625670417 +128149681 +2078962429 +788898368 +2112029785 +2066390454 +864918641 +1693924611 +863268518 +956668044 +1941974840 +2133613587 +1704403388 +338581958 +469252497 +1020838285 +1714408298 +1362419284 +152438137 +580029469 +1405240929 +603258567 +1032013844 +643867199 +1986087540 +1040433749 +513508399 +255088522 +1167444494 +78375488 +2143513384 +645631263 +206525169 +2074992165 +1434529632 +171071306 +1993898971 +151964625 +1864995917 +709683842 +1108632670 +1659487109 +695813781 +665552410 +1998069067 +1165066278 +1686390695 +1564993718 +380001915 +1838828833 +2145023187 +1785242844 +294603752 +1029553383 +281626395 +133207644 +2069987133 +795134794 +388296166 +1089947979 +873510282 +384325902 +1735579242 +1080035452 +311834420 +1022625226 +1251106758 +158249743 +1174589852 +968619028 +867933585 +135738874 +480622489 +1563747367 +801291284 +331207909 +581329997 +340198331 +1896201627 +961331912 +31543516 +1893741166 +599091109 +326147268 +775810901 +880717504 +459354913 +698314386 +1675852299 +847651079 +1788262365 +401878933 +1231976982 +1376357960 +1481914385 +1543811402 +251499538 +585537496 +1702061145 +1426089390 +1554156524 +422511083 +1561828264 +2034779013 +1986258450 +215635900 +218503274 +420104799 +555834232 +2114704901 +1381436712 +587377748 +1860962419 +1980527821 +913525017 +489289673 +713761677 +1372879930 +1187604059 +242130328 +73047361 +828382777 +644009262 +1305024343 +57257089 +2125923647 +701352097 +308756627 +563977495 +255929595 +1734846018 +2118134019 +678440678 +1149190634 +2005429385 +517215480 +1364826535 +76449011 +937320279 +1920660767 +43670265 +171273343 +360554867 +1904632684 +4317516 +1274079884 +246438709 +718079194 +499476166 +1434042769 +960209522 +572523528 +114941898 +1604218784 +1877547871 +172198987 +1582658784 +431416321 +480955614 +2146636279 +687345916 +68317984 +2117286651 +1365786594 +1217508619 +1975232388 +1883002074 +434851506 +2051681399 +672838705 +208028625 +2095351664 +844112049 +568583492 +1852500701 +848429565 +1842663377 +2098939410 +1566508759 +194655895 +1385498531 +379234634 +767179423 +1500440429 +1983453418 +497243647 +1672639416 +1418628554 +928659968 +6111383 +1417781186 +1616005884 +74429367 +1387584189 +834308830 +1291937986 +1215332929 +569827256 +1726789492 +1119530680 +1242665961 +1934818117 +1067398697 +2086778010 +355917962 +772415750 +787723928 +51097691 +723871512 +206749039 +245753586 +2109370044 +585983673 +1012933010 +1462326825 +421953444 +1510176657 +987482594 +1840581998 +291352977 +993593977 +1110879536 +1907358861 +1068023344 +350980077 +594184043 +212477683 +1566313006 +1164011299 +1939267175 +538360039 +259193612 +1726601645 +1605758736 +198487975 +2082519607 +230690838 +986211903 +2133617298 +954562350 +1192960942 +231887236 +916448746 +1778944616 +1244820246 +231291924 +53414412 +607513255 +1218774518 +1893996410 +898866232 +64884847 +857392299 +658741445 +1132908191 +1208372376 +1252925488 +1345385874 +627201735 +269453139 +1137169402 +1165561774 +528646752 +716287399 +623836862 +727134727 +651323358 +854527700 +1713346630 +637457008 +1809090050 +758823924 +869344244 +578055149 +390284892 +2114164491 +809347073 +443699304 +574194098 +2028121591 +190212067 +1473060331 +2093006438 +1047604366 +2131801776 +1078430981 +108493094 +1237243617 +276333208 +735694829 +1506696756 +1413502610 +1901256603 +2035343508 +2129790009 +377609817 +614994587 +633629719 +1232137517 +180857569 +1271086727 +893743920 +939681494 +2140430971 +1471799069 +1329966386 +2107111814 +133662494 +1773665691 +533822265 +14300437 +1963877758 +2006882596 +2107306875 +863998476 +1991200724 +1038254208 +972491570 +1080960693 +1314587416 +1708186400 +440173802 +580606378 +1461959355 +328033662 +562912739 +1839569173 +943028250 +1196542458 +924223042 +1123885819 +320145537 +1817966962 +2063567313 +313092861 +1142282383 +1246050052 +272721027 +1275944877 +872232095 +806543292 +1290245314 +688626205 +665942240 +1250068541 +1552624681 +509659317 +140839102 +377632603 +1590620010 +1455426518 +2085819003 +2030793812 +2036032897 +1400294711 +211343827 +451461988 +1092380236 +1154372077 +1648004447 +2016603278 +130774248 +1968149984 +1687086593 +46857914 +133759197 +681885328 +1292907966 +406480225 +1957830206 +17656413 +1213023517 +1100591872 +706282618 +1878965758 +203176766 +111423651 +241141427 +344015868 +489056254 +1831761437 +1799442386 +427391610 +1715071602 +1687991635 +1827686321 +1926415429 +2139453624 +772582909 +933303858 +1639974423 +641702539 +1064078106 +1460640759 +181305484 +1110936020 +1594399957 +863190813 +256360338 +2000880182 +673537371 +274016751 +1066420051 +1774129243 +980299369 +797902161 +1977306009 +1091723020 +1039043588 +173838229 +1580779275 +723321378 +1973280616 +2008170885 +290909332 +1513788603 +1688373558 +69841113 +1505758579 +313472819 +1003144971 +998249354 +955175358 +2067223077 +311406466 +1136480843 +1030675450 +1905806423 +1999671656 +1287035788 +1759202957 +525725379 +1561052540 +678139360 +152370974 +393868261 +1476041522 +2129676984 +1485591282 +367601462 +156031565 +918886909 +1090922840 +2129312181 +779574146 +1381832172 +1495617137 +320464056 +1451673285 +853892068 +633936875 +307334608 +1852141423 +1589112233 +227074038 +16064241 +578109428 +1257749488 +1921870664 +430297436 +397301628 +1533589973 +956022815 +1958354168 +64245685 +1108393790 +204738782 +1540287207 +1090587126 +1690330064 +1907888670 +1246618691 +461733325 +851327862 +1228447225 +1241307471 +85676387 +576580714 +1561771527 +1537349672 +1430472782 +48224754 +1844684281 +1135130557 +1637336987 +2071758319 +1151194798 +67962768 +1182024159 +925581814 +498260204 +1579325787 +311688139 +1454283020 +1390196308 +375933825 +415193162 +1594935090 +1916221032 +1505780288 +1137781506 +1676626054 +604915331 +1599514831 +380470269 +1833362556 +693338654 +466146656 +262459622 +107626533 +2003496328 +1692932405 +155851287 +1700696961 +680579314 +1793188274 +1624971632 +1831774113 +1861151042 +659512143 +609872279 +211927599 +91354283 +921560419 +1666210619 +1481550591 +1297494244 +2081403781 +929002033 +1066231628 +1439700421 +2066783539 +595374035 +2044615752 +1518814722 +975844304 +1730494661 +64669728 +1441990960 +1992954283 +172296261 +1298003640 +1538403040 +328147548 +851216954 +71498707 +2121335822 +328704938 +1903272820 +1835003217 +988217082 +365661451 +2046930816 +1079571365 +1287221870 +1565657787 +413638308 +437232466 +1499577920 +1342640341 +1503464095 +791794693 +1261940232 +2098838130 +688926797 +633271306 +927198786 +271937810 +697941034 +221706098 +117408446 +870237295 +1519709738 +1655811486 +1198384843 +223443044 +1727310193 +1172237017 +552147983 +1483099365 +859756586 +1540365065 +1848760817 +759203754 +472452782 +988499039 +177377893 +886091090 +1425731506 +1676955813 +81247783 +781711953 +321266858 +1343188015 +733066435 +1010193656 +1976459321 +1660265221 +1282131466 +526916707 +1881971319 +1399539912 +1397154002 +1254197409 +907867751 +448055197 +1477640454 +487694296 +1620292214 +2029788437 +1970793662 +332565153 +1422669854 +1672070831 +1091768907 +1895122636 +513086222 +1269146801 +633730078 +1938817728 +798618966 +714977861 +573046033 +1119885825 +2058165876 +1306112468 +2130079481 +1887141549 +818894041 +1264727299 +266574608 +553381712 +516783564 +1663728610 +1807579122 +1424651315 +2111783807 +1137735928 +1912345611 +1584592373 +1020040717 +1735655625 +1917157526 +295226923 +1260242808 +861442786 +42865911 +1773329031 +2130589587 +676595989 +1564663111 +781724905 +1391573850 +2137709145 +1901610730 +1302256078 +1296337965 +1884206563 +1041913979 +2115232007 +1001450215 +1308488587 +521130071 +1518233779 +824733549 +181225545 +795401446 +789033708 +1318961473 +560263409 +226142433 +191518542 +148435387 +2143299960 +486745465 +1408678195 +857259098 +529611376 +1034523578 +840365037 +1206207365 +451703042 +1622089942 +450297567 +441928539 +1376217025 +1752553645 +1738266504 +1112939940 +646983976 +1706014863 +2114390155 +1955472563 +79661287 +1485140286 +632722464 +260886832 +133058084 +1421756172 +1579848306 +693321494 +1647898606 +1771366848 +841756881 +1643714918 +110628666 +102951428 +353490368 +640240042 +1137475007 +1193855405 +1846447408 +1589178049 +668461699 +149261327 +2031106588 +2044678724 +1901814973 +1621889444 +1010135017 +401315301 +1180420660 +977041524 +209304217 +1260081947 +314698163 +842026681 +1520968779 +447756247 +116299206 +953333437 +1141077741 +1764197812 +577216638 +1982834622 +1260429082 +687845304 +2085786051 +1613919450 +1328085346 +1075777410 +660291207 +1027049106 +517471811 +1328752906 +1176310434 +401094751 +1225947983 +930641759 +2022984195 +88599352 +1331957060 +1055921207 +1065640876 +1541261277 +168519506 +1380339039 +235804311 +1689488286 +1828095287 +352103517 +495338075 +821689380 +2116301329 +1072554713 +657040355 +1229246763 +1760400017 +595342758 +695682565 +941001716 +1671120168 +1355973772 +1968050822 +41108331 +537243030 +996877608 +442203082 +1763191013 +1927519367 +317703629 +1851790365 +1111992780 +1373624837 +769947594 +505770409 +1542144343 +2802985 +741574720 +1084148981 +1830898272 +1093678237 +1579487057 +505104005 +1062495918 +504558122 +1162144360 +144259033 +117474492 +1757487118 +839941598 +1058476208 +1281123638 +48431722 +879043382 +1322231969 +585674753 +1875920991 +1764435051 +201382118 +1655956710 +2082138680 +2053172484 +620465842 +1308279869 +675636430 +1126236252 +702940565 +678439415 +1867810972 +1787089546 +361854040 +814005562 +1219092955 +866958045 +1876501480 +1723651078 +2029102405 +2020760514 +1841125570 +1639105875 +713218464 +752118130 +772745865 +761650187 +1631161512 +2094977834 +1347324940 +1359598855 +1711929237 +1548707058 +868071918 +1646584269 +1454395894 +1488537760 +807380491 +2130032324 +467290364 +1510321056 +660988092 +187617689 +1149926954 +1022842132 +1001623251 +221536262 +1889800177 +730641083 +1945187340 +1771418934 +603917949 +1638829262 +1263041161 +1317136414 +243463744 +2035787026 +2078786601 +1874625256 +1983281212 +1278627893 +1086740464 +1547726801 +679851303 +1954812382 +1046827422 +2134247198 +1295866494 +1854207913 +2116795874 +1763156859 +1217045321 +630300318 +1950774548 +219488628 +1653142450 +804914151 +441024890 +1395458979 +1535555234 +238728582 +1019394265 +2139473184 +1877557844 +134951778 +1309125950 +2121021588 +23255156 +1240428903 +1848163196 +2006536368 +371573148 +787420012 +1406779521 +1051424451 +594748746 +306123296 +1038188001 +1890615241 +12847561 +1007500228 +1506288452 +1229892883 +1637800546 +1309579352 +1449381511 +1143459349 +2114493503 +1890406401 +391434680 +1502565089 +2129134983 +1410828946 +1494554625 +1859209179 +1545780724 +656196927 +1832747119 +1569035881 +1896625830 +1533426667 +1428088601 +120715330 +173363032 +687384475 +1172139782 +768111778 +993507771 +62844135 +511243371 +1006355332 +1070344363 +2017531823 +88764567 +560661262 +1179627527 +1538146078 +1704120611 +1146637382 +1281068831 +2095555291 +501718824 +1262720166 +1358900589 +1996273449 +974445697 +757197666 +504986729 +659709168 +178749899 +254128911 +45652188 +1606838500 +374844242 +219015220 +146739327 +1546984024 +987126998 +1140247098 +1609828159 +1498370370 +2146602431 +532688875 +1368418545 +87883350 +1093350137 +400562425 +1626029429 +649987100 +1547199807 +759614612 +598058743 +2048918631 +2022334779 +1956959333 +1897708433 +849296828 +566673351 +255211514 +1509005997 +745423250 +509340425 +1554658185 +204778102 +884184667 +1773673405 +351517430 +283685043 +613316755 +1491764528 +1893513203 +2111687125 +1490883311 +278718430 +1332622023 +1578766662 +1372068567 +1733184448 +1057312443 +2022055667 +1132900607 +1816927055 +472630762 +1034335591 +1691778186 +282106447 +784560376 +393591367 +848779798 +1039771890 +1902597364 +1594203048 +1549112315 +1309771901 +1798981151 +285813335 +935961658 +3014933 +569498378 +1549278413 +1494779461 +315527933 +1513481891 +838179125 +594246363 +698620266 +269462139 +1966314930 +284321066 +1326774582 +1840886949 +1417221673 +996217989 +166034064 +304073616 +540512528 +448140511 +1088633992 +934103895 +1296920310 +2128405882 +689217611 +743639710 +1530034550 +1998989512 +395137213 +1815847885 +787467522 +398152146 +237862615 +189262287 +1892931608 +553390549 +1702744178 +583627085 +1147636912 +253880796 +853089224 +966468195 +538201862 +32380158 +659871496 +1955423536 +1028598147 +825905560 +112013504 +1569110675 +1274046072 +1200647497 +355730922 +423482734 +1181569731 +1044948533 +1167122444 +564120633 +896454397 +1562259658 +232484870 +1683921919 +1960411804 +470347486 +1873184207 +1705859764 +1023738035 +1428444737 +142003201 +23891299 +1682325534 +995092425 +990359494 +73043748 +1027472583 +1650230991 +2028467284 +2056070731 +328652903 +2140480789 +1477697758 +1602698975 +1193644638 +1833428681 +2026181709 +227730721 +730893566 +1045820506 +791851355 +1627347964 +460596516 +1024336225 +1163786235 +273524672 +1494683711 +889486794 +1979384437 +370938098 +170447884 +2121387638 +394829398 +1852773418 +968996416 +1385188892 +1925817166 +1996468999 +887936235 +1806800803 +1905056082 +1216589139 +1799797944 +1235270193 +671804466 +845958934 +921215226 +550502528 +1073689655 +1652108792 +1596323034 +1865541010 +1131973108 +2056919550 +742393588 +148275696 +182960574 +89593651 +1037762490 +14861363 +460531750 +1208210374 +2136249002 +855361148 +913500144 +957761770 +93066392 +691833663 +806747121 +981002628 +351150818 +564319556 +50108119 +3465114 +1799589749 +721912585 +849424048 +573321327 +1272415113 +1923113703 +77946471 +721254499 +1641171066 +1209919580 +630690401 +236081006 +1358195276 +813650976 +325674657 +248474118 +828512339 +786206407 +1456684493 +817277693 +1641567555 +222700989 +1775039463 +1734633948 +914534652 +434302937 +568152928 +1265685470 +998622493 +618261047 +1269150584 +650728594 +1340173632 +2118574632 +1224049921 +465105098 +1894204688 +1301996392 +1186359597 +1387892106 +364432324 +1817049999 +1623973112 +1722627600 +483217327 +1949647769 +1971101719 +1311729666 +588370529 +1280302564 +2129007360 +82454436 +1503003553 +1756563175 +1817088384 +270054558 +43382464 +237757664 +1535740028 +1042004957 +856018711 +657406965 +1692733551 +48708696 +628497949 +769299824 +513813794 +375218989 +2071296217 +1700173391 +1763111095 +288244893 +1369739742 +1239600559 +2010872494 +1852957069 +1041764681 +1834490565 +1017203088 +1630135210 +967309481 +998726800 +1712589646 +322829386 +607806327 +1382194383 +592883944 +651188792 +1619952047 +2128623973 +1693193749 +328487111 +638547290 +1238443653 +377195807 +1267045239 +2007743477 +891009601 +1642264229 +1931556046 +443699344 +1257891676 +72317292 +1813439087 +350008588 +2083189786 +1518912508 +1391773269 +1770196703 +388631948 +874424831 +590022536 +1387358748 +439530829 +912851922 +1995165076 +1821725212 +1505735867 +498870220 +1294193612 +1486876192 +44580321 +1622680723 +2125423482 +1283023974 +1999876530 +1244985073 +1143283804 +743402483 +739765654 +927356202 +1187101827 +1997657331 +999673494 +853057266 +200182271 +935379632 +224486127 +1591955540 +558092687 +613118075 +318896723 +1148115223 +2000476824 +758427552 +2060967146 +1848158252 +432669117 +1419219365 +199544824 +1726862729 +758611909 +244125145 +1202059804 +736551743 +1527149120 +1054452686 +1981536816 +522949276 +1797855169 +573818823 +1450305478 +837473348 +423992506 +302495325 +1690530615 +624174777 +1237874957 +1915016742 +68646669 +1795967645 +380651169 +387543392 +796599220 +233644345 +1145970944 +710082718 +2081802597 +1578640061 +2129302083 +133863773 +1158019142 +740430344 +377988919 +212595298 +1476982087 +1905138039 +1267047984 +1311035256 +280603667 +917419505 +1884854079 +1730909145 +1754892854 +161362937 +2033404470 +1297939821 +785537714 +1123795780 +1065472915 +854184383 +772279777 +1446124084 +1241727775 +1568878997 +1679768430 +240215071 +131478068 +1614087379 +1818855133 +113296503 +1747951153 +829390627 +853726848 +2125940072 +1041985926 +183225287 +1883594463 +161550262 +1494260543 +16714482 +1078969768 +1231630974 +1747623627 +686378974 +1392993911 +1633544450 +1984318795 +31047977 +609856582 +902308062 +885232360 +1382136359 +200948498 +2126960135 +803531708 +1880716928 +219691559 +935009776 +1347320660 +2038546692 +1048306280 +947788165 +720453671 +1902033128 +926244589 +1762439597 +2085258415 +662355404 +1923989860 +1432035311 +679069886 +855475980 +516182637 +279209865 +1541854954 +1909176549 +1912754315 +1378690101 +1940224526 +375127249 +133514515 +677973239 +1757263608 +334463013 +657449726 +413311669 +67696294 +877141285 +1348321445 +1415016954 +768204329 +249144077 +215321471 +1488658001 +3693557 +1141566060 +1103613950 +2088951973 +1803921464 +880120162 +1373503636 +335507702 +1735596142 +1889686273 +614717567 +1129967448 +1651379174 +379988235 +361173901 +1444120053 +755115484 +494688416 +2122093292 +364895445 +829151430 +632059370 +778207114 +896847724 +1509200656 +2126528559 +164381030 +129921337 +228188989 +379702501 +1618579338 +231882546 +1521268561 +574709641 +173350871 +1177706377 +1454829803 +1546854507 +1513214079 +1042942298 +1289057133 +2127931646 +25426098 +792952659 +360436233 +386600000 +89589064 +1115551718 +881288416 +64198708 +1480447163 +1710439846 +696258079 +111170629 +459803922 +57975087 +90215540 +624184952 +187896424 +318404529 +1003887453 +1806475763 +550287076 +377672366 +233701756 +723637947 +1555378743 +1688531559 +123008807 +921109174 +583990209 +1412065940 +901557173 +609416308 +57534951 +1261993406 +996016308 +147124016 +230061476 +1877304724 +211322724 +1710508639 +1440260923 +907580803 +1821679268 +1900064845 +965555890 +1911894809 +376766150 +1153452315 +82815690 +1380653603 +812444430 +633102766 +1758325970 +1046146186 +1356740714 +1166221065 +587194097 +1479749521 +2087330240 +1171184307 +744331813 +841403765 +1780600615 +801866764 +2103397171 +629133275 +948990780 +185975000 +358954351 +1160313505 +1896483639 +1799215274 +2067894308 +1570679260 +1551796472 +885966551 +1335090421 +1928562622 +2039418866 +1417906111 +1161732577 +704379648 +2051008878 +772574899 +1750525834 +1260265944 +1938795965 +190236283 +592531817 +1878642557 +1361420590 +1336863630 +572562674 +994537557 +2138730394 +528476197 +1623670832 +940237527 +714451197 +1982625184 +2100551032 +463451189 +1634356810 +2020961692 +2034130449 +1038669634 +759444595 +1221737222 +819748608 +651379813 +492159685 +1981481186 +1355759461 +395684915 +606572437 +958801647 +1655950859 +397884754 +1149037931 +100999028 +129043663 +362974873 +1437862658 +701606337 +1357512431 +1429109405 +1230082535 +833699615 +221863284 +1944533732 +668841151 +174930668 +260501273 +155714314 +48408712 +147148074 +1194383948 +807853308 +1368885296 +2014132557 +1459233121 +1861044982 +1848130095 +667508935 +109246249 +307218884 +1626310582 +1765197109 +705103639 +627864865 +1866196137 +834147302 +990839739 +1156575148 +1535753640 +200868522 +438200905 +618352527 +1034568137 +660064189 +415402611 +1703409289 +834994857 +675903885 +1859123603 +883403569 +823051959 +906023903 +1691256877 +44453608 +772672812 +1003006351 +1905498590 +473319259 +1670515286 +2014744839 +780538144 +1149342220 +1632458300 +1485641783 +1777207086 +1351170790 +172305437 +620563177 +360262290 +1708059077 +821431699 +798463195 +178927956 +1855999836 +1458527384 +594330568 +1411925477 +146038593 +1270234453 +1123565432 +1029442162 +2093286412 +2029589336 +573215392 +2137740020 +654778500 +1576221743 +1895754962 +1128097760 +1099253381 +1763016154 +1908635904 +101111953 +1247990806 +1246794039 +1878319039 +451677948 +1419099476 +351398568 +811940238 +979674906 +1172830267 +1610403433 +1158602862 +881346456 +921447169 +1752933430 +145788285 +1067485762 +875684235 +1269353718 +2096927925 +821487000 +1151459406 +522659669 +811743372 +1806237906 +2098881412 +560014687 +786852018 +1050651145 +175547193 +548004274 +1151763098 +1423537999 +1794798313 +882598490 +1875215948 +1066414142 +1233997058 +539672538 +2046089048 +259343678 +2592324 +1057208262 +1140690134 +924039493 +662658045 +1286478419 +1991525256 +1538342280 +408348489 +1940969533 +212345632 +1559807895 +316145554 +1024089005 +1218562154 +267543318 +1584103692 +2005414172 +1318194463 +1759650885 +405934799 +322473913 +1035705236 +53249464 +1205072403 +763437536 +1119663606 +291585814 +1303110075 +1018269006 +550929492 +1305702399 +2075477269 +1691619626 +82258244 +590651666 +830614397 +2073783500 +2128993946 +1238962887 +1867269385 +193855931 +651287134 +35931291 +1217944936 +1869849288 +303474609 +654564980 +1727779813 +1621669072 +266732217 +2133714612 +1944142986 +1302437453 +39480428 +1001731741 +2065874990 +1159144035 +1293317555 +1221501417 +29929393 +1844247047 +379720168 +2105406662 +1388383025 +461978412 +548574680 +71513775 +388278265 +530084979 +1310476662 +108064002 +723940910 +1961763796 +143995294 +1941885846 +1684129437 +447469903 +448967178 +1264425602 +2069138976 +715699395 +1250656566 +1865798314 +2018136848 +1290136994 +720046407 +1936528190 +301797381 +2013363963 +1010545959 +331726775 +1710127362 +1390266127 +289649789 +951026740 +1852244540 +838224470 +1022540515 +93039157 +1368309449 +185533529 +201103159 +2092250359 +2147297325 +345098453 +1886652557 +1683943114 +792568357 +188136087 +800885068 +714223685 +903835482 +2051541634 +432538351 +774488682 +1194194981 +1152584758 +563533225 +1495992362 +1018465073 +1574079184 +1827719137 +581108788 +816861664 +2117368927 +1532135528 +521622556 +808109749 +407192395 +614661713 +28935550 +592725924 +815764872 +2121185909 +592539601 +1160863326 +1860354818 +128999068 +1953431683 +2048490905 +929884136 +520171720 +804842739 +833942123 +952710071 +1579331421 +2028137104 +2105294829 +2142864646 +1376645818 +976276255 +1569460183 +1056881308 +1557385043 +238838199 +1026766587 +942036923 +760460755 +1834876336 +1349229318 +1375122468 +1863811886 +1941955242 +43403692 +1837514147 +387011195 +1204267018 +1550385317 +516010263 +1010215053 +1451392574 +1445894400 +1530386773 +108751665 +132352875 +335613196 +1688083086 +13006331 +293424378 +1683464085 +1389652149 +1269700633 +1105440620 +299049809 +679602028 +1344278819 +1325816396 +1621638951 +2104739574 +1013209084 +823384621 +1332378394 +729537322 +617856215 +1375782086 +419567821 +1004867410 +432565457 +1969953138 +1520877674 +1442780510 +1273862064 +819288426 +825683636 +1382613729 +951641301 +1161296832 +923213168 +964647632 +1454721210 +459193605 +206816133 +576938195 +1564634225 +505865943 +1256540223 +761429396 +1831682339 +730695526 +718685322 +697407776 +1554080147 +2051063716 +1426945098 +24452714 +1279362154 +1846512920 +1029320125 +1711927611 +1668982410 +402714151 +1007224474 +795360827 +1222002577 +1832908110 +30490908 +26160230 +846721294 +953704076 +990807862 +153958857 +1412897681 +1197623995 +730897052 +830048258 +1703489938 +1987437276 +1591477654 +1387688630 +570649154 +162679328 +2085096406 +2124729302 +66259396 +1364557856 +1698368 +1345621551 +1063587128 +1031018493 +910065514 +585085891 +1433732644 +1917289988 +1380446718 +508251573 +1602714450 +1410937626 +534411803 +301952097 +217158055 +1525219665 +455910954 +1630055736 +575360013 +1186808006 +312620347 +131366303 +1026761634 +1904098001 +1519054933 +1597410789 +2066777330 +1456667691 +1574656443 +2133036726 +673741900 +1576354811 +1331174629 +1737329028 +459889657 +93756496 +174931271 +1893622301 +2011046484 +1555377989 +254390227 +1466277287 +818831968 +788802030 +1768229384 +1035990023 +166538048 +76656690 +518562111 +741898061 +1263464696 +831182458 +873264364 +142742683 +587796812 +244835650 +1740153472 +507090494 +1701503341 +1167326267 +492643572 +227761593 +596197430 +1823818202 +1965090622 +1056087087 +1917574698 +2140021893 +802225741 +1781137534 +1547916235 +1056615968 +1099931173 +219264555 +1845417998 +720676909 +1255254578 +2011956046 +797333599 +1773816689 +606370459 +2060798296 +457515500 +1479634824 +56057331 +1045312312 +1724470474 +1796210803 +1552402806 +1278490167 +816053422 +2045046378 +1506251761 +1412250852 +1721380932 +1323858735 +320854292 +1491471982 +1316396980 +1123080033 +1125125869 +716829567 +32212353 +77573394 +936094122 +1877630351 +798250304 +43865052 +1742102750 +1595583903 +1817681742 +200989561 +1508898551 +127713594 +1680624385 +1564955882 +1173025906 +1257611211 +1213683037 +577945064 +388617731 +2029736459 +475507794 +1894869492 +1294503664 +49405079 +1071244579 +1615357956 +1540877061 +240157911 +590954341 +518519282 +956987479 +623166694 +596092677 +1893081601 +353313397 +1394342981 +1936946654 +2095416147 +842443236 +1607144748 +148922061 +203858140 +1734858342 +1829546446 +1768814022 +760400600 +939674010 +835013412 +1338345664 +1328291741 +717266223 +1813853458 +1075677585 +2011769887 +1863258537 +2146922164 +1479644195 +1256651951 +239596427 +2070598536 +1775171233 +1196583906 +546281582 +223780262 +942181860 +899594980 +1618123243 +731644866 +847527479 +313082832 +191305966 +996449540 +516940972 +1926164308 +678512339 +138271346 +539081260 +1618186349 +973284758 +1877426924 +798994442 +1690550982 +1543796734 +1874672027 +1554837221 +1259571624 +1874110543 +886997769 +368739927 +2113706970 +810112657 +2143911160 +1162807229 +1356394240 +220207775 +2104989089 +108505572 +1838331018 +689150307 +956033051 +3930202 +880456273 +1952482592 +520871174 +659136933 +483511283 +659142521 +1198218193 +2101697632 +1632427279 +928161469 +753208426 +1175494613 +324474555 +480396805 +582848187 +1584046179 +207023700 +1469845956 +1952786106 +173247022 +132474965 +1949213619 +1336054251 +1488869205 +21937746 +1293559692 +1597374777 +1860268764 +1982709999 +405924181 +1864198967 +715682624 +210923125 +237586493 +1374819557 +694434408 +896729014 +425554102 +648648392 +381672646 +1353715571 +1401856818 +1557167259 +1678190127 +1882253623 +2140015446 +1114752658 +2089277323 +1462377754 +920055117 +115040697 +1594852720 +721785088 +1451094949 +936238277 +743722834 +597170993 +386129407 +456507950 +432397345 +792053588 +173223269 +1148079969 +1002976713 +410809763 +375415879 +1697411121 +1307538777 +800969981 +198575865 +1689211423 +7201905 +1600432683 +1098895035 +1685392032 +1335202658 +1091426833 +652661042 +1276996333 +406320940 +1572716159 +1392037030 +2001173660 +147017599 +695648331 +789928289 +890740433 +1292819325 +1176057696 +1347248384 +1725216670 +1968111284 +1520471653 +725812991 +823604349 +1931281416 +1101228870 +373531822 +1091336546 +1902198852 +572107687 +633064321 +1909400757 +25056722 +1731959356 +1447309141 +1360259380 +675902542 +2099970183 +489772065 +1082223482 +1525202695 +1881809096 +935913494 +1672220294 +429973779 +1725841783 +415477080 +1722793104 +754415832 +1762725464 +1300526126 +575043468 +1135713469 +2026339118 +1398647818 +919511238 +980084340 +1772179640 +2010847784 +734799544 +196803680 +496428457 +496716653 +221860402 +80904166 +1944025794 +1582119783 +756806708 +1896512330 +2071891848 +1839030190 +1274231377 +1806217296 +627460036 +798968023 +88707428 +205818171 +1214445103 +1811500532 +960234003 +829686919 +964543011 +1535277472 +1965400389 +843398481 +786441642 +737427979 +1823482821 +411137634 +600792115 +410798718 +607941314 +1097220572 +907515371 +829801717 +1178124738 +704057518 +264437852 +1934931446 +453086200 +188846052 +1626477988 +1727317577 +1995063349 +106454376 +378801952 +2083770777 +312272548 +1593247056 +1747787661 +1272506551 +275450327 +564847024 +660300375 +93367068 +1408245505 +1446742017 +830795047 +1084244679 +1857879652 +1431587162 +1495043397 +318337318 +381324087 +255075120 +1148139035 +1559448825 +959132638 +1412576887 +1346896624 +1412218838 +1601422940 +825890964 +992052767 +1449002641 +932345341 +1370854720 +1385289770 +1244617889 +816618128 +985593783 +369640792 +1092068455 +1550440808 +1029941168 +1185435524 +811202665 +329199537 +2016230571 +1895447344 +39595541 +1300334086 +1243007093 +357932860 +1681658173 +1498082214 +1506071895 +1093623350 +309731204 +771165135 +293036326 +1721950043 +225104427 +1118927291 +566519162 +1674107068 +2051272632 +1937373882 +911913190 +1148406873 +606508362 +1897506973 +1518047665 +1698576818 +1300464133 +400505185 +736528694 +2111666799 +729704723 +605275617 +1859630495 +769300264 +1905609703 +955153941 +1127233124 +1439784228 +305752507 +485821372 +385923931 +615483711 +1256986507 +678960257 +189950106 +1482090934 +1797887548 +756469269 +1008714354 +1701676532 +546359503 +1920627544 +702599757 +1152867866 +1670650869 +73163775 +703961036 +823631355 +473668960 +1440489730 +787814506 +1203373683 +2045765347 +499961353 +1972673948 +1803891403 +1455115294 +952423424 +1096191983 +1760867801 +1438244796 +1482115914 +228867865 +547747655 +13592524 +418817971 +2029838589 +1811480072 +1175287240 +891069295 +1365672957 +1721646744 +664213191 +2068272714 +727030962 +187380413 +2141436489 +1430991998 +1011011768 +467621802 +723998080 +1798826274 +1670995485 +622279779 +151303979 +1496185785 +278687534 +1606419274 +301125562 +1374879518 +1219803427 +1739370358 +709511784 +1448671292 +139634366 +723104308 +1867489264 +21989307 +387100733 +895292856 +913058603 +1752773690 +469455952 +1577271794 +1673562756 +1196486914 +1764652207 +1667515598 +479995264 +628180327 +2135137400 +1203993344 +279522953 +1658649237 +1826273124 +430826933 +1007351375 +2104960658 +2037246207 +1308476937 +1332356528 +1109565986 +900363647 +2041868313 +410753631 +1039998013 +617488973 +130759247 +1061987321 +1004589706 +1026052103 +1975045924 +609879748 +1495508056 +1404834070 +135958857 +544511322 +1022002630 +1803474455 +1024506587 +1650182957 +1791128207 +81016283 +1929705911 +1302293796 +1907289407 +213049196 +162161523 +1864766418 +102811755 +1470638460 +1049639298 +1212377741 +223518460 +944023963 +1623131372 +1263516473 +1561512937 +1753890619 +178020146 +418618995 +632459075 +5582422 +1028498744 +2127967131 +1410416493 +1164457601 +524994805 +284935475 +820448408 +1549501392 +1935118432 +464092967 +1630517676 +1717340695 +1766386763 +1390323435 +1930389891 +1928548287 +1107606205 +2033201646 +1251703099 +9761856 +1098095740 +1475221559 +953785819 +573743464 +591254385 +367815108 +180150436 +769274531 +786434104 +812609511 +774856954 +1814932848 +793092994 +37789799 +831906801 +1318087799 +322725274 +1652355209 +720105544 +110360058 +2116448176 +203139572 +1827700754 +1735351291 +1593463007 +1610606997 +1516415930 +553585565 +1496324996 +620635382 +563347421 +446937088 +2095856941 +1517133240 +1020680552 +539627678 +1884948349 +1200830988 +1308902210 +523898805 +2013440499 +2083759164 +191348005 +659049845 +2121548963 +1023254806 +1977137645 +296790589 +528126367 +549759541 +407150647 +497090895 +752899113 +87367753 +84958538 +198878472 +1697974751 +1601374469 +752464037 +1046816099 +74526203 +1315811458 +1493753187 +22899496 +685461051 +366950091 +562527175 +422925752 +1567781080 +1871429385 +946824557 +1433737931 +1807704901 +1138172562 +2092787777 +1781770216 +13943720 +1922441774 +2078560805 +542070087 +324717667 +338227804 +1039160982 +1077616780 +425595558 +1124119520 +1276495252 +2123570309 +578010341 +2028959290 +1022902760 +652536544 +1197287100 +369172299 +675436041 +1882748151 +736122390 +1237963216 +158190255 +156419822 +961908953 +1105014812 +1590157754 +622130206 +95703726 +1535461883 +256416774 +109647446 +1310420009 +187493931 +651717533 +1635137676 +525721735 +1690878515 +565270808 +951317293 +667514388 +1841766060 +927403954 +1245524729 +1723241702 +1950306714 +1898061274 +773045155 +171995365 +426013667 +508309658 +908117756 +1663976883 +666499914 +1064537578 +478402188 +1771514726 +507211684 +1100532394 +1867218453 +2042673567 +1356949168 +1976865899 +1205609928 +1544443099 +481099785 +693263956 +2070164834 +24494652 +1258534764 +873998480 +692009040 +952817177 +1801402434 +1937533770 +528575231 +1604225501 +1688111396 +1301620386 +1776220866 +2114125063 +1809930045 +536854974 +1630618298 +328946311 +1601392553 +2109020486 +2100461037 +2108604237 +1062069232 +1820195842 +2003794157 +271534752 +1649578094 +1061920437 +1815977851 +2130677879 +1755184394 +1738659037 +7688883 +866235510 +465173869 +699697924 +1819052687 +119092656 +489748046 +200144271 +1723318157 +30375794 +1501764657 +1352055375 +2144500857 +1164211054 +1888910350 +1627635507 +1493157365 +1342819255 +1589172345 +1446134755 +1303939844 +503757929 +1118846949 +1160250353 +775292681 +620941395 +74687143 +443786884 +604135626 +1829871537 +34962273 +611824510 +548623399 +500136143 +1311522434 +220192439 +619228799 +1801270480 +420336710 +195063308 +1831646274 +1922101367 +1547118683 +1828663483 +938828774 +1288545385 +1308815342 +284502491 +483880992 +750504039 +1730637246 +1787820837 +1254261968 +702000548 +800587542 +2029554649 +1322941943 +875274685 +325857885 +1927077570 +557662574 +360820158 +391418432 +1106285974 +860956301 +1702940866 +1326478413 +1480185100 +1356727698 +1746815123 +1675248408 +1040890324 +1521432842 +1074883444 +722070159 +312777968 +215945181 +2030885501 +597280460 +699826174 +633905892 +180434058 +340163363 +1888167860 +882434606 +1140750905 +1770238861 +57892902 +2016025591 +2096096746 +1984970472 +426204517 +309433256 +228905256 +1532490491 +1170389558 +1931846122 +711485256 +503091010 +1141090172 +310816731 +30855771 +34496848 +1832249574 +1105739215 +756567007 +2145027542 +1321684396 +639968860 +594824354 +2021510570 +1273874752 +775258413 +214190285 +1014558964 +1657693019 +1354941191 +637314177 +1715585921 +1223483134 +585927275 +1553072745 +1649687651 +895360531 +1781978001 +1034694495 +2065750089 +1566340475 +1746179751 +421357452 +559946999 +2056996483 +452213223 +594443847 +1741762409 +1557952438 +1351010854 +1739306303 +732153186 +1990979714 +186647010 +606180109 +1117370818 +961905423 +820370394 +2131929782 +472114794 +27827937 +621760311 +40217068 +1251311071 +1207687586 +1593289813 +753515075 +2103048118 +1227784167 +1788209570 +2021314559 +646640994 +1386905673 +295188363 +1206587994 +1296418508 +747401586 +1801031841 +890697269 +157870376 +1004559048 +482519925 +890023563 +848055114 +669166935 +1496203672 +1965425933 +1631072358 +169090418 +1949872067 +2103187152 +196918356 +424148731 +2143404220 +1448229427 +1631836317 +1589210386 +54260854 +1587400787 +669510905 +1842470424 +1461231699 +1316151899 +1081892450 +1756420062 +375256245 +230827310 +356338001 +28804439 +1121524580 +514208377 +1033363487 +1604044505 +1404231940 +1881418601 +125727792 +752951964 +1699360886 +1756800150 +922042383 +1501749306 +1712503654 +1118960739 +1925898037 +1708424227 +419706518 +1410250706 +1150150965 +473967373 +850167846 +1819661870 +168954149 +163915897 +988330121 +1250846599 +1920335959 +1363586367 +1481673910 +129190312 +1392390806 +455714842 +643398690 +278270645 +2059759347 +2047630630 +12205598 +38003491 +653098947 +1711566485 +1794803641 +1575141330 +1065832143 +1359823647 +546618421 +844246532 +920764226 +966324939 +107013590 +2070915191 +1440292312 +957181436 +1743093413 +1609246462 +1121097333 +583939887 +712609413 +893949645 +1947526254 +46799675 +1023139957 +1192433412 +502514517 +1666538647 +1470704057 +414790216 +1566685630 +1482909655 +452793707 +72300929 +1046992492 +100113700 +1647442259 +2112824635 +1459937348 +46577032 +809587519 +233217926 +1012901971 +916601110 +156649470 +305710636 +1873782546 +1899742883 +1914957098 +847396232 +336199122 +480082863 +1741345877 +136241728 +526882539 +617002186 +1328675140 +1029397056 +136057186 +651895549 +1444187273 +1702742816 +2134805205 +1896980980 +1775043745 +1034314049 +1997094681 +1275002356 +999655037 +1309548381 +1321579388 +1809242556 +1542766307 +186997711 +578360018 +1699415777 +492708347 +304658917 +1451675013 +260181797 +1152055149 +1787874135 +740264661 +745917378 +1924115864 +1267147200 +1362919564 +1105307356 +149060608 +1498976750 +1757202906 +1593247881 +1054235918 +1744524463 +1342745214 +681796015 +631354864 +1192356247 +1956798371 +1631009901 +354420980 +1130894111 +1292768810 +1897187287 +1317891823 +1871128828 +1449119417 +1810600170 +28304097 +753310782 +2070781968 +1180359246 +393701269 +663562981 +1926276624 +170333485 +1930710181 +1141712541 +1275640842 +2079770789 +493205643 +885360100 +1525535023 +1547441562 +482400915 +720796589 +81753929 +1113755779 +1913152836 +2038552301 +597282033 +120090168 +1021962764 +1890050843 +2017277455 +192370939 +1613696023 +1318913224 +2002971110 +1642000121 +2072224006 +1926269430 +674875719 +318441628 +442348763 +453668696 +488775113 +225575296 +1595381237 +1764415955 +157862437 +2088586880 +502292407 +1683397460 +1488544794 +984693322 +256710401 +1570298724 +2098449102 +22379589 +1461367377 +548247487 +142469757 +335846493 +290814682 +12263565 +528217433 +1904510705 +1331176789 +383704895 +1399027178 +1255917148 +162490677 +2073902898 +1574358776 +604839440 +380087946 +2063133889 +830414736 +1975469183 +1680066197 +988277173 +1916572415 +34874956 +524190986 +1257633562 +1019568279 +780901387 +680448638 +970533733 +803280977 +2141816015 +1518781220 +945750734 +330178860 +1809595902 +958014299 +858396293 +1566622959 +141707441 +1242101188 +818166490 +1397624589 +1404591865 +744585740 +824499717 +2009431305 +1124673686 +740149958 +692362393 +952659221 +272732507 +1680639567 +721747988 +307607464 +57346905 +1979381550 +1327175743 +838248292 +512346540 +150225828 +1641529269 +506678907 +1669007048 +439796356 +836857768 +1331119302 +1397810655 +1695254061 +750258613 +1539518096 +789871602 +1568425103 +789659037 +46979819 +165527195 +1614158754 +2056411125 +1290200881 +206825065 +601289870 +95376454 +479557572 +134445789 +817124443 +787165036 +191792694 +649022345 +2114340779 +1030040987 +1161368886 +117082959 +524086608 +1668047793 +1786090007 +963882964 +357421913 +969725661 +214209972 +2052675975 +1719984275 +1753728068 +695063929 +1140925730 +395903458 +742043748 +1306452926 +2010062212 +650971225 +449170159 +69403629 +1252261096 +544546614 +548961202 +1386706885 +1361671057 +1336126238 +1578499580 +2010693402 +1302983370 +461056919 +1024578640 +1420066329 +985143527 +545142786 +1058672689 +1949026492 +902564699 +2028398350 +15752816 +807757026 +1600898977 +1769480884 +1502820955 +594341060 +17900694 +97381056 +1900793986 +2027962907 +748352281 +202480497 +2097366536 +2000613377 +747027111 +498844090 +1239836615 +2108698168 +1834970329 +670852547 +1971907923 +990470051 +1131909466 +849002915 +263052732 +2117052993 +1394145701 +1321725421 +1918595837 +149226753 +1202640124 +1934348653 +956983779 +656055453 +1556345890 +312321087 +1250396513 +1574246584 +409702143 +1003706851 +1454725843 +1158054424 +1206187349 +1404608732 +1011184154 +1953214460 +1903452822 +103537121 +1914428981 +1590939503 +774389668 +1738853256 +433925906 +1906299134 +440372523 +696978639 +1875868479 +1834518225 +2018704060 +1646980669 +1983744978 +1073860536 +1433845674 +793245109 +1729915990 +842707916 +1105566196 +832828855 +269470853 +1515268339 +1836535707 +1724196696 +525839116 +895239408 +981321780 +1537023270 +700970220 +737290955 +1640560391 +467915553 +180746810 +267466411 +59285161 +614672717 +26281897 +499657685 +1311651356 +1902150376 +186692262 +1182871768 +1401647397 +22953592 +109248657 +688009424 +816198701 +1839164647 +1530717340 +1921764898 +524509854 +1800188193 +1289549589 +213561913 +1376901242 +1815388705 +1108801321 +210739374 +1204928327 +1809771542 +948030329 +698005070 +130203447 +1128777140 +965471481 +189488609 +1743449857 +991753378 +689146294 +907617565 +746420107 +875838556 +2090489333 +583856 +898792148 +52254342 +688593280 +1714990849 +1891418989 +71826973 +1489272099 +268445196 +1872015166 +631338041 +482007109 +1101432760 +299243098 +1590808431 +1312172135 +1504171426 +1253096325 +112718816 +54692848 +1383299772 +1241495956 +1020164330 +1572788381 +837462165 +2011917708 +114451027 +1745079730 +610854167 +990289583 +1688085416 +611438024 +1889081731 +1740339758 +1300031304 +1456588933 +1484275100 +1371858277 +798377384 +1752720296 +1096389796 +1429715425 +87243757 +50338908 +1728958524 +1678052188 +1362511043 +1085646302 +783664865 +1475229860 +1140339150 +19480990 +569242168 +13019832 +1592269371 +1406704334 +2024937541 +1706720399 +1004300416 +488308060 +549526334 +544902184 +1099746084 +291124418 +137758295 +252293741 +1747713351 +1622033395 +1624152018 +398607087 +1227270043 +573058166 +1828322513 +1314513800 +623397075 +1409797389 +845082341 +1985908118 +347960043 +1628747206 +1313654330 +1488299193 +1648228196 +1882896499 +1501319026 +1093013920 +1142117185 +1378772919 +652250671 +2146417601 +1867080979 +1201777005 +543836138 +819343416 +1492901423 +681594433 +1071637157 +1093131126 +156144180 +548305527 +1491738214 +1383414223 +1121363694 +1172577079 +550444375 +1744760769 +434890820 +1395526716 +1583185239 +782850863 +876790275 +749355922 +123666408 +377534823 +484768773 +1624985434 +1470548743 +1626885958 +856274705 +2122799414 +1625819911 +575872037 +1177092772 +22172401 +1395215453 +522510547 +703766834 +319368962 +1615641674 +859911014 +867674489 +959896240 +95841589 +1989038183 +2132473319 +646285965 +1586315304 +419880491 +2041812681 +1022016896 +1202731354 +771119308 +1771372818 +1326397762 +1148654132 +108657943 +803899549 +471719227 +1735543901 +1660174254 +447034994 +1213880164 +88562643 +1624127766 +1236052566 +1483778096 +2146638313 +1939819400 +1803147058 +1614796339 +652246767 +523337900 +427208931 +748088356 +364892435 +412198602 +1394374321 +1951207740 +832079093 +1288703355 +825740988 +2034810447 +2059822663 +449630158 +1213724562 +1060993147 +558288101 +2017624111 +1532712375 +146348354 +1530314717 +1979747369 +1360228518 +1618877361 +1456391487 +448797436 +955171809 +1455546152 +241133189 +610835220 +922858844 +893379956 +1134173120 +1350067775 +1641468312 +1499065555 +1762266378 +888358986 +1302789647 +446861823 +29578693 +2128530635 +334188623 +2089401356 +430677145 +1547913185 +1002910856 +988965246 +1418053648 +388139583 +1135313600 +800884717 +220403304 +348058471 +272278430 +1676794791 +796855907 +1227450240 +984857295 +1037989096 +1838285460 +1907716139 +1931369052 +824974932 +1110300267 +1425353717 +176556839 +725082997 +166229055 +1479346487 +1171944820 +195807748 +1460393474 +1506133443 +137725456 +1891070620 +906562980 +1140636312 +732552218 +177132980 +1528775895 +1867865819 +978017698 +1749179199 +68440642 +1250296128 +1278490342 +865296549 +330262720 +115863990 +1903285646 +21064532 +2023580129 +1687171050 +846039464 +986396748 +965041119 +1022596304 +1711479745 +1131270174 +354459143 +735940918 +1327077922 +1814852617 +94590713 +1464803379 +1558439589 +1001153694 +457956043 +143508160 +1178286674 +1986731939 +2011373979 +8820724 +1588427490 +2079814621 +1259116853 +719434185 +797627522 +1589379573 +835298175 +553429520 +1610444106 +711394656 +93116923 +308999922 +1697791405 +1058158042 +1331596226 +1261787502 +41944569 +1686055369 +1997728420 +1369022491 +1353424339 +2092319134 +686342222 +764380280 +945989180 +1144298266 +907888440 +2124275854 +983546557 +771778771 +2133096579 +424490399 +704109744 +1244729784 +1143924584 +1501737267 +686625709 +1979222759 +2055166787 +149586167 +543133768 +800062 +458586090 +93441525 +1058958105 +1790182316 +1355229027 +1100902674 +1328754038 +1205473800 +322441517 +534694729 +1150309286 +1008783740 +1299075009 +2096298466 +5598358 +59479802 +2073090672 +989144915 +831258573 +2058703603 +1413635314 +1535368318 +1155949739 +410076251 +889621937 +1842575449 +241815362 +797305076 +1992161616 +784949130 +798105139 +303264058 +878390655 +1857063244 +2093446375 +86136035 +810482270 +1274716765 +1291609835 +1132923787 +1809411494 +294435473 +2141707527 +961002855 +243250291 +2147305885 +1020482657 +168857315 +988967152 +1851741231 +80077271 +255118819 +1239625901 +1236027010 +665195070 +2129247838 +931118811 +907010432 +779069266 +775796780 +1691959563 +1577174405 +1079060838 +422866570 +1286754001 +1025023565 +509002605 +2097236271 +152256682 +1800612440 +1082676411 +1961668176 +2095047913 +1076900290 +775187384 +190814556 +1076722528 +1795670041 +359671872 +2065689680 +1499927624 +439749143 +173324851 +592069877 +1675776153 +838519921 +573834067 +459411317 +1745530354 +1352903334 +1235208097 +1290006269 +782594091 +166785287 +1712872839 +2069348093 +1191808853 +74391797 +2019100716 +1344065535 +1875004237 +954293479 +1158250064 +1822568503 +2031193770 +1933437448 +2013383059 +960432650 +1581623841 +225571283 +878638682 +934067818 +665320426 +1051963534 +1526137695 +193612932 +1890483455 +2099971763 +653024249 +1488530161 +1305391449 +1888232346 +631052782 +2087985540 +2055017633 +196441974 +2009849985 +1099342838 +270833771 +1881467054 +295924726 +2145838008 +688276885 +1454174790 +1820922863 +571987007 +1240128590 +1686822275 +1532419657 +674268783 +1912393558 +263574692 +1608336601 +430230337 +1315538226 +986990649 +623843269 +1058538033 +939478764 +1276867518 +399584547 +97386565 +1017616216 +1030637329 +37888457 +925150201 +1227079303 +2047738443 +2024493040 +1497913074 +1781721849 +172934118 +1496267435 +322515086 +1627108908 +1169706650 +894502094 +719753850 +709045277 +279438103 +1394022633 +473955188 +543012795 +854875587 +904185525 +1858551021 +1841866236 +1528028794 +769605407 +633861352 +657412664 +1169189954 +731247917 +1675028880 +52343635 +769136374 +452695433 +1279422939 +669391169 +329704825 +629852365 +303629370 +502638943 +2126119800 +626144457 +2129747851 +1148342803 +1520646551 +702018053 +1857388080 +1800084654 +2096040687 +183859620 +195613802 +803432626 +1088045145 +2054164823 +497815214 +468590291 +676286582 +1131676566 +1126002955 +1845476536 +1862924483 +653548187 +1897820172 +484577209 +1106243621 +1029759463 +1153968379 +1435948446 +1659611828 +1457597749 +1938587390 +1638247981 +2083742206 +1920851593 +639107136 +1456905109 +475385999 +349011568 +1109506116 +423943038 +532871189 +1305119918 +1227375664 +1620916334 +1211801093 +1725190878 +2089506626 +1888087676 +709383796 +1068025933 +1586080564 +424824631 +1721574121 +1336417088 +909401840 +680334094 +218692903 +2063370219 +2116282540 +1878304732 +1373484321 +1907386282 +1369069065 +1309742879 +1680754228 +2008176201 +619164341 +8656579 +209704121 +1728670457 +432599617 +742575310 +886306727 +1659975281 +216007997 +2098107820 +1237682511 +158030975 +1838711848 +1947066307 +1226056908 +1277308765 +224407290 +800147381 +466242205 +1133809130 +1480481475 +684935109 +1049695702 +1449280368 +415756193 +275696375 +1209183002 +1784825258 +1585439254 +742453582 +1645517811 +57119947 +751110161 +1855221932 +1785790404 +1183709778 +450313595 +524613483 +696201411 +666321592 +475237656 +1933883922 +824352567 +166465856 +1733466581 +2050409475 +1443774621 +1957873871 +703073209 +1910016827 +944199354 +36071036 +447468288 +1993895056 +1485351404 +863224481 +122107783 +547050759 +500566091 +1707547037 +1289504341 +2146083902 +1764666985 +2040614503 +1853822186 +1402973741 +1076840633 +156652133 +1927587225 +1773042045 +822973725 +255341233 +1559442319 +1647326292 +421807089 +1145425253 +1550252120 +1865581711 +955815476 +105841681 +1628114890 +1900014830 +141912717 +2075583178 +1746426238 +1627264122 +791324011 +1868534021 +26831233 +1291890102 +1428597411 +1316335574 +1290490356 +1045780748 +1209466429 +996828894 +301270841 +138823415 +1153481028 +81374418 +1911865460 +1976454753 +336715651 +1323824131 +1476297398 +758522741 +321765736 +879065870 +476620804 +1277581213 +984907551 +2104735694 +1030112395 +1126820268 +2032835224 +629054986 +606600742 +676675587 +350105359 +633431975 +1968565689 +1778702770 +1949767550 +1111572397 +676999870 +1011750331 +2108401291 +978270712 +1150573746 +1114398671 +1059645130 +914955558 +943369777 +1396360782 +91296042 +272183527 +7399875 +413061778 +1151249397 +484020679 +1690642991 +2136156948 +441272725 +573271739 +1115493568 +326624301 +1202326725 +1722094311 +1003299888 +1552432084 +208042638 +824381929 +1183651207 +10326540 +1935954326 +1860651077 +1022076872 +1896871969 +691438141 +25166970 +863786993 +1751083272 +940122529 +1807156770 +999960406 +1031418571 +2079340297 +1007360281 +1444480349 +1083106046 +1491380960 +987639693 +1071779346 +1932653685 +1560911432 +39789266 +111794338 +615754509 +1761883577 +1115094226 +20702945 +1969926216 +1939476155 +1204354152 +1980252756 +1727946833 +917521582 +854845980 +1477335154 +1608959723 +880012951 +193638499 +1212559347 +1820135480 +2000795269 +65036105 +704070403 +1932651918 +1072396386 +1067104 +868274316 +416293698 +988706797 +1940053662 +201463735 +402134581 +1979842929 +313258073 +1017889090 +1594242858 +1428352299 +1038592036 +1416685426 +1220344806 +95462540 +1249454535 +800807991 +1012984122 +2104300515 +130659498 +474460198 +836829818 +324297997 +1687019545 +509481650 +177609619 +1752055651 +1213552053 +2110261537 +676968389 +1214619158 +831052206 +1093262088 +55842307 +623622220 +1294725823 +457976889 +455981501 +1607983897 +1475865979 +2050224360 +888852548 +366974367 +1319426138 +2109197355 +462436908 +421397025 +762521698 +1475421030 +378213893 +893181196 +1949881228 +1215043711 +1217479194 +1489417126 +1724525362 +1395088813 +1093989129 +790593767 +1357866702 +1770957518 +2005212925 +41435260 +716735958 +2061055233 +665057481 +2011461782 +371548474 +1121038982 +1471962031 +1847414453 +1023779694 +213330931 +66905173 +195722185 +175044638 +529342081 +617119210 +937566337 +2004763111 +995333103 +1830747533 +1807160692 +62893167 +900743079 +1149094170 +1787418529 +148348244 +95599651 +430528648 +1506214947 +1866557169 +288257926 +1547650207 +435809480 +201829511 +65224040 +299787614 +573377985 +1186263023 +1771749645 +273308790 +62559069 +1985080576 +340213963 +258281254 +12641567 +869556044 +875400465 +950207904 +726835508 +1870733568 +633471789 +386512552 +1933626735 +1534214869 +1535606722 +1573561616 +1682563113 +1631206373 +2004090265 +1041294412 +1350279894 +144864543 +441460972 +1786089374 +346694054 +506685012 +2085876988 +920072039 +1692948035 +1710142985 +1193380829 +1755507105 +1547739914 +1533594793 +2013788359 +1560381481 +255667189 +741705176 +363105737 +982502697 +464955097 +996577526 +1369015249 +251098184 +383308747 +757138323 +1824659801 +2065871861 +240861048 +1681266418 +959682625 +1591140943 +1826130961 +1401143597 +1229746669 +25341367 +1907828610 +1168140010 +945413406 +1453292997 +730799347 +2138794235 +1061316454 +131055613 +1524905380 +927621166 +1691437094 +1780572570 +1669326342 +2054542831 +615591619 +2134281439 +903636710 +1984606869 +237895976 +1286945457 +594261544 +2062555777 +1205333670 +835122593 +1596338547 +17532648 +278779888 +1274985860 +1418676245 +1508526557 +1300327227 +1179021207 +529182919 +98256985 +484830557 +1259982267 +89567572 +1546147011 +1391037880 +1614472953 +326284529 +934991327 +1247561875 +1995610872 +842050510 +1863153494 +1982408663 +1745687220 +1700276715 +72820991 +885149030 +147054612 +2135376768 +2090482700 +982177205 +1584231667 +2108015348 +1260957093 +711733879 +1379207946 +622000002 +2012061106 +410745505 +1151182922 +2110318091 +895576062 +263681541 +52402016 +294239426 +1654719421 +1666874969 +620523955 +442227100 +766953196 +468651179 +1284277611 +482623042 +303576195 +882481183 +35416110 +376397186 +1767630213 +182470722 +364290307 +1710629266 +1164647927 +1948521974 +1671160966 +278121372 +512772206 +902885264 +900121374 +377349664 +1313630770 +2051304296 +340184108 +61723184 +167502189 +392586124 +355962610 +1822221611 +2059461093 +976486566 +116965063 +678930641 +1445137745 +1401242674 +1161553683 +1748713940 +136240210 +1196969793 +2125111127 +1903870423 +1379440515 +341917786 +1467016041 +396604794 +142956112 +990693360 +674726166 +655728318 +1893578624 +1574847541 +1033077983 +1059725746 +1478668189 +1373262091 +1121448931 +1646170379 +1765848215 +1477411541 +1320908342 +1677825660 +306414459 +1437873405 +209272653 +1751552205 +691632432 +1370826336 +1352782497 +827872642 +420312482 +1330409976 +584259417 +1799752997 +1672327762 +2051275459 +48874144 +1815283875 +894485171 +723600310 +323528545 +640580147 +150964203 +1356606528 +1700305894 +1629632393 +582384971 +674271177 +1128319124 +200749538 +4199070 +301743818 +1878575198 +310613530 +1739617223 +2087847851 +2062165735 +283766007 +1311190540 +1267464584 +1111638649 +1731503022 +450390913 +1695898067 +1383772371 +2122718675 +1599689878 +1432646515 +1790518902 +346691401 +8763178 +2114047448 +987271548 +159727381 +1323170328 +540093794 +1789359774 +1905555300 +1214364971 +770195250 +2106304838 +1218564042 +1071939068 +1837396389 +1529177572 +664072644 +1777760592 +1443859659 +947838651 +941467484 +563840595 +2059477301 +525486858 +1014231508 +1607891720 +1909259230 +989466536 +1060097950 +1194422097 +632501790 +1406789351 +1203185275 +599065590 +246577251 +1362912657 +1922235919 +786671046 +1004788783 +1680307571 +2001036017 +1774984034 +1639128761 +1072116411 +699439454 +1329041502 +453810335 +1363512098 +959318447 +1897669994 +163867102 +1900785931 +314026942 +75860755 +278789142 +1328258450 +1683752475 +40564724 +170241338 +596366777 +1234986821 +802743129 +2003156128 +290688449 +1401808719 +102249731 +1653601106 +1176560990 +888920777 +510906241 +709384913 +742473147 +138406627 +201030027 +1814589558 +837846082 +1530071529 +120916246 +53874532 +341906328 +2018586240 +217741634 +95208612 +185129534 +293602389 +373997754 +1513387985 +1977354864 +414562478 +1683629323 +426237993 +1649549299 +338888804 +281910473 +1940237748 +1740697524 +384160205 +1446355206 +769774866 +1273080982 +1957261448 +1479159780 +2015554129 +2095668075 +1680189807 +1682660040 +786030509 +1062777688 +1803576286 +839905042 +1404684017 +1674678878 +1057646676 +1499892629 +1859808413 +1351249066 +1873890383 +1225712750 +1181120282 +140969213 +761858425 +1607358276 +1790518512 +1100747230 +1889268749 +1583272613 +693961106 +125945306 +882144171 +1463735972 +1399026289 +691921971 +795412104 +1267096770 +640106399 +328118263 +802273162 +1426136908 +1390895952 +458365800 +118558302 +648096321 +2133044679 +1176204979 +505302 +1845369444 +379970397 +1874395685 +923598546 +1561090679 +2015364898 +1685456971 +1020965307 +1658399762 +638720553 +762750409 +1094188727 +1332681659 +888695715 +1976332899 +648933984 +140238356 +520771222 +1444346088 +1407335127 +1160877621 +1772464352 +62124641 +439530882 +1015876656 +520490442 +558089184 +1663972977 +506051473 +1734294163 +1664478279 +203937269 +2114264560 +1391390316 +1127535815 +1527871592 +1259271566 +665509138 +401353251 +770187680 +1304229692 +1164103660 +1864376408 +489427703 +2052799376 +1693225659 +1138361687 +45554084 +66513233 +435224128 +1452889211 +1227390855 +60204832 +1515013853 +1666921737 +1076081488 +2035504295 +77527273 +592570817 +394072120 +1811821437 +109565448 +598009389 +1778602349 +1500955764 +1725545204 +1158990293 +612743682 +243570694 +1560343545 +1382931362 +1547800386 +576963557 +1099824122 +2037228090 +482279285 +645566133 +1028106129 +527833370 +712079367 +1463330257 +1980722581 +1939470222 +1523535089 +1348252786 +1458908311 +452132929 +1236273433 +1536435584 +1044703746 +1630345553 +1200773373 +1154269194 +80871294 +831892075 +507741310 +1806416498 +1990882368 +1120484992 +2049987193 +1403742265 +355932707 +1450303931 +1980705823 +1455756829 +1340048373 +315501460 +2101322963 +220670855 +843334830 +665918682 +1684001112 +676573764 +457905256 +1060052554 +2024826550 +1916813567 +1512185483 +1113616336 +1305765503 +409405582 +596478241 +359055229 +1563674776 +677349536 +1190947304 +2071416087 +336282386 +1034346024 +1044417431 +238785931 +290604642 +1400350138 +1689089863 +123826817 +708623320 +881654588 +439328277 +662462635 +1102325443 +1282663108 +1328381317 +638842908 +1959236872 +1786286573 +1698895462 +1836579774 +1555616492 +1063597297 +802712462 +713898347 +1473002879 +1399190704 +1072953576 +889194008 +2076540240 +116417232 +813126447 +265338978 +1150763257 +1857543878 +504124910 +1441367899 +1110410369 +45731125 +1565194716 +1819033689 +927385713 +2004522993 +334012676 +2029711157 +1139702453 +1662393993 +521070417 +951455677 +1301196918 +72482231 +640551804 +709329762 +1136079528 +1443264266 +1423228109 +461598760 +694971322 +348698038 +1350792768 +624027914 +465115270 +16435567 +889366893 +1615878527 +1873979445 +1393491803 +909762778 +836906166 +1439222928 +327473846 +508456207 +219124993 +184513192 +842468883 +101352502 +1324215645 +357379228 +622422919 +128187675 +1658576146 +694905150 +768739479 +220422260 +1830984679 +64520097 +1643650370 +145099791 +759491420 +1992348408 +1495892559 +1383519334 +309980030 +1512328126 +125402579 +1925858558 +1238823923 +1518894382 +688137688 +2075730090 +810633662 +1015611535 +436702649 +1029758656 +1200124727 +1279171533 +1131111158 +376856724 +1636550761 +1753534078 +505044399 +1147643260 +300955580 +1273783878 +1368065520 +2131940259 +1338303976 +864232242 +129556402 +2097795396 +709097002 +1625448961 +1333831082 +1019077033 +990293439 +1459233662 +797451943 +81633715 +830644396 +1485589631 +9880157 +1641278059 +353717518 +446582806 +523553067 +1553842245 +1725754339 +1654664225 +1930698970 +1214821453 +1260714655 +288259721 +214981065 +1561670236 +1562043600 +1583046585 +1546126847 +752863928 +299795180 +1675683250 +703175676 +1008892182 +1153648563 +2037006758 +2027969215 +2143942003 +1348756772 +677937510 +78092070 +31917521 +16043494 +87972227 +1673195580 +369761012 +534555033 +49264999 +1923603258 +112825725 +1703929224 +1706818580 +1327647178 +817160232 +1995078301 +1542628243 +231346820 +1409638253 +978191180 +1777473667 +15018533 +1277986360 +1305673269 +718194209 +139394895 +311838185 +607717320 +19880462 +308296540 +1956474092 +697817973 +386388610 +1988391613 +713861467 +474360837 +1514103545 +1083622479 +1008915870 +1563368544 +859742089 +1121741595 +1119814121 +419077021 +301905125 +1936974353 +266671675 +1844533368 +20837525 +1676309928 +675240901 +1798311192 +1691328462 +1953227261 +956500814 +262039023 +2092622156 +1268338999 +869756343 +2112502619 +1576635539 +678746788 +662836944 +1963024149 +519654753 +1376698411 +289901338 +2033758299 +312837242 +1298817208 +1449643195 +1172579332 +273075156 +421973668 +1591656353 +574980281 +211464373 +1858328028 +272030002 +232301898 +1387154309 +947270903 +2030613091 +930999123 +753014516 +839630257 +1193038146 +698153025 +2107969256 +2062794490 +663171996 +1537121147 +594057630 +1326008940 +1352661648 +1113712383 +555223703 +1642562986 +999987034 +868060945 +793896546 +302146582 +2040640277 +1066971702 +724120250 +1484812983 +1641951984 +935584624 +1195657363 +1913981986 +1167886522 +435328024 +713769241 +1051015965 +1366327147 +1466783757 +1890646222 +411881646 +17453134 +1851131830 +327192488 +680625130 +1240769329 +921250118 +2006634070 +445947329 +2034962501 +414374125 +2088510315 +887465888 +1282435071 +734923214 +1189612470 +1175591700 +1801894916 +1913732720 +512921035 +1296363252 +701833696 +1708578399 +1062861590 +1869720219 +2143906423 +1776630831 +773252536 +1362749923 +1095930941 +516415111 +1774631569 +1113384075 +220063293 +2101824057 +1794009206 +1460832623 +875590527 +1653159628 +1906779952 +763069380 +2067533754 +1847806620 +1650535268 +1202485177 +435246186 +692664090 +230593229 +89657454 +458913163 +743514265 +1386020707 +1160746859 +304609016 +301398649 +882983430 +301031791 +2078029481 +1656235967 +1663781714 +1026476774 +25167430 +1290929635 +2139860849 +245230723 +1245270044 +1786386407 +1706063346 +2120860571 +1292062388 +1465359651 +736446304 +1212112494 +1165682623 +239497924 +267114023 +1600928809 +932162015 +497707252 +1690586263 +1391075178 +1241221517 +929123322 +404338389 +1545830533 +1230521972 +1287321820 +1846862325 +1161067805 +796074139 +1363160391 +40060931 +821241569 +506606379 +32438132 +1066472292 +1751876423 +1818824540 +625051991 +1725253347 +963403280 +2090411642 +314216003 +28032126 +1108610617 +553713927 +295146149 +562055778 +1485875942 +792853401 +105158393 +729467472 +2034074919 +1034281716 +1133805862 +1432421804 +117320040 +273644034 +1131800481 +1278387845 +1069718173 +347477225 +1318448776 +1890959742 +854083604 +1350886908 +809948386 +458476379 +1022227800 +1435000377 +36246078 +1985631080 +1377928371 +350462081 +2013663206 +339055340 +904176009 +161325707 +901111118 +242568303 +954179109 +1006269512 +972035776 +840770380 +2040551228 +2105841638 +125708536 +10387620 +232002024 +1257509018 +1288775465 +1301720197 +1604986243 +459740593 +1045196291 +311586199 +1810627501 +1855144677 +770062578 +685371654 +1142661407 +806308657 +523519086 +373106130 +1156770738 +389698645 +712161471 +2060946747 +551024352 +1613272589 +156031403 +1505203461 +472058453 +1128067179 +198490193 +365126033 +1086425169 +324198730 +375513653 +1318427193 +1581707748 +1664289118 +472663742 +1039210343 +2124029711 +1517860033 +1350796542 +1787173565 +1225521062 +2120859120 +325061571 +220698821 +779684129 +848580657 +593804952 +1936454868 +1238279302 +1305966423 +1849917967 +1789303655 +771755364 +2005949370 +1147023468 +1243813818 +986532901 +1345513662 +1608939851 +2072958070 +1669712392 +1984453505 +1243901615 +1103936492 +1501258975 +1716565357 +2143146835 +1477805039 +1086941742 +1346459729 +1117494956 +164979157 +1319835201 +1442556527 +385677978 +2099519331 +143653536 +979482930 +1888490551 +1381932839 +137965705 +1590924870 +1023752846 +909721070 +1449390593 +23292666 +6051240 +288439846 +1368806328 +1614991091 +213914269 +891035072 +1451960948 +1457815884 +1994971564 +805736276 +1026897594 +1990634751 +136057667 +2113839336 +1189610832 +1253552623 +131334845 +361962386 +548625502 +517012824 +313998069 +692279038 +1496495754 +55004972 +2074211877 +1634461460 +1645929842 +950481075 +396698882 +947836787 +973773742 +402750122 +1236276634 +195096422 +2017741213 +1450190903 +1086131495 +1322218514 +760523139 +933619411 +2127954790 +1787420733 +776770515 +116528809 +1753776422 +1966381347 +1370081432 +1885111267 +180860085 +1918706934 +254640443 +494858154 +463502324 +1751136198 +549863126 +390230554 +1238114010 +48309321 +1340711629 +1634812892 +996146108 +167001723 +2037563014 +84939094 +362098146 +1907820579 +1535129997 +1448229641 +1082555445 +148169489 +234365404 +1063026587 +1935590222 +1011135919 +1179555396 +1541882996 +830033619 +402153180 +1279510616 +1010893704 +173376466 +1534151059 +1505751859 +636878791 +1137803609 +2055614985 +1027109345 +228433971 +2103924306 +220337326 +1863246863 +952586767 +387339050 +1753326229 +1037525861 +749437196 +1513663161 +425172211 +50183189 +448734958 +573341700 +284548593 +1511761546 +361448274 +1295684513 +543833294 +1903331271 +2125718132 +945986475 +1035358239 +989128188 +1119362941 +422025650 +347396399 +1756241732 +1559829260 +255527737 +635867429 +1788263231 +211968395 +856204756 +1504026447 +1164555162 +1243543806 +1109869028 +54597376 +1992981002 +476048541 +479769587 +2043164191 +924783500 +1053111287 +180229136 +289061398 +1414559561 +1475913649 +832894692 +1170407184 +1454148133 +1778881167 +58281775 +295792674 +750760461 +480307426 +643189073 +359518545 +2040136686 +898716810 +995385975 +1680916269 +1110685206 +1851590731 +1037459068 +127756720 +947650889 +2147328097 +182354096 +793148243 +475892990 +662123683 +688828786 +1400676490 +1715234970 +869057922 +1689737888 +982310884 +197487924 +375148933 +5234420 +1651636057 +6546452 +63516196 +1947428731 +757306913 +543823622 +443134157 +1116825459 +436476660 +1341850967 +2112211434 +2117392929 +305052525 +1816318517 +1007368350 +432809246 +616485758 +1007212799 +615163342 +1409634001 +1483105789 +1277287026 +2098462787 +736298632 +845038348 +820037061 +278552872 +1827349232 +1017524985 +653701805 +1832583653 +521677395 +660248258 +1896099849 +321622478 +1417555171 +292439823 +764756635 +386896982 +728916483 +2106607603 +351624768 +698825764 +264176480 +20459637 +1706194114 +696985726 +636945395 +565923265 +1312149069 +2046579396 +2049029055 +441952447 +1997558535 +637844039 +1286990795 +670111949 +916396911 +966856380 +1687636934 +1570098717 +651956385 +61830681 +82863327 +400572586 +383453160 +1500418498 +693012409 +1148209795 +1887315481 +1421928892 +1107333750 +91456601 +2120754656 +1371510231 +111916239 +1679465123 +2068495957 +748861634 +97904740 +1233161378 +647957383 +2146933795 +1675113825 +498032270 +637294186 +814620973 +1168144219 +1553691098 +1781477353 +708297506 +976306167 +285950090 +770128187 +1059169494 +686522676 +1153581347 +412104344 +1379535085 +154307495 +151936177 +653980329 +1261641245 +243392779 +627251337 +485667828 +355309018 +159232812 +406680138 +1104170652 +257137553 +1639841516 +1752128035 +256587700 +1167471694 +102676658 +893881887 +1982092667 +1270820877 +300089337 +1616086372 +1979118383 +1276395504 +1902036462 +601762923 +188081350 +441075490 +1755344270 +600185694 +1820610575 +1909651765 +752121872 +327107256 +1023809363 +995514651 +954358593 +1509477191 +1350823669 +1113591406 +1916157329 +307510673 +1370728959 +1408515198 +2059638709 +1627316659 +428503244 +14831719 +373714898 +263112263 +1285652596 +673804235 +1879198635 +1117287332 +1950199739 +1633751449 +1719050255 +2138281089 +2074826939 +1326910877 +590983136 +1747953866 +1089078995 +1343105008 +2075061122 +2112888358 +191136011 +881936067 +1474881901 +1541959680 +1995527473 +1243555583 +1849470353 +1218772784 +504587133 +1761625414 +698605796 +933090377 +1776457133 +1072320694 +1196202640 +914626082 +1746124930 +927917627 +2031913414 +1548841021 +414185428 +1603480021 +1539638463 +341528719 +782907250 +2130621599 +2089482585 +1871986245 +1326242959 +2017060059 +1837390955 +1517378970 +751512478 +1164789209 +911855002 +599556304 +260861144 +613841707 +1818329088 +765448277 +227983474 +369451236 +1698538654 +2004440607 +1441771931 +747257646 +771583041 +1040413213 +1675175273 +656012807 +441770586 +2089360701 +112009180 +1981409049 +283405772 +894916431 +1964547000 +225404709 +619419028 +1143306311 +94981120 +309326336 +513201633 +846493598 +1474115545 +1425056635 +1446049902 +1734976689 +2038898343 +1116895343 +352941318 +119398169 +1486346579 +2051479972 +2123838776 +780634862 +651253970 +747938170 +1821048075 +178945595 +1403950977 +115335014 +120822648 +1515960158 +2096744063 +404228420 +263392941 +1913807416 +629633129 +882811969 +909630079 +724614249 +1192138305 +1422831713 +1571107847 +518770202 +700404700 +869674102 +106263243 +591819395 +1986569445 +459204561 +711217564 +1325432376 +363200885 +687572693 +2106067239 +1014454855 +1435510863 +1779631666 +1193400450 +691978192 +1894966680 +1314223098 +60454702 +1844227096 +1718451518 +323847643 +1610550864 +200600999 +1206659613 +372697295 +925215248 +251314270 +1795529008 +348839448 +770084473 +348450061 +1218513550 +876347716 +940269456 +1057599347 +1335552278 +1651487021 +235548075 +1698753163 +191576066 +194131666 +565724371 +1627086929 +1973763333 +1759124821 +171581473 +1721246365 +925864272 +232036176 +1417989813 +496832142 +555883819 +881057029 +697433142 +1762543432 +1253754325 +1622648390 +2013857703 +901799685 +1971487838 +636458528 +1250249746 +1042517740 +1512806244 +43035555 +2100117087 +700874874 +1694522576 +188181515 +252144390 +1886098642 +382313181 +817868761 +1365701923 +208592866 +429509934 +1537283396 +1929839232 +1355374206 +1769319572 +1200345397 +1852206349 +177719744 +2081402427 +402155843 +1940263176 +1187673104 +2024804233 +1806637231 +2089472789 +1848808424 +295612111 +1192238888 +743842516 +1808418356 +1235274443 +696475956 +361809582 +782313371 +884657471 +613953972 +520928365 +1266970652 +1431822733 +1886630288 +1475563519 +1861332668 +1276430036 +1257919103 +1069223226 +898265961 +310780852 +773945927 +1075985705 +244699631 +1176101770 +868765233 +1432372735 +1053422356 +527918817 +1374361877 +754747132 +823530928 +419117117 +1498589648 +484465636 +1654391560 +47581956 +846275219 +289221283 +932239427 +1460229191 +810149648 +51726432 +744568277 +549296288 +1527289951 +458417297 +1825726324 +637725406 +1527640523 +576508637 +948506258 +154102803 +1652494342 +1193205890 +1330204573 +373775928 +478094977 +236143281 +901694745 +1852456854 +990890413 +1725225673 +124090323 +341996414 +62207662 +1778481883 +389578370 +908482881 +2067703166 +1321817798 +221228424 +730369166 +1373544230 +965796701 +1279665454 +753350533 +1424213998 +957908131 +1391075939 +804370874 +1534416768 +192098549 +958473677 +1039427463 +1385304439 +141194602 +1413203391 +1863399417 +377337884 +167414488 +1568372623 +1368228297 +1892640161 +1692462947 +1710224711 +1954847823 +1323461182 +2099803082 +715847056 +1243680701 +1274137232 +937075481 +1974049867 +500197814 +1902872182 +1106231674 +1253548347 +1179602533 +2064139805 +497140638 +1983973407 +1451072925 +689239187 +794963436 +343016740 +2074543627 +936158038 +1756220131 +1790459396 +1313495922 +1923634619 +1211348371 +534240572 +1668791133 +756327670 +96981635 +1476155308 +2079788853 +49301069 +44518717 +1175985906 +1323438301 +981594198 +1002552125 +1823636115 +736982732 +2108783799 +929700814 +1916585265 +2025439956 +1426841452 +1753075024 +1329029234 +2116080640 +400554812 +1672045974 +2043140619 +1336712851 +1280782458 +1686116367 +502725125 +1056933429 +749981090 +1036965697 +578240914 +1506308761 +1133947333 +2054396223 +1438613966 +1183248402 +2098914940 +467116224 +359203056 +933025490 +1469668349 +35355523 +1670008222 +1430968501 +965056338 +1439109840 +1308924809 +244414142 +1044701216 +490470395 +213011134 +1445256029 +15032722 +108668105 +634485232 +1295815180 +1794784472 +1137210357 +205264961 +397281915 +26692407 +783505876 +1903590676 +1160639740 +690418451 +1194720994 +196404494 +641849743 +1661837218 +555607550 +1574875233 +984021919 +590963074 +1097399807 +267506772 +1556019412 +389025999 +1576431582 +1800433554 +1433727216 +2066901977 +2013444689 +731499597 +2081934699 +2122112794 +1365984829 +1230266231 +1769413619 +355711538 +1435531193 +19211886 +382403945 +71553421 +1922802562 +1543043685 +761971872 +970039908 +1739448180 +1403821615 +484393478 +147572082 +831213200 +1468415397 +738535156 +1928613007 +1735922170 +147070920 +170155359 +1164870104 +1947504475 +1603882575 +1084288433 +1813465516 +187898524 +1018739485 +1788094662 +1553883353 +101522068 +1410024633 +1909594891 +1537053261 +1429236519 +144515189 +1608606682 +1204555433 +1687558874 +223094906 +27111693 +1279523406 +1626916521 +511505171 +1427095489 +310646073 +1979920569 +18146997 +91775433 +1568359091 +165217918 +261930792 +585745547 +2112722393 +1865813367 +1670033980 +1778704261 +2053711891 +541289817 +1419315275 +1460111596 +642811886 +681856261 +1222222839 +32381499 +2111092780 +1366738028 +1640988182 +1168164566 +906813255 +1864083088 +1195276259 +38853013 +1343515962 +1706781431 +1465948502 +1654162035 +1539218352 +1484095500 +1745937468 +960093795 +1649313418 +2007868260 +1545839342 +1614552163 +1726197979 +1068389674 +1245772776 +1632426222 +1609679492 +517604403 +945054170 +105007730 +1199460664 +19793362 +137389229 +1163069797 +1386531390 +1778377411 +183750715 +145860997 +1494976852 +1379026974 +184714011 +691009166 +938324757 +1650662513 +197687553 +330059461 +987274365 +1943625022 +1290153256 +489104135 +1804009634 +688508950 +2103656298 +1382723966 +1756898625 +1201945426 +867666540 +1219094469 +1719549830 +1812720711 +1324102199 +771526846 +1832514073 +1461491428 +1934596643 +1071561815 +1092385192 +2118347358 +1217422813 +439878396 +1349890685 +1402136824 +1130887562 +140731794 +905315689 +1328575115 +470791256 +1892590055 +1124716489 +1760944512 +234210542 +781242476 +301969815 +190383193 +16482794 +2058868440 +1392328619 +884149334 +1130479261 +964394801 +549386397 +307097812 +1735921648 +234416822 +1768589240 +1523034643 +1305978638 +713490784 +1493898354 +375917803 +1153369180 +696305391 +1778054627 +136773094 +837037185 +535886668 +1465348210 +1307828441 +280993075 +442581051 +921289306 +515203618 +1223823527 +1223259121 +705586811 +1240306321 +1134643913 +2097915430 +2124455656 +117639526 +914826584 +526358405 +424737338 +503264584 +760775228 +45842930 +2026299227 +2066753866 +759333715 +1372713933 +295188021 +1912702895 +2069019324 +2073242648 +2049475990 +758572862 +461645668 +1367340552 +2066401303 +742638744 +1809921603 +840206961 +1257842362 +886261483 +2063466082 +1963429173 +2126567804 +1050626347 +1913860955 +2103539812 +1168265873 +681203891 +482414570 +1593003211 +1184468475 +1243189798 +1638846142 +1063284055 +1162460016 +250696209 +288514340 +1457648037 +15915456 +210050017 +1383407037 +2065391446 +968622879 +1845052705 +1285248350 +887540534 +440207801 +947686306 +1727747496 +1698050163 +1833947789 +1643729930 +1513995688 +1813031945 +546872630 +1280372996 +1769088110 +1715138503 +1961576887 +104019032 +1160658067 +998561715 +1347208830 +652020561 +2061845770 +362185198 +902716770 +202876462 +1819833235 +918632226 +412926479 +1055756624 +836540025 +1381549358 +753325681 +2121788375 +121606245 +1193533483 +921991033 +1849353741 +744099998 +608455174 +1345600023 +110612039 +274003472 +1892472653 +1390985035 +2043091582 +1460127509 +1205078274 +2147110614 +473301928 +56156341 +1346835796 +1125322489 +2118002111 +1709020994 +2028039259 +173394926 +1381370581 +799187837 +586321405 +289643557 +1635727862 +1967870764 +1042969238 +1610032590 +2089477009 +89019073 +384539975 +1791347102 +833119072 +992995150 +989463477 +943731111 +1266998622 +734452483 +187232498 +1162606556 +47096344 +1392310772 +1162233522 +520398272 +1448467114 +361585670 +1645720761 +1418985577 +2070606664 +1526276372 +1592380503 +1304493597 +177980561 +31218261 +1594137154 +1813708424 +1999089025 +489622744 +1276257366 +1941082386 +578641818 +1660797341 +1584945840 +1411760890 +506308843 +426925669 +208008353 +1773307465 +1161378152 +395240851 +788430373 +1208474496 +1787551623 +1950663895 +1728872768 +1088535089 +164765917 +1227109881 +360037019 +87888933 +605902605 +1952417522 +1392382530 +783883167 +1983635783 +839036036 +450107943 +1835241160 +1328658781 +1726365309 +1628839898 +1907300599 +1239679002 +1066302090 +1171577841 +1745987846 +1493227760 +1379586194 +1371811663 +507122264 +1774827045 +12758389 +1715596761 +1414895020 +1963422284 +1296985881 +355946462 +2128188202 +376612115 +715983481 +68593487 +982514720 +520917355 +1460976018 +1766397887 +357069491 +152528406 +69022182 +44827003 +1481187187 +1795387491 +1673666902 +1241004138 +887582846 +592485344 +265098331 +486087044 +2085713104 +1644684525 +1857898707 +445351721 +1272027922 +1870657096 +13464834 +539439295 +1686595733 +1310450715 +895385757 +1667300287 +1687062830 +1611369238 +1735893774 +522093903 +2132286593 +1049386144 +141008142 +341872436 +1201914551 +210030325 +386699440 +535618090 +2005417816 +2060366342 +1776622229 +745517014 +505368038 +2041720560 +1231604058 +443597495 +1538921438 +942019118 +888949216 +663465712 +665192566 +902414050 +1202905007 +204304651 +65381117 +2098290764 +1871604938 +1752443948 +1562176354 +1460015065 +127054203 +1546979300 +361917561 +268062345 +1888851736 +1563832112 +478092670 +128067528 +2099450203 +336026839 +40950222 +1728588784 +1081543853 +546318261 +1622825696 +165664264 +989915756 +1014263486 +1107683382 +1878864972 +1677729199 +1772875948 +633795374 +733150558 +1977180600 +699176491 +683957675 +1701301890 +304136791 +98650381 +1013833307 +431190994 +1645629681 +1375750869 +699253340 +1386997770 +792099333 +1177346010 +1515065298 +744065888 +1513372849 +1556015521 +325171024 +447433055 +2102333782 +1947996721 +613097319 +944765890 +814776559 +1720780701 +676147214 +345022110 +1346173001 +1309942588 +1078172669 +1175869953 +2009119079 +1762130344 +729688196 +165772223 +1860780725 +1743521503 +596963217 +1358926759 +971788724 +1296216557 +598440881 +1763888058 +326078920 +2113506179 +360470298 +1839451769 +1522038052 +685641323 +139401176 +1476888186 +486154396 +752498495 +274170428 +1300930955 +325795548 +950317642 +1645953066 +1671968550 +112776582 +576642087 +700354855 +2121895662 +191288783 +1430043051 +140184237 +2052069508 +1026080907 +737147454 +1263512619 +1997869631 +2033364012 +1861953500 +1614274041 +211959284 +1827976032 +1974744340 +2051411053 +1202530436 +512902015 +43328582 +531934975 +999056411 +795827077 +806105403 +152503718 +1121622626 +1756423046 +1798456784 +646107528 +1869199628 +227615223 +1346462383 +1843611642 +418904006 +629021787 +1983795879 +323489867 +1655102694 +573459686 +1587002486 +1505488677 +459340050 +1301472339 +972279071 +671299334 +981964723 +799539763 +575226739 +37011511 +1312441778 +618555321 +568946486 +164014541 +1414382399 +1375051890 +316518259 +388521377 +983991288 +2114975044 +1034628905 +705707268 +195106619 +233607640 +401835263 +614010626 +862629427 +238147494 +937500493 +370248473 +811607180 +377019331 +1875737151 +1270947230 +1678491670 +700532574 +1942246564 +512972745 +1500072337 +369989656 +549984257 +665030467 +988544977 +1118930743 +829045008 +255443728 +346498985 +1145563267 +643965105 +1330490273 +1113054663 +1678594010 +2036197542 +1308161283 +1912201651 +290549157 +1922171909 +627347430 +528696651 +712188754 +997595904 +1340303832 +1089208085 +725849407 +463767414 +620216108 +1426381981 +258530331 +1133188853 +778970670 +628519987 +1683173110 +1444001137 +1617064964 +654620206 +125562497 +1872508693 +1001119191 +1271125764 +368990150 +184125817 +236696780 +2047584161 +72839711 +1544858063 +1812302164 +363388868 +1319546324 +292165946 +892085519 +2031735078 +1289761850 +84905703 +973459515 +2015611257 +548673118 +1593675623 +1294509590 +807203449 +579380829 +2073480260 +1435723436 +115070291 +1369997749 +905304752 +769690497 +1495560246 +630329797 +1770809689 +619202363 +999319948 +1954935506 +855899143 +899420461 +2027775217 +253273558 +564238977 +243680437 +1572819882 +856404923 +1135765956 +1457071312 +2146166774 +1220671660 +283047179 +2014294383 +1769344778 +1876722803 +1161320326 +429064579 +308619984 +1087316938 +1864788015 +423690275 +309831040 +622609119 +1193380773 +1805391286 +1252938917 +816706814 +277110001 +104775217 +624158672 +1133009144 +1004195678 +504450241 +1386282702 +1568434655 +748130678 +811618936 +277355930 +1883896634 +121206600 +276039056 +957084646 +404253780 +142849792 +578945776 +133492935 +1304170118 +1008010355 +442112919 +244003408 +725314722 +865803194 +553834448 +1347923842 +2059183967 +211742087 +453379111 +728407133 +488852088 +558154328 +1352565805 +1621861233 +1562350006 +1857016046 +860660287 +983301013 +457663076 +1672279224 +1260656943 +194076063 +1793485824 +1536696000 +1151160709 +50255956 +1679545792 +1730106486 +183748891 +836232262 +590633193 +625861810 +1080235670 +1315947916 +1491665005 +1634070119 +516388110 +1403365324 +1845812206 +969767221 +2131772458 +187180646 +1527921549 +1336854615 +1809041879 +942787907 +1046387014 +522218519 +1926088920 +1504050090 +47014095 +1039262215 +1698126153 +1840499919 +428474567 +701803215 +1890755876 +2108020359 +284426053 +2074504767 +796768973 +875059246 +552882930 +1877004644 +43523514 +2044547935 +1363591115 +559911624 +1300429611 +1061919673 +1529678845 +1284718421 +1249100319 +910116746 +474089389 +910658551 +1852904653 +1520476403 +1432877070 +1631509925 +877042845 +1479891165 +523288493 +427685351 +1172907436 +951763060 +1129488566 +916179664 +912299772 +1413914619 +843200784 +1709068745 +141490217 +1396083714 +1438589741 +185013732 +1293148001 +654697208 +744925356 +446093964 +1716616881 +127120554 +1730812386 +818233553 +1037237300 +57418127 +1728892104 +742658306 +1577894530 +1014285526 +226684583 +307453727 +346693043 +749973076 +735139078 +1519600479 +1701736137 +1864627644 +288296496 +466552261 +1131058615 +1131497280 +28137358 +1272548833 +380097346 +1466727100 +1457562565 +1673245347 +2121424308 +55004273 +2119339311 +1690557542 +182124827 +1702668049 +361307447 +1219362128 +1760086176 +2090199551 +1962020434 +1190497058 +957001429 +41221369 +1497950786 +1303694472 +791194446 +85606216 +675811303 +345446935 +1950233861 +964107799 +811999196 +933808828 +2095605079 +840136554 +58874013 +328218777 +159380006 +1516436578 +2001464124 +133320667 +1571440852 +1973319788 +1823878209 +1753565679 +1528504189 +37702008 +825444159 +1141106718 +2127901559 +639980945 +184120128 +937419340 +681202315 +1682070914 +93630164 +1472396761 +1767677131 +769441467 +1817843696 +1570427344 +1733549267 +482359244 +356752524 +1681670698 +1322495798 +415626538 +2009889476 +1481875805 +1932063116 +1863869952 +1615196472 +1356020320 +1689706092 +1291591033 +962102352 +1070726634 +1329293041 +1787546511 +64349704 +1309710952 +280043809 +248469832 +99646644 +961246124 +1930540747 +193276808 +286159237 +1550734230 +962718275 +2104002933 +973677926 +548783894 +438878529 +1330430450 +82970945 +1761374327 +1746056988 +2092860421 +1095766484 +1530636457 +1809246725 +563479308 +739173129 +1351469170 +1855070341 +1701275481 +274712156 +1036879734 +1341338345 +339061860 +199107038 +1621382154 +587531692 +298753682 +435144630 +370588791 +492030490 +721303867 +1921323021 +1454748766 +677823152 +747517299 +2003532660 +1116701681 +2077947750 +2086503605 +730592360 +1676521090 +2031880378 +1826358845 +1059673899 +1693643456 +242354505 +1798847029 +897628978 +2097424847 +1352638862 +1172341134 +986820933 +546493559 +1511402994 +1185927972 +20392065 +2098934686 +1484681654 +455536695 +322039830 +1976712145 +1176840562 +95879203 +1283977263 +1854663714 +843396503 +1140026275 +823881747 +773860605 +1079046233 +1554474108 +302898047 +963442963 +1233349305 +1362571947 +509602771 +1475703810 +1013935328 +1407231749 +1425645009 +219090542 +432089235 +264982295 +765584102 +1943492229 +1450910267 +785976167 +1894943268 +788108273 +1241512863 +69499450 +617336770 +270869777 +165378653 +1901314033 +2125533492 +1008775156 +893856661 +801931591 +1782635761 +1972902894 +208922051 +2085533809 +788862209 +1442271356 +1300622108 +1298464981 +770491519 +167073788 +558213082 +48652880 +386164330 +990302318 +313635175 +1151748432 +786310899 +1764545442 +1937724600 +533770519 +405170068 +1031753815 +603269969 +1022506838 +1302623592 +768648623 +776337224 +1280673436 +1777423779 +1670193885 +2082605028 +1412575893 +1495613131 +144043431 +1350626054 +136991692 +1586314788 +503764514 +1435456673 +209322659 +670838302 +1993669756 +257975539 +1057002632 +836488426 +571610715 +61267417 +1622799325 +188672509 +1998992017 +9086197 +593842577 +883262184 +612356166 +1616349416 +38402128 +1381004789 +245202992 +1319075565 +1010944921 +1915396877 +1254196945 +276037166 +1263526360 +1398240376 +1626663220 +1400518052 +837071516 +2130427734 +688491078 +1046394175 +653782388 +534677186 +1304369715 +1710785020 +1371165612 +1875980430 +1772052437 +846481289 +2064652939 +1623560806 +855567486 +511011869 +359339342 +1467923653 +2127361285 +397741471 +701444794 +225080629 +1716817036 +1712389715 +2140477506 +823530333 +1988426881 +1256520218 +74287061 +1467606453 +509554622 +911358578 +1450550539 +1198045700 +1957752753 +2104332927 +1732722886 +1114638820 +1667634300 +956404850 +843135602 +1292203089 +1802886140 +760304894 +768280248 +510969978 +1271316763 +1127619590 +1978893631 +1251194400 +1525361061 +532854778 +1476275029 +1094694449 +97760845 +1469268887 +1918224782 +2086187727 +578305457 +1992511844 +1406310532 +1087860079 +756386774 +709377424 +138422132 +566655879 +666226703 +1871145018 +1681294700 +186377355 +680066221 +376946654 +1478580445 +335468713 +1137251548 +99377045 +846438691 +261084663 +1226996635 +677848675 +1512279063 +604874049 +1210703453 +841070444 +1699568498 +1308464298 +162855683 +1470309633 +1247168377 +741161140 +1315337829 +505995262 +1829021220 +2071724603 +1215372686 +1967443352 +490896834 +1881599389 +1691104722 +24707886 +2067976745 +223687295 +401654541 +1399073542 +559156008 +1538906089 +1498450587 +1405594700 +1799990753 +577963574 +2083443375 +1164786168 +1182837623 +1146663180 +2005856613 +734922474 +307643830 +21228648 +57748459 +1554812208 +762389789 +1373086288 +2060807470 +443927361 +1297327243 +1128696508 +263887065 +1788224077 +862812249 +1954991787 +1812931964 +783305346 +31195435 +67102857 +34895240 +590351443 +1606008946 +1533345827 +1995946143 +1258516051 +2111309402 +1931905870 +275818572 +1146663377 +931085402 +134191537 +1881585851 +1238729233 +155420185 +1939334310 +646057793 +917809974 +1164936950 +559381615 +1361737335 +314780545 +1688078123 +1625624400 +2103004623 +403406724 +1433132540 +1768452939 +1186712071 +1464327975 +1835555796 +1221607311 +2054679418 +1294081094 +607469491 +1903141914 +405113498 +571295245 +1687564136 +680932070 +1717958622 +471165891 +815123607 +1452060826 +1709895124 +970543792 +1243911488 +208469269 +1888353767 +261364791 +767850884 +1102607454 +576145336 +308445359 +580748207 +531666311 +711852083 +2013880747 +152635602 +1898564154 +1330725074 +1988191398 +972687818 +1237920844 +1134788845 +1580157309 +993579110 +1539902343 +3968906 +533659599 +73350765 +1721927528 +1004825490 +888474372 +1026504706 +567236966 +1859018164 +122932547 +775706235 +1599888283 +384297338 +1543557119 +555012090 +960442674 +1852002478 +1135760297 +1492108986 +416370913 +1002157396 +1644744588 +167451420 +185398822 +1485452339 +1140139238 +1423319666 +472757536 +572812899 +269415129 +2012659879 +576781805 +803074728 +2086010644 +151225685 +1807900218 +827001368 +1177730392 +227653536 +538535884 +1300662939 +1003359771 +2138424168 +1684960277 +399433242 +545952610 +497919303 +103952072 +1681712907 +1990028289 +520322985 +536386655 +1487289230 +687774405 +721785477 +825257921 +1827913643 +2145105143 +1298015457 +253242894 +267036624 +1163191688 +830024699 +1070111352 +1101718684 +981250385 +730527922 +1928720052 +11497129 +958181458 +319772288 +1312160068 +1961541229 +310712808 +849636697 +213490823 +856665418 +1347556000 +317442895 +390894677 +1190100642 +837765881 +927281332 +529906224 +1525540286 +1649066809 +1355164145 +1205970282 +1646688305 +505695954 +1459213176 +1913724929 +1668887642 +141754228 +836352634 +623122678 +1123004613 +1566880556 +404359082 +1134501742 +377578367 +724131370 +299178162 +191635948 +1034844179 +1148814859 +405126772 +1891509597 +348887211 +722569667 +134920627 +1538987853 +1560335548 +1062201959 +2068894077 +938392187 +563785121 +1276574574 +2144362469 +62989778 +1782270528 +1456091997 +1976714707 +1303674522 +1597846225 +665583693 +1926797200 +573367190 +84980602 +183672634 +1707868932 +462558969 +907804005 +2007047094 +654194917 +1942648184 +1008378305 +1059321689 +1686674133 +1357265517 +1781891357 +1821594760 +748769722 +1194743257 +736313072 +670180152 +2133135444 +1300098193 +1946754726 +2130014265 +1363087971 +1581541607 +1438622615 +1192319030 +737732481 +888985192 +1857902724 +517046034 +1462352383 +1942883326 +700718668 +1022737667 +257958647 +1608522673 +882301114 +912153564 +1403687209 +1890679419 +1971475254 +942877695 +1100461288 +1605882963 +616988807 +1849231011 +653142572 +1353301879 +371927515 +638794369 +505916424 +171198593 +621324986 +1869004395 +1752740200 +2059947601 +913839778 +342989034 +801449146 +624258854 +860035068 +116317881 +419658532 +1560753736 +1139055548 +677617179 +1021792762 +2021356662 +1589770743 +277996323 +1764552434 +1413762349 +1220874018 +717530074 +872161664 +1837862826 +419277437 +1525304237 +1043681057 +791204952 +16614958 +1549597482 +962403546 +637939944 +1271118229 +567660098 +550403898 +37474359 +910649132 +1351853044 +661733213 +1770684200 +1468170925 +1081391745 +1183954289 +459742825 +1759008924 +58263403 +333615840 +1201296020 +336259726 +2098168274 +467574721 +1557133745 +668214700 +1339736386 +1247512923 +1087492138 +717556975 +143710332 +1878697090 +734171933 +1693307814 +693616988 +1372111877 +816942396 +1261277087 +1922515775 +854416755 +24442571 +1126885171 +1516149969 +1795126772 +447572448 +450058066 +831597413 +907315274 +61583343 +889860816 +1240931114 +1262879363 +1226120542 +1191615740 +1730454084 +635770639 +1859830440 +922706822 +1883283562 +799838930 +1640263797 +2026993895 +531052373 +226952082 +1572818061 +1224669361 +1599063960 +242276809 +338462800 +1374096087 +1096693565 +362905372 +353497611 +465359886 +10548496 +801070059 +915417952 +842145909 +1708385333 +977001295 +1732006725 +801832799 +92397010 +810643619 +1993448539 +1822851095 +1446414259 +1705795332 +598074269 +1182214173 +358150614 +90854419 +1061724420 +889202987 +317806501 +487058834 +2113872349 +1916870461 +729335643 +304851501 +1143482901 +1826029208 +667756873 +1496980512 +143905446 +678305369 +150566923 +1059323399 +1520451278 +1858952257 +2036324694 +1104974355 +513301408 +2128721705 +1915617975 +359266300 +1804089152 +1214548586 +2065061632 +254679773 +249279111 +275728598 +345534192 +1311003532 +1164931586 +663340694 +1798062366 +1131320287 +432727507 +379914361 +1436171788 +1576210408 +58459922 +2103928662 +925707272 +202365368 +634750383 +1076274196 +1261688767 +7718014 +787742805 +1150529814 +1112692369 +1301044213 +1131767871 +880826696 +1660310513 +788373375 +2095375282 +1577888497 +1043053148 +197170746 +1853617096 +1388587341 +1508174278 +871065034 +2051928035 +1158752996 +2002385321 +337171894 +1538667357 +1291073461 +1913382303 +1597127279 +1247518475 +691605927 +1799492648 +1882268859 +1767880123 +913697767 +1889986873 +408139280 +2064227581 +855195594 +1709183494 +1048511804 +1736022291 +1222010359 +1836885179 +1683913925 +652415209 +732454680 +1881084671 +358548657 +2121042021 +1241775301 +1229613691 +2025486408 +253044649 +1084515364 +215174654 +1791712007 +228105177 +2128556957 +1241355638 +1475623653 +672679237 +893364638 +1210408864 +293075712 +1807062406 +952912089 +701214993 +1723806339 +1808107683 +262914839 +624834496 +1396646326 +1484925198 +314236027 +933076604 +2137340407 +1046690707 +666677627 +348405416 +1020249080 +1908452929 +1578019107 +898251840 +14013930 +515050823 +1113426495 +1805725937 +743156001 +1094499804 +899597928 +71296006 +1767179041 +1792962566 +1281704870 +2060254754 +1452541324 +87133311 +613986099 +1028864016 +1895240994 +876900938 +1653698512 +1144403673 +214342488 +1967934539 +2077480277 +204199248 +867141599 +596674256 +552604664 +1887390679 +357643537 +2130623772 +638158872 +371657468 +498190947 +1751585367 +29899757 +1241346948 +698601523 +929497685 +1312642954 +318296917 +574976604 +446864176 +231068023 +2027517928 +533997487 +845054122 +908898296 +281754834 +1721955060 +415113160 +1426158507 +1936297548 +235564052 +1356155136 +2140496796 +1102705651 +1952829392 +545617813 +842612682 +162989282 +528757937 +1480771554 +534646750 +1026948884 +1084873273 +564546507 +120812185 +1783474797 +1494044193 +1433455139 +2101771714 +2069020797 +1880319316 +185356089 +1949055077 +266833155 +1030410211 +710469726 +548587989 +604881623 +1125582886 +1974746496 +393695523 +1361146938 +1183417984 +386708672 +316368941 +988763729 +932326485 +1158981624 +1151753011 +1461084422 +492269530 +1686399761 +340549658 +1577142804 +103462620 +461361843 +1213133953 +1597506813 +1894816983 +1167422019 +1519043962 +1627652651 +1352778108 +1320615392 +1894485806 +235704671 +2031085118 +295590148 +840586294 +1009184356 +122852996 +1234281817 +222847647 +1306270981 +1620990489 +539216588 +147551062 +405833326 +1698198212 +1299304073 +1866917748 +42984095 +838220186 +59983759 +1620126899 +941682806 +521345602 +685777204 +391705972 +268678937 +1853199223 +1910749934 +1896331588 +1058493683 +1083881678 +1643333747 +1294198354 +967483148 +1938923895 +2134784648 +1976667505 +2061776891 +1221582817 +52031504 +1220564224 +695089659 +591248092 +1368115286 +1100922985 +141962657 +519935711 +820357086 +184946752 +1358155897 +880340845 +1805073651 +152355056 +1401686447 +343367207 +544061028 +1670365385 +49082782 +307327314 +1419213325 +1107576465 +1391208993 +915063424 +254291171 +211208493 +706503671 +241592171 +40392350 +620796915 +1463174988 +92423854 +1841361139 +10780999 +683671947 +1061992778 +1111703985 +825634604 +1581928489 +1932061071 +1010581356 +792600739 +664918268 +668171359 +944955795 +2066604715 +1011538566 +1489016823 +1589486452 +1060621348 +1796344137 +861216130 +20714165 +1040069482 +1776279554 +275005336 +1251277976 +335299578 +516597507 +1291670326 +956096493 +1979772495 +1384094181 +649973984 +1990553495 +2067766128 +1711966762 +954773832 +745917084 +1146411604 +739351255 +1756498440 +1939012343 +1404269523 +277186151 +736484490 +1323390590 +1288724717 +78017665 +765393395 +201862417 +1874361802 +1626609525 +222576582 +766947637 +1255405431 +497581918 +2018225613 +1590705009 +1014179425 +1162412291 +399317854 +846468272 +399022824 +1049291839 +689538119 +319305304 +613774953 +1644311951 +1065222388 +1760186557 +236179558 +674237180 +1551715252 +1640449081 +951423331 +140716094 +816356024 +92664400 +218733759 +1581749419 +294526817 +2093095562 +1060875296 +517103399 +712559551 +168797079 +1014685317 +583301516 +1759502089 +2028864742 +1745713807 +11336295 +727849367 +2144736632 +1060628134 +1417387486 +316558288 +1674403088 +914215790 +1381780677 +1287105997 +1150395348 +2056017857 +691337602 +643360782 +859957541 +832053696 +1459716806 +952621941 +1050787456 +893982577 +1247148759 +996399370 +1954857873 +1764252158 +1708958921 +2123654952 +631453828 +144776789 +1735673393 +512834922 +1890490596 +1747009689 +1240684289 +1887743580 +660154175 +510588128 +56818221 +187073615 +1424803918 +1438598898 +1474179613 +427715618 +1347133107 +18033567 +1071076400 +59607000 +850087263 +383309558 +1012228942 +1900874719 +1277292135 +111894053 +749790441 +1084666360 +1876146211 +311265714 +1060837665 +360116391 +456042503 +649027410 +872951314 +199049452 +248553451 +2113635603 +2086793032 +908707627 +476740083 +2143611253 +1095781242 +1901544001 +1434726503 +422477207 +181775972 +634375963 +440510774 +1252852372 +693982963 +1290598038 +1636161931 +1706211905 +1043989109 +765970418 +1818105958 +1793779551 +1850636779 +1546768522 +2105045265 +763990796 +1906884913 +413604121 +1413018206 +632352579 +612653573 +1661571658 +598504535 +551962957 +422795637 +1075244618 +548090563 +1518576879 +829304972 +1982817066 +1941054087 +1011080944 +469709381 +234081213 +116449668 +1163692345 +1524679251 +1752611599 +722420602 +421184713 +371098370 +393042913 +67480616 +74251501 +1939811435 +25042233 +838242297 +1699212700 +438646354 +103776855 +184081632 +1051299927 +1765348513 +782586167 +1603262885 +40660502 +1857830785 +3869800 +1559237382 +539652109 +1986686866 +1352807821 +1550733053 +308912600 +1586889034 +1667182722 +1472604945 +964084638 +1272310673 +47541899 +1385269351 +1643409043 +440584812 +1452749967 +1717660544 +232912599 +1477792200 +408419193 +1932125300 +1916438555 +512196049 +2116206932 +820254834 +130060914 +751309451 +276034071 +170721417 +461656588 +279903871 +1729958799 +1001308698 +119107090 +935282972 +404558103 +428019690 +374688358 +2071740825 +1900624635 +1338772996 +1196567851 +1948166534 +576558699 +692493246 +241267699 +2029308666 +262670143 +474180298 +1359617219 +671089336 +258821950 +1128572126 +1183285385 +227545234 +1948826960 +1313346300 +978854685 +77377384 +1484067717 +1440511274 +357281255 +1066542868 +294336324 +476388345 +2001825840 +698894427 +904408035 +229030550 +623151605 +657549022 +1567803547 +1819719456 +458231909 +2144362246 +364729054 +699499608 +2026187265 +627399197 +1173679906 +1238320836 +1298488534 +1432501857 +219409314 +334290271 +1660047091 +20752626 +1647636571 +491418129 +98130010 +984220640 +1931929403 +455411266 +2050763508 +78782079 +931799611 +1905105700 +777676506 +1836207647 +2134136251 +1400828111 +346273021 +1554456150 +1073063919 +804504930 +1551334748 +1437792974 +1504004538 +1430038365 +2065192171 +530200797 +520875553 +1216197057 +1962702654 +740284867 +1550487329 +1475266097 +761037494 +1050640252 +1966684226 +859167504 +2034860893 +1751129981 +1314578770 +1938140753 +1829912060 +98894734 +1695762806 +460104919 +1935102381 +1682415409 +1860933030 +133891754 +1089387911 +786513302 +938396685 +493239011 +76822628 +294917575 +1923277377 +2142014799 +825118372 +296669282 +1210728209 +640337378 +1036954150 +613731890 +2115603476 +1797991644 +1664372142 +1934804054 +509675500 +1551749387 +1538450388 +1824254271 +1342406493 +1220878800 +1923149005 +890685651 +1680983719 +1710767738 +425617412 +1394433102 +1844659492 +1515005323 +33462756 +635572529 +2008244334 +110285384 +930490105 +1784038063 +104816535 +1755608477 +2080707346 +1315544744 +248462208 +970177848 +1929276634 +216582036 +620685844 +1446165129 +3902442 +1130361344 +850430868 +1542352830 +807131967 +45353713 +615747983 +582797324 +936039364 +149248054 +146081414 +1361656776 +1543681156 +1990740907 +729178451 +1577143912 +478829788 +589939138 +1687429296 +1409319893 +226493553 +1792245832 +1017444723 +159717251 +960306928 +1265906931 +1129895099 +742099915 +1482488967 +1750580943 +40781396 +1486391409 +733458640 +891212264 +881260592 +1540590607 +936565978 +1497008575 +2123387932 +1872605342 +1646256629 +121985698 +1086778471 +1042454138 +2112726605 +1815956922 +472114402 +444072746 +258412412 +12060051 +1853392639 +484905966 +1804305883 +723353714 +644623217 +617129163 +1989260645 +1774518317 +1359229078 +1324265964 +1377615612 +1400010474 +663173726 +2111074252 +143739091 +1544434318 +1504181212 +1080305069 +893959245 +1480085496 +805426763 +392732226 +1602071194 +1892205234 +1435186364 +1567314152 +1560678509 +1907300767 +2011386898 +1819090921 +1919360818 +1717295889 +156513239 +1576183053 +293165956 +801136457 +45828568 +134942953 +428171126 +1405057647 +1459208918 +1805786738 +657584473 +2122382644 +1769377343 +801323564 +1519333314 +1126074907 +1881628633 +265808911 +458676755 +539571749 +658541137 +2060747949 +284293335 +2093727502 +1480578453 +1844971844 +1853544621 +1344481703 +1516579118 +1625421791 +914293945 +1673092357 +1054121196 +1207459901 +326745166 +1099949764 +1342402854 +754916292 +357523763 +654128124 +413219383 +1015108237 +629027120 +35113078 +1816431801 +876786 +1161187985 +1550576787 +266685697 +1619864740 +2090148536 +925226835 +1533129041 +226958223 +871470689 +866223847 +2071930068 +577531662 +63221902 +1441025538 +55469805 +977515847 +966634247 +1109591001 +37492100 +1293379414 +62057117 +1379894955 +2048295706 +419580881 +2034023079 +314031441 +1434689118 +515566552 +349144519 +1103637271 +516443338 +1510332504 +506730410 +783129036 +982713596 +449395298 +1708355871 +368358990 +676353522 +432342912 +1234582837 +600799942 +1009874574 +1297804739 +2041825480 +1065344379 +127836939 +860976079 +27451732 +165329039 +6871845 +89508849 +1545223994 +2055167552 +509089730 +1431763426 +221715345 +1943778848 +1947329978 +570859865 +899932472 +316289668 +2081192369 +1406662882 +1099418704 +916422318 +1856058181 +660290927 +1284781308 +384928055 +1092633839 +371880497 +985727997 +2102508413 +1669685236 +880069829 +1020369144 +1797522175 +1741045908 +1047820876 +1962851215 +1747917754 +1137329726 +1360591561 +1655601658 +1646419456 +644871339 +1877317003 +1442714657 +444717669 +300693220 +195163481 +761007338 +234401942 +1601826363 +1860426042 +1150824260 +1310400896 +373233322 +288121920 +1695328951 +1465867161 +660002417 +533573300 +1420891927 +182204005 +1413643129 +293777423 +1979726181 +1007205390 +1341598300 +1795093748 +607639496 +331444378 +1008201661 +115757506 +1977863834 +1653073001 +1993074509 +1273094843 +2097790670 +146284082 +1468258324 +711314360 +380686024 +922601040 +424256755 +1531510284 +85518288 +797490077 +1819632204 +1780847240 +115873590 +332150973 +166936892 +1536765517 +514354978 +1580580022 +1830542941 +346597511 +440301764 +1024657593 +2141691259 +1047941260 +1356101971 +1002409273 +1163698766 +1186482157 +507998626 +1009289627 +312093353 +458305648 +1155573709 +1780351677 +1169620009 +1536259733 +555469069 +1593876764 +920286369 +640987358 +243883193 +592434925 +274350950 +359756783 +924585898 +441287842 +1896522301 +1438940877 +2021867864 +1579581594 +1785538388 +314685980 +456755539 +1779746000 +1362627240 +1812857510 +634671625 +378842358 +851856019 +1142670251 +1388131986 +1163949372 +1600975899 +396222047 +796817402 +623112260 +1932481781 +1352286471 +69505376 +705284502 +1993273829 +313388569 +1297719428 +120141131 +673145353 +74821678 +561428974 +422184006 +1513762555 +435813190 +2001765600 +1151817296 +750499171 +311037491 +784079648 +2113126411 +2123895001 +1418751273 +344485122 +828267372 +413937876 +1732617108 +1992216745 +2014913775 +2128839155 +641550499 +490542388 +1913837288 +1993836970 +560047764 +471638143 +1839627152 +873436334 +1769357571 +1959768283 +1546581687 +1844179249 +373713609 +1968765693 +1210458157 +809526800 +1823047645 +214791805 +1560025971 +2134085136 +998871453 +1525668734 +2110496489 +270139078 +1870153856 +791280213 +684076954 +1455287316 +636013310 +551507081 +1436642824 +1277563809 +1042049469 +1202996464 +1123917132 +1602097234 +1674634607 +816060636 +328049920 +1296508530 +628345271 +1874631607 +993204132 +1002058881 +1695913652 +56178641 +1811585681 +1371477649 +270970446 +1224128004 +1358079137 +1269841899 +602313090 +1321091978 +1539980977 +324983299 +2112372191 +76574283 +1780270615 +600901854 +628081364 +1069429791 +1878465663 +1670130834 +124942608 +854899147 +1124744420 +1799577215 +1670959783 +1452794340 +948602098 +151821407 +1179942299 +1941806230 +1153880288 +728372303 +1997984871 +817982321 +2099849952 +121471669 +2042110325 +1310445441 +1391313568 +496939767 +484053771 +783810897 +821923066 +448942314 +860385180 +454710034 +1049844168 +1488466544 +1524139825 +780826184 +1011113730 +1649082433 +1635725331 +2135858150 +1301176001 +1159201467 +1441168842 +102294451 +1311022874 +473627493 +2044100681 +317419514 +1201999796 +1894601904 +1135401835 +1154366100 +2016073573 +1030028512 +317327893 +1259903493 +1526968279 +801381664 +2043714390 +201407698 +1250323979 +756615922 +656117732 +152684499 +97598818 +32773909 +933510683 +1108712549 +1681856343 +421752367 +1097087051 +835548696 +1580953834 +390772246 +937843147 +744493060 +864399739 +834460180 +1061912574 +2066399536 +581578436 +49830761 +1073281988 +450168361 +1079859273 +1390609882 +1710071854 +459343904 +44507898 +1606302596 +660751602 +1294831877 +215434870 +1316869334 +1447516377 +313033688 +1349643244 +233543412 +1421746237 +884015939 +655295779 +371349641 +1719564635 +88765965 +762121887 +509924134 +833259025 +1626521626 +1344384314 +1895171599 +1545437514 +1925962750 +1945002360 +471235855 +228647463 +877377985 +1861845737 +1938719317 +1336721890 +1906353635 +1397538265 +1997473492 +1053701865 +1612973135 +1166859179 +353734594 +1926006823 +369018775 +587278006 +1200269413 +1253034714 +1242573786 +1571619054 +825115701 +1331339751 +186257293 +1335039835 +17115129 +1812778919 +531940501 +1912286728 +1210732786 +310419603 +1709805441 +1681968641 +539067066 +439699778 +1396330730 +330302735 +1776421668 +1155200717 +1727841000 +1626411513 +61418934 +1193330487 +645787044 +415153528 +971853662 +1014805819 +1002431535 +24639427 +120356885 +97521673 +1596258481 +945472586 +1428861424 +1782515774 +133028773 +1445976553 +1447811046 +664969274 +1210779634 +511060184 +975388877 +773101427 +45545177 +1514455943 +1212801205 +1441875907 +1844758678 +841739226 +449592976 +1425116030 +320667091 +511011911 +470962869 +966454135 +926165439 +1442816531 +1981259954 +1928596974 +1467455959 +2101616839 +2026118647 +916230792 +899605777 +1307496424 +551262919 +1032634550 +605989329 +1999073965 +1697603824 +1816768963 +362650501 +525509053 +442386742 +408195678 +2039964996 +1655187948 +1850071585 +1737240026 +349443526 +152180913 +1014872408 +670110617 +663192824 +1485835277 +1636564752 +1589358264 +781168160 +1470341058 +1370471590 +101140471 +1424474249 +1249106590 +1017371264 +176596378 +409119366 +1568634183 +1209230928 +1015108695 +1420224500 +759351104 +684394011 +1782875001 +1284860157 +1126780753 +43587031 +1177341505 +634485053 +1893658616 +767097883 +983928579 +2045839529 +1781970291 +1654039196 +561548706 +1120321920 +1143120300 +3423322 +1901490080 +465977710 +1373894912 +2002630552 +1890451959 +475517854 +872518168 +2067048337 +884637220 +293668703 +1128795617 +1899745916 +1713893203 +1888146721 +436656279 +1349284556 +1025523230 +1563437032 +1392871587 +55381087 +50438438 +1139046555 +822478970 +1034367017 +1037402436 +456965613 +540922566 +1598951142 +1577287533 +1684042866 +1602374464 +1331293966 +2536929 +828785729 +1186440870 +1892988888 +1304303583 +2058959038 +1812553578 +41457156 +205144093 +793865547 +1941203072 +1919037296 +534528621 +230375703 +1120838204 +1560051851 +1793812735 +366226143 +1615432939 +1844251173 +1505272698 +290428261 +731134543 +395191486 +747393875 +1272057109 +1994142629 +177197760 +808616327 +1449033445 +1508491726 +811153256 +130335526 +547448948 +556658497 +1434639110 +458924338 +221728427 +1476096266 +664068431 +1015593974 +1269815690 +435622079 +1550122595 +1500191393 +1556460283 +962690799 +1146520480 +1922686426 +430640090 +843288006 +1280475476 +721068351 +1574422549 +1675666963 +1468462226 +698996010 +1522325944 +1645659987 +1507612337 +823875741 +1006668065 +171281946 +954211268 +1554117014 +727940443 +241366730 +2013041352 +949668870 +1717462996 +529626136 +1965262844 +839795038 +965248215 +1367901792 +192502783 +374224851 +183108943 +1339023263 +149427629 +613749033 +34827621 +1429903106 +1334817384 +1609250170 +958086421 +655795963 +160762532 +332928717 +153972302 +1668374870 +1156804458 +1160640367 +1839656816 +2111015726 +567273733 +420113611 +204898808 +432831438 +1369782481 +1922361804 +962457574 +1187561677 +614673194 +1927705789 +407979821 +807175977 +154446992 +591088764 +2146199241 +303874622 +1204837797 +33543214 +1733777728 +392171534 +1642793385 +544380501 +1047967497 +1803555917 +877309218 +1201939799 +1324447139 +2034113676 +215096518 +1016620307 +1997645755 +782370252 +1436733918 +55060915 +1215201690 +659032751 +1977422720 +30175616 +1846594429 +444612266 +1957881405 +107090602 +1251788244 +2112328398 +698179367 +1250503837 +268719372 +1903017164 +1284047051 +2002497100 +147705050 +779356788 +399393953 +1195672547 +435429058 +1276703171 +250128698 +1759876197 +1163333199 +465225217 +629012857 +1013495306 +1247595469 +2065746775 +1068556222 +315313511 +577295879 +898495294 +345489127 +276406660 +1343107560 +155886884 +383497262 +447412156 +120731634 +1081676629 +1697915993 +389451006 +837210146 +834479397 +244464458 +984915196 +1613836185 +643858411 +33104096 +2049265243 +1920561582 +283232794 +1661657793 +936411134 +748458011 +143187002 +1949906440 +1996053480 +61450129 +870979014 +163883343 +638746008 +1769474308 +509372470 +915152668 +965098221 +665259355 +1298649931 +1412510377 +785990989 +232842912 +962942723 +1175441996 +1070053058 +1797422120 +1419906454 +2054968255 +1263774657 +2063764866 +2088072351 +1165556253 +1836842800 +223821497 +679730398 +625770286 +972279509 +822917400 +428193079 +820849341 +884367529 +1299172093 +984732685 +1523113538 +921162754 +1494105155 +290782558 +1886260975 +11880862 +1589432489 +1151287704 +797871852 +1822275402 +2114230427 +1973313848 +744844812 +1764168899 +1245736654 +652329419 +880459909 +1162017872 +592918122 +2046016162 +851377025 +816739620 +578262912 +1477147311 +1789019129 +1401180312 +1905340390 +462384822 +138064193 +1057028836 +1447117507 +1661177731 +1978191590 +793739015 +1951960290 +1716968917 +805619877 +1393909131 +720772973 +1603491729 +1068700885 +687519753 +1429321929 +1813545698 +304205004 +527574936 +318391469 +1184664913 +1689592808 +911309592 +1083197427 +393486185 +1728049212 +1661460339 +1870633497 +1369584693 +915157003 +1628490239 +1831969515 +1053221197 +538035427 +1131603375 +566915280 +368743369 +1925342390 +371391922 +2085712286 +583478619 +1765301054 +659001612 +39486701 +686518291 +1346521365 +1468808630 +352580341 +1650726369 +1996383566 +670971811 +687907635 +1538492727 +1582281403 +1771105062 +1931978912 +1162846967 +1285081754 +1655128761 +384948012 +52755109 +1136135353 +69433879 +1105976306 +1674170780 +1201037254 +1672891587 +2042914150 +978895996 +2044283509 +1981142788 +1562374616 +1662100915 +492660752 +1601861317 +201135559 +1839182117 +923186299 +553715900 +1342424839 +772086218 +1224687711 +2030332474 +163095297 +659485466 +1653953888 +2095074209 +1822332433 +791551994 +1602719323 +59796797 +844307104 +591371028 +129230677 +1950283410 +118058160 +1330267931 +1475691349 +13488662 +161680280 +1372491211 +1994631451 +1724054896 +887108478 +339808555 +1178432565 +1088244037 +31507025 +2101618864 +1641959938 +1373931864 +726221434 +719164001 +1256780690 +889316731 +1378649468 +763250930 +836907293 +1053498253 +1554802925 +292142968 +1113295051 +251626381 +883513996 +1242525728 +54426143 +1001572156 +425310011 +1530117493 +1015060819 +586990291 +755125056 +862208622 +163561539 +1642233534 +1202017177 +1341994104 +582993924 +1233524202 +1296129321 +77470214 +459972418 +2022350755 +796634215 +1716753108 +764183839 +27800035 +332520391 +1601091132 +1081298289 +1887323316 +1893234100 +47109692 +2138949697 +629264448 +1289635420 +45892192 +1630836604 +1714945431 +1576009685 +498413775 +154452075 +183651093 +1360622397 +318013614 +1825884628 +415155927 +1660007719 +261394904 +1648680129 +808653392 +338865118 +2108652548 +683520499 +1135499333 +1677922008 +1447704338 +1163299369 +2010442399 +901311822 +97114010 +1750282067 +647062274 +144223702 +1741748116 +1276326722 +1433859122 +1787640309 +759679679 +1001320905 +1216166346 +1258093454 +1155772980 +1399817440 +471232204 +1473786595 +1078218420 +886388131 +986310666 +1339613324 +387584612 +1794964058 +1678478442 +348753512 +331000909 +666494127 +2026675521 +1778705248 +1829793496 +1889634272 +532533422 +1926907506 +1492432692 +1179595697 +2071131208 +1086697160 +308438771 +1357506682 +726853821 +1068118450 +211343940 +1943020168 +178728257 +1367116920 +1195353960 +649960461 +693419867 +126088732 +1536348592 +1679730533 +1465702056 +1923933204 +1327210943 +996696850 +125203069 +1658211853 +1663190977 +4394942 +1289433453 +1345500826 +1894029214 +1821966875 +1124924684 +1238978258 +854078924 +1048572245 +178191771 +1162517696 +258595279 +905045592 +83152498 +469939219 +700582112 +261880755 +1837056140 +1895936072 +911841216 +382992359 +2022024804 +300706160 +2062722893 +1340243212 +77155717 +1242450188 +189456414 +202358786 +753178393 +1852647392 +206753728 +2042611846 +1050664570 +2100782942 +1717095074 +28105606 +1192277553 +423690350 +1076677851 +1370469324 +1586208046 +1335273131 +128031268 +1669360545 +1805212350 +828613381 +1931241300 +1494784842 +577065805 +695598869 +1877777202 +451606962 +996305029 +1793016447 +1791850174 +1073460746 +887982987 +1981306589 +1275819532 +1641161381 +1686470333 +1482573260 +1536289579 +589651255 +1435872555 +1105901005 +617756861 +480666460 +1529591356 +1694434713 +1851135784 +968315754 +882224196 +1979167052 +490192651 +539952898 +660296785 +273950304 +2034737741 +1237362591 +969549173 +1765031295 +1688969553 +1965854202 +1410564094 +1333336079 +891831301 +151063433 +1167159020 +20167185 +1792224814 +706145705 +1502740446 +1181030746 +1295796960 +791129353 +139448103 +1913553822 +1271795813 +1669039459 +1460504887 +975447949 +489871566 +195245435 +807131353 +980064217 +735198333 +1467428139 +1254014521 +622452426 +557307082 +76080046 +240000073 +98792987 +2041934249 +1650564167 +1432129066 +786281902 +1801627601 +451804439 +806449087 +1446368767 +1157950144 +161705885 +479915865 +306263457 +952835238 +619363969 +72333631 +77147403 +140919780 +1532838518 +1052595352 +630791346 +1728083953 +1859726706 +1610855564 +315798638 +1179671197 +717386437 +938251065 +1736978279 +793466484 +1178251138 +1835771266 +687917085 +681331658 +1120416684 +1474198987 +335475611 +1572221123 +133164426 +1781844378 +582687620 +294870312 +114276596 +888951077 +1247705550 +733640565 +961284708 +1324852954 +874560345 +346639578 +229964658 +1505351692 +2074723531 +2089691364 +968723608 +243038521 +1121878913 +1686110045 +1181289586 +711373544 +332092881 +212057077 +399661162 +1020009966 +893388735 +1520077847 +346725305 +1228864346 +944815322 +479889732 +863225076 +1527502942 +774760044 +977501672 +268970371 +2022465594 +1711142237 +1230255079 +1199834900 +438218935 +1576894657 +1429799559 +1943570627 +1504134540 +1372007275 +764810587 +1747173062 +346402541 +303436984 +780979000 +1057776085 +635529866 +993036077 +1457437248 +1655539832 +1886424812 +830031447 +2002265138 +967805510 +1774846769 +334671222 +1831030587 +1154866064 +1109431266 +661048611 +1423836435 +984413212 +224707201 +506607867 +36764465 +662926136 +2083502524 +1466564024 +459013115 +1440153417 +691087651 +1223823702 +1039842831 +1037490192 +1527260686 +1820821831 +2095266278 +15306904 +666374261 +1405219878 +1670846737 +405315425 +87767677 +1525628227 +1373120936 +1862614446 +1860299449 +1056667875 +869996862 +822247067 +1717716486 +146349650 +1806660279 +1942423687 +652957517 +1843424744 +457866175 +588976393 +1162505120 +916879290 +2029129810 +1853592772 +2140702992 +921488993 +743599316 +1520480031 +594827177 +691381946 +1535786935 +1261201438 +2096601824 +1059150024 +1666516863 +36885853 +437294603 +892154151 +1899500300 +150110404 +1948822026 +622013514 +972357471 +1519054865 +768363164 +631534103 +1313994904 +1421320681 +327475199 +1771861080 +2010297075 +1489980320 +541256722 +1891943237 +1196089444 +534476067 +665948583 +1939688760 +2054956098 +1260775760 +483587059 +1443259385 +374493550 +432705235 +354925762 +2041010413 +469591089 +792220365 +785680917 +221607741 +942330770 +587019295 +843621255 +1914688241 +2106074160 +1611984420 +398738696 +1272585417 +885821453 +726213896 +896962849 +748634880 +68710568 +1438219571 +493094470 +1264800012 +1972695638 +1159043053 +1057005124 +1880168088 +272335165 +1540592183 +1175943826 +646828715 +1973297419 +1530869588 +540355480 +295404860 +175606305 +1326036397 +517012601 +1117937075 +1913055693 +1360633856 +885141669 +1871646205 +825134628 +1283880365 +996747974 +1710956082 +2010094261 +1893710823 +312107314 +2078804829 +1184446747 +805201784 +1196121193 +1009658737 +1964244837 +105642670 +742343178 +89096354 +1646234853 +1918287004 +735925069 +1472048624 +1301672944 +1276280550 +1767453484 +1477279249 +454833299 +136982437 +447732677 +220405344 +1497616294 +1332874346 +2092051550 +175267274 +469271063 +941315876 +1886223356 +331881677 +687543052 +50847023 +263202858 +1871989799 +856048807 +1459324052 +734164888 +672809997 +1564966722 +1476508066 +761906351 +1063717927 +1247311422 +1497831421 +388282904 +401500718 +626628323 +8252740 +1878779968 +1081461622 +145235178 +179028997 +1301866967 +1642851472 +1511903343 +1246434869 +1818118746 +1981174406 +40267097 +1556858455 +165572435 +727810149 +1607705478 +428775294 +452316300 +316270637 +1888099346 +1186481189 +989080634 +1305582420 +515505607 +1750986986 +221816699 +1762817030 +1101334759 +610099603 +16834100 +1727963082 +618352344 +1895614068 +661941056 +763587522 +2074643065 +1963808023 +258955346 +1439062760 +1062759244 +2077074092 +1272753519 +1103026342 +1486448899 +1438325954 +1830836491 +946670729 +1867101248 +135669144 +1262941367 +1607716946 +1322150333 +104538353 +765815718 +1837655940 +1855525339 +987632418 +1452989322 +809376450 +1597732021 +1469823423 +389855884 +68600717 +1217953843 +1051796941 +832188239 +1145113261 +868121316 +1091143585 +436692373 +1930880561 +1020734030 +1709445892 +886423255 +359699281 +1000288199 +569776098 +1306370011 +719905799 +705445242 +421827730 +180139098 +2027595575 +526366083 +945954816 +1717767868 +234407775 +1933587234 +1023273542 +1043784225 +1383835608 +345613317 +1433640110 +1452436325 +1563567161 +337953403 +137140917 +561196774 +1206074719 +1228284502 +997889147 +989471632 +101534884 +559851392 +1875894887 +461234166 +1560139591 +298187338 +1767604177 +132561742 +1003632580 +41948259 +312700840 +883744508 +568314342 +1258655657 +454028728 +802722117 +1044759243 +1477302270 +1846506343 +281111203 +1822915588 +1132662805 +1733547529 +1238999101 +1470616208 +1870688446 +1800195875 +529207279 +951489300 +650601374 +1518678912 +1053024185 +1210452766 +1247090151 +1514258351 +623108709 +1545277489 +1134378880 +755670452 +401426422 +1176327139 +1068371292 +1285170930 +1744641481 +179543301 +1739199658 +399879951 +1224302545 +1069018280 +98902646 +1505413748 +744450220 +1231565451 +1091477629 +1983449321 +554698011 +814682427 +1636161548 +1083905290 +1766171728 +139279275 +455100554 +671712265 +1349732041 +1702190706 +38486968 +1972840751 +1099984547 +1172865848 +581027555 +1501410969 +201709339 +1649398847 +639098251 +1946350820 +1828942149 +230814261 +198747123 +905761046 +1299832542 +297649769 +263691146 +2044282762 +1529215220 +1355168776 +1880248436 +2083913231 +22367555 +1368926336 +1020334874 +1788539283 +1508205611 +1475435428 +312767900 +710454005 +1030142486 +351254868 +535811108 +2130127034 +1524120716 +1116838663 +1484054355 +1725830055 +618753862 +2123152607 +1524697228 +300212363 +206483220 +1723444351 +1205973409 +1506315762 +2021094121 +1469664556 +1403114877 +1402825693 +677349684 +1135879665 +1339255277 +699717239 +357322353 +212106503 +340772875 +1865527965 +1687541931 +653540775 +428498322 +570200770 +1004795644 +964309430 +552844156 +381432712 +2081148093 +2036898511 +2107262768 +552418307 +2012567470 +1484476348 +852630671 +71567043 +1060437051 +2058604080 +1577882805 +934047524 +1380784988 +833514034 +189389570 +2058134672 +1969393699 +1528644847 +610368264 +179232405 +1740751350 +951141139 +2044760370 +1280809633 +1604681914 +325775044 +1851010403 +461993910 +1290084474 +256370911 +843426623 +1223748919 +145785775 +803205743 +1776167226 +10869597 +140198443 +481314249 +82436640 +1200635494 +392434682 +1660319446 +2134683019 +1773219670 +346349832 +176588941 +1683870695 +168259884 +1705233788 +146755311 +347492289 +1298501490 +1097896450 +244769011 +431827475 +555094716 +570544055 +135354231 +1017088627 +1860628529 +391725142 +1860515250 +936893800 +537510917 +516237345 +565577378 +548380515 +656435788 +1046891628 +630817155 +1857071282 +1439326310 +143652953 +1844270653 +1065062332 +490002786 +2020859594 +601449379 +658262670 +1578609734 +748204690 +1005754959 +729627576 +1846101140 +1250523970 +1161455052 +253712209 +1821068025 +1296809283 +1270800836 +1534212906 +1688534425 +983832438 +323623058 +78561695 +1500069783 +889200436 +626942210 +9021923 +1936092064 +1257759365 +1866093205 +1227934726 +1401412319 +1562880211 +145513411 +1891415105 +1436256157 +746962790 +402194127 +867382244 +1495167481 +1407949086 +1597009820 +1193784973 +510989408 +610981224 +1447497182 +184573785 +1907790507 +570814370 +1718786691 +1448841285 +1554646808 +2042409749 +1527402980 +907232943 +784126537 +6861542 +916254866 +572734954 +1264620907 +634864424 +1800669680 +518549578 +50260987 +1946183091 +262481035 +1486517144 +545662234 +664675162 +206415740 +2040829715 +2072624248 +1803425561 +1087131040 +436130008 +266923137 +387144575 +620703793 +27229997 +957958945 +192006836 +1476071282 +365122106 +86932937 +855990614 +1272355049 +871059475 +862852156 +41126268 +1443794429 +2127473063 +675990692 +1096980461 +498538994 +726251679 +895679905 +761020029 +65285175 +1441342139 +1425695192 +271700916 +1334688206 +1350835792 +2075126477 +274335598 +1786965801 +194565966 +661480173 +260185946 +221795963 +1619439119 +452192783 +1697867245 +1984561225 +539125720 +406374211 +1109432626 +1410185195 +1269226367 +1150558894 +706495976 +1249215783 +1826549586 +1803476438 +1747754777 +405317617 +551672695 +361291158 +470602793 +1993014834 +1786986350 +742303709 +1180219392 +990338495 +669946538 +1454554990 +629820648 +864512504 +2116035164 +890006594 +1086308468 +1587990635 +1342199377 +636692065 +1425068212 +1881325098 +1043066277 +387017190 +1144026645 +164808996 +1537576085 +1850522622 +1414024779 +1216642023 +1506515412 +1014295908 +1621959641 +2058188107 +1375587067 +2092562434 +1903719293 +1015089769 +687382495 +936455037 +2005428264 +1357329033 +243526379 +487765264 +74357889 +212077895 +1377771859 +1160666357 +1800068530 +572487588 +1797358423 +1077653094 +306329038 +692941052 +1464670285 +1450355684 +857750048 +854762722 +1153394658 +124291180 +2071404745 +512426422 +1138587088 +1545880738 +423130881 +366690507 +1490959524 +179366526 +1381780277 +30858371 +1115821563 +1239724893 +1388187404 +1359347942 +1727490158 +1462545294 +1571425838 +957778369 +475728003 +1224010720 +1530265957 +125602778 +154180167 +1836594996 +818543830 +1618850452 +1139467032 +1676293879 +326129526 +145378042 +1800585059 +250050623 +657804464 +791688499 +1795931362 +1080935345 +1158379007 +1139407238 +1260301871 +392675636 +1170265610 +228639786 +1632400529 +410969366 +1587987728 +1212407039 +1873514660 +1011929918 +22701760 +201759016 +88456991 +1552967718 +327361794 +242637158 +1242079066 +1145905625 +1861487610 +234062450 +674715856 +40133488 +379440492 +327817267 +290184111 +1037244956 +1119505766 +2086115473 +2118180301 +130401125 +1078039064 +1230998524 +523076761 +100821026 +1459638310 +7993643 +511790392 +900142390 +1220400682 +237821405 +1912072309 +1243102443 +439580421 +2000529300 +648586513 +766942215 +95682810 +1890665579 +1912847840 +1957170420 +2124728029 +440080048 +1997303908 +356684873 +767897315 +140004371 +1393929829 +1887403082 +78636197 +1364626482 +2017804207 +1156675261 +448141358 +393397321 +1257496287 +1907779668 +401390964 +1769286679 +660438410 +1621791646 +2007108084 +425027071 +717410441 +299204857 +278072723 +1365996954 +1066147073 +373755533 +1109178885 +831511265 +183442305 +1086423266 +1271591314 +33262565 +1443108139 +2039488629 +173266937 +689554320 +1779408063 +251903134 +2054180802 +1649728623 +1408578395 +354838512 +2043125944 +518591034 +115134532 +297033260 +140394065 +775572943 +1918824906 +18502 +1200600014 +488751700 +299223359 +1478672738 +1854748654 +1365370432 +1852428271 +816443892 +49398050 +2035870577 +1902867158 +1320989364 +2069133142 +1198491650 +1212994345 +94916431 +1888045970 +844918761 +346819565 +1794743125 +347163736 +1755397960 +2097989 +242806032 +126505346 +117232522 +539839292 +266899412 +892805465 +311180550 +266917914 +2093405479 +799932250 +566141273 +1424594569 +507197257 +1931511706 +1129539193 +1323641149 +1980909756 +1017926122 +1079024659 +1154415472 +939575616 +130032661 +219926169 +1034492048 +2018078632 +1064844930 +1381311613 +1665338109 +1412008666 +989225926 +1667436098 +1654814698 +1115731272 +1784668620 +47170342 +1382630684 +529990437 +358350893 +1649548598 +475912269 +1158283143 +68206224 +1900506838 +1665480400 +1999717930 +882562383 +841637901 +1833144038 +1900488505 +1920662561 +840075862 +692580474 +2050695222 +1060002031 +1727072522 +1921290206 +2124846962 +960900487 +1439144667 +1389371980 +1950126413 +959097118 +896703031 +918374038 +596282090 +943873373 +153521074 +1126272528 +1302224266 +1803069673 +1602184797 +313023762 +1871275897 +1355207987 +1978504162 +1723510179 +90286723 +672658416 +1409170569 +1990775228 +445837329 +101762783 +535872054 +349048903 +1161764814 +115460928 +122855462 +1139128128 +1076361416 +1562000129 +381016461 +879004181 +373613599 +1277719492 +1797378219 +969895690 +74109217 +1950899294 +2096168218 +1376333484 +1606485319 +1550869367 +1689357246 +1330277568 +758593706 +1520377760 +906304099 +848880429 +45552528 +167991020 +692172010 +491389857 +269753803 +1228044064 +840438761 +1431518617 +1343504993 +963294223 +423163098 +272382761 +377810704 +804179559 +1151386942 +751424304 +2081899051 +801281514 +1721319994 +8524620 +604697160 +1670004564 +1384858104 +63698831 +1073390283 +926731702 +1393976399 +1831983989 +299625815 +152796850 +533380771 +345178343 +320787870 +1225552781 +836568201 +590541673 +306113197 +1677006962 +2022060290 +1649618190 +492817537 +297739740 +1922000951 +870628241 +1101919299 +925904246 +1622052545 +1036334702 +1727185760 +1195888891 +1044859323 +184399272 +718409807 +282233779 +248098103 +1791800090 +1208965482 +1642074502 +1476300432 +1508591297 +1794871352 +2009681203 +1853769640 +2115659222 +1087750336 +542854193 +558717247 +1393863533 +72377507 +433293889 +895998076 +565195044 +731033630 +670515379 +1435823286 +1832952929 +1596419625 +910392183 +721803984 +1176121737 +2106281075 +1766663307 +1360521009 +677207234 +2048897086 +1608619112 +321523677 +1110378920 +1103209966 +1797824109 +471486569 +750597670 +1660021664 +177772562 +718773244 +600288352 +720626755 +1277490491 +1994151885 +793004263 +1710784381 +742666313 +1358199307 +294334363 +1413181693 +646538945 +2127287292 +862117670 +1556931129 +701607628 +2038239408 +1515728556 +320787287 +1251276769 +45452142 +222200726 +712412234 +366975819 +1332579646 +1815622200 +17316280 +1804066216 +418736223 +1677337944 +1981838778 +1137509467 +130142648 +554981885 +267516311 +2124294534 +1347986148 +1978300692 +719477199 +558701808 +125151407 +2132658892 +1205240753 +104955051 +847292915 +614688234 +806562680 +738048675 +2130416790 +1127349967 +1989325444 +28385285 +1349550693 +554254030 +395361104 +534646692 +222392583 +412677385 +191229260 +641128806 +2090015329 +25584390 +1778638273 +72674330 +580566275 +2046154584 +49485216 +1928552424 +1876971628 +768962415 +339770584 +2002123035 +754137660 +1545011337 +2107078087 +1601430575 +12215924 +766157119 +191995602 +2142632714 +1893507086 +33837398 +23534351 +1095574132 +588091429 +418895456 +1630220824 +810484012 +831572841 +1821450084 +1451612818 +774104522 +1847034474 +1082767443 +846778852 +280117101 +981438380 +896264068 +61185877 +710926360 +1665226484 +400956461 +565565748 +271880496 +1945967799 +525160187 +1873311071 +1958183723 +1291317306 +2065306673 +1953332789 +1037340744 +2099144071 +1976867141 +2132914876 +539751852 +248278949 +1615652052 +1350235864 +1079851790 +1289618488 +654365034 +1853956312 +989169314 +1737132478 +553251517 +1269286416 +571087210 +1449515585 +1330472293 +1282013570 +967258421 +1731428755 +1847579318 +1239138917 +1529912906 +225255857 +964966340 +1340612981 +1516573163 +882789365 +1146462122 +406430260 +834449789 +975845615 +391861488 +1374201641 +1224124564 +2007513541 +576953858 +156492706 +1149648381 +1231318892 +2010449019 +2138817696 +820967722 +416216888 +1260620464 +1392054932 +1865732473 +443609109 +526584855 +685507247 +27554216 +226680525 +1924646164 +1557467122 +451936383 +742128857 +750596455 +1968509546 +1624918222 +1897058578 +227456158 +311884363 +725420545 +619317647 +1686086005 +1949545110 +479347540 +115556215 +2106037816 +1628995921 +1346875107 +1969003187 +1620329969 +20359182 +237736427 +733466785 +1412414114 +2103468901 +1177075895 +1938998969 +641492500 +1204630111 +18195847 +418655016 +614613586 +470132230 +1160783873 +1365210041 +291158128 +638218448 +1114784971 +518614287 +950102811 +1840205517 +1137931934 +488705168 +1642266979 +1617279474 +604261383 +1600821147 +1098791747 +1951136491 +1422340687 +571638069 +1971495673 +1660077114 +1305104854 +1236426139 +1616062367 +334697101 +1027941461 +110071219 +1539327213 +1046137308 +528726236 +6457151 +1516269538 +1689510109 +1371667192 +1807427666 +180244909 +338968516 +178558305 +1130347721 +31690385 +1316490239 +1619052889 +1673957364 +786286065 +75830625 +1127294863 +1885077813 +2026967116 +402151902 +309232234 +1850979141 +2062229017 +1614337088 +939921632 +1530807736 +1949034190 +1967863093 +1640878956 +1340877755 +866516753 +22121544 +1347334906 +235302643 +1711631653 +571518450 +2042730310 +1891876563 +910486966 +73804967 +874740636 +942177351 +1390295207 +346309877 +468651067 +29097624 +422140502 +1595945931 +1914175437 +301623970 +1998097833 +75924023 +5119463 +1912843202 +1690261112 +945041096 +1296167291 +1491811654 +765420541 +789562599 +685205761 +1631937295 +811684143 +2032540667 +1867239938 +375832148 +456575469 +1762486600 +120225063 +1367062436 +1836291568 +994965699 +161756139 +1079103127 +1341275577 +630407207 +1108200751 +1763416079 +78869490 +874892541 +2065040050 +2076967323 +950816564 +2070159513 +1842326878 +493594028 +867716961 +991010521 +1985405682 +1633137503 +1780573120 +523127795 +1117591150 +444773615 +408184814 +837347440 +820605763 +864760284 +452350393 +940830827 +84339072 +141158313 +1935796526 +246095211 +1220261440 +1129588455 +876502418 +180978543 +745520887 +955371908 +1055871084 +663077289 +884855584 +2006687649 +585753154 +579698814 +352798029 +1453470116 +1570709335 +190720064 +939123971 +1203798807 +713847859 +2056715121 +1648572422 +1122032674 +746578913 +321694537 +1986792958 +1198929306 +1262525364 +2071132030 +1340087619 +1050838243 +169743593 +412865411 +32943050 +1046246012 +593843955 +778463937 +2001617920 +1649715039 +1441541226 +738989856 +1508919040 +2027294381 +1318688670 +1861717070 +1333280849 +741914357 +2052437134 +124921172 +1945713164 +618801345 +34152645 +1446801938 +1740834019 +780731558 +1768496476 +1580143329 +1979660865 +883538192 +1503791711 +1172264836 +1934376435 +1673535305 +1585130248 +1967319486 +572297669 +31490555 +598299775 +426431941 +1681205594 +2039841002 +1165421798 +1042640987 +1919651735 +336626820 +756874409 +1105448936 +1078541178 +661827895 +1230370108 +876770694 +1280629240 +1264522753 +176088985 +873979612 +2045254311 +1944585461 +306639293 +1877431528 +680640005 +1810431005 +902212717 +467532793 +1336482662 +339859317 +287368631 +1908780331 +371349872 +885668406 +187728624 +2052555466 +778025760 +1353150422 +947712805 +550193847 +1689777243 +1704587214 +1655642783 +620834773 +218931461 +738529243 +1497605467 +1499560702 +2003051996 +1673694452 +226056666 +1900822660 +1470796265 +532695959 +1630770540 +3952623 +195643316 +385499609 +471485416 +1532125978 +725358926 +758854047 +1293422661 +1096708798 +1644522453 +1481151286 +1001780617 +275064566 +686818060 +1949493422 +825258413 +229111655 +1506596989 +333417549 +849946428 +1725528450 +1071946792 +200068248 +1077605504 +927515141 +1873762700 +1303662170 +680854153 +1197075318 +1836358130 +164141045 +1201027941 +2032001446 +549640655 +1672513357 +1416643777 +1274999581 +283883756 +562582790 +224224732 +1928406209 +2043734076 +1226005349 +55987127 +583068489 +1028015123 +881245541 +812180144 +387128464 +1214663090 +1662126573 +2112656915 +139126234 +1862194821 +1042778771 +1066641375 +1588473873 +198957294 +1747495528 +638065543 +2035315424 +1911636574 +1839093484 +1919833222 +313793581 +1364123193 +1188993351 +1588793162 +1648006949 +1751576142 +1813017894 +1428929511 +1647826570 +891539595 +1484916638 +83411411 +1919554719 +218678531 +895591556 +159199535 +1433341621 +410234481 +124372802 +1572467856 +124945654 +1167151574 +491625583 +1713419527 +1366108868 +91637464 +204001423 +1253940644 +2003274038 +2043094907 +1026290218 +169583971 +1259734453 +67799922 +1758377133 +760257754 +1819376064 +1423911380 +41703617 +1319718986 +167967327 +1526620256 +1403130398 +2087522046 +1745298787 +151238306 +99237934 +1031156761 +561472787 +223610736 +456140969 +686418441 +1390762310 +947766552 +252354320 +609387530 +1039404016 +456355743 +1863328174 +895194406 +351967003 +742134745 +1064778377 +1611701456 +809934667 +675671863 +224475562 +481827083 +2099583243 +266179180 +1801546069 +120066922 +1792799436 +1057192819 +60105321 +1390614575 +1208431125 +159343255 +274287688 +1769903912 +382953991 +730428657 +308838705 +1773716302 +1678195210 +561193026 +235620184 +570115578 +1017548769 +2098948359 +1465309985 +1369515772 +693599456 +382604714 +833733580 +1503534123 +1058276577 +1058209143 +1985361206 +1010376172 +1324388323 +1639423627 +1130443095 +969704111 +549132799 +1190548416 +212835038 +1757563924 +1349891671 +487122727 +1379984189 +1732845662 +1217551384 +1688822894 +1359078316 +748262946 +102532272 +1594698501 +1318378525 +1120081042 +1546163212 +636204862 +342113166 +92279020 +1018809576 +1175846747 +1595813143 +2077086154 +86572242 +1433690701 +939978678 +1410960565 +925630680 +2070421773 +233181028 +1474763479 +1113486541 +446016066 +1084843756 +315894564 +933138793 +317344297 +2048740227 +3206530 +2006167191 +1260334895 +751469476 +2108699464 +707549748 +2069848001 +1081296858 +106229312 +558569215 +1423410024 +198508332 +1577378792 +451773123 +1794321475 +1506981298 +538345365 +1080528528 +299476328 +1949305930 +2006159209 +222414454 +35003310 +1333439040 +1335900995 +481019377 +270799148 +1651795560 +1414158170 +588143445 +1553052139 +1417364700 +446826989 +665903386 +21350529 +408042805 +1373453135 +2091198530 +1489339663 +1479682447 +502284098 +765266039 +1678190780 +2079662890 +1217039163 +1325028607 +1439160540 +1755384528 +258073488 +1738636868 +1557206811 +116749049 +1961051322 +1592210121 +1450188089 +1149468670 +2073229498 +1720987238 +653780582 +1339904021 +161647035 +59349073 +609785073 +608474024 +725252459 +631135602 +1016516829 +2098705594 +574850485 +358372844 +1430904394 +1077134583 +1123638884 +961611526 +1009313825 +193194399 +139156485 +300990717 +1948578927 +397229973 +2039627585 +1358302090 +513979022 +1853195260 +803028564 +1964167112 +855180282 +728774414 +1537670702 +1508960864 +2068678435 +1699317737 +1568309937 +530979861 +160308114 +146078748 +1162115463 +1176824943 +97300695 +1736965948 +1535197788 +1528205089 +666616883 +511353024 +342332967 +1675930708 +704547423 +481489452 +1976921425 +505642702 +878719426 +1869065363 +1863944793 +1392698448 +1574776975 +519489709 +1209381912 +282473609 +1248264123 +599568966 +1791434473 +1169458911 +151403056 +1212260762 +1700438772 +311711170 +1358339510 +715070587 +1488536113 +1455640205 +304552888 +876250253 +836361646 +971169771 +1387603277 +1178694613 +499616832 +2092150700 +1660184066 +329054609 +450309755 +391419844 +50636324 +166770900 +1784118292 +1625413299 +686260609 +846016557 +1907886908 +1934524732 +1445585523 +1551837733 +956499995 +1596988579 +616614847 +509455119 +1908699749 +1974954358 +1224525707 +1249752215 +1283110915 +1529078595 +2126002468 +2119472562 +352764718 +1366122098 +1150683527 +852381550 +1310789150 +663383945 +1181436160 +1761098905 +1054803789 +1232072484 +1927869805 +691438434 +710002136 +466646766 +1537454991 +470405396 +253687851 +835556866 +2022243130 +1210187846 +285061798 +491374329 +1719642966 +46277899 +318845039 +796685025 +1296030114 +1601955955 +178279972 +1274548935 +1573944869 +531044690 +493187385 +577144748 +1383426241 +1803976535 +1240528694 +417378753 +1417591793 +147848835 +1649451237 +1197977950 +839287269 +211969725 +1664624717 +229258612 +682375122 +1918312568 +1064815479 +557134604 +981016766 +1349877277 +1048508933 +553176084 +1396155176 +1367353973 +1349861109 +544701643 +821826280 +1528141081 +1819250578 +248287501 +2059185772 +164954315 +825432249 +1295128365 +1968930850 +2065960943 +1712507118 +1239038995 +66326131 +1214474707 +289533298 +905613400 +1426444433 +1954158015 +1134872013 +2108819555 +1724986935 +52203844 +518470511 +558520053 +1402081121 +1566979444 +1111696138 +650752649 +786849769 +314073599 +1195454292 +1608676049 +1842214681 +867221222 +1856963550 +1753916805 +1032175537 +534912152 +901561522 +853622740 +453389447 +466584992 +2092661735 +519715578 +1681059699 +234711385 +1425328979 +960020484 +41385752 +412717344 +921356391 +1766372687 +464921188 +1439826902 +177409093 +1867002309 +859322699 +1289105231 +370271310 +1646172468 +1603178830 +1565725603 +1107364870 +1297909863 +285463177 +816844772 +904343020 +1317638715 +1351756924 +1805904542 +23777807 +1805146372 +125005886 +2116439542 +177378302 +1806065586 +203667280 +1602707281 +618602422 +245053032 +2015424625 +1539958814 +2011425720 +332862165 +832302068 +41351165 +52380826 +1691624767 +1330456396 +422652137 +1190313588 +786151578 +1988377740 +150194810 +2084061442 +126357269 +967039582 +840920814 +1443995984 +171312859 +499341709 +1467773791 +1976459231 +624347595 +1436729686 +6353885 +282929533 +1640396966 +1609061167 +901531956 +1885449998 +1477002144 +294007122 +1749392070 +1809864310 +1126309190 +1790743235 +1862245136 +670450310 +973715983 +137413625 +1860763898 +1759867562 +2125791365 +2010958708 +1696445356 +104664987 +830514642 +389882522 +1548660971 +1001827501 +889224231 +868951115 +830803084 +1513571827 +158197153 +837156970 +1796501360 +1798594119 +298734489 +550549668 +1536560469 +1775736633 +844556790 +1138468892 +1438117295 +1970865981 +781728479 +1152878784 +493832643 +1755444463 +1290292409 +207112893 +1367828377 +1268600127 +70587953 +916790085 +1373265114 +901102595 +1306672607 +774442437 +1902930097 +48413191 +1643393552 +586249533 +1561985018 +1801590705 +1423406503 +1211002730 +1452701176 +1722140992 +1761552399 +841777998 +1350393978 +458625541 +1980246890 +641027625 +282007874 +614491721 +1793906409 +775840517 +222452536 +936715171 +982953410 +1590280913 +57831650 +1053541363 +359587350 +1431096764 +1954643959 +1666259958 +58055553 +1710090408 +1714673149 +1701449106 +148856293 +1129174519 +1355556163 +1572262797 +192693601 +660773692 +1146920141 +1954246000 +1502551690 +349830471 +265387894 +1335314932 +990858097 +547395768 +1949806653 +637280858 +1323236286 +24775542 +1573996029 +158706048 +1615056455 +1631827679 +1212247412 +1974643806 +915440795 +1019407723 +1493420116 +973496349 +582014483 +1060609617 +527461807 +730870776 +42300488 +1883017970 +155649925 +234994089 +396308014 +1302570067 +41756442 +1898859704 +1652400538 +307144336 +1086690988 +495774987 +854540104 +889013994 +1133055846 +30292742 +913789536 +559568227 +188998791 +381362343 +43912259 +1401246203 +208522501 +959353054 +273170278 +1701942617 +1932849403 +855184761 +615068586 +312827562 +1586055537 +657369074 +48361885 +1741705463 +892363164 +444669899 +896791882 +934119606 +196045956 +401708772 +1241263942 +1282736944 +897483760 +2095804046 +24267290 +2030539606 +2126096789 +938056826 +442624185 +167611932 +1319419170 +486536444 +1568858135 +1527941671 +1445889499 +1842028413 +1082400641 +1231255254 +549729526 +1697469227 +1544082817 +2135785063 +207354654 +1592444702 +1730006878 +1099717818 +2037114601 +479315112 +2033837424 +85676909 +881023885 +1127617718 +1368413854 +1778507645 +1075938116 +1392681144 +1661563603 +1054551257 +183254323 +2104187788 +1222163189 +1502673493 +443240585 +643537676 +883131516 +1889130084 +338082441 +1965532157 +972901690 +887811967 +1515517737 +369500859 +876113383 +1722872391 +1961945561 +458636613 +675106561 +1851576515 +937951726 +561460337 +1937253424 +1818975611 +1689078055 +1158183630 +1449999608 +617532523 +403381127 +964079563 +1672083781 +586635450 +920783703 +746763322 +2089308943 +1364024288 +1390300999 +824956811 +1105670724 +1728383440 +643005321 +2078572415 +468711760 +11039410 +300589626 +1344825143 +1733911801 +115051540 +1803461756 +261534714 +1966628055 +593929834 +822995051 +1756397831 +265421797 +364589458 +767097814 +1715421405 +982121981 +1170478941 +532017320 +506722114 +1757114391 +1452801024 +1253485437 +1698939686 +669341664 +496302788 +376412849 +1775012389 +77202580 +1019418170 +1706101156 +545914340 +1030457580 +2006690782 +1890739483 +616885733 +2121742322 +1546717592 +878420447 +1940886729 +2140647426 +1701415498 +1549800913 +258585576 +2066004956 +169415079 +1974006981 +900643290 +1339894020 +358540654 +1407365404 +949524763 +1811341678 +513367193 +500980801 +333199694 +1009669981 +877393650 +2108212083 +1086872562 +1896811821 +1666829591 +1632786902 +779785753 +1526036726 +1376042738 +1396671487 +1500295400 +775276682 +127608286 +1293698482 +768440460 +1829023785 +696015747 +1027026036 +1747545093 +865430826 +853549370 +500704735 +57841198 +1212090024 +1908070140 +1007365961 +875948054 +273953685 +1508346762 +1209147748 +1283623667 +238256764 +1169876184 +223012581 +2135068585 +689222127 +1855799483 +767370691 +67775205 +1084358573 +16558530 +1568070606 +1859635255 +144166816 +714285440 +480592068 +1973190601 +1410301187 +1507618104 +1573252047 +128248365 +213683826 +2073956782 +186089563 +1425773850 +1834543274 +1193455524 +154238256 +2108496960 +554318638 +1363386005 +1244636979 +792575402 +385778541 +1467649560 +780160340 +1075000668 +1175965395 +1547531031 +1142775874 +112840321 +1564089561 +563362832 +1972475576 +1708256377 +1277648272 +305583996 +1533963331 +540465811 +1813202101 +959731730 +668714176 +2026885927 +886204864 +854803739 +1305176130 +573264491 +2048259263 +1459414386 +534277803 +455094253 +675316743 +1778914782 +1247669655 +1061095284 +1099080694 +2027829995 +2136095953 +127562441 +1427877378 +1131388179 +240402762 +844483291 +1694751011 +65394691 +405256021 +824915635 +370978687 +1939219352 +1365381446 +36697140 +751467434 +2034095622 +2063583068 +1637672298 +741415713 +1221275550 +63453141 +642191328 +533206288 +597730944 +1097285581 +1208523032 +229162078 +197471588 +122134668 +1328242772 +77817936 +110746973 +1455805214 +1505695314 +1242135152 +1696207976 +202694958 +789402515 +1761602667 +607950979 +1614318150 +2132581355 +399686683 +832215948 +21794847 +1151154117 +718827922 +2085377915 +641342767 +1460243635 +1159169817 +704795909 +2102434963 +1692376106 +1302526853 +1052236896 +753415490 +1531688932 +1249708485 +875550158 +712448056 +1327526421 +986297132 +20769622 +685738087 +80948636 +1716977599 +888433045 +870351152 +1331096618 +1496384024 +337185654 +1316194325 +1896070707 +1169401603 +1337989173 +899741176 +1888229525 +1275883440 +1541083944 +1200989513 +287569610 +98396205 +1155940828 +1979945716 +1400923058 +60694077 +585877558 +785128342 +1310402562 +1461427716 +1497576399 +490445335 +300241200 +1518346021 +1176183422 +381189837 +1087839972 +2064616468 +1251540989 +271452943 +1413516844 +1588726643 +1587647268 +1162103904 +610644598 +778152793 +2061845080 +351390476 +2054036234 +1455445376 +1552379989 +194122196 +1553841581 +560837169 +26584264 +807280992 +621531246 +612461822 +1592409334 +1931933808 +2073889538 +942502085 +274895495 +226647091 +313364459 +1451078918 +607836928 +1401204431 +1368211738 +1859377917 +1672657374 +634244934 +1300620912 +1112820995 +1796348838 +1911265511 +1890973788 +1710710271 +115172339 +1797526374 +1018671999 +1667552328 +1991648570 +425029933 +80905849 +2018232834 +1232310925 +702437096 +483211008 +677236611 +486887256 +409616899 +1619738697 +761782752 +636263990 +1933103156 +65378022 +1244100918 +1186823939 +1433589760 +955995187 +711997666 +2067834694 +109132451 +1824818661 +1716699885 +2020397962 +1568308801 +1279926508 +2135570301 +1218351528 +151114859 +1655638981 +1062516450 +576144792 +1736544831 +933265637 +1808455717 +291498279 +1416476645 +338208681 +778385535 +1826093544 +1957947378 +1540168287 +314873886 +1743566886 +1605546309 +1558974804 +782907177 +891652421 +367486343 +1494904843 +812003468 +476618795 +1172239856 +381219705 +349533109 +593065010 +1661146213 +337619763 +1811416538 +1812261072 +1993258744 +726449340 +240922217 +1582319927 +1659714977 +2049377934 +1873818206 +928707975 +240102967 +504720094 +607317871 +50566697 +2044888381 +922191758 +1794133583 +1502951043 +333682914 +429557113 +247119816 +701169258 +1924461956 +1059123284 +1177788053 +949218165 +1440342989 +1527321162 +1542283175 +954005554 +1864940925 +1206216065 +618782979 +1710716022 +1932665405 +859705196 +1145552301 +1444896735 +761599482 +871886860 +226121062 +1001702450 +1376606954 +833438933 +1052269147 +1274011687 +1755630691 +698919083 +629479082 +2089313606 +1128476196 +876598899 +642999216 +905454504 +1935722183 +1820787269 +1854672669 +1228581525 +1200624783 +1249472196 +35103431 +918082061 +308204613 +653886410 +481314435 +93386371 +1513591606 +1626866736 +1538283106 +127707441 +351269948 +1764404168 +1129409891 +1727876902 +450359453 +34195390 +854404942 +58506497 +733114473 +1483884024 +336455 +1861590669 +212999275 +643335671 +619561526 +1237811 +316639292 +326750547 +1229819336 +1517264075 +1576222744 +1264922767 +287862488 +1884427357 +1918809178 +769176923 +1977813728 +1284917136 +248560012 +1368613186 +1412624577 +599829960 +985533706 +394550820 +180223215 +1435893160 +428746211 +1034628157 +1494399657 +1161860684 +371028533 +1494736112 +875967706 +584027809 +2138071783 +1495529232 +585265620 +307227427 +1822279779 +1815084956 +1824491502 +1251018875 +932524075 +2112353991 +987962585 +703849605 +734047266 +818292665 +1988766742 +982607278 +39422204 +1253907671 +1582437239 +1024955910 +1648458492 +1762660454 +313365422 +2077204703 +649804963 +1807765079 +1091581739 +1020833496 +1155017543 +1967549445 +1604861305 +1145605678 +1315595029 +42643277 +1452833105 +990391161 +1857728233 +1129840960 +93926388 +642768661 +1094711303 +1081888973 +1346618266 +1828758569 +1900181639 +1187901360 +663882200 +1939603843 +294325384 +98835791 +817076105 +1942783876 +1861496245 +1130441528 +1872504931 +363817560 +790722959 +816603022 +1384651056 +1945740503 +636668820 +842028714 +943862533 +1952263849 +884671991 +249211991 +795171362 +594916577 +1379052951 +889097751 +1237685238 +326280606 +1970986724 +436819856 +7555527 +1723684715 +1624721217 +671437727 +1515804910 +1919046601 +770273518 +185397368 +1714346829 +484286115 +1315838896 +1439368112 +848103675 +2106561855 +108487486 +85271084 +1904818710 +745156306 +927299798 +701197596 +549936508 +1811971789 +950409587 +1345107870 +259404718 +181978890 +86721973 +1497089956 +508259496 +2057708698 +1933909813 +515815023 +1633909765 +1411147382 +1187252751 +1002231028 +1182710335 +1957526269 +1187628396 +749573516 +294328737 +355983644 +41457980 +1142432412 +315061851 +149945466 +1227703496 +72396914 +895101773 +7519646 +773594510 +1445038281 +1819491436 +1724004097 +642662503 +2078896154 +1905982987 +729384477 +1428502463 +266758835 +639609527 +1214928628 +782573858 +126035644 +478592362 +1969826609 +1128266672 +1661302697 +1779869231 +168411420 +263392565 +2074197968 +524395064 +304850545 +1069146732 +839456916 +454796011 +149366581 +911853830 +1349897784 +156886227 +1685448340 +647452417 +1976377663 +1261968789 +1290114921 +1907790170 +1020468128 +2019499398 +1188808985 +1287226963 +511625277 +256253965 +2069800821 +637660921 +734846327 +1892143783 +1765927594 +248665376 +1524529366 +1934339014 +512057941 +1451243686 +311250431 +816908486 +372906770 +1150707347 +1271704497 +522273351 +2062561177 +474118634 +679159579 +1600525869 +1121571051 +508053594 +715011010 +264202324 +268360116 +1735479138 +136218074 +1457169101 +875222453 +647843351 +1713423066 +797539626 +1285504273 +300785745 +542199761 +903948219 +549451121 +2066729127 +690803585 +1061509062 +1370489165 +1002054016 +1878417548 +1743395936 +5277715 +1002638398 +118185639 +2067838892 +1476757032 +797345218 +1520881113 +450844435 +1305398813 +88408475 +715046760 +1573758929 +1823887613 +851264834 +883444383 +551626418 +1499108186 +449383801 +1349166045 +637128811 +750169547 +1891365806 +1541077030 +1299620668 +1810611286 +84396967 +213646083 +1033616803 +1086450984 +2092063631 +629529091 +1091728699 +947218381 +747714731 +1012083944 +276491765 +1545059949 +385481409 +727336201 +702975114 +473889885 +1442382961 +129250396 +150293850 +146164147 +1012694779 +701920269 +1645272333 +1462078580 +2051086314 +134917496 +64764479 +1794968472 +1675994526 +1364385148 +1458096110 +1760391494 +1578031231 +344229266 +699358830 +1522611214 +973758357 +1791087529 +322345948 +1721473088 +655687825 +598837713 +1119049390 +1041169235 +1326173914 +1822024504 +1515059120 +621073227 +1951274900 +1665352970 +767237375 +816486031 +219789591 +265026060 +131080964 +123392257 +399943557 +195845443 +1918360730 +2075938083 +1560230591 +1228973192 +1688845929 +990778174 +1573202458 +240721111 +365905741 +399477168 +2031808641 +688251689 +2120950256 +540012818 +1287089402 +1092515998 +1581182053 +465779669 +767056855 +948757525 +1086852896 +570848107 +466626848 +1854090271 +1387334139 +686416439 +2119116332 +1518415103 +809808697 +371576241 +1714260546 +580685779 +300030676 +1127007490 +1809658971 +1988876606 +2117785664 +1235377782 +82114069 +336207757 +1634854950 +2113922710 +1024459446 +1608321558 +506451881 +164065201 +553353909 +2087633934 +629844870 +1320410764 +888907812 +1716697766 +1891258871 +1355534660 +1423304390 +1131109362 +2041951099 +1394937074 +502040817 +704276148 +1766513315 +68817716 +1284961927 +2066543991 +1195825206 +947137251 +1907936949 +1166127222 +35031385 +1990051019 +1502334980 +1669886335 +1956490081 +379310778 +1130724245 +315458314 +543375979 +1684078154 +255608601 +1173220849 +857005270 +1144516413 +742434968 +600780494 +352567425 +18255710 +1731889856 +247034876 +1413192784 +86447026 +951311025 +1032222451 +155264742 +88789304 +951282794 +1351089948 +1035926555 +711736096 +369733522 +1070957940 +554303467 +1872068502 +593360627 +363309900 +103895633 +1724084873 +678768215 +647271612 +1260679379 +934376816 +1820492462 +2117684650 +2078893229 +415443782 +570981496 +283977006 +433699492 +155387704 +531011882 +1846892276 +241834730 +1482322907 +731631079 +397099472 +1571112212 +1682913873 +1748189420 +459555119 +247166321 +2117922943 +1530513060 +801469788 +1842507797 +2123873687 +1164779689 +1946403430 +1700474912 +1843547904 +446191395 +813670644 +630441072 +119200209 +783871646 +561850653 +534643991 +1354853142 +845827659 +968343483 +1510240846 +1376839541 +667752111 +1752075577 +711678801 +1399383190 +1691401 +135307365 +934813415 +1749880822 +594862484 +1181979737 +1720320117 +2125375544 +1983449525 +1415344266 +2101765584 +1000745566 +1214264049 +1654756848 +696809822 +1660455444 +320943844 +1327250894 +1779655653 +1104815490 +1889101547 +166815996 +312184984 +587445558 +1135159479 +1822425831 +1964285100 +1802911590 +1427017760 +528480253 +1054811132 +1428709161 +663787618 +1989624547 +1031106335 +1258650102 +1024120636 +603942804 +1236541999 +860086514 +2019287071 +1190823935 +1860832080 +1086067472 +698097135 +410158255 +599039268 +1019040980 +1737409149 +231211273 +2123856470 +1479027049 +398027269 +288557807 +2066472607 +1533186748 +2110983638 +1883274059 +1188614690 +1390517750 +264270664 +95942174 +671743263 +928058282 +2085566721 +1702849599 +39224737 +962203710 +159308755 +1275766736 +1822290224 +31112178 +319107023 +1535638656 +1117179650 +1017204158 +1945796911 +1716218918 +2036245138 +1535722413 +1947430191 +2012617961 +867265814 +197973812 +153692120 +786254773 +1731160560 +117192110 +522045185 +772291602 +1507709860 +786315849 +868233776 +31969475 +1714374132 +806316850 +1734819074 +1753598869 +1768520560 +1894127830 +881881957 +1443327136 +1925240008 +1200988980 +831482144 +894936011 +70709490 +629795408 +463671281 +2106954629 +18034173 +263617825 +1972088942 +885299987 +461591637 +2125781062 +1671554760 +45268550 +95489524 +46116297 +817560152 +1603199384 +832432147 +1685793929 +1635168859 +399322631 +344627131 +1222504286 +5437852 +2113147691 +969148468 +887319809 +1408991179 +746904828 +2088308789 +92989675 +1641840839 +11534631 +722785083 +2105512121 +2118489260 +740819256 +221646298 +1943094554 +1626119243 +683237935 +1921391968 +1150190356 +728506485 +2016881492 +1196306653 +1546066638 +1472597228 +2028738800 +1084376919 +960282440 +280577783 +1429004050 +35303078 +286015635 +1394668093 +1004451546 +1173335444 +656175624 +1751356374 +1114160585 +749165299 +1245713566 +1125695217 +1471950383 +1203742039 +1096700829 +65285991 +1425388337 +892311736 +1691405235 +2108626272 +666220056 +694111943 +689649110 +535617901 +1890418596 +88232100 +2008215129 +1771673749 +1172609019 +821013921 +2052251532 +454129421 +856316999 +190783520 +1848797514 +1860768545 +1364118964 +357489490 +1464641272 +330795902 +1106654789 +562871190 +1456491119 +431121524 +1766613229 +405708300 +496407516 +1044517918 +1298020036 +40329103 +1005660542 +1964240093 +734441046 +1695309652 +352374346 +477375994 +1783541752 +213105827 +101566095 +808667123 +1034119749 +6333980 +1262796544 +1890436748 +197117500 +964110410 +1603721646 +1561236464 +1321599900 +920879270 +1892032366 +280771042 +1483750460 +1201039837 +711892566 +1102880041 +1606748138 +1208300082 +2147397959 +757284526 +1248629185 +1005574853 +574040971 +1983070231 +553400858 +926415317 +312962578 +189458962 +1139521145 +414528673 +998126086 +26157246 +420862653 +113438982 +1916593994 +617980153 +1077549393 +1372831992 +31732970 +251665645 +146227614 +1923765336 +532436687 +1629978074 +977321526 +1244329254 +585374467 +436586016 +305145688 +585288778 +1193870542 +1553774874 +1590863632 +1767911514 +1389361457 +2144264490 +546843183 +1702324035 +186239804 +1686364328 +2116852709 +1184365890 +1712521574 +390231714 +1297804873 +1481631921 +1008211868 +227870618 +706980265 +1039944838 +479536263 +853207880 +816226526 +1011972951 +335702306 +1793548052 +108818557 +921076774 +82650420 +413964245 +1506365552 +1276520963 +1967739119 +949745536 +896948829 +1209616929 +946526378 +1443792012 +764457316 +1132766183 +982672693 +733826377 +169648425 +547710619 +1124058092 +1467453298 +2029342540 +2132269960 +1695323916 +588839158 +1024731150 +27376532 +1442047038 +1840957676 +1039349483 +1777749344 +1487022081 +1148168040 +551342470 +1569672501 +1562132285 +2057708023 +698709816 +1382387757 +859969911 +1595658645 +444521038 +1806496290 +891967010 +1208978354 +791778825 +1874639703 +1942804732 +961427250 +274866674 +919379176 +281396901 +156725567 +904165488 +1976720817 +745564725 +1928896638 +2004097349 +40128115 +1622370666 +895963184 +1817877459 +961909099 +2044131224 +221736282 +384097953 +1458779862 +131960657 +1082807769 +693683971 +991930568 +530982767 +1138205009 +650943210 +1422949777 +199699715 +1442722035 +1150105832 +2142504447 +256665638 +1424972506 +914399975 +538062539 +1581698073 +1818565463 +367299708 +179779150 +1599978453 +223913410 +219907265 +1074865472 +1119876594 +2037784725 +2036774571 +1016524171 +112037359 +273388876 +327820385 +243998016 +1356196646 +1021504356 +1235928584 +1887179413 +12225717 +1886871795 +1162645542 +211925432 +1182110182 +165267726 +206946232 +1438775820 +1590240232 +1121346207 +1976838359 +1024454658 +792428023 +196654420 +1204233808 +244922828 +420567830 +1424141074 +1319788300 +1540444424 +1314442151 +1209079224 +409484947 +1426479510 +1482468100 +737305332 +1670477526 +691181098 +1758809688 +758922462 +430876863 +1771035405 +498310609 +1593522405 +1982960838 +1680420792 +1758790131 +42423422 +971712964 +1201546716 +1163769629 +801067676 +78517726 +1956197652 +997722096 +1282751534 +53636833 +1418289926 +559408960 +1373425133 +811250702 +1873851111 +435020709 +1220735650 +1152846973 +1917488810 +1958040982 +675840851 +461186260 +1569367023 +1434763314 +892063124 +1192918780 +1933073923 +338101881 +1028395970 +1466011067 +2096892013 +1070819392 +290240384 +1150955081 +87105374 +1091308060 +1229472807 +2043303026 +2089030156 +364740693 +2096939859 +1359836434 +924149654 +1322881345 +23603488 +650517117 +1757902054 +1244339138 +1803364091 +1527907216 +1054896473 +331721294 +1989093477 +476779848 +1766484608 +733672953 +1669698628 +1552074884 +1071774834 +550610951 +870602303 +1021183199 +1621430343 +1160842687 +24654632 +1708535717 +104667099 +1254127439 +1604355096 +46213607 +1618868133 +1553811307 +1406050041 +395534139 +729209004 +1429653530 +1046051256 +339627411 +526509020 +701931699 +1867534627 +1581405493 +1033652994 +1709144456 +2058185341 +652653954 +295333761 +1580400322 +57245190 +1367108596 +2131011273 +927847494 +240808147 +1604957968 +2088690181 +265462780 +1166010038 +45873633 +1519590219 +622881486 +92087240 +990974704 +29209145 +1498137282 +1386508843 +758418150 +780307164 +285076452 +1098045561 +1306816184 +987008151 +818096540 +740738030 +2020661145 +379757349 +651439723 +525831452 +675091110 +84356397 +583076642 +2042199706 +67884022 +1510924136 +135524206 +1672841991 +1452130670 +400986986 +691368381 +1498004303 +1920577205 +1314249867 +1590091543 +764068262 +1343459012 +940745177 +3093457 +2101877162 +1721052341 +288169909 +1052439075 +880384878 +1275178061 +1870535616 +1621122908 +1148355558 +102809317 +125078983 +1674187010 +777900427 +209435381 +109780005 +672616486 +277319403 +1620704141 +808140692 +1950161394 +925351163 +1209127678 +494046127 +275871818 +982221235 +1808295994 +1865963362 +1746289497 +1004271359 +659224891 +1749382955 +958664873 +232793585 +2037552864 +2011103949 +1113178463 +1165247277 +1734155917 +586817723 +166119188 +1836965234 +711896706 +1840306198 +467382013 +921332087 +1950086203 +1139998499 +1198651491 +1423306697 +1948139191 +1001329237 +201174212 +1009783221 +1495375365 +477046031 +1992004457 +1156187711 +195525745 +1590810306 +12975422 +854750636 +1192709613 +971640296 +1087544221 +1082778830 +835260597 +53239036 +100542459 +421932866 +640056759 +266661647 +111414452 +1351953466 +2106967846 +578796465 +125801905 +1909570401 +1718794965 +1324453396 +1185393450 +1519450508 +178298986 +1386567663 +381750082 +1673674351 +1863613694 +226270891 +682378414 +2059139439 +1817081197 +695353837 +766406427 +862307163 +1666994133 +1853950649 +1945085993 +354771082 +1907189685 +2045628452 +776703948 +399762797 +164806452 +888118400 +1751716263 +124290650 +1466914865 +1877518168 +2033861051 +1038226182 +1054487917 +1071770854 +410193043 +1232786903 +310854869 +791943125 +758977606 +26984915 +1018214016 +1441356020 +2086124354 +687811565 +2136709857 +705047133 +1550118728 +1656220342 +411514134 +1347721073 +2010991424 +171220172 +1245865878 +640211724 +570982969 +1410672330 +1528330124 +175215584 +1534962980 +847761342 +2052733752 +1421340383 +1885987524 +959738021 +345627589 +148696919 +45041276 +656482458 +940640044 +804018882 +683467373 +1958854060 +97891255 +622108079 +499181978 +87117464 +1327155213 +2049300706 +1743337807 +1738669347 +1249538132 +1606845583 +1909889519 +347920362 +99573660 +333388840 +1758592692 +1627903784 +508604424 +1146072024 +328181478 +413854529 +419928759 +66685355 +1373592550 +765556349 +215382274 +1418633827 +1422038807 +1156022319 +75169061 +2105506181 +967392731 +173060316 +580130612 +1466574709 +260177781 +1907285825 +1368391768 +2003515588 +1498471525 +470446252 +1462877523 +1260877396 +818366614 +1562451183 +1594266237 +429475658 +1042871320 +2102870661 +1575547682 +1371052798 +369241542 +1995476441 +1437738153 +1742834093 +613549142 +1653120428 +1013984272 +2035587950 +661659099 +1089153333 +1993610483 +1629051830 +1262213650 +426257447 +948142892 +1522391431 +186059625 +169051012 +1378423371 +1684531150 +639497264 +693817246 +797924898 +1457863878 +108784782 +244707487 +1887339536 +1151656102 +200094501 +1315403570 +375225252 +569336043 +1163396363 +1812963406 +164686488 +1776945506 +1318600186 +1178670760 +1665049808 +1980259285 +120340446 +1511176643 +1461827467 +1382554096 +1937434090 +262486711 +757461879 +2123493715 +431537723 +2135885250 +1660541217 +1071034987 +682218848 +310982468 +381415217 +791003630 +555689955 +121271105 +1942659732 +755784456 +1436674675 +170401337 +1325120500 +452587391 +1983364743 +1489806988 +82049249 +1154481281 +520994101 +1747099057 +987256918 +641334547 +1110792052 +301600737 +2023888643 +900742494 +564087449 +633866874 +876752562 +995625172 +622268476 +389810131 +2066660160 +1304487324 +700792599 +300591729 +2095490955 +1256482555 +421862835 +1890667039 +2012267011 +1858537510 +2061068376 +1189903863 +163641253 +1896949471 +532227204 +245690502 +903947104 +1053221305 +1992789559 +1891204022 +1694555852 +956097963 +45321112 +1570960847 +1856840458 +609408561 +57344073 +586109372 +1605033733 +679612549 +975919503 +1524210245 +1984099873 +1676712103 +1824801975 +1932107180 +785711010 +99181162 +1675290572 +650494373 +1957718672 +1588875300 +1840398237 +2121359926 +1338341124 +225141793 +219566780 +94804580 +1278363098 +64872692 +1986008603 +825435302 +1020970655 +2031329715 +248912501 +730327465 +493254628 +306256574 +1316436837 +2098288361 +985869123 +144872693 +1475014959 +822485348 +1821584796 +1152333286 +607108881 +459812158 +1251514448 +134915805 +1110306531 +1061749472 +1723791105 +803221120 +1035625750 +914648581 +1028362913 +1255192531 +1009453162 +159242363 +1320065223 +847978117 +984677665 +193552230 +731824184 +1233590166 +923879696 +1225078812 +1539846740 +92832885 +1175883525 +378232215 +237705578 +503414836 +1200717564 +2059290374 +1655748122 +1807826445 +371618884 +759778922 +1942742250 +1481925416 +1821528395 +1519049707 +137662888 +709670497 +286214641 +1166025802 +1964863028 +1295667803 +1325268165 +1137444603 +2143645920 +162462183 +1330996834 +727986456 +1396052349 +107392882 +1953065268 +788415442 +200225767 +981465145 +1166647657 +437931346 +1484879982 +219881573 +349738072 +993144456 +2027708018 +721356957 +1752923379 +1822966620 +55798725 +1426968126 +1194532680 +193461613 +2136638623 +1480747321 +1359487415 +1954018004 +628931476 +537271933 +943978959 +625093748 +699734116 +127492145 +1353080204 +2095786465 +234885027 +1158661824 +736718259 +435110795 +2140126969 +1903365917 +873042141 +1477523303 +2123247490 +1222780213 +323184112 +2003471861 +1944137170 +2076107491 +1678954833 +1999935895 +1355591969 +726003865 +45913861 +1344746944 +59267538 +1405401276 +1151281300 +688199014 +1942673209 +2095260260 +1313292762 +494923677 +75268757 +518889318 +443226495 +310153785 +1677551142 +1179944754 +745264580 +1670194464 +935827023 +1618306721 +1000234119 +911590866 +693603286 +1323418231 +767579079 +490256809 +1252042074 +299050264 +342709056 +460150395 +1025054130 +388622917 +1804897340 +1084321668 +1794024194 +808694992 +1772520683 +1589213755 +756471604 +938329797 +2084137433 +831740362 +1457219116 +379880280 +1141894147 +987286610 +1559825034 +1887158727 +509997426 +348168410 +1357981800 +1510231546 +1259759276 +2051585086 +686166129 +2027338355 +394358247 +1938208204 +178904971 +737067304 +250874951 +1203959101 +1125690221 +2055772291 +140797122 +772230767 +716983636 +1913317805 +213960875 +1473455240 +704163954 +150614660 +157711954 +13899422 +530494940 +1299606101 +1001186033 +2090319974 +1039281180 +1511183459 +291004736 +249779332 +873931357 +1550764012 +153880771 +1560097487 +1430618719 +548239018 +1350822043 +1609523691 +1285306322 +1601696994 +665999144 +263512896 +1509985638 +806796266 +1035743663 +79485626 +572630423 +1249704538 +1552940866 +1276794378 +1400319198 +1710652821 +1290693800 +1930814138 +862775274 +144396185 +1873650465 +1902056455 +1655579645 +17171553 +4352139 +382027354 +1567935566 +158232910 +1942124841 +851070637 +706471929 +1145463236 +313110680 +1991778251 +599676583 +979109825 +107807499 +2109662221 +1785906091 +1143551163 +41664199 +211052867 +245772053 +1594605065 +1487847245 +1646091252 +1157774238 +631057397 +1429421742 +2020549513 +775453583 +1155588559 +1775122320 +283549580 +1172760113 +1779474459 +665576934 +593212031 +1937707370 +460218128 +1444282668 +496695651 +1605681364 +1757393349 +340990254 +57874299 +589019526 +448797754 +20052872 +227441969 +1592348917 +61717071 +438494836 +1838120970 +1656322137 +1926342081 +1336728574 +666612727 +409915831 +618666669 +539678592 +1185369414 +1774255228 +167317264 +1468918994 +799531693 +1946791724 +2134495928 +1392743724 +1737015446 +447230408 +689542745 +86227449 +2052911773 +299452446 +427217703 +2110786072 +888471972 +876015457 +2130838945 +1115913941 +320880726 +45072368 +1554408778 +11518049 +1701394505 +1333267211 +1348246623 +220523585 +1743183042 +1966913292 +760202177 +781068808 +1593684873 +927519442 +102504154 +245732918 +726827518 +89516435 +1638476643 +316359316 +536746843 +180535740 +402586765 +442174968 +479988186 +829804468 +405477393 +1368460158 +1705819926 +388832690 +336890451 +2026700652 +433905058 +1891299229 +2038218701 +2135299564 +1077082793 +1238981677 +208339501 +672782187 +1058411321 +968541678 +1453850996 +504612546 +1896061120 +1556355150 +750345465 +475404990 +1645871585 +241338460 +791764306 +35134781 +421874200 +1194351071 +477309749 +901862386 +2024155540 +882787142 +122838896 +1582491818 +1271619832 +459729347 +1461708822 +1705524891 +203544929 +1352443876 +1693340807 +1280627722 +443941905 +1901680308 +1953409909 +1502353226 +722738338 +1259777257 +2006965773 +471315811 +668648760 +609827590 +946720801 +167036697 +851166050 +1738485108 +202171478 +1273040250 +785352531 +679481228 +27418988 +662024423 +1562268370 +150257884 +97032593 +686404555 +609987231 +1558741416 +244445798 +813532160 +763701644 +1937786605 +2094159882 +1207643549 +1691983265 +1900086144 +562513127 +267237955 +1012379753 +421995252 +738553766 +1681028513 +1031822842 +1685274568 +1848065211 +1882988892 +1276276028 +2050236689 +1008545494 +2061628559 +582234269 +1035964482 +576169335 +2144502640 +1186222366 +673201928 +683423547 +1796209598 +84459696 +927869345 +462258110 +848161340 +718172302 +408934345 +2055804889 +262671919 +161536841 +470834369 +529909874 +1173916594 +892829621 +1268463641 +707461460 +1924652464 +806254561 +408043023 +1660157708 +2082530589 +310796064 +521219555 +1996675500 +893030334 +1557184037 +425361187 +890049326 +595922756 +1098563116 +1573472873 +244648706 +1183022812 +353858570 +706906816 +2031184153 +1072030872 +1115841161 +1939505394 +1334702791 +1277378002 +262856115 +1864612665 +303810949 +1155685737 +985592658 +1011272409 +932854553 +1791847219 +1419315432 +445528613 +1726894160 +1730111496 +966748168 +1576086013 +475658182 +376448558 +2001447200 +1365707508 +972371314 +952526668 +791696733 +1217020020 +2135549481 +1145555303 +1923926836 +2019249986 +70102527 +892284350 +1811271732 +1404805318 +22178704 +2074127848 +1121934336 +325989653 +1082329937 +2107526994 +1337262062 +2015184490 +1751890566 +609093846 +313229455 +1331301078 +191721695 +1279977624 +759903443 +667379877 +1656426182 +613866996 +2033087386 +481313848 +1566393664 +677300471 +1698333868 +1554459497 +1822855775 +1474777056 +1426225835 +1892958302 +219577758 +1090013920 +1150279973 +241756463 +1016658120 +124730661 +567746116 +2098988057 +84774007 +1905008179 +1966688899 +1836664573 +366618377 +132434706 +1020482004 +558340072 +1412412330 +1780385447 +1225719950 +921354864 +246768795 +1111323688 +1402668712 +1813162460 +1788624159 +953518932 +1220138309 +1463996286 +280812341 +498880497 +1209470941 +500390099 +1588894417 +212267266 +742146562 +458068889 +336997927 +1309892679 +409573298 +421771934 +1067417210 +228778549 +110952860 +1434035587 +361213255 +1131434864 +1992375660 +1773625586 +764336663 +1070611962 +547496802 +1011105459 +34452002 +1950165515 +676784271 +1823076161 +756200799 +1896922580 +1139588800 +1037013140 +248319429 +201576093 +1537403240 +1837213846 +413843359 +132066154 +147799087 +750841286 +1441958833 +557372385 +1172613220 +361892395 +786150934 +1283566080 +1795927983 +1147364190 +267517296 +1640819995 +773506128 +1031853960 +563948309 +1321002930 +2042959419 +598400311 +1123684797 +572260042 +273992824 +1879885597 +321698974 +1413581624 +769415089 +570018404 +1615157717 +159334681 +259748602 +2029001076 +291400836 +407547690 +632358714 +1733359669 +964920075 +1804971935 +2095252065 +1751071010 +941054367 +1743696400 +750951552 +1208571664 +1237032747 +1524457680 +92941976 +1800981056 +697976962 +2135901395 +251897719 +1821661760 +560677789 +525890543 +1554063709 +882376763 +1939472168 +175995150 +1452395167 +1407146237 +335329832 +1712143770 +1288663666 +626730668 +2119691460 +1921022380 +212606689 +937127887 +1578510667 +160375106 +540715249 +372081387 +1904071506 +1291666801 +1580653051 +993620605 +668640833 +1673595027 +647118013 +1366617796 +1662012774 +899015732 +1040795908 +75206915 +1424906276 +447375969 +957583678 +1216894796 +623371119 +262495198 +476557385 +958700951 +1974638968 +1765221051 +1585431619 +1946846780 +1538759784 +1798038309 +736491019 +969786803 +1958413415 +1277206269 +1341868190 +1715001274 +421389422 +775037593 +561138231 +1090030256 +301148972 +1208256245 +309164404 +1963161746 +2107271977 +1349960312 +2038368661 +1384694605 +1797336281 +848468692 +454105753 +273223752 +1110963890 +930663139 +1231924704 +938119210 +548400542 +669872675 +737482342 +2087160326 +320427336 +1473973361 +909463482 +131357104 +603695982 +103848024 +1846358378 +1025085405 +878885618 +260012961 +2115115661 +1180034590 +1468269206 +276796417 +995712689 +1428057536 +1626756729 +886597702 +665268493 +1276609362 +1735066394 +1119374247 +1549833114 +698546636 +2050037386 +634274170 +1636665846 +450954280 +1304146846 +226664540 +390630959 +1624574182 +1700637902 +1300094441 +1755931286 +156850236 +1403942465 +1454806016 +1181935641 +135344435 +1714818978 +1149567654 +1315379026 +1035604536 +1426364071 +163608067 +316178424 +905637152 +1050205769 +981446918 +34762866 +637788516 +2100821165 +1584595981 +1336335152 +2003374903 +71386503 +825517351 +306845535 +1375533349 +1052181891 +697476494 +852623884 +605336145 +1997570935 +461071522 +762186382 +1254029753 +1915877539 +1944122023 +1389374188 +1483212869 +946206030 +557269566 +371333757 +225086453 +720877633 +687512182 +1130723606 +1771083403 +1668959100 +1165486472 +261388271 +1622296617 +602598805 +1597723423 +1478187872 +673985309 +275757126 +1785033407 +2049518658 +1327939018 +335026254 +754658894 +1933275163 +185113541 +1215730417 +547977897 +1439143294 +984124308 +344616273 +681033835 +319853529 +1290822303 +1238303401 +691187286 +1515908756 +1959181035 +1378699468 +499148714 +1582780790 +900174920 +1664635187 +1844169061 +374987889 +119750344 +1294408836 +1853175761 +793735653 +1570165963 +1490725521 +695770664 +750621333 +1825751775 +1450429558 +536412848 +2010865316 +518676327 +1084390746 +1302524963 +1502800635 +1429007019 +1983558798 +1822654164 +572345674 +1074378551 +366357803 +2088254430 +886075938 +1745057271 +439919497 +321373080 +497748544 +2104554684 +18058493 +872736433 +76821380 +1312467330 +578428547 +870557034 +735149645 +2069154068 +1566327698 +1485770978 +1747422195 +869273608 +2022183826 +1610803863 +1387949936 +959090924 +765845178 +743266923 +240614295 +601920328 +418437440 +812959969 +1676298880 +784795243 +753730752 +414891170 +382368866 +1193650249 +736264251 +880117410 +1150721285 +754322744 +1752853844 +1227542665 +2066790074 +183798743 +2098099699 +654456071 +105469163 +1516943749 +2140227049 +1852891358 +238733710 +2014927228 +1316211573 +1626683646 +826534504 +2082056752 +222466921 +1067148800 +536493432 +640904361 +1880108769 +65308664 +1425699604 +486355873 +480199835 +1808068471 +1680006122 +1216464086 +540702233 +683243759 +1970786830 +146072429 +1910786425 +1890093257 +329871172 +1861402476 +397065680 +435340335 +1230862578 +389809082 +140748045 +1469596288 +257252662 +1456959619 +948796286 +1083787166 +1391532723 +1171263207 +3452318 +1928026155 +1812167569 +1883561088 +1993334820 +1090383525 +222433313 +326051007 +750968348 +1902439436 +1542515093 +1291670582 +438199547 +1365818275 +1437743011 +201502324 +1108427884 +1767614184 +2062904801 +1505493565 +55470871 +1146283731 +1895302647 +196218917 +468396371 +5071661 +1653178536 +1417192657 +1088858827 +897227611 +440972216 +1092311146 +677770118 +105656137 +828388586 +523621290 +1196039663 +1050821899 +849672297 +1947008011 +805777687 +244703742 +1091194945 +1243977235 +1610522018 +381454309 +1445479559 +571466254 +1584845 +1360900712 +2076959819 +57055716 +359700795 +1824778818 +253274633 +828097166 +1829850479 +1906453169 +97806175 +771225659 +656197132 +538778392 +1863536805 +1333967251 +644434529 +544441743 +1857588541 +1840474192 +1595263642 +559777191 +1639998556 +253557682 +804480933 +583709853 +1497534917 +267519303 +965164162 +795530828 +838985558 +966749007 +8947893 +768461729 +1023804724 +368648688 +445756900 +1277079357 +1196745855 +128123731 +1036048879 +1294552030 +899349390 +1692246011 +1833330422 +615402547 +878729614 +330281304 +1159844290 +588834508 +23271848 +607624285 +1148611699 +1663270404 +861181967 +1953092632 +99496610 +211233236 +73128288 +1064660772 +1006764064 +912113846 +2031409780 +1015711957 +1680575575 +907730856 +1384360646 +2126332475 +37326565 +433622853 +106972559 +1073375444 +1728174883 +1006321949 +618137808 +1414021658 +1621724497 +1496867422 +1744302962 +634085139 +2085701930 +1767574810 +1241709424 +1086829981 +1283361567 +2102891391 +892438966 +1382858177 +166640979 +965567254 +300035301 +1173405044 +1877681100 +183961433 +41633353 +1410773027 +1091692289 +1425993999 +1389621855 +1129018855 +1859616852 +1496594414 +54910651 +1440308088 +355432715 +673048459 +706846098 +1977157212 +22432234 +303665412 +463758704 +2108134164 +2071240222 +1705468128 +1047480498 +1207118141 +1660875872 +1939919464 +442492670 +1827516851 +758003070 +742527972 +853438247 +488200522 +926489405 +895071601 +1898973549 +2018181695 +173581952 +1141111756 +999716902 +2033198805 +490222522 +1054627553 +1326023245 +845655238 +1727676013 +2032869343 +675328802 +1750108247 +189051107 +1139087506 +1710758763 +112807681 +697071987 +610755613 +1319925823 +210464211 +403191429 +1762418493 +2037981062 +1161194499 +357462817 +743935662 +1649395021 +1283952223 +1639007263 +1400884923 +1154650270 +1812589215 +394513031 +6883524 +1698304372 +884735554 +1061511077 +876843969 +1730390792 +641703442 +762229664 +258235946 +244328041 +951280771 +1397323453 +1955086805 +1064088453 +2094395440 +418358770 +236530628 +157376003 +821550200 +1998949121 +47873417 +1982744699 +208928291 +791809079 +1484656073 +1492880514 +283332694 +738057348 +500047136 +2095921910 +1132570379 +506930660 +1646742634 +2017305933 +1568441737 +376102956 +1600213077 +62661532 +1138332620 +1858449024 +306989573 +2089613392 +1108288829 +114592730 +1006218197 +1055200621 +532951501 +1242748825 +1212576624 +1354501701 +1094214298 +1260450041 +1189762752 +1303142589 +2052259121 +526935177 +648539455 +188108167 +1264992525 +1148586591 +136546429 +250079257 +1655517251 +1783289064 +119901542 +1076475341 +11908372 +1720114620 +1139136873 +1150240992 +1431079996 +1446126446 +1092370736 +391885177 +1560719177 +2098588933 +1447085798 +2093670678 +1193854110 +512178774 +1300688731 +140584761 +1772628815 +342967835 +1443727350 +1677404288 +869903013 +2092266806 +1865512456 +2134895538 +1093369749 +2002058885 +237491147 +601403353 +1637864301 +357392690 +1677878694 +1649772673 +2077507310 +669531919 +652530018 +1361103658 +2115658365 +1744900754 +1752988835 +1528893894 +1696006040 +1052590985 +1475080924 +742376502 +1564769759 +628286007 +882961263 +1189914926 +971253843 +179204966 +719835567 +1841156856 +123988124 +437864375 +1828568746 +1217357873 +292439612 +2066059894 +1818761226 +1930303914 +275968936 +1349156272 +1432592939 +205992598 +2018688191 +2085122957 +1567096256 +1986862909 +1682540064 +1172601443 +1368273155 +1231062456 +77708780 +695870432 +1973438958 +1642478539 +1324156439 +708916574 +684909817 +147926634 +888121540 +1404745384 +1989083490 +1012109664 +1842609759 +1670168589 +81983889 +2135049372 +1588744835 +1900745116 +1917869638 +1864713771 +1102417740 +1202978929 +2070706369 +973622284 +1140618239 +1490318977 +813001545 +675674655 +515436772 +33791052 +1906737111 +593145552 +729661484 +1732692421 +88140443 +2053817924 +294125347 +773050260 +54260910 +1182246887 +30311997 +2043344401 +46872903 +1872921756 +1566029342 +128856793 +1860487480 +1007290529 +2029601909 +1630873470 +724520652 +984536001 +686368752 +647743373 +1958158285 +1826986991 +2138062350 +623676182 +355177998 +506015474 +657467235 +114431461 +1099161026 +1387128719 +1847123882 +1187301469 +1293462995 +2141249230 +1960351729 +1347723906 +1176012469 +1990663726 +1243584659 +1222885373 +1716101835 +662130353 +1351742166 +1429105667 +1669420882 +1233860427 +912495490 +246457886 +70912780 +1598864242 +894201259 +2029071066 +1278367585 +884779961 +505263600 +1633545583 +1390795435 +1162730835 +1747977044 +342472813 +402375907 +1447617278 +1529774282 +1695838902 +1441382860 +1342642363 +896079160 +469911682 +1185822442 +2139663819 +1692797055 +754440629 +654310524 +897055573 +36062648 +176247758 +2130916000 +948558138 +422705644 +54345132 +399938732 +1316906903 +2083416198 +1678306317 +54203216 +441196151 +1164368252 +1444998651 +1603926986 +764861648 +1787471464 +2006302893 +64995279 +1169762098 +1554658148 +1506378139 +364920814 +303253660 +1976289821 +1550743256 +295433832 +1521603228 +157700237 +949744356 +271175153 +193762885 +1125992115 +254607505 +1142321024 +1548697759 +308952638 +1542259756 +718121015 +244885188 +1073082426 +772324231 +686081339 +89967030 +69839235 +142524678 +854828679 +1857310699 +1343923 +919823958 +879589150 +1556002071 +278718449 +1244509964 +1859255732 +107524623 +647769572 +7205916 +1629127851 +805469809 +956950272 +1900303005 +999232694 +2082942387 +7426862 +2141553718 +1484156499 +316379500 +1536329827 +54793866 +561264689 +461928605 +827118097 +1247346028 +551895635 +896957332 +1389870706 +1406724314 +606784384 +1391214630 +179064624 +1486373534 +799733053 +457783074 +583399850 +511505137 +565307697 +1231169422 +518711053 +46951900 +2036639231 +1475661326 +1947254905 +888388277 +1411120065 +1954681768 +882458348 +747792916 +123577620 +271304527 +802586782 +684842309 +733233132 +1629704880 +1932188338 +1285128767 +379178564 +1174575396 +544369434 +985962948 +418306378 +723434058 +324852834 +1218039432 +1181217132 +908252684 +1729544569 +1746524829 +2139422106 +100771975 +1793476730 +2028577689 +1576433301 +1593247987 +769482319 +840069718 +1400446107 +1651940667 +1587862635 +1524023728 +1923245194 +242965769 +61382389 +508994678 +1872670649 +1993570727 +1794123445 +104365566 +1020662476 +191009231 +1090328514 +1438968854 +914443290 +1415181349 +509524638 +2095660422 +175950385 +91585560 +1694701604 +167888844 +192357535 +1340694686 +48982885 +1768790836 +786459025 +818465204 +461376906 +39421485 +322922223 +2049239541 +1563445213 +98683769 +144721663 +1624827602 +607678447 +2017392312 +1470914682 +254318245 +2121757878 +344093510 +445327476 +1064602745 +1783062364 +1359770766 +332300446 +145103355 +1307947541 +508250831 +236688915 +855165497 +676139675 +429046450 +48376535 +725122561 +50353638 +834835560 +1543587765 +511730544 +874257045 +1866509989 +413486438 +290218610 +1965193758 +558208101 +1915046213 +425388558 +428116765 +1238477247 +679706803 +402390996 +1582570757 +1125034279 +1466993741 +1218149473 +337321398 +1799294187 +1363252828 +1645268939 +160061370 +1599941743 +352950788 +836201046 +2028988193 +401327323 +1561323607 +2079341831 +1236162883 +957427724 +443588728 +2110419929 +676454065 +857075166 +253154891 +494164176 +1415283267 +20717456 +919552734 +1843400032 +1259194703 +1599259537 +98307380 +694281812 +576810168 +1565301121 +1912431286 +914131566 +1217111660 +1128200466 +411916857 +1377173031 +580658562 +764867645 +65890429 +462163107 +1166194968 +1627214036 +394021291 +254874204 +437158112 +837610019 +217810485 +1113612178 +1694685185 +470965376 +1607776354 +962484804 +491682833 +379845440 +658401188 +1750877536 +1979104977 +756708569 +297675701 +408431497 +174526042 +62623339 +1322563064 +1391637703 +1190823805 +1734479921 +621327086 +1771482367 +351863919 +687217515 +86161827 +1518058887 +166947903 +480183118 +1772933091 +604106015 +1317793137 +1990743576 +1717718193 +864994674 +314225305 +1178010899 +1827479478 +805908138 +1557856339 +338397018 +409302026 +1389477668 +1095105587 +706977727 +1797909166 +1269631630 +769601066 +972988582 +513785685 +1960424872 +559984855 +1135112771 +1584423591 +911848774 +1822330286 +1670585418 +282424014 +1989278189 +3284888 +2055357105 +445900556 +1321078025 +1898617034 +16135102 +38589051 +65358691 +1194146001 +1866068529 +871266829 +604518693 +56981900 +1280568855 +1993996361 +1152087487 +1987546583 +1644421879 +274235469 +609664001 +469926813 +788021154 +422605225 +1029911669 +1923133925 +2007028817 +1941760443 +1597980563 +1530130587 +76700809 +1439775104 +1533415476 +2132057915 +1885675661 +707009853 +1883191301 +1901810763 +745598905 +1948549992 +948473116 +464183786 +672333173 +1552991809 +521165686 +1952902028 +1399504523 +1673253174 +1792964963 +896442754 +1947488643 +255145317 +1366369568 +588026150 +677750542 +248797589 +363676427 +537295711 +43074384 +1961656991 +2067426299 +119775194 +1253948447 +1453358127 +104349461 +992140460 +12884332 +1987540762 +746467575 +758483237 +1788607106 +1694940692 +1222667024 +313456631 +1100448853 +1743832710 +118875011 +352469728 +1269602236 +1911839975 +1248912483 +1069607232 +19501644 +467798403 +1657633382 +697252186 +716595992 +2021309809 +1234547898 +759670376 +1835483152 +1154490549 +879445570 +941947952 +460365028 +983795031 +1934088412 +473249360 +823852145 +533072340 +1231732598 +464975603 +80529384 +306915974 +778432234 +1180978237 +2050748684 +897307246 +1533447966 +1172867273 +661663573 +634876801 +94990857 +681165217 +1102675204 +1752624239 +1378417403 +1819271196 +1626450400 +465481653 +431457924 +1314449905 +1619972202 +1310903495 +108914209 +2080337230 +147214878 +2043002621 +406102943 +971067024 +428591313 +1637835541 +1436042627 +509120697 +1944751515 +66991214 +1690098935 +1848016551 +964298460 +1076063253 +873400176 +1625962033 +1710940054 +968391033 +159643602 +666131610 +573531624 +1538061005 +337919158 +52498377 +2003542659 +769377082 +1366948282 +1476031213 +2080280577 +1475862491 +1408884796 +80011808 +1371381464 +1814987739 +1051078832 +1799972778 +1305339632 +339637811 +161609827 +1102607499 +406629025 +1851708762 +803140402 +1370927485 +780288367 +1676540579 +849405870 +343744773 +497447964 +1009049472 +1009876383 +1070979589 +399626830 +1347795541 +1123477966 +255685841 +2117172624 +342942600 +1731717054 +2049969553 +1818805091 +993118202 +2129981361 +1042702907 +660622293 +1033576545 +695192037 +1965961925 +1373214357 +856801865 +921085776 +1779843382 +561026979 +1724226179 +1003287220 +1341315347 +1253283110 +1852693090 +1685060120 +1750731074 +714258915 +547452856 +674227015 +1113885745 +1895248397 +1797704981 +1369571586 +1864937373 +2140647581 +953804992 +1767423279 +1811969024 +1946923195 +1749920992 +707188284 +460061840 +636013890 +1402380321 +278540118 +2009228247 +111698538 +1199625894 +1641587981 +672725518 +776368425 +497391553 +2014040865 +2029651535 +202600996 +1551617337 +1632898962 +916859911 +2099070193 +159642329 +2030745656 +1846834943 +1957347311 +1252833594 +1564288668 +1950511244 +59154938 +1184228299 +1614996621 +2006078133 +786665644 +174701257 +318656326 +1422679534 +1577081578 +597196444 +1284424133 +1688780117 +1796822338 +778528466 +214021987 +425707116 +1275920020 +80579204 +307875003 +1478521016 +1632196541 +1940773965 +247897279 +1583783087 +2100416295 +131159287 +1283134382 +1910279958 +1383992881 +699939402 +1713307554 +1443147819 +1884167702 +1180820527 +1301742305 +523349698 +1355521784 +1620398631 +1946029232 +785119715 +70111427 +1082969717 +326416184 +1866933765 +1861498183 +540438171 +145157233 +989934555 +621017375 +453032237 +320971923 +105730268 +246322554 +568869202 +1689513355 +199255201 +700028489 +825164089 +2109535159 +2084021370 +1525103492 +1675359066 +1379685542 +1261787546 +708695945 +533944199 +1785137244 +2064217730 +6859182 +1583682828 +701853797 +76970609 +519168897 +1028269981 +1943904374 +233183432 +1568708152 +2089061608 +1223117988 +42241879 +394610197 +1544089911 +147972147 +640932751 +2112959114 +1837485503 +840187953 +665503955 +515165944 +802239464 +602041678 +2040269436 +330114882 +1981727220 +1154573334 +1038810828 +368187771 +792226930 +955544910 +375046953 +228426110 +1657398707 +452017562 +747595007 +538185040 +248438288 +980778440 +2106893192 +190016248 +56412780 +1651423 +584626445 +1600502691 +149623570 +1225559197 +1565978157 +1987109073 +2065747150 +83998465 +354791370 +720502966 +686040143 +247577158 +1050617849 +520283715 +1402150493 +2089428677 +888471486 +46893775 +897489939 +1263518439 +275319886 +407404998 +1715536001 +1022914893 +945590038 +1963974289 +2003693333 +904999582 +6506890 +2060106113 +906651005 +591133335 +1513125157 +1056274575 +1816692532 +931619666 +895900001 +1734956034 +1015618131 +1250691371 +307975353 +1701658274 +1498268529 +1358593202 +74458341 +752935374 +1300538231 +962929827 +799829150 +50544522 +78964618 +1075149036 +457949520 +1794500619 +2098063929 +1403539558 +1610991261 +1954273615 +161055492 +1617498151 +1866896080 +1067706497 +61147838 +1232537589 +2123981072 +1877840371 +16673608 +872397425 +1465312757 +1032291739 +2123088796 +1773288110 +586466366 +1473873678 +984397664 +660924707 +79325404 +137452247 +1623854535 +879154554 +187996769 +1702819153 +1954303590 +645946289 +1349836125 +1904883872 +2049485847 +813343738 +1711673839 +63057691 +283358241 +1431086271 +1130764188 +344506079 +516140213 +1107261613 +74862802 +532813821 +1979659038 +1540175560 +1565105560 +1955264187 +1165980022 +4088278 +1281654217 +2894039 +665012986 +1360979621 +140346286 +141383873 +92650528 +328343056 +1844203026 +2046954118 +974289345 +1046555503 +1804354342 +876291545 +1859899241 +1368544533 +939349236 +2143257482 +652147157 +2070113425 +340279914 +1168287370 +1029891390 +415142716 +1701101191 +862066780 +1955318276 +1118723103 +669847319 +973814651 +1122811382 +1951501536 +976708690 +1787824368 +1164997510 +1117054976 +1929208241 +1257648038 +1445398032 +1625927619 +1157118508 +272203730 +524999475 +813989203 +1148495275 +237415068 +35050088 +2087844511 +233188903 +687197245 +2010474288 +573468817 +1855484615 +892882030 +988611533 +1409102158 +1754948811 +796446162 +380341614 +277312482 +1770260813 +1503152996 +81330371 +599485855 +1143493716 +1246327881 +1716540831 +925218309 +356492271 +1014455216 +403662280 +1513610779 +1286658946 +928661755 +180116334 +287670573 +1166076824 +215166423 +228031436 +1399265727 +902363668 +91022077 +1972734544 +610364636 +983904107 +813862429 +2019466794 +591369270 +1610308591 +252324760 +868681753 +1233085756 +1755477756 +950012124 +1832571611 +751487824 +48856357 +1401628795 +1676706133 +405348628 +268600363 +2080368414 +1918959407 +1555259309 +861546521 +2099075742 +1842929882 +2027623345 +166758517 +2070961318 +1279405424 +1069122185 +14499747 +1104656320 +1679486821 +998403855 +1918518750 +1551469968 +1589773125 +1381343693 +1803794728 +310971230 +466945802 +1411788837 +1260983354 +152033765 +15793013 +1309839711 +1553662560 +1692499147 +1715188339 +1822262923 +1625383913 +1486664099 +1230038584 +339446786 +1438256193 +925484818 +219586484 +1605014710 +848962489 +1498991908 +526653247 +863462236 +456164581 +58656421 +1861866091 +227199683 +1610126389 +1304155569 +1608543376 +1266437469 +1615126799 +2075489178 +530742658 +728626506 +80039296 +546535672 +2038466217 +1633701856 +91551171 +1606170909 +1308481132 +1716935084 +945351360 +391036068 +2056381870 +236123905 +1316520887 +128484706 +1841138615 +17999728 +1627476615 +220308214 +881461964 +2083641196 +278964635 +595844408 +163357231 +1889091024 +1899999977 +1771900607 +1008044846 +1367643128 +1699906138 +1538787504 +2096269634 +1779945434 +2085323176 +1987252204 +1266163642 +29390699 +1445939465 +427161126 +1746325783 +243807177 +818197195 +1655224006 +479931082 +2134718082 +1783708712 +173586049 +5234162 +1263701679 +393894263 +886696126 +1199859227 +672858899 +1482540534 +1363216458 +414466275 +1235056863 +987633418 +1422511121 +455216344 +540055908 +813814978 +404002330 +172517694 +751654506 +243770886 +1438681336 +781045206 +1689710351 +1865842463 +379887341 +1933517528 +536556010 +2035111347 +265964962 +523790444 +1671336412 +439551011 +529024606 +787554443 +833445275 +1415720732 +1987413671 +1506304174 +750777619 +1203146481 +1920770449 +1985834482 +43296251 +1195797923 +293567178 +583352159 +2009612901 +697569509 +755869853 +613783759 +941340395 +47067542 +1394828965 +483567099 +1912910005 +1774716307 +269600979 +301982367 +1662344006 +535565942 +825772811 +1186196770 +975116953 +1354797417 +1973751214 +1808562228 +623034501 +1813681237 +1167382754 +1373812120 +869344070 +940669556 +1212162955 +912640322 +2136467479 +1505730133 +1495992481 +1998596732 +55815994 +104378687 +464896843 +997156390 +151446229 +1859725809 +1480723489 +2064356234 +1486958468 +1750324468 +218854953 +1001818826 +138406762 +1044627764 +40531949 +1113523716 +251941533 +2014283163 +774602296 +874976034 +1680480752 +1941985051 +101304507 +402341174 +735170959 +1313467462 +1314981496 +724154790 +671713947 +663490330 +575267874 +727529942 +767869017 +1040164717 +1724686332 +919315246 +752406878 +1057926173 +836187832 +91881698 +660766993 +1055042785 +1093700525 +799173756 +2099670549 +1134232474 +1912697472 +204128434 +1001031989 +539816120 +1079104468 +534029093 +334317523 +1180408975 +936370267 +1069488482 +346392789 +103868116 +1793643272 +1018106737 +767358446 +221427498 +1745636679 +1535227463 +1261592216 +1322839363 +307059061 +2013999094 +233281888 +1143246893 +2105880793 +894048881 +50806030 +1052097670 +1693222637 +2992931 +38846496 +1458436461 +207121365 +1039878485 +1998252582 +1286225833 +1573907578 +185086457 +319151161 +362794197 +1254574940 +665543950 +466662313 +900734564 +1683650687 +1234020759 +1122162063 +1281803718 +621764574 +236270631 +457159433 +928823635 +102786077 +690441321 +2072070528 +61183222 +1584490203 +2122876558 +1113280892 +1130229192 +2125869489 +1152127388 +441182006 +185507206 +44522225 +291950940 +1471733040 +1618429803 +477037397 +1790884201 +1981224001 +1731612337 +308944503 +300402666 +484863254 +1992595191 +1534423426 +1607025317 +1126915261 +8704352 +1843295948 +1584074695 +937527988 +1946082025 +127032368 +862114868 +2007265248 +1711522571 +837507779 +973062492 +694268116 +815893620 +2125189881 +1135450122 +1001400827 +22228458 +1427401062 +325650219 +1640658262 +1904438459 +2116534420 +1474398615 +1488567149 +277995275 +1774801281 +1973430403 +123106818 +1161741059 +1432972072 +1250022080 +1170445412 +1128784372 +686613127 +2107973400 +927382749 +813645495 +822604620 +787164349 +377684419 +1660112399 +1760226842 +1071952535 +328522372 +1737933075 +59919009 +1329923199 +1760161533 +1487320071 +1655573418 +1253336147 +1244274882 +1624624190 +580251114 +585358383 +1902619465 +207568748 +411305138 +2025726284 +1369309807 +1844277210 +1128264716 +392271571 +825577934 +1814877843 +352761323 +1752960684 +481039690 +1175365944 +392641385 +858724109 +687994695 +5384579 +1930676644 +1016517067 +1743317654 +1990595653 +198956618 +1355995540 +1330432076 +1854530036 +461848039 +427223311 +1331670578 +1042099154 +1012581694 +1086806396 +1249667902 +1423886833 +965049032 +471494061 +1120680395 +2093313748 +863765633 +1946258330 +1760707943 +1216526956 +1551735366 +94263985 +244409252 +1944376751 +952988095 +932403948 +1949761331 +736181091 +1948921015 +1545595337 +579293097 +393986 +754107229 +1909725173 +1854924022 +1215955269 +189464836 +1039110953 +110570775 +1202046531 +2125917349 +1360238677 +478449716 +943482733 +1831732738 +1599130111 +889312833 +548014723 +1397904793 +502537128 +1764541680 +802156511 +596801113 +2008950932 +599049615 +1549789208 +793871232 +401327298 +138486652 +595308600 +1946922635 +717779749 +595702586 +553546217 +480021274 +303142960 +1769501486 +669486111 +1342253913 +1880072261 +1871532642 +1320687614 +1092827290 +202498710 +116686699 +777076380 +1801628821 +1005999532 +1325091104 +1052049967 +1508536660 +942149136 +1854206478 +2105337774 +803616420 +305772445 +1507643334 +1597487653 +707099743 +1646129986 +45312605 +506538731 +216426087 +641015191 +1060084948 +696447362 +944158151 +682102786 +1365933473 +138928417 +414691399 +1089982467 +1459616031 +1507518689 +1292481177 +1576302731 +137111421 +946626350 +434818615 +1462202525 +1998676317 +1943355276 +256868013 +1705399148 +1901209402 +1060484434 +2011171593 +1261369088 +510488439 +570787689 +760015427 +555801044 +1077326420 +976441514 +1196816235 +2137411368 +1672888876 +2140974386 +672030506 +891338701 +132419155 +1086721905 +1981321168 +1592035187 +446756946 +1126318697 +1020854270 +583868367 +2072945048 +1455672885 +2046070893 +1924137717 +1251544513 +155455258 +1482053217 +1005270267 +1215939692 +1345741163 +119155708 +1726428131 +1916528852 +879171135 +134745527 +846371624 +1855612649 +1331561762 +836299344 +1381017878 +1325052501 +1508329850 +124872931 +1457471656 +447568107 +2106194100 +902023195 +894325053 +1085029149 +1922877465 +1478193420 +1010490549 +1231066703 +1376780665 +787144619 +335127568 +1532235924 +121714188 +1340397836 +600691968 +1467455351 +1459553544 +179636452 +1236500555 +191241031 +314381979 +2082872179 +2046853680 +1645943742 +771687875 +1280387910 +823512595 +132534077 +1405260842 +133500603 +580102184 +1363971294 +1035523799 +1474427237 +301516795 +810917616 +805137010 +1312007345 +2041984319 +34434027 +2099151964 +229628240 +1566669951 +73382504 +1570026076 +19878272 +1540837856 +882095972 +199514724 +629854763 +1073337003 +513896703 +565243295 +972707035 +12356797 +1336931170 +105611298 +835869392 +1469465248 +1510872140 +969369996 +2049567432 +727359786 +2004893795 +1376511022 +1028876581 +668327763 +34164384 +193400278 +562828435 +68598411 +145068594 +792456675 +1635268363 +218451099 +214999103 +1655146635 +1759288955 +1097095075 +1854661359 +241660070 +22948430 +221074414 +806903365 +995655465 +233431212 +2143834536 +1101266763 +1069300604 +1465816136 +464655255 +2038670600 +1367899920 +1192015041 +1896080747 +596927294 +73407975 +416924863 +631091678 +266808253 +979753298 +699690090 +411876848 +1772209973 +187474805 +630327947 +1987209076 +1842621440 +242133254 +936820503 +1549799151 +483793324 +959768933 +1770873565 +1290696690 +1955424398 +2004304777 +1287047578 +909207514 +926121734 +605380066 +1373862769 +817308686 +1973279986 +418394163 +565905786 +422723633 +491802138 +982830649 +1053815311 +758610391 +1962583947 +1753505401 +1170487239 +1587310272 +1940980206 +1800815186 +1427035700 +1636117998 +2042948440 +216372555 +1038433501 +379258117 +1176141488 +661823419 +1669954807 +984082238 +518644548 +809518737 +1893289752 +1444766282 +1414898803 +1119668874 +114591321 +1240695141 +1538063037 +680497107 +1663418774 +2029865175 +1663327756 +569750438 +640991918 +1478428055 +175772191 +1811479158 +918254679 +2116752398 +1464810696 +197806731 +1605386748 +1360275489 +414179286 +496336602 +1739533606 +1590320774 +1158160021 +1262004765 +426919364 +1676804569 +2071523502 +172725469 +974087204 +1338938657 +1292394343 +1088678525 +432150150 +682973732 +1769175632 +2095568925 +565355259 +1285019740 +517835715 +1206347177 +615964147 +693607906 +870342687 +1534218826 +662876656 +187669736 +1732025557 +120779757 +1547945225 +2146204843 +617116359 +1139995183 +1589041969 +1775276380 +254516300 +2015961333 +1304597301 +178556154 +41203154 +131200857 +1517494811 +1333597497 +1219879382 +1949644961 +2016571229 +841571366 +1897730238 +434442840 +2126591106 +268082305 +1640790018 +595071605 +961690212 +363649057 +2129290431 +1624566868 +551318793 +1713832340 +1745346625 +2099264018 +1712553535 +214979336 +1091775553 +1154111856 +1990255716 +1346291853 +1022589542 +1147369370 +1524848007 +1063792696 +1278570227 +894859170 +249906546 +350965962 +697020484 +118994127 +1192537328 +447267074 +553436968 +1171644787 +715349380 +46743338 +1766716392 +1677039592 +410392395 +1748523176 +1154122812 +961711189 +1314871868 +751985790 +913491559 +879941756 +966965126 +2005267113 +2034053612 +809737195 +1204075318 +909159506 +1957106565 +581439678 +1972952203 +1088193144 +1476298848 +75375101 +1439159106 +25835684 +194369228 +484212787 +473102759 +747806196 +1655857574 +1188452139 +794549534 +1275090318 +718008083 +1204941930 +876129846 +1872130895 +19169471 +43518067 +476633037 +932661030 +923459823 +1443598164 +790444495 +810029787 +105851711 +1994519814 +1719189294 +2062958276 +428475844 +1544657849 +1003667772 +1904774692 +1620032950 +295343231 +1930610377 +1814402178 +779556018 +256229488 +414724727 +287929944 +1444681627 +1209274261 +1563020262 +15206062 +266732543 +291666461 +1887336957 +285902014 +335184528 +216486347 +1218563045 +1258644351 +1660084511 +2009007540 +2068674138 +1765936222 +1856043706 +1640379784 +1681410850 +137035902 +1037553985 +537594974 +2041810595 +510103287 +832938205 +1824937324 +177021818 +1612494223 +2081166812 +591746545 +1900424167 +1378364791 +1801020806 +1315960782 +1393570853 +2067753350 +1607627243 +1133424162 +206171716 +1942811771 +1349910509 +1424734761 +1053972474 +862511372 +1286258654 +975162964 +480963946 +994818712 +468059101 +14891148 +1131854615 +1505613086 +552486123 +1026181562 +2015716374 +1385424328 +703635238 +45254544 +850434904 +637318402 +637001089 +603375423 +2015683193 +290538247 +1919336205 +1261770398 +210807949 +1379479800 +247710912 +416979666 +1174807923 +1597621422 +1841714427 +81296749 +312649146 +980489433 +1056459714 +793613093 +1975308146 +1524518815 +808504241 +959679113 +882648253 +1360990364 +1985860675 +750880979 +598931045 +542012265 +796135523 +1449365949 +1179330667 +1433136612 +2052741372 +1047530212 +1723674860 +1824593930 +161816962 +1934482809 +1056590082 +409527874 +203978827 +83914358 +2007149296 +2045693255 +165211107 +172314795 +878699040 +1221670821 +965927888 +706523538 +598705988 +1774432129 +1666202651 +1481354242 +987938846 +1504579678 +84751573 +1586869891 +2046591943 +880887097 +888752192 +1078438962 +166540061 +794009916 +2125969174 +1890214921 +471120198 +140302488 +1677214083 +1527710281 +549830363 +1881192910 +1611624639 +409496011 +1779402517 +1776835746 +581810806 +510617910 +851022920 +1547738694 +1217141448 +1449728908 +1174687176 +735860452 +783599502 +15142374 +92956482 +868351076 +1602012265 +2139548426 +1749238173 +343280809 +1070503740 +1915778234 +1137290725 +1048989267 +1658509508 +1608410924 +1189291755 +1188239943 +988637557 +1739122118 +921949205 +452778548 +1134482 +553868075 +82130646 +582945288 +1064485985 +933153566 +2130683983 +134143785 +235398827 +1157887511 +870004237 +1018998329 +1173029885 +962960720 +1887349405 +627558502 +955025498 +1489103930 +970839311 +2025529238 +1257398517 +2108130036 +927034857 +768424377 +1569057312 +2116326613 +1956664320 +410211221 +1707965083 +731129877 +862989769 +1709099565 +1284997952 +945120416 +144561206 +202000289 +1878273982 +127761541 +336144075 +2113672809 +1285649052 +1206148312 +985187491 +311195289 +21625384 +725053248 +938753791 +976650882 +66673531 +1909593102 +854696473 +1324072048 +1870239490 +1781731330 +2092496425 +1291813155 +1750574295 +1901677097 +1702024376 +1311055731 +485323326 +417530498 +872671648 +1770321279 +1362650914 +1017232854 +1972321568 +1093441248 +1144994395 +160981995 +1059630410 +283159799 +1367130308 +2044817901 +594355088 +1388755692 +622387501 +1533108879 +217922927 +689061032 +1295218333 +1072619400 +2013133080 +1017974176 +706867082 +1958145857 +162303683 +309957730 +1712339306 +1864328059 +1621013461 +50178985 +134374909 +346201461 +1820500264 +1497025823 +1363434316 +1645338184 +442983424 +360945063 +1806320180 +1502613834 +644104863 +1025966840 +1399948087 +1238459951 +267238884 +2022335588 +624085183 +485161811 +563912973 +1919303516 +1557781211 +429562405 +789794044 +117164646 +240224615 +952097727 +427122376 +1952563921 +668942139 +2048135837 +2002742906 +803317048 +246853650 +1675759522 +152859224 +1610287966 +1173614059 +595842648 +1971233030 +832450591 +2098456482 +467854245 +1858417431 +1350920921 +1706314196 +2125656315 +1225772861 +182915731 +463334479 +1789685834 +2102219248 +2021115690 +71764592 +744529644 +2138280336 +311989207 +1696627372 +417919064 +117069480 +218085863 +318571253 +2119812387 +1021402911 +565424904 +1648088261 +1174262135 +28229222 +674218672 +1770104783 +1999462252 +1506669263 +1721077617 +319832849 +1217603046 +924514890 +2026147046 +1195775714 +2804104 +61579129 +1659110193 +1792489938 +16314729 +1532742235 +1864254530 +760844374 +1523538924 +28760089 +309988098 +1941457988 +145829570 +528073961 +112545594 +118158309 +1549476872 +677970498 +1766246570 +576255360 +706199720 +292981595 +198876495 +558178325 +1799650858 +1919954113 +878011174 +869770257 +696985355 +756674572 +2065545971 +699789459 +818253702 +1577172516 +344795750 +834568431 +962431103 +61566632 +1595412805 +338486379 +90326722 +1905400903 +132460720 +236156292 +285991216 +245006314 +354314601 +1835468089 +922976812 +2120561171 +264239801 +1629176532 +266059118 +463116296 +39871209 +2065709977 +235586761 +917882384 +787996586 +932572117 +1674556956 +706058909 +1632361576 +345327010 +135747777 +1977157326 +1179895442 +1098178880 +2038723959 +627824599 +1436665260 +2129050681 +385741855 +1569125980 +217723325 +671733071 +1814132294 +572037926 +359717512 +589625458 +545115449 +623957313 +71318342 +811174568 +1087073610 +111189552 +729400897 +1322660371 +1029071936 +1517397483 +107748840 +556145244 +75972744 +1740110417 +901472255 +211720521 +1569784095 +2081367697 +1309899401 +1461024406 +561708648 +599081013 +1442591439 +947450503 +20723345 +1660314764 +1619183575 +1834855639 +84869042 +1978901087 +276997449 +629984492 +455374753 +348315792 +1441159060 +1542448363 +459505344 +23076309 +717625086 +1488577280 +1540473792 +825373927 +2044722524 +1616446536 +418000696 +798711131 +1828167057 +1987784791 +732595180 +990582810 +1301325550 +1294303829 +1589663824 +596433341 +94270684 +1610387169 +109264458 +1713454259 +1297759161 +194133500 +1544871699 +1574756610 +824117992 +2000246452 +1923072402 +117793404 +1395211167 +235094098 +140869713 +2112836253 +1723671378 +1681343505 +790726532 +1620910255 +1150306393 +1208727228 +272137738 +830989802 +1049028372 +1004732919 +1821572613 +202870274 +151553100 +1263752789 +799303615 +245823784 +726656310 +908568073 +1959278044 +2024415471 +1102701574 +1356666095 +1451688434 +1926819566 +1209428899 +1227277188 +2044612971 +457156418 +1462371287 +37999036 +422509023 +1038559017 +1719342542 +1213235556 +511985624 +722165287 +274479136 +784123363 +1553155090 +1323507508 +1788856282 +1227244055 +1526377782 +1940409382 +343513196 +178197750 +38749518 +1070169506 +1086765823 +1998027562 +947101330 +41983749 +1207210009 +251306116 +1968803316 +269155260 +1478583304 +1865932639 +726311678 +793470943 +1903931675 +1148820702 +1832029961 +1475790569 +214572610 +196531937 +50472209 +489051746 +980655300 +1603627299 +1812559255 +622027934 +683387706 +1191453389 +414953668 +1026900902 +1369651139 +453703187 +2097070408 +308933315 +304247101 +896688090 +350917064 +1511457111 +1147994206 +172236732 +1780612371 +479093863 +2038169371 +359440402 +1272564806 +1794617399 +1508261104 +957111119 +1122924320 +1722833714 +1153643057 +1173396529 +64401812 +2134298357 +629540180 +1876961067 +608842644 +1312927886 +920930809 +1023796312 +192345140 +143098300 +1477499499 +141931901 +452031615 +1781746601 +1038619991 +802948680 +1145720064 +39130550 +975185412 +778848787 +518224413 +865871136 +1138289189 +1790789219 +513004887 +499066645 +600416691 +1635929207 +74416711 +1754059748 +661842089 +138818524 +1740874457 +1291382269 +2015779591 +202233453 +456826508 +789226752 +1226029766 +649171648 +932325053 +556045617 +791103549 +1384356668 +190308570 +1829723541 +39821700 +1336028634 +1868854091 +1015007113 +2114877422 +239594856 +1880878249 +1105682963 +2030384075 +246399488 +1604749609 +483317118 +1882328695 +1679166320 +89893218 +396687136 +1817984844 +1830767676 +1688069406 +1686280788 +2033001129 +2144895914 +328023892 +1111547247 +646583914 +1260348945 +1667592865 +1437687464 +497221966 +1857901435 +1119927357 +537043666 +1046446422 +841297800 +1552050779 +1013840196 +1080892656 +1285445380 +2119523159 +963793083 +1531844868 +1576789120 +1447110202 +1266689916 +1108471793 +1537003420 +1663377052 +778972989 +1220287448 +1203962810 +317770129 +1105804930 +1201375076 +645794022 +69868529 +1847958991 +1906142967 +1737461394 +1138162807 +255881285 +1447879182 +110606516 +792924952 +346841956 +951904316 +197492083 +1360682152 +2032796972 +1482937464 +1332721663 +849106407 +867298684 +762027136 +148732961 +2133988600 +1870498929 +1685736382 +1649882005 +501988270 +758540182 +706361167 +819758400 +1864345112 +1907736244 +1465552422 +1934213642 +1608211587 +1224211741 +1524191388 +598890746 +1480093027 +824586922 +709497262 +125534331 +1171428878 +1661401578 +323026414 +384627382 +1546714902 +1805963878 +1717349046 +248337661 +525778915 +331892534 +397070623 +512283867 +54907815 +2082807005 +14682224 +556896085 +693863539 +721043392 +1376654485 +410725004 +481295988 +694723259 +197454998 +2089507575 +1918935001 +1721646386 +540914673 +1251544380 +398749661 +1250411935 +1377078711 +1570178539 +764329865 +1700105125 +1954805922 +163561119 +1358585356 +1524671320 +411898780 +1884364271 +1856563854 +808969403 +249164490 +1911471669 +744292760 +263846715 +320884106 +1438156300 +984890107 +1697538592 +1848881304 +1466186095 +244778203 +2046336302 +1408210022 +16229556 +1620499040 +1949124695 +1267773936 +2019248701 +1052052982 +497368999 +1441943593 +1816382847 +49990477 +1249265867 +1979943966 +1408575833 +626453539 +244359098 +1145456456 +335533745 +1053328502 +1394620946 +99521766 +1797621262 +1658467661 +420405872 +1088293914 +495874120 +2117944464 +789691570 +1962060215 +215239020 +688544224 +1222786589 +231468576 +161559617 +1024427636 +1499242513 +33324670 +2076480618 +1996611512 +1475268263 +1745379817 +2046601989 +577050482 +1577840135 +1307694174 +1203504021 +1822199234 +305666982 +1539037766 +728044088 +1700287929 +1638559532 +378181702 +1211271942 +2058965405 +1466475617 +1707146063 +2029426221 +108683539 +1521722630 +97181593 +797227764 +597025572 +328650170 +958787381 +1621453208 +1827892683 +992112051 +1550450179 +1677020547 +319896667 +1148346348 +1576138889 +896947149 +578702836 +736349415 +2100451171 +253418422 +1042016398 +1492005289 +981462510 +594820679 +983081174 +1359644212 +1806092621 +894562931 +678636181 +1365755036 +776505504 +787319721 +739994019 +873687098 +1584547485 +1337019591 +1202337268 +395851218 +810989151 +882746303 +1387963269 +213955682 +412283202 +1707859936 +1362302031 +1988422091 +457323438 +1941004867 +577287859 +410290961 +46939641 +1619304257 +1902296250 +1028402151 +66641288 +737893776 +240562715 +1872733909 +1632456707 +919198897 +1091005298 +261478564 +1706518618 +1830999317 +1135165662 +1143582455 +1020535260 +190019282 +1539433673 +1831524411 +1072765585 +779913294 +2045480094 +1485048787 +340289583 +1260298477 +1325987231 +797613021 +1053819696 +1903275090 +1207903982 +1100759337 +1375095699 +962716584 +2129161488 +1441736987 +1700610361 +222240555 +1166987248 +1185583420 +1141439452 +110508898 +1447061984 +700474422 +1941508215 +434743998 +1844056877 +814559827 +624763280 +1236006902 +498600591 +1697528865 +2015920197 +396597037 +1035094005 +208726132 +1656895514 +213597588 +1006339153 +563231562 +2116872678 +66759487 +1663990899 +1344484729 +1029476071 +1645668739 +638738068 +582602784 +1867909294 +1805725316 +1768186205 +861865099 +1916234215 +1067764541 +1562339521 +1710258782 +1502508540 +1258912751 +377334962 +2127271820 +347436005 +875935553 +1677317038 +215872554 +1272532590 +564927395 +424598686 +781944456 +778524983 +1430937839 +1345176018 +747914013 +1497697326 +861683269 +2092398742 +379689750 +359868360 +583653162 +962292534 +80294006 +241894830 +582995091 +942159105 +10645397 +1650759633 +357014979 +1720904180 +1005784525 +1615927730 +2098239142 +985572697 +1963363735 +826691047 +515406087 +31752642 +2099223637 +1080333482 +456351328 +733684445 +1858858465 +1887289168 +2078860463 +459288830 +1237502846 +793060084 +404203924 +1617192596 +1152928444 +987857086 +432001483 +1233222450 +1229751917 +1014996574 +27897908 +1240397314 +518272559 +384912887 +813817846 +1524057084 +2000840617 +764573340 +362146134 +1816720704 +1591264387 +877552221 +1848473346 +1543004376 +1957885704 +157341027 +129205173 +1669260521 +2044630195 +60581988 +2128549352 +1134649393 +853642072 +385269628 +604358342 +2006570516 +1373126715 +1036359825 +1092309319 +455394984 +2051356399 +1120207227 +1695792298 +422145311 +1505120114 +362126497 +1946202395 +1358477083 +1126699837 +160864881 +1027714139 +570480577 +1038417103 +728703838 +2113484953 +848819159 +886044865 +95206479 +370596032 +783191412 +155788467 +351661736 +1917840805 +1009430540 +736931365 +374715499 +868517408 +2110058080 +1411075324 +1960826727 +417969416 +1314948076 +933550306 +2113761714 +1737093387 +291186772 +328404563 +1535812134 +1649663855 +1455104401 +1696677016 +529894347 +2025584978 +587610471 +1258598185 +1991586283 +1436429630 +2144643050 +2086792762 +1807025662 +780350814 +95097582 +11203751 +550707971 +1104528122 +748135116 +925423471 +1973045530 +710709548 +189015147 +1786388610 +1128678964 +1503963223 +572455268 +1094957030 +1093572962 +863642041 +1423361594 +481901449 +365822248 +730982347 +31094817 +895716595 +609083677 +618705288 +6831132 +453186312 +2055134918 +3990534 +392495427 +1714676932 +784341348 +487593009 +1725880683 +1335049320 +1592121131 +326532151 +112989143 +1417683013 +1037241699 +302004290 +1056587975 +18437015 +1805967514 +1629043244 +1113394046 +752056828 +345201637 +389271992 +1233958277 +711023885 +1120254339 +1265053094 +1606740481 +1729338016 +1883758382 +1613571613 +35040680 +1791409652 +1617562148 +427536107 +1358602937 +254419848 +915129116 +936999972 +1589469168 +359766599 +1263532124 +1702458311 +1777449613 +153290175 +2004462602 +686553940 +171727191 +1662946468 +168113536 +1285121237 +267519648 +513315173 +1674393229 +1501477926 +1224339059 +647163920 +619047372 +683595892 +229018288 +355322107 +149683857 +264058968 +2146731759 +1767246005 +691595076 +1357851048 +2021665854 +1606724192 +147367373 +1463651374 +1966490792 +1410899497 +1018626038 +1596456757 +1564189672 +875604992 +135527049 +1735916863 +391067812 +303640586 +873554452 +658587460 +816955759 +400464033 +12581738 +2041294818 +1047627953 +631629111 +577407062 +1276646241 +986951218 +727090920 +1540705210 +986199329 +346853277 +84816638 +196566730 +221035483 +1691540830 +343934103 +1684686858 +1510547974 +1754833600 +555829248 +959521083 +1171539624 +1431434240 +1095048133 +759972840 +1822502052 +1398688719 +1633527292 +333605864 +68160830 +2033991326 +346187603 +2109455649 +934135631 +977816714 +539379063 +63298225 +1964767932 +1266469983 +1604003435 +803483613 +1613323261 +1688820073 +1000050343 +1834358744 +1232877255 +1343984446 +1371561954 +595941582 +951334398 +1927391202 +1555462665 +2122874023 +1211341794 +503027150 +735363215 +886360198 +1901715869 +221406859 +1219966063 +1969876700 +107914537 +1566153666 +1931848701 +1042050169 +396486732 +323744116 +1105348394 +213771016 +1590214100 +561868181 +1017254629 +1056053713 +103204606 +2017304973 +742928809 +1336081861 +1213805771 +2114490764 +1932023443 +17656522 +1894398318 +1340002461 +2140530545 +958256465 +1843029611 +728410112 +1844616663 +1597261833 +949816971 +917099078 +1419654885 +1057731509 +335769096 +1204019938 +2099781678 +732255828 +1527764054 +1057646424 +946026844 +970494506 +1619514605 +1963281474 +2026548219 +1722719211 +1833102799 +621993381 +911317424 +899424922 +589000497 +695857220 +917081444 +335915167 +2035859681 +910128341 +1294171632 +1731405644 +1638538453 +991304648 +1181183829 +440871777 +1908403726 +453355066 +1498603286 +96689175 +1657375004 +1450901316 +828945003 +1037655411 +361064092 +1774971848 +2008149917 +1980578697 +1590769674 +1887214489 +1555814260 +1276388825 +361724222 +319648036 +28330099 +950724719 +1015505256 +945411544 +1286639886 +903881289 +1855539885 +433327871 +487803286 +1346594691 +1424632519 +1668987115 +1787466468 +1185552597 +2122342182 +1138586106 +1282241772 +1632233538 +442003774 +2111186776 +522405301 +803067866 +1738674976 +383071571 +636162915 +1181961002 +122802412 +44493527 +310866179 +484526634 +364141563 +339196278 +1435251353 +1379646820 +1284607822 +574407591 +136044461 +992664060 +1007735462 +623847747 +191775103 +284884333 +145351215 +1979241571 +1470436931 +120209749 +970344029 +605195055 +1752443287 +1412347803 +568898183 +127364941 +67932021 +160089511 +510436512 +704094936 +1342050513 +633238924 +748588463 +1652916692 +1117765558 +1112730026 +1992112971 +405533263 +344893198 +1129237145 +979940854 +480937660 +2121901205 +1987676317 +1104785407 +166192660 +125077002 +1250136622 +2145434231 +1595513933 +1370346371 +968294612 +53225341 +975306011 +233158767 +622123524 +1102670952 +301090788 +782213036 +1613107464 +1005185724 +2124263549 +98862740 +1753774187 +1629696594 +1216628298 +719020566 +1474325917 +1622161561 +1063913764 +456079414 +454618767 +1544851424 +430496972 +294811436 +502153184 +596689632 +419888439 +1752289806 +594640216 +2015402372 +975152530 +1562934828 +2068627713 +1950458541 +1796093596 +543267590 +905645845 +2097184384 +1325480626 +371269661 +954886461 +1302260527 +470132401 +561177000 +784473473 +1686760699 +1280197566 +111315742 +1161438612 +196627683 +567395157 +1616057379 +1741479107 +997892129 +1910868816 +96148643 +1594581761 +183273607 +1848438450 +41738329 +51192331 +676107332 +1604673158 +2119820045 +479082225 +1253283106 +515603987 +1384728070 +1202983842 +1841084613 +1755997731 +10386655 +995861492 +78646484 +571563656 +1780334966 +1765407183 +1851761222 +1891650708 +779362147 +2048388905 +311562217 +247935878 +1642384365 +1309454346 +11321046 +1738533008 +756552460 +194594653 +1439487810 +798290789 +245786985 +2115595142 +255480299 +218123382 +447193719 +1508763405 +733727369 +1831921789 +564263600 +427328334 +1440435872 +574650255 +1423189826 +1519082356 +1146213911 +1056041144 +1137005891 +850491486 +800208205 +1916368038 +751396743 +1111770422 +16820269 +246297460 +273741121 +28141315 +1984830469 +1030293581 +222735969 +1276834631 +1828584370 +468522954 +1244946126 +2084064670 +686646336 +1692139845 +1445344427 +1420373705 +1376577987 +2009608027 +1847702039 +669530211 +436774635 +1123408217 +41128920 +1582988546 +31965714 +1178134811 +285996384 +832173919 +947019202 +1037393128 +1943944341 +963839471 +1283690588 +70201814 +991980786 +1121037409 +1100495395 +1214716755 +250388393 +781596118 +1683239709 +1495334519 +718177140 +222402397 +1039990716 +16037919 +1642776102 +269085055 +2025645947 +1342994493 +938615267 +314936934 +318919063 +979744187 +1897925480 +350884777 +10395350 +36438217 +1183058696 +957414552 +1073831345 +979519389 +1921254023 +210038285 +1049721204 +765751162 +1331075695 +2732951 +1980467917 +1581464088 +784329069 +1516223979 +929314959 +1502506209 +1738626376 +1969305675 +1518544129 +1233918831 +90907083 +1396706428 +429429676 +1029522350 +1711643362 +748348739 +2009266537 +1462085194 +1099233516 +2019661887 +1498523411 +134808564 +829592792 +424871108 +1114327954 +603363167 +634909394 +16565510 +1369114329 +1965985089 +19298461 +1202098599 +1399965529 +803627531 +570838930 +181796840 +158650092 +161981658 +3618867 +1677194221 +1395900489 +94525950 +926417001 +1825330166 +1124048300 +490576715 +426195257 +985831189 +1952661910 +1525428774 +858009429 +1303701673 +1660237338 +1687602221 +1728572782 +627081644 +143481740 +215998528 +643647154 +1512596070 +34499969 +662945616 +567211021 +1434465498 +1466573147 +1138049951 +1616262338 +1625223239 +1300031609 +1619881205 +1154933813 +548448451 +1714407156 +2081350814 +226294969 +690971808 +424443882 +652490226 +1676802998 +229622144 +30435352 +387328779 +1533323817 +1690672691 +2074931000 +1114412951 +170270687 +70929092 +1330411479 +813917842 +1583525162 +1364911448 +1476863458 +3252535 +651893298 +795952957 +1141302486 +120671988 +273692548 +293850448 +1740553194 +1428626361 +842298899 +1307476702 +1362493528 +1068593868 +1998448510 +1786937410 +1721084094 +1527767860 +2016559554 +1751519447 +1915096639 +1402399723 +1294708490 +1842543991 +369329027 +1464979177 +1913473084 +1699740506 +131413371 +1349514598 +917168307 +1608276829 +1352767134 +1569061605 +256746138 +346585972 +1689733594 +530438687 +640436420 +1282803140 +1959065048 +1482735319 +442796194 +1174074928 +403845539 +293761056 +813528690 +2124929634 +1821528917 +682604596 +1728965433 +1589141908 +2085004320 +876190275 +1284202252 +306849699 +193685804 +1050191688 +2006590205 +325099176 +252222638 +776274864 +1933376005 +1604989772 +197852822 +42638496 +1951575745 +1887586416 +573077183 +444528517 +1022905908 +384658583 +1927263837 +1465702102 +1558733512 +183625728 +1759463158 +224778554 +161071714 +1433508427 +907383151 +1890037147 +875166688 +844903823 +618743774 +11885292 +1151753522 +812429579 +1062076980 +1010860079 +1137528755 +1314299618 +1787134944 +923421112 +771805743 +1984987766 +966059608 +575897840 +1725090534 +1539136791 +1020426357 +600512794 +1923795375 +800206546 +2066214896 +1335045239 +983832275 +1678194406 +1559823793 +1144903989 +964219186 +319723296 +887457489 +1839385874 +1164627119 +1506201263 +1851271166 +168896993 +171147194 +765864498 +1179757073 +1308675949 +2080164116 +819408369 +84613414 +704486211 +656912487 +1050673022 +1280384051 +234519373 +442326166 +153326761 +835032167 +218637893 +953533307 +753763415 +1553683132 +1937365582 +284474173 +966023277 +934785924 +1248693359 +1285746574 +1822243413 +940595585 +302890045 +1180961028 +644383103 +471787039 +1352108223 +1410247601 +1651544112 +513300524 +1342928070 +323468833 +597913938 +2047414281 +980381320 +1648586961 +1180314685 +1214900693 +2090913127 +1333641446 +2049932860 +162067372 +139691105 +656212627 +1715750504 +2077056688 +940686800 +534290133 +864358964 +41896512 +1820036707 +539118729 +982492097 +2122926753 +1720079757 +1626875201 +447230144 +924704332 +889639154 +2098774256 +1438004857 +85083576 +274759441 +2035918795 +2132497858 +1255140761 +1537022108 +1165328895 +322557806 +1480451587 +351486693 +225007018 +1642518959 +491177798 +881219645 +1210785815 +420750838 +1821906445 +1745075949 +1285109802 +1863802957 +1417629008 +1824228531 +698811407 +1393072113 +1396824641 +178202960 +1840302257 +174045325 +1067842114 +1791592865 +1612050182 +1152925691 +2066352306 +1500485330 +1137939901 +1174009419 +890023790 +155785148 +1496567225 +222991730 +507271841 +1721574243 +1865510689 +998449639 +455310240 +928812857 +1419200478 +129733038 +526405158 +556826632 +1993535995 +1944034166 +233571516 +544863754 +1189622632 +1630396157 +723066714 +882441241 +1804441482 +1790908829 +526550459 +1269008017 +796350872 +445419117 +622009699 +1934290773 +1619428537 +1512033489 +2090075921 +968512114 +1735025219 +449864114 +542602710 +1453052261 +1448313753 +997912950 +234381470 +720030583 +1127645988 +760786628 +1276857216 +973698336 +557337146 +1510428732 +1518562090 +1746959778 +993341241 +94145157 +481917372 +650299075 +1885053986 +1008467831 +1919307092 +533921210 +1453886948 +393833143 +320728335 +925831837 +1905866633 +263320608 +1894343952 +1493408204 +713184722 +289463014 +798976817 +14014827 +1287375964 +1033358287 +734045411 +267538305 +1794144915 +2010902627 +1241236641 +203998414 +1373847711 +612315083 +1950958192 +219705304 +706460240 +285391916 +870004379 +444030578 +1293859747 +641827824 +977951788 +600263048 +1035660967 +1298680123 +1526094885 +794043952 +1562000731 +1272955189 +139968509 +127701805 +1562418203 +938945326 +141716633 +702310520 +1972303614 +875762044 +969848825 +1618964881 +739181023 +63601818 +1822963295 +2113028734 +675916901 +1626437840 +185250390 +1382377142 +1911829756 +1055254769 +1826407720 +1058205856 +1697082593 +656875861 +1658468904 +585259913 +1955555984 +1037080141 +1379303865 +1370073068 +162551683 +1519272374 +1497774873 +1724969886 +310734053 +1639491506 +279796758 +135554019 +367769902 +1249645583 +1754518900 +1106950925 +1313247401 +1429998548 +1072496011 +1989164303 +908952740 +1257746401 +1224057797 +673298848 +165517523 +902981869 +1731504704 +1862600116 +1559857730 +1242489960 +300376381 +1367930067 +132086454 +1679680247 +590519487 +294638137 +1051468973 +2088294360 +2019608023 +1362203026 +1580302219 +151921134 +1497757045 +1948072121 +1401566717 +1104792298 +907539399 +567330471 +387307198 +1980035410 +409011126 +1296259938 +1090298164 +1633068923 +1969558786 +1255815687 +388567144 +1553579843 +970932155 +1948424875 +648586155 +1271308537 +1168871294 +780672609 +803505136 +1759390781 +1075310746 +1854974109 +1700201493 +947435122 +1069693488 +1133020064 +1099356256 +419966885 +933608538 +353439325 +1524759183 +1841147937 +920769796 +1912066381 +1673699699 +1329780922 +1060842671 +616514215 +815366197 +882917810 +1872329902 +1203933342 +289014005 +695778410 +1004874569 +937600160 +1967086947 +26262215 +1718272770 +623108435 +1785652996 +646099868 +330598896 +1338370841 +1593534990 +1400292384 +323907258 +545407598 +1820259270 +1257515796 +898846924 +1197534805 +951180085 +1819616720 +962117539 +477396136 +1001913995 +2022960210 +1093910352 +1817280192 +758394372 +818756606 +873729886 +1047408377 +1514535016 +1878604455 +1985008538 +1334138315 +1904866670 +1555797660 +1957246750 +1543036018 +54413880 +140361999 +733923212 +1647948871 +1540654383 +1057830470 +45872821 +1213430005 +167862618 +944719745 +263481163 +1119042703 +616852818 +1225598702 +1596438839 +1618766813 +1101075264 +542865543 +1288563357 +1859469637 +1361622150 +14809596 +759394366 +728673518 +1893414051 +596919256 +2062811834 +1650797074 +5233268 +1872574936 +1046349444 +59647149 +2012936935 +1780272656 +1707596020 +1406107671 +690619478 +1753468841 +472054028 +858482096 +550704939 +735535191 +1977524799 +1167557757 +1961133893 +1426479991 +638840922 +914725510 +1969345534 +1927404279 +626711499 +1183484036 +1942213875 +1386105865 +1912157555 +1688144279 +1983025122 +1827485741 +1191457705 +1988258390 +1552577029 +90323501 +2047905539 +1418030317 +1870596158 +1608017911 +676654340 +413731988 +1214003105 +1148708368 +1272214085 +1764708044 +1884243560 +1102255236 +784782153 +1697893805 +381251579 +1423623075 +465135667 +203113466 +1203543706 +1091847166 +1386597502 +998273934 +330469384 +1151271409 +538934565 +166010858 +831273502 +1730392270 +6785600 +236366884 +1820715771 +2054691140 +1654397201 +1543828281 +1515225403 +183567893 +1957560270 +581744860 +1332276261 +1082290707 +198969256 +1069036173 +37062295 +983751409 +619446331 +418313875 +259890836 +1084581998 +621427341 +1463434543 +28945517 +2008024843 +314224829 +359414901 +1011812605 +853159394 +525425759 +1843086107 +436068016 +532211359 +2079452991 +109300139 +439418851 +1586366544 +1653128421 +1954644255 +1769934437 +1463205043 +388905467 +954727051 +398012102 +587874724 +2023763224 +435074397 +1571626133 +495725907 +853388272 +1831516970 +1580307906 +1474815613 +1147467865 +1609253423 +1335356809 +1461692694 +1968668324 +199685766 +167368440 +346610435 +2042771873 +603436456 +878821794 +1974741217 +712736595 +1318240646 +1413624113 +218381368 +1125401253 +1036074903 +1681586411 +1514306720 +1990801954 +2079598513 +2102181444 +1867081530 +367189263 +1526323930 +215323790 +1220577535 +1210357252 +1795631696 +547909501 +210341469 +1257401471 +1883266310 +1672034163 +1078586147 +2082952076 +1839402603 +1425196582 +1978240301 +295355411 +156534728 +1805497870 +1008092006 +1474775374 +1071638336 +1226473375 +452692979 +2107713239 +760576138 +1966999700 +1951031545 +692691004 +1921697496 +1670629427 +1059880267 +1300537778 +1885953217 +132974154 +363411382 +1534101265 +680883655 +573752851 +644019088 +416666317 +98303366 +1722605235 +352134745 +1937705969 +1000318169 +182891399 +85577732 +1156852898 +1988389269 +1093669739 +484144624 +912543957 +172659466 +936837604 +872773548 +933235604 +756353656 +676321445 +1625926608 +530567504 +199467225 +538323227 +1831105283 +2085420442 +671297382 +47033017 +1472038060 +1352181037 +620785869 +2116057148 +1768847355 +719089235 +1691178736 +2120982100 +509311557 +544013257 +156389851 +594889289 +1700866155 +2144779121 +1688559028 +37527132 +909839430 +1861218494 +974364736 +1782612979 +646970451 +1730718392 +311450776 +125413411 +113802248 +510918001 +663736639 +1944907531 +448854796 +1335034021 +1991940549 +1920892856 +539731410 +465242770 +1889466356 +161095117 +1184332005 +1433161444 +134593570 +1693643562 +1977174702 +290983421 +141049204 +1530557209 +288278894 +1829608232 +1568084341 +1198118325 +1543343079 +394965429 +833247656 +42829882 +2125683821 +1144698432 +168243293 +92002422 +1655616434 +831979932 +2036909953 +2104471230 +19530305 +1881366854 +1877880438 +559261716 +199125976 +1619863146 +720356833 +1383457982 +905540943 +854950403 +929617896 +735231997 +1145933825 +1070667100 +118305558 +1434212719 +752791685 +1686389900 +484847396 +148651116 +2081355329 +1318095052 +191480998 +2059555503 +315309837 +359724291 +4074277 +1970926271 +1191704224 +2040984230 +1927913853 +1211234529 +1774867437 +1658310643 +1770496245 +1973993413 +1130690141 +343369431 +1209967747 +2036231084 +1198319834 +2139585644 +623979433 +196770011 +1062769096 +742284992 +1630982731 +1815560781 +281191244 +2115830127 +1964211897 +215062925 +1286441532 +8209247 +127134780 +1601751369 +367933539 +131209057 +1425193992 +1559637763 +24709640 +1205624197 +623388644 +1799577077 +716451192 +246401242 +1626086842 +1847141333 +589770673 +688570942 +1735888770 +1788090507 +680672938 +212384555 +1984860519 +1743442034 +954669547 +1468359602 +1411519168 +1235860791 +1436706081 +1228247417 +1450923717 +575663965 +1236456665 +1578058497 +29931686 +1604390204 +1709267555 +1455125678 +1016544319 +1733977195 +513266227 +1639932963 +1386070624 +1229717419 +1886334205 +864673818 +929375105 +328621230 +1553244760 +517780227 +2116711738 +86434050 +730164782 +1954088609 +1829876085 +1684834330 +1274964563 +1093911605 +773211473 +564186996 +174675374 +76651542 +1139850962 +1411132039 +1654710040 +1169782648 +868038595 +1216493947 +477424679 +1884582914 +802987494 +990690906 +1377032230 +41574470 +72924678 +1115882787 +906248288 +1002299783 +1444504018 +312009401 +1520080010 +1413732108 +398443451 +102761144 +1220337069 +80835888 +1787595474 +347817984 +1174747493 +413323300 +912004980 +1349422868 +489974842 +2051855942 +613071259 +2144684882 +1074154943 +1481109855 +1213695181 +1551579622 +1218209121 +2016682675 +394786880 +447757703 +2058257145 +467711558 +1563640491 +817021786 +1470011341 +860660861 +1129031187 +842607703 +126909321 +1527474638 +945368848 +1347246390 +1608310527 +585480674 +1695064374 +635574372 +998803974 +459585706 +1984997240 +1488778817 +363958001 +450584852 +1485980051 +1438112944 +1931694707 +552191585 +842208918 +1002420180 +421390612 +1236995798 +1450177884 +332164110 +1704707357 +866334727 +1149185896 +1027235050 +1726995588 +130733435 +1869842754 +1853904909 +1658208073 +667727954 +1053667651 +1119034952 +1253208628 +601248377 +1754609325 +104528955 +1060834083 +1592122917 +1593307772 +1424792084 +2042707769 +931804175 +715421380 +1826918828 +1483995760 +1557630298 +681855361 +1905386373 +647142449 +2132033245 +90066835 +204366158 +850884324 +1239252731 +1231601208 +430396264 +1369986166 +953960314 +136817525 +880710591 +1621688268 +1190485176 +1999745544 +727413249 +1791733553 +1606871221 +831942204 +705083988 +1051510490 +277766328 +2129876073 +946734612 +1209570503 +697813805 +626169792 +546082616 +107960456 +1308025153 +303985341 +755102905 +1292574750 +394052176 +959469063 +2143459074 +1633304907 +43586623 +426371690 +855807425 +997546938 +563189215 +1736518016 +471751558 +1753674391 +1588779912 +1199164807 +1397924296 +1048167485 +2031107011 +2103008285 +2099677976 +161389691 +2085400710 +898928940 +1370960195 +635730867 +1525098732 +1917042811 +743691323 +685640238 +73544504 +1498794228 +1978214988 +467596680 +310779643 +1974190415 +2100901587 +354366267 +253078457 +809225364 +1351913205 +816267673 +398259732 +1823664763 +422458416 +1987039645 +875345923 +1820382713 +887723482 +758969286 +1775907350 +839917810 +920358978 +1713824412 +1738846750 +143835525 +202071631 +1116461835 +2060878336 +945762955 +1802102073 +2134422840 +297073535 +1632833413 +454535872 +607853179 +1459540180 +407953811 +962219446 +1712618638 +1217179175 +166649003 +381402663 +1615438907 +1990313766 +803861079 +1454994904 +718176041 +476760144 +195234739 +1477145328 +105183846 +1035152549 +250020658 +1819008258 +626515652 +393856183 +2021079890 +1742977487 +307250871 +819359197 +1397595912 +294190063 +1116432732 +882945677 +748725935 +1724285911 +195002210 +1156679746 +539021709 +1907620848 +226375273 +705670712 +141539863 +1841814180 +548500831 +945400942 +1149325437 +1266676872 +1422161087 +1344560176 +596338552 +1527344933 +232229077 +846359210 +1198869544 +858744729 +1240215393 +1072465786 +454238568 +1547466264 +1891824983 +1851834480 +1841656327 +860774067 +587296510 +442898614 +437576331 +782298720 +1599578360 +976598040 +542435920 +1825953633 +1682268753 +683975783 +1520284166 +83285936 +1629376725 +522125955 +1349962808 +904054164 +1866686131 +1946301361 +283915450 +2098915208 +645176923 +1482784994 +810176290 +1885392317 +407767132 +1264414858 +1285374933 +152108467 +968765691 +979547613 +1012882534 +1556062201 +1422446227 +1450458865 +190877273 +874540940 +279573258 +733313193 +553010925 +1961842011 +1417288976 +2073295091 +2045127947 +899182053 +447937398 +1247607107 +1803236218 +167139881 +1046424820 +2087151668 +118571442 +1691601744 +1422453014 +928747732 +1429510413 +1830220146 +45678942 +567401698 +1982328613 +1014444633 +1546949311 +847727499 +423023186 +821911891 +150702717 +613900459 +1696452831 +430275975 +1347213652 +101980108 +244634338 +617018980 +27791552 +142278637 +1516201034 +475728950 +1389885744 +1171953604 +642868832 +288826917 +1111621624 +761440274 +1980428661 +386590990 +1690188006 +1262455426 +69327488 +1735866948 +1829857124 +2051656101 +602827934 +1229322788 +751899952 +1025851120 +2051234679 +902602669 +1639751580 +1600203862 +1332878644 +839481584 +1702183970 +1577512982 +1456500565 +1729975522 +1719791619 +825217951 +58220825 +962193716 +1997171555 +701089657 +1251020633 +961309531 +1462529931 +1083965646 +1347900521 +1005234289 +198937424 +1417228009 +593617589 +2028794548 +1321400462 +1196445523 +1110633688 +2073300414 +74812996 +1014384719 +828419436 +1714564576 +467104933 +13814432 +406562512 +21805256 +1591327415 +1863063077 +1751780778 +1163635386 +540797380 +1810001603 +2125829102 +390485287 +363607612 +1229366087 +1351794818 +1826137543 +165848085 +552211691 +683888184 +364785509 +1969439700 +1277505774 +246096410 +1143356514 +326467649 +1356730098 +1069173281 +401280645 +223631170 +1897592717 +2115845221 +690736103 +1911407149 +374924086 +712541359 +1355250916 +90503515 +316838490 +371402655 +631300896 +2126840093 +349748109 +1021786183 +342964058 +1579114197 +226097354 +21617953 +1744962282 +778309045 +705506138 +2109747792 +600265098 +1983011912 +208360554 +1743621612 +161995913 +1565090652 +665311245 +563276559 +1788721822 +415420314 +531638132 +331974278 +179343816 +906562218 +1044515637 +1534594732 +997065734 +1361354127 +1905997387 +1628366630 +1340710573 +108261849 +502669165 +1683674631 +1687376046 +728766519 +1705292584 +1284854680 +1507075565 +263315074 +1247118824 +2107340663 +98843338 +1455479378 +1703478627 +260839252 +873086383 +221306225 +824115811 +514324557 +636726539 +1355753943 +846298835 +816070355 +114832514 +1890814473 +203181440 +1111898248 +1104684952 +2109178827 +592781230 +297911877 +69957028 +1095450395 +1981586508 +1757333074 +1824216915 +1539395445 +894704107 +1183808832 +1802710519 +2141822931 +1143665847 +1901553858 +1449818662 +699660826 +14909462 +175421397 +920967051 +839025273 +689745954 +1557693591 +47295568 +1536044790 +226280298 +162128082 +1279375615 +429461738 +1274026330 +236576919 +391156918 +1866807560 +534488797 +461113946 +814774308 +368591657 +70963373 +491507575 +1907987102 +965667480 +1675316407 +1563213974 +960006763 +671498606 +1317284184 +262341777 +1371159432 +1332193646 +437763174 +144642836 +23735271 +1127509129 +1702336427 +71030839 +516070271 +1928616725 +233158922 +1795445886 +210594816 +1507185252 +2032022805 +601751734 +1226509165 +419027954 +1062865680 +2041283473 +787619612 +1133829053 +385307400 +548123066 +2099496533 +2060623807 +2111337040 +912019649 +584638765 +1281137576 +1174361426 +1955798197 +465847574 +1612124601 +2100441033 +489582845 +592150082 +1655293812 +560613685 +1108220353 +1436426890 +793772607 +756182591 +1647021706 +153474211 +640721748 +101289792 +1379983376 +1059749703 +1164155472 +1273783201 +1847369315 +150500878 +1659090601 +248008733 +102513763 +1572230760 +211862126 +1014533412 +9385877 +1492999702 +41411191 +1965184075 +1958847277 +1653535792 +1918141460 +300946474 +98202226 +1425951625 +861560159 +1206422579 +714894867 +1655332766 +1962605170 +214432925 +1808806978 +455843270 +315722717 +1041306706 +1515592973 +1479878189 +167606260 +1215478640 +1630379067 +1826696861 +1463487374 +1732892831 +1251443974 +1675349500 +599942595 +1260829851 +1020865554 +641353786 +1078530278 +832229183 +147405930 +849188091 +1133175658 +245608156 +127656068 +1994735817 +1452030735 +842550935 +1502584936 +1267152257 +1056983860 +1163908266 +1722995528 +1372706577 +57731324 +1091104853 +705101118 +225337584 +159099846 +187996538 +2052034446 +1622587220 +1920889369 +1155994772 +1150453072 +373348316 +269340975 +23834978 +1014702103 +1347871254 +856064162 +1162108033 +49575697 +1989239820 +1407716190 +177231765 +1836491989 +712263277 +1019782700 +1191593277 +1979415535 +2076766560 +208017895 +1554927415 +1301989489 +265749220 +498548620 +2007090607 +491086804 +657648466 +47603497 +395637602 +132752038 +1968492866 +1551632374 +1283205110 +194357535 +1820973350 +1307040089 +1209059638 +1021360956 +15620603 +223684023 +1070936653 +2004860423 +1631400213 +1248168418 +1693868764 +196179843 +120467470 +737978394 +28111730 +49750382 +945996289 +1583039145 +1351739871 +1211745509 +2081587765 +1211346830 +1702832314 +591752584 +1258950328 +2098469916 +724504622 +1079959546 +1502618643 +2007709733 +1274317081 +1176108345 +1167266174 +335893071 +49985653 +1182886777 +559577095 +1120922306 +1040263552 +43493660 +221607076 +586648668 +239673503 +342074546 +1324627062 +267785233 +391824928 +123139704 +1850824378 +1743564799 +1334885213 +1784928496 +807427981 +890233879 +229197432 +2066378309 +841220148 +953702054 +998854208 +196355143 +813928139 +125687641 +1372463488 +1981194313 +461580713 +1422449141 +1016597442 +1021157808 +395887799 +2056860994 +1064651468 +617494875 +496026015 +1304324972 +959569421 +1820653077 +1572110205 +1351394349 +1943792781 +1275450936 +947475500 +1131194347 +912895784 +1754903481 +2021428226 +1142093216 +1673798143 +715164726 +2095795270 +525168703 +911519869 +762239762 +650856344 +136499709 +595950427 +1112437057 +1558948850 +1612547870 +2133594865 +1954836649 +1521925216 +1050762686 +424847876 +2017951231 +207604010 +1384417297 +1691120661 +1779714215 +588327998 +1487429794 +907681503 +1535803498 +471140493 +1820577287 +1143223332 +345085072 +815186855 +669537827 +1060249798 +763498478 +1194706530 +1971769668 +1525738240 +1845562874 +2108269377 +2121688667 +810516284 +1519734580 +1586752889 +796627501 +1327087581 +961194458 +1847390187 +1751935458 +831662041 +2054994197 +988869107 +375299054 +1687224765 +1577197106 +1862728849 +447422620 +965516956 +186385694 +120516260 +2108740288 +531470766 +935703115 +630794467 +1591720565 +1699201593 +1825500997 +1416006585 +1077456185 +1523580224 +1376792314 +1051661205 +186612860 +749043246 +490930446 +983240361 +2076130828 +1452124904 +683146901 +1680582638 +136303298 +590657450 +521968097 +511602352 +130398567 +2099165203 +226847553 +577821188 +917198512 +413233248 +698337448 +878455152 +944704014 +1634040563 +1509249620 +388940931 +1185758509 +1187266969 +1804947516 +115731046 +563363545 +1034256183 +1167392251 +749976405 +1783299429 +1658322698 +1733216767 +1711946609 +962963954 +268880020 +1245045599 +1099267252 +859537470 +1767013697 +1610869605 +989936038 +1718695252 +1837717158 +1567757226 +488410116 +103466758 +118611026 +1366865269 +1048170773 +1752651589 +728631241 +1437111704 +790926450 +1915898210 +1094575573 +906657497 +331778108 +2128831756 +2074049748 +1081754513 +1764647537 +1584888798 +667487632 +1329110499 +400369105 +936367652 +426672450 +1499636357 +1795905123 +46202499 +963022314 +638357513 +1764897752 +653255825 +58631091 +105824220 +756722583 +177242117 +1472689489 +1804893356 +1929893706 +53837082 +1094521413 +573336509 +1969735293 +41613338 +1479994006 +154029753 +22961446 +1406560106 +1235784266 +1787608983 +843965257 +1903271899 +969235834 +1244334362 +692155903 +1395908285 +596487071 +340577378 +1442110784 +1559509386 +978934891 +1059524888 +65281563 +1037565982 +1165349109 +822004146 +1214808099 +490554950 +479413855 +997218158 +544392033 +1573935268 +1570554667 +366643678 +1615548606 +903065025 +520673431 +1638510052 +162141483 +1756457697 +1278635387 +1006106740 +1512245948 +100387574 +102957454 +56918204 +1496295859 +699444526 +397495582 +790922995 +111470264 +1376430474 +1850447884 +176751827 +266512808 +868313345 +998755973 +1481320908 +1358868295 +1478169828 +331055418 +1903260328 +904621448 +1901610085 +122420358 +372686406 +657191462 +643093789 +2011196458 +819332945 +252067839 +1142348198 +1825439686 +1764313787 +1242735772 +1928397140 +1821231991 +591547983 +480358018 +71243926 +1382470978 +591828282 +1447674400 +1085435214 +768580109 +1714187208 +1953748559 +1767336083 +1048024468 +1165133207 +1098022263 +1379079886 +920909887 +2002643712 +1133206323 +1043330246 +227846470 +1790397785 +1686424035 +91559281 +462247083 +1938491874 +1233907479 +140203121 +1555322014 +329159603 +2068600261 +1229070357 +920707586 +401474632 +1300314283 +155694916 +993302914 +600505035 +1241130131 +1761883024 +167208596 +1047395042 +1381735459 +1215233064 +65044601 +332274074 +446829303 +985954489 +187434138 +1580035626 +2029284735 +415280609 +1222949764 +1568225122 +506839890 +1685196847 +1359233349 +1740747369 +1825399968 +767071715 +2069906972 +1746516581 +1996142072 +843130910 +507565 +1148972708 +998825826 +993810480 +1749477743 +92472309 +608209856 +1916686339 +1139867352 +1989945315 +984435756 +1204911953 +174735741 +1431265059 +43382794 +362169880 +863817037 +2072667529 +777450489 +2086766801 +1493409004 +1284290379 +1624480000 +705158705 +877554100 +1302396320 +1472230420 +799977424 +901429254 +1320888844 +1643108334 +901936819 +322377904 +494450512 +1895747299 +2071855648 +586922822 +356473507 +1841058339 +1726790174 +198935174 +678010447 +784218479 +373670916 +2109275506 +827601274 +735840796 +825608896 +752785155 +1513291285 +764892049 +98710511 +650098016 +241888402 +803869216 +1527652116 +1544284722 +128615988 +180145892 +298230328 +1449504833 +1823254226 +1200167148 +1771882737 +170221090 +948430799 +1696254737 +757143912 +1304904307 +1389829429 +336450438 +1503839481 +2067839876 +1120668918 +1877510397 +2029631735 +1948270192 +465867545 +707756983 +553571699 +1979158830 +1472649032 +652282211 +481773198 +1714537434 +1456151427 +2009425314 +1111338509 +1584767416 +42087558 +1409568837 +886788601 +1865341784 +462252337 +511187690 +2035562875 +1410683137 +59958780 +645223139 +568103796 +1449788209 +981673578 +2071943277 +1370144437 +2102342496 +1801970027 +1252292524 +1903129040 +120353924 +1960049507 +309217091 +2099512755 +1285214892 +961499302 +433802305 +852268678 +270167082 +295743972 +1963607187 +1854934498 +337831530 +1225692377 +594239451 +55689667 +1687944714 +1105427141 +2091252542 +951144203 +1165385921 +588992033 +1519247999 +467690482 +1570665611 +1443707629 +1837834920 +1525524459 +1098194008 +942643796 +1281169851 +1218547932 +755209656 +1590386943 +1170577039 +2040424548 +404402597 +1604379345 +745209578 +674569679 +1900123317 +561333118 +382020529 +90471199 +1787025495 +976259980 +146160866 +1327486561 +2081687122 +89929760 +131147117 +1099589395 +678921794 +1650395116 +1567279878 +102103757 +946619097 +1257631150 +1627628217 +2044813105 +52791298 +761314420 +1115877390 +808000954 +204217715 +138970781 +700941854 +608620313 +1743350126 +1446151433 +1283189992 +1495989795 +2007484551 +1665210522 +1586460995 +1647026398 +493986854 +1732621861 +827029311 +428190328 +1822551622 +958176428 +1527779724 +353989768 +461087897 +947575954 +456093525 +1407706994 +57723456 +2083721742 +1305036452 +110514754 +697552515 +273430194 +918515709 +901770230 +412400975 +1619457563 +1510390543 +8267454 +918125348 +646096888 +1504257249 +778126251 +163823762 +943234596 +277669001 +657810616 +528372810 +1104698313 +1086000945 +203440784 +2062874741 +466297021 +557430552 +376478990 +1413872975 +1013524077 +1784185985 +1471596431 +949762172 +941738789 +1582111185 +1647314687 +1215168983 +353143246 +401601269 +1627569958 +1972600810 +1911991813 +1635837412 +743242510 +410605053 +992611014 +1521368762 +574428815 +1935845610 +1799037763 +1232239431 +316734772 +756252428 +170756728 +520175556 +671643522 +637053749 +1077606108 +1048122512 +2050926724 +2091130186 +684824849 +1375039507 +893408710 +1626563638 +809667045 +393239749 +694248973 +1162810291 +794841018 +174335284 +987927453 +559349183 +1810172696 +1731169964 +969954236 +655300062 +1105055078 +1544383051 +443662025 +756609193 +629138835 +760396797 +1512861622 +799895563 +1280572354 +37021496 +1436949313 +210694814 +1085144008 +1340392389 +154341352 +1769968858 +567948249 +1047750062 +1249048848 +1377615294 +1440989811 +1943297822 +392941937 +88347182 +2117633106 +1380869391 +647696365 +1780322154 +964555707 +1617650602 +288138569 +2069610785 +1014550005 +731800594 +678736330 +1643688840 +1492197391 +44114304 +296100756 +625286097 +81135800 +1733050069 +835980912 +1166279809 +925958810 +990322264 +788765019 +1493907059 +2038072327 +2037813867 +724038705 +1331578490 +1833628041 +1116980643 +1419925672 +1803777499 +350366386 +2067622038 +1436616006 +1314922093 +1537788992 +1724754575 +1237049230 +404855349 +309071521 +1915785560 +2048544190 +1801268912 +1959899865 +197161298 +279071362 +2041035665 +1930211367 +1115052274 +1059831826 +708686529 +2105374538 +1848596845 +55109941 +1995963217 +1738927065 +779148646 +1180058060 +1425071458 +1896129289 +452500084 +1081365310 +99012027 +372638474 +370497668 +1413934120 +1910427466 +2095252243 +503499702 +167799168 +256840116 +271801615 +68859710 +2058109028 +84217832 +266021008 +189696742 +2125253497 +48748727 +1304749016 +1037601676 +757435256 +1262639907 +738714873 +812545197 +1111119476 +330158290 +1591693844 +143693888 +1755229749 +1340339485 +596193973 +689111411 +1439351513 +968832447 +1059609079 +705801985 +731776266 +1007377674 +1209301688 +899575434 +1264217790 +1481103303 +968435144 +1174843170 +1565321135 +1234456152 +1364539913 +1543090984 +1283204879 +521805281 +433209012 +2040640135 +1784445188 +1171923886 +705701685 +748081017 +1502082176 +149911881 +891774905 +1109828277 +1490251366 +1487968878 +1798939688 +782119231 +309317678 +711065119 +1487921217 +1041093944 +1718442793 +549739257 +1940669378 +835176935 +2030842560 +761620874 +2010020106 +1448680047 +1996077026 +1227076371 +844287383 +1131798257 +1748881652 +1277496396 +1024954744 +1385843193 +301936634 +1730656429 +2133924210 +1804018810 +1880568310 +878215467 +766363440 +1223336029 +218700698 +417819480 +2005455260 +528018376 +1128884600 +1345892829 +1569112320 +699843745 +1895632086 +1362298050 +1535020681 +1778990998 +2123918924 +1397557139 +1080187397 +1972512302 +477149862 +1924474781 +956826911 +78547866 +1054487529 +1981781655 +1464391059 +1356424163 +1564954437 +1450831621 +1012959325 +1298039099 +181563441 +1779322765 +373891480 +400264139 +49658598 +231863093 +928282515 +1178543198 +1577755922 +349911187 +1878386943 +1325904361 +1712209237 +1265923976 +957411711 +1688644513 +515997467 +2037599109 +1513673167 +993147329 +1814590242 +323016430 +1071695196 +721594123 +157314437 +388602607 +2078018286 +1722268874 +1839434229 +943493963 +872824326 +2020997670 +575333081 +1246715806 +273778161 +624991679 +1478578899 +1202060676 +1803534877 +908851174 +1551971863 +1534438172 +87271887 +1116697452 +652878501 +1044683598 +657858317 +1168875968 +934799059 +24047836 +14539650 +601905653 +347064266 +1086234846 +1323499776 +504378703 +1474837453 +1254034414 +79163930 +1166788034 +50044730 +951988256 +1040302056 +625377811 +51220414 +1314080217 +1250369490 +1529799314 +368657245 +906420719 +291166840 +1920629108 +293375243 +378438727 +889842912 +946253744 +1423122325 +1547701229 +2115129713 +210437737 +1571749065 +2129669363 +812343390 +1918813331 +1068420561 +2135843167 +275708387 +395774366 +1242393933 +354872317 +1562562401 +1292438663 +1306860573 +455380809 +1917816474 +1358080987 +1769461027 +1020702316 +740396653 +2138118272 +1927123035 +1031563493 +1911263733 +73014631 +1410002220 +653622997 +1019268375 +685640898 +53840579 +986914440 +896078635 +1625589644 +969100155 +1708422025 +1396919328 +2037520716 +1696781544 +1672627715 +285811435 +791691830 +2027500032 +1848373836 +2084130493 +1186876957 +156270997 +1854463320 +397474296 +1925732024 +727681988 +1137870950 +1916366649 +507321376 +21950795 +1680146734 +580336007 +1431953016 +186286083 +1599604382 +2117593914 +240126662 +439035175 +866188901 +1865716307 +1408135330 +427127278 +1115151987 +1298172399 +2123908823 +640296054 +1583983834 +768117005 +520312438 +1284874022 +704763850 +1707189395 +1441145019 +411743522 +2104663691 +1219393396 +1139425511 +1095050993 +988276397 +1646746887 +1117001789 +520939483 +79599246 +401471157 +707225566 +1679203628 +371581423 +947352229 +2118238803 +1237770324 +665584888 +1378890486 +1664897602 +1780736875 +529579237 +1641322777 +273549281 +2113563071 +261956134 +793861719 +1250953445 +966719985 +353567466 +544614816 +1378463507 +310747509 +1764008212 +370405370 +1405798503 +604800961 +2017152257 +375316644 +1125740444 +2096751503 +776787801 +1832966011 +1628471484 +1148369224 +632834592 +1599226639 +238655900 +1298419480 +830633477 +1903553502 +931672707 +1360212714 +1397392632 +1205221988 +1326292137 +1659348766 +1999083707 +429761934 +478585103 +205167525 +974376751 +1857048611 +515915034 +590901315 +79970333 +1921713537 +1195702277 +2097122591 +149546533 +173959073 +2046390446 +926334334 +2006925084 +1527378282 +2074703558 +492276028 +979121274 +165875810 +1790695508 +1809754751 +2069429313 +574884567 +1022483818 +1319338297 +1780106555 +201292307 +831203415 +1631706614 +631054242 +1309788519 +1836874139 +1605430993 +1019353482 +205305526 +48848660 +1099323815 +2127019063 +1244550937 +1048962758 +129081949 +1418510011 +947869557 +1055416283 +1277951447 +327764191 +982636194 +1770227476 +1306885465 +1148512004 +1413439336 +969156569 +1070457669 +1988323904 +1991640387 +242312318 +1620946811 +45449046 +1073515734 +1105169778 +676503288 +235820605 +794560269 +134450633 +1255174087 +999865795 +183299294 +207014254 +979401211 +1427850231 +1255977013 +1108483160 +698876594 +56362922 +16415795 +1976828042 +384127113 +999051989 +1599571870 +1691012579 +80346 +865527558 +512685500 +1070538015 +706367814 +356842239 +1312850334 +179830978 +402291285 +238882420 +1285000756 +1078794574 +474703025 +2079561025 +1213245207 +1729877112 +931943173 +1396544501 +1936891366 +1911344384 +676911085 +1045384731 +872343896 +1375787679 +1101747653 +888759691 +1205132073 +1485874767 +1887811681 +657220295 +1029403698 +1887892027 +1522747854 +1542089198 +810946394 +81632020 +1898931437 +2123796728 +261462998 +153739074 +215195500 +1546463754 +1232533648 +689898525 +1478541132 +298295208 +272291989 +263000657 +1694839709 +61699708 +26861393 +224267146 +1107084439 +899205289 +1600054826 +61348445 +1787964980 +657703251 +1547223212 +1528293013 +1314923547 +429143262 +1268701392 +690187753 +1971232460 +2079647787 +771819773 +1722680249 +2055960867 +1033282772 +1876419323 +123672720 +432262878 +961469324 +813571245 +1910804010 +1259764532 +1085863235 +26321019 +807120593 +1147562943 +53182412 +1031387740 +107163734 +952387701 +483958918 +168512179 +592869034 +1141662169 +1715735391 +2121162047 +309102068 +2144878653 +1242379792 +999289821 +1968627465 +1174543931 +1771109595 +1543824066 +1083021150 +656908719 +1272759742 +1206693870 +1089171597 +86745418 +2020265116 +852491960 +1346509950 +958644703 +878812979 +6146895 +2106207646 +931995392 +1037534635 +65887732 +1884383093 +1521493553 +234399912 +329768479 +515672075 +1950135303 +303446879 +824774143 +1947530309 +1545826671 +1824063965 +1768674126 +572886954 +1447689912 +1165014545 +1655908104 +2104598631 +290290639 +715118327 +1046286580 +377036057 +587899795 +1898778540 +1723546007 +1546544498 +630107872 +1729692902 +1505268496 +1562103264 +619743890 +1571156228 +1299002709 +2141237443 +1805556140 +1628771189 +509425870 +1608207796 +1932218068 +1334200014 +1408254457 +1330561091 +1010780331 +1029444935 +1903448045 +310986595 +46975832 +1411872501 +268101578 +337266471 +2126990828 +1314388158 +714302528 +567406975 +1065683051 +290364887 +2113951473 +1695790923 +2020057790 +1471736321 +1110410539 +492318032 +895408902 +261929600 +486071827 +553481394 +1890700789 +995497698 +14205542 +1675435209 +182214064 +1422459999 +858512652 +1192994395 +304421287 +614477049 +1503980990 +351397119 +2026349551 +1772082568 +688663591 +2005856731 +938987078 +1402966119 +425780059 +2004670129 +1693331007 +392247884 +1552977404 +1565905149 +1863984206 +515904295 +2058223181 +611909460 +777833896 +396811360 +1165390854 +521051037 +1392309058 +1179596397 +49002599 +1574523122 +454572748 +907515251 +620033869 +758994035 +1521992301 +2124014859 +1110391155 +1400858204 +1748613779 +1799054746 +1259231287 +540117210 +1054537217 +1685011346 +397303691 +600384576 +2077259231 +1950281096 +18806077 +1793759789 +318701743 +2077029258 +258185601 +1096535639 +326356971 +1423576455 +1617586677 +1718666029 +455689204 +1666589276 +1145705504 +910261953 +426620879 +1765739373 +1669255988 +1948613180 +1742270585 +632163495 +1201987736 +1343400716 +283734593 +313735376 +1883517926 +1338271811 +1998746722 +133337970 +1938656387 +1928522305 +2083619066 +1957462465 +1574798446 +254837161 +1887008075 +1832984047 +1351372801 +65881398 +1109076855 +821475830 +1784547428 +1564766059 +340581458 +782769284 +327544364 +767202337 +401025009 +1996800353 +568331870 +2143295594 +481480200 +1770319606 +1339212663 +765214794 +2084054982 +1075246941 +2103486605 +1935318057 +1208584911 +1894659344 +1716356714 +1144720329 +1704638161 +1143671513 +1399557491 +1444162589 +829171912 +603446644 +1510043987 +1938248767 +1424922474 +1147107767 +1355531179 +1765503932 +1929877051 +1683075543 +385222621 +183418413 +1532392248 +953554491 +179230359 +2013872449 +576390450 +1518443022 +631603595 +512961784 +446206316 +587606552 +300796193 +1654791227 +334782248 +2017152908 +652027909 +2039420410 +1013340773 +2051585400 +1336099351 +1842512685 +507548396 +698659690 +1633277805 +1932470870 +1845767458 +841325336 +1550491154 +1628160861 +376917231 +1935713775 +1811579274 +1909309480 +741784619 +1990809634 +1775698281 +1318175069 +1361769008 +259818228 +1831136853 +1807975324 +847424780 +2131933047 +1315282904 +1182207028 +2001602307 +1967310813 +1074143790 +867459432 +1871412565 +262759493 +562488469 +231477313 +961419184 +48282626 +16464535 +659702994 +889607962 +1566955689 +140380207 +1266525194 +1355185816 +1951959482 +1028351026 +2096970435 +1795285468 +656565659 +1267661856 +1009570828 +916383887 +951315062 +670062505 +1763808667 +935764461 +1985345409 +798532047 +789883120 +1805172574 +1872675838 +1657342552 +1529101491 +2135435331 +72347373 +1760578804 +949370867 +120630000 +1777043339 +1609073861 +1010237962 +1196515380 +1749454069 +129279508 +404217548 +1553929903 +1157630534 +353704336 +1201731723 +1814196193 +1621366192 +63818903 +583096432 +425197606 +733881408 +199421451 +1360962067 +571743169 +997953499 +3361539 +229432095 +723145689 +1660704091 +1758533586 +711097372 +1733051465 +1371628742 +1660468240 +1853681465 +1001188433 +1122058453 +716435779 +50220165 +724028874 +845715288 +454437714 +130475129 +2003345822 +808142050 +1332206852 +1670058368 +282024594 +1396025756 +105671152 +707222201 +2129907164 +305092604 +2068184268 +554166686 +1303046103 +2071545808 +783598781 +2026191792 +1584766251 +394648720 +589805516 +1170334068 +1766277462 +102790108 +876531885 +619982248 +1224848562 +1592967665 +670202413 +1948877436 +291199305 +1124640127 +2079352566 +147061479 +1932782177 +1264075770 +1817119847 +67323124 +512617878 +1922791000 +774545325 +495041395 +80399956 +695245945 +1049208081 +1383446059 +619308105 +1832806862 +1262154203 +56590709 +79971934 +1851959719 +1226924777 +1846249397 +1954749828 +2103456663 +318747997 +1032114742 +1548940680 +988950410 +833508530 +1840139985 +2113590538 +765377448 +1987201464 +1898889067 +2029453219 +1656837664 +1966212191 +394587449 +1432145016 +593273868 +889628844 +1512544972 +1288519814 +1938836925 +748507383 +1907827919 +1624160140 +2010661586 +1964418628 +1704132074 +1715137657 +1043859758 +1402897823 +1522403837 +999832773 +1721645820 +407034931 +401289805 +563112583 +1240543462 +93946142 +529219473 +2005920910 +2081147606 +280624892 +1887890481 +1590501622 +99353436 +134994283 +875162990 +692627304 +1024623127 +240224314 +1981147118 +815976405 +988731697 +1741491390 +292652897 +851909635 +1558426370 +1996784971 +419563645 +454802480 +1252199147 +1941967482 +1454635253 +826361319 +201518766 +1855925058 +1389473902 +1442062228 +1949871200 +1918693375 +1300499490 +1883535159 +51834620 +1040906324 +1326553133 +151188056 +1175900607 +54232476 +843815360 +53040086 +294456790 +677478831 +869016491 +1283188488 +271486573 +1161669388 +2135098123 +1829912943 +1010970712 +407178120 +137231776 +115686211 +201661955 +1591867029 +942047530 +403180721 +1300308440 +184037785 +1845242949 +1102695992 +2102731160 +998258791 +838747503 +7082132 +2039165115 +17816989 +158270188 +1067582074 +72049465 +1002085549 +1120622161 +366506255 +1679564380 +1989638652 +1649694743 +1951050953 +1003824393 +1637309219 +1633480248 +2014795105 +2044487339 +1770712024 +2130481316 +98665646 +1215095406 +925045198 +501846367 +367920198 +1109082983 +199605668 +1470616190 +1064330496 +1197864460 +161880046 +1071412628 +1089545927 +179697035 +1229682817 +9644354 +251746500 +84284718 +1130266515 +618252755 +1763849098 +972421519 +120463851 +1567416403 +1976245912 +1757773070 +1053413003 +1843557369 +1654776761 +676641380 +1826555037 +1753442408 +1891736786 +604116588 +107805127 +112173336 +1713199571 +307410796 +1582789526 +630046419 +1505275256 +1744669572 +1701459048 +447337535 +1924366607 +783658217 +456981889 +28629459 +867942935 +1587248404 +646882215 +484308385 +412186276 +767346066 +2051724788 +240948540 +377635488 +957654143 +2084505910 +2032412249 +1634295523 +1763577299 +1638371009 +1378548661 +220210239 +1746176137 +1490721997 +1933409811 +2053586933 +926027876 +415972582 +1411378541 +523213800 +2117431630 +1858716076 +300096760 +753606199 +168214318 +328726219 +1621549134 +1755462722 +975608434 +2105857519 +20165350 +1742954500 +2010098659 +261113891 +2120589988 +820269155 +198136153 +2005518590 +307081030 +1961713452 +1496405951 +1685629692 +34440044 +1095098440 +1028868041 +1967849855 +1001201725 +1954895917 +236338789 +265096618 +330626070 +206286772 +2123812695 +630722830 +959892971 +144543365 +959449049 +433958458 +1900006087 +1935057484 +392332329 +1920171438 +1530528336 +254947341 +33801681 +1503634677 +1075216496 +231937834 +1361669619 +1382297526 +46167638 +710591922 +920443570 +80607682 +1805690363 +1949311612 +2048457537 +659408440 +1756723881 +137312679 +924505059 +2087349951 +343599451 +900834106 +570589133 +1303492422 +1045377471 +1530038183 +1737450880 +797899910 +1317612019 +2129783210 +570587700 +700656707 +237246903 +604389381 +56807736 +1312463399 +836327215 +1418477355 +547277277 +882494854 +2129069278 +1467720848 +963102536 +1787275993 +1269548812 +864076426 +299200785 +878789045 +1001389105 +1223705844 +818655349 +1344988556 +2124539950 +1389244482 +500997330 +1022433773 +771799017 +90964563 +1820333684 +2089411036 +73264125 +243437736 +642584096 +310511028 +847827118 +699391832 +1622974427 +1684154333 +2117869188 +22768056 +419165539 +2099454818 +1490488904 +1382268076 +1739247163 +612554068 +98860854 +2038447948 +1491343114 +1100249959 +1114670145 +162514815 +297754867 +1091726447 +1551759297 +798752197 +2114160221 +176074667 +889716760 +1787010257 +118002055 +962980885 +2030447993 +760586151 +1273491913 +730791463 +1459977984 +748982692 +267462149 +1430363524 +771750749 +686627688 +1382334694 +114756005 +2068895764 +974098209 +727310074 +20272970 +865062509 +71169540 +1120522929 +1979732654 +233684355 +1418277796 +923975454 +1785443652 +69546346 +890652027 +1961518319 +959263106 +530178636 +2079520375 +1922243992 +413142981 +692622878 +1048252257 +1143934445 +5117214 +1797234950 +1411396594 +1435480738 +421502051 +2098024282 +670331784 +536258056 +2019436399 +1644429993 +1263568130 +2039709369 +362008855 +1334737670 +1012748651 +194257861 +1568422025 +283542799 +1118233315 +1206382030 +353089145 +2008885342 +1020416701 +1312352252 +391580330 +952453428 +1087112596 +804723312 +1645076307 +2135364853 +1948657757 +1650193521 +1785116155 +1212570703 +938190612 +59134558 +1163111337 +1608522396 +595392615 +1035064088 +1105468742 +1858960745 +927289810 +1467477597 +1046214768 +1940038461 +1661735458 +467153145 +76097612 +632485126 +1673535175 +429186758 +493886820 +546468229 +1741539010 +885467151 +1498921657 +681167958 +1690190463 +996514316 +669049163 +1491364572 +499224190 +306681671 +556451627 +1437414802 +365816229 +1719562964 +898453550 +961208844 +607143405 +2003922292 +672685942 +1534433215 +1323916241 +1718900710 +1326988028 +838168052 +38570207 +1403085640 +1470653178 +1712105383 +1832272398 +1964539998 +111089964 +1426327760 +702523501 +1610011621 +2107495718 +245230316 +459042290 +629061234 +1736594888 +958266480 +935742905 +145562867 +248197634 +1301559134 +1865125832 +1146651184 +115284331 +324785589 +1003089829 +787970273 +1859218804 +179522422 +359387335 +1038723184 +1017690474 +397957542 +294325176 +340860004 +2110062925 +2126597575 +157916355 +73669241 +1405441687 +860439856 +1683680863 +1365453758 +1105670173 +2142723153 +1994514992 +694781413 +953505985 +782774249 +840344281 +1201703619 +2084333383 +557986465 +200871155 +52134066 +882772054 +1203960984 +840104339 +594507210 +1383483407 +1199491674 +1633230394 +253690233 +1597449217 +1927555570 +594550238 +1560028494 +1906669497 +752466593 +1633697736 +1164627537 +1612906449 +1169894951 +382597647 +571092974 +1165134456 +229628991 +1265874388 +2118640441 +1012403240 +2106218669 +1172860412 +949252975 +516721486 +1373731567 +1001387042 +1399493540 +430208904 +1841491381 +1994000750 +1813692311 +893499408 +1479747496 +2067382544 +343464977 +1259819418 +514449134 +1903493471 +1019005268 +1266915727 +1389707559 +36149157 +732338529 +412118862 +418746804 +1303431503 +1577253318 +648375795 +421822243 +1548410111 +1660779035 +380557264 +573786875 +462548362 +897278750 +1947518443 +1463935404 +149288642 +230243699 +1157943138 +2143289392 +2043936010 +2051442546 +1475553240 +1963834906 +247423875 +587889011 +330800393 +3433698 +1606894279 +1597716120 +1393141258 +1643043436 +182571001 +1805260120 +2061790240 +1486002505 +1235029791 +562682387 +1907824748 +635956254 +75977774 +140898365 +1209743130 +538526136 +1038177115 +1009777925 +2002461541 +1187465758 +1240021624 +1012921031 +1183271502 +1136473986 +916879929 +511341095 +952825244 +1164303804 +1099230106 +1283625637 +1167737502 +558640737 +733858110 +413395112 +54200525 +916429111 +71171585 +2115990765 +254947968 +1306201376 +531189504 +15289069 +1942157630 +607167278 +156187434 +1004417112 +1145693414 +1194364549 +2014195037 +1000671307 +234346659 +1106733013 +2013592338 +1417618162 +95723351 +782988619 +1928959257 +1048548596 +1947292423 +880705715 +184690585 +967546278 +1439346452 +918548695 +1380941390 +1493546977 +1834977807 +1452112975 +1462054094 +2089925775 +610830703 +1993243598 +2105214844 +405504686 +452927228 +113918630 +1409921798 +1598620642 +1308283180 +1276633188 +451808302 +1542629839 +235882553 +317916992 +812764353 +331605905 +1100905612 +594239962 +1380154501 +900714387 +1474945677 +1564845086 +1868260665 +766808481 +335910134 +1101718408 +112871810 +23404293 +406347735 +1574925904 +2113330068 +1017178439 +1420685854 +2071061265 +1422683125 +1873613082 +37496247 +685121275 +1324750077 +1345779427 +1961754463 +1776558379 +740925619 +50153369 +2094475371 +1553689972 +381759274 +1047897335 +446287 +1761913775 +1948611723 +1475391964 +1179275213 +1669388740 +94716798 +1515185347 +623623500 +207588608 +1538589640 +1029971236 +1782514513 +1504436061 +2047149675 +1055716719 +1428013678 +1322349152 +781846154 +1465509925 +2007470427 +2106596231 +663805705 +1821741243 +1735670962 +1404731324 +1871894612 +1682662685 +810937648 +106170238 +583076373 +811383935 +1868084013 +384204448 +139292252 +899875578 +2053593188 +234009050 +267577278 +529733041 +441597658 +1806166918 +1559704277 +76628523 +1163119331 +1459370304 +1132345243 +443649361 +634235808 +1914191397 +1909159287 +494222587 +1873303980 +425481344 +168480182 +1461491294 +1830212668 +2040374794 +996670331 +493666668 +2146545032 +1579746704 +1305050604 +1867145397 +1963951152 +1444342856 +619537328 +1870060693 +1678351906 +887114606 +252310086 +2119949564 +545797876 +1812014363 +49094440 +1708917208 +1123901019 +1181439683 +5082921 +1758136827 +948147432 +1914242208 +104875766 +673967764 +192239904 +273355949 +2135459058 +2022452572 +166247095 +984645741 +368635593 +165308480 +416908798 +1673686197 +2032453877 +233376302 +970545405 +504507557 +2103436995 +501413663 +1391622163 +208263433 +473879579 +1937420040 +2020277796 +522974019 +1498853600 +996695167 +1704413702 +1503936521 +607348346 +505077486 +1270695082 +712224113 +1179045250 +1462934986 +985580062 +1167020660 +1337903911 +1151827157 +4182754 +1706539504 +1317135637 +421091552 +1232742053 +1202105867 +654467854 +55803810 +1706613424 +610421202 +557217473 +950751940 +818684635 +1031097052 +740688332 +691478784 +1554071072 +92058284 +1688173951 +1111001126 +1595994805 +148038650 +1616078613 +719206239 +860262763 +647640215 +34657578 +1845842825 +1814660876 +1372561489 +850186334 +1818843630 +931617345 +19838324 +92451534 +16875750 +1221944191 +746919388 +72679560 +781073967 +1357340590 +629897033 +1731825907 +28541578 +1660994085 +325030591 +720020362 +1067581509 +417088875 +260710665 +31098988 +2013083681 +408749315 +1647177601 +584806272 +1269012078 +147334168 +619463850 +967371255 +1961995044 +1992025339 +1817557590 +1633355026 +776159036 +1837395914 +1725806560 +793034786 +911856457 +325242301 +865714346 +1692930424 +1682582891 +1495611379 +1277272684 +1711124469 +1009121817 +1602303275 +283661183 +2076703326 +2019392151 +544371849 +2107802314 +1884992184 +953121164 +1607496267 +322314808 +74649595 +1754830436 +941778659 +1042020850 +1569341832 +786320350 +712094792 +1055213211 +1562479387 +402007058 +633536123 +208030525 +1313863515 +958778424 +1073744872 +859310292 +493877668 +421872603 +2136582976 +57518489 +1430994420 +1591402603 +341179673 +1360214099 +1463311106 +885551522 +1320532765 +1200819642 +1838672686 +780545385 +1523134451 +1913322281 +387892173 +317429462 +807859484 +1957234005 +1103749812 +1519954276 +864963568 +518745551 +1921961335 +1498499692 +726776077 +1088341202 +309794468 +1800520949 +1947651494 +803672136 +74909904 +1936750822 +861190626 +1505904325 +1380669778 +1202370299 +718634776 +696497236 +2087921821 +2039167541 +1897316879 +1779110859 +672229278 +1272967682 +1544949493 +1060121451 +1590397144 +205325329 +869871809 +546663308 +1725279605 +1734835377 +1065408860 +1499757292 +1085851421 +1792184937 +440614847 +1395645890 +1445222238 +240782693 +51834378 +1520132142 +30049868 +913025004 +878552819 +1410719646 +2115395303 +1597187595 +2107216882 +2055833476 +1488871489 +1857050113 +1687460688 +13617119 +982534147 +1084926533 +1073738571 +425447643 +1290251862 +1943610380 +972110952 +868047819 +1530962109 +2037519812 +220321464 +469329883 +1682221101 +660936311 +1864975773 +979959691 +901719004 +1916810151 +352608185 +931768872 +682351508 +1231161005 +195004870 +650263163 +680864952 +154738105 +558612992 +22252793 +2011788218 +98590032 +35869913 +846838718 +1183516565 +1109608484 +1272286361 +326284779 +905735216 +96913665 +1194332598 +289213677 +2134433477 +1414654062 +758543560 +1669170930 +2075590373 +476035685 +501646973 +829825730 +245362189 +854255159 +1761594602 +927713697 +2085416164 +1956599473 +1577976860 +618797468 +2111337578 +2136589852 +641050262 +1975642148 +87696236 +676920175 +674997218 +1271212801 +1786528659 +1947283580 +1597497580 +544780227 +2044197245 +644346531 +833993904 +2031147075 +2059000593 +1592537465 +1552834357 +1987107319 +2068573150 +2054481331 +669449401 +166451691 +761252842 +283560355 +1094165388 +699185358 +92676180 +524658601 +1317982826 +56530110 +513764805 +1959033088 +2032172259 +601461042 +488469615 +559685829 +1872673843 +127514626 +359485761 +1322687776 +672294853 +256199359 +1967034307 +1506288758 +139862786 +1878551252 +951342575 +1692697143 +1718174923 +872432077 +1599694826 +240140676 +1038883769 +213464020 +523701032 +2133049157 +912649378 +616377212 +510224110 +83148557 +672907323 +1023988916 +2042181645 +557595934 +1625449958 +383167613 +1117281763 +1350640153 +510682239 +1476767525 +525844281 +1182977093 +1732966884 +345394940 +541782203 +1872829670 +76462545 +1493124778 +1418043165 +1794637468 +218073207 +870254344 +2034778145 +1256956976 +1083718364 +410995529 +1242522486 +1996367743 +1027372741 +1752746596 +2079516300 +1700280064 +629251864 +1974214297 +110392350 +107218174 +209898262 +1227674114 +1457858328 +720580502 +556957991 +1983702609 +1903557595 +142441227 +181613902 +297856150 +2015270897 +258076447 +1790980928 +1285830414 +2052713915 +2009054135 +8601110 +1940008412 +1118527464 +1092319475 +203520293 +213566302 +941203570 +1230893035 +1966312898 +873236222 +783689451 +448081115 +699966871 +894081802 +555299289 +909865134 +2121755916 +2013157617 +1630445636 +531230259 +1849376579 +1386519583 +673671486 +2030990481 +1684375733 +541458735 +141583280 +1327873013 +1827289149 +46813547 +1189443500 +1835890260 +1986821960 +160487316 +780726087 +42858605 +374053618 +1721929657 +1273751640 +192882869 +447682231 +2057441092 +640963984 +1147649102 +804039246 +1196263273 +2057514236 +778311514 +1061937243 +1540476224 +1309541773 +763830174 +779512159 +1983213259 +647337007 +316404244 +377188346 +788920287 +1644277257 +56993847 +835733834 +686237110 +1892884107 +675072146 +846724426 +526126546 +717930752 +1220778045 +100572555 +1991682392 +1413660914 +548254786 +1901639836 +2054624898 +1695903889 +558195434 +1103404523 +1605934477 +1336506948 +17858118 +998927054 +498565073 +781688292 +1778439213 +334294684 +1429025299 +2094843458 +711483030 +70461938 +1591637067 +768476878 +906195773 +130390529 +513877337 +1581267919 +977114956 +1040003884 +151715023 +50409353 +1140576439 +2143397416 +1464070267 +1688831226 +1897553604 +1371211517 +1237251467 +308265391 +327132392 +695702296 +1644772339 +344990511 +1694629350 +2143337413 +1126678803 +1325584916 +330148449 +408220455 +1272944726 +1041631480 +478682393 +717098145 +1810108358 +1384878166 +847488675 +176502047 +818662438 +1824603631 +1216505931 +970377461 +1875012984 +209598723 +966291229 +1191599603 +1898429949 +716361186 +415327472 +988197768 +1024626577 +742459864 +1683900064 +521915268 +1087450375 +1231045767 +517769033 +66645531 +409147035 +847917483 +474865986 +1682091761 +1889548963 +953548379 +251706258 +1552173673 +190942898 +1099194933 +1728675720 +1009605336 +776314916 +797698004 +1979982797 +503844252 +1007296727 +798790379 +1695443855 +758243028 +1515151565 +2110771327 +1746440796 +392294494 +705747544 +1282857212 +914209762 +1793197919 +366419331 +1431978796 +1859843450 +775566366 +132412631 +187225788 +310174479 +2021961594 +1140774168 +561880738 +1426651619 +1331717066 +1661075671 +1007843691 +193838754 +289906940 +1805541695 +26337903 +793751192 +665354774 +825128282 +341711400 +1423597802 +192796199 +304999079 +1022554950 +585090693 +1010746623 +157928515 +1499300456 +656460895 +524347846 +783795604 +368820697 +1299914213 +916208235 +556046486 +1610088692 +790686181 +1696820654 +24485782 +69854152 +881054072 +1685561454 +1077697843 +1074892826 +1975468394 +735755891 +1101230729 +621735938 +1401110665 +1926359012 +963447338 +677224820 +2119155211 +1268446418 +1699779770 +556762257 +131709393 +1857708285 +2056062713 +788170288 +234572484 +692374669 +1156990986 +1534486697 +1608582904 +1713037472 +997091741 +251785437 +1262374478 +1021577524 +321639589 +2143428550 +559655330 +1399337432 +1070837728 +387640076 +2135093323 +24584809 +1009376014 +1388720341 +1950943821 +1972823353 +2065945161 +1922615385 +1093786123 +1618241283 +331893994 +1225495516 +1328465921 +240473059 +2013665805 +1563038405 +932847728 +1023173143 +950041454 +393946984 +588726967 +1947133195 +645732421 +1851101445 +821227071 +967372010 +1847046347 +1380882401 +219225794 +770400427 +1768522477 +206835470 +794985236 +630414844 +1595555811 +598445410 +455754549 +1514017324 +373577147 +1549540672 +984774959 +705471141 +627552540 +165757232 +945944200 +493734697 +1728795637 +1878791928 +1516907840 +531353443 +125255264 +2105634807 +331002991 +770987685 +1809252604 +1152230062 +1738359695 +1508815303 +385628816 +1957585489 +131732082 +6667645 +16937311 +926717319 +637082489 +1612493122 +1525162729 +1092837038 +979026798 +1898739876 +494894062 +1963801758 +456727369 +1122446603 +2129558990 +1402671569 +1616181300 +1710870980 +1133979849 +985605493 +94740775 +1259235113 +943756652 +425743766 +2030222798 +605525609 +1577973829 +1621098845 +2114340912 +1963602645 +1431200686 +98589347 +1970270290 +1448137998 +1025306666 +459869132 +913147472 +402985747 +1552706170 +1892174271 +154241975 +2047600233 +1708492381 +610969344 +1022563188 +1690567723 +2013640913 +491260840 +1253955055 +1000137114 +1476866333 +1348695831 +111888579 +273139338 +1774439597 +2142111377 +878664947 +1204929778 +1615726574 +845522211 +1021048775 +899443612 +944111558 +843835418 +200097962 +1969418224 +1303704550 +1113245435 +224920323 +708927072 +857936058 +379162298 +609043657 +418944791 +990131642 +1631606845 +2109512514 +856288907 +2122867686 +1215983922 +1856426021 +1452250371 +417196105 +1968314600 +1725389709 +44152054 +1962942329 +456571008 +1249081833 +1431185255 +1302093220 +122646960 +183145220 +98721130 +966482378 +383243182 +2068139355 +122703280 +1496488617 +145576030 +831630353 +206941027 +524738329 +1440674010 +625885818 +1514869971 +924797208 +587914685 +223675231 +900181246 +1803898607 +2080101252 +204947969 +73611064 +1900932205 +1930337679 +117763118 +1716390886 +239425039 +1366844951 +1000092494 +1541518259 +1489491912 +1183237714 +1640239390 +308490642 +1566480896 +1560895097 +431193923 +915485866 +1706471127 +1262824276 +1122426893 +83725808 +556014638 +1748312712 +1598595780 +1480811846 +188743749 +1822271011 +233509444 +1992642356 +1754888615 +438457414 +2066253420 +1508337172 +221311445 +36532890 +1077244411 +460736484 +1403377842 +2077336905 +2002254744 +745386106 +1113090971 +1495010486 +1053876748 +532088219 +908421935 +1485070671 +1447574085 +467409414 +600411299 +422517331 +551135223 +1156425938 +23346395 +2247355 +489754136 +212090144 +1824518366 +723263581 +57248852 +1431923333 +1161720995 +2123502272 +792776858 +1383032440 +12551514 +1870021269 +1843768924 +1415929356 +1799874526 +1698540020 +13831814 +765481849 +1046066858 +1067708563 +1297570068 +1954488793 +405295586 +597660506 +274414560 +1005706886 +1020177837 +825549783 +14649176 +1043524232 +827797138 +504403312 +1255614376 +504831856 +1227666893 +1312863228 +1936755189 +241904240 +1288881852 +582048399 +1624936680 +1301433366 +304586020 +1321221957 +569879075 +2104460546 +872278329 +583710889 +722458747 +1918345188 +1651419452 +2020028816 +1725350333 +2056715039 +470205674 +1999764893 +914938277 +1490383511 +677831028 +929587453 +386424095 +1505628166 +1433990765 +1642038471 +2010460022 +514174011 +807418051 +1799731564 +756078251 +2096299903 +234296315 +233531284 +1250249621 +538882336 +1554753241 +1820128696 +495859234 +279547922 +256355938 +1218317982 +50409462 +1907775390 +1090863150 +1775759796 +1817006781 +1561068824 +1628041041 +584461410 +903968687 +158388422 +1514048863 +1290392782 +1664016588 +800555981 +784947605 +1526992963 +1314729992 +1592365656 +1179240879 +2070808243 +1541181911 +1413537194 +156855879 +643947884 +1952419530 +1711609120 +316592933 +300795117 +1991157043 +572948871 +1519113099 +2041566505 +333240613 +462492601 +1669842653 +2763747 +2023561425 +1150400047 +587225157 +780046464 +1308788469 +2101274021 +2070439246 +825321409 +754346354 +707903203 +204830724 +2069076346 +152785211 +1384071603 +1992400941 +1693967122 +650125150 +1773173 +190431358 +455061032 +1713382293 +507024291 +755856149 +1557055688 +1079973162 +127485600 +1451138546 +1413213776 +589978201 +973497551 +1415977523 +466055978 +2123897598 +2003202680 +1246102442 +1285202419 +1956993053 +1169058040 +2110523829 +563855759 +1876961243 +167870905 +485448457 +2029746454 +1551942509 +330365751 +1576229928 +54584011 +332138924 +1766661287 +509645043 +2045521217 +126201930 +1265501193 +1455093258 +1206175093 +1392986793 +758748156 +471905221 +1982964995 +1732245707 +1887882744 +301537325 +1708659658 +1743601776 +1547639768 +846378429 +1553111182 +569214160 +809418610 +2116966941 +298691756 +977289516 +454931751 +180954562 +381748377 +785297502 +1757184491 +436332388 +1117436426 +1376362130 +945977431 +1015473995 +1502564060 +63994976 +323083605 +561255505 +1456981770 +1081831761 +1033160726 +1292463117 +666593821 +773559822 +1594000442 +227769831 +369677951 +994156562 +1074148260 +1922789133 +1563370723 +1883566871 +1892272426 +1862062479 +713372739 +199720529 +2043017041 +1095121116 +985018031 +1652717884 +1531453504 +2102454457 +881596366 +329947287 +970444805 +236676779 +393942264 +1293528410 +797932284 +1850924034 +227876524 +1831093011 +995903503 +894470345 +457169185 +442420297 +1122240176 +826847136 +1436576860 +48904788 +602152621 +852463935 +1932471659 +346941400 +567042766 +498360750 +546661929 +462576159 +1593481866 +1531679961 +2115294044 +977451722 +1486650770 +849406762 +1307399010 +309611927 +1086083541 +1701341274 +1603140338 +1884015826 +1404781660 +1831016862 +1567625189 +253201515 +578003559 +2024794374 +695621812 +1700243735 +704157863 +2132198672 +1749148523 +1306310484 +837178959 +1534136535 +1653251884 +1404221725 +2032497285 +52430166 +1866797885 +1478495504 +1584110127 +1834608281 +308463578 +923277249 +536531395 +1615862588 +1232889177 +1622614937 +1169720214 +688545867 +1359147115 +427018226 +372079081 +779288656 +680219741 +950082640 +656599382 +1375841554 +502842727 +1360757245 +1360556578 +104507602 +519584082 +50251890 +1638644137 +25352318 +1454473615 +1523657775 +77782484 +1173787852 +854669631 +1661892611 +860912485 +1163133209 +437686213 +1397443881 +631512150 +1670575390 +872575170 +1801232364 +211637609 +84238637 +80766943 +583716690 +863527293 +760986684 +1533799330 +1520126675 +2136828238 +2036642057 +733400273 +1349901169 +2141149659 +1252984355 +1400153059 +1632310149 +1278336673 +707143026 +1008484276 +1356119158 +1880930879 +1863153907 +870528121 +594359716 +878803468 +1308214334 +1991803597 +1510315618 +831306076 +716895119 +1164064335 +1042943685 +801133756 +1244831278 +1626660375 +1664661049 +2005817962 +1012976057 +1037304077 +1995162553 +902134466 +1770704350 +1197580074 +895800478 +876205057 +450249485 +380626979 +7058082 +1157392511 +1389111255 +1363177240 +890839742 +1104781514 +86221714 +1485199459 +1983584982 +1394436048 +1329519408 +1346416953 +78258477 +2046414528 +362997640 +1121202162 +700064636 +1607828918 +600378890 +217242038 +1466163232 +1613354947 +1254546115 +1313842137 +368005766 +877766817 +363938563 +1263806244 +1753971874 +814188048 +1644433223 +1761029956 +1971580560 +886060830 +976723549 +714936654 +1990842344 +1062945263 +52652465 +1826943678 +309897663 +1382171874 +1025876983 +388156140 +1281102754 +1388874623 +1509358303 +1981167390 +849219893 +2109737193 +50925780 +167899478 +1575608492 +1305471895 +1481741615 +1943614258 +35755064 +1845680179 +1059936854 +1789726938 +512384579 +556886429 +1403273247 +336481491 +1442947259 +232513148 +1051418146 +1286305955 +1295458411 +1104070611 +965765986 +1605356074 +338758837 +1991642969 +1993512215 +1619861591 +1233033945 +1355386870 +1453545334 +2082253838 +1317640415 +1504471114 +102669668 +745765259 +662459362 +1584411284 +541895870 +698214426 +1282607815 +1601832724 +340457717 +1794992394 +11235506 +1743730964 +2131473886 +1454182765 +1976244112 +1035408384 +593005073 +1124218875 +2139478995 +1558771059 +582091301 +330754185 +1402930380 +428119868 +1950615776 +488480677 +1783506738 +1256677462 +423250868 +953663505 +613664929 +525920536 +1699428765 +1276124291 +2110331820 +93840987 +1974338717 +1245455987 +1695673711 +167312786 +892964734 +1706909217 +1911043750 +876954972 +1013608335 +1739804214 +1912363356 +1606613408 +716539441 +1904358703 +1017900819 +1298630743 +87629240 +273347551 +1726750611 +2038245017 +761828229 +1362773702 +1147438831 +1185079097 +168953559 +1761103760 +1710999633 +1868382324 +889744403 +1673847806 +1962223311 +716599473 +771820145 +1510413375 +883912259 +1664784879 +1069838944 +647472362 +394256203 +2083447279 +239792928 +159135911 +1542577039 +956332370 +2063494615 +412994210 +107479465 +3640207 +686341762 +1834230076 +2041885224 +1448169991 +1049520130 +1041840408 +485765440 +1218473690 +655460520 +49281425 +939372366 +1545204924 +1723129231 +754112030 +114320749 +347465729 +117041757 +998233008 +2012250608 +1186880701 +1645705370 +259023164 +1122844333 +1885498299 +418159075 +517937724 +694347021 +334170042 +930931935 +801826486 +337810250 +1617273697 +488572914 +232211826 +917960040 +1538093045 +1274052234 +1403725480 +609083087 +1929512755 +1453006905 +1548455453 +1327234031 +1028652489 +155083835 +1441554780 +1376118218 +272125592 +292304140 +1240885178 +1459006294 +1938009511 +1499908342 +434366979 +1676024162 +1918067418 +952304703 +222887535 +104753812 +1883236638 +1024714021 +442564062 +1353026687 +1513286935 +674775889 +123503079 +903896332 +1948828123 +1527228559 +1512979419 +1730857230 +832751817 +913951225 +910607613 +1861404306 +1069035060 +204678745 +1090038876 +1341160653 +496982886 +183440406 +652683299 +287508749 +1683348749 +1087050278 +1963532911 +1453932519 +2039354981 +38936798 +1558686331 +1775107972 +1063650819 +2001250394 +980651011 +429454106 +528542635 +1104154091 +1333350439 +329887110 +483899002 +698846210 +2060744341 +1316650819 +1612797435 +823868306 +1030571477 +534348848 +1028547052 +2120610353 +1875509501 +1525529938 +156567112 +380709152 +1813038687 +1839915861 +1467759430 +1629087950 +1146364732 +1359630763 +1668024748 +557567415 +987255087 +584191919 +411334161 +1967906099 +1013646025 +939876796 +924576542 +199512816 +1269763907 +1408475544 +898359027 +1183024600 +577642716 +363672814 +2006892906 +1608214193 +898021662 +887956310 +1581340899 +626047515 +266002600 +1737908011 +1006756667 +2079041287 +1430340224 +327032449 +1560645589 +429221308 +1686663213 +1081186689 +986788723 +526434652 +1665378608 +1398122885 +346857103 +531540986 +190516033 +1271433645 +731053802 +1460279940 +532425542 +1629412829 +495820892 +1110068258 +1993085644 +355230151 +570798803 +743623658 +1243186461 +4656054 +1369671174 +1509189062 +1742564065 +228944193 +1440746701 +1025420641 +555976643 +853908643 +1454641949 +95156208 +1935095332 +293947025 +621590860 +1452990293 +1692069910 +968447964 +1984531279 +1882585943 +92397961 +568101433 +1195382236 +624823503 +50030615 +1691203128 +1734891761 +2043116259 +2046433279 +158206917 +639256269 +1142136093 +162862971 +2008927443 +503841507 +1905427037 +90387989 +1944588208 +783364030 +646364632 +651013203 +90522332 +741520840 +438624888 +384469357 +1363111700 +1891615181 +2076539267 +184076016 +1728662812 +1811641562 +276473978 +149280597 +859540150 +901297481 +199311212 +403259631 +488705595 +94943823 +302209262 +646912512 +734200093 +1444345355 +809775483 +595643888 +1948186862 +567718872 +686031877 +1745291423 +1351082903 +1332396509 +248820978 +1441605235 +2073917349 +687445866 +1826074592 +1289545402 +431577399 +1755130211 +1473621418 +12756563 +1419288125 +1750095396 +162037161 +131344628 +503909230 +361348373 +534604259 +992614825 +456292197 +836813521 +1639527337 +1190492290 +133675229 +301819172 +1786136178 +2081862091 +869538045 +324684408 +1679669866 +73137300 +1657080917 +1928490845 +1514742535 +1583514619 +468453063 +1193333479 +725576373 +900030463 +800980042 +51714143 +912787026 +72784519 +1801809540 +1074824187 +204129147 +158235122 +1436172561 +738733406 +1150849947 +1892464758 +1575546928 +642893636 +935473400 +1709222157 +944712808 +574125930 +1643600600 +1814250853 +898810338 +1175786819 +1887388153 +408407608 +956794016 +1254647040 +1991922227 +1425247079 +300496871 +570014952 +177793894 +1101476913 +621729095 +1090580921 +1174261433 +276054987 +17921460 +1378390580 +434290109 +1454094021 +2117123987 +1585140056 +1199075131 +1545187267 +80550044 +2134548531 +1106925776 +1025262853 +561190814 +603042728 +692030058 +1460001152 +1778829547 +431934564 +1868408760 +588139915 +1686581604 +1712847339 +2013386995 +1987078476 +135378643 +43697241 +941071741 +757107739 +1134278162 +2115333174 +1033162726 +1152199623 +1346240107 +1467452836 +458809996 +1315880446 +905109244 +1657885128 +713584065 +985659289 +1644950011 +1820509841 +2010922142 +58657177 +276068921 +555468552 +1518658330 +2054898469 +987403116 +1239583442 +495554736 +526501073 +804947134 +361458083 +366095901 +940325777 +405155325 +1307167642 +1697433516 +1539433487 +1275017169 +583112595 +544149462 +473773628 +2050565431 +1002959459 +1789654074 +808191027 +513360939 +355754491 +1793850316 +10827302 +28780684 +1657288810 +69484480 +304849605 +65273715 +1588142810 +212264426 +1052676831 +680242604 +707819163 +1579177904 +1485189738 +1069277246 +1945273805 +278031868 +1474432571 +1104957800 +1975465384 +866382411 +232491321 +411094331 +1410531873 +706264949 +314176114 +266007684 +348435375 +1122367142 +779368623 +704189866 +768733810 +790195926 +732970550 +278538973 +859680406 +1037820155 +343812688 +300339568 +1250084582 +1396489519 +980582172 +1957903745 +828183776 +318288263 +879697343 +625973933 +596320131 +206646267 +1730931733 +424301867 +1073028678 +1963423054 +835396199 +336076903 +522204355 +1149572313 +602084588 +870639730 +124455807 +1381453211 +1574829596 +893189618 +24165489 +160316498 +1171728591 +883845895 +1198136654 +1515541279 +1184185463 +300737588 +764547150 +17283988 +111157685 +1592730926 +335572251 +990855028 +71221212 +931892382 +1197501295 +1802152945 +1356194249 +123046325 +1618092352 +44106800 +459123229 +2140296707 +1193679114 +1061207817 +863452790 +1318134921 +295177380 +290798738 +63840891 +319342870 +451115237 +1235569482 +1203188765 +1649251891 +603627113 +239890581 +1949989479 +1368174264 +257174569 +2061147164 +813421542 +592746820 +904518544 +884642754 +1524639202 +2102019840 +539312052 +733349803 +77582517 +9920756 +777456604 +536705746 +2733815 +1971135718 +1597913563 +866186605 +1141786991 +1893090944 +1156985344 +1205627883 +64950166 +1608100581 +293713717 +1268138931 +1109868824 +897340831 +1508029512 +912374655 +118031447 +1765204081 +826038171 +931452989 +210467253 +1730556715 +1816095744 +1735106455 +1685092907 +207924148 +320972611 +1762675425 +217844904 +1098429215 +151897523 +220578719 +922081285 +1749811087 +1086765325 +2063868276 +1495418383 +96267021 +1122012511 +1560368549 +1704367602 +1415726229 +681023832 +666752778 +165583412 +41569697 +1579127433 +283614859 +1806773778 +257681956 +1215067848 +2017241032 +1988238671 +883679944 +1604863839 +1525847931 +1091604092 +1925836450 +1141039708 +1309448996 +876782017 +1292937231 +1530027716 +1798863302 +895264670 +469309393 +1715247931 +243199405 +565576414 +689776794 +1803567954 +122460368 +2105503023 +337108139 +789213146 +123602787 +378677836 +220856931 +407217646 +37967966 +478538887 +1622285495 +2055208998 +319293910 +358481791 +1512589190 +1845141841 +1450085884 +1290941992 +838697901 +612051232 +20240362 +2131635133 +2142078948 +1819103664 +879416155 +463904693 +1386867947 +1122615561 +1029481107 +2076644742 +778699867 +1151941475 +2034664117 +1115808006 +1941154621 +10783257 +1494485842 +14527904 +418000903 +1532453809 +493066791 +2040286398 +1440179159 +812360702 +251284542 +805284701 +510018895 +1701370426 +2096226694 +1348716797 +165938010 +2116467056 +1332868282 +160533311 +1788087072 +64800789 +624438004 +1027471372 +1187416350 +1653919112 +956632466 +1966116218 +658376939 +843812935 +934440576 +452047913 +854596192 +281442771 +466575817 +1272597096 +1813896580 +959642609 +1165399846 +1106592091 +1772003311 +1416684388 +1911876793 +134538558 +970571166 +1860619839 +1483255355 +1136509177 +1829603247 +668639989 +1297042488 +1470206671 +733440779 +1921480492 +350194395 +1920857129 +1427915956 +1306826861 +1739489699 +2086292896 +3156149 +526446628 +390857161 +857752341 +807889399 +857432978 +2130349437 +474302331 +1817075587 +1148265636 +1580894422 +1441595250 +417466376 +1345287567 +1576133809 +1388037543 +1058423758 +911905516 +377063072 +740543357 +1580545506 +1674105560 +63266381 +166502637 +1448102404 +413460776 +2087359766 +728534713 +1720287638 +1679365818 +667343961 +1723443787 +58328798 +1058201122 +433712480 +866218197 +1915634100 +416578270 +1340520528 +1585226040 +1564843906 +773931302 +879337642 +1982310282 +2119218870 +307987803 +1222864177 +1030158980 +1219893320 +1599927249 +1770702338 +652955178 +1126549161 +1833968719 +819457815 +427167918 +99945847 +759333933 +1155702631 +1820233485 +291216103 +1823046592 +1396193624 +349544901 +733764066 +1829906105 +1215763098 +501914518 +99000727 +408799978 +2087140558 +1663844633 +1182731281 +818994553 +1498671267 +1154466503 +1126982356 +574051797 +37141835 +199392028 +26495398 +1807844173 +852347206 +1153044560 +1494329244 +1671805021 +1580212478 +1594275092 +283655307 +588431461 +1267024929 +574871410 +263994405 +515734906 +924416312 +997758471 +198157363 +2140179410 +1499672989 +297158090 +401495741 +1439329900 +1961002723 +1584227022 +110840805 +1312190342 +591209877 +1237823161 +1886242139 +628351712 +1437215190 +1912737538 +288712238 +142078748 +918298450 +1783041482 +1813883770 +351027280 +1229832926 +2097539077 +939458741 +349374208 +524926839 +1203453146 +865109114 +1449343151 +53727969 +1063266477 +1442038914 +1553400958 +1360424567 +1843534655 +845247210 +1173943642 +1280278029 +956088015 +338650336 +1871487906 +46427529 +77408828 +352355970 +1483642719 +1990146366 +641068208 +1625721467 +760961168 +276626043 +1292121589 +1111988448 +1506458969 +1242177018 +2051447189 +1855833177 +1767103858 +1107416687 +573458643 +1068963361 +1161144656 +1636725120 +363518627 +567061966 +849666039 +59569634 +1412309177 +2023609681 +1339847663 +220913544 +214776370 +1063851921 +267341073 +292185198 +1416207892 +1750983792 +134847916 +2057276100 +1229221612 +895809084 +186418495 +373859553 +2007797532 +1692877465 +1616036572 +1911761073 +1401226994 +1235656782 +871694112 +1974685638 +157136495 +2032838768 +1463927110 +520655123 +452417086 +166109502 +580224757 +1864726263 +42235535 +1920072421 +2085639808 +257011905 +836440694 +205497233 +549197103 +105164938 +1956481026 +684045019 +14957391 +1038218990 +1579854103 +201375886 +1412078543 +1440167987 +1894253351 +880631467 +1204445412 +1147996698 +2116288249 +2076139524 +975198688 +125941097 +1961494644 +291642150 +646596220 +266428083 +457751652 +1226820977 +2131154346 +499987188 +999409750 +2069310506 +756999093 +1835850445 +127324092 +1306196197 +1941015383 +2083805118 +1990241216 +1955972774 +974540460 +1422611672 +9865013 +239135355 +715296011 +1904118364 +1119766823 +1919741424 +904631414 +1088571424 +1848397300 +1879830102 +1214512521 +1662408297 +23988605 +1861108741 +1928836380 +481740257 +940446071 +1912507078 +981727445 +1939855821 +1834333937 +1738726539 +1628222618 +1961658029 +897439088 +1421754354 +1897979499 +740196656 +1230243480 +725036311 +15324680 +1240108493 +964171666 +730620692 +996743210 +2083938489 +502878468 +1901374624 +1025026266 +203792120 +1633721079 +92055139 +1866200417 +1657709684 +1953163881 +1647553149 +2139449941 +746126304 +1412576580 +973693739 +538498477 +1099426869 +564936630 +19237448 +913601250 +1462375718 +1440991802 +664097101 +55088726 +523751634 +1389133412 +70413407 +1763860128 +205821430 +801034099 +613119690 +142276272 +1303912567 +367010666 +1167302538 +1507704687 +2000731745 +1259357677 +1226421457 +1510957781 +1065037910 +726490958 +1502924075 +1811164214 +2139067538 +329134166 +202179044 +1091010759 +894070796 +221416492 +2004612009 +208962866 +1662408294 +521225462 +264051592 +38676280 +1910358874 +334464999 +1802536408 +2116180305 +1135499098 +268172450 +110972929 +291928017 +635183117 +1278275467 +1799632705 +488431214 +390149496 +878570514 +1999388996 +1455187407 +1605061472 +1354829423 +1118867973 +1596645363 +1683963589 +1321047017 +540172474 +430550737 +1542463509 +397300836 +639513603 +1057388155 +918526298 +903565195 +1096064436 +681401525 +1238030195 +751117196 +650098182 +226045645 +1019289647 +761071111 +517973663 +1654472764 +2039346578 +170122720 +2142903978 +282012426 +1048693234 +1994809326 +1737199833 +506271058 +1202155101 +708584159 +2102916421 +738635042 +2029631176 +495605248 +1169185779 +1424611038 +892906084 +1808699382 +334515545 +1811432382 +564780930 +1430579981 +345350259 +1802811125 +34213530 +995448441 +2028856770 +1053503177 +1756519552 +399346785 +560492293 +1648382482 +569469505 +555912623 +1930394909 +1618162739 +403238302 +1520111094 +2124433798 +1605393403 +81211605 +2079866571 +196544798 +2110842782 +427988171 +1365730577 +1387970172 +1320894255 +1026946312 +1722485717 +984842990 +1591727242 +1005582051 +1330193249 +1247054719 +1039795581 +178158043 +1128427841 +2093298758 +1934677595 +1527774627 +506307403 +1435576430 +2097244132 +1062220026 +1218487691 +1567923224 +1465458328 +591115137 +1544873374 +923368084 +672326743 +1477256297 +1119912882 +635685877 +1905244469 +338159811 +2023656049 +1078655076 +1365106123 +1598658118 +2063498066 +809349717 +456756521 +1246207668 +2056404436 +1496552102 +1424365711 +1037348630 +1442367212 +1211559658 +417639609 +1948674615 +499652440 +367400093 +863410994 +1718140131 +1935323317 +181385674 +161771621 +1332713043 +1104753758 +834098364 +662485693 +77182992 +1469784241 +420246514 +415342804 +1345956642 +1498901590 +1780448927 +797131112 +1414916009 +442314997 +1253887634 +513640029 +351235785 +602956088 +1938005740 +1388584415 +2045323301 +1002081750 +1806224024 +1846514268 +1501734191 +26140470 +562441614 +1072390674 +1961463787 +743827289 +1234162295 +1146693183 +1848581047 +2068260659 +1809178876 +1925764040 +1390561252 +81941742 +193623196 +589034246 +1580843332 +1974072123 +1386165359 +848275693 +268903472 +492569345 +1361915722 +620139258 +1095525433 +1152437814 +2008723673 +993365086 +7035917 +1667464050 +692395707 +1508770108 +1693604520 +1254837321 +433677134 +1507584659 +1998664610 +1667839430 +506794194 +1699762010 +1588616441 +168489422 +1478042402 +831694046 +250431164 +1671665598 +1420728292 +1831274497 +1498254073 +659410003 +532066542 +1767157546 +1151979348 +1893982265 +239813156 +100021134 +898936431 +101053181 +1093386220 +905972348 +1768517231 +1785781927 +267258808 +1314638103 +893135601 +700935943 +674739115 +744316563 +221291725 +1181533309 +296594925 +1809908166 +1350022732 +1774637327 +494118564 +1600453896 +1298819277 +1914846857 +1284244745 +649589703 +426773212 +1816311288 +269263601 +1578752561 +1562809905 +509076757 +1678773695 +314262688 +610129938 +624676267 +1220235037 +231163522 +262974547 +1487493845 +1545801625 +1156110148 +40946140 +73057092 +1900426711 +262237865 +1254590402 +49537989 +2072146032 +457129486 +1824175316 +418780948 +2057583382 +975510946 +186144157 +1194344480 +1625100649 +612917370 +863172120 +1894364250 +44186283 +278498377 +255957359 +1722959978 +592761065 +866087297 +200152597 +1812996102 +1097250819 +463127144 +1153006300 +495568797 +1619237292 +1193952440 +568625889 +1372180356 +1456190306 +1823216291 +1421718345 +1380852690 +132862129 +1098410013 +1799633638 +42961864 +2073920959 +1985777796 +1237306344 +1551537960 +451211518 +2100478464 +1298418562 +495397801 +231493193 +1554375921 +70874131 +824254258 +272979571 +271026728 +489766713 +1370230390 +734153873 +1642773013 +1865799187 +205907517 +689241805 +286941429 +1578087873 +2145432111 +2110157720 +852322570 +1378801153 +95536202 +1950732584 +1030951144 +138498066 +1877169895 +869245292 +1375804410 +1281224208 +1320456810 +1328799226 +432159122 +1815854611 +1560292419 +1986535044 +1886728742 +237063029 +112030967 +10271822 +726829742 +1482261357 +744425695 +222119107 +1200576897 +950333213 +911360913 +1487518326 +380937438 +909309376 +1450192398 +1233260009 +140626882 +1545728600 +1036508945 +1171578026 +1684226666 +766195192 +2040823318 +912547428 +2047419400 +1213796480 +93863006 +332094875 +882167443 +1654155425 +171146271 +621412537 +1891218455 +283177238 +631684359 +470564549 +1765438595 +1376110055 +692683657 +818531844 +178959620 +1604044570 +158566522 +559897058 +365870298 +1608758921 +1793157067 +506497180 +1007003873 +682182364 +1678075206 +543746892 +1448377557 +1571414876 +1456294320 +1348313309 +637727708 +1550157327 +1680408184 +1519895151 +1056829104 +1851554455 +2141307688 +800563911 +2134731693 +625508400 +1271128461 +1752686641 +2001618455 +1963812118 +423734837 +33094427 +1420373040 +582301360 +592991485 +1786243338 +43576633 +238664905 +145256871 +1050580506 +920847269 +1823332077 +1594327398 +221741178 +1247263306 +903138071 +1570054488 +1884991014 +305811750 +1102979024 +1257402518 +1362640854 +807049832 +1251226558 +15721118 +794297877 +1876734958 +1286849579 +399500870 +1730869765 +1103178049 +823235708 +1763964192 +376067441 +1405537068 +209472030 +14827131 +1449113701 +448136935 +160084002 +352210559 +1368984204 +1983416080 +1946537958 +1590725383 +1083195738 +702192381 +1013296223 +820703104 +1008004131 +2116275247 +2078105622 +223161337 +775841431 +1181848533 +238882455 +1570139309 +911099843 +1525732034 +1969640179 +494485961 +481426435 +645392239 +110966505 +857493876 +2050929307 +320438535 +872321008 +1352559360 +768575470 +1032405010 +1704769920 +2137559675 +868337442 +1503824230 +1580801410 +1951533180 +58532963 +446613985 +624752637 +1066537094 +415405584 +555374611 +1289698431 +1191247016 +1737223144 +1528580887 +613902677 +500839340 +906829273 +436059208 +995325301 +1388255709 +1081451448 +1106291806 +98265937 +984897107 +1426730342 +970586945 +189972820 +47822164 +2002991956 +1894742740 +37898191 +723845750 +1251083322 +1618699601 +527895283 +1309616285 +2065313586 +1152647920 +228669731 +333235523 +1708022531 +1518368162 +1524482539 +1297762028 +899465401 +2138385216 +1798601368 +1806294675 +426960776 +646443021 +1047066736 +1508412224 +1752734827 +1145332673 +345825684 +1031981521 +2115919619 +535798504 +1079803686 +1971427927 +283057596 +1117701877 +547790029 +1534140918 +588917831 +1075685312 +696273555 +506747769 +80849584 +924943286 +839983292 +1788872116 +295827800 +216982183 +939150496 +1195293202 +207883751 +590268216 +854104229 +634844528 +1236711237 +1901170965 +2143256752 +841962416 +899019990 +341598788 +1873943938 +867455961 +877397292 +806263976 +691400240 +1160454888 +1923965853 +1239190270 +547112158 +365400036 +167391934 +1243385713 +872147806 +248241519 +20845351 +1712131098 +2037113635 +316673152 +1929113282 +828780483 +1511966354 +2136997033 +1419048699 +218586935 +624357913 +508276288 +2119757900 +620131018 +1350238704 +871294242 +961729806 +1076698994 +1738750204 +1839127099 +1882962970 +282666796 +852098339 +1659445176 +1521857066 +1399210498 +2024845212 +1689249001 +495112563 +749509370 +1937490520 +515957915 +314156821 +1827120507 +832631067 +95786455 +508417342 +197113773 +85299840 +1927466041 +415700708 +709657754 +288258681 +387974960 +1329788772 +1638497385 +1259269202 +144034930 +567712732 +850535758 +1983162029 +303192054 +1133202555 +687776721 +1962637230 +507575973 +2086987219 +1839998795 +49341326 +434616134 +442024517 +1986831846 +950574049 +756181338 +1666468705 +1783205116 +851967793 +27402399 +1980318889 +937267634 +1954868440 +248535949 +1646925388 +95643473 +636510909 +829230512 +1734140859 +1895780112 +973265442 +154369943 +598832222 +808943824 +457561997 +1732034777 +1496720545 +272715580 +92127103 +1436224116 +2112714375 +141468429 +1870840250 +407255244 +2128300276 +673930652 +1163436583 +1647285333 +309652120 +2015404376 +1674687733 +142487362 +805188362 +1482072525 +391023311 +304630102 +1577715999 +1027534221 +1133860614 +1164373210 +775830685 +2107126057 +1318743153 +1374662907 +768586233 +1776305150 +959214037 +117823130 +2049020730 +1051341140 +1554047246 +2014251457 +1192809569 +1277403848 +274023054 +1173626197 +1951334500 +1437459637 +673427883 +113502973 +1305380365 +200631968 +255990335 +2110568728 +1682704493 +647013646 +267715182 +1112936844 +1674547867 +1401575797 +129826406 +302894904 +1361218206 +1448569559 +1677557812 +2129804439 +1077391062 +489288201 +100143921 +978928144 +1540629341 +1654191167 +845695954 +585955262 +784111367 +1119719008 +1759581460 +587962220 +409694997 +285525695 +701465193 +1715075362 +486157663 +957455528 +1678160442 +21378508 +1604469174 +1945875625 +1134315353 +1131533394 +1199967774 +1264141759 +1434428298 +413702332 +565227671 +964502462 +396023123 +1642618733 +1453790663 +496167044 +474063229 +846936356 +2874563 +1319759183 +1432891619 +786985930 +291994543 +1044989431 +1374948150 +701689540 +1330515126 +2076413343 +269281255 +1816672789 +886385223 +1947441697 +1838051297 +343370750 +1745833674 +824883002 +1474904144 +798317800 +2089024762 +761848794 +1212020132 +506768785 +1726351257 +1608043255 +1903870 +1032658272 +2104210299 +475967099 +1879594629 +2107084862 +1795726283 +1165002600 +746587145 +2087720826 +62508383 +2121535295 +641926719 +1393023509 +2050464991 +911207974 +1062212650 +789366566 +711166023 +752780299 +1132737316 +309516050 +1577663302 +460157812 +1107833850 +1519204416 +1222006607 +172370335 +2025973201 +800874216 +1780413590 +2027877071 +1833532488 +1737140242 +356360522 +1565643469 +1696741456 +4603157 +583162421 +295844953 +2092323984 +645670804 +269896601 +586767055 +2038694313 +172877944 +1497975029 +953423315 +962244510 +61657404 +1706203615 +2094981827 +371173454 +1136383269 +407655991 +1479007305 +508104037 +1629662598 +1651377640 +386593590 +283053166 +1284307582 +266987013 +2116585655 +873964176 +623347535 +1534745476 +423221985 +627950693 +2117907898 +719066938 +572791029 +616095054 +988963539 +1159558084 +507305720 +1161841483 +510049465 +1460729035 +2124085994 +571706869 +1019449002 +2071584173 +942880324 +8348623 +331756516 +274403981 +516452660 +1961419115 +1925781621 +903046250 +96988633 +1062605555 +1170033263 +66090640 +1936569732 +1793380799 +1600836117 +212308069 +273847844 +1571260367 +931375007 +846638873 +39871773 +1920338547 +2006196957 +547177493 +934696382 +368762774 +2007906529 +911298728 +940469643 +879871883 +835399253 +1883349967 +888220507 +1167155770 +10270300 +1404673167 +981091237 +1936051921 +160235770 +1078079870 +851173829 +1330269033 +1144170511 +640259913 +976166184 +597522980 +852567982 +1250014028 +21299699 +1783942989 +2096652901 +61171472 +1556797888 +1955366210 +608348966 +344010623 +176645336 +468771847 +1255309351 +1117114980 +1348643730 +2090708605 +852981299 +89380589 +1110380727 +863251600 +1494053757 +2091471964 +651819873 +1654289527 +1022068186 +1502993702 +837074912 +18755049 +2143253615 +1813241097 +616278029 +848337949 +915771477 +637577728 +484797291 +864940731 +698749201 +2041595179 +672823293 +1307098167 +238122154 +849468630 +1775870014 +1493431506 +1966583610 +977030096 +1436656463 +672081261 +1066410686 +399553542 +1535332861 +412980795 +343541858 +39669087 +2067270322 +1365610044 +1542662789 +756861586 +1384365094 +1538432757 +422619035 +2000643123 +239287058 +1338390513 +490737204 +724084349 +55847596 +1189486405 +618195881 +728670889 +349100924 +856318035 +1578139519 +2124970938 +202265893 +1397239481 +954517386 +1638922356 +2069320743 +2020928072 +2038475898 +1457169956 +286425219 +234534108 +1496839043 +206211893 +1600144153 +892018185 +963073480 +837025599 +282967294 +1385692515 +690185074 +522254352 +576599380 +1180922278 +1246338702 +632446976 +222925035 +1864534583 +1361117866 +572025959 +573368970 +791773737 +549513249 +775634864 +41529571 +1504030636 +267073572 +2110850314 +1377475060 +158065823 +1420536622 +1663900280 +392599931 +769892018 +1870112173 +1992744084 +1661910203 +685702005 +682286035 +1944877497 +2071394521 +1372471110 +319648201 +500510253 +405909740 +1565986903 +1132957230 +628834776 +1283037838 +346591448 +1200860735 +1856406809 +1138365185 +1750373985 +484558025 +1179894756 +1106920973 +751631597 +1143261422 +336912385 +909697420 +416314397 +2000812665 +1302297352 +1186206415 +1723441191 +1147557788 +700632970 +261659548 +1829843824 +498026819 +185570421 +1054831286 +817675020 +686080675 +1460741026 +236178276 +1819037905 +2089575802 +1519216114 +18145705 +1142952890 +1228139275 +1156510890 +745843227 +1712697300 +188921999 +1852764200 +316845250 +1332183421 +42192937 +1226542670 +1748497818 +2043005603 +381356374 +787220585 +1618963146 +1528914163 +1487853555 +1880622694 +1211274339 +1985880374 +2066193116 +118621977 +656071747 +604790143 +1579363003 +892250023 +276344400 +1521455158 +263982489 +294490105 +516924400 +1492121765 +1451000995 +1262767627 +1057335417 +1639922994 +968048179 +1374180667 +824622768 +1010241116 +453239690 +425636938 +905763071 +834596064 +1212857524 +377242569 +216026579 +553227431 +110381616 +1427300918 +391624158 +29091084 +1545922895 +1047695905 +633881227 +977802251 +1939945928 +910225627 +351773761 +56444769 +1204715732 +868698161 +1548566534 +508233079 +2131465788 +458418304 +672426 +952030319 +1832598971 +825295194 +1962271435 +138355013 +1250932132 +720550859 +972951078 +316306008 +1097793428 +1188977657 +869533440 +1208175044 +468794928 +1261157598 +1237266128 +2014717823 +161369855 +1871147355 +845036426 +2101315783 +633889334 +1196810187 +10276904 +1838605066 +2065508348 +1558843439 +199354498 +2049490488 +2017261743 +200026924 +854037159 +1702377066 +1025322118 +668824947 +1840732080 +128770602 +1389375806 +666199510 +445076611 +339685586 +1855177167 +1314610051 +1547860631 +176488447 +428284001 +637643111 +43722623 +589653856 +361306819 +888759049 +543485991 +995196153 +2085569237 +553762895 +686317572 +2003593937 +2112606334 +885672070 +1905600778 +1982384429 +1085698994 +612154289 +1537277848 +2111021112 +1280979236 +1230526280 +92308066 +522871394 +1896725790 +537384677 +862556981 +1604419309 +1851994728 +262933964 +1780907757 +132795081 +900577075 +1824630380 +722448937 +1261883894 +565905781 +1265934928 +109596400 +503991370 +1819697824 +795913972 +360101660 +1784820510 +1681586042 +118218790 +1619721292 +619801388 +730373079 +1009515492 +583338852 +2011352316 +92558124 +675646918 +386740062 +1989283914 +1213031596 +1249297043 +1446219575 +917542676 +1512231007 +1079643684 +1050337758 +265324435 +756790416 +1772786695 +1527208329 +1322696198 +891237976 +1636804729 +1826687568 +563452152 +285235053 +39305580 +200789014 +1966821095 +157524370 +1820510306 +439138835 +887897450 +682542150 +1022477687 +751766118 +775100274 +1698124606 +1138506180 +616900540 +763672554 +240319576 +2063120116 +1681215230 +1752550583 +995280152 +584069340 +2017875018 +1752070569 +209372388 +1397599700 +927283119 +1100610364 +886920781 +606487039 +1664062516 +1172155835 +645792620 +1864851530 +991493282 +803316990 +1537878189 +1430632118 +1691214440 +72936691 +305626157 +295496910 +848036966 +2003750763 +1434003091 +1464937506 +619939669 +1674322667 +1380573974 +153671252 +1279389602 +228370479 +737740592 +1149780973 +1980441048 +947112980 +399897025 +760240519 +2047723344 +1286817806 +1366727558 +1564302212 +311489993 +2012520178 +1281670095 +1302983276 +668353521 +672064636 +586131746 +212084313 +745001327 +891757903 +507581224 +1593038293 +748025019 +1941584315 +910492152 +1367964688 +1468423334 +143582478 +1521635940 +600329288 +371952957 +111892885 +1750110261 +204910357 +1059005865 +2523638 +965150876 +959245562 +1289341445 +184394787 +376064126 +1600831438 +49431317 +1657734221 +756331066 +717784838 +182315209 +1342462812 +929869152 +927316537 +86737068 +1437450376 +372871182 +834762087 +1231551043 +1283363334 +55243127 +552490729 +1426945813 +1576879068 +1152820017 +1798898770 +1688771953 +755446631 +2003809128 +600294170 +757970269 +821476356 +1559539732 +2047311714 +1005871143 +1935603859 +1500659505 +1055302461 +1445854432 +109506923 +1773087299 +1628169642 +1451969736 +555472803 +408002531 +1538706804 +1992923179 +780873713 +225985243 +1076990574 +2064237048 +281228370 +1629481303 +1343699213 +1858107438 +634817673 +995114335 +1399395743 +1390264304 +851439815 +1999689914 +750925 +1672916172 +1411745998 +2048062640 +531303667 +1199866209 +1401238497 +1586606128 +498236994 +1510745420 +1212209780 +2126406636 +815231508 +1767682583 +386925519 +206454664 +1613122115 +1167799232 +432439907 +542629041 +1084552632 +713668278 +24626697 +280768197 +424292068 +659444370 +1275882533 +1823687812 +2049708674 +2127322348 +1675894078 +2050459599 +1652754872 +940156428 +1951038591 +36574892 +2140022638 +1204793440 +1623181020 +490775984 +568055213 +687907152 +469698972 +1383286721 +308106088 +856624491 +1589741386 +1921228203 +2024423723 +2022181293 +316373596 +961492708 +588365923 +341000293 +1242260905 +1012657992 +1000444663 +370659790 +688862156 +902669689 +350498491 +217272586 +805645641 +2003253363 +1157429014 +609200584 +2039828255 +1149968004 +1813994025 +1515525628 +1640743988 +234565590 +55949132 +2110442960 +1617852311 +364055220 +819583803 +1060110049 +137799775 +696523879 +934807695 +454173372 +1658016587 +1523173618 +795173665 +752793844 +388347962 +1795618329 +1123453635 +1077210118 +550804370 +1473952126 +1294482704 +1356450011 +1329721841 +304428071 +1965650596 +1222066449 +1454396075 +1632160973 +590108429 +947656416 +1866726563 +646057561 +910615728 +1337095226 +1010112782 +1730199532 +249721628 +1147912557 +279239763 +1184529323 +1602085929 +1937256350 +560219293 +249775947 +542566546 +948567256 +2045394276 +1666020181 +2025777374 +448714998 +992488659 +1172776431 +1805165010 +174726853 +1477204502 +1623331958 +1396793302 +784116929 +1108009283 +1986901731 +1731773345 +827252198 +485475644 +494905426 +16863776 +1495588426 +77621310 +266585404 +496017336 +356861073 +1451114727 +2098103265 +146633775 +2011334021 +200395564 +689200321 +812417629 +98306192 +207736855 +690711355 +547021191 +1200225514 +1863487786 +204702553 +1374952367 +1193208640 +1828034511 +624262021 +1977325570 +788560146 +463680104 +1561615267 +1615812344 +949155749 +2056520693 +1632676120 +297260527 +2134142003 +1899261525 +793277863 +343519428 +1202892604 +743897481 +490153203 +1066742977 +944293045 +1179353525 +1879160606 +1042599238 +1387090380 +422388314 +1589620429 +439832246 +138392452 +1794322982 +1814784614 +1331601093 +1474873845 +291562987 +1161443015 +115950343 +755243092 +575574634 +1731762687 +1704398841 +484611680 +1216955159 +2001659368 +471270035 +968733036 +647453584 +814789464 +24141993 +1391351065 +1304942667 +1090884970 +188160462 +336812544 +822561929 +1230759700 +1723902924 +1244950243 +672896481 +16251523 +1383342695 +319735815 +1831036137 +567460140 +1794609660 +2122599124 +1728903155 +1910560003 +730358568 +156994142 +1494839042 +287273761 +641605822 +564310554 +141449482 +1112875857 +1533043590 +788903066 +1927665321 +1557185583 +32770483 +1085124341 +500586906 +220930945 +1421936885 +1323148835 +1451690646 +998356162 +420615430 +2124587127 +1014607685 +1803958125 +296839295 +698160174 +223934618 +2091448955 +673275650 +1952837773 +1854525311 +1403634219 +2109831915 +1201880705 +1690907980 +603954089 +1766191259 +1832357462 +1716829947 +1151751202 +473776880 +1497011620 +561453137 +506547363 +434652313 +1062040043 +727478309 +1856589199 +237705230 +31685307 +707461713 +658320660 +8788786 +1722069398 +314795138 +305628081 +272745924 +538729756 +249593389 +946021574 +344083881 +2104118700 +202172145 +306432149 +1158515757 +1893080126 +910386238 +777223369 +1577953940 +479732537 +1928974571 +2051730821 +1976744158 +342944060 +410794536 +263912823 +1404984104 +1138272845 +2120502022 +1642689334 +1169958152 +680480087 +153526347 +1178746939 +255065837 +468321485 +1484375020 +527811761 +1007051241 +1733968409 +1473833336 +1351135122 +1690603461 +1676005481 +1657567271 +701635571 +1421601959 +420469862 +1478858940 +852072252 +900202399 +1260349863 +756319425 +729462909 +1603293923 +1167113961 +993375733 +860794379 +157903159 +966394107 +356000066 +1327861311 +1646874195 +509526413 +359124602 +1901940032 +977847898 +1843499623 +282268146 +1984899139 +1429984384 +1756101482 +1188550613 +973104198 +1284623315 +698634237 +1674739769 +558741627 +1119104099 +1006115061 +1410813879 +2019306498 +118981276 +19649656 +601285760 +1722275199 +1186763617 +1594661493 +435585931 +1344666776 +413571952 +791585997 +525044440 +2060446147 +1301112410 +884169042 +1814902532 +131476660 +580185017 +2097170678 +2116375799 +2010169402 +1705788512 +1157442764 +835789952 +842928179 +1856077001 +363046073 +1401669806 +827697452 +1369161134 +665000037 +699520303 +1488142410 +684649693 +1300806063 +1062933961 +1871413311 +747983908 +1498519892 +1068596439 +1161555860 +142622241 +1593640879 +1074518360 +1443734651 +330326274 +741937244 +1575211311 +910511291 +691624274 +1544103462 +773197045 +249929138 +554062579 +1608986997 +1092857317 +262655932 +1972033070 +347043476 +1090353385 +1193710556 +1012043513 +1789873688 +534369318 +1696693207 +943196103 +1597303280 +1420622870 +1691180011 +948339524 +341735661 +705252223 +1090961766 +1935376541 +1779770583 +387212769 +118219167 +374224179 +1962424081 +1028730458 +1065848453 +1359043895 +1801927504 +1315777591 +1913106474 +1263430853 +261151261 +28278759 +1087980276 +608194737 +1118632144 +134207184 +1620238250 +761022184 +668576503 +1169447809 +1704218287 +118396135 +442587031 +1247914650 +1066735659 +784322693 +1953166873 +10213777 +572215586 +1585453809 +397426547 +690434753 +1959677988 +212366980 +1719165211 +878042794 +1571410875 +1373609067 +46336737 +1337033702 +489556273 +307487998 +1365312461 +1577536549 +915682735 +336460957 +1711743733 +388437338 +1097483141 +232836588 +1557885147 +654217780 +351232723 +2000472179 +1902132430 +1417968383 +637311224 +1707815655 +1428182160 +1209526810 +1145785816 +1825608707 +1899961563 +957980157 +2037975687 +1471643126 +1836022951 +1461902915 +697768546 +1882359688 +651452969 +1187324819 +42364039 +2016765430 +617377720 +958046774 +205742739 +181637805 +1346484112 +1303225880 +414474394 +756885612 +1957443660 +765707117 +609874143 +1712092442 +36191852 +1247185367 +1272424449 +1464374013 +309228529 +270726618 +1142499072 +61706444 +1228706775 +1032991112 +1533349570 +917246078 +347410379 +83634468 +652122118 +998863348 +1270959287 +694486157 +868145130 +1888337007 +1652532932 +1073887869 +2069974813 +851533396 +229630101 +336965559 +1608419008 +39590113 +1102672676 +70809503 +1751682555 +1138864529 +1317994870 +876623356 +455754894 +1627223399 +1147349974 +1598253966 +1688929843 +228573101 +483761430 +1074795766 +1145819179 +831171809 +1158430234 +1797941298 +1830035157 +281905874 +344943807 +550696639 +22759233 +1997476739 +1624584508 +2092734046 +701526488 +1854214609 +282215957 +162461848 +1893804722 +1384888634 +233271352 +1498003629 +376269515 +1551266222 +227143338 +832024409 +1031005974 +1374493312 +282794727 +572452169 +1603066414 +766556158 +1647247935 +601401945 +1597727967 +658194522 +251859595 +1280279477 +940100396 +596803403 +1830976116 +962859629 +446796494 +1308076977 +908110028 +1148322982 +1014807938 +1190325985 +1310784831 +761129013 +427730971 +1544056183 +111648994 +804000486 +947838757 +338792332 +1636024895 +1978844731 +1713285645 +1918819623 +403813253 +1168868411 +537892133 +2051061188 +1770270356 +2135620100 +561772062 +2022129952 +1268415929 +1501872458 +471449707 +951908398 +317248440 +918246201 +112501727 +1225358468 +2066569184 +1127309665 +268200805 +1229870367 +1888438678 +695931777 +626442902 +2000087673 +1499932263 +1574281659 +191396357 +988473511 +1405642743 +1904682002 +759809486 +1809455996 +926066765 +1297701619 +1713033536 +548853474 +1285838071 +127321951 +423499778 +406770353 +1629194409 +894949485 +1358678751 +1946442849 +1813195686 +1471180478 +1024317669 +1732281222 +451006495 +1292518475 +814667941 +191961526 +1988450252 +1441110843 +44565551 +1340898867 +867908855 +235961908 +181888730 +126067950 +2140643911 +941698216 +1935523946 +919227028 +91916187 +1501073834 +1468080502 +1377754259 +1628395785 +1891580280 +1784524612 +1110106547 +639046117 +995719715 +909065748 +304758156 +319416545 +1933383418 +2037039378 +770423040 +1078418245 +704223672 +962384566 +919384849 +2145334515 +1006950117 +112800068 +865759722 +1242912026 +294688799 +991827672 +1236072289 +1236387015 +779867970 +7815669 +1328303203 +133458157 +1475896172 +558573814 +1761853942 +1219992804 +195614778 +724476841 +1859038922 +1191334493 +1633542590 +16313430 +1510751038 +1419442360 +2053352808 +133690430 +350376957 +610092832 +1096074997 +1269761806 +607943700 +2103025114 +1382561874 +1473703422 +1198453492 +1677250673 +318047447 +287042133 +766154041 +1097915417 +294857803 +2094457244 +1231373574 +1770753975 +505547410 +845743869 +843263131 +701162188 +1570220710 +554818405 +1892496681 +1056279652 +571131835 +1255764071 +328238364 +477000996 +1389454501 +678615321 +1087093828 +338045850 +1948377127 +1695037528 +293587317 +1183455354 +1021257303 +1492040809 +713222379 +1339304750 +1779082943 +1479376420 +289736519 +2073940746 +1426350016 +1521110094 +1697211073 +1931897426 +219370315 +392990556 +485575966 +1789591025 +947808962 +230588999 +698387030 +1518940797 +1486353070 +1026625394 +1995941793 +728323924 +1705240716 +935551974 +1066369774 +1506134195 +483105854 +1359957091 +542105901 +1504363157 +704514253 +1255328281 +696184259 +336113548 +587221053 +985920779 +262570646 +2013571070 +359547225 +1959781719 +1797984848 +578917540 +205288627 +136077167 +221024917 +1153097589 +366666166 +919411947 +524554739 +1853019237 +1946037342 +373012884 +433859513 +1503794410 +1308564858 +1500229287 +862444957 +1791670713 +712702731 +1404550859 +1148550222 +1417216984 +512395492 +1844734482 +1753330532 +1099616545 +683171613 +2015901178 +965703967 +1042718838 +1828199249 +616205168 +1621636378 +2033487876 +752282335 +1842661295 +1039101818 +1118948501 +614589595 +1563656557 +824484090 +413143289 +1936669441 +1258343603 +1916937699 +1097750652 +611089243 +631899008 +741937717 +1323791974 +2036449867 +1890487939 +593525310 +401361711 +1587738773 +199372194 +1500978257 +123426738 +67789724 +319198576 +1166145576 +1895988973 +935403744 +640298306 +1781993201 +1687686079 +335475954 +673611371 +659150933 +950065549 +89784280 +1483635023 +1363208838 +2026453722 +594494979 +1132662889 +976720726 +1205584222 +1764561897 +1718658443 +381892548 +1653528117 +1461662734 +975417858 +2054889828 +901917860 +1174790052 +1408384437 +1025344598 +1242579776 +1727583014 +44006527 +991085101 +515503110 +684304833 +625594654 +55705542 +1019780787 +1299206026 +714856475 +1969846336 +1388990306 +51007850 +1185571526 +1267960380 +645502829 +170750767 +97197458 +1851087051 +1935312665 +1815855901 +85495951 +1441357134 +1130034988 +1060913809 +1348763314 +2031952848 +88220213 +609664104 +909813798 +1330799989 +189763470 +953820325 +174401442 +705266580 +1638125159 +799996097 +760972122 +510422298 +2099202123 +1475828597 +332784987 +1340708781 +1526836448 +1518356513 +461185514 +24855629 +1689107281 +558382972 +1875942681 +1476936298 +226755226 +1961438632 +770809784 +1356790214 +874868794 +2119573098 +1241259414 +963089007 +581753554 +3589564 +146405349 +771517024 +957409890 +320806791 +1476783605 +448051401 +1120802888 +90272079 +958473699 +1072521363 +1566100677 +1291258686 +265746497 +945453477 +662131552 +726932011 +970309106 +203755185 +1285314983 +698768139 +1680691483 +1512070209 +512723124 +304017619 +721376775 +1387591918 +276107069 +1962636189 +203197277 +857860624 +1966225754 +349602626 +1629377648 +776151996 +670409418 +958677605 +1224203397 +1791212306 +1048949685 +35193448 +716250022 +467566714 +1326452135 +981996519 +1413020191 +1988583687 +1708928530 +235845649 +44855224 +846759865 +934613789 +1725546707 +211346427 +1447336913 +2029564326 +932723202 +687445183 +158187747 +747875744 +890642460 +1016048371 +566617850 +1240245087 +497942372 +1342769846 +1910654505 +1456619977 +419489595 +1554383163 +358086014 +454683043 +123149537 +825652728 +1781135178 +1105146056 +91189271 +1622235217 +666590938 +327034921 +1667090441 +1513350804 +1261648710 +1245153500 +1724697231 +561501975 +1127234178 +509936785 +1248947158 +1285421926 +1257812529 +2139589618 +153986649 +1824430379 +1232351057 +651929021 +1019716577 +995521914 +2108548999 +1439206172 +402421430 +319151365 +1893889216 +525570967 +1144804094 +1527540746 +1630717024 +1235993365 +1002292316 +149824314 +1563028286 +521899109 +1663175118 +677193348 +1767052610 +1240388701 +1238695323 +746803140 +1750325487 +340158833 +2032225066 +860654368 +332264804 +38728068 +537601100 +1564615861 +690657089 +1557317677 +412654128 +651722440 +849040202 +815075558 +970873806 +595445770 +1340646525 +2115677900 +2122986516 +823879901 +1204187617 +977795184 +973704216 +619732256 +1499694294 +489395686 +1296925604 +1119263256 +1729784388 +388137280 +1866066396 +1332626227 +728296113 +1750807815 +45796947 +1060560917 +1789535883 +583398047 +477693131 +332709324 +2140715725 +890347259 +984431765 +842272279 +1705422817 +1955305571 +1437718049 +898585694 +1923499823 +1413220917 +1722465596 +980203792 +243532454 +548686164 +1599936048 +1743226748 +1038081850 +749378005 +715006356 +620382590 +1137515285 +433589104 +1953008817 +1865811398 +36913271 +1998805765 +778888668 +1826449154 +434720164 +1256581799 +11674831 +427952241 +2146929058 +996106596 +1270224520 +1704868227 +803928519 +560458921 +455970273 +579944694 +1973679839 +30952221 +1560148486 +69728645 +579638385 +1012600887 +1812955393 +1617720236 +1761978892 +380478101 +90619178 +752010529 +814067205 +2043627996 +470338279 +850980477 +1894950113 +1249226947 +529945983 +182186629 +358325098 +541620814 +610138871 +357770508 +1537727410 +1880363391 +2062638735 +194172281 +293338665 +371125361 +774116975 +119534856 +402077582 +186781814 +189263501 +981715968 +1199382701 +2002218894 +451952556 +813877945 +235213347 +542571734 +1565888474 +1049280552 +438716082 +2036226753 +1900261029 +186182547 +1137970053 +282723365 +368369177 +1496295151 +824344179 +978508048 +1854065660 +214587942 +711387791 +1769220747 +408760223 +1004726456 +2140346108 +1182877199 +1124261312 +394940043 +1369659013 +1313524813 +1376656011 +421558066 +1168260059 +1828608567 +1235436011 +1403473406 +223696653 +653840837 +305270311 +662412736 +542583942 +58047692 +848595283 +1680553995 +340771057 +1216964460 +1029365499 +1165115237 +47988860 +735947511 +1379703179 +759376652 +357684610 +1788463402 +1764103108 +350547071 +823856953 +740880773 +745487114 +46032318 +2054405586 +2122143125 +467590384 +1075181998 +1803268044 +1703026395 +331171756 +2026964697 +209383584 +636442067 +541893785 +751967527 +694489760 +1390489069 +285037874 +1035260817 +459969881 +1314403373 +52892406 +507958742 +2050350884 +1432595585 +1267335394 +260551847 +1073575340 +883954854 +611098918 +1897432293 +1624835627 +1356586032 +1943464612 +1531757566 +1331245509 +263571348 +459455916 +987029905 +1966597744 +790627672 +866510954 +28497680 +1427069740 +1408404740 +780465207 +2121559500 +651410161 +1065503082 +1009336669 +1111380042 +232422807 +1062229076 +1619338784 +135290044 +347341013 +739190530 +395841891 +1420916353 +1623145385 +1006940809 +1170864999 +1100497364 +216043193 +966845963 +484771282 +1547288702 +1230417311 +944227198 +386834959 +1049531407 +1734854871 +1253345913 +1078029088 +1014440963 +514267005 +1858494295 +988516815 +1165677166 +776513729 +1997853484 +129573561 +1008936537 +912598912 +1748912345 +1144226581 +1259939926 +340619228 +1540068472 +533372631 +1963764613 +399525633 +1704237630 +916778329 +615568826 +523599945 +1401549612 +15373880 +1754017257 +198293162 +402208839 +656065016 +1933148033 +1655554752 +1734094104 +800105348 +22338110 +1445104752 +1788622163 +1188015276 +74134833 +1638992000 +1317588837 +1083071370 +404107264 +919017535 +79814303 +1664047190 +1259636763 +1619882775 +49936174 +1075917728 +2019408408 +1754173804 +1992696057 +487493586 +130290102 +1246762021 +502867466 +1884307359 +1445055184 +905076305 +392888727 +1230719569 +413147410 +2126982832 +2030824918 +435485520 +1424603936 +1671963433 +1623500796 +1498738769 +1163471785 +793605986 +434326492 +1567579050 +1712623521 +514140795 +1084142592 +824776636 +2134023571 +1134078766 +1900694364 +2005948331 +740768923 +1745906773 +345958270 +871059025 +845185147 +848825736 +607882736 +142756683 +1753902042 +1000771463 +1373476252 +19565804 +980270647 +1256817522 +455051324 +257390935 +781297308 +2078552120 +1756129705 +1944769093 +724674458 +42972549 +1364864495 +289814331 +557113344 +301523440 +1114590967 +543653267 +1435602206 +867801683 +402117951 +28887481 +466224809 +748076221 +899946506 +1311409956 +1596901957 +1507829242 +1454166639 +1203320351 +361117058 +680159243 +1222886155 +1341387705 +1936976766 +1677937479 +1598778641 +570790426 +1609005952 +1207424698 +368075871 +186196762 +1250397247 +1732940367 +476011094 +1807510591 +2034463807 +1590602061 +203680211 +1322582365 +310920097 +605798162 +1351469847 +777144906 +1353874383 +103932705 +2088554862 +803292692 +1611761948 +1395237853 +2006613044 +1972879006 +2075397096 +1082015551 +1166783063 +1864890214 +612469383 +618078056 +288196992 +73991687 +1825502754 +656272864 +260188449 +928416353 +241729583 +736199543 +588443297 +128709742 +179317957 +792123508 +1451292107 +490238054 +1397921670 +655278306 +1267382960 +604312405 +759211012 +1208454174 +1407605097 +223489312 +456208379 +1266734493 +48884670 +384121827 +201266397 +1215667733 +101528394 +813735780 +1833745790 +389725386 +887727467 +1511764896 +1045998250 +1147915916 +292697602 +1287727833 +1884115460 +881140899 +1416437575 +2063433417 +1673264407 +720246035 +406187823 +923702429 +1375524341 +1673570783 +1528014834 +2134735353 +734541309 +788136283 +210741017 +1190749688 +2054870777 +259625687 +1574871515 +108653526 +1475293421 +1676399909 +922389306 +1161555563 +2066125296 +1810116773 +525836811 +964639898 +810549041 +818534413 +104884084 +547180853 +1699675312 +1521321659 +463130622 +1225456071 +94084046 +869318445 +1674852 +1469608388 +395405580 +1529689686 +1456860093 +1129946889 +170342322 +1667601111 +173212929 +77729451 +1927226798 +1748084445 +186382977 +1255036571 +1277000706 +1108772283 +269108486 +1195642354 +771405408 +794945298 +12798605 +1581954449 +1613479711 +117682689 +2129135303 +1165671376 +1639004348 +444782277 +243643799 +1733088395 +1314100723 +245318652 +1055213135 +1709506303 +1775008338 +364589580 +691969545 +1945350660 +2032190691 +865182474 +2023080111 +1811933842 +465783271 +61979440 +919486765 +1742783978 +1170751723 +1188595252 +790942684 +1942157131 +1983540550 +803741289 +1376627933 +1449536613 +921423978 +1358279588 +467724341 +412944679 +1803061865 +711368141 +2146033074 +969678940 +956686793 +1053762561 +531701596 +584211483 +1418352141 +1223671141 +382078496 +1303059185 +2088853615 +257674959 +967509379 +407153239 +319654400 +1886996144 +2453569 +1490406123 +928107748 +793396253 +1285079607 +764164650 +1597137543 +514223892 +66217616 +371077873 +1872503480 +533941957 +784022552 +1528081697 +1245310098 +782571978 +350276990 +54513243 +1836334539 +881978586 +638724727 +1107203033 +2105649727 +1020803223 +262778570 +2047019694 +1278478182 +1230287949 +306689285 +1598132582 +969800445 +309142854 +941055058 +1897908194 +1102539108 +78651017 +514589196 +552193003 +592874909 +580806812 +923270876 +317894741 +1114748770 +1707293429 +1845976438 +212575220 +342381759 +48769780 +267088464 +31232651 +930748366 +905813191 +1138435684 +888914445 +1926616414 +1401214254 +788450492 +1057610948 +484018555 +1095139777 +508259883 +1453819000 +1404282632 +1449314941 +1204243546 +359338092 +1527965958 +1718832743 +911531095 +2120840867 +152155907 +1834801971 +291251960 +1266904677 +1394611752 +2137228398 +1479479898 +1736993512 +38514531 +1746568362 +1768226163 +969262897 +504897905 +759178199 +1858177343 +284030671 +12908805 +499144187 +1341641619 +496927360 +1594283964 +1849901502 +1950746360 +851082948 +1151732795 +1007506259 +1210421040 +532215105 +578855354 +2121952135 +505572324 +731011261 +1809270459 +796824284 +1997915939 +1056398563 +786569035 +1329912189 +645908427 +825083566 +928996903 +266650942 +1794346463 +1433894808 +1025829141 +1505040158 +1717925479 +1038737946 +2004184345 +912083450 +1535665306 +1450984662 +614501305 +1338928019 +154583962 +1766234100 +198950630 +1365005003 +150965558 +777805984 +1339473490 +656537882 +1508817245 +1001260301 +1453362167 +1359249536 +2057658865 +92447554 +541678077 +556083644 +917531120 +1470674980 +822734587 +564393935 +757086140 +1848563728 +2069434094 +327527971 +739818027 +1926134791 +1239611422 +127999685 +1229635805 +1854112727 +1466927704 +1384219768 +1472863179 +1665878334 +601741123 +1623828737 +296200670 +1941214613 +132882972 +1805017916 +794991267 +1586245139 +1016783804 +705166484 +1678692693 +1558461882 +1261250128 +448740165 +881653214 +2083984715 +1013134100 +1638739355 +1785064796 +935084546 +1966267326 +377399175 +713735690 +1058395100 +505398860 +1943371495 +765024179 +1972326565 +1180107615 +90403711 +1490721251 +1781848738 +1714232448 +1786921922 +1575579704 +1847115420 +1444456190 +223087323 +1285876911 +313756346 +928253807 +817085956 +1872218228 +42020287 +1265826121 +606387795 +2126005003 +131476574 +97643502 +1763586151 +1066561120 +2063910828 +2140985326 +1780296810 +974822281 +498900538 +1576184658 +1739846460 +323743455 +608808625 +1830250171 +1814464707 +243173716 +1396998972 +1453902981 +1818753420 +1096630744 +750875523 +2041840743 +235024008 +1064631869 +822610902 +1052109964 +789366450 +864631189 +170452438 +1395754245 +843152544 +301929012 +1493397747 +459255047 +1368490132 +1409824927 +452756725 +1001303295 +237163560 +951657264 +430004305 +1977010021 +1275400719 +1038812930 +1659776544 +942381778 +1281986646 +909291868 +248801111 +953256418 +2005922613 +999676634 +847613513 +93462973 +2064308504 +1670224415 +1145572937 +706191306 +387371957 +1316025375 +2101945551 +1230524501 +1617954387 +1447859650 +1689779549 +838960872 +710200929 +2142536274 +1840264167 +947364490 +946709890 +122784824 +776890863 +74626962 +1161597754 +289183759 +1017008740 +296100753 +1198475628 +1265809852 +1249357171 +1056914593 +118002838 +2096970685 +1150377566 +34827694 +1619711452 +148466855 +741019000 +2007083409 +1464492231 +695480903 +1090124263 +934962970 +2143340553 +632420164 +1773923842 +706057835 +627472790 +1466704361 +1653422325 +1574182681 +1589489185 +282829540 +1648809643 +603603292 +572013299 +518334735 +899704045 +1770488927 +1784144587 +1577568 +679919872 +1902147426 +2098548253 +1830297438 +1936975120 +1570776058 +1978764294 +530510473 +1430375819 +1295772877 +1225991376 +373016434 +83252199 +1221848282 +1005436598 +1857176042 +1927906117 +1632909389 +1176396755 +1433844794 +1059608422 +618402293 +1716674334 +560934417 +1222005585 +141203985 +1079269152 +2121709630 +1911692913 +715930092 +2123287198 +444129137 +470593870 +2074351804 +126942928 +260085342 +1497644214 +2105707222 +790595815 +780536385 +1253996451 +2016587192 +1153552820 +1337248650 +1090951826 +11505770 +1046941044 +871374295 +1644415159 +75854152 +157735441 +556539933 +694256445 +1874409775 +1117474350 +1916262030 +2015613760 +49259855 +1890488012 +1779823025 +765189947 +1866291562 +76468515 +1235783817 +1793159718 +203411443 +1495869159 +1143320284 +161635017 +138981327 +1923856670 +1415631468 +8084871 +929925842 +605396470 +1099036697 +941431612 +1652337515 +1970410992 +438363124 +1728191667 +2128146433 +994903057 +274964464 +1855072560 +2112377408 +43742846 +1723202672 +14153615 +1934230858 +1355542050 +779343562 +1653038772 +1432010565 +2015127379 +1298714843 +1635422008 +1363512890 +294551479 +1797057025 +1502494217 +70924501 +1065204845 +1510579088 +1000850343 +1670601315 +462132137 +1942281956 +1175455182 +285059481 +233161432 +756163201 +265722266 +1228064489 +1031127665 +2120794826 +1192958249 +1074870511 +1696513851 +1207111864 +861617721 +904572253 +1986455426 +367172846 +189099170 +1854099157 +1665887689 +1824521178 +1070128400 +1960439168 +1474094555 +425138969 +2031363670 +391815752 +1935718058 +884730365 +2062417067 +250366547 +679528673 +1090388602 +535426029 +912690105 +1846551803 +801148295 +2140754595 +730195821 +774459474 +1186229196 +1805066332 +323489677 +245857413 +519200406 +1228061930 +84829191 +886373252 +1417161100 +1938928349 +404777293 +1094198630 +861573101 +217732813 +420809537 +1286712070 +101612835 +812625289 +1074946480 +986343201 +727558708 +1325313028 +1665871874 +1817947310 +1860739057 +431078332 +1517015466 +514403704 +424349279 +99727639 +1288863178 +1610578475 +1904793971 +1612352855 +1856435888 +276510729 +692931137 +1941265080 +1162883981 +2110092237 +1732709781 +1567661274 +1056807219 +446799234 +1785394088 +1477616756 +1733511304 +1887006923 +142758397 +660974137 +725866476 +870317106 +1986287165 +244254703 +540780768 +1699542574 +675333035 +2057796234 +66462630 +1099682314 +10040225 +1355325809 +562777141 +1914834197 +820195016 +271729382 +43861278 +1513126154 +65510814 +1206745260 +1475734743 +1798220595 +626922886 +385058315 +97536181 +264833326 +1862675071 +1831047485 +4356602 +2005433469 +344537974 +730223078 +728266927 +183341491 +974477781 +1269047695 +1882884065 +1649810816 +1179360282 +1949346696 +602009482 +1189400507 +1157188857 +1164786624 +956751056 +1977383873 +1436516006 +1000612335 +1343026379 +1502026820 +59873947 +671277475 +1152763767 +686796833 +1056335790 +1250299948 +951630160 +771527213 +933863785 +955986762 +629477034 +1278401760 +1686209840 +1357743961 +1461743251 +513203974 +479308009 +1197143669 +15531142 +1658668291 +999006717 +617540625 +700585150 +8711926 +1782327249 +1657336207 +1986095799 +1071359607 +510464894 +1181638531 +425902779 +570338841 +1852916006 +1578666546 +1257135674 +761768148 +681482846 +61282186 +1533295361 +1615346631 +1017268948 +15288748 +746264743 +555995141 +1373032709 +60524347 +1069199115 +1852340718 +1257668016 +1084730257 +1363525361 +109191085 +1702270882 +2064110512 +117903011 +1337114483 +1573963071 +2103998810 +260990442 +2084427965 +1138153693 +686893221 +507283158 +843586051 +118076119 +1764418832 +1605354199 +799558965 +1825701019 +991165913 +267421949 +695486319 +1006454661 +1013686692 +1251481460 +232003722 +1074211039 +173196927 +2084344441 +184395407 +1257927185 +1300386154 +293586492 +812714419 +1217013018 +411489503 +2345255 +643492441 +368004666 +263335697 +580436758 +1506158359 +950228919 +1087719916 +202260763 +1068305038 +704655101 +1807614962 +1867864004 +382872472 +651297227 +2135285953 +1078358791 +1657751888 +1001488997 +182356604 +1889755611 +2075700037 +355553531 +1826616404 +112611796 +1613480716 +979518910 +406198289 +278711488 +49048281 +817687792 +281056743 +692540722 +1185692458 +544392440 +1272977481 +544367170 +1494621359 +213213749 +746627933 +415442750 +917868850 +406759247 +135823106 +1300741322 +1058056475 +123625411 +231616466 +568324715 +1125114408 +413973070 +310596678 +1053330797 +769526601 +2137213082 +1165942594 +235523670 +969248345 +1572140883 +514235158 +1018296626 +242345027 +795291901 +1710837348 +1428037486 +1339684341 +836331181 +1972404656 +686822053 +1049544931 +571548941 +1102264803 +1967413781 +978308188 +1238087909 +1120671456 +2036364663 +1361713320 +1352287922 +457205731 +339344080 +1766260992 +767802409 +1392674878 +388303945 +757531844 +411133824 +623827615 +1726780189 +1983274707 +1138062773 +597593167 +78136086 +1933354674 +160946867 +1506173572 +1125555368 +997278049 +1331094580 +1812377421 +2046822980 +1902643521 +767158576 +1866753113 +733468062 +2005246485 +839940921 +622349077 +1219476157 +44745195 +1079554808 +1558820237 +1811006187 +1847357218 +804011467 +51826485 +457405414 +1215145291 +675654100 +36701955 +1050936350 +1813716874 +634295122 +1129072437 +1599587900 +795241989 +487762361 +577659620 +1792520038 +1818856942 +242553393 +1691859370 +1574016815 +1009711969 +1411128836 +160001229 +867474806 +103586109 +782350307 +2086950963 +148331305 +1861905115 +1498287553 +1959337492 +1561778685 +154815372 +2011163977 +2019184099 +1369960664 +539334430 +2055886054 +273413366 +205567656 +542697528 +1402485803 +1805155556 +1337939518 +1890248165 +235331529 +982975908 +1561621459 +477884922 +527351631 +988154626 +1487596892 +1938480467 +1148155856 +207588050 +2042066576 +1930506163 +147055366 +42914233 +1644927630 +1645342919 +2002251726 +1059222668 +1800158291 +1865932055 +930923119 +1022635307 +257782837 +839325526 +1296048674 +463350493 +1382023054 +551050829 +121022402 +572478924 +293815346 +356353931 +1555454833 +1855436805 +834238853 +2082806464 +696107784 +174352097 +1873803283 +1844263640 +381940148 +1768386211 +1627286155 +528995514 +1811300445 +1124730137 +26854785 +1666068523 +36469157 +1827013076 +1384516930 +967392277 +702164736 +1642299768 +1806717803 +1998213410 +2105650261 +1041257209 +401780591 +79189015 +1613736134 +695595938 +435542946 +1021707319 +403549095 +1269781800 +957030135 +1099656879 +1444133897 +683349770 +796436871 +1826074045 +304252333 +276239378 +207585911 +2115552778 +1400969516 +234440696 +1634137653 +1437438673 +2061453773 +871170936 +257347302 +616134861 +365987056 +2064065105 +466864623 +324153669 +957838667 +868645214 +403342685 +424091153 +1564241152 +838885631 +1445798472 +1967790248 +2108667431 +255344959 +919963479 +1405317681 +938694729 +1716400351 +1083908078 +1242947062 +1992639729 +1291493990 +1211016193 +1246125597 +1525934686 +697670198 +536080623 +1439904811 +1568841134 +793427925 +2056039672 +1934828190 +710009383 +375420647 +111498212 +1667848050 +1244065862 +514840897 +2091939203 +660823366 +1353726528 +1390254027 +481129966 +1314910312 +1645598986 +1401093446 +572744345 +436810067 +970010149 +1656652423 +1679757129 +815166230 +800662765 +743289674 +2061291828 +179113804 +1440959873 +449888803 +1619018615 +862317359 +1243316728 +1527574640 +649661902 +1953326111 +1902995287 +761160114 +1473690513 +999577501 +1276001011 +1418146068 +1660400868 +482243891 +660916447 +2141530834 +1797154203 +159031785 +1395140632 +222414900 +595841852 +217667133 +1879067324 +128115334 +1032833364 +532246441 +871405008 +946641544 +711360245 +164881233 +1396530347 +182895213 +1027198593 +492363427 +1710469853 +1676860495 +298205891 +1465981492 +290536961 +1771896404 +318075346 +1566537972 +1042558825 +1978476214 +2048781863 +1703475272 +1972523400 +1698452419 +1862507058 +1220180385 +1920867319 +310865262 +1437847518 +1652450995 +438980596 +323197234 +37213789 +1310385605 +1269838778 +748574034 +1475266838 +518885477 +931469247 +354981783 +1011248905 +494455452 +2031842278 +1309454796 +1960436945 +174895591 +933867552 +131028643 +1741433563 +1976426377 +2109504857 +1642731779 +1532418002 +1934544609 +1193700550 +1247441412 +1007241346 +967084221 +1558306674 +297605217 +472051569 +1997287271 +620802451 +509265358 +1160189228 +1890641230 +1257839392 +487972418 +262043059 +41824992 +842954202 +1273291964 +536280444 +727312832 +435263112 +349233741 +902208424 +1369130665 +480262384 +496158339 +1198073394 +442283593 +2138890118 +583007748 +229344555 +1185107020 +1830449160 +1236585901 +4707594 +1241272187 +1534191118 +476759163 +1091075810 +7509922 +986024521 +103781390 +1898151152 +96380265 +591753808 +12710563 +138205257 +1434708010 +1286002528 +674485702 +14537195 +1721265640 +1023719443 +916745619 +942912657 +1503981828 +1412903958 +2140986052 +1946265421 +1404310429 +576510152 +28126328 +441933801 +259475665 +1264712230 +446641395 +1500747852 +651419700 +923400558 +444340014 +658929622 +1909425079 +548121404 +409597126 +2005805345 +1139875212 +422307690 +2144010602 +427099575 +1708310218 +671012656 +441636770 +1282092210 +1694732100 +1358382389 +77521220 +1051230280 +623802699 +71023624 +850012053 +2028113128 +647533776 +878138382 +322563282 +907009441 +2142850612 +769204677 +260273645 +646786664 +1692605236 +704613659 +1305716287 +1454546667 +1252735063 +1715313413 +1312868364 +245126628 +2137621103 +1309395319 +672226203 +1698447673 +1980407975 +1113862973 +833056236 +1527656427 +324761714 +910577456 +431403059 +948564413 +981601080 +1281415113 +829193894 +1629134856 +12069847 +1151757176 +388660650 +7436811 +1920961853 +648934295 +654223475 +1466083441 +1353547955 +1959939762 +773146461 +458799370 +1527769528 +2086014825 +703925998 +1517906983 +1247926496 +1376152201 +1068871009 +1080850824 +342531526 +1901927245 +461023603 +667293240 +665021053 +892426663 +1615857654 +1646622133 +26358128 +297567900 +1128273341 +38427975 +1449325076 +1516933991 +45864786 +1222803281 +18384639 +700088261 +541403075 +1371932594 +512544376 +1314549536 +1830731964 +2040313904 +1253080713 +387174315 +1410737239 +353523562 +1763326516 +332124600 +1434374386 +2105858043 +86568197 +1895397989 +625667635 +751589250 +640341004 +94041641 +250727735 +666699132 +391609541 +1379001077 +705127107 +1840934617 +748451420 +750991893 +916254251 +766836059 +1451080155 +1457657326 +2138768653 +1963624531 +624723214 +1822016970 +1856454787 +1877803927 +61707637 +1119708378 +83843841 +1825034153 +1451832979 +1518218227 +1783408548 +1538401176 +1266132569 +261592536 +142506779 +1906473573 +355634177 +393234514 +425689058 +747243719 +1772235591 +1130816165 +440694688 +373203364 +1881808059 +1356948939 +1140039423 +1185404566 +667122617 +1131324429 +1001545449 +1291845831 +805857751 +710516588 +1022166111 +867565388 +1830224966 +1106009952 +545115893 +1134574297 +476744532 +181040794 +525491826 +1742877101 +442633330 +667998605 +1501867026 +798267507 +1061233119 +1927556084 +1545511226 +685985063 +910888602 +1986205915 +1059188427 +645213013 +1195671206 +51744202 +1830617579 +1862793824 +1183068631 +684679380 +1007156007 +1988926382 +1395195968 +2029322118 +709008122 +1077937286 +987848423 +1254124016 +65027936 +1464592955 +1435164810 +590519762 +1059986408 +1877798140 +1258518367 +414369786 +528581999 +172267838 +194442223 +2074093226 +858252901 +1105330825 +1912815493 +1917441328 +1750543838 +961003051 +1969185531 +1433677769 +676313227 +1004770514 +2118357149 +1683469235 +846213249 +1366069469 +1565307705 +1555221371 +296523107 +405672480 +661861739 +361551043 +1870265435 +2097026549 +952070805 +782768195 +1827341041 +63105524 +1197137982 +208439393 +235373363 +1391580205 +135048971 +1093626264 +349427382 +2047864464 +863583945 +2099971220 +861383867 +685285828 +1386165341 +1537697095 +1690056342 +1357038842 +1073682682 +388785943 +575624663 +491506739 +1944007315 +872147770 +897179220 +458385406 +1233698814 +619961007 +407928308 +38285971 +1402729203 +87785701 +101391496 +452383537 +296225094 +336764859 +1843963742 +431274065 +1430391123 +45907476 +331654881 +146491420 +2145878696 +1193038749 +831777248 +1384560389 +583252196 +374349943 +594115583 +1656934878 +763135886 +1169740246 +957969 +559659553 +2041888016 +898137189 +1018044960 +1128103182 +1518098197 +1425973268 +1166389154 +773343752 +1513758969 +1267780650 +1225727289 +1809984064 +1604545509 +922207383 +93774481 +887452984 +968114859 +425429363 +1033944405 +966509907 +1618468112 +1865721653 +203586648 +54236660 +92587948 +797702231 +1711171538 +855723835 +1967442477 +1712129507 +1415383388 +1861846845 +462783049 +285944700 +842466380 +1980881246 +1711917968 +2008855534 +606741350 +1078193290 +1129152536 +1832468639 +740693706 +586214397 +607192374 +834468187 +1473667381 +1575307233 +1259897550 +360128138 +394333492 +730882014 +78366144 +597920140 +785118674 +170954092 +1395622371 +348806564 +1026677927 +1215581200 +2060936072 +294577668 +929944397 +376235473 +580522368 +1772410777 +209633071 +144956689 +1633782663 +816374421 +1223149979 +615451551 +501359412 +1963843685 +1201665948 +1108551786 +650828224 +527849682 +536375371 +1910725775 +887977820 +930708863 +494124141 +966343964 +1528629003 +1279242816 +1137298057 +776767726 +1628049380 +16492336 +1992348926 +1541501804 +311070004 +774809675 +1917737277 +891592373 +399736805 +2127370348 +1036549062 +2033519468 +796261121 +112215393 +501487372 +1297620533 +2076059078 +1703153320 +258688671 +579403654 +83519354 +795064042 +342645781 +971497175 +1725772905 +836769923 +1937841139 +1106918260 +2116012739 +927655548 +1883685986 +1596578471 +944147885 +1728551264 +990596628 +1255217889 +355877292 +760850257 +2146810262 +755614097 +740736958 +1035875676 +641649917 +1536998079 +1148091069 +1143137289 +687134965 +1076666499 +698806962 +945823636 +1656070154 +782326316 +1740887679 +1998715935 +1753823491 +1319176936 +688002210 +1544180983 +278611549 +656531301 +324352883 +14813887 +105626125 +1268500768 +1743365152 +1096222753 +376235010 +2099242444 +1857073010 +375561624 +707372893 +450326320 +1411437301 +1349022810 +1987324400 +412044722 +344676452 +526975717 +1488711222 +1043483414 +1472799353 +997297728 +1825809730 +1066203384 +848530015 +1432149574 +237896673 +1536532226 +828846909 +516508222 +45579879 +1153199792 +531322109 +151206004 +274216913 +127203613 +1247428757 +650451923 +78962409 +957018120 +1026013547 +786335302 +1407344440 +289967200 +2135358113 +1247185192 +702011923 +332550917 +1774160909 +43239497 +1376034331 +1099476615 +1040537225 +1054360413 +18196351 +1889067240 +339026339 +256093024 +1278115818 +1167873248 +772601246 +1323695698 +173589393 +1303923356 +1474901702 +447806306 +1431126969 +574846812 +1098258229 +1510089379 +1531864932 +2124271776 +148941033 +791725724 +266755329 +136815498 +2038910917 +968767252 +469366415 +1665588178 +1012006749 +1845400746 +617581145 +2052543974 +752277512 +635777497 +1794127566 +1091303851 +891870521 +924759737 +111693452 +1664471768 +100971787 +285282845 +820911476 +1575873489 +733089151 +104554797 +3236653 +1831347380 +1614644176 +1535101585 +1808135508 +1763585210 +179343662 +2074890837 +1900400708 +70770931 +896174441 +222283476 +1736359109 +1908181190 +2067684222 +206456607 +1813241516 +672478086 +842234104 +1459885435 +1763781938 +1734104625 +237161524 +1875475390 +1251092745 +338133311 +13274587 +2072004221 +1914006800 +746363738 +29075371 +1917243454 +430227470 +1643719547 +1304861391 +90879330 +1259821109 +1484205053 +18286520 +1012738170 +1554975984 +914460961 +1235021646 +1143851446 +675158504 +1155222220 +1350308053 +340916372 +1827700307 +45058509 +1800801807 +1443998597 +1779163134 +2037963331 +1171990339 +882772232 +228612994 +1185264926 +807292805 +2142619795 +1931628664 +836368176 +1912379601 +214372486 +332604076 +1069757344 +305251816 +1592425185 +406478750 +323538336 +457679707 +1961454734 +1237999298 +1692701353 +957822532 +1913157802 +700439926 +160646937 +106590526 +380656585 +205705446 +1907392334 +1824655182 +1984868581 +1797872017 +849161873 +720157165 +2026485012 +2034426799 +1527449970 +2021621159 +1818571815 +216334499 +1786517112 +2032944301 +548938575 +708790808 +190712469 +2141363760 +1115269558 +514250806 +451559820 +929240645 +1752250104 +2144261173 +1887063177 +1517924258 +697217451 +2047710115 +1624514784 +1077874036 +105931913 +1384423470 +755045570 +2090800494 +1034811840 +1604207443 +663474011 +913813204 +1491150594 +43440334 +787950715 +1162238761 +259774833 +426984179 +1047699414 +808713408 +1135774987 +1238411884 +802593520 +103560898 +1752662690 +1254153340 +1032801543 +1357429146 +1250930866 +772381072 +727869756 +1948148317 +672607539 +204900892 +878538706 +778539453 +1589324363 +1633584276 +721856299 +476652555 +1090308072 +1385330311 +1390465759 +433975018 +1428770645 +30932826 +1596213780 +1688545478 +457917005 +496429546 +349775238 +1593691992 +1734841430 +1152368758 +1697252890 +1340020472 +259038451 +582570785 +549965970 +1509969317 +1354951858 +1277835726 +1310633986 +2027559397 +1482736619 +41689044 +658615202 +924577334 +1675273321 +1380471502 +1401229889 +618097745 +618318165 +644212000 +1052072763 +2047088810 +675144826 +500802895 +1588150640 +1133061831 +997232442 +1937925878 +579270175 +584590224 +942810988 +129039418 +1924610697 +1201849439 +711610203 +327093019 +564335108 +2066562061 +1604928746 +1874969095 +1946637811 +940181717 +1916658139 +457769365 +1864759051 +1444447812 +1838240867 +1118505292 +2062545557 +309075384 +1762717292 +967134673 +208680546 +290378470 +1467937568 +1796831186 +1423440301 +317686362 +1587273416 +2002710476 +902276587 +382600757 +2131749894 +679403636 +1584450196 +695876450 +1006496655 +1301657 +614954863 +463941753 +1876270752 +414109026 +1404123470 +1645445243 +871878392 +1121398873 +942409408 +562635611 +92420517 +857471317 +871710996 +1855137809 +1824605990 +1080391542 +2145516279 +1145059911 +729739081 +1421472932 +1462746273 +169528849 +1276699761 +217539212 +552129606 +1260966007 +896942848 +2136579803 +1956842457 +1903439504 +2137881460 +424313673 +219897609 +1866668564 +838422699 +1624021080 +1364630159 +1710301091 +597936305 +159555919 +125453055 +690356823 +1017027237 +997164051 +398010984 +694149579 +2077555593 +396043616 +1839209490 +659811026 +1817516548 +1154472116 +829339876 +946732661 +1372011328 +1381469482 +60215021 +121470529 +1370565637 +2017057478 +2024910033 +1360963449 +293887503 +97323994 +1080148365 +1132310203 +1721345074 +297294877 +695127646 +171797732 +456850796 +820580701 +862154555 +1473878033 +1817744752 +1260165539 +20543965 +1747816698 +1656209155 +1859753455 +260144076 +1326242056 +866741923 +1089483952 +125491069 +91269604 +323469787 +185706090 +212740133 +1694035424 +55279921 +90166518 +907515226 +349167424 +187490512 +1987663591 +1481477627 +1908835587 +137474820 +29121626 +2080633319 +594325617 +849702327 +795304226 +2068203650 +519963432 +2055469765 +2088747615 +120296482 +1564195273 +1801017423 +380440558 +742953681 +520275698 +1469924511 +868444750 +611545302 +1793394298 +1054150841 +824285435 +1339946074 +1109430762 +914451953 +99977652 +1458598186 +1101942466 +2087641244 +792592166 +863294405 +77632416 +821713792 +796444076 +671958033 +1671416119 +1591748302 +592678036 +43895903 +1499734419 +533942003 +164192385 +916446044 +187475778 +544632944 +1659399725 +707751477 +2014557455 +380360828 +1319296779 +1660468105 +1434511669 +2143582215 +852930531 +396458783 +910550520 +952908184 +1855056969 +2012492986 +893065780 +500165487 +728303743 +970698196 +1321879279 +1524747819 +1642656230 +845811751 +969012473 +87850618 +889707654 +321263245 +621792621 +1053900040 +1237709289 +809268400 +1598532984 +749625367 +1517019877 +1465606791 +1129986195 +688833008 +978591248 +417014216 +684931575 +1831521779 +813472999 +1595482096 +636946315 +521046320 +1460491434 +1530012095 +1021211808 +41311530 +353226644 +195607439 +1566059349 +1995882874 +1041419190 +387588175 +2083733492 +1931126845 +708851420 +558042465 +837543237 +1946560709 +1367310865 +288592573 +548702428 +736847094 +1754199364 +1678688623 +1425680103 +585306964 +2095702839 +2110611678 +269345095 +761692190 +1558610126 +906291411 +1282738511 +871617913 +288819858 +156466671 +912929443 +642046502 +352074110 +331505144 +490445728 +1393493301 +719093319 +426695572 +1177136498 +1427944739 +984738038 +2014679735 +1227021801 +204565255 +155788660 +1775724229 +941412350 +1909988024 +1306929205 +219608805 +347811340 +1255148396 +182736835 +617156435 +2016840587 +1741346962 +1523447846 +1152095450 +465481227 +1812267705 +1308562121 +1378410670 +306830559 +1660636231 +1709915814 +797276288 +906645884 +281525486 +1223971860 +2083782382 +1709470225 +61226250 +1950978469 +789008378 +265791506 +2106767129 +417248960 +1207203856 +1869271505 +1724178165 +1426812661 +69599197 +831842913 +1609549496 +686755633 +701199852 +1203412810 +62719831 +1853295302 +1668894037 +1874987536 +1014373775 +899821059 +34334448 +527526359 +462253226 +831610736 +1434172243 +743778712 +2055582596 +1370470978 +305765289 +2116808847 +1173965799 +1094773668 +235116705 +1133249281 +1512022628 +1442320561 +855037138 +1088717145 +721649574 +924636336 +1920560058 +183715422 +1611391969 +474276263 +1387128233 +1674111800 +180087917 +908538622 +1401615689 +1194461693 +1808359682 +1435950137 +1721988052 +123129260 +120077225 +1008676647 +866907972 +28176173 +231663977 +1172673261 +2144985020 +1405629777 +119963281 +232618077 +391395410 +1631985909 +1674938638 +1246432548 +573219406 +249104564 +23585236 +346295817 +432819987 +1634977205 +820572080 +1819948220 +1161605358 +1000659997 +581003194 +415737399 +47638042 +241879228 +1851687536 +1769626094 +365008488 +1971764761 +630819094 +1231916460 +1999940934 +862483071 +257106074 +1997442307 +120629200 +377069355 +82576736 +512024610 +2009055265 +1757515375 +1758457159 +434791023 +2006619939 +1782042395 +781086840 +291956278 +1269535953 +1601658920 +2111904498 +283657663 +454835270 +545424045 +699395062 +502473312 +787303273 +403598950 +124615759 +1152311762 +227880063 +755434853 +236744574 +80337349 +1617917924 +493850648 +2077779656 +1738547125 +870920004 +12872745 +103088087 +732491621 +1770388120 +1861545246 +1167282644 +1629524411 +1496103994 +1948369485 +1921480690 +618156299 +1402544757 +1885901540 +901813962 +1857380027 +283841937 +1601209024 +212369692 +1071145211 +2004807974 +336985451 +75973325 +85204389 +1092420304 +312717899 +165541738 +562854580 +806568548 +95837747 +153918057 +1677488552 +108710492 +257006145 +262496525 +1879098612 +2118551391 +1429779169 +1361139375 +1467171737 +1230665006 +1135136417 +2085328036 +485726116 +873554310 +839658350 +195622495 +1157396247 +293383726 +407992187 +81057810 +150708052 +744977638 +157031135 +235912441 +1837397942 +469749035 +401454180 +252768875 +1276317583 +497291927 +406686932 +806322487 +606002419 +663693077 +1068819012 +337617383 +634760821 +351114533 +1698756758 +2101932558 +1581779540 +686409528 +2039776947 +2067505656 +1559963838 +731951649 +115644503 +569876437 +1025335376 +523636691 +650934248 +1176043428 +1268614329 +807965383 +1411955870 +958528624 +1277714418 +1813410050 +1211297499 +406548353 +163218329 +1617984431 +1212870840 +769220748 +134193861 +134206204 +1106838131 +768954682 +485320738 +658111241 +723403592 +2067100278 +1344520769 +615696891 +1987122286 +757000959 +1347648541 +2102766789 +1326877397 +225500269 +478919832 +1977811645 +1401543697 +1747534162 +638293380 +666015919 +558579138 +1916007799 +331942321 +1769876637 +175072504 +495160650 +1240377420 +1387943345 +1264381398 +1374571281 +1522149549 +223735881 +2143525963 +2007470287 +881847123 +719445908 +1927086917 +78884244 +1335142799 +1766725555 +835885204 +535307692 +1722008697 +15278953 +760807961 +53444881 +1993090598 +14868011 +1800979043 +483900330 +680883930 +212074533 +252424481 +1012826252 +1981951170 +427496986 +1507986902 +1074844943 +1815440331 +624884653 +301932576 +1190106232 +848620534 +297974892 +1050092872 +1730467657 +1017420800 +829696141 +1809351902 +205079951 +448938049 +497753458 +740387644 +23463098 +513032411 +1501195605 +76907979 +358639361 +1516063616 +1877887023 +842539691 +49463899 +2089961556 +1094964173 +1062290151 +1924429079 +1522461159 +422793405 +851790374 +1190417842 +1047678058 +1153722950 +233040426 +1896298593 +1451697842 +1283133298 +1479282602 +321634994 +2112829440 +1141150856 +526714946 +414283841 +1638904314 +1267102590 +437746939 +4453077 +620814547 +514654918 +363092438 +2136878164 +245058293 +1205632130 +38858415 +187536202 +153112655 +1101148566 +2111965281 +1675573814 +1523941971 +816272007 +718508008 +424136382 +1969994957 +951548434 +172951327 +1274209152 +87198085 +1652233929 +1595844146 +52543877 +645901138 +2122559092 +466827718 +137321804 +1242178034 +904574657 +141774882 +1862992582 +1419229575 +504867320 +1852387098 +1664287869 +1710499450 +1891245513 +1851824071 +1863612105 +844910431 +1816305704 +1391702271 +221368754 +485094063 +2110210279 +645505136 +307605372 +914275066 +818456463 +1581814524 +1001473151 +323206745 +1030175023 +1054017028 +969107883 +1005250467 +1520844746 +1106429687 +99944854 +277935755 +1248204569 +1962937436 +1697165330 +1753071890 +1667840886 +1213969551 +1316087692 +1411602751 +918309974 +1032216150 +109029534 +587132030 +276434773 +330398288 +1072226093 +239161405 +975903425 +1379831466 +1153436471 +1794359888 +814162342 +7425974 +2117566633 +1844337365 +1061443002 +939190868 +702104185 +434804100 +2045620556 +802049039 +712739855 +1146341477 +617502827 +262421537 +751929719 +137860065 +1476391089 +2068017412 +1549462816 +247217415 +952749914 +1658492350 +834349446 +1229184687 +1988890638 +1906575539 +1468346092 +817310415 +1138923357 +474298915 +464186656 +1953085700 +481724889 +434269641 +1649939417 +1543167891 +1373460510 +204559954 +1977971991 +1271597418 +1006608993 +543228198 +270455247 +1624111820 +805649736 +1022384967 +1761971885 +134557177 +942918731 +1163951053 +381774592 +1895668645 +674959755 +1216124038 +977369684 +516366746 +975215930 +298232129 +1333677161 +2114139287 +772531044 +1797863817 +1919741339 +1254255934 +84649811 +1422197109 +649940177 +1458110321 +1626757063 +480428521 +582224091 +485882409 +1023656719 +852679338 +2109994229 +1829306455 +1875064305 +1724482467 +1963863632 +670499388 +740949872 +198154577 +418684385 +1415909628 +1414278615 +1396054070 +1932276374 +242010897 +1694286199 +1118469887 +208666537 +319333595 +768850057 +2128407876 +1573589529 +853499868 +1403121337 +76046059 +164126541 +882394753 +556474580 +746350632 +1368277162 +1580131299 +1599029970 +1330787743 +1261954107 +1326610628 +907786562 +1078334091 +1997110016 +1648736435 +1276488668 +268310754 +917162415 +543283636 +1664364824 +701955141 +785294533 +1211167375 +1820425028 +993961070 +1530500970 +441791437 +974885299 +956606852 +1295291305 +230522988 +1032652911 +1459417846 +1112917741 +1589127491 +58284830 +333711255 +1021775142 +1657314801 +1664498999 +136245601 +836441781 +424801913 +1214579693 +686068149 +2073538348 +343584713 +954378903 +843217115 +886868349 +471260079 +1545172256 +1672162883 +1682427454 +1218113637 +518640305 +1065444777 +1659905074 +1493525604 +2022051629 +807712732 +1724048593 +907220892 +119646930 +689482686 +348864735 +177931761 +1023193942 +1370639877 +1835246562 +540209293 +1506885479 +524204695 +965011206 +573981524 +1210272844 +891065907 +917566237 +17168100 +1734283022 +1804434587 +488428179 +1131971631 +1329113822 +23371986 +202601620 +1847754127 +1088816763 +1862506694 +1193796084 +963384744 +522735778 +770361029 +1870605636 +642382709 +1459843715 +71986723 +820314470 +335554009 +1442626600 +508077384 +875763302 +802028431 +1032282079 +1840774509 +1376009955 +95071275 +584356768 +146092545 +112239375 +171156142 +1950527132 +600667555 +1303127773 +1132157306 +624039541 +1505729393 +832427785 +1712856304 +1220752440 +2026223869 +528757400 +1743488218 +649101250 +251879388 +238387279 +2108944966 +323866111 +1058701749 +297015327 +1766492711 +1566779133 +1172778630 +421037495 +451577564 +866069491 +1797047450 +546648840 +1450426259 +1943139995 +658888215 +1621582401 +1746183479 +1259555770 +777226527 +730857137 +1883595311 +135472272 +1563284923 +1448967967 +1356224712 +1442025144 +1977725367 +952229283 +2091126395 +82121107 +1190616562 +2052587713 +405987218 +101834664 +202119392 +24996282 +1668613797 +1374898022 +446033777 +2120191362 +93483865 +95597579 +519356554 +1543910124 +2038737575 +1178244769 +1018008878 +1637437406 +290316892 +1795235405 +220810896 +26428555 +1930707677 +1784095819 +1475396523 +1139448742 +1078637315 +1305638242 +2091678025 +1022280062 +1387759350 +1134810939 +927384127 +1793746568 +1236645603 +1129503520 +1818742850 +757775753 +356917894 +117292979 +730483467 +450401760 +212890559 +1249840021 +1994311884 +104144486 +280601142 +864837114 +1741581892 +570918034 +512588871 +1962392788 +597346590 +295812901 +1599004959 +2072743113 +1435261643 +530158627 +1230897707 +1379456020 +1552438689 +471173409 +366783311 +332339169 +117436330 +1603428915 +1461842689 +1936179180 +213721020 +1818760583 +2053472160 +944204487 +121678695 +118879071 +46560860 +2115990580 +223023557 +327162002 +833344046 +1964605449 +898080037 +1345932918 +1779514590 +1495426627 +1641745819 +1231035901 +1420686092 +929523814 +1761194528 +504100151 +161496186 +1166149570 +975273561 +528279497 +1498488739 +1092709891 +2131708412 +812847780 +881405423 +197945784 +484124715 +787393935 +1142150271 +605803411 +906273006 +1188711131 +574310343 +1129296563 +1515873134 +1407654389 +946418365 +266469523 +606103659 +578449307 +1761896150 +100365830 +1809485208 +1035098594 +1029889644 +1423196089 +1539198745 +1191385830 +441862011 +366988658 +1719665328 +1940350750 +1459698549 +1703890092 +605714882 +193620325 +1901835877 +1089839597 +981014260 +896502500 +1695643008 +1887287267 +2085213632 +122469703 +869100182 +1453603118 +1530124093 +1815518547 +1720072641 +2136227752 +246484206 +1334485143 +89109935 +2055969415 +222100089 +1118999579 +1331681856 +1761298834 +162901762 +1773543867 +2128287493 +1882567090 +1566410969 +1440502394 +1438973534 +24642203 +1634122719 +1193325763 +1114481800 +467653332 +2089828264 +662641161 +207456951 +2027558248 +785110864 +1076557133 +1333677718 +167751309 +744592033 +906266711 +156495414 +991076239 +93268206 +245605349 +899562006 +315368295 +1364604928 +83760214 +2076667129 +1527506690 +1857304081 +2057470974 +1262590132 +1276231402 +1350489721 +554080019 +1300873605 +837128792 +1747405782 +267871758 +1304782124 +1689750398 +930512919 +1512239075 +1569824998 +1715623783 +441312561 +756019068 +1883375093 +1185904594 +1662285779 +2039870507 +29497185 +1755553985 +137992208 +929059192 +2070922280 +1502597136 +1012819406 +2000105762 +882620179 +722639840 +1910093088 +2145210311 +1998871242 +1113099161 +551806682 +1152261200 +1950227954 +151728817 +1420132958 +1107526430 +1841479215 +203162229 +472281858 +1263820566 +1918786012 +913594419 +2019839634 +1654677457 +2099499013 +1534641766 +1547064316 +2128996198 +1142712103 +1685056524 +910571742 +1066150736 +1040170013 +1923391149 +918772850 +1922790192 +498547341 +681382290 +1920516855 +349934935 +1794481452 +324839890 +1502196135 +1597225758 +476568707 +774845445 +557268540 +170564274 +978007674 +1029550398 +1434384840 +749310039 +1943144817 +1306740827 +256503848 +1895160182 +693898945 +1803568165 +1876672733 +1836611048 +1341141041 +639760827 +755278136 +233827406 +415668328 +1674050986 +9133950 +914215669 +207949629 +1929650806 +1264150605 +2002431081 +107007048 +618863092 +1452173191 +583575755 +1393708538 +2009441731 +754140029 +224232564 +891508482 +41041222 +973542603 +687169651 +1347782049 +1230046452 +434846186 +2041680994 +886130969 +164035271 +1730808394 +79788362 +803796098 +338602883 +313615769 +1219464427 +2012653869 +322749719 +2133680096 +73119850 +104916877 +1250347053 +2075550931 +211923925 +1869210146 +1380240474 +795499680 +1115435036 +1242198558 +1549639710 +1339667600 +2133707040 +1590680932 +165726556 +673393043 +790979333 +1395773008 +1108239229 +685176679 +134420329 +1272274500 +268501425 +214208691 +2076070599 +607104308 +527824460 +1148051378 +472274530 +850574180 +1134247826 +545394380 +955491057 +237111232 +473461664 +1167414983 +2106321378 +1853702138 +1962914663 +1074272766 +948417048 +1365070725 +266456718 +934640440 +808268009 +432183274 +1608033484 +1599247342 +1827956282 +568789065 +136940373 +1962376611 +1841063566 +405441799 +29101655 +1769650517 +1012546107 +556926115 +770218247 +1484820637 +1407500295 +1904466073 +2030215018 +215507705 +2141577305 +356193034 +1382922688 +2100415035 +62411524 +1198353703 +1027204153 +1010828573 +415940781 +1293660872 +1945469013 +1224208790 +1725844146 +1406018849 +675972485 +1406316781 +1974807915 +812912858 +1221209744 +1668387833 +1218354657 +1250311399 +1290554702 +83417117 +1807237515 +2060772949 +1568237754 +1067254162 +1817755374 +1450969124 +1282761867 +1811849032 +1807162158 +518200907 +1764780419 +1869573683 +1716554611 +644500925 +732918608 +2132495392 +1938161797 +530903973 +1209220534 +1516522295 +1936922823 +1885193019 +775355428 +1764247090 +550622230 +1996565173 +1285151275 +1768976887 +1099392924 +428222329 +1852394004 +759146791 +341511630 +1273148111 +1826400954 +11783356 +576633587 +961679173 +1823632388 +236312098 +1479880081 +1440929160 +2105885781 +1048951044 +2085430085 +691320741 +1033962788 +1876108234 +1222224714 +95699674 +1245146881 +1011663889 +1980892694 +2020502310 +628427331 +384031276 +1869583835 +1913578606 +5524515 +821493111 +194317287 +1857918520 +1580639903 +535828917 +983582983 +1259557209 +547612274 +1560216570 +73752734 +223761014 +1796528668 +1553632815 +1664690174 +1754930801 +455100211 +1602636611 +298767894 +1489062999 +1331261197 +1520992609 +1584762674 +428924431 +385172850 +1418171720 +301943093 +1013600182 +1802202996 +24043280 +779695140 +1807727511 +845536391 +974012428 +1518162383 +278692646 +1509841345 +354261718 +1538249855 +2057453619 +1914478289 +1612002590 +133730986 +1563523309 +1018151757 +1798421160 +1170970463 +1473251969 +1253574124 +1469738357 +814831320 +437351673 +843247318 +252110346 +866276104 +1228420169 +1670282066 +1168219197 +94536703 +1325001414 +1192262477 +874231843 +985245278 +2037798869 +1848244271 +355924013 +169007867 +1210601969 +710185732 +1707257723 +1120571940 +477180373 +1171776665 +1254302926 +2040703682 +42444774 +905240439 +1064190497 +1515696743 +11330915 +386445207 +183044416 +448682588 +1229692525 +435154762 +1314958693 +310629046 +2105436829 +335694242 +405165749 +1282954595 +1527956720 +1279397593 +120716225 +1418271941 +980158216 +476640239 +1587279808 +43276537 +1186825971 +1147053883 +1163848478 +1664006344 +171346900 +270667756 +1557226378 +213791675 +1175908195 +473933228 +1729488418 +1187239110 +860378435 +1912532834 +1635921699 +2090070960 +200203949 +803396744 +253216359 +158157130 +1139090986 +658382108 +1441111725 +519564058 +1937779701 +1561827951 +1937835999 +770454270 +2038468190 +1377632160 +813730807 +1077810513 +377202395 +1977579285 +594333209 +548549296 +100763394 +4075939 +762340971 +1276671589 +478009167 +344345741 +316427052 +1338387602 +109394928 +1952348751 +1280974915 +309598877 +608261847 +1534191274 +467756007 +1747352833 +45089734 +1908867732 +119433244 +1982869436 +1323212035 +2057269243 +605840058 +1214196577 +1287417755 +1419570865 +144523442 +1664620151 +1249666503 +738856651 +65685799 +1350429897 +742932591 +828026770 +479617838 +1220941758 +1172372511 +796044890 +411845713 +1281767439 +600909993 +1692820628 +1591366316 +1209171840 +1079528254 +2059122323 +809041026 +1124617988 +1820506408 +928474270 +960003776 +996234795 +838259865 +1565843834 +62947725 +2125677621 +837931052 +207471167 +1642814124 +2087597555 +946327819 +1708499923 +1290543804 +1689260410 +389043045 +1770161642 +762718520 +1561415556 +418722885 +1174564233 +695699348 +1019632878 +719901213 +139582016 +81321071 +1799429467 +51220692 +890362097 +776563808 +1871727100 +1818836367 +1736567584 +720478247 +509612584 +1154927771 +783425972 +487806557 +1992858823 +990897140 +2130620681 +1932972730 +1937224959 +1691636956 +1076032886 +1479001721 +2080680001 +698710880 +94236593 +1494611910 +1117433765 +1268800827 +42827610 +2137066644 +1988702040 +182409626 +70904067 +1640647860 +233630318 +961266164 +269728020 +2105357418 +632618883 +2006295604 +678352018 +1142231467 +1013739727 +1461777990 +1630038025 +859114902 +305191482 +1613175058 +644603984 +94932793 +1157328367 +1720636870 +1573934514 +1090524720 +271864103 +1668171108 +437652982 +1389297868 +789488287 +480480592 +1378880864 +630706679 +662890219 +1449784931 +123870891 +896520537 +263567447 +393598911 +854394308 +896186330 +252410868 +1532746326 +2038417798 +1266150595 +847040668 +1520972175 +2125265498 +1152232151 +986663585 +622385834 +1247164944 +2143991952 +195539057 +673615811 +1087033025 +467403160 +194303271 +1524686007 +1856701028 +983791558 +2005166600 +1088098245 +1614498237 +520573171 +390399528 +1738369129 +1417093708 +653966976 +2131968040 +124004368 +1550153306 +236895260 +1656750694 +1441087456 +1503045856 +356307715 +814575983 +1480827706 +1508539866 +1801239569 +2103213540 +608221162 +1797747873 +151268949 +1281836973 +737297250 +618672109 +1476140244 +114499610 +327889490 +312448154 +2119666210 +1415987735 +1926946392 +492755733 +1806387263 +1517831873 +1909849441 +312870591 +1502316265 +2033853810 +1863023898 +1739211526 +1543120856 +1156627706 +1094773734 +1899428571 +1971203690 +428117792 +1260484789 +1624959611 +383847684 +1868705952 +1275223836 +535116634 +1003059277 +2012521087 +1153788743 +331715874 +2127020697 +1481678233 +644164028 +2099203259 +750182320 +423626772 +444475344 +409085936 +1941458645 +206841137 +721956527 +1296291263 +93211299 +437496777 +888019141 +1636332156 +1594124484 +1982792875 +1388277079 +1417844526 +263427019 +501278221 +895320489 +647274703 +222500525 +23060677 +1182391337 +1225559802 +2035581764 +188696433 +1557275676 +2015118813 +1670374666 +53956057 +1966838424 +273073339 +477582829 +263830120 +682159275 +271557827 +470671258 +1404115802 +1567849090 +563882557 +1841612580 +308384583 +52731065 +1288253416 +143693810 +1441008145 +558614294 +407120829 +1942286366 +1453934783 +1054395532 +17303243 +1476995460 +89303222 +1242863045 +1365093577 +277999655 +652655074 +1232728742 +1948374321 +706611131 +1052083519 +73964012 +1184193960 +1315913639 +756123287 +1455751787 +1786584897 +12755442 +876117229 +202983807 +1854368022 +1184501812 +255714872 +995137790 +1328195622 +1696723017 +1553752084 +1735316451 +1491525735 +860203219 +642228336 +1508828978 +189715031 +731531558 +604208376 +1554808608 +1009531213 +1256863450 +640053703 +810421886 +1963474581 +1692137222 +884385899 +1000184893 +860567213 +1640509186 +308453033 +499668463 +1653264628 +1184570262 +702652270 +1360149002 +221588427 +958367142 +207803144 +1549784049 +507606512 +1761555228 +1137616853 +1999132247 +474274799 +1779845189 +1360477578 +663989831 +363893099 +1964685954 +71314791 +1373424312 +1074065756 +711368494 +36362550 +890056689 +256022068 +920748449 +1890241582 +1116589282 +413773988 +51210967 +1616257745 +2067038616 +1235781230 +171426367 +1279703971 +1457369657 +1129793509 +1487507115 +859670058 +1637400021 +1101578696 +1997286911 +1489048621 +1575853495 +1629648452 +702042551 +92359678 +1993541551 +519244857 +163674470 +1219482215 +1593310613 +875042964 +1255844766 +335883654 +1131065033 +29109567 +78641588 +100170667 +442883555 +129852556 +1716428412 +362438524 +1365633786 +1887854779 +1642142495 +675519795 +870164640 +982165962 +1535189853 +360081014 +2083744658 +1384993117 +1849129635 +1512114506 +867157921 +403688538 +1604474184 +713215825 +922933395 +1768148654 +1932698040 +368760360 +495707971 +1041059158 +704644014 +1626773004 +1070168726 +783285602 +1726943671 +1513052281 +913138158 +1295888435 +1875490805 +131288296 +1036259566 +1370149652 +806808091 +1906424206 +204831967 +194514297 +119021572 +141092977 +1579507414 +1968151207 +1653207483 +299181687 +224356097 +1110198020 +1012397512 +1147289492 +730863026 +797611905 +1516049852 +1226570997 +1838671063 +73210218 +705860353 +761356141 +856495821 +285320376 +126924775 +1769633979 +1581208811 +2002415580 +1900922276 +469984729 +1225081585 +560246719 +228925288 +1429913552 +754761016 +347946860 +1571006529 +186784782 +168614420 +1076730365 +485966470 +392970517 +39444737 +1498363982 +1540260010 +770307763 +148492239 +908826214 +1996878761 +1987163303 +982036433 +555255466 +601035796 +1838532254 +840575843 +727960571 +1460682585 +274301006 +582892504 +1214121213 +744285736 +1807974089 +1774367933 +973211024 +1090403993 +381645301 +1321157884 +513926874 +568430084 +1489772304 +1590657239 +1054396554 +1882742822 +1630101976 +405276888 +1275519184 +252926092 +553769128 +36861750 +102321205 +393448783 +1018898183 +657576671 +994484579 +709946789 +1498152514 +1722445151 +23145727 +1772453521 +157854007 +1237266940 +369255609 +1965828096 +864151225 +1342466633 +908748441 +1245796527 +516140869 +1422675315 +1814226611 +2005913174 +865848907 +721139517 +1741172348 +348467235 +1126416405 +869207884 +601393327 +1680185533 +906069634 +703714532 +2073634316 +1924967818 +1361291204 +920635248 +487430959 +711960070 +495596751 +510576686 +336929943 +653450758 +1747843627 +706185552 +471795206 +464511204 +2048652185 +1380543647 +1710307731 +417309407 +655735314 +1377050694 +275738933 +1521584221 +2098190211 +2016911281 +1870051457 +1077122969 +738635517 +323961136 +609824854 +1644705151 +1027675669 +535975523 +1422189321 +241483225 +1456610771 +1909620281 +953443295 +1952207522 +272713319 +1290373239 +458174632 +2020556946 +1996558791 +929969838 +337584503 +1897727329 +163029837 +2047892234 +167553088 +818765151 +1277459281 +443292021 +192865725 +1228165844 +312719654 +2062917182 +157805165 +1051355171 +239394670 +767630020 +548576674 +1267070339 +1303605543 +1970765996 +1508553564 +612732666 +1732902629 +314513212 +417456540 +2005615948 +1604886451 +875631172 +1878689247 +1453961594 +1805601010 +68790102 +1204205275 +1968630847 +2116682336 +1371758363 +639912350 +1246657969 +1815050384 +832778075 +327340166 +2127770038 +748211609 +485145331 +1031641561 +987606280 +1252775351 +1580218236 +107192971 +408897246 +1403500584 +1615746536 +1021629912 +988919565 +1930259748 +1439086452 +847051865 +1387662551 +167233976 +578257464 +694140497 +1972834986 +647047566 +1898345773 +1793982185 +616246255 +1122620488 +286410888 +1862904224 +790187225 +1119188963 +42760742 +770473615 +1867400573 +527906074 +1802115177 +707523205 +1780681425 +1234849765 +814716176 +42095024 +490866701 +282979064 +1063724936 +1479786266 +65755164 +355327741 +179354483 +1453417715 +522561717 +757611948 +74565 +347913056 +1404659514 +1898420338 +2141895241 +2020905769 +873557178 +280822481 +1736326346 +1663744403 +1400011445 +1779087088 +286734371 +1119928370 +159509514 +2088849548 +1827451575 +1940190940 +1176215665 +494684103 +1982285964 +1667082366 +777663168 +898527252 +999384984 +843418332 +1253854993 +1178739467 +149352400 +1776416711 +1936351415 +149426965 +2124329767 +1193527282 +2047847303 +2118741360 +1066949403 +773920833 +252080194 +655792101 +290181589 +1652091639 +287395542 +576915960 +624536361 +446905056 +518281860 +304504288 +239612348 +1694497525 +799188391 +74414664 +1214096243 +1576851559 +972941917 +65997579 +272786244 +79313262 +1244737046 +422138644 +1855729973 +1033604814 +571565609 +1832576092 +79648448 +471929264 +1803833805 +1146597851 +1245850097 +2055913999 +1802389953 +1536031686 +1560521990 +2089785495 +2112947646 +37574703 +389206903 +483745858 +342078991 +628819252 +30759735 +1141267382 +703233916 +1244855978 +570635294 +1676175833 +1310853557 +843421538 +1755489096 +408106956 +1265560182 +1463735421 +1441711770 +1837125791 +1148827866 +1521360218 +161571407 +805178023 +520474421 +1407421504 +713608374 +175380726 +795969543 +126646716 +117682573 +761433541 +164221419 +506889477 +1245179400 +506300410 +1135708729 +1275939135 +1647567792 +1838942645 +373311466 +70719438 +1367634831 +1684165023 +914140976 +975640279 +2092271979 +32217510 +291892052 +1386500101 +1869343301 +1440719918 +760376671 +2030914708 +98414293 +1280851093 +1290852565 +812022667 +1456231819 +2086822108 +938669383 +1573914393 +700772001 +1102890802 +2080803870 +1945951401 +1609191212 +1069028951 +1074406889 +1109275357 +760487948 +1447718355 +1179994795 +2128122779 +984399730 +2094135772 +956279410 +929188062 +2126353282 +1248171463 +168204515 +1848212936 +541407733 +928581187 +1731643996 +639822027 +61948632 +875012913 +1451844694 +1518180451 +814351373 +243030430 +944611196 +1515123375 +1345921232 +877931418 +1313591128 +807628797 +1946960369 +240514369 +1916904154 +559964670 +1688232724 +949415301 +540603801 +525148807 +896067425 +1496883212 +1454336869 +874937060 +597571027 +1622541384 +575666348 +1138978760 +403638923 +159826696 +1778800787 +465587555 +1034839610 +1083161834 +1983768007 +1849190983 +1326192264 +780895555 +1216830710 +524629848 +1658826974 +382938191 +1332258645 +1458303695 +623452560 +1101679151 +2018268365 +164201637 +2051094453 +411388519 +689350444 +799678230 +1908271731 +2143687313 +1674615290 +358359110 +1618745049 +102797990 +1497337870 +2022383973 +262624687 +1128655010 +340487880 +1297464297 +64333196 +176772239 +999171632 +1390525460 +957667795 +68518695 +1915155308 +469011121 +451456886 +1099930306 +1927314816 +1074909446 +54125809 +1798099534 +1239111083 +2105220262 +62004405 +1928461527 +757414845 +1970276136 +1924665192 +284546487 +181151598 +1395926594 +387344478 +1678489468 +1270826919 +649969165 +659660830 +1611314799 +1947433462 +723994026 +1788087039 +799121446 +2114519486 +598271186 +867640141 +1882191147 +1067282307 +1319097027 +834637805 +847113475 +246522826 +888763614 +497729361 +1485633909 +846500229 +559733766 +1266611789 +1603915074 +382526254 +1043793333 +1888461561 +563677852 +292236279 +128322391 +94683673 +1563063198 +778291556 +754344503 +1026894350 +578241370 +1478338530 +667497741 +1377362817 +1445374368 +1265768927 +97519310 +1180081867 +185567586 +1416616338 +2014719672 +1032681061 +1663139164 +755999639 +1530410423 +1001289425 +1602499868 +2090144189 +120417566 +1058931294 +325186796 +1164210900 +799909207 +888864648 +1456447179 +928231599 +983548321 +872026730 +1706523155 +1737892825 +1898921080 +137280878 +1068747707 +418935173 +1514643695 +366638427 +1684704100 +1612163005 +1546720295 +1870271686 +881295695 +1413956319 +755469099 +396951211 +22472310 +138395874 +1398240637 +1624972178 +81056416 +1518658203 +536419824 +406243212 +535385455 +1336329032 +1295107860 +1991832635 +117076983 +131172534 +716375717 +1823600138 +1869065359 +467813149 +1960881016 +790329418 +886748322 +1328041063 +1156967845 +423968774 +792720421 +556204492 +146756812 +1674016116 +1970160812 +902225911 +2070967328 +1992633122 +1040621786 +1321724317 +1470121653 +1121678202 +692898872 +2006541477 +1527921414 +1228284328 +1195386861 +675545626 +1072633315 +1312463844 +806718160 +1789009032 +988580335 +528299871 +109338533 +801977703 +1318629289 +996086855 +2130018767 +328113487 +1420055629 +775255540 +884317979 +1566812441 +301788008 +706995143 +321554704 +225271688 +552144618 +1362176490 +1546996005 +2022266271 +336371044 +92411230 +1881324100 +1864292458 +1320695558 +929227314 +392354437 +245845225 +94207510 +1199072597 +2034854257 +1082787845 +1727372469 +2144192790 +1884765549 +898518110 +992795997 +1867300668 +1226631597 +265367978 +495072560 +2110949577 +1832180419 +796860568 +670461072 +6251475 +1022132257 +1222605690 +1368427966 +421644614 +1097388313 +1704799010 +514055844 +831228766 +1421607821 +1834751402 +1760456080 +1813962258 +2080596627 +1854663590 +865551207 +1967967236 +789967788 +445440028 +1964676378 +527249689 +1343958139 +809988727 +247066709 +423106088 +1075356705 +742139269 +386572017 +760053476 +1538999837 +1057033090 +766304952 +413648446 +132155132 +2134732918 +835293061 +1229543446 +1692048280 +1349348905 +2060772212 +966172453 +1036616660 +1673744644 +632651063 +969729639 +1380924586 +1498202271 +790213228 +23408726 +1943642299 +607405958 +550658415 +1140116790 +1417394686 +797725124 +1563222879 +345267743 +1539864393 +1949794896 +1105321220 +931380583 +859344338 +1871626172 +1345029029 +991499471 +1858875442 +32838442 +73559269 +1403440074 +1382187348 +2134331481 +222128880 +271320360 +1660592477 +854779943 +1241049999 +894033415 +205498566 +2031263227 +917442142 +1657218 +491185538 +1468100557 +1141774008 +1908580224 +118342034 +557513239 +106364319 +1658206427 +359824488 +1211685539 +442103362 +1219168826 +935828063 +1787132392 +63184649 +647219857 +1819970834 +136743918 +2050659932 +1054674534 +123591751 +125305164 +1325994894 +1784184228 +980085107 +419561246 +530733996 +1185583674 +303340825 +1448176138 +1187240892 +794526363 +768793047 +181531252 +555622939 +887135081 +739044492 +661987259 +397857861 +1098868980 +1873672798 +839961223 +170554158 +662017214 +479609967 +233738808 +1309237071 +152097154 +370482726 +1212413355 +1206771688 +494074478 +1337718519 +385282935 +130775058 +170319979 +804844181 +661509054 +1355903653 +1108185006 +2109685192 +395660897 +1902711370 +730994592 +577192149 +310850661 +1618129673 +1316236641 +972837920 +2015987534 +267621973 +699027071 +708465110 +438176132 +1361044285 +1188075077 +671914940 +522797708 +1340172231 +1042397666 +1735211064 +399460272 +1536472144 +925445935 +784743207 +1667247203 +1095765914 +1589587388 +181272609 +304185919 +550288746 +143474154 +699846816 +305516468 +874468746 +1277038966 +616367130 +345114771 +445791959 +1589205050 +213618658 +713413933 +140748473 +922083768 +1151590065 +1501792758 +2110158845 +1823505005 +2024590467 +1302847429 +718419023 +1612317883 +1702307701 +107407520 +390280170 +339567260 +1774654723 +1486046085 +1929154648 +1955927332 +1790232004 +331959746 +2099401486 +342595173 +637476215 +826386584 +1619634139 +1253843345 +1171501356 +2065426098 +695564747 +1385120014 +631356383 +836313221 +159720134 +1782946448 +190622331 +122395331 +1458967805 +67729150 +1425242760 +29903181 +1680047033 +980066813 +137310701 +2070327204 +1319634073 +1911965424 +1408889641 +1101305073 +1720409108 +1051637997 +1433264820 +1672326947 +1394233170 +2070741035 +351229883 +866383661 +1177100732 +1522731239 +784326112 +1872665479 +760367605 +1415682495 +561495052 +920087739 +1051145296 +752117384 +1042483071 +362629453 +819846534 +320242183 +392532634 +352409920 +1300308997 +529843335 +275253476 +472459422 +294325111 +1684143117 +1573764496 +2014734220 +588297466 +859545668 +1539577519 +1982530637 +782803055 +1890807402 +701430650 +1959903787 +1266054994 +1485756762 +1685085618 +2026422599 +753955610 +99097023 +799026691 +1805100906 +851214407 +1841509762 +20246711 +1671060941 +14268297 +412779346 +2023470861 +1314577294 +942622681 +151240689 +1787036717 +1236947793 +1835383806 +1213317565 +1104198365 +276197625 +2072863233 +496292236 +111244614 +708182640 +239615990 +812675264 +520602779 +1505670984 +150948379 +58204749 +1384609936 +904903989 +157301772 +36152979 +562521247 +1008516179 +1877662741 +582767958 +532093473 +1891931038 +995547304 +408080686 +1059024685 +1938169986 +559321376 +698577754 +1027634131 +247221534 +1911895319 +2131832496 +523419159 +1837274904 +480641084 +634663773 +397973896 +720257074 +1447339038 +918576675 +78444411 +1598287417 +976781424 +1463054347 +355707758 +1134083197 +1499207326 +918229005 +2142599376 +1229386419 +1500996963 +527209201 +973833809 +349060620 +935289888 +2032858494 +139746958 +1494611264 +583952600 +1167381089 +1741832798 +348364271 +1151729937 +117768310 +38155527 +1632371021 +752432083 +436129423 +205144447 +52287473 +1354706098 +283588858 +1650574890 +184003875 +1746643205 +2006282648 +1318087072 +1098366883 +777028005 +1313202800 +180269654 +130541321 +1840412002 +1154103464 +479601941 +628218242 +1039478310 +619348899 +2122829506 +1623430911 +1786729988 +1717178656 +1971795182 +790976277 +1834946966 +2009950710 +275863650 +439895402 +298596485 +481008097 +492182875 +1653302584 +764596956 +2142757766 +1837306459 +363756513 +2001556766 +1007909883 +1462123397 +631101124 +173629035 +1642393051 +761642445 +2014041037 +649012867 +1241244386 +494775631 +1688491178 +1860593285 +470121489 +1164438441 +1499839625 +39816498 +988749975 +143332254 +1874763464 +851217037 +419195904 +167175218 +1149813523 +900204001 +659358094 +655632459 +1664800957 +654632212 +345455270 +2028557471 +508705330 +1353365153 +1343197220 +1139806454 +1526994188 +838106623 +1901448899 +1393551578 +1487119491 +995209637 +1888327209 +1028127021 +708319274 +210965051 +45081814 +60675251 +250781549 +1033831789 +204007505 +2125545013 +1885048827 +623203409 +145236584 +887378702 +1523407411 +804594678 +1543011161 +1040724720 +1459226890 +1888466431 +921798543 +1967932220 +1094347936 +117512115 +960255027 +473858476 +955618739 +714220278 +1867410054 +295254582 +1709429916 +1608253616 +1323381603 +270265542 +1819218667 +1368463417 +330940794 +2070000216 +254811558 +534948299 +2048061581 +2139860385 +1158151709 +45814517 +879755439 +534075472 +850409195 +275282952 +1574800192 +162152437 +16265735 +349115088 +2130084658 +1110613671 +466627203 +942856037 +1584472148 +1422245942 +1657076315 +1304398554 +1717500524 +1219022583 +765168522 +893398479 +1489288126 +436903541 +114378248 +1820228920 +359420109 +369189807 +207693571 +259998043 +361566544 +1365845280 +305812560 +1241321984 +1899920752 +1156221756 +1516604936 +1327237297 +1318374193 +1532870672 +1676352385 +1300975203 +496000695 +2142979588 +96347592 +2080472843 +1417741883 +1753423908 +1237387750 +987758759 +824962843 +2002556272 +1881157239 +166767321 +291976166 +1995535487 +1986996241 +651396275 +217241646 +47206165 +911394318 +578808191 +1413051445 +1217206879 +1820130175 +1165488550 +225944987 +1189251463 +345242199 +1544319180 +574638487 +2021594584 +697810736 +1070639183 +2017090524 +794158328 +1003628378 +1287348759 +400098588 +93532480 +127623871 +1225061432 +2096088753 +2008781110 +1391828753 +240581271 +1856832949 +1231341347 +891977546 +2074074596 +1278547512 +1803371865 +505399139 +544115309 +873095096 +178045666 +1709603859 +1099040083 +1367297129 +2054846058 +495875615 +1941935617 +1928956994 +1193686351 +865091152 +1798563871 +1987844680 +1868719530 +938428982 +240459620 +1962252011 +1066052853 +1465521052 +1910857116 +927350315 +709866158 +3954739 +636699617 +1941207505 +895932285 +563290565 +1072271369 +551820502 +1068689704 +1616386678 +1424915598 +1246735370 +1178506890 +376472033 +466548851 +1085869300 +872347649 +261000820 +867342647 +2066034000 +1126091972 +518422870 +1906395032 +847327855 +1456851852 +2146854653 +662096218 +375421058 +1464892057 +425469686 +1302771373 +27274567 +429424425 +1939470990 +1968482072 +1325356710 +355277907 +893269793 +1877177213 +1423967611 +362172824 +1154609163 +523219333 +1540679714 +1531081197 +989768185 +479065366 +255945198 +1250769005 +1346408013 +174495550 +229377330 +1864830883 +2080890583 +1076705185 +1174199088 +2080261588 +1738801403 +1549620146 +1397669997 +16787441 +704907871 +1424944565 +446211866 +496895214 +1245942989 +1771568576 +852173121 +2139212783 +1501262141 +128657085 +353901959 +508387657 +651876418 +1894581673 +2039468854 +1641644603 +226163391 +147930404 +744929961 +1572571405 +322425954 +974307291 +1289918640 +255832889 +2051012476 +316634080 +188610829 +1642330231 +1866254226 +1586280827 +1659117672 +423678450 +863741744 +2105329538 +920573664 +2109684733 +1729414466 +1772746785 +2101413868 +1083192960 +1901403870 +307832179 +1591580617 +405796641 +54930204 +1483565823 +2047441244 +281093596 +1631496227 +644887557 +1853665001 +1953922181 +1619194848 +996099993 +62271423 +1522723676 +1312734074 +250882252 +1017570259 +1031504652 +1837163079 +529204283 +1455183102 +553421175 +487050173 +228273118 +515622261 +68980992 +2001019904 +469552481 +1152173952 +1754940126 +777384661 +596270921 +13253119 +832314865 +2079836744 +2060694364 +1113408461 +1563849323 +558098273 +819589814 +1370287856 +29809474 +1815689808 +1432559279 +1552533150 +980940234 +1683441532 +422619762 +2012444886 +1373120963 +951824045 +1320144341 +1926542139 +1438874219 +1548417459 +294680752 +1507855211 +1401953715 +764233233 +512545515 +1009410194 +1541617894 +1108816436 +1022663313 +226449112 +1041169532 +935874029 +1339857573 +457535207 +1493972303 +11963740 +1827823063 +1523781777 +1827653548 +1112898695 +928831279 +661110134 +648856579 +1351451041 +526071372 +2021977542 +155791439 +1846215713 +1801036033 +1594665658 +1247149525 +2095716785 +955037221 +501619592 +712466371 +1467582736 +1511029786 +106600617 +428915524 +386209452 +333049729 +1470085056 +1322083481 +1672907303 +1927620263 +668572136 +1684871043 +1607959678 +44870265 +1365040943 +573374725 +973701545 +2026151077 +1222231304 +177668938 +404738801 +1096725199 +333460377 +103470867 +750277584 +1928126035 +1350620392 +698510722 +735679608 +1852239984 +1410977093 +55778696 +1215786123 +1517577710 +484694220 +1601995575 +1850627440 +1954779276 +776595408 +1376051095 +1734915891 +1445167545 +913438490 +1195391922 +1490037810 +130995785 +1768766647 +316255707 +9663214 +843514304 +493924646 +414402015 +1940239503 +827385023 +517872882 +543033439 +608027411 +1868493274 +1241544161 +1343707019 +1573249611 +505037606 +1399485716 +641552086 +2022615317 +1884179936 +96064013 +1725759109 +1691475565 +872659421 +954326556 +1278907808 +170343318 +1867765046 +326816082 +1660381129 +1998760831 +2095582730 +1976636836 +2008424045 +791613386 +323077834 +275342412 +584369241 +1150462858 +793215295 +1127402680 +1758490269 +514224921 +221463194 +954713640 +2087474532 +726500800 +206715708 +581542970 +601632469 +2090895645 +677606983 +179907930 +1634887562 +1550266405 +1134234486 +766311722 +1720609723 +854515884 +1093127805 +1233507204 +705793067 +1041226887 +1062660393 +566733464 +1832840273 +1385738227 +842075877 +269725866 +388717437 +1635291172 +1397128546 +2147207706 +2032445 +1618591740 +954437699 +2089506978 +197608893 +1161153407 +523566300 +799241362 +1104565404 +1201173284 +979149293 +591969318 +603956041 +2113383779 +1358281041 +177082116 +820416016 +303925198 +1410589321 +1526209083 +1345152085 +325766066 +2092942548 +1030508710 +1711504293 +787534777 +1300234576 +2100221731 +275342301 +549879474 +2099945789 +277374746 +20987567 +906899840 +219398076 +218596460 +2068053248 +742964377 +1017837822 +1025135004 +1944137661 +1996987115 +1617104323 +400610054 +1962887247 +827901716 +577692170 +635819615 +1131826914 +1988281491 +14545050 +329495351 +166563909 +2107487598 +1360004061 +1878068203 +747538727 +512754989 +1830806286 +1022881028 +1062634463 +1783268427 +1300255775 +1083622030 +542684620 +1519653851 +1302218490 +463254220 +115134580 +172572665 +1488389224 +2059272241 +22076132 +958009899 +312398647 +1984963379 +1785911615 +890090818 +473299346 +770254881 +730888661 +487844397 +1099750232 +897452571 +447848347 +312270645 +628037126 +1195387075 +825025634 +311359764 +70784455 +1887660098 +2094628191 +1371040230 +823798480 +489829163 +743210434 +2126016971 +953083383 +858345014 +151105988 +293988960 +770133608 +173182120 +1251998859 +1082532255 +10661852 +890426827 +1972623073 +483961198 +1660681708 +556028087 +971805595 +612948293 +1453480658 +1419653943 +925218938 +2081517784 +467557370 +1750244573 +245393900 +538341825 +1490421023 +192538443 +1909382056 +166735855 +682367607 +505108842 +145269178 +1635450990 +1363453856 +296375166 +1929439950 +2133587464 +469557287 +1033955162 +1068636072 +480219139 +1924381989 +893775497 +964180337 +1437580049 +1449803584 +1935985933 +2050528342 +755800594 +1208156228 +828263633 +689834730 +1675713598 +431024558 +935228630 +66571775 +1921445581 +1127767074 +1975953831 +2088181436 +1810134681 +333579025 +85966967 +1298102023 +1697032882 +382342133 +1080058326 +1683136698 +851899420 +2114013488 +604289122 +1332118559 +1890911829 +1498064620 +148815249 +1181008230 +800384556 +2084801182 +1084052925 +1556185151 +1145473762 +1912316558 +98536233 +673703712 +195857468 +1033764864 +740275487 +2117303049 +14048290 +568745671 +2058000837 +1824182971 +902324696 +2143967804 +974801346 +451873930 +378826290 +2054859672 +2135010629 +1230725710 +2021389512 +591816103 +415360622 +1764817693 +2089880723 +564175871 +798342276 +742781632 +501493405 +1882395201 +151483135 +1646967167 +1647228111 +250019368 +173187231 +1843085579 +1283784232 +913462718 +1812904980 +1297832522 +1482208389 +1723422169 +974531845 +237049438 +1719906326 +1949333192 +688923368 +2098732616 +1856709216 +676450349 +1181974678 +1730615081 +1268266453 +1597335300 +1347949126 +1210663528 +14027523 +2146291402 +1953445160 +515520928 +1881202955 +2104928295 +15004447 +1380947418 +207464016 +188191678 +1076549349 +1491248248 +1101654397 +741970681 +641597123 +436379138 +317909203 +1616128968 +673428576 +2037815529 +1417978512 +1362351945 +1989064497 +1127204081 +2038802294 +1023555527 +710335514 +1159585099 +473407180 +2058284640 +222764980 +487434703 +2057092395 +28726492 +1002955632 +1790811702 +2133654788 +1017960079 +1024275473 +193635156 +1206151758 +2100824822 +1684883404 +160322507 +695311856 +178996879 +596701645 +1013221059 +1795125848 +1270130222 +903552940 +1065620712 +484998519 +745133789 +45341145 +376317165 +1768689316 +755676659 +1535902265 +94612848 +666477652 +1758667245 +582047552 +576086399 +1787393737 +1585003184 +219414453 +1773564877 +455479615 +1243689926 +1967200033 +1661631373 +1197031101 +1504599790 +1821953880 +1892342957 +1683596669 +271171878 +758080368 +1331238869 +1541302100 +1661633308 +249375934 +2026300619 +259283449 +294717079 +255134136 +2027972765 +1050393739 +1791036401 +2122585614 +1716871391 +1402219998 +557149518 +145474142 +1042130088 +2142152702 +364888595 +668211317 +450148669 +1608578522 +487927703 +2111780043 +658125975 +1992527493 +1786250275 +402985284 +1528640514 +2057422153 +1161065652 +712395736 +1451240605 +675215312 +961771670 +1330057576 +934498761 +1256488749 +1585191713 +814987878 +159398840 +1228744466 +790089844 +1876270231 +483480817 +1347239362 +2021744373 +1525610905 +1341908416 +239149321 +46338574 +1792057086 +1847727843 +534266277 +1756353481 +358370170 +379310122 +1395120108 +761355454 +1907950637 +1305058614 +1922421106 +472862725 +608815571 +450152770 +1434634395 +1938873148 +1384651531 +543639496 +1376581213 +52155761 +703038337 +457842031 +842245606 +431824920 +941322848 +42001320 +306085646 +319450105 +1383909737 +545234967 +365788680 +1028483175 +245479162 +900054957 +637353008 +603849332 +1279365080 +2032473116 +1365204786 +1039832069 +1190048082 +1140142244 +1512694794 +1798863654 +1590295014 +799845541 +1590253154 +827462897 +1343485037 +819350719 +879618658 +2046523374 +1277192750 +1721864264 +330864647 +71031951 +1763865585 +636950293 +390482056 +1000291674 +1182185260 +756270736 +2028774849 +1427664422 +1656325694 +518644209 +2031513754 +788207126 +403633677 +1249234892 +1828039195 +1593681760 +241893488 +1193250341 +1245061766 +1832188502 +1993095882 +687831272 +512167751 +1189097271 +1507181991 +1391786409 +1088136998 +636891093 +966167026 +1419001645 +707923044 +582548963 +2055951938 +1098405101 +1582840637 +1090653550 +1854675837 +1464131838 +370834324 +1363517883 +1982776047 +254864430 +4241361 +238926076 +1504099322 +1832280556 +1832607836 +1745992810 +878047249 +930185954 +1430697664 +723659483 +1618017226 +1942865415 +1912756755 +977715569 +1187168176 +853410105 +1614606663 +5851554 +124928102 +175046059 +588400517 +33396392 +1273451160 +23757506 +1124049942 +980643350 +1487889344 +1494884266 +196677585 +1323181743 +1749748696 +200918947 +1562107820 +1106364370 +2033199503 +1247232008 +704873532 +763763105 +29934315 +2135571196 +1487422588 +1647951541 +1930952963 +1252695695 +478183463 +970637491 +2106105800 +2092790126 +976489046 +83550254 +120352537 +1564889563 +116946646 +1393803698 +1588647070 +1240996588 +226963400 +929052766 +588397206 +423640985 +104750862 +190662254 +624559932 +1666858682 +1297026624 +510275788 +766607042 +2001900156 +1274038893 +796541357 +1989987704 +613977833 +297009251 +1773457019 +1866673529 +775192714 +596610863 +1825295681 +720499192 +1573099909 +1908845936 +840851729 +990505824 +2025792582 +87171779 +431669246 +1119305523 +314135179 +1360722013 +1707702729 +737776165 +1465472875 +1898364984 +1362336097 +984847909 +1047907960 +1872611885 +1751454951 +902324469 +999167130 +400512661 +744828525 +1613144964 +697521912 +370801897 +1332334845 +1472714626 +967412760 +1010146878 +45730170 +393029021 +771509166 +886581899 +1383534845 +649818101 +973753679 +1815204092 +1769123624 +1287888858 +1028442457 +1329342705 +2025665023 +346431684 +1080224041 +1240517473 +1331279593 +2128132002 +965645710 +935250896 +882972823 +1964812841 +1335763557 +1627801348 +1430474157 +2033285469 +1998603245 +615325354 +1358516447 +818532357 +1625472232 +1404246617 +1211561378 +249497751 +143344869 +447612576 +899315852 +1117098548 +115333020 +520955828 +257503758 +1143775477 +1850298533 +135685134 +1490207161 +783038927 +1376202607 +674003106 +763687281 +194364669 +1609254002 +1646660104 +11693862 +797533912 +1126977804 +1442168019 +683335733 +978097402 +2057493373 +2041852181 +1796629759 +1535481958 +1298615150 +860707490 +1784979709 +1441960019 +1308320066 +536811913 +411574919 +1423653086 +1057767741 +669078678 +419944915 +760582626 +804763812 +1910152076 +1543621553 +33482771 +436671534 +159825186 +227847440 +2045925536 +1806485290 +239541303 +695975800 +785979447 +1681709322 +1379311534 +1764076849 +1591719048 +1273680067 +1413222960 +979717358 +424811569 +126446802 +617213419 +1866771589 +1434766868 +1154025332 +130862860 +710936306 +64309425 +799941538 +1130881221 +824892051 +1604705350 +893549649 +221029957 +1638188121 +1330221183 +380855143 +1866035562 +1228663072 +39856786 +2105576865 +1924638872 +825836233 +1639802539 +1156466758 +442429434 +1084037939 +282663177 +1855652394 +2063755297 +707474747 +1982099197 +533485068 +426762688 +1269382417 +1687510400 +557625548 +1980318724 +1751819825 +1357567087 +963716297 +429228229 +814788789 +1857265947 +650258186 +305493263 +1040003482 +1031113329 +24045177 +121182906 +1070970115 +2129622042 +2045821779 +1896806348 +1621940933 +1054804889 +191752134 +558495225 +1337468067 +2047404529 +474766874 +2044942814 +1882020078 +1008251943 +324221854 +1003918847 +548278695 +881847402 +836753923 +152614873 +91930841 +1800470221 +581843102 +906719631 +1510252520 +1232101288 +1212212894 +402772354 +115730969 +1236258071 +523955261 +1186701085 +1218396465 +422293392 +936023785 +692853750 +1477098281 +1127775920 +1251348975 +667082700 +1027696801 +1726115850 +564541866 +762233231 +586884145 +888763720 +1766152078 +1135162840 +1770611123 +455422354 +1287777713 +1862541964 +108408927 +1869620815 +621777947 +1618661447 +954238455 +1833990841 +2021433801 +1069969425 +922765264 +397905414 +109186862 +2141161729 +820198806 +1045210647 +686531832 +149813440 +25502919 +1937880807 +816896140 +1053199720 +1516513009 +1381438007 +1815432951 +2103397154 +122718079 +1434101382 +1091076347 +1893329202 +1889523736 +231370412 +1608387519 +1997932663 +2100991228 +82681818 +1469110462 +907746035 +1916672660 +1343060615 +1977715460 +691954276 +1740966030 +2086902322 +685632358 +413681188 +984629322 +1372164190 +563494628 +1010132241 +1162561349 +1380390769 +2063331962 +531590711 +614345128 +1731281265 +487504217 +737063207 +1017898999 +1578580564 +482908762 +759939087 +1809950977 +2091296281 +610388102 +1763458557 +26494451 +2079498564 +523720944 +1943167111 +1275075532 +353952757 +487637740 +868557914 +293371431 +1173270098 +1282239102 +1278000753 +397950640 +1845733731 +140649347 +1560511989 +1078640852 +56497661 +2092102700 +1692985980 +1787778926 +432123270 +282565539 +658194278 +2010703834 +765474301 +1418133365 +1673171163 +709286934 +2028521468 +1289146072 +735781386 +1960536384 +1812867017 +531464849 +1088128268 +19336126 +1019102589 +1956686182 +312707557 +44889039 +1091441637 +1590708311 +442839679 +789691720 +1731357658 +2003351669 +1868332572 +1787855319 +1947970721 +1413834904 +1428150597 +232610343 +1696400443 +2086344875 +95830530 +314391097 +1356994593 +1769001693 +1023678031 +1238032413 +910664118 +1759459417 +1051085149 +576047487 +143440619 +2139213418 +595383613 +1162543208 +1948415952 +908091170 +1207432248 +892373941 +351315833 +1650271927 +1682065661 +2082673491 +1506139948 +1402914585 +1723045162 +1306627022 +669265841 +1003712112 +1539237365 +218182637 +942573339 +1635067895 +532573734 +152084284 +1256585941 +1556251765 +1390116697 +19766411 +1168227535 +293718199 +595813898 +1311668154 +285447969 +1191197511 +326727714 +86380273 +2099288681 +1534159962 +978754215 +303120867 +1036948242 +513336228 +238310710 +395604542 +1916250814 +1961355873 +1702231564 +438033007 +817584337 +1093985282 +656215644 +1760157676 +581569529 +1188789378 +1912241961 +1838155470 +597557496 +1154875010 +1857921881 +1765785031 +1448593209 +306252131 +929969537 +1734041178 +1497449642 +1256697251 +1820421452 +1449254676 +643373566 +651692019 +1752375543 +1680321808 +1165028247 +1990686253 +2075926350 +933795413 +1804558478 +1630674267 +1371828421 +474659167 +577175901 +2028044065 +87333196 +1158745430 +1069349796 +1999575157 +849417253 +1666907292 +1006966519 +559855486 +1285208675 +308076081 +866107618 +67694564 +2042117259 +216073612 +1324391815 +1715055063 +1665328288 +1967765381 +219263434 +1270220183 +1500603541 +1384291682 +1113422789 +1429046244 +170603447 +770497619 +912236863 +1542431868 +1245156787 +1489412764 +1422992286 +1332489983 +500674546 +344858434 +1184581492 +1350091799 +2011765726 +44064363 +1909947286 +1149490753 +352140444 +628571256 +1217185317 +246774056 +844644868 +394093484 +1961829119 +362489509 +214375218 +33608906 +1632709692 +1714978759 +1417900588 +598648833 +996541355 +1588504035 +1369146453 +1908778218 +983452256 +466819592 +1250707334 +258960894 +1799309575 +1751381881 +603819328 +836407419 +953990032 +468101406 +880471782 +716453670 +1617592159 +1232612227 +1345024926 +687293828 +1479386283 +42186147 +1081387312 +1293731754 +404675656 +1295762530 +1327340660 +2037385348 +863257642 +597757600 +488550534 +1859798997 +38777988 +1857696987 +1621093568 +1022230244 +177032931 +724317254 +1281191138 +1976342506 +328215487 +1885010466 +665266277 +1282205520 +205628224 +1545738059 +1998659190 +1823220383 +630866638 +1196200469 +363030563 +2110252921 +1238386616 +1444417875 +1256501028 +1643062272 +592696758 +436358040 +1532963972 +1455954400 +1034115641 +2021514506 +1168269749 +1072893629 +1731727845 +641879669 +2095123873 +1908760776 +1366196924 +1228831363 +1737619634 +1694412411 +966358181 +255402263 +829134283 +1171986405 +1801140323 +680309826 +847723140 +284523313 +1876510295 +1210753703 +247292587 +967413263 +507687930 +1503793615 +462991887 +1100384688 +1940151655 +1995955859 +408855440 +826783648 +1869986718 +1577125190 +1899677277 +1454230915 +71521211 +1847317502 +1215508044 +1437718135 +928665217 +805644030 +984646899 +1895023398 +1061046294 +1813781182 +919526155 +714702969 +346607360 +1767249295 +999226282 +75634007 +830519350 +1246518869 +1043047270 +1338207281 +602828836 +1506039157 +291108321 +395496844 +1354511369 +699963762 +1222280492 +1077014439 +129605304 +974474122 +383761706 +201126515 +674307976 +1599269750 +1638844651 +1602973194 +257430133 +476007902 +1350512944 +1318476427 +142305436 +122555452 +2033179396 +488912797 +1889804747 +884922030 +564546804 +572840450 +2131440900 +1607594075 +1911047731 +586786088 +966149584 +54672404 +982282932 +173177305 +754636166 +57079777 +1250191744 +884241470 +1031553899 +1633953451 +1085367986 +1705861875 +1085739553 +576728989 +1161351421 +1343169686 +1052736891 +364380718 +514162465 +1195042327 +486936170 +399858213 +1683955124 +229257269 +1284780244 +101018281 +802097719 +1268737496 +1708612356 +565661802 +1855523584 +527278292 +620334207 +690322869 +700455598 +1374970373 +747402646 +1950647342 +111728196 +1778956545 +1437117145 +1197096182 +1337334772 +375373051 +1773825171 +351202546 +1718542737 +679078414 +715583264 +85221555 +1874120741 +1202519434 +485079768 +1410592218 +1431776703 +1769860012 +1511610499 +86390775 +891113860 +1072739207 +652052577 +599153797 +1600017499 +1272386784 +1289476666 +152989449 +499873510 +2036879312 +2103636792 +611601706 +1668352209 +1393270289 +1808697888 +858203333 +1768643340 +1435039411 +1209405879 +1339702430 +2114117825 +1924989143 +1424923985 +1840754918 +980024929 +1910003753 +1103863488 +264317985 +1532380118 +467990339 +350708760 +276010330 +1540729546 +1002761337 +875164127 +993263398 +127664474 +17157145 +1146252847 +627537984 +2054036457 +1102405991 +1239139690 +1574905018 +348192633 +900353930 +285624704 +2116835973 +187909693 +1495030583 +1309054755 +154543870 +1272536079 +586495092 +1995298788 +105077360 +349015198 +951678629 +369395345 +1881395316 +1419668968 +720104105 +9921998 +812914867 +1722865443 +885086126 +1806178265 +1850529917 +902243271 +804947464 +330584253 +808796081 +1907353456 +1569723943 +236217451 +108062441 +322594225 +521842155 +77414766 +510503918 +2016872739 +1386469522 +665047788 +1141925170 +1972964614 +512862928 +1247002530 +174496164 +1464541557 +1616397876 +2055891480 +736726878 +189018333 +2065813479 +1549641745 +1911883776 +803415957 +1208336362 +1614930045 +1705659228 +2013283826 +1945514298 +366971661 +1773153634 +1367754593 +603189113 +1881216075 +1690348818 +1125031268 +1958630842 +53369088 +994420359 +1197616716 +718416876 +2136345529 +1023097682 +1231279805 +1235864412 +1197593847 +548337714 +704778640 +1106001679 +1285064592 +893796973 +1024331510 +687222689 +658197102 +1827747467 +1895559051 +125643499 +1385923048 +1761359230 +2071157798 +1752894709 +1387029216 +1291428743 +208600174 +1120761644 +834293914 +1333631443 +931908838 +887663002 +180568154 +2129525554 +1606079879 +169430036 +1005139588 +689876036 +1405294448 +55249787 +1238213750 +2110073088 +1161251467 +375794695 +856386413 +38099329 +1063017384 +1514583515 +1865846797 +811092788 +1640227015 +1104286197 +424968370 +1563901165 +709697258 +1811997586 +707846260 +918297433 +785275582 +1542140174 +104445228 +1717184420 +282319529 +285013382 +1699226326 +1888399408 +454443418 +556882267 +430791796 +1859737866 +612132054 +1669005546 +1822327306 +1773383521 +2044800241 +531230072 +1811482851 +960333978 +2045813587 +1529846000 +1771426766 +1538556954 +486648549 +48911488 +954974471 +1196345807 +1860909074 +1662820732 +2114643240 +498701009 +1057477258 +71604820 +68401781 +1339796787 +356618203 +1767628108 +1080712547 +811061621 +177026727 +1511504343 +523315840 +789158781 +1033026242 +198159498 +415058655 +930342835 +729389570 +79057858 +1890676813 +627719510 +1608903858 +1514619931 +18792816 +2095552407 +1563531419 +973767288 +1144414566 +1276956846 +489104372 +1111574159 +1775657855 +1546581630 +1183178979 +1844059636 +738894770 +1539797182 +1464204096 +1819607317 +203375156 +1641230823 +1183628013 +726690996 +282905957 +69170607 +924850494 +697964612 +999513442 +1654240065 +777022470 +742706608 +134475927 +238442680 +109842891 +153268743 +186511439 +1673374311 +1127036031 +1330926005 +802847509 +1616140403 +295016516 +431021716 +1015238386 +1478195496 +127597704 +1754133156 +870509030 +1591801801 +1426256825 +1073884186 +1085548976 +462401190 +1800575182 +1368454933 +531571797 +577942029 +2066419545 +1531085240 +84698446 +695958367 +126308200 +219174373 +934401047 +236151091 +372443116 +1120912486 +1909525402 +1499479148 +304354844 +564889263 +968135903 +599371360 +995910979 +1983374289 +2077566856 +1123508684 +1590023797 +800592239 +567826837 +868796975 +1874476425 +1653375813 +1331198165 +1527567960 +874347099 +1862769963 +2105509989 +793282996 +1246371555 +42724787 +1489241364 +1372679755 +261899160 +276158763 +1608830846 +634342276 +1397071250 +1370872601 +2133821424 +1701426094 +1935761864 +954473680 +153313806 +784189196 +790364321 +83397015 +1907697880 +232904471 +883989254 +328041069 +1101701446 +610982031 +1981416882 +285415963 +2138549991 +708280333 +702278 +2096576332 +1501563330 +1247073833 +2139301119 +843321046 +472269940 +253716631 +1119479809 +2081100787 +888058908 +369067411 +1304489740 +874396684 +2070493505 +1092767956 +1828870364 +76323664 +1876957152 +471751038 +159720679 +1637171384 +704655509 +1043709933 +1965212453 +1806356955 +1654691964 +1799145688 +2091772918 +1645758308 +359942373 +2092475197 +1594850992 +1861505703 +1192065382 +1586668464 +557343101 +1664335323 +1840385095 +1676822911 +1597952462 +580960355 +2045890322 +754958554 +1455357040 +1968900180 +1847726510 +1136743756 +2045223844 +1577200015 +1608494794 +57460875 +1066887751 +165666655 +1101170808 +884616557 +1972023610 +608379124 +536278597 +1916312881 +106653784 +896220970 +1861304430 +1701504777 +610243026 +905886164 +1140689593 +1167586127 +422737839 +833591040 +696925390 +2020690301 +1414551396 +595332065 +628165207 +722424788 +416748597 +328408070 +1859168544 +314488793 +1905608085 +1320179691 +371949668 +825012188 +1485846346 +1473120476 +1709628745 +1310386309 +2081499600 +98423694 +1079215542 +40669737 +994644665 +793036324 +1742174514 +1604887691 +1698922488 +735380459 +624990170 +2121660328 +1568971499 +1321915561 +1994866981 +836039247 +1917247626 +475548541 +1558464035 +186512575 +803956611 +1270148932 +501001368 +562081048 +442844975 +872951036 +1387093236 +1928691321 +198587864 +949238334 +1091593982 +132603816 +1047662028 +23325876 +173273553 +2042306693 +816362200 +1915448067 +1499710736 +367801041 +503344878 +2124700907 +341977721 +2072316378 +1299132820 +189361054 +760871977 +1068896798 +664909595 +171852365 +1255409373 +1468866206 +1442001297 +1756410741 +2030947254 +1884846272 +481878129 +1270556843 +1666053945 +680465993 +72311529 +610164280 +813069809 +1119973557 +633490156 +986343363 +1014796603 +1449852357 +754307782 +367023691 +1817653398 +1257652661 +344240950 +12147471 +1182485391 +1643373770 +201508525 +1943357368 +564786920 +866418121 +2115209733 +1820196293 +187800679 +1409727382 +1429123386 +71264286 +1147090006 +1911001515 +1341821129 +665660304 +443983860 +1414132658 +1275824584 +1257053670 +386622567 +1909314740 +95913385 +1401419170 +1211683449 +850221167 +1768442862 +881853199 +2107873828 +2112683812 +894000670 +1142875571 +1608573935 +1095509196 +938749292 +25877207 +1961927317 +906475377 +1846073501 +2244348 +168719112 +1127713239 +73508634 +1315809118 +891231107 +1415329763 +1981469422 +1335214967 +681978773 +1109810358 +444784989 +1068601341 +871641451 +540698374 +322536863 +2083324900 +1390919542 +2090979725 +817694452 +1351309722 +2056179890 +1711695122 +346701646 +1517270177 +659720670 +1285450938 +1543147384 +474164339 +44442667 +1241737237 +476408688 +213161779 +221966829 +549917322 +1528970898 +1113197936 +1965247086 +1362956672 +300929255 +499742211 +325283383 +745714245 +1568343552 +1196924834 +1286412619 +1890880416 +1132766086 +529848513 +1834376493 +1950460538 +1881158236 +1743072735 +1514672013 +80376234 +1112859264 +26909035 +1365827172 +508523001 +501073375 +1410269839 +1750260238 +977482063 +1623431619 +1972227067 +1527399385 +1004918869 +937941355 +1345162823 +220391893 +1238870611 +1844905035 +545675276 +1984584856 +1265764939 +1742600110 +1123513827 +1009161707 +727882549 +1653362341 +696054553 +530859439 +1387036929 +291643640 +2045531452 +1467413163 +1404502905 +2072440488 +685756687 +1913025906 +426030215 +2096026526 +1515802496 +1403512278 +1571974497 +1340545916 +783428015 +429409718 +131003623 +2128590839 +649801612 +1369874234 +1826012226 +1195476888 +1206975442 +944293517 +790593351 +183005622 +1953455225 +1518475900 +1836367963 +502026130 +2049335339 +1075921244 +793669770 +1947383144 +395850759 +50689027 +1872339984 +1081607446 +1963714933 +150886551 +1030150324 +1332033782 +1554398829 +454641174 +525096050 +190343196 +884050892 +656099673 +171450387 +1533852504 +2025973908 +1997462613 +581845745 +1085465702 +794272483 +1372439096 +1268471324 +600244060 +743431348 +957355639 +1102270190 +645283039 +2033276883 +1895939960 +445182535 +281643994 +1946628988 +170038871 +1363251440 +1762860273 +320925422 +245918117 +947410407 +1875324251 +700559291 +1472506457 +2065667448 +1584610183 +2128606131 +89634187 +970979040 +2007096391 +2087096801 +1552824785 +945078445 +733885636 +777780233 +66066122 +1334129696 +1521211581 +1023421761 +288916238 +19010972 +909214997 +37372550 +464193508 +1190858991 +1984001538 +634232379 +406626784 +1599378164 +955157802 +652544901 +399304923 +682998405 +1353104192 +1871811381 +601182205 +790230727 +1852933864 +690816393 +1761209767 +1712546607 +630429546 +1166550904 +510141404 +1364315182 +1944331137 +576207526 +550961230 +1318059070 +1599629288 +839877468 +1337070043 +361360637 +877250018 +1801263551 +1552219628 +713767909 +288012282 +1958846412 +165662425 +1243170084 +463907665 +564967348 +1926168490 +1817011857 +289295081 +379867047 +459758937 +2142228945 +1070683440 +73485056 +1707291904 +1701112986 +1240035961 +69949661 +917944520 +1036883450 +646157187 +1468905750 +207458873 +98302827 +161299570 +1544528916 +459663464 +1038549589 +1198308819 +2011883093 +1752317498 +1486321101 +1823245857 +1917979923 +582007538 +139669875 +335463623 +360692380 +1956681732 +624758705 +740559427 +268957021 +619504002 +1811242868 +342442078 +179312259 +1364872206 +1582478039 +249261920 +135333079 +471877841 +895419107 +1604238829 +679336714 +993721935 +1765538400 +76381982 +1453385399 +656604341 +1274690801 +1317784844 +261438191 +613528255 +993547054 +31934466 +1195535793 +1133216929 +367398089 +1556228173 +942415013 +992156794 +149303952 +1211372035 +1611660797 +1960546820 +1553814113 +1790973056 +1177935379 +988808504 +2040234976 +1313268458 +1460686345 +788170435 +770023639 +2140023060 +1781892370 +388078391 +68921394 +1087794122 +1044682732 +1343612196 +258095318 +1306120923 +1957140451 +1251642372 +1338055389 +1005192596 +237375653 +1705453479 +413937121 +1179790667 +550126625 +563241073 +243679054 +14303774 +376304246 +1797493167 +1805276830 +1554239625 +638818023 +1698028158 +720024435 +2099504368 +338714946 +1490048074 +2092043780 +2120607316 +1878126466 +13481527 +1060917790 +775325550 +1357093723 +1319013109 +2081446474 +1166750526 +423171833 +1272018215 +24459474 +660547487 +829988046 +438396595 +1840338154 +1380114672 +1001637668 +2084017208 +1394418446 +1377941914 +1734026727 +1052211629 +784697891 +225361102 +602756139 +1504722326 +177381822 +941471085 +847286753 +121941955 +914594754 +577929571 +135423482 +1975512544 +1353255121 +1492517205 +1147042005 +1287217947 +511784083 +1570213839 +411752515 +536243557 +83277678 +1241740561 +974640152 +1923615832 +474371585 +1976277820 +1860149392 +1868790032 +1206736087 +1446692471 +773518013 +1991433978 +1672053573 +1376274152 +1348672657 +1849435395 +170261590 +48475762 +1971377350 +1084856344 +626405333 +2106800832 +912885240 +1979660454 +1451834389 +2059927246 +1119394754 +1963618472 +1482657437 +1531147269 +352378381 +1565935115 +625404182 +1327018533 +1342067299 +1099775768 +1155812706 +1054733043 +821082152 +215065145 +353941866 +1594600165 +59015475 +2025995439 +823390669 +1407688132 +1727947186 +993652259 +1456163894 +1551840889 +2078508603 +2082569227 +1511158073 +843910196 +1914746034 +815508815 +756353794 +886657140 +631643639 +91527583 +270320761 +984022021 +1657462698 +895724943 +163556906 +852046349 +1995500711 +1319369612 +1906779392 +669099215 +1534434757 +113237610 +116215732 +1593450233 +2139233049 +939606402 +853654717 +1719696587 +1933258661 +162334964 +1124053828 +1864283617 +97420543 +487728254 +560710165 +2012166577 +1303237069 +1317063959 +751340069 +1934880708 +1408591542 +1021660830 +771419081 +918570592 +1917385774 +934975988 +1770616941 +1765402837 +106861952 +1529912685 +287018405 +1641296710 +1643150295 +403234137 +1087263295 +1634899696 +1342840539 +1940918012 +1207112635 +1128615553 +2103252976 +183682816 +845415522 +53189872 +671411070 +1406125687 +2065356449 +1974648139 +575705998 +669212871 +1762045199 +1984297540 +1690873701 +385980633 +755384484 +1460775827 +1320956621 +378517777 +1078695017 +1427818573 +1908430462 +1365713422 +921631635 +1404097109 +1768947559 +2008894930 +891513157 +964304451 +1802329295 +2098625792 +2092920004 +1758098623 +134824960 +790851878 +1811288495 +806236030 +49493917 +1729161297 +633400521 +625199915 +250890520 +247962073 +462013807 +1941764221 +633942706 +1217398291 +1255056401 +1954899327 +1595916068 +186267770 +1235234252 +1356862882 +1551981192 +9382240 +613476343 +1173445103 +2018277170 +1504989500 +2137749554 +1673122817 +1456131644 +2083185910 +1283737793 +1590956605 +726554140 +947542640 +249708987 +776048057 +529220289 +883109509 +1401247972 +780110809 +1131071582 +1863261779 +574391383 +1765014288 +933176422 +1829447784 +1572429967 +381608842 +2015715554 +660180571 +1738471724 +1420213098 +669562811 +204464419 +446174553 +540356334 +1709453919 +436440460 +65995503 +1018101916 +372142722 +1349733296 +461574873 +1098696863 +149792289 +711283860 +1874744920 +679012578 +1594393369 +1128509245 +1459123388 +577981303 +844287376 +2033514771 +195511943 +1777463799 +1715478907 +1767941910 +11588993 +1583710813 +280638834 +1750060718 +856440263 +950201645 +1954525137 +1302614816 +1490557979 +1516495409 +1739055276 +1556553483 +387113677 +2111197999 +758803131 +848688550 +1062411214 +908595420 +1559972410 +789672486 +1587607999 +1006882132 +1918181731 +899247739 +1584863435 +614985460 +785278862 +1780375379 +244965611 +353274121 +1400833641 +256554604 +1936984934 +1681472475 +2006615322 +645941549 +484190473 +1813656812 +1948556365 +1974748452 +1182668573 +1540127994 +1383818287 +1569782250 +1503842345 +2142621419 +270987152 +418769911 +903733191 +1830959562 +1208442397 +343857542 +690358046 +979140481 +1243105281 +127737834 +1594125941 +2028384143 +1908113213 +1839091552 +234174616 +1161463206 +2095646156 +23675902 +695452034 +1954777831 +669617451 +1179642507 +1620950995 +470690169 +1006907311 +656135920 +2010818163 +243241951 +78434522 +1367176860 +238379722 +349421674 +1785946771 +1142112913 +32897588 +846905520 +1485970456 +723255635 +1826046001 +581592089 +850993469 +1272688294 +462492585 +611623034 +964296198 +696667201 +1773086240 +912458707 +720343104 +321054626 +719752890 +1389960555 +1500697133 +193220237 +1860650724 +360120797 +849356157 +1723985239 +603362748 +927790679 +943678451 +841742470 +1277212353 +582141574 +1983855383 +1310109941 +1429047095 +1322342191 +2033365576 +1107609448 +1903934281 +736875397 +232814095 +218943218 +1348498431 +1197110293 +915610419 +974101024 +2109569000 +1635953523 +1295155650 +681838242 +878430431 +648369136 +875058479 +591597507 +1008489933 +1724414636 +168099099 +1611852681 +504721667 +1111777550 +306111503 +1781934020 +1693919125 +142483238 +944560314 +975482572 +1464825430 +830442242 +2083092020 +1221276063 +1567317640 +168422467 +1440219281 +768332423 +1365532761 +208346052 +1742433447 +1327618113 +1844299576 +890105450 +2009456356 +575246359 +1538474586 +737031187 +1166843866 +399480871 +313962176 +1334942965 +2011333552 +818683843 +299236868 +169961407 +453134216 +1993155993 +312444645 +1397694530 +821154917 +1777270075 +80653124 +756763289 +851062490 +1647970764 +925185757 +143798123 +268819540 +143234870 +352144176 +2011252987 +1470852983 +48960104 +753874789 +1332825691 +624206463 +144865727 +2069856879 +1791050329 +544346598 +236335407 +978509647 +408196502 +1055019250 +1277746515 +578157909 +1508153466 +1123418860 +890602555 +758364348 +1944573777 +520388982 +839017473 +553853418 +1371451473 +339504589 +1479039175 +1515249596 +608324129 +1622274045 +1867393772 +472093469 +945643381 +1916353876 +1225968258 +130985424 +393076691 +1370833986 +53358655 +36643373 +1915180584 +289694062 +1015153020 +175893439 +1344713313 +145415887 +754051348 +705383131 +1268834747 +1644653903 +1463747480 +1065924876 +17559238 +155281305 +1619778294 +1389010711 +494785894 +951333822 +756776659 +1103110024 +426124219 +476686784 +1575203493 +1371767600 +245557012 +653688103 +1502753025 +638633704 +2024522089 +1556111680 +675277077 +1792219026 +1845805743 +1690430097 +1968112465 +1043035408 +1835845984 +574680165 +1748418539 +957197083 +71850421 +1064682371 +2023121959 +89409659 +1219963676 +1495416605 +1478420370 +1714749571 +299266779 +87713381 +670375947 +725390999 +564400165 +98095792 +2097158599 +809957178 +751783895 +1452427976 +1448590882 +628822337 +861056009 +2123867959 +273557715 +559378104 +1666814408 +94186532 +1602413512 +1355176744 +668866697 +1203348403 +164890179 +740717118 +120547127 +40528490 +830126777 +1340510803 +1535945095 +161063499 +907776726 +1835211875 +248776881 +1578152673 +413119226 +813177046 +1676248465 +362794177 +1623134224 +280548713 +1815222154 +924241458 +909371050 +528794515 +900625769 +1182928765 +1088172619 +419956529 +1277115297 +543102483 +1775133273 +1945981994 +1746450886 +1940023452 +539215465 +1866998013 +1980551942 +1369342242 +1060025169 +1369013390 +1530405742 +1967801895 +1056741617 +1779182623 +1398470921 +1469860843 +444876021 +927235738 +1832655020 +2068010246 +1207784451 +1500393526 +844768056 +2117155501 +2029188041 +1745393826 +1152600618 +969877012 +17866707 +282232267 +1512979495 +1792999981 +80730614 +1111946734 +1585539785 +619946079 +831461099 +1418608080 +1989288321 +1891486268 +640137822 +1372210415 +1711804516 +1696879439 +1003909390 +962791789 +1019256634 +1448785412 +1890027527 +704428006 +1369312010 +950328331 +57337885 +66596418 +920000184 +2086525926 +1811990244 +2072600803 +908919291 +1829856952 +207349422 +274415138 +1475373285 +288080036 +1386361872 +913429422 +908026115 +70339324 +184553854 +749830789 +1961825592 +824691676 +2122041204 +1526146460 +374087467 +978466947 +341454601 +1393344101 +279768711 +83998481 +2097772108 +1649080721 +1034326812 +7626345 +1715677139 +1954326996 +2094152271 +1380183736 +1879444151 +855587914 +1062557040 +2086793574 +1130003053 +390446677 +227389962 +368881277 +1303876099 +1135416078 +439220601 +1488429954 +1885246867 +253562546 +165637982 +1859804423 +1779709006 +539725450 +690787722 +2121163608 +1933069551 +970556433 +57678441 +1883358011 +472153506 +1092005253 +1890984356 +40346998 +898848601 +1837652980 +1420530734 +630809105 +545757246 +335604126 +570119031 +1675760299 +726050803 +797508993 +2044641577 +2029926902 +1932925071 +336378530 +1370873208 +1670688290 +589941076 +1536511191 +1383009066 +222166435 +2076236641 +2073796788 +195846395 +1861822544 +896869574 +253524836 +1597696908 +1369023080 +1345530089 +1341197616 +1409370078 +96895042 +1031366948 +682417164 +727704147 +1577124195 +1018021290 +1297823178 +1105400846 +1744072093 +2095332172 +1002558775 +1626515348 +1880773595 +1338937306 +849904908 +1403978238 +1928878382 +238932451 +639503656 +3561169 +167685444 +565816796 +199407564 +2029507989 +1462686370 +452932400 +1479721249 +684225803 +1798462489 +673435217 +2093595881 +1895357532 +1704802166 +628529398 +475578031 +1134442713 +1646550688 +1773401210 +92359911 +1243139134 +1721249734 +1094918687 +722170834 +1454539681 +286372345 +1572075742 +711034271 +67767079 +1811008194 +1350537927 +71328249 +1978693638 +1916354724 +270735813 +1860717979 +1231557446 +723668214 +1192955580 +1915783249 +374647055 +1866390798 +1861895483 +122520939 +1423709316 +342941233 +598098971 +410668381 +1989491921 +224016533 +503028292 +1085147407 +1945266267 +1597946979 +1807318241 +1252322300 +1884319324 +1231910336 +1963356572 +1952086404 +895434882 +1166410851 +2023414653 +726644872 +935281927 +146666818 +439879204 +19355726 +870335032 +1632834784 +1935138975 +1244982088 +1351741934 +1649550810 +1367503027 +627967602 +1992492043 +1965601998 +1038635983 +1834500317 +42134883 +1541664276 +772164076 +1987401150 +992127607 +431998670 +1092239803 +728963284 +1663909006 +908112727 +533566040 +411860240 +2074523578 +409497045 +1138505112 +862321858 +556163863 +1578384316 +881677584 +1426498896 +1063735453 +669332911 +523997336 +267993739 +171400074 +1891500363 +895961342 +16408469 +1709618714 +1934597325 +1850908786 +1751753597 +1328777953 +475589215 +1591671100 +173421913 +907587885 +536427255 +902385197 +424013243 +1444539982 +1435951237 +835873483 +1371579912 +1845448282 +1974378595 +86418122 +254128497 +1405279264 +968095706 +1680627393 +321531069 +1637428618 +57141081 +589524808 +1808828692 +1948641445 +1485486150 +1825237161 +1510776511 +1272599828 +1528662300 +1115046460 +453894133 +2004251515 +559233912 +627316046 +764355752 +1095661167 +1529701243 +1188368995 +392717501 +818168832 +2024242478 +1764297414 +516133466 +1851137425 +1850715536 +770261964 +1108933041 +671327595 +303405709 +1430464110 +161272565 +360546791 +2019988919 +1970101257 +161704588 +1357991421 +1647854770 +1672481099 +483107601 +1029033422 +640043911 +937001735 +885801289 +1199277824 +1564317781 +1650157041 +147455343 +946535377 +691042388 +540172845 +1764704209 +567801218 +156986611 +133354028 +271454996 +2007702147 +903615992 +1380388037 +531546094 +1207021701 +663368500 +692818659 +1567568492 +535873771 +515436268 +1729273080 +1893865192 +15807391 +1254270531 +229489146 +1044840813 +1894314443 +1166490881 +1930642103 +946108619 +583325014 +1433315496 +1093563962 +1529860391 +2124357885 +1633736807 +1147080953 +544675455 +1790723418 +1280434981 +816130451 +1650941918 +36567325 +49034841 +35004364 +1243589026 +712403341 +727823024 +663673871 +1248277112 +1243259292 +245463303 +994658656 +1259066683 +1499733835 +1224147802 +156423849 +1246564630 +243155035 +2087065952 +45189601 +826480050 +1372897800 +1138753563 +208856793 +1349772037 +625006723 +1355937746 +1894447493 +268246493 +488889079 +563094296 +1919188411 +525456404 +612129137 +1954192776 +1769045431 +1324532478 +534532152 +285235654 +425325942 +1777791444 +530698957 +1419984599 +889374480 +2030432792 +496648753 +1045798329 +1129513774 +739803789 +985380633 +1174703375 +1566283839 +210794785 +165973291 +1775140632 +1560566823 +790980014 +983594731 +1307530668 +1059226507 +1472483810 +1870624964 +830931271 +1997940215 +335270454 +637640399 +1619501998 +1659802932 +1172172551 +1904737652 +2085128875 +802480347 +287952961 +1357629826 +1691854827 +170902106 +1854278579 +590169508 +1300415880 +446598720 +1575550141 +327635608 +2012882559 +1786344927 +493608899 +1640539544 +1199428102 +1284588913 +476650627 +359475122 +196331772 +1949134437 +82616438 +1027263043 +1799591004 +417886892 +1664903442 +1271609354 +2077689825 +689592345 +1028863358 +2015335052 +1492072693 +1316816320 +1225481230 +1036443872 +1487718426 +932276161 +1626613381 +640650658 +1378874882 +1054679874 +968286266 +1244273793 +693541153 +1461895165 +737329689 +1892969255 +599000430 +1213980316 +104960729 +795332203 +1015631106 +187577168 +1822595246 +667738462 +605464060 +1340015041 +1939347817 +535670237 +2029607386 +820727527 +403521641 +1374196431 +2137543847 +1629002871 +263156656 +1477778625 +413795385 +1889770037 +2118429284 +1792670267 +796966263 +939231902 +889460412 +1490507417 +253643420 +1626790102 +1235993024 +852643850 +693286770 +1340953754 +1647976053 +1708917876 +1528530922 +1323087652 +229172691 +2133994982 +515619045 +21036860 +522181572 +397742783 +841764387 +925703213 +1771939215 +831824587 +407222437 +2035095871 +162119564 +821017822 +1777382260 +133065200 +466204441 +426864875 +1072297103 +1355664853 +1917372292 +1325940523 +834971307 +1005881669 +31100725 +1528258078 +199351775 +1679076779 +1089692306 +1727882697 +854680783 +1318864997 +1714394031 +1370299828 +1339901857 +89091955 +1768042611 +34182597 +1014795169 +1392498178 +866007184 +1422017606 +1280110401 +1028126748 +95551780 +910009013 +1161191949 +561756221 +1336873889 +86005404 +1917421074 +1106762533 +1411945927 +604908734 +2112644202 +1443046652 +2133166812 +164512329 +974639783 +1075375470 +1892395026 +1829320566 +246756820 +1459305410 +1052136746 +1586658677 +1548397365 +672695710 +1620841274 +415708886 +2065193888 +339364810 +1837726492 +1197820642 +1367491559 +1933278272 +2107829655 +381199860 +347550845 +1297219896 +467205264 +117488272 +256498782 +1879151191 +722397006 +221659336 +1174714195 +708080170 +386171666 +1870331 +1783455640 +131083044 +1831190897 +2030212460 +1590388454 +735843996 +1469387490 +991302172 +1408539706 +942745116 +1407011058 +1326249946 +1282109927 +1097253903 +376586940 +502117838 +883048527 +336932948 +883317698 +1230599373 +1634152844 +1350522962 +1348087645 +1890651626 +1082190505 +2070484651 +2112310963 +109421052 +631081173 +350998981 +111291383 +267053165 +482082025 +1942482281 +149781978 +2072470480 +530842629 +1619169468 +916289004 +1939382335 +414430936 +175816414 +1118148633 +1696540863 +1273070317 +1494735574 +51175053 +8635197 +1831668522 +934492751 +1239234570 +1318337718 +137532065 +439838567 +1061505697 +1219722570 +362839570 +1026333012 +1329143623 +993920743 +1377331993 +1440435006 +1260973908 +1859414018 +1235433639 +1410755886 +1784400850 +1766276268 +882441706 +553206206 +1558174955 +1296872643 +729022621 +528839941 +845929858 +2002092938 +2023575515 +897104912 +2010728135 +1707760389 +1831597663 +1102479057 +878614459 +1969129729 +1542317624 +1940120156 +1041368651 +1905157194 +818969520 +223028626 +751594289 +48817865 +1663463633 +2012568198 +1908231884 +751413624 +1275840436 +1545149086 +370206245 +10798495 +2098355293 +1928381200 +1307671138 +679894266 +309737493 +6117348 +534503556 +185829360 +903222260 +397748044 +1893589749 +587336276 +1500227101 +624720561 +408982357 +895061078 +417357069 +1450351008 +652734624 +1236326590 +1673379635 +1404328914 +1285144455 +1189359620 +1269413464 +1045892691 +1940773244 +397770252 +443558130 +163495841 +408568747 +394429775 +2091877042 +1716239885 +1074324041 +254130887 +1722357234 +1608827597 +439960248 +478095846 +2006575641 +186066349 +1065432122 +1359319095 +810786910 +1474414479 +106896525 +1228143980 +777281840 +759631149 +316986922 +303177827 +16476415 +1602131377 +1492537447 +1285889879 +500540421 +1285827043 +1683660132 +944098551 +1449322885 +2092228879 +1338528326 +1393716279 +1660985117 +265368719 +1647847166 +1235858703 +1874196316 +2087807414 +1713954549 +1733288310 +126390116 +631903024 +945123757 +937177026 +2106317503 +1052020282 +17837358 +736115695 +1811651431 +334824280 +1039293522 +1828127847 +1936955658 +384347321 +966534078 +290012431 +1670174365 +502710562 +1234110982 +972013602 +447455794 +425155660 +218246233 +2108440911 +690524379 +1866093399 +1196815966 +417237047 +1806417166 +763286867 +3041709 +1932807282 +1395189891 +948165466 +722500660 +1354023747 +2000185748 +740338019 +2090139442 +1664353532 +1075162299 +981949317 +1344997731 +864634309 +1366296638 +164048161 +1154646740 +888987355 +666758724 +241274074 +1861000957 +1114214518 +666429734 +2079247190 +1075171781 +1356954113 +1797856942 +124504099 +1774191161 +1456790460 +887790966 +1777232870 +1242114094 +135497210 +577914689 +1964614754 +1489520957 +430616789 +557469125 +1432176751 +2094970321 +1632631425 +266642420 +1292484404 +349782086 +1632939059 +1456532566 +1504428827 +374442766 +2123291290 +1745702901 +87960076 +1090022160 +264648988 +19723618 +17710293 +1621603101 +1817580560 +142214392 +1248310614 +1126887372 +1030005358 +878059837 +221517818 +1165502568 +1455974526 +38648925 +507539877 +1886591315 +596118050 +1939716629 +1834077989 +81265827 +58875401 +979078745 +431047914 +1691814460 +288127663 +1935476741 +2066257227 +263935305 +1533695994 +6733655 +1353957465 +1798344982 +26457273 +1371667758 +1272464436 +1844037834 +1513882150 +373291402 +823441558 +396403861 +1251351239 +1044959377 +1561906429 +559842117 +1083608302 +2069446307 +298949785 +1679726352 +1861679288 +2133027774 +1760992180 +1920554689 +964622871 +44556446 +1464885502 +1252750535 +1980033187 +1383659081 +1516685840 +1366245533 +1390392736 +723159658 +1017106868 +1416850009 +2094827416 +142087656 +1113404195 +1461225919 +515379058 +1936845754 +1857629780 +1766730298 +834321483 +1272052561 +179088767 +1917929785 +1194015220 +478038552 +1450172489 +908210860 +463582678 +1063681021 +681281902 +1428205550 +1108237467 +2146167404 +533472437 +940787006 +1382342837 +2050158277 +159548892 +625251925 +625834287 +1176655760 +2042101934 +573178056 +1318743416 +1008022482 +2034403975 +1834122474 +797384588 +1744550107 +1453369124 +1631706071 +869119020 +1632457892 +1402152208 +2063134241 +2110496444 +704841049 +823861453 +426595475 +1768522071 +1505143355 +1854801025 +729275890 +1503827111 +240789814 +1670062897 +738686300 +143464443 +1829611789 +1363938225 +769298731 +858783901 +1258556512 +1342476787 +30043669 +119095346 +1229397114 +1864166143 +916479934 +826463573 +1170051620 +400702357 +1695582593 +655025864 +1802854565 +1611233186 +618038660 +360211966 +287610992 +1044634135 +2128734037 +1792754347 +751951512 +710526280 +1149097811 +992741326 +233105529 +1887784111 +1136205770 +2062717318 +1104238689 +1905504501 +774017571 +215311553 +1100497640 +804061240 +334406899 +182411106 +520743735 +1250886833 +1008874679 +1690795355 +1651589190 +556973624 +198337571 +1306960107 +20723163 +816376232 +1667172073 +308334155 +1861010367 +1648422463 +2101088502 +465478232 +211465095 +1102702665 +1458219558 +444570624 +843003129 +446941680 +359804294 +1947241818 +204962533 +1133821865 +15069723 +1305460173 +1937883105 +349476622 +1487871279 +311143192 +1600363455 +349262310 +2001938548 +1104468997 +906235935 +52792471 +263945456 +926959098 +869168703 +1931117529 +1235293253 +582695423 +1432056344 +1188898107 +1048173655 +1643521439 +144117125 +358909565 +2088092063 +987120254 +805851246 +300412709 +786878424 +1010813779 +1434234574 +801948147 +168790305 +1224634031 +1151424769 +1656661584 +1535777224 +604304576 +2005923895 +1390232124 +1708773573 +764676182 +1443024595 +1972719029 +1691635280 +164709651 +1756352910 +779444885 +747405074 +1040925607 +1968342992 +1795578729 +536963398 +2112460117 +7004646 +477571814 +952096723 +812855892 +777984523 +1738975147 +1823669672 +64735450 +393439646 +1992459977 +1289369481 +1544864415 +1501637913 +677663057 +1685343 +1360078160 +2067895181 +1710458916 +2124754342 +1363436129 +1535694297 +1668905974 +1528145780 +1144563560 +300867211 +128067206 +38005519 +121726556 +1923645935 +574968917 +86703025 +1930650581 +1052540731 +1038799749 +596022826 +1830525255 +630291248 +272208850 +1895260705 +1023730895 +117185179 +1037146538 +421111662 +1618823092 +1714809596 +422797006 +831417605 +1635221129 +2133255922 +808688299 +851173610 +1521466572 +330110626 +231835742 +518546484 +630977837 +359902948 +556552003 +752704393 +136065235 +1131520920 +839407419 +2066715817 +36578004 +1878207168 +515254995 +1867103259 +361014768 +787463845 +1614880316 +1384745663 +904649024 +504543206 +1805857326 +375988468 +71869154 +81170684 +1207406073 +1707090284 +66942958 +2016094373 +410780246 +1588409530 +198721351 +642615989 +2106956014 +829699188 +1002518937 +516024369 +1582403582 +1138584173 +1647545290 +274327353 +1057816342 +1684123294 +5050873 +1573071337 +1403742905 +366065641 +213051534 +871139573 +1750811305 +1117700558 +1375682779 +1409184983 +1493689026 +1447551934 +1490355667 +553611452 +1007158570 +1557298625 +422222177 +1417938816 +998224508 +620943528 +2060554805 +957696874 +1450642716 +915590095 +1473721244 +885562650 +2054174268 +973782886 +1159890003 +964506962 +510422532 +1164940876 +390094651 +1914165437 +1531006518 +603146185 +637821362 +1134334175 +1720846743 +2013504141 +396035510 +1067052121 +1313572427 +1886391177 +1620663573 +173247349 +1296206154 +2042885750 +1591186166 +146947014 +516345630 +1504257323 +1104643889 +1966988347 +272363770 +430881485 +705067349 +179054390 +1404664371 +1864957353 +1143561352 +1915086903 +882414581 +1533656003 +1681768692 +265937451 +2136802188 +172106406 +1400271626 +1710165283 +38126899 +1796307136 +629733757 +1351699327 +1535214665 +102913682 +1524946676 +683937172 +2145799433 +968649194 +830884186 +514661415 +325422870 +1935528075 +334166114 +597786640 +218925912 +1039233464 +776841031 +1623590283 +756707169 +1920402383 +1391193538 +1639121750 +1306574739 +925478582 +1905059202 +1295893279 +1097584988 +1157847180 +858574915 +1135711888 +806670669 +1488308672 +339927567 +194401686 +1591222354 +1864874243 +878338858 +1589538139 +686039790 +1709223045 +2104199555 +1011462660 +1497267472 +290882021 +1609249300 +1716193385 +1330115485 +238606683 +1192300020 +2086822654 +11525419 +436009911 +1578460757 +1318100158 +1361488493 +1336036311 +466509789 +311589834 +346399843 +1325084704 +1447301722 +1153070512 +665909728 +1787229289 +1347472199 +109648435 +1504619884 +78327409 +1699186574 +43176026 +1787550454 +1655902481 +1054638686 +1137334279 +1946784503 +516404339 +706044016 +1129416340 +755011022 +1898344036 +1068755347 +766536441 +186870299 +499732456 +2084636599 +1548358793 +1835768767 +403662741 +1859948627 +34684962 +1728747445 +1159766701 +1187755475 +247173526 +799512342 +387744026 +356821961 +156648578 +466071435 +2056008535 +199824605 +106138242 +1564427369 +1254463291 +1243472521 +1363728224 +1770867630 +1949516537 +345660916 +378395005 +1700376925 +1414416263 +1144931446 +1887247225 +1914148719 +1082084398 +1288122370 +1602433838 +1485747139 +1000587349 +1637118801 +1067010936 +12870402 +677390628 +1314184462 +812382744 +1065134654 +1671006423 +969031322 +1531206089 +1579531311 +1168855927 +1637344331 +996475032 +275835571 +733333204 +212719608 +2046703201 +535366093 +558380524 +277614558 +88259371 +1972796788 +1422546005 +1975506596 +1739461859 +357146755 +1116145318 +1194412050 +1842893894 +2116732667 +684047203 +762421182 +2129603069 +1361437831 +2076605645 +794502165 +279088837 +1600128420 +1763533487 +1810294926 +1032176083 +784905767 +1300155610 +2028651115 +1060741338 +2033488814 +93887075 +959960891 +421371260 +652267600 +1237575450 +509630631 +477580740 +512637807 +337653579 +69558951 +869784562 +1453798897 +1263971001 +565194808 +1423047916 +1948018204 +1327615990 +1405167337 +1161972387 +1256737987 +52185854 +1441061224 +709382760 +1815719341 +1103872503 +1741558843 +453141460 +256544465 +1622726311 +1513882798 +142549631 +1716613386 +326360042 +563920891 +221397338 +1563935492 +1073551522 +698978078 +2076573299 +1411205101 +768537030 +798874213 +717520350 +2032508031 +1364069021 +2140568266 +1833042588 +544201363 +1398251955 +847531327 +1800939351 +1450437809 +141108904 +362838463 +1118673503 +1244981407 +2104397306 +1571814963 +1501525872 +1579639969 +938214114 +1644075503 +1148769708 +1264574156 +60512747 +1370167046 +681026000 +1134064269 +2069145125 +610115651 +397785723 +690198507 +1408989864 +1115306073 +575222890 +625575237 +1108390692 +260781830 +1169776600 +359158999 +1108313158 +823232303 +1809596809 +1249422062 +1186070766 +780786664 +346919821 +1142984425 +205117979 +1848445693 +575140746 +1143332093 +1345037548 +1723910454 +260422601 +1405550295 +946593853 +941448601 +392130917 +868255330 +1551564252 +789916640 +1558453837 +813070468 +1905222713 +2133676727 +1438645705 +866129757 +246974910 +460938658 +1225288757 +1355288068 +1284170961 +887401918 +457226482 +322758080 +1668188582 +804146303 +1465742505 +1873306561 +505108348 +2040883251 +869155007 +1850145896 +1617310058 +1129577608 +1108212544 +416420263 +2071026210 +1500343461 +1284675593 +1475106814 +142776453 +695645782 +140693635 +2047999166 +681838861 +1579339340 +766645276 +928813771 +2040277998 +1991934033 +136618191 +1176965312 +731852303 +593844673 +1499723392 +252557237 +1397990976 +817982249 +2125863798 +1903099324 +711381852 +847535157 +1605761573 +181208262 +1977112766 +566490469 +597628525 +1900655328 +2066833930 +1882304118 +1228278494 +62126735 +430466252 +1368972129 +2110125901 +1112305114 +800827822 +729287529 +2041118885 +693622172 +573737914 +30253429 +1870587484 +1305590217 +624098102 +1222827228 +1558147454 +2022089079 +2040809477 +1536527605 +1777704755 +604707682 +236579114 +1235982680 +785915944 +66208232 +1802473149 +1383544470 +1966863560 +1721823431 +1118364940 +1047658407 +1783950166 +1548831193 +269146888 +1746592420 +513652659 +1069974710 +328396301 +407287896 +1763596883 +902134216 +437541325 +1486700719 +60240785 +1061639428 +562044300 +1618388240 +936244859 +455370129 +1007432197 +566465966 +1060077811 +1244011311 +1802448647 +1845993756 +1310219544 +1457438148 +1082054578 +1129599456 +1031777932 +52935870 +29774215 +668244450 +1601767063 +298921104 +267353222 +2115419722 +1368895814 +595749524 +375223971 +985009049 +1497883740 +812765296 +324226121 +1558124525 +1874404724 +886270421 +1029029117 +663165935 +1341640550 +2036461314 +1229631902 +254234714 +1132988978 +884596901 +2100228470 +295724874 +194551401 +1034799400 +1425324330 +1226329333 +1087735270 +1455098546 +1894573784 +542018686 +1754019650 +14443358 +509954760 +975431816 +610192882 +885178731 +1960440866 +2108076622 +1697944028 +137183339 +1518717500 +1424865104 +1023453760 +400262969 +2088031040 +217610662 +289240636 +1170179294 +471845376 +1422229614 +2054776195 +424590198 +1717954488 +101843948 +1459389598 +995795170 +1328173282 +399641221 +303410068 +1075263418 +941659907 +2057429718 +1089706776 +1451614667 +885377887 +1699899659 +189309751 +698335105 +1660492633 +1887253779 +835518444 +1031726485 +1164635235 +1858972204 +1431989455 +1105182627 +2076582866 +1721230091 +127878273 +400944595 +995976057 +35170820 +825534793 +566446897 +137014769 +137440744 +1562242067 +1465188051 +537081965 +1865652136 +392967821 +1478741872 +1775598206 +1482674597 +782872891 +513492445 +1035090608 +972182642 +1211827550 +548099594 +711952773 +2047345994 +1579826079 +1876588009 +1758834550 +864331886 +834286988 +1687933769 +438078329 +962165262 +2088878364 +1434054386 +997336082 +766929509 +2000501283 +1134350851 +904370253 +1415259703 +452055254 +1441452218 +1133428191 +845023075 +772710442 +761542749 +180214025 +1555583334 +1275035195 +1215304633 +380282328 +339379097 +1763404227 +1092235102 +239241444 +1195746659 +821339463 +1998075994 +2060078545 +1655626451 +1538526115 +350673227 +470308065 +1479920831 +1784727613 +1467644148 +99366693 +1637745249 +454511351 +1003736946 +905521304 +906566606 +297705517 +2038949495 +1751589681 +1070415959 +653008596 +1931803706 +478515645 +1928043791 +999624692 +858797974 +119939241 +615545271 +1951033076 +359180685 +1811291930 +624888891 +209773031 +1723886828 +133031694 +1748299147 +2074560055 +603339760 +1080736330 +1711804020 +2070983908 +1180103023 +1202065621 +378011611 +36356322 +2107586925 +1284578217 +334061839 +1999052772 +888684251 +1404477798 +504577721 +673004309 +1882993444 +285137864 +1672629001 +594307770 +405077105 +140690625 +397857198 +764257790 +1951982555 +1022746089 +974030822 +1528385735 +1155777783 +574846321 +1455462142 +1759117543 +1655582651 +1019782515 +1682617803 +688202027 +74364488 +2060629415 +724558349 +34467766 +1197723984 +1058620188 +2033520538 +2086408235 +315614338 +390614611 +611928897 +51124134 +675752476 +137074250 +645431904 +1080829581 +277764875 +1043289102 +1845087372 +82263783 +2066035191 +671634546 +1610649518 +1074329327 +1246480867 +918628013 +685963222 +754579870 +1938410528 +221097378 +1442781897 +2012775016 +134243145 +19856598 +2047242782 +1331967129 +1078476786 +1933279673 +1270891717 +1394091125 +176410636 +1882820614 +1445215259 +852163112 +2019894864 +2090647164 +1932992694 +150176092 +986452618 +1630596418 +232439875 +905004162 +154747316 +1843089393 +1979333489 +1401228183 +614233758 +517813063 +8324405 +405160638 +738910441 +1451106303 +270452007 +873153586 +1470962901 +170211141 +57637068 +401956040 +2103490814 +1328528785 +1796047165 +132417803 +1063865751 +1093778776 +984580915 +936276967 +1036942292 +770089961 +1086453059 +2023394911 +253202731 +1318892934 +780915425 +407950047 +1014498680 +612765266 +1809178230 +1628732438 +1130578329 +1817502636 +2033893077 +1869488771 +1121125291 +156861436 +595158709 +444604544 +327072577 +652795777 +846560584 +283079744 +1981324562 +495124101 +415497547 +897706665 +1588902878 +1400078462 +1833983633 +478361522 +22684776 +772953044 +354272785 +275887507 +2091845979 +1135188210 +683837555 +958861011 +1747953476 +345532137 +440109801 +731048158 +15551125 +326519230 +453053281 +1136676416 +483380666 +1048211990 +1581280961 +810453244 +1701007768 +280357897 +1093532988 +1534848682 +775481999 +1509030535 +285071700 +216901229 +761625349 +2119055333 +695262751 +784310125 +744524729 +1049535537 +1060197633 +688887060 +37240099 +1744035188 +1647748071 +1785193576 +2089567325 +2087857873 +368758086 +2105118451 +266893455 +821811367 +1094311219 +750274122 +1870023357 +528108532 +1560727366 +1423547477 +808466430 +506776706 +810912512 +1583948429 +2015807241 +1095984212 +1800849658 +629948942 +1067555897 +348628761 +1414259068 +1812080626 +1398164298 +326973053 +353484039 +1435404398 +2071008241 +2001232110 +1073114326 +2013091918 +1941606335 +1441872412 +1970726721 +61016143 +116200131 +917554293 +811290265 +1986223488 +1445662825 +224533983 +1262287318 +106645607 +731310689 +2073199830 +1690594036 +599634282 +1021700394 +1343960046 +1229583224 +2089256291 +1692588808 +496358644 +1753853269 +943269458 +823331697 +2107337308 +231190208 +746856290 +1961085771 +1304304534 +612464561 +1755208458 +598693298 +435707634 +1816224601 +714893429 +1353261927 +480031218 +553633270 +651441105 +704565201 +1815920588 +758086712 +1435875890 +1741636770 +301197101 +2035510172 +615853516 +1645157147 +1117609749 +557626159 +1190262307 +1613968393 +163995780 +2133531766 +289816443 +123849441 +217238326 +1036672733 +2084935212 +1521542861 +1649137294 +1692660022 +2120236159 +2084844929 +1361400976 +687645941 +1290623208 +1841432194 +1241279211 +1942064313 +398513748 +909716151 +552667378 +1834389638 +503869273 +853864479 +1722416163 +1119722789 +351537978 +692542264 +1677348948 +1541800286 +159027009 +1841344728 +1527848404 +448843452 +1965194169 +1745086730 +1485516186 +1902645733 +1119145943 +987169832 +1447822108 +1091898455 +924531113 +661739436 +1779544396 +67670674 +355687982 +873339959 +2009734987 +754201730 +1783056110 +414918717 +441107721 +139441735 +1268783196 +16040236 +1259164524 +1620321175 +708582500 +789029824 +1014637813 +867609509 +482890904 +395002569 +1316452962 +300601426 +2140089299 +654485500 +55763511 +1111751595 +1641655332 +1503585619 +56166402 +418702798 +17841407 +1835710798 +486373472 +373529390 +561567109 +348624811 +1127731120 +197139571 +763543529 +1568838841 +336581306 +2032326725 +1584879077 +1595745830 +1505164252 +145977929 +237292006 +372318417 +1013587439 +720182910 +767320986 +182556753 +1020784336 +759926638 +837042253 +1076547848 +1871678233 +331213937 +432649819 +1927844635 +749916735 +450491227 +1616071785 +1236290207 +824020617 +30155246 +1584915019 +1951751737 +227294817 +200974900 +1373106931 +563876123 +85817977 +810502360 +12138305 +1590982230 +956480290 +249430311 +1963300647 +1970067729 +969613221 +583137986 +5140834 +1990397558 +1343064624 +842183087 +919461758 +1067259209 +1173397024 +1352111577 +847620196 +1923313760 +1802602804 +316208333 +1012120319 +479139773 +346363579 +449551690 +283407863 +573658396 +650526590 +1656514794 +1137534519 +736344568 +319533506 +1149672824 +179843150 +1276013796 +1399103135 +2143143797 +1098597877 +221232708 +578798135 +1103738711 +64146618 +1921862759 +1945921798 +983608376 +841638320 +971835175 +188236306 +1689258516 +747665287 +1990839110 +2005466849 +1759785606 +322495236 +204346780 +61853649 +605903099 +778005176 +712380239 +114934245 +1915539695 +1448724807 +434467751 +917728871 +1628567957 +1710481548 +169348358 +1624228107 +661595777 +390581067 +55542594 +1765334489 +454727685 +1977405354 +1563772639 +1438336062 +671560026 +388124166 +1626572368 +213334895 +1135789453 +1469927830 +71318096 +748091412 +1792423066 +275664877 +809945061 +250842517 +1053670053 +1522325300 +365776762 +821726101 +823566460 +800244514 +1739454972 +304650769 +363242414 +1908803331 +1928878876 +1024838191 +151900750 +1984421471 +642689032 +606628435 +1814343177 +58978024 +2044964497 +338419555 +447102190 +1524053217 +551754450 +1582891644 +846497400 +623072547 +183499408 +491436818 +898737424 +993444469 +742279336 +1952407477 +368286121 +1108056098 +626649930 +1191852581 +1908300612 +218621255 +1496503351 +124059378 +2127424586 +1277898579 +1148897570 +131841688 +1114836402 +1791586602 +738470123 +781695931 +1850564626 +635950973 +1120115487 +150183169 +12520542 +1671869937 +1733074813 +859017942 +147458836 +1916574221 +1350454761 +1046196260 +762535042 +2092734097 +851120090 +1130821163 +1053306547 +1477770020 +175190097 +814123512 +1696391275 +1671693448 +938182890 +1676332213 +802108379 +2087080460 +1808173901 +1916944782 +1731183415 +399160377 +551157065 +1434264393 +1035111350 +1671272552 +1584447562 +1047631892 +1195658842 +1170038727 +1906649835 +1343117678 +939129300 +1109620948 +241830291 +1701664342 +1054871397 +1092950381 +685001858 +2108177944 +423236753 +860191955 +774817808 +2119628029 +384401755 +1713000699 +1648476594 +1186510134 +1652597511 +1309166848 +955971268 +1236297278 +1708327225 +1507128334 +523078024 +595954927 +1030917238 +2107525586 +1643586819 +79092432 +1130080666 +1402753006 +1422210111 +2069209966 +364890306 +1664040402 +1623390661 +1419761703 +609507135 +160908871 +1380456000 +1032743888 +1021100826 +7790160 +1004888269 +1405502581 +1720790859 +505881216 +444529067 +1225904723 +1815048064 +1400500336 +314718353 +1375891641 +760145022 +837796377 +1971846568 +1791062260 +797838316 +1467949739 +1870154693 +1927918982 +723219098 +1144881156 +1849645300 +1088109404 +661437910 +1325552313 +360387460 +1270945045 +1486461184 +1740843460 +156205285 +360078362 +1748633620 +1161093555 +1765580943 +1321940832 +1666974771 +62626363 +400361907 +1334539187 +1463126699 +715080260 +562947180 +75788073 +1552876638 +387310100 +1866850333 +203231306 +1855259839 +1589521378 +2131150288 +430995289 +586918886 +1833311940 +1519104694 +1248356796 +1011380606 +1879492154 +371818193 +350358142 +1472851966 +528023479 +710436505 +1074001938 +1689117034 +328533800 +248459122 +1208608157 +391160163 +648821029 +395663696 +1854286862 +1363901290 +958610876 +1930074935 +769294280 +1345920976 +1649441621 +972525586 +1053697167 +1091479351 +956192226 +1484692457 +1678398238 +642020518 +856313503 +779271386 +1653401124 +588322009 +1151089580 +2003759267 +2061173975 +1679113059 +566712124 +987692265 +1220746445 +895245924 +1236151388 +281870954 +1286406088 +1884972417 +677534650 +993209302 +1101390059 +1636145526 +775800590 +1870684339 +834582854 +277758563 +695726277 +1888280021 +1369237914 +1651918503 +1225488830 +900152504 +146455374 +2081802333 +1679423891 +1799856498 +522640694 +683029823 +1656132117 +436331021 +214659234 +75360593 +1424023287 +1435405679 +970606518 +512691027 +1717276633 +109528958 +250179796 +247327635 +1102738260 +1351569856 +1883473161 +1878538850 +1074770547 +570572367 +8813765 +1770496825 +311368740 +1378051680 +1274931680 +1536857571 +130720536 +1421387054 +1471176256 +1810144427 +1073759905 +1993816951 +345690602 +582408374 +282664324 +560349836 +657768968 +1706687611 +1995755515 +1628375486 +71894990 +1565548500 +1737904444 +322074787 +1812876135 +693159056 +1673644643 +1548865648 +424214259 +600931542 +2119438015 +433028024 +223944719 +283323108 +1811079704 +1498876400 +1820180679 +1941800241 +772779806 +1143873287 +1604461020 +1846539711 +990206590 +1950151623 +281464438 +1272870915 +363017811 +939233406 +832074878 +211289679 +420125244 +903969869 +1776838179 +10546040 +1226044656 +1442230667 +703705096 +752205651 +843612667 +1127919355 +1353137193 +815567035 +1560947380 +1577081913 +1098890143 +1224543436 +928474665 +771587174 +1018860029 +1701254471 +1915460461 +475837402 +1400310535 +758183404 +278505377 +1681774973 +2031054319 +641523188 +473524731 +715645549 +852812867 +893649975 +1619615418 +482167399 +904196015 +698176426 +1924398066 +1607901111 +1450382077 +620527085 +588336819 +656035623 +1436094120 +1800551 +85633888 +387500615 +1226343987 +1014108553 +1159087789 +97720369 +567879376 +927064603 +573557771 +1968189911 +1685248007 +852063148 +1502481236 +1568818678 +1493586336 +1976005967 +136980579 +198915556 +722172294 +1756595998 +681082955 +1626368309 +307288776 +457997373 +1086785773 +1757670854 +1078524458 +1675122592 +266222829 +367134931 +1676923143 +351856717 +754635546 +755783482 +1365965270 +1913723336 +853503851 +1933844646 +693304291 +1427061622 +1754550910 +231068650 +131641122 +1109548498 +1799887328 +1625227459 +938070818 +1936867907 +1824143015 +1660243112 +1545980257 +357742322 +1139127774 +1853269034 +815739695 +78429899 +1463456240 +1894264153 +1753552491 +1729679069 +113915436 +1282991986 +2081535786 +868550983 +2038775468 +1300017408 +634790671 +744795672 +1086378406 +1328094962 +24373646 +693445668 +1559163612 +156014769 +1802994167 +1211567292 +1781242228 +593581337 +1000951551 +1457901595 +106340801 +399448161 +1815643917 +1245468575 +105233547 +483899964 +1323898474 +1568689787 +230680469 +929967317 +1150885208 +344595906 +65475655 +1084937346 +1213146889 +2104251124 +237471106 +1847937560 +701563148 +1323849512 +1028548874 +725936794 +2017295181 +440228838 +881951563 +1672805700 +1651796130 +515710143 +118903389 +505264033 +1973611738 +225244190 +904712194 +1641772007 +1470712766 +1009945741 +2125671971 +647127592 +431151880 +208868793 +1577094910 +1582037088 +553464699 +1642570565 +519490786 +1766611588 +1599338041 +756961892 +1467065500 +153417541 +2080811405 +348130726 +879354336 +1950622938 +788359564 +1761305899 +1475944990 +292672046 +129532395 +1594848379 +797936079 +2103144133 +1820092569 +1702648274 +1597432493 +1143321687 +565110367 +1575620816 +1790449280 +996262248 +1784489609 +1220060542 +430815688 +190470660 +715147459 +950306475 +1957082248 +167001853 +1707268367 +1276664100 +320419394 +1640596124 +1624794826 +1199773730 +1443735414 +265670742 +813595982 +772196756 +558342788 +943128377 +219561487 +1356278868 +898788862 +2039654057 +911443494 +348737707 +1035492096 +1476553861 +1924358524 +678457728 +325332461 +1561364485 +1898518270 +756148150 +1751835146 +466182082 +1706454625 +1561433746 +633183935 +1266239344 +690614199 +953603329 +759351821 +167925377 +5893412 +55603587 +433596120 +819489394 +827800344 +991938908 +1762617771 +1047361831 +200734128 +513922985 +939532240 +1112177622 +862660693 +1975024337 +441247836 +639535569 +505998417 +766580297 +53416406 +257033040 +1522728447 +1805251552 +723215122 +1081699424 +1219201651 +1356399057 +200455121 +1909815850 +162518738 +959806942 +2077741227 +168412150 +1015410529 +363853699 +987901544 +1843210873 +1355792608 +603035667 +743089057 +1556526736 +1116958653 +1682621297 +521220711 +1979619346 +1510161986 +962468547 +471671267 +2016160404 +1729048844 +525087673 +125709796 +1104293644 +182855578 +848924918 +38509420 +1402057229 +57840327 +238964541 +1164389431 +220359065 +1198771483 +1094647010 +388771216 +66698365 +1458500710 +1376672760 +1909909238 +666809670 +1979708428 +505514647 +75852758 +949183433 +40652297 +597073469 +781319131 +1550814283 +1559542016 +1252990398 +1419491039 +1141107213 +1778078071 +1545200835 +97917209 +1960933649 +246642105 +136426629 +1215507230 +304482432 +375391171 +232413013 +524841498 +1574162654 +1327060024 +913612714 +1640861019 +638077086 +142801826 +1403286610 +1304886756 +2122510254 +1908801257 +1380739514 +924210039 +1949453554 +1977812984 +1705529170 +1352784190 +1389871352 +811035920 +624791581 +383494917 +441630344 +22508769 +481412126 +255080345 +269150874 +617838756 +1470587576 +573633307 +993229927 +1703000589 +1098474805 +419908933 +882576965 +2012087519 +2060769953 +1520654051 +7405697 +1316572915 +678057159 +2129915952 +1077890524 +2058796674 +906642343 +879860431 +1889126010 +464687866 +85160973 +1131513714 +1275723786 +709952554 +1515008632 +1717354130 +732461323 +1996420758 +1972434476 +1001612198 +466775866 +1295538404 +1575245505 +1460005793 +851055345 +526236662 +1879914727 +1733632311 +390840533 +1793201032 +1106802714 +398246230 +962290299 +1784859874 +380678534 +2040180823 +1696172900 +1287320878 +772557606 +1437815262 +1752008744 +857718579 +421845328 +880248882 +1567671134 +1936853960 +450119365 +152648809 +1785791071 +275070193 +1154261007 +105083289 +1570608597 +582022864 +1565089083 +274180294 +1108259526 +1297520162 +2007812605 +1499100059 +943237546 +967131672 +1897346290 +1905527845 +604507898 +130541176 +1798225020 +153197150 +1417862054 +423298979 +1591012412 +1022387150 +1281017558 +2012857740 +1902636033 +701205044 +1802228053 +205271750 +853853854 +1440535476 +480341943 +2008114861 +1545618765 +2050950540 +442654078 +963224200 +177647186 +1550913604 +113260714 +37976144 +902530016 +1056498260 +1005107816 +652392658 +814542457 +1609615714 +782933834 +465283830 +1762812864 +53312241 +888582809 +1206341628 +1075699391 +22116719 +1071715720 +830851776 +723321764 +726460125 +1036123526 +1577175618 +19511953 +1516465469 +1437806831 +1565130719 +1419932361 +1880460909 +380871271 +1597579548 +1283890866 +494131986 +1635555692 +38937234 +1550630246 +493179860 +691329892 +217689056 +2102795574 +1474263726 +682972886 +1718124790 +1527575967 +1571555695 +776982770 +455791711 +1593672414 +1848698490 +1286643487 +169510530 +427674968 +175283366 +1746686148 +447186921 +1691748835 +1037009332 +2012317640 +964197549 +769986593 +245705264 +414293449 +2053877459 +739837250 +2049849141 +2092814693 +142983848 +395545353 +636660937 +360672904 +350857279 +2110924664 +1043645790 +2068982069 +1491016983 +467717837 +698481191 +1946808694 +2061390252 +399696033 +1085968534 +83417134 +827371001 +1261251900 +1830103283 +1274557923 +805517087 +719628967 +1139391915 +1769714636 +1489615560 +1385097179 +36524437 +1396009372 +2124934429 +2086373578 +1341340417 +120434630 +334435283 +1978001355 +481107534 +685292562 +1941442371 +1524753325 +606790983 +1284975706 +1992471162 +1305272174 +1084300753 +1906377766 +1704968208 +22785639 +1989794901 +384855561 +1284037539 +1672414536 +1659413484 +2089554626 +244559855 +651321752 +1711785615 +1734175415 +2036418931 +1748310052 +982701139 +2013869713 +1687199983 +176557909 +2134304343 +2021635266 +7075616 +467928229 +559444181 +1948517987 +1992681554 +1166235164 +1086010045 +1837669069 +324023691 +22827150 +1596563187 +2028991899 +45612789 +1438874440 +266363812 +1329650328 +963805328 +1925777297 +1271721307 +1208365183 +429615401 +836023274 +795056951 +318550684 +436849678 +1777758090 +184936749 +2124049661 +1954315999 +171757444 +1998201280 +1961391615 +639685674 +410161813 +1762425954 +484883580 +1576396977 +700952352 +175069001 +1900420668 +723779502 +1771632189 +1781928919 +769392292 +1063022981 +2048292732 +2099042620 +2026828310 +1826586381 +1223280279 +1087709845 +108718134 +2059303553 +1882766796 +427268818 +348669584 +1513041239 +612205568 +325235597 +1319873590 +783963012 +175953229 +1133781558 +1423648686 +586115042 +748723864 +1908532267 +15028372 +1449676216 +2083601268 +1915449040 +25972071 +1707749809 +1549894312 +795364363 +623289143 +1450703396 +746923335 +502633805 +1129806129 +1970203615 +1590343650 +1238524263 +1882023520 +1325626799 +1665793081 +83209456 +691184390 +130515001 +408445054 +2011057980 +914478014 +584398283 +997355890 +190643052 +1170513326 +1746079755 +2099175319 +1185541698 +1048272323 +2035292940 +953507090 +1074244394 +1595559101 +355917754 +1869608757 +71364596 +1806621150 +469048445 +573998401 +788943631 +291768412 +16858404 +2027467894 +26308284 +1342485203 +1545777328 +109517741 +2033669593 +1676292329 +517962795 +1897243925 +443286695 +1102361078 +747116168 +633929748 +125390756 +345712275 +585621419 +1310932454 +1393984598 +473430711 +116955897 +320745345 +2068989813 +472873651 +42870454 +2140354409 +132011154 +511918899 +566869163 +920954785 +803687311 +583727567 +800939032 +829995596 +1926212770 +199232712 +939513337 +1812398715 +1875525041 +1457476132 +1562158992 +171328089 +412353562 +161791512 +805257837 +537744319 +507503787 +1390879256 +1848676773 +1901488386 +1864309968 +1965632670 +74750083 +1785816133 +291022674 +117620537 +1778686894 +423033828 +629539437 +198072409 +1343988613 +1433226748 +781799976 +2144927645 +115738696 +560529098 +196676709 +1055252033 +225444165 +2072201751 +365244517 +1787603158 +96046192 +777598080 +1949394670 +901304029 +1315342399 +309414810 +144699637 +1016535524 +63419548 +2009009605 +834684547 +138169631 +1647342090 +1125707221 +255790168 +1278545337 +1548741049 +885329605 +1476617746 +745246014 +171072706 +110934075 +742690012 +286811402 +671463173 +939366721 +1342063436 +896907339 +864084824 +1707307953 +537026849 +960131016 +337422385 +338937871 +1861435045 +1652764784 +648352681 +2006134683 +521816661 +711772229 +1867660640 +1356501208 +849941860 +1367519083 +334724781 +1105732029 +498580772 +1883465830 +1991061634 +1975198518 +481228196 +14650692 +2086132593 +1223918208 +301462095 +610112119 +15801282 +1643525531 +1507019458 +879886106 +1203349836 +2044046307 +1840017123 +1540772222 +235500530 +1553968520 +1046053358 +883853212 +1412619555 +1567870019 +1595625441 +1132796548 +776887579 +298083654 +352831983 +1111612360 +1403815683 +851412755 +847594542 +1247393669 +679127625 +1328822739 +1262044362 +617776571 +405257299 +1563506457 +1227888690 +421058581 +1059548340 +587424500 +1300944688 +115414528 +483987159 +993478163 +1656186750 +719487689 +399963035 +554756461 +1603340901 +1812582591 +2122626480 +1051482695 +797895491 +752030412 +1349566349 +1150727474 +1863642772 +605898384 +2002140229 +563753667 +1853292053 +533784206 +1892576406 +967852767 +1151560777 +150350057 +383875576 +231965819 +571408639 +1443423916 +819390319 +1872353327 +1558838445 +1303377478 +718347842 +1067541547 +2022865168 +1118310877 +1622298008 +1478722421 +783409820 +1597440841 +382721468 +1581305311 +201987605 +1732287817 +584549137 +2065630377 +190702553 +439205718 +481900396 +2043994607 +972989925 +226993154 +864363726 +2124550702 +377343212 +1248239303 +209032874 +948751851 +544179571 +1028423193 +673621530 +2103018016 +184317024 +1391969372 +1023075916 +59698544 +362796601 +497890276 +1538420965 +1146206422 +2095331117 +1921142434 +580028085 +149835074 +1505946603 +1164577223 +67981804 +1696649157 +1603782941 +549882200 +1593160116 +429289218 +776875355 +310040194 +406356273 +1154218567 +1558279497 +615389147 +2102970418 +2102459069 +1643812340 +629108300 +2057993437 +1828129364 +2021077672 +933585705 +1887827908 +236390625 +1431475982 +1278765226 +1382597047 +1379323451 +1052424012 +1962625133 +1529158526 +410886967 +979718708 +1597140330 +2107536124 +436018001 +2147022530 +1553212592 +865307220 +776414237 +1863252787 +1271663493 +1930632804 +1274048636 +1887052640 +1886119574 +1229024057 +1383381332 +367744226 +1139533847 +1064027049 +241338250 +2073119552 +804371309 +477728876 +1357111886 +2083136535 +1860325923 +588951690 +988076899 +1675467408 +2118110216 +1398963867 +507702468 +1567766898 +1359016343 +943720470 +1567305780 +764745288 +1809027690 +196236370 +480514427 +933207535 +2126869174 +1754563063 +672776527 +1865505101 +836103473 +2056157859 +85765679 +1975637320 +972701260 +327103930 +1901273224 +1777072570 +804832806 +1110901463 +1712725457 +517675081 +1699853153 +553318709 +45658842 +1670479721 +1952282576 +553361310 +1090762971 +1163815271 +1497081780 +510585103 +1928560559 +1158625822 +706821473 +261591338 +2091833357 +686207000 +2016154402 +617126236 +404228453 +704774227 +525800448 +489994132 +532927899 +1498501708 +817098062 +286717475 +1128090630 +1621930868 +1397618938 +693332440 +2139605950 +949988443 +1246651149 +37781144 +472984516 +1051450077 +591142454 +1563747487 +67781700 +2088224235 +2074332591 +1996342260 +1099366409 +633670416 +110449950 +1043716119 +1319877416 +2126604352 +1660842355 +1724105869 +683894931 +39159155 +66616354 +1216822830 +1537660864 +883714416 +1503540306 +518267846 +358161637 +753675596 +1211600286 +350283939 +1703664040 +310767787 +388065083 +29164908 +1362217864 +979207537 +1592912396 +1429999565 +919948124 +1519761339 +1278858177 +2019314534 +5948107 +1389308127 +915547005 +1325825524 +1368428832 +428905712 +902447745 +2052323763 +468064868 +969064099 +1121662946 +2005725732 +1852778516 +477719604 +376509930 +63456505 +1231395200 +1588110217 +413740444 +787575592 +1898878004 +801805527 +816740501 +1113612221 +1781013064 +262169249 +396128138 +553477541 +1781930588 +1674986315 +425308427 +1787878695 +916810794 +1340855432 +966220571 +137755978 +1769761144 +1868668317 +42596094 +90342364 +690248768 +1164259040 +2096068096 +395543636 +1641978644 +325094379 +459000141 +725890196 +1913204596 +872740585 +1513465789 +1664598952 +1674546112 +182722642 +630727525 +1308075529 +444891891 +1026855663 +1861553070 +79338831 +554358330 +139377849 +1867217526 +1471169125 +1480233281 +685954450 +1608925103 +1102510777 +407139119 +1651521197 +1192853142 +1097387887 +668296589 +1141437590 +1492931524 +162791585 +1466531969 +1951931665 +888681782 +1232252917 +677188603 +254663923 +749368222 +204251067 +437386565 +1380095747 +1512326596 +882278456 +259467763 +1226396018 +961617287 +813826093 +1365773867 +681351165 +137511570 +698523500 +1367305615 +1746436674 +1801034278 +1774444734 +1250474223 +846403772 +724348974 +1918770813 +1987841362 +69796850 +2081562398 +1306889684 +2021728515 +822760532 +391658953 +551433470 +1077424455 +1141027175 +755684538 +1514811020 +373639275 +120527486 +249605828 +633107038 +1346923505 +1211223115 +1446933131 +565213724 +1892574281 +1584444702 +1263737225 +1112396248 +1183397728 +917287855 +739357335 +286388303 +1763691627 +1463706309 +57675468 +1604049341 +1533503159 +2139237867 +763455377 +1407748026 +814514751 +1155114331 +1959181497 +1891939207 +148657858 +567382387 +1259266579 +522297133 +687909873 +1508872408 +1155404171 +2034833378 +572611875 +454853655 +452563455 +317702508 +2039298357 +1716300680 +1430098757 +1075212437 +486104887 +21972444 +1361600740 +102312866 +1485678753 +1419276209 +1706362207 +871698264 +1411030428 +322333937 +131962642 +78061531 +1477448268 +2091144139 +1970000738 +1626106126 +511042878 +1081783670 +919612 +1198952752 +443172430 +1156323783 +1086302482 +1015784305 +1611177438 +1538865937 +1333486814 +1502992147 +1107682969 +616101923 +430720936 +1593787856 +638074367 +1792321677 +1696100722 +2123753120 +1064114238 +1254979282 +847967736 +327661018 +1577313219 +979930378 +405722549 +907277839 +923590870 +228239640 +385900317 +1434633748 +1310023310 +386819929 +486102852 +1753195740 +1543143713 +1572405335 +621496397 +1006837503 +963787624 +1954983211 +362346003 +2071470594 +423601486 +793066939 +1517774802 +1061675853 +437904968 +1066391877 +1037945325 +1502019206 +173887511 +1885913061 +1829680224 +1751200730 +718359792 +87919126 +510994921 +1641950662 +316158766 +896895238 +929100762 +1626182076 +1283715168 +1415203615 +1231894168 +679375233 +840125302 +1853390565 +1686212736 +1803912926 +1660890129 +2048558739 +1727899872 +2084491615 +694142031 +1098191027 +998683821 +1132046999 +17099256 +2036629146 +486582558 +190986767 +1775058560 +168779134 +1942187497 +345934704 +256698260 +305698770 +1987885366 +572857026 +1202594008 +769502480 +51555454 +338825528 +37222447 +1283449622 +1018200761 +877347749 +989356540 +556929850 +533777028 +502763021 +458004941 +114193252 +439770988 +1152146972 +1212384279 +1438454809 +136710324 +1229483535 +1327600308 +623292882 +1420470302 +955175220 +792072016 +1215174151 +1301109924 +1048770277 +1520872921 +1141511642 +1621627303 +575983282 +1911014122 +1673182758 +914808810 +1948236570 +809148732 +1933009572 +678100671 +1798505272 +342455774 +1211877699 +153784645 +800460715 +1326070952 +593555634 +1952607688 +390971583 +2032010443 +2089318012 +1620455119 +1212127103 +565127246 +893441773 +19818675 +1357199262 +2108615925 +1320928599 +258485891 +1482005198 +314956593 +1880113195 +2057988480 +78487068 +1405812305 +825313643 +2026723638 +67477389 +610839567 +557340661 +1865982662 +953295341 +1769218361 +2019767307 +1753756056 +947805665 +465839293 +1558880096 +1338777248 +350366089 +1500714460 +811748719 +1562493192 +2065841706 +1705190493 +1582311868 +1275557321 +1666322770 +755756819 +1534043212 +1000844320 +1070713413 +1266672759 +911349153 +1149200481 +525001416 +1736662796 +1028440471 +592478806 +200018715 +1585781132 +310977820 +1153314056 +1207515845 +183261479 +759586464 +7837862 +649100773 +170982913 +1346615111 +999466862 +1671697373 +10880182 +414476406 +1590055432 +1716070675 +1996788274 +718129105 +1234909797 +605061446 +104688669 +88270470 +1675774859 +1371361429 +999619623 +677491692 +1896362845 +588798771 +1705932163 +341358003 +788817486 +1144229647 +652335823 +1942131542 +204261845 +835597303 +554234358 +212099707 +1484698076 +725217271 +1558714818 +336681290 +249430997 +1569595001 +751157696 +1839486429 +1138182028 +600462323 +410131886 +225608178 +1205523769 +514820555 +313878648 +733814980 +1886181984 +1313498271 +1411306672 +1635061182 +1902297042 +969755187 +1976419185 +543630880 +2113984834 +481271361 +338278774 +170763031 +1316868664 +892513132 +382862739 +654083092 +1617730404 +1941577557 +990764382 +1867161401 +1363688910 +1741922078 +1559164182 +354387291 +194900753 +1969296068 +579995469 +1400424522 +336632975 +893874117 +2134239502 +75331312 +59888740 +1398062526 +1710392494 +1962185782 +220334065 +1539328031 +358333014 +186835252 +2020599392 +696611788 +357598283 +1189984408 +1589124920 +740461022 +1844067500 +1059371676 +534554932 +687348234 +779049429 +1898243842 +281786665 +190729963 +105147485 +476687418 +12542383 +685142954 +1877111941 +349175359 +1579017071 +1863867795 +424506671 +1638905811 +1114446674 +2134899165 +1453607945 +1334780739 +1526743548 +1811940959 +1521615991 +1399859293 +361069099 +1879214275 +442360053 +1950194020 +472191649 +138943906 +862082048 +1006746581 +826292140 +1641131478 +757506776 +1108078805 +1831861441 +862654261 +1584766224 +1844403825 +1547797216 +1314394517 +46095536 +979330639 +1030778664 +470602207 +470752803 +2145225338 +458017724 +1924360748 +1332522430 +1984761272 +1588818060 +706654773 +1237136917 +1949887159 +438385400 +1679496971 +1752597531 +910577050 +1818440877 +467195932 +1917323631 +497249369 +2108327410 +527346759 +1605328175 +1792705203 +1390001021 +1042610751 +1489625380 +790314589 +209521620 +1535720916 +1769645228 +1240300284 +2006323123 +92914383 +1238041975 +316857199 +2017275132 +423080757 +154134824 +1458609544 +1129735530 +1391271741 +1261013055 +1568120931 +923285064 +866126939 +331214333 +594242293 +1333322871 +101054316 +1091491663 +1294166633 +628401076 +549336190 +939388188 +2018402097 +1591946941 +281529921 +661233038 +1801468561 +1817250837 +283394618 +894285197 +1676090313 +376309002 +2132327172 +1992947512 +246100486 +407924281 +2147082336 +1704710030 +1537659812 +1390870430 +818239437 +958297095 +166671846 +1684366376 +1289511428 +760914140 +870205599 +1390565744 +1852405803 +16888584 +2018966820 +254258345 +956276773 +1889885269 +1846205286 +1237806694 +403634659 +1500190199 +907573883 +687029278 +246991748 +436180548 +1063338280 +231835273 +281644413 +1309438766 +639759554 +281243101 +866665148 +29935718 +1672113531 +1684904585 +988232813 +1838785378 +1221787314 +130260593 +452215870 +2091992913 +1520826338 +157138025 +2108881498 +1392309510 +411396370 +917674623 +1134711132 +110118008 +7997669 +1538345791 +1610308207 +915571552 +77891421 +1857299955 +1351752101 +1141229701 +2089135228 +1633396514 +303184819 +581411135 +1914639615 +1169849967 +611346853 +1439269499 +707270905 +1599579667 +1130571229 +1929058219 +1729840260 +1582787099 +1873567484 +1103182950 +1739925124 +1834965334 +348008813 +3837846 +605156309 +1482719945 +113955854 +613153978 +873582088 +1724264061 +1528725531 +951473510 +1434080368 +732993984 +2092703211 +1375731949 +218906850 +248404383 +1957143084 +2133546465 +1418254350 +421006289 +1425332316 +2125525255 +2020585956 +408419897 +1907099826 +1602942569 +1991206996 +1633183663 +558641871 +1583648472 +1320665349 +906650684 +1587486318 +1925821659 +241886981 +1701442172 +391491989 +1115469070 +1278222585 +1920217520 +2066942580 +564819306 +505727856 +2012162143 +1940551255 +724634706 +113082878 +1750210691 +710697524 +1531337229 +23733332 +2136029840 +1509378836 +2044319289 +396966090 +1268995015 +1499778210 +240689438 +754695030 +2058420081 +1824337911 +2075360379 +817587118 +1264340581 +1853698390 +1059474099 +818299106 +97706732 +27459521 +2096521691 +2017924252 +2094402101 +513857349 +376168461 +1959080597 +306924956 +1100803167 +2072163475 +2057135647 +1811500691 +1456017056 +2080868980 +1800046884 +817912245 +1977704621 +49529326 +2086907260 +1329999183 +290218764 +694118642 +1240935616 +2114556675 +621995373 +2058522734 +1231413609 +328210116 +970513186 +2049712715 +425916848 +997972707 +1998750758 +296357452 +944891161 +365124460 +672525913 +756488110 +672049416 +1773329081 +681167937 +581701416 +1437346124 +2137184994 +515086748 +1089909360 +807613591 +345307721 +1139438686 +747037203 +1675306904 +1429657451 +1441155845 +768758872 +1396730478 +2063151218 +679797959 +480660439 +243877686 +1650311145 +382889506 +669794534 +500800204 +234156617 +966151987 +1445691365 +599281077 +1638677900 +54695827 +1271330493 +1264523333 +735863765 +1853031909 +554385810 +725565111 +220635009 +1644295170 +1533178702 +565942730 +636250209 +132732257 +93765986 +2065907660 +1573888102 +862524859 +1315154490 +1489555672 +1542322818 +1795814930 +1733433359 +1045150315 +31220788 +255744245 +1545950519 +265377405 +1221896232 +844158237 +864658482 +713090485 +898854064 +2135988976 +1977613818 +1634717829 +1841537237 +384515980 +212799292 +2062172247 +2028811151 +1745977994 +480631329 +517577712 +1878710251 +574397316 +436001724 +1305114705 +1436922175 +1751156214 +647186730 +831761345 +1399487496 +233136441 +1876911660 +1430708285 +488880686 +1275378531 +1696085690 +1710776919 +2119536768 +413260525 +276383756 +870907185 +401765853 +106513926 +358141366 +95819442 +491029907 +570940659 +10508041 +372357410 +169435005 +491139371 +889935122 +2048145257 +1065536687 +1325936846 +1205776314 +354975214 +929609412 +1852963044 +1186736559 +181613261 +2086099485 +916164571 +1612321546 +427496524 +44059454 +1160923588 +2138273443 +16112575 +1574184113 +267173551 +887019760 +1975949966 +373687477 +1245161126 +2071769409 +864717384 +1816101785 +2082277450 +1237074794 +1985536791 +425933173 +2127009916 +1886198400 +1491469860 +1305463114 +944491066 +1846445074 +87588879 +649970463 +885697985 +269202140 +588586300 +1801862556 +1881523686 +1016082824 +1845922011 +894963626 +1006872619 +1862034586 +321664092 +1274046170 +601570698 +150130410 +1647733648 +1846731824 +74416171 +364967384 +1515349962 +9209974 +1602042179 +1353403105 +435143147 +1581568447 +1092117857 +1926613008 +739547914 +2036608923 +1625574434 +827136793 +539095738 +363788772 +1096338933 +1127682039 +18167680 +830378971 +2143764863 +1864089691 +1725342597 +1003153835 +1578640629 +2047006689 +129716357 +32727679 +49653452 +1777450005 +1879459504 +124069623 +2142417390 +1247325818 +133279597 +1596975921 +453245275 +568422745 +1031060720 +1545363132 +347552105 +1770608634 +1434488407 +1973126539 +450261779 +1973584146 +189431663 +1546600712 +953782537 +207599344 +229496035 +950063752 +2071689035 +1954838633 +1953217587 +1502846017 +1854361674 +2082933945 +1535573696 +1904015126 +1712900302 +1267549552 +2028084750 +1707834044 +367391722 +13880699 +1157326317 +820636997 +582303444 +40903390 +218516481 +929855549 +1811512024 +1653004889 +755498441 +114290156 +1479105387 +944930104 +1660890868 +285404276 +1152529448 +1890386904 +1235468028 +1076734836 +1697741889 +1041201968 +432097205 +1404619915 +976652265 +1967670901 +1161151394 +542068919 +1087736806 +1041752496 +102419316 +1455128528 +1055633195 +1259745633 +128281878 +1637936640 +1300649023 +346798359 +420308541 +964677400 +1999803248 +1175806982 +1078967556 +1331424987 +2120737087 +592374776 +1616829263 +1125782887 +335278032 +704813644 +55034075 +2033019921 +1746015612 +487131280 +1290156189 +575184229 +307318534 +303823935 +1117253148 +1395055340 +1345576431 +1219672464 +702700220 +253725978 +331934450 +830982098 +1891662618 +1632583473 +1177780458 +164487512 +449777225 +1030100058 +1340294494 +1528744781 +214041398 +1313547933 +2121119558 +1830870661 +291847173 +308913942 +388200657 +346881248 +194450216 +2134216269 +834012529 +1484606405 +561916850 +1141331063 +1788430340 +1679169999 +388902755 +986523123 +751358815 +1091602975 +1240249101 +1083293265 +1922585074 +984428072 +568393091 +952881884 +1148915584 +1018170316 +1982981942 +341726430 +399431450 +49539692 +1655274364 +373067360 +1880410354 +1947121537 +681981302 +121127363 +146519137 +876431518 +107859985 +980531666 +213554275 +669776835 +2121862729 +2001984615 +201463186 +363281836 +841024090 +952822002 +1454884812 +2081273192 +2036115267 +1229986238 +918217616 +457024710 +35384474 +2067133200 +1475195027 +2018366416 +261375982 +1874626477 +2067906109 +1916650346 +100210189 +1800832815 +1716288235 +782191491 +1921960178 +1862807373 +1658623010 +2029820163 +695855391 +1872177285 +552113351 +670234473 +1726678253 +753576537 +1033516309 +420218695 +1706398539 +340917473 +354008239 +1595030159 +1570903711 +1272225855 +2052054869 +1606288185 +1191875407 +1379766248 +1477170954 +1453251390 +1106909077 +1397593415 +1222418088 +1207119266 +1050942582 +791222676 +1989310758 +825419112 +506546401 +1500450120 +707755628 +1202401792 +1225143757 +1259868979 +1872636265 +804338362 +2013445516 +758668927 +1224557058 +1572360408 +1099586400 +1578565297 +1019906919 +523006464 +703307505 +924478140 +2129294649 +1895182912 +156760741 +1458981955 +1200950654 +1263669818 +709091722 +275885095 +323305437 +1760034304 +1067107771 +165132547 +437969769 +1573654172 +1665582667 +1145725397 +628572316 +743242776 +258110728 +353724934 +1547581139 +124072596 +1112393861 +624654549 +1696433004 +64496613 +55736198 +568856275 +587503077 +759043703 +1493334416 +569314079 +506742968 +1650095157 +2028296034 +1707693622 +766281327 +589904109 +1983578717 +1089586764 +202454765 +903202840 +1254719311 +640424534 +329373364 +772818330 +1786149931 +957945681 +1516061107 +2044260659 +1311670615 +916158598 +20849608 +276580828 +1540813147 +1717282612 +341077441 +1596549345 +138655240 +928580519 +208109401 +1631989656 +1497894598 +714852369 +1134601165 +1378706984 +275062343 +1900882492 +1968611093 +111157413 +842985609 +23582211 +1014360253 +2097704920 +664006745 +1343733618 +723039603 +302673029 +154195651 +91617062 +199450040 +1465866266 +1007775660 +220299648 +1742447094 +401105159 +1937582261 +2083524535 +1997654504 +2076237501 +864621406 +58280257 +1560743509 +215032356 +773132626 +547861026 +1593739341 +1048194970 +301259870 +1414866786 +1159352383 +1144245479 +1438448997 +26228988 +1094466752 +2102455743 +1369962606 +1817506355 +257645124 +1524158257 +1909123417 +457095164 +842540875 +769415429 +677394813 +437504321 +1170520588 +467493426 +373545209 +1020691444 +396247279 +1238166615 +1078971702 +1956990788 +1453198972 +1852104328 +357368166 +899454665 +752815650 +658628036 +166837803 +1912168033 +1802873516 +1605286801 +1938397022 +749856620 +1560258896 +1160875980 +419879327 +1817904020 +537550590 +181519096 +127515536 +1380091465 +950934525 +804910349 +1817595787 +2121455113 +1272403775 +43657348 +994662909 +1668651054 +1281823963 +2073634611 +1478158194 +587539287 +1778255292 +1835526360 +1486993952 +383587294 +346670749 +1653831756 +148271680 +2060617 +1111634909 +2086668702 +751917237 +524410157 +1100061034 +1171796564 +194830529 +1637611624 +1353315660 +322346065 +870219442 +156766537 +1127256415 +540331581 +130738002 +252176542 +583988929 +1125400911 +1920827597 +1865812892 +1051551875 +1251502143 +305868532 +682323519 +939544856 +1792862484 +1065910813 +1286215605 +1299210592 +1214182493 +1288276222 +263361853 +1153367547 +2040193459 +787772010 +105944934 +1064506375 +982602539 +1743556558 +270338387 +1304948605 +466292352 +427104924 +284721372 +1006623933 +557842926 +536897914 +1590612862 +1683243837 +310241863 +1308942107 +587312064 +1561744007 +1614810639 +1269635583 +353805215 +1260189475 +188062749 +1640020820 +411916420 +1402245242 +780813394 +675278273 +408129142 +673523205 +1463050284 +514074076 +1738029580 +298169175 +110146986 +2008367967 +1603117780 +576439339 +287989243 +1887839152 +1583063272 +845832169 +277253419 +1026192487 +381592358 +587495282 +187650946 +968904423 +1755641 +1802461585 +91056358 +355560856 +915167412 +279119107 +1995581676 +1327083832 +1681364350 +628911422 +2002362106 +2089493492 +1302434627 +1317928742 +456083920 +892980559 +1616097917 +566230906 +753864878 +1071732050 +1142670245 +1041854121 +812087554 +578249870 +1887686290 +1089340973 +1604442357 +121795001 +1676836256 +1792093303 +1090699424 +1678591897 +1447071240 +1181755782 +2034152754 +214755004 +1460874890 +1882250782 +1541838837 +994755592 +363678557 +1396717295 +936765436 +1666113184 +567162389 +1392849356 +411610096 +35776658 +1959080262 +1165474974 +1107508708 +954266860 +59845448 +1919596263 +1532516730 +1947531738 +861453588 +989475439 +2069326739 +390806196 +634085094 +1012542515 +2069398094 +2081156334 +46814650 +1956067200 +148427690 +1507689540 +1690834334 +1690266527 +354961484 +2054512891 +939500174 +1291726920 +1573142428 +1506662563 +537092628 +1984752524 +1542439222 +348689242 +1002743850 +502464282 +1302956102 +1062589298 +274576897 +687989184 +862637389 +1136030486 +1677464623 +784480480 +1526836682 +164066069 +1797022996 +1448751128 +97738755 +1843837646 +1257334680 +246166446 +1204043538 +800685367 +1936432973 +1559005022 +707714610 +728449500 +703248294 +133373390 +87628415 +1240340922 +2118125914 +1630067637 +1589030164 +973386117 +2132531920 +744502619 +2035975415 +259625169 +1432491803 +751129156 +1395655655 +962472779 +1535609637 +775008690 +1126538848 +1185148985 +76276170 +1224277604 +881502983 +1333610851 +1470444050 +2085546521 +2134296218 +1259393375 +1497067895 +694527180 +1987842875 +52832541 +827900571 +2075471291 +1293173463 +798542837 +1558055280 +734719979 +1771928954 +1543103552 +1479222598 +1660420722 +1802728722 +764230754 +264066230 +1050900729 +1726703533 +1799675867 +1825909419 +705758733 +837341204 +1902185590 +1930036337 +1718844187 +1088312793 +1252996739 +1656907060 +1075125363 +364906467 +1006491307 +1769652543 +205265694 +1059323848 +450069466 +133253337 +205013663 +1248612304 +1691308618 +939733643 +873057610 +1086928522 +271472593 +385994684 +742173596 +1035703347 +650060915 +1793074326 +614923232 +302253134 +1471500097 +1320681966 +1139594339 +1226202039 +1103234655 +710954878 +167031184 +208747747 +220378291 +1242156547 +573654214 +1226869598 +864325443 +778919908 +138709799 +1314394909 +912173246 +343723462 +415523565 +455998216 +1283457105 +1288581176 +1542926738 +1554929699 +1674575860 +137616687 +443149398 +177153127 +1930691013 +1058072631 +479406262 +1254707462 +231270949 +1619000601 +333425854 +1334505604 +182471831 +500457038 +1543253351 +402850122 +1742613586 +2116907565 +1629719721 +459455381 +748343826 +1768429520 +1773850290 +1660517072 +2112152982 +41890208 +2116515288 +1248126440 +1330471384 +1511958378 +655572491 +857563596 +1649575065 +1098721889 +1034716724 +1432782430 +9310872 +1514122986 +540006245 +240581821 +985639939 +873432099 +1575087426 +1168111770 +1373889137 +970857129 +1570961893 +969019075 +940281047 +1053197966 +1428474456 +1688624873 +674143838 +1054841099 +1201658297 +638813172 +1096731307 +1170689937 +1886939612 +279719043 +535164667 +395028455 +1137282639 +37256085 +1493750345 +24515715 +1470038515 +1503061217 +1538638701 +2010044760 +1743643039 +376794992 +735993211 +1171246817 +1544906763 +2109882349 +2142103946 +968385008 +931417776 +934901345 +2021582974 +212408585 +476042570 +548243164 +1267249684 +1677700867 +1187056336 +216497343 +700907156 +926512301 +496216386 +1236071824 +1321540756 +1633499025 +1273327909 +667807453 +1658014741 +595882776 +23385023 +1049169794 +458443889 +1767028062 +1425964787 +1194437100 +790791231 +823387902 +1156835801 +785411529 +1791772910 +2088253578 +1720312875 +1665872236 +153178515 +48871797 +66631752 +1420428199 +1726572665 +1253688088 +1636925542 +279996173 +32716741 +2133141928 +1516067997 +1354257498 +1619157305 +641912258 +2022064951 +1129688398 +1237795035 +2045449974 +31374545 +1696238924 +1664994388 +1457339332 +743192376 +308301971 +133243586 +1900028178 +1093713501 +1925016496 +1840798108 +666542728 +1443405084 +1993976623 +715414525 +1510036836 +1266921174 +294503542 +616241276 +756363068 +574499716 +648958018 +742021348 +2090567713 +2003215516 +213695005 +584996324 +1877796819 +1343383404 +1822791359 +1775763146 +1374757949 +1371546635 +1293273886 +684613633 +2114739011 +1601575858 +817857219 +1867283541 +547805711 +595390067 +1560598001 +1214348439 +2038795151 +1407090976 +1929762964 +1401348339 +526528502 +76782859 +2017589615 +1282891570 +651282575 +519063985 +2024912918 +594366640 +374795853 +91124276 +1179362964 +105109025 +1434507680 +854670675 +1880872171 +661781981 +78733662 +1026662409 +1346395614 +45989026 +480754619 +16769185 +1913272567 +1028560330 +612159252 +1326386921 +95425121 +503470755 +585994249 +2025188086 +1904819094 +1112522752 +2101970945 +1774925061 +247930674 +605769872 +146505399 +125359945 +1200136512 +521301252 +216484221 +232015829 +626410277 +1650991901 +1086686504 +359798800 +165290234 +1165420167 +1386461210 +1511685848 +1211409193 +1867215829 +1528455033 +977198112 +748292512 +2140614285 +156101385 +843717633 +496601392 +742095635 +721422071 +253936838 +1854618387 +675909368 +2028861899 +2102549061 +1281679240 +27883650 +80425358 +334332105 +549184903 +296909579 +566347934 +1175595180 +1947901480 +1653034438 +1535393981 +2113191714 +670970957 +774371543 +1477393914 +1882380150 +494103724 +858365299 +712094615 +1242396236 +851495936 +868196000 +2086113870 +1348097328 +1610291635 +660052293 +1602034166 +1317426374 +1335961662 +1483412418 +1272491788 +470157254 +1511296068 +1352917146 +804489359 +2060480971 +1649826726 +1370837293 +1088592504 +1450244558 +876388084 +476502837 +1415952625 +1547359041 +1250874380 +745862891 +1282255544 +1744978104 +1604228191 +1994350159 +839890693 +308240479 +715062511 +778520915 +1656337808 +177870499 +1438573208 +1110888326 +1495296873 +627051222 +446817096 +620305013 +1097208477 +1958113165 +1973222160 +1901697836 +1871110488 +1475565238 +1125051482 +812219344 +778326148 +2001439566 +1288722181 +46795125 +1401314959 +392112913 +792658017 +536086855 +2137091018 +249402560 +382953366 +829498063 +557643039 +1098015878 +1608018978 +66497199 +1275886377 +899108538 +1177385526 +623699602 +1526159761 +1624202622 +1244004616 +475884590 +1434832139 +1069743128 +230098778 +1158458980 +397824718 +1355150260 +1970678324 +1176150866 +1209106178 +1111916858 +1222945992 +462937490 +1504029771 +2015604009 +999024345 +1493637141 +117522921 +1381977712 +175651556 +675165960 +332509942 +1783670534 +741663160 +1608396319 +535295425 +1919048686 +84612273 +2061455186 +1395767660 +1328616889 +389856128 +683116152 +250876369 +619954906 +1841575132 +648701087 +1975105167 +1664769808 +1824851954 +1036727697 +629203018 +900314298 +1499665187 +2133232790 +768434659 +351205885 +1479386283 +885957580 +1733183597 +1655037840 +1561123540 +2065693539 +1291224726 +155303052 +1526606210 +1826520151 +2074351738 +1611218483 +1740491689 +1322635751 +792351725 +2130347817 +2005751903 +1043228094 +602819076 +1699843387 +1691929182 +430440595 +1217129547 +1369297488 +1467168292 +1846332566 +122128138 +819349832 +1832081708 +890562797 +1170555717 +1163984343 +1776520377 +756255666 +671538535 +1190160269 +674465557 +1962763262 +1345463322 +53588119 +1641799765 +1272331412 +1664806602 +1234807807 +447483515 +309674679 +1217671976 +305751770 +1352902774 +1820491052 +2005595157 +897348308 +103447999 +1075241057 +119162148 +1570616292 +774089975 +241290286 +242482476 +458688035 +1131853083 +1413038193 +1622672378 +760889812 +21810211 +146727266 +1951050081 +696275768 +2109490528 +1149029755 +749863887 +1603806645 +273877520 +267186841 +691130804 +721361035 +576861521 +1908802781 +1027112806 +1929764295 +1581810185 +885224315 +679628955 +1685258185 +1960465372 +798791103 +1108390829 +587071699 +1040081389 +1350873305 +1045759734 +24450824 +616427850 +520948465 +785340636 +638238061 +667675731 +588907069 +1334513829 +629682611 +1737936825 +2084377716 +86005608 +2011814345 +204080909 +777136413 +585691732 +780942430 +538455546 +1612804538 +563223077 +2120265731 +350545206 +1242852032 +1658040268 +163526930 +2041643135 +618947449 +750598630 +934240876 +1969820754 +1796358364 +958691700 +438764956 +169823181 +1744032336 +1077003017 +837498912 +185455758 +264033198 +1467181523 +1923392583 +200927266 +1553187132 +1787723280 +405008176 +182839897 +225931364 +1185950606 +721295443 +1838735903 +1749173684 +694077526 +41797461 +844542068 +204634147 +205324391 +738701556 +823581596 +955923021 +1672942432 +645918703 +604797738 +484150485 +1084683659 +774620919 +80699173 +14203029 +1612119832 +266154931 +278236227 +931817707 +42063866 +479163494 +337521191 +1829787146 +884171670 +520361088 +2055718511 +2070122276 +1241656531 +1746970766 +1671812312 +1935734058 +1788768227 +368870733 +2140368205 +1994092618 +1107572289 +816466153 +802531992 +633031073 +1462384856 +1407329730 +1117181558 +399584868 +34467001 +1197880732 +413787897 +1646586833 +1464035663 +692024124 +430920893 +1506099530 +1171187618 +768442084 +1188403028 +2055359288 +1288803173 +1096637891 +1977997917 +382976056 +696125009 +1502326581 +171226466 +337409588 +1871197314 +164111023 +184018559 +831285955 +980577177 +986550551 +1464317029 +295478385 +246396633 +434014939 +695063253 +280863634 +1631895671 +1108851150 +1927450468 +948447687 +1800875275 +210887713 +307063569 +824579245 +979329797 +1495466597 +732454886 +120649322 +444620841 +562969155 +503625379 +1140745850 +2065295736 +674851845 +1478155439 +1789009403 +838962869 +1662173998 +472811710 +1819540046 +501240901 +1937128739 +2115018431 +747637534 +223660031 +662598037 +1028501168 +1855555702 +1771449187 +808467988 +656519741 +1424840814 +1019355701 +963583310 +101936412 +1998685499 +311566260 +834391298 +2119334821 +756187101 +1397360453 +475476552 +1896932951 +1315172541 +1150328398 +1227604742 +956698296 +1989291267 +742295092 +1429510007 +1661347665 +1243535993 +1219155098 +1628882448 +1991173527 +1442815129 +143996837 +872191048 +1150887184 +1915446025 +1680659036 +1807406925 +1192803191 +552531090 +623506588 +1294739603 +403732941 +935072848 +2129130901 +375584114 +1691259949 +1379007706 +851060667 +1440709252 +546696600 +2001389065 +520830347 +1503394896 +1843196684 +1263125439 +785421255 +1357060701 +359177785 +2004576354 +838459501 +202867664 +1299907835 +982456339 +1075058712 +303311371 +750418716 +608234101 +2110718297 +1943221907 +1160765191 +586741237 +1090477863 +1564498132 +1521814085 +1072125116 +1940082246 +1065590386 +303649175 +643659265 +358815990 +850345775 +497564682 +879646337 +206257023 +193277718 +2142771777 +991678279 +1550338419 +354465914 +848770985 +241314273 +557333578 +1195172 +1223770612 +1632392291 +304506544 +1974189328 +93142744 +267741193 +1769927587 +1253907935 +854482430 +712921802 +670922419 +228812867 +1785046919 +463521017 +1294403253 +2088696094 +1107180283 +1653219243 +791558221 +1604744965 +385381933 +997815244 +1798022684 +380670062 +1989493523 +1200877455 +735135976 +690780860 +1442191728 +1292469554 +691976033 +518478692 +777378197 +996482577 +345184372 +870520941 +1264223770 +2115111960 +2124428876 +2118706200 +680550114 +647867647 +200035419 +318113385 +1111388665 +1494438672 +259325831 +71085300 +1000174267 +1050884052 +1675830265 +1385556200 +2048699297 +1326369301 +1766226262 +1890709172 +379763109 +353878590 +434006385 +1821954837 +1646348145 +1125982418 +192949882 +276242694 +2122464995 +538134254 +1146763636 +1239205117 +505762566 +1123708864 +1210427669 +1186312681 +1771576512 +1410463088 +1504426066 +735481529 +757418112 +1763751898 +806566829 +1757592379 +667152302 +334913446 +995664932 +568367951 +1661282748 +614407546 +311593476 +2041045857 +968286137 +745599861 +1715517046 +467150634 +1871582279 +1908466928 +743393328 +1846563626 +299117535 +1890156964 +938285095 +804880101 +866382181 +1229116 +1991192782 +490475045 +1411692204 +1348135201 +1225956574 +21626668 +964403451 +2032523403 +1779219047 +1631555753 +219953201 +627400331 +52440057 +1881235949 +1241807878 +364033533 +1774798158 +62610367 +1109633394 +1342831557 +529761001 +833732025 +1103814837 +1273154329 +532812003 +1402932372 +1015827646 +1471097098 +60328826 +1882209827 +1472326214 +2051521608 +225201224 +736534770 +1252173161 +1451157798 +758161438 +69092964 +1336197553 +389896837 +1700648718 +1556150754 +1017297169 +1753088775 +1289903056 +111621399 +2117122308 +917217566 +174231766 +1079272054 +112565475 +703992767 +1913004079 +1216380313 +1977147096 +298332434 +471829037 +845491094 +1769429532 +532157863 +580217273 +1094272098 +436195824 +805418497 +1830806868 +1688368985 +109092647 +441484658 +1757461950 +1445290200 +831381495 +1310627020 +853957307 +1848678664 +916232147 +2143860363 +1960300063 +885870807 +913594281 +2134531829 +1965142861 +1026159757 +691040948 +1730663292 +95056422 +520704397 +2028995726 +566885459 +1366195491 +1650941610 +1099043323 +1946412765 +597730060 +1535239147 +604347614 +281053280 +1076124484 +713440262 +722537938 +686102786 +11246814 +1553919433 +1996729806 +865204121 +1255114450 +765478305 +861580836 +1067930865 +1651349112 +1775175118 +1054979047 +1469008325 +653851227 +1746019995 +1052187969 +748907649 +119240744 +933700047 +1315793108 +1485436236 +437158009 +267352783 +1284365353 +1034888069 +1802591930 +1888712967 +1315941349 +731232767 +454669581 +2038479287 +1417335553 +465916396 +1444915073 +1266581712 +1331120517 +552545875 +2032060017 +45217706 +1620476740 +1535925482 +1820392824 +527972139 +857450159 +326760403 +126508487 +1909638129 +1075668052 +245749231 +695854528 +243977512 +1731185467 +1133012538 +511330296 +868067172 +20416959 +166438578 +609296492 +1336358309 +897671345 +1063966073 +1227353948 +167523251 +1529882469 +524785373 +1434104963 +713519339 +1077331248 +1318681332 +758737045 +550324341 +707123166 +431646221 +1078296480 +1564573326 +758406624 +1204804967 +1326727807 +1834074676 +1450554199 +2022582335 +2078052188 +1034256018 +1008111225 +441898836 +1902323191 +1028528185 +608337415 +364136035 +217402846 +1506008760 +1428102108 +1444756794 +1673532011 +810500930 +1969542168 +960153326 +1524020269 +899389768 +131351011 +135273666 +1449714109 +838474177 +566919887 +380526942 +255563855 +1325326511 +1585331909 +1582291662 +1011917539 +888402460 +1457390350 +942486079 +1922658479 +318017927 +1384384916 +1677498022 +1346546112 +1992722331 +2041634057 +1563948958 +1351247443 +1322252517 +861222105 +877295807 +2132753447 +683280625 +1837449133 +1509290068 +1582670393 +1968800144 +1644563734 +884900855 +659790674 +63999973 +1265427797 +915354529 +1389326484 +703276058 +350162544 +253760375 +1591678519 +1807552894 +1196246455 +1366853350 +2125570821 +433147723 +896867724 +1324633286 +278386406 +791018133 +741098596 +1629633849 +2113270650 +1602320701 +359446008 +2098540450 +138117678 +49411494 +1460346870 +1720788072 +2018211638 +957426957 +458205279 +530518664 +1021426930 +1723633076 +1445873194 +263269767 +279425486 +1796035738 +517030142 +1871104005 +1456104984 +1713276597 +1090473707 +1434192157 +2146424320 +1987341431 +611341795 +277327078 +630875916 +1352440392 +1906960928 +596662919 +807277445 +118923288 +547719721 +945395124 +168334782 +2008066591 +518699548 +39062773 +818009900 +976904827 +569581437 +1839436831 +553054255 +2015454631 +2102706598 +832479741 +1664006721 +472253092 +556100099 +972628057 +38046042 +1646573806 +259336567 +36986714 +1486431590 +870678362 +314313793 +2117307506 +75635106 +73791073 +566486777 +882912552 +192714361 +1114206498 +1828307676 +361049144 +974789442 +199523576 +400111917 +1792799342 +1176428403 +969693354 +1484752525 +1729482658 +837664338 +1439975475 +414478751 +354187411 +1912228568 +970578850 +1326815469 +1950274610 +469669009 +1586152036 +1987261324 +1956100599 +309346750 +154091469 +1925924457 +384981857 +227882542 +344927587 +1267894409 +420596904 +1459134085 +948718437 +781646048 +286439879 +1148242013 +1181757965 +2079239222 +177186768 +3967671 +1416508099 +1906669426 +841632009 +708999927 +173664529 +1195819421 +473744847 +1144243380 +375151242 +276535809 +1613912389 +1961303278 +116313485 +1422529340 +123166380 +270404955 +1200970149 +508148237 +498287497 +1545897736 +1776042646 +918884401 +857548174 +577277435 +1700530449 +1143988053 +1725519448 +734804766 +1075743627 +1902706216 +738772438 +344768079 +1661891994 +1580404447 +1053768006 +1835556524 +628740220 +1527512853 +832316256 +1003891462 +1804048662 +298744997 +817711092 +1920362147 +1721274337 +940877473 +43283454 +774760838 +1449025710 +541570952 +173174927 +1077584709 +1460455353 +1030723101 +1654862144 +1013502155 +27227506 +1232897945 +1748306921 +1102971134 +988120513 +339595711 +1447739213 +502528860 +1920000159 +354023571 +190601736 +401256731 +1881536424 +1022917992 +1405148194 +1538101438 +1321662989 +75375638 +1310979937 +895453678 +1016253111 +1354263392 +1670214516 +317795174 +1895834344 +1843389443 +1395379883 +1208806049 +726628896 +902758379 +74824556 +753856403 +2135656324 +1823131478 +1856827537 +976293190 +15243541 +1157083102 +1478822050 +1935243700 +1511106673 +1669423786 +189016784 +1245159449 +544858130 +1594164978 +635777239 +1866521119 +1669540616 +1946757176 +614491149 +538310080 +1153536920 +137222017 +856105254 +901887616 +1980611461 +104001489 +2110693666 +559756709 +1006759868 +38034574 +1313613112 +994932545 +1861166052 +1022957001 +1971225735 +1876409594 +32556455 +1302564137 +1664169646 +1543663128 +824504275 +1853186430 +641338929 +1369362405 +1299867760 +1277116168 +1088399876 +821924729 +1076389697 +1702891025 +1360234809 +82442969 +1840113042 +68856415 +984330586 +1673240855 +172857904 +947540604 +85513917 +1179617772 +985575178 +1399127029 +27066669 +699257583 +274600383 +1998292404 +428183529 +307156838 +1153372893 +2092353175 +1850819967 +1977877168 +1798055958 +344675248 +1199755925 +950440070 +1621791417 +140672153 +1772364799 +550697466 +1843563178 +985115960 +633140435 +1536192573 +1053972375 +1617471021 +1061949780 +1226830279 +417527977 +1147463697 +258964404 +1403103156 +399107079 +286031073 +2102360739 +673707462 +136839830 +383060620 +980864300 +1290212723 +327930147 +684200619 +1120606244 +2125986105 +1028875868 +172878521 +928942528 +503183637 +313550675 +553823679 +1053881103 +9630205 +1538939640 +1687021538 +1545822778 +445428367 +1157008912 +460288911 +1672258647 +1574536889 +1607752608 +1931223051 +830156397 +2006859687 +69770476 +785033488 +533083501 +206610306 +1168094108 +1513947802 +1496823030 +1496024256 +50664773 +469945626 +1474526713 +1079540641 +642824147 +255985593 +1582724278 +956374822 +809809273 +489121733 +966005028 +201265265 +28659624 +364344158 +646693632 +1185668536 +824633069 +171468631 +612721777 +284902030 +2102691682 +1442878175 +144278069 +24978511 +80428015 +677361571 +231588817 +1248522124 +43825725 +1728411847 +597062732 +94490498 +50873825 +2071589445 +1174031140 +693697973 +180091391 +609271770 +1650072795 +989900664 +1098393504 +468594175 +1191165929 +1127053128 +832938334 +1837859561 +165238016 +1657571403 +2009328193 +777959793 +1942473433 +1964536227 +73354320 +2086751503 +1989514738 +153782336 +616629426 +73619908 +1402304460 +660455151 +1802031755 +1999367192 +754945649 +1852905581 +1923472989 +1928976789 +399119906 +2103564380 +390764912 +2049192701 +945981396 +1489158416 +370303229 +2137147325 +468727896 +1203241563 +1827523239 +633965912 +713329318 +1689367784 +1411925705 +508319104 +1506420363 +1485280026 +447586959 +1348451454 +1639062362 +1064216385 +1422071362 +893883174 +1724671536 +1076619469 +745766718 +332133537 +782041402 +521756059 +113626679 +1181161308 +477836792 +504391591 +1082870362 +1423818188 +1993550007 +1453173591 +1413481866 +314794255 +508931506 +1093521457 +948760167 +1222260824 +635405593 +213202224 +1730579928 +2141825956 +1698482250 +30683239 +1342793762 +1190060964 +1094899624 +617381476 +2083944138 +672087512 +1694000946 +682227208 +1004221050 +328558700 +1203983268 +1117847729 +1509720009 +1681820060 +1622239320 +445106723 +958154600 +1468305679 +1898280314 +224152818 +1783099934 +259728172 +1317674275 +584376453 +1481988996 +1953079868 +797578677 +1065085277 +1947422177 +348577280 +1095768516 +1142732291 +1538638244 +43184493 +1760113768 +1475098735 +715272005 +1306631066 +9842295 +1719493055 +1635189766 +1213825563 +689857136 +997426127 +748161975 +164612808 +1442532850 +1706316576 +1632918487 +1193329516 +1930469394 +1268534773 +1453057688 +1100660022 +1852911226 +787563037 +906256242 +503006256 +1852648314 +706194771 +851583536 +800933182 +1848927063 +242738132 +844117675 +1461557183 +1717836867 +1559389681 +620704601 +1727679163 +1131399088 +108410719 +794021078 +1821256225 +1105836847 +1542183054 +1985869033 +400886049 +1101015982 +1471303873 +1594215566 +884001728 +592354998 +899789606 +1984661750 +297782577 +1687352643 +743434345 +800788833 +1392517309 +1449629116 +1652372369 +45966844 +1151072531 +1895110501 +890084519 +465146066 +1465463721 +301990552 +1085850667 +1045659236 +1433389641 +1194261387 +1839680314 +1107162218 +152614586 +1234379720 +945547603 +553500635 +187912054 +269367828 +232553 +1071913783 +861722827 +900022160 +909091885 +1159505404 +439891155 +1652526230 +1960294237 +1832408465 +954671699 +1465182958 +1878375309 +2105744230 +1212809811 +620976180 +423406649 +530789884 +922966733 +1509257316 +1576449120 +208872726 +556035055 +1268645787 +1316034944 +708649641 +355541859 +114098899 +1262150277 +543453914 +383466728 +1262382830 +1615367697 +1245189555 +14921342 +376975934 +257211311 +454812498 +2029502165 +70021900 +139737315 +836690216 +1535204858 +2018112624 +794950798 +600531021 +491605156 +1218357447 +1131320906 +1414571889 +580131116 +560286378 +1623444615 +1136166171 +1828932165 +791995911 +1844815813 +36990377 +906094811 +959482442 +580444291 +1289561539 +74381624 +48328340 +387267446 +89302967 +425304274 +644478757 +544115465 +307322791 +714500657 +683852780 +1144013007 +102221867 +554481756 +1938963806 +702752888 +1046086912 +1009837605 +1834073794 +313175154 +1589968721 +246876525 +1936619769 +578651245 +2075808690 +581132033 +275983410 +2112799067 +1487226844 +1235465852 +545759710 +629304735 +1309847476 +594088050 +1016572181 +1399150443 +1019392325 +1661050938 +1943265908 +1326715116 +228067947 +479635040 +323244476 +330289814 +1034116796 +114724634 +1033042702 +2080203709 +1124562239 +719632849 +245895215 +567047313 +966509374 +35031336 +1145698558 +894834416 +616163369 +1421681968 +860149836 +2103390213 +509664172 +1405909546 +585211300 +1819511648 +1999997597 +1601783481 +1071178444 +871906274 +1115350771 +866960704 +51137742 +1343418718 +1346595745 +374382218 +1673708532 +233228893 +489106852 +559267587 +165948954 +1613669092 +1278900436 +411844169 +33232757 +97926162 +446875506 +1178931315 +992760578 +1063038875 +453129635 +1852910414 +1018945441 +962793807 +1111336313 +1604156741 +634821807 +963850262 +1058456575 +1706000251 +1835756536 +26323698 +425477308 +1886894278 +1369742417 +1772073053 +113792849 +895967301 +2005301946 +602899701 +1455234888 +23767253 +69085145 +586651676 +435611422 +102317902 +684577838 +882486928 +1281249217 +1677338417 +1945525804 +1734378852 +1382765183 +816987597 +549689011 +346617848 +273660690 +1184510819 +1310468110 +1332117265 +743027422 +998740998 +1358440964 +1168504730 +738151629 +580699733 +793094135 +851944478 +1476667034 +650912434 +1454844179 +784418275 +674679687 +1523929325 +1371069951 +1110291109 +1626247227 +2055647790 +1992778038 +760012797 +1585502559 +1790820194 +346908001 +820784094 +460324143 +896597013 +1167401943 +733984833 +2081107832 +330386405 +2066102099 +676651606 +1329127404 +1277059415 +1845156337 +2067279033 +1857759148 +490766824 +771739863 +1186942534 +1141679258 +79100394 +1971360809 +1816358945 +1603029719 +1194947113 +779166407 +1081793299 +1103111255 +624460797 +1841806096 +541130166 +267797343 +41230449 +1361914260 +728121486 +937827462 +381832555 +1462106319 +871451646 +712218961 +1380724770 +1548103253 +2041346365 +510300537 +1245775942 +1961141750 +220576037 +1736542766 +585397965 +1407518572 +730738377 +664498359 +1231395733 +399613674 +120044431 +278859198 +1178780081 +1201837730 +1381970453 +1803240878 +896160178 +1923100619 +2071038221 +937390627 +1137531232 +651676059 +1875218090 +1519363787 +2113782379 +599186088 +84099100 +1347023501 +2147289341 +2125445465 +1857324039 +1245581635 +1939103567 +2077900076 +834640754 +377017884 +1337935000 +1565379131 +1041516244 +421847086 +1964992805 +1161560675 +700706284 +996289239 +215914757 +2082676738 +652046469 +1112074935 +1858293709 +575601043 +2049465562 +848341293 +1227277102 +1777200004 +220221433 +1193575833 +228902445 +304320533 +393115687 +228708138 +282282351 +102956078 +1474289774 +73902270 +33372506 +161446880 +450920155 +1371307507 +1726826011 +1492436399 +1793154593 +1544335168 +506513426 +346377229 +393140759 +722428183 +281570319 +1045187229 +1834503118 +2139864029 +1620788272 +1736485032 +840721674 +700581726 +1366201389 +1060943107 +1894157560 +1595103834 +1365263641 +139789599 +1823811972 +1647545992 +242745677 +1150618098 +1721448262 +276118183 +1312064978 +24884769 +1647425690 +891407341 +1517321168 +1293096635 +288258862 +2023834594 +1639473865 +681399621 +598779129 +1921044184 +1726586850 +285798599 +1913424565 +1199891474 +2022283632 +606662592 +1900473201 +1241001373 +1667605699 +1647147113 +688621559 +885385692 +1786936712 +364949883 +385448036 +2029682389 +1515567982 +2106896299 +158316924 +680149312 +2131781068 +1805742615 +1571556654 +1501618589 +951355602 +1859815516 +1377969535 +443345819 +393731489 +1976748665 +216906356 +2120318340 +115063616 +2130330921 +1172726166 +2137347248 +589509865 +925715719 +1230864973 +109631917 +425379184 +1919486532 +995017609 +64832248 +136952768 +1380465646 +2094514637 +1652520750 +1339878297 +105347914 +185186414 +1324175717 +1911090529 +1756743068 +678310658 +714962483 +1469074936 +2056280194 +1158308303 +1862806426 +1885545211 +1375214659 +1835641118 +2000608827 +1358061932 +860883636 +1990472428 +1947571798 +1786599356 +1073853753 +2057203715 +64494892 +845856638 +904737676 +129327141 +982809406 +137719674 +76358130 +487846508 +1477597971 +181706044 +673032922 +654290041 +2092796573 +282292343 +1332600699 +660275409 +1751367279 +1241397245 +1818583712 +1466690057 +979458808 +1046314723 +1154847527 +832583988 +256893007 +2015731164 +675572768 +56981157 +1654846872 +1749426521 +2114184872 +1719341764 +447799511 +871438901 +1848668905 +1430608917 +1009158575 +1925027036 +1918455425 +339272899 +2106733080 +444004700 +993562940 +2052046006 +726297043 +178679991 +564837767 +330180674 +1420077237 +235937831 +1796870732 +252052397 +1282252554 +804234611 +1084636385 +1539145561 +672482127 +1760209153 +1596126719 +179845351 +1362152027 +1562827943 +1899187116 +1809951538 +286783196 +1600372373 +1093076808 +1295941772 +1377915761 +864048585 +1635214671 +1337165194 +1308053285 +481293963 +1241727552 +2034350328 +659973954 +1806565319 +217047355 +2080051191 +2042503150 +2013918087 +184619941 +1177272056 +670669050 +1269256326 +568933969 +1343151178 +881981832 +17577040 +1522996529 +96650211 +1580404984 +1274699997 +1906601749 +1867188180 +727588723 +852194909 +1015646304 +2105504484 +1716243495 +503377327 +1295186030 +876813132 +984671290 +389429934 +763679813 +1644645245 +48511605 +980727168 +1577212788 +2091014755 +847161607 +1761832729 +1120803163 +1517830657 +883605408 +1689737133 +713498187 +1765587240 +1707314173 +89011069 +1862237451 +1140235509 +1363711066 +1621355552 +859940042 +2091299789 +326066814 +1875586346 +2049320626 +2042310309 +231480026 +1197023008 +771639793 +1216151316 +1586452943 +1535319606 +713312913 +1634964548 +368563126 +143042054 +1578495656 +1215724733 +1904874783 +551815171 +586071743 +640996543 +94068656 +1299569930 +259100135 +1801382830 +1388580999 +2121337586 +794134691 +604808418 +1595209491 +1654074733 +548624559 +1921276305 +1382177432 +450461537 +1816102966 +1613657458 +1647484546 +440259111 +682325126 +1086453841 +1975578718 +1395638040 +573934741 +196658196 +1538680094 +4946749 +1412382930 +1296071229 +556761921 +1998454673 +1937067773 +650830577 +1150540955 +48684260 +304729759 +391638307 +22538199 +1098864451 +996446725 +1617747690 +605455536 +1545071284 +1391540347 +1987632968 +1995532822 +1060159665 +1453806778 +1495533720 +1500418776 +2136131905 +434503913 +1328513846 +1384286297 +1008438654 +1525172043 +775482743 +1013385404 +790071325 +2071553972 +1570147325 +641042350 +1861138097 +73494254 +1791583305 +1909822358 +378224014 +35737964 +1932360557 +1477088465 +1032184689 +1402624599 +2082544001 +429772326 +646681298 +1922693322 +277821500 +1706840963 +1229016452 +1773355220 +1059776091 +1217664709 +60375485 +240806290 +454467358 +1068814139 +1765978333 +1229950101 +2082199543 +408566010 +1154020426 +1504863220 +1049608360 +867674875 +1578357475 +693708017 +630013585 +1956581489 +729445982 +414890494 +1286186306 +1761630671 +1817515093 +1221246659 +43919349 +316712743 +996456333 +321740849 +2023553706 +77989138 +2095096069 +935846150 +1295653847 +7987906 +1176652440 +1750121206 +1076802046 +795147125 +832587659 +1011517941 +1203713135 +1986608085 +368897514 +105837847 +706799313 +1947254989 +799545864 +1336812898 +1756352830 +1528991846 +1751703393 +895055488 +1143138870 +1421734838 +2116302147 +1187058219 +1738447582 +965274833 +1508799069 +1614517640 +1043263971 +1456411490 +402880142 +191434170 +1464399397 +1579532582 +1941555376 +393717795 +227196059 +626659388 +1405235736 +1430909194 +465783825 +1774133250 +1536747041 +1172583138 +1573904591 +188809258 +361912389 +1182773773 +1717801104 +2113615782 +2077829261 +713456326 +1387866972 +2046647761 +1900514546 +978830906 +864438946 +1261829967 +445864899 +1907702917 +570757809 +848745041 +2099137087 +2035157206 +280793976 +1893208816 +281391353 +507990035 +372384556 +1686627090 +1938899230 +838168381 +1313276692 +1328162623 +2010751520 +739697636 +1516971881 +225180261 +1922471409 +1087289338 +191312395 +1852817023 +1800745664 +1579179367 +1751981136 +1553776562 +410526626 +468936434 +668122881 +856391525 +229155703 +1238880691 +1705136566 +180809142 +1126554249 +1985930542 +2074017958 +1407945603 +346436930 +298918866 +947089045 +137852512 +1137087248 +112882089 +1466015135 +1000355120 +852579725 +835503369 +1225535381 +627567487 +1922792707 +1416847776 +332900862 +1576054723 +848543495 +2084881998 +982347638 +1259070121 +406334784 +1650470519 +2115461646 +635490487 +741867562 +1673114565 +816299629 +1868421812 +1511561459 +742833940 +1128883767 +1857998389 +1041752806 +2075972812 +1995850901 +31356406 +41371253 +1314382389 +1031711526 +893950979 +2402110 +109763259 +1521518466 +1925194817 +1526611035 +1854419328 +1353765892 +227670883 +1791817678 +188629882 +1486741004 +50668814 +1839100402 +1454719003 +686159301 +433484316 +980349920 +1502458930 +154422480 +344427731 +97809222 +1283306247 +54942473 +1139562029 +1211795411 +2050793374 +1170918435 +1253166665 +1217692115 +55146314 +2147117644 +1220094225 +164909573 +1521152462 +997805394 +1691520609 +1228088142 +204087639 +1919191492 +872422172 +392717521 +1258448848 +923090986 +84334275 +565684203 +1609250287 +517818592 +1546034123 +964225569 +672241072 +1890461855 +1062034792 +1955547320 +1945404328 +54113173 +1019859083 +1848714054 +1225031608 +125542100 +918922522 +1280177922 +125176096 +2139016747 +1445087496 +1646328558 +989338494 +989124457 +726933052 +1193426133 +760832301 +1599355224 +1586143654 +2019281149 +374962562 +1670477930 +437481705 +1984212849 +40812874 +1983515828 +800954771 +713053946 +1726494035 +1862989563 +521117618 +1524414715 +1917102736 +1540976702 +1225645122 +994650696 +1666518802 +2144567644 +127344971 +1791694899 +2136100743 +1572432467 +1290539809 +977955589 +414073276 +2017472862 +23898074 +1174905577 +1469344438 +1610041729 +1046703078 +1844307001 +1133036011 +1484184783 +1681036202 +1173848885 +1320216964 +334507325 +1886902831 +899227351 +50013240 +260536802 +276158419 +1967115976 +1801513504 +1501803541 +814283025 +1320548658 +1498887537 +941627996 +964759909 +1487504632 +366576815 +107816071 +317976574 +780650091 +2125288933 +341874648 +1955555668 +1447149723 +1951916377 +854775098 +1143973076 +937468740 +191476234 +677525631 +2111317625 +1511693198 +1012032956 +1850736809 +263436901 +1062046197 +2111273611 +539595320 +881678525 +1765303467 +2041398861 +1695961550 +938368477 +1392802750 +490105898 +1903128387 +732823735 +856682713 +2010944458 +1050800309 +1637332804 +1988749743 +1392674957 +1445404824 +1288415818 +1197107687 +152696275 +284905247 +2134576427 +344172509 +962430878 +2098410405 +1855865707 +1974463834 +1801663566 +2119302608 +889026383 +1765453529 +511414281 +1770704909 +1383273348 +405329494 +1319182811 +174158177 +1798132245 +1809288710 +2077286564 +383472332 +518487775 +1940747374 +1434272641 +8336932 +1782013469 +679463950 +1453741756 +922945640 +1876571637 +1606438031 +1207850887 +1863664417 +1950610540 +22798117 +1814591174 +1658992599 +1997261951 +1468771092 +1630811560 +738804687 +1086740973 +2142225841 +362025948 +322530673 +400071687 +1681208759 +496688850 +50720284 +1343013821 +426491767 +434192616 +1861501597 +219755493 +1868465257 +1869838529 +2001768963 +400445560 +1176096637 +777230955 +129533549 +635051021 +1985081842 +1993197966 +438177913 +2007879959 +1660305492 +2097170513 +1857658262 +981592936 +1580498425 +448979301 +2068333909 +1575240618 +811005249 +243380934 +1975312305 +344730361 +740069785 +2026032590 +1687744182 +1166561552 +312741558 +1401762131 +1386317045 +33723168 +1124117012 +1240602360 +434168728 +152730002 +2017833315 +563702277 +787781023 +1855431509 +409416596 +1225958936 +1715827820 +2069722088 +1175645801 +1426002435 +903831377 +608660578 +1874981736 +824681638 +36417548 +538503338 +1068062573 +2011729854 +883233699 +1808132358 +1890278796 +423494233 +827210262 +55536706 +1825256365 +66043659 +89259874 +801889729 +1306646020 +523428602 +954619731 +1176995687 +1087130880 +1742400754 +884943549 +1496547476 +820876043 +453287721 +1418785916 +1996521844 +1879290156 +175133645 +457698775 +1606788245 +999815284 +494116323 +2145291583 +2067877857 +358362529 +881041634 +1728526567 +101157677 +1304535867 +408253181 +156694384 +982308584 +474296840 +245954258 +1784198314 +1780942860 +769382861 +591334397 +810454900 +1856513741 +186251504 +1695398449 +1205577569 +1007127547 +1202522 +476879837 +856165743 +1880492679 +652013483 +1313864518 +1339797276 +1651828767 +1807980842 +1337605211 +1572222976 +18859723 +71163197 +1153265895 +120017401 +1375699064 +1561519076 +276711785 +210524001 +2035815916 +522666043 +1994722315 +1669275129 +1292048904 +438573064 +332246381 +1001078997 +624824568 +2027644830 +59172918 +1631952115 +2028847352 +536052756 +340634211 +1761856383 +1188066239 +1654498729 +954170011 +692411358 +1314995923 +144291574 +117150686 +1333855647 +215454771 +1270416581 +1453873048 +1591153836 +684452009 +1730584833 +1801677837 +572784277 +105767228 +1648916504 +94575758 +1397816133 +2087489568 +426822139 +251411482 +564830489 +306983321 +310584401 +49298956 +188347026 +846637157 +389933167 +1950203409 +2034703396 +2044431897 +756889773 +579631106 +1211944172 +901181347 +696781792 +398316171 +1116636119 +1967198373 +1852189219 +560306307 +504166734 +1435290404 +214500496 +1076951011 +1541057633 +1863417000 +1171526770 +791390118 +1803422920 +1598348909 +1042801600 +220769761 +1905332231 +1353386001 +270068718 +2093679257 +52539510 +660001885 +1896399018 +2087242906 +556950134 +505805143 +519390364 +1768894307 +1406986491 +1216172156 +19726830 +376138962 +1035886881 +1871916050 +936445269 +1540053615 +1159722806 +1150945765 +469520979 +553296791 +866879117 +1641047749 +1344686909 +522818389 +1091913010 +240004862 +743588151 +849761593 +1593390863 +1013656869 +795957202 +1645930374 +1673658754 +544872573 +1585689632 +83125241 +1050677716 +2105079997 +1852019548 +310180559 +1173768505 +1871746378 +686319521 +62171739 +1596178780 +1622764790 +1602225354 +608417939 +626226907 +2071746333 +1161714730 +1493106024 +1565310434 +358917992 +2015924414 +509739797 +598922854 +612028917 +1359501390 +44830069 +1625685786 +7974945 +1690760443 +1151860892 +552847518 +1128966428 +1234986133 +1603525234 +1086562777 +939522033 +1913705794 +112847634 +663784764 +452541667 +175019373 +112479896 +2075306458 +1777244728 +720897835 +554049717 +1701507413 +1882612566 +2047155742 +1119334200 +94046910 +1915596508 +1629073997 +692969764 +380141777 +841091739 +737799833 +2005827563 +849066684 +281076629 +1010204807 +1401914202 +1410043057 +97707293 +857955789 +349122186 +1037229326 +624177935 +461969820 +1701014090 +1076719602 +636989194 +1813493987 +1004542412 +266750274 +386908174 +1558592130 +1968257687 +122037092 +1458264224 +940108239 +216084002 +1226377084 +421698588 +909053766 +1606518861 +1262790328 +1646853600 +1464862776 +2111857012 +1927930229 +327583935 +1366287567 +1190489638 +425291228 +76759708 +1539611824 +1462520555 +700937643 +2001581644 +1016050997 +1777657245 +491087190 +682061336 +634716010 +757837464 +1068969511 +45824492 +578611504 +1191006603 +1504088716 +1518719743 +1407090606 +582982152 +1940418332 +168660724 +42017365 +1055725012 +1815514324 +1506880141 +1020098376 +1595960905 +1834464076 +238902295 +638966895 +112271657 +315662003 +31095071 +1574792212 +1016599646 +2032676716 +443359561 +646773244 +376280258 +1125420898 +1281489254 +1134117723 +46906761 +1327313746 +1712729227 +1237913364 +683918814 +1083965322 +497520322 +1266900966 +876900006 +666181047 +1308918331 +1932625018 +334211723 +668314824 +805239747 +1930172629 +355295252 +1044142042 +421655876 +467566909 +1359804046 +452750948 +2042359121 +228920044 +337944016 +338235035 +875693288 +714224274 +1463655933 +9698894 +1848341997 +1510562694 +1337012640 +1413587576 +600992410 +2020931454 +350069251 +1098512733 +1140348772 +1226969257 +1764693780 +301783455 +1012110628 +2098905503 +970098279 +1817350375 +1881594484 +1325393532 +714008769 +155766713 +1792960441 +2073812815 +608517661 +1687835915 +155249212 +946461677 +2026070950 +1030942500 +1660685951 +1342243235 +1040641395 +1361544301 +705322281 +230170387 +627648229 +1306314691 +103618194 +977717480 +257343776 +1243966966 +57203090 +2022037556 +1545750422 +1069313718 +1973459412 +368365053 +739180445 +1707570248 +1693758585 +1453189214 +1863336961 +1339235379 +1379518382 +324370974 +879587646 +1534767594 +1270832651 +758174948 +418226446 +784034955 +2100418183 +1458867841 +2145579256 +658256816 +1689038229 +625743837 +1964571507 +1792656423 +1603461318 +74431636 +889139741 +1660664408 +2096469192 +287406515 +582494478 +1922444956 +655771569 +1321674923 +1482531557 +202046506 +627380489 +1198384870 +1541281885 +2006898871 +1522755845 +273385883 +1394182817 +646104848 +1031560831 +1812409264 +1430139803 +984495366 +1123793457 +1428235411 +1642752182 +665348038 +2053979249 +1459840042 +310520813 +1509956919 +1534271678 +1199660555 +1023137679 +1483257222 +1487067070 +1605632157 +1258218531 +2142838639 +779823432 +593266440 +197401498 +1407203921 +1791651310 +1738683383 +1266619145 +1166923507 +2012069267 +513318314 +1813028356 +896146450 +178243930 +1095684511 +1880641817 +1302037388 +376436275 +1375910351 +1967385426 +282931876 +688266745 +130422592 +1792888795 +75054775 +1330083147 +668542826 +1558311998 +669666569 +126691335 +669046881 +665021561 +906514767 +1262313321 +862423059 +166235040 +906480983 +453622794 +1432854185 +2073404491 +318208413 +1946172500 +1738949199 +1214354864 +2124416430 +687150062 +947513033 +1278970170 +1063586337 +175939736 +1098871949 +1346518213 +864206482 +1229294541 +991923360 +939261257 +411894040 +1660466186 +350089607 +1081560609 +1787157521 +1019136488 +1746582170 +546188640 +133966161 +461521581 +712423681 +1040447145 +915144376 +2145277866 +966367988 +1233352789 +1943966718 +557833539 +300224005 +1920899501 +1244983601 +1247737038 +1052386023 +161086291 +1423676775 +3774324 +1507604504 +140399609 +1233068865 +352044217 +1079660866 +1644962905 +2012510403 +1429750474 +579039867 +1652184277 +301403314 +178138389 +50889269 +435369476 +639659971 +763312950 +1475816621 +1554804347 +761107169 +294700961 +640673488 +557590239 +852534500 +940897494 +331006092 +2097518101 +41150884 +1383392116 +111120744 +1464827659 +1387166440 +1618725249 +1605227268 +472751658 +1970769466 +537404487 +2117714563 +1835796221 +1967154961 +549270782 +1340496850 +121074627 +727409172 +1391386120 +556444103 +1367069143 +7215422 +2032260724 +774389842 +768322591 +179478037 +1415063330 +1325912831 +1032012537 +208477176 +1656918923 +982046991 +249628061 +892827391 +1093167735 +1714455720 +132510184 +564409336 +1172199341 +605261842 +387695154 +1709603828 +575492757 +76007728 +1529275141 +1124763540 +1416504578 +1650349768 +1852172712 +660407050 +59310224 +1071758207 +667622473 +2091570948 +1846148049 +1435945064 +123565338 +1113727731 +614374247 +1155577875 +1322204908 +123809523 +2137624866 +1571832969 +1016636914 +1083308954 +1138805041 +1149147098 +1647718290 +163520734 +1754408940 +2035413445 +1873124562 +182418050 +2111421173 +1254916055 +1307181590 +1380442103 +757782176 +1011870654 +2040849154 +817092400 +2083628861 +560987979 +761179700 +1782293262 +1996933043 +884745038 +748537345 +463823643 +2040322914 +2070742253 +587633166 +2030464132 +1495091574 +1604270080 +966289438 +486412968 +605933531 +466524081 +649933702 +212858823 +354453878 +375574617 +395276873 +318391403 +1630490672 +1702458463 +1698833506 +240789200 +566845469 +1592199012 +1057881600 +502990682 +5703343 +1819061301 +137800296 +2002636387 +556322691 +886337642 +318976382 +449161957 +809596247 +906609548 +332142442 +157204174 +363395980 +1298431880 +643617142 +969329511 +1764955961 +1293550844 +1182188335 +2119409839 +1669125461 +1577465208 +290317594 +1152132486 +1132440024 +1989151101 +1392921686 +1699285493 +1433866465 +303319639 +54792528 +1439569809 +2122380940 +192592824 +1294722548 +531219983 +1078930466 +1613698930 +980381941 +1888526714 +372824830 +1312524383 +2045730888 +736220810 +463472615 +541864382 +1705550322 +80944929 +1835415226 +740255009 +52871120 +1357057040 +170236569 +343188715 +361705878 +1302676593 +184856168 +1754627564 +854478439 +1618722633 +2057947203 +909270967 +910808794 +2032844495 +1101863791 +58047694 +416580831 +33310610 +1671746624 +1396962772 +1921837324 +2044571454 +562003507 +1820084564 +633308617 +1025476122 +214465298 +191375291 +1106421051 +2049880524 +931630300 +1159292172 +1259453916 +1101866869 +1502480887 +1621159794 +257059815 +1687337055 +1228303711 +1111538254 +1158576040 +1138767266 +2020809221 +2069384835 +1024128114 +975189364 +2127432529 +1440708945 +1008499974 +1651695506 +690188069 +782853650 +1548783312 +1252191576 +455454566 +34608281 +130184050 +669919864 +225983572 +1236605102 +572316741 +1157613872 +248413626 +1831770657 +111997094 +1750894513 +1305446804 +369056909 +1290747920 +386266867 +1480595163 +301840312 +1525034133 +1353920736 +223741499 +401678599 +181626452 +203690381 +1842387544 +1190126427 +1855385887 +385091965 +1972980077 +1256685551 +1637283541 +280950996 +1291293833 +1767467592 +950870860 +1517277405 +856589046 +1523187601 +527407630 +1105002672 +1207474611 +639404724 +708413537 +365437767 +1008461633 +1999161457 +751704634 +341573148 +153518121 +129255119 +1695493884 +377259621 +530933719 +1877120336 +580950002 +225837615 +919763115 +288852241 +610929581 +745259545 +1545537792 +100729474 +1026210541 +689347977 +1868197066 +1977081401 +59141735 +577302464 +1352785355 +586549365 +1682305136 +412776318 +1225954089 +243235025 +778214085 +86932074 +94912834 +1529918719 +428505222 +248430956 +1659173838 +2123999106 +625690577 +42623909 +1853635794 +1206640579 +268461525 +625915262 +1495492820 +879391106 +1371174807 +893546964 +980120580 +249901700 +1582894942 +700833999 +79499453 +1642036677 +1278136463 +1432284808 +81102394 +812957952 +1845061126 +1307056483 +1056192977 +475791563 +1393988557 +1151105812 +2005710282 +1822493779 +1399536768 +1517400473 +1799009237 +2025227345 +1560024382 +1505161383 +1084384276 +1828485907 +2131076645 +432393448 +560393365 +1354767804 +1325940412 +1540513946 +1604669504 +761351706 +93864297 +1684168958 +255904735 +1372000760 +968970118 +337007129 +37475064 +666547597 +1644063612 +1093668042 +1142339160 +890568521 +97290206 +1000565795 +565578652 +1496826974 +370482620 +217104241 +1374570671 +1930507002 +1722265625 +311471299 +1611509262 +1705858622 +743864747 +24418979 +913142779 +2069805159 +1564932925 +370328635 +683673218 +1658797222 +2054497593 +939577953 +883314335 +875984064 +1276585083 +920789399 +1542531661 +773165047 +2014457441 +537387173 +1663733569 +2111747647 +1537952968 +81828573 +1461090973 +1908435588 +298932815 +688177996 +1691458943 +2021198440 +999649295 +1155484557 +1579573414 +1743514042 +1179903536 +345232545 +1665835554 +597352814 +715561181 +202025124 +108666388 +622575126 +1141603077 +991980723 +1498559190 +270704512 +1912770123 +893607203 +1043869560 +1779743916 +1430994377 +560119481 +1744007916 +821463697 +641948054 +1057615241 +582415638 +940880869 +1745793238 +126390933 +814595661 +597958885 +1281875490 +246685428 +193989280 +314295378 +591917973 +1859824834 +911648192 +1307479154 +2061849958 +1020314581 +1930054281 +1055969387 +2012295304 +1281129823 +1326673900 +1777581779 +27253379 +223059812 +1409842048 +1458247756 +783179293 +1006366316 +132227805 +1425127347 +2063981557 +714643443 +218524569 +1662291147 +841034376 +1033120230 +112766385 +2122909866 +1279805658 +306755665 +289721597 +1871723632 +19096851 +1201369789 +1031719138 +2080946809 +74200722 +814289771 +989432548 +2086496027 +2095419595 +168622800 +1716594158 +2122672974 +391682612 +978952558 +1433437082 +1174861905 +1985318874 +1565664887 +452505605 +1901816784 +132824683 +671030174 +1416624283 +973859059 +1704150404 +1529390668 +949285278 +836472415 +1836146333 +1239006875 +560712399 +1855243184 +292893016 +1592431537 +1788706345 +367093739 +259237661 +630655246 +306106118 +207173608 +799278046 +2022700276 +182362934 +1190960659 +854169187 +1615800016 +218338916 +692004413 +1033981255 +670844521 +446337549 +1166805938 +1341874695 +1862961833 +2140664998 +898541452 +1244868853 +942466628 +1735013867 +933531539 +33989855 +148242618 +641291075 +326882871 +1740674155 +282513773 +693976610 +1999911816 +913169019 +1000082728 +59601776 +1712447065 +875299357 +241964710 +755924076 +1729468544 +1857764726 +974262993 +273989309 +744262334 +1645107514 +720326859 +1911068272 +839498562 +435805044 +1904249622 +1738040014 +1680673897 +699232602 +1325570233 +466721788 +733222457 +1473812851 +1108012864 +1060105329 +1067003358 +1390526637 +1754081939 +919431527 +156212008 +606681020 +979033303 +1868659073 +1481980377 +1220998014 +477099502 +1063965273 +931279092 +1451362495 +1337954582 +1675541426 +948986361 +2058281441 +1439126051 +1788484923 +346602837 +1195892025 +1379041289 +2027276735 +1895124628 +557127874 +346514875 +480863437 +2030940725 +1454527739 +1540968766 +950460436 +697570728 +1147567058 +1869891963 +853782736 +1754248078 +701441618 +574958162 +1088744807 +1922439632 +1052057664 +5226432 +706235077 +355936511 +1343181014 +234292855 +1304922872 +1253978808 +1673418906 +945924148 +1600581645 +721827284 +177481789 +1480374732 +469468264 +734609664 +1826889608 +950331701 +618066741 +1133933699 +343816820 +1568527177 +1831504428 +1491383878 +1290935492 +537803516 +1098148308 +1992377111 +1112761678 +39409467 +1767333095 +17335694 +44635899 +326084524 +373272205 +1387816913 +560377380 +1678195078 +494312073 +86312638 +476635578 +2094893719 +808139922 +654117367 +1427784803 +1277608186 +1388727031 +1107190763 +80456240 +2006793773 +93640815 +424273060 +1427837302 +1925145243 +1915656938 +571289147 +315465111 +866321598 +416182610 +1428226790 +905731065 +36032057 +1445562484 +950366964 +362116582 +1818834690 +190700229 +922493962 +1349546120 +685012303 +1008806600 +1826181698 +632422374 +1816946523 +332815417 +2060207177 +947071061 +1721542449 +1019914293 +1027527301 +1580852574 +1113555108 +1451800361 +861206228 +891216703 +1219973651 +1432495375 +1206681814 +2086295249 +1848677985 +487424956 +844542666 +1884710043 +1932987441 +1794909630 +99342977 +1604338483 +1985609860 +1021836939 +806400955 +523138515 +2030643539 +485099005 +1155560889 +1700106414 +817914422 +1068284418 +499693828 +391973223 +2088198711 +1527221129 +1972825797 +1054270171 +831537843 +686548378 +1945486874 +2051511494 +2119043753 +1004685041 +1990323096 +1820238091 +1492109997 +687382114 +1557464486 +1277613790 +334808097 +1656807463 +734468625 +172934309 +531160754 +1540869580 +696072824 +414320645 +2025968585 +1851633713 +2114427060 +696399360 +772434483 +466637240 +1088372583 +713149547 +1993858369 +913714733 +1767419718 +677912564 +1600263111 +1565422945 +581940411 +1571823216 +422624338 +424779859 +1244577659 +1914734335 +1112161973 +654558497 +1044864478 +1446970070 +163882312 +1779333103 +1619904379 +695043066 +1172719036 +168493555 +1109363712 +1051203973 +2020127268 +1076307124 +1747603333 +645078104 +1542944364 +688492269 +1358227651 +1389319085 +1602207002 +978163721 +2067231650 +1054986465 +396103018 +501688413 +479326033 +818727356 +926468272 +1723903693 +585978044 +2038630245 +230978542 +1630842522 +1338116668 +394860855 +1262691977 +810537399 +1089903921 +287927365 +979030955 +51783985 +1339131339 +851674575 +1128091109 +939251024 +1496752679 +523551825 +1627743293 +707496682 +1912870911 +1082466647 +1685660404 +1832618913 +2137453112 +2081763422 +186823678 +469295498 +753007131 +1113291950 +45715543 +1338985175 +1004438547 +276694085 +822344049 +195071567 +671554940 +2085036026 +1005608967 +1761458862 +225479744 +1984639922 +1813242847 +1564611083 +688830849 +793850309 +356378459 +38099881 +1317402134 +1984121753 +745596563 +1082789397 +919104752 +283773319 +767924662 +909074217 +218053094 +954748340 +1378369715 +971060225 +2068040290 +1424085258 +162561752 +924995190 +1700779343 +984905801 +1120066757 +224850636 +922458179 +2125675724 +1986309498 +1147937923 +1962831998 +1652068697 +565065358 +504179200 +298435358 +921443818 +542279081 +1615837493 +758081923 +1287875644 +551143242 +1677186675 +1571648964 +1319067905 +438777244 +1789702058 +126332597 +1817146959 +613278635 +46889240 +1093748569 +775840387 +971884430 +647044265 +1760746188 +2091951187 +871894901 +535720719 +2070143264 +710720751 +1683658643 +1885491614 +215305800 +101240353 +242187166 +513741159 +1022684171 +784466247 +2129578652 +1780766094 +2072341892 +533238246 +1310469122 +1496507208 +1852306151 +1749246366 +1138725618 +1978638749 +1418909678 +1752004253 +2025527989 +365174599 +380360992 +849928771 +1012218864 +2141107180 +794396310 +1884113765 +529344251 +717055926 +447350868 +65519246 +455063893 +662656669 +166759600 +697251059 +1176397828 +1189443771 +1481717307 +1158492832 +822726218 +1406575551 +1691731078 +2133195340 +755599111 +1396553582 +1734958058 +1894324729 +1227708683 +1006384088 +1498845334 +1105753024 +1371558688 +1879206326 +1955681795 +236293904 +1872829858 +602594457 +2120407670 +254690461 +1319650384 +420274890 +320209708 +1774714277 +1082931559 +486969308 +324481688 +111845739 +1676413079 +1806198995 +1270338571 +351655649 +1065290898 +814586002 +337367341 +1820890009 +63655936 +2072325400 +1567731090 +1291364619 +931225840 +919092776 +249633995 +155300880 +650815454 +57832142 +391594785 +376161664 +660426599 +364518807 +630852126 +1980076983 +784793697 +951061834 +1607307612 +1867725257 +1438031142 +1931789301 +1979570996 +966960573 +1590504648 +1102425920 +1318616223 +508311899 +1917011922 +1655983564 +181718260 +1980667858 +1580825316 +1749449351 +1124548829 +364567509 +521058479 +1374182824 +519868389 +1171873934 +1432014966 +911463174 +1548035598 +2092441565 +1275981981 +31404076 +1925034901 +2060775679 +982465910 +1384858865 +1781017288 +273013404 +1169164518 +1613104636 +1239973978 +612185519 +568046908 +411106553 +1120497418 +337575182 +2067090117 +1302215678 +170759392 +1500431786 +904181381 +1295308221 +1864999295 +1425239861 +522007397 +237384036 +449630147 +1954022363 +1148847211 +1997665745 +1898980281 +277345544 +2029069822 +1676531534 +190637575 +864052084 +913906751 +1971654863 +1137065489 +2083071270 +1437275852 +229555819 +547773141 +2005322760 +640662372 +1668270559 +195414295 +560268841 +823002589 +366173687 +2060700627 +1727183971 +1661481909 +1778216274 +1004940184 +36005658 +2015600311 +1454570331 +1990028022 +1016963874 +1304752428 +1741524655 +1294309418 +1186338602 +1270572541 +1484946994 +2050390687 +36995644 +1309118209 +1039972528 +2120066914 +598910413 +1269528347 +520356407 +456749526 +1910190719 +41143318 +652163821 +322975912 +864145908 +1018337508 +236192892 +443846231 +532335769 +2014409166 +1448786415 +568341428 +1882525829 +755873098 +410885802 +752006055 +2060625526 +4926809 +2046315474 +1099480481 +1275499350 +1383778820 +1002387520 +1312494994 +545413381 +2042360048 +1285078261 +1144323795 +1164404747 +1805434668 +1601073321 +927111818 +1846577987 +105753494 +1250087730 +563240247 +1124091002 +1486280622 +1007086478 +1656426772 +1353206141 +308389245 +77284552 +1088248322 +1064262343 +488170354 +1840254378 +977404221 +493097163 +1739086204 +2076884702 +1768596513 +975381376 +931788574 +933607859 +1520794757 +826664974 +71202472 +517634904 +1991069721 +1876637141 +2118708225 +770697891 +1575731480 +76978071 +2020785622 +2138971727 +1201069074 +1359582596 +998574557 +710012198 +565305089 +1306963802 +787296750 +1653553412 +223742497 +1275467104 +1346324142 +1201146718 +1768564267 +937926698 +1130547773 +1389677132 +1913308074 +2062336347 +175801343 +1286619183 +741517674 +247003816 +1804254088 +585103747 +2123640957 +1775478665 +1355801639 +1551888789 +1852456737 +1229103613 +1543376868 +906042163 +441202561 +394467777 +1616054361 +1006507651 +1701431579 +255867463 +512577415 +1925174076 +1531334567 +1858901557 +978837146 +1152415186 +649344607 +2109384919 +394608670 +415169033 +2024237619 +570410013 +1701788216 +618271645 +817413829 +1358558656 +1203375392 +793571138 +986553674 +411693383 +197976279 +691526763 +1640796996 +1741353147 +1597568926 +2081999558 +2135820924 +1066139639 +941023561 +1689768855 +1322007102 +1453600976 +1467459283 +705858021 +1165018885 +298812782 +1858273207 +1814363492 +260714053 +105398229 +82048877 +137468024 +675808242 +1783837093 +755739669 +1493222072 +994912102 +1959115062 +139309562 +1981465776 +223324797 +337285842 +525508891 +1864121794 +2078638989 +2123077817 +1798637704 +2066976266 +1041733808 +592177617 +1609261473 +216257262 +2045778593 +929237109 +922115283 +1063313830 +1228049891 +632904842 +730193674 +1488763944 +738303071 +812242551 +1626231969 +1414111313 +448595996 +234487990 +759849737 +1443508098 +46119404 +899159300 +1277490226 +269444202 +1236445142 +1802999117 +2133565996 +1167600483 +1778593286 +1784720052 +1087093101 +672843446 +229414021 +548870927 +889100708 +127708966 +1478108036 +1811215991 +1191022796 +558674279 +296637185 +1921216470 +2047438223 +1034940256 +585975373 +1526186544 +301567922 +1034571369 +1760674535 +1061417659 +330595820 +1806793939 +1960576959 +1608086046 +2076238141 +1049538453 +1263601516 +2062320489 +69655289 +894711154 +1699556893 +1156748390 +1567554601 +1928970914 +1705619317 +309171661 +2056679880 +1036243705 +2120387653 +1100219028 +1594917984 +269541190 +873951850 +1494872560 +1304481447 +1459927223 +873575456 +1606049369 +347014945 +486766343 +519983380 +677610765 +146076635 +333076692 +138213163 +74831128 +1382615145 +1401814679 +2137151618 +1452270434 +149042186 +1689224863 +461535177 +1716596787 +1470712130 +19670846 +2025768448 +1379908362 +1055914552 +1998672453 +332643743 +503348888 +120729996 +1206595593 +1998221448 +1425211443 +519039169 +724313257 +883777164 +866054114 +1211079600 +1403760544 +1543664879 +1357156235 +1736837236 +1681878042 +1431987364 +971968734 +936209074 +1421655334 +276755520 +1085251260 +963396549 +738290697 +654364399 +286625031 +757961544 +532649199 +1666533394 +1813876096 +383838005 +1999177137 +169741336 +504568001 +1058289082 +20479137 +1929779444 +1577328251 +744792394 +666072960 +295898717 +1955871994 +2069833504 +1839563596 +1165544582 +1659187093 +1373957991 +450048298 +483672179 +162683417 +1871703632 +760427699 +1247934677 +687616533 +1498718397 +1902299076 +974241565 +109196293 +287464627 +493291311 +1923072389 +671302632 +344984800 +2092813725 +1175870633 +1403273882 +2113292862 +958166429 +833118486 +710601608 +1624239389 +1129017203 +518989955 +1546589246 +821097152 +1684534537 +1058292691 +47571495 +2134582835 +1541964870 +210254912 +1858802819 +154908921 +1458189589 +398935704 +1653627318 +1213005017 +1373177269 +1762823611 +1500469644 +1866468580 +1538412352 +24288629 +63969732 +1483742430 +1200159262 +1467243615 +1449551644 +10842044 +152878453 +12669605 +1635081433 +1281895656 +531659560 +1034187031 +2102992808 +68710449 +2092479722 +3080655 +55809636 +1486960944 +213335567 +1914612455 +1641869866 +1671525156 +166064511 +1148013536 +737046525 +1539241781 +763353500 +90032522 +1258226713 +154282204 +114321151 +1322196446 +1638024634 +1314480413 +641956413 +940092631 +1325322457 +794834866 +952762236 +812920243 +2076730522 +1484421796 +1847107274 +2032239683 +1553132245 +1792103349 +2035320338 +1608941881 +1131580645 +101172258 +1376070688 +625966863 +1772697414 +1542135199 +1773980400 +362260292 +933893332 +389850252 +452292814 +44636398 +544132456 +566613965 +1366832844 +34673443 +1881094378 +2008789257 +974766074 +1058933188 +656140475 +1927528310 +1871853431 +585387349 +1264466458 +1571477057 +470143384 +670115055 +1216096758 +357980075 +131573288 +200193756 +459152333 +1507643976 +826160619 +84366099 +902295527 +452657371 +446626391 +1836188860 +842507623 +898919205 +1880825258 +1386640080 +1465533170 +1100174454 +1421313523 +1199143901 +961480063 +248595949 +110593441 +1617620538 +28640611 +1982446872 +55524239 +1293107069 +1406440281 +525667624 +1963222124 +475053392 +883647699 +2094795412 +675247148 +1342800032 +1454955740 +1501407767 +1427166131 +209767619 +1954065139 +1873792523 +2045956479 +649089114 +625228080 +1779298089 +2035729194 +2090761251 +731988895 +1309559069 +1142421504 +1693468958 +1558155018 +1253014945 +1163605848 +1586795629 +1087978169 +1219130088 +732419050 +346934802 +1744797712 +548157526 +821988194 +480961763 +495469290 +1497235342 +1823761795 +1950425030 +851159462 +1103444278 +12709002 +657740953 +829753153 +2058665481 +1306830067 +1454981234 +1690479923 +1195075614 +1398258837 +274985170 +357151035 +393196693 +1968454129 +1915306054 +1646211638 +984576329 +1354618035 +586706159 +56222769 +2087037086 +933640961 +1801020481 +487710964 +1755629156 +134498596 +983180255 +1105380850 +1958260391 +786121637 +1956540312 +914221022 +798830639 +466797617 +1743974175 +710012473 +1773627685 +1051471761 +253008748 +821219651 +302246950 +527993918 +1178370686 +695443643 +348964399 +946193092 +194171633 +1333540729 +153327480 +780877792 +1389763498 +92880918 +1714518754 +1043300332 +580591882 +1322664262 +1177798928 +1563772137 +280561464 +988575672 +202410127 +89618129 +1902796694 +1001240766 +556415746 +1499287221 +1711253239 +182559783 +403275335 +1964261987 +1003779434 +705522285 +344772258 +34666473 +1400965929 +693736657 +980859565 +1595137562 +2027277386 +1134187045 +228531707 +1269557237 +1227067963 +1943050461 +165373921 +1807659846 +1118231075 +1343172849 +1223948335 +1398792539 +184264873 +1426358462 +1488410668 +2087061567 +280115581 +2044826415 +1438865141 +1991368820 +79902550 +1842140476 +1808147160 +1083681985 +400179113 +5435770 +1118348458 +1801145042 +699172427 +2099208023 +1248798957 +578966166 +1085911421 +1477330664 +1848523403 +165495736 +1272897477 +2013897324 +1973155582 +243644904 +1209586525 +1049620270 +1642437443 +1393851399 +328495084 +983364464 +1333429318 +608610665 +880707231 +624810811 +452495838 +960609781 +319467639 +113159350 +2044291766 +719646753 +118595120 +1015156576 +373308147 +817767547 +966880952 +1622107104 +1396733713 +2052792373 +951954120 +1097773468 +70804461 +77367949 +964187144 +2043960044 +321012853 +26290022 +946096666 +1963450297 +1420141421 +1274591750 +799331113 +606087091 +1883202416 +1680038344 +1230897903 +188214606 +493164477 +1550365542 +301373956 +389972596 +122528647 +419969076 +1405129172 +495836795 +1237736623 +224526476 +2117943899 +486986689 +129835201 +922414372 +1584760157 +200639663 +999782321 +401463654 +97116059 +1320795175 +427753676 +1043212725 +1136761824 +1847895097 +170320827 +1936092937 +306498540 +2053523243 +1468647633 +1537396443 +94254201 +1961812110 +940278338 +395628157 +204301058 +1062806985 +815597233 +1609430231 +1558643780 +2053333857 +1833956707 +1529104032 +392836898 +1963791909 +304034756 +1977597055 +16947924 +1303817077 +231577061 +114063983 +477128604 +659330737 +1157276708 +1613890428 +359742186 +1327597535 +1402499717 +666240727 +1233637131 +723663702 +56153522 +1327891332 +537992165 +996431860 +1723519490 +742293223 +2059238846 +391633075 +204239806 +1470398978 +297483284 +2038196514 +852019362 +690320182 +1854504775 +1156054118 +520433590 +1871452699 +312387548 +752010651 +1985516682 +789516152 +1411341389 +995309742 +255922933 +1771083575 +175423629 +1658422650 +289840654 +1409060760 +234602705 +345994177 +589468445 +772594870 +1342426037 +165504287 +1514888093 +1254181235 +557137362 +1719127900 +577096566 +854620647 +1609840766 +1429115928 +1544940829 +1316861893 +437686399 +2065374419 +1040830944 +750073947 +669901423 +878863978 +1539590099 +2081242812 +1874173720 +1795513032 +1704842739 +2049597349 +1306452035 +1994683394 +1311174462 +1541054740 +193193923 +1900642907 +166165962 +1535619960 +2066147194 +1681054055 +642317548 +475800908 +1252698307 +1219414114 +1330421555 +715055425 +501046394 +727878737 +2031917318 +938732793 +645769508 +925264614 +1688806740 +1315670931 +1804128592 +1080913192 +1249430095 +1530818664 +728942576 +806789187 +1432932366 +2035394611 +653988933 +596623180 +1428965703 +847182856 +349782439 +1595131665 +235319168 +268445985 +1128702073 +877636716 +744246893 +233916732 +2097050830 +2074668449 +948972158 +450613577 +655063538 +833405828 +1389346370 +1300833046 +1758670443 +930669463 +469020330 +1415315387 +2011582655 +1718450425 +798650404 +593041583 +377755964 +84099122 +480952547 +1031744897 +680722302 +1909918250 +1878927753 +1030504741 +1357566268 +2114246922 +1298950726 +338784693 +844399990 +2043197619 +572701425 +793967173 +1970382420 +1521673583 +1244580750 +477962310 +207595764 +486443472 +1778795357 +1966266207 +1417112935 +100332039 +1234097946 +1281211942 +1818782464 +2032748350 +1874253526 +49054781 +2116847472 +207722425 +1080799678 +650086126 +2117640675 +812243784 +1680590867 +1327723295 +779007058 +832057945 +1666507988 +1623407048 +727771917 +91725766 +269890573 +550670689 +1613399349 +1514471323 +1028633000 +1820995113 +2000914796 +659944709 +1639777672 +1270544083 +760276748 +726391971 +404272378 +431575564 +611656673 +131042256 +480630345 +581020498 +338764681 +1561430024 +1231106624 +308921708 +226190160 +764213844 +1636645004 +1005197218 +1596271789 +1155669344 +481120618 +176560058 +1247395110 +751011192 +727230748 +713310812 +117998867 +1755863748 +386822277 +2118913663 +268324809 +2026599950 +1241974099 +1028601557 +605508273 +1646246477 +1460177121 +1217164946 +1777288733 +1940807467 +1798185444 +2116053414 +1354753843 +881808421 +277491474 +1580944003 +1646022265 +1914136478 +438657573 +1094810406 +922322175 +919778191 +1271370465 +22233637 +1670789383 +1998601213 +735544449 +1788788251 +1606981313 +1122366727 +1760218266 +1875306122 +1001483029 +854708717 +756424031 +1606991302 +353471546 +69117504 +676672600 +2130760279 +2009924971 +327374397 +2099330045 +1217195166 +1209182818 +229337872 +650655521 +707721435 +2143474350 +1089313094 +1802531841 +918312877 +2009091286 +926418658 +940546515 +1532397021 +777536223 +1676090964 +1173701624 +237033888 +650974043 +786436243 +2112340010 +1652457072 +1641144960 +721280393 +1111964726 +1994616507 +790397898 +1788637327 +1977893138 +652839221 +2116011724 +1929739536 +1870034388 +1177710894 +11593760 +373206261 +1885432329 +7584462 +1462519356 +1540480522 +925897340 +1324126994 +319415533 +1866443855 +709040367 +1096951756 +1395051171 +1882741992 +1333985645 +2046025215 +521694587 +1298842007 +1550998639 +15355899 +2020122401 +515479718 +2009972406 +663036651 +156633397 +1840381897 +1315875872 +125161473 +1622637785 +1038426612 +1302872367 +1634231545 +1411632874 +1040821048 +1641816007 +726668582 +433817922 +420229699 +2050795576 +753233455 +139189906 +612352295 +1850185212 +1534241078 +347610639 +1036687209 +1432782645 +869305226 +188045568 +836297636 +884661126 +60684321 +1351777354 +747149884 +723720972 +1508410751 +440048133 +2039596845 +1633572224 +2062685918 +930539809 +788960943 +1549433815 +194689035 +1829781991 +1043766175 +921357617 +116116266 +1463995874 +824669545 +869349721 +1603185781 +1437021841 +572051285 +989943211 +1784632480 +1608738494 +275242208 +506454059 +1796784063 +1111539844 +1391115185 +1857468384 +315833551 +2138265069 +433705709 +1824244302 +430829555 +325818906 +1310332879 +346031825 +1256358715 +2099293822 +1895465641 +1451047751 +1781592166 +791748168 +224921720 +1897708432 +108260394 +1049591266 +619574505 +1711446175 +339129459 +1191625791 +553905738 +2123761939 +652880637 +829147946 +482732350 +302181052 +1940687791 +1873847535 +12165789 +109037694 +1864628957 +445871498 +1933281996 +147974864 +771690404 +1096131227 +494006689 +2028049119 +1047941402 +241988682 +1331613222 +682049920 +1033736850 +1556534943 +432274704 +1141997245 +458642561 +1051849209 +705959772 +797772020 +95991352 +1259865511 +774050311 +748871990 +2089013457 +1256782662 +1051053042 +1882217600 +983146549 +1063218831 +1991255294 +700291858 +1509090329 +1777053643 +848266722 +133297085 +725701222 +1342273412 +13862557 +1773642624 +1584262094 +1345475779 +308208896 +470515297 +754527074 +740483600 +1612512542 +1213169635 +1792332810 +170988666 +2010941655 +1888324162 +1430854177 +637508319 +489712504 +1372383987 +1894290981 +1540765547 +1107117939 +729953882 +456500730 +950889586 +1430245741 +1965591060 +580459581 +131028815 +2098888145 +1306160803 +1473302227 +2112750702 +932319780 +910080674 +1310742834 +1240528676 +1380595971 +2065269908 +1981012277 +845624865 +1130955896 +1625861439 +1016613531 +994413903 +1366701953 +299984061 +1631922222 +1856414458 +1672368048 +1378729555 +1249696357 +632002339 +2108683438 +1706197087 +1582891925 +1391445531 +1524304499 +15867858 +1522474346 +1475708997 +1322028662 +848292926 +1440976051 +106864794 +1758373600 +604235237 +1347393470 +991485923 +522021498 +1180922099 +1837110788 +1652977394 +659299890 +706240671 +499907649 +2026001844 +1006224732 +2131829872 +1734932654 +531109132 +1363075779 +837145363 +1163111472 +1324275569 +395858802 +598519749 +568237452 +1920163302 +614387608 +2090711799 +1248388651 +1936416270 +791521077 +541881054 +2043281064 +402411029 +1146116292 +1243190886 +1393896952 +1668137790 +276629338 +1083524092 +1173631536 +935929228 +1789764763 +1673539185 +814447424 +648505848 +1657885409 +401896430 +1179614980 +873477541 +1239041793 +195242804 +50269462 +1634900596 +793762554 +618506915 +1407580250 +1408150162 +561735066 +508485253 +1197082784 +1353256143 +1050366307 +1092880200 +1755667172 +48998951 +188587438 +1002080476 +1717136741 +465216776 +2085604568 +743284629 +1401146005 +1727885683 +269340167 +68109781 +228907883 +1927225576 +470006212 +1408522864 +653219469 +1709048005 +1603765668 +703488932 +1196464953 +250044574 +1321995847 +456561555 +1658194736 +1883730913 +965046808 +707793872 +1089503408 +2015413116 +1800674072 +697686932 +2064412067 +1989261511 +1699767408 +1634065161 +306994639 +1637888328 +229866142 +1708140644 +1218290363 +499206309 +1776250426 +1447198247 +278948238 +98772990 +708237463 +932167707 +1807820995 +164519483 +1635656639 +856802301 +414564058 +810168838 +1313363856 +2072758794 +546416103 +130927017 +633069019 +1635919511 +2146340133 +286259443 +186122795 +2063268552 +128037306 +1885890203 +1549850065 +435031946 +1376294883 +1779716208 +2143172590 +447101599 +131438869 +1771939368 +1894299846 +410387107 +1870712358 +455053661 +1342554815 +1531049706 +619573144 +830727806 +240368359 +1034137202 +1640896645 +1553732215 +959412349 +39829100 +1684659232 +1592481368 +1675748612 +1683515717 +1878740811 +1861871407 +1599300622 +2006778118 +1600277963 +1001667039 +294326416 +829089198 +633899599 +290015358 +1276190797 +765338469 +2061954727 +1023006995 +1175725576 +1785183437 +1478060656 +370796743 +1168749495 +2097633801 +1201524550 +1409117854 +984287355 +694937547 +815366422 +1943699704 +734766647 +352542006 +1388697424 +263031611 +2036057724 +1119954588 +2124903019 +1487874698 +979249058 +1577697334 +342058089 +1273575474 +259302884 +975957689 +1563590832 +1535493682 +1741296158 +1478061911 +411017029 +769538086 +1115761701 +1889077686 +1140334830 +137027548 +1839227839 +194375732 +1546145403 +676031546 +889313279 +214028177 +472247603 +1624079926 +566570183 +1860945027 +1887111538 +455144259 +833415967 +1864530909 +1943018957 +1812665025 +1294744595 +137593399 +938756851 +1554047479 +1113551088 +354864036 +942057513 +707363598 +1832925947 +1353074543 +1476901684 +801204000 +1094668581 +469752866 +938231549 +786412772 +664128598 +336893304 +1462444318 +1553441877 +550921481 +1934691921 +1030038156 +1117491664 +1648153301 +769666046 +1572635924 +334085620 +486713307 +1368171233 +2146750646 +1781457902 +1505764632 +938023849 +1188021733 +471832072 +1292887885 +2130079247 +1179195670 +978330185 +1335670142 +508613707 +1779534185 +282855075 +978366573 +570282086 +1069267847 +1642495172 +907175390 +384228517 +1048453401 +1458096871 +171436791 +2078491557 +428104888 +1819590092 +700673955 +2000740812 +6192064 +1187387262 +1221428397 +5459062 +821361516 +579709382 +943482912 +2009383250 +1051541454 +88887149 +1991978849 +83253477 +1067217334 +1180165343 +591867184 +699267872 +1463020418 +1570233757 +1269549958 +384804617 +1065245281 +29241701 +769033134 +2113698683 +1487338572 +940469925 +2044706592 +1915443460 +612576369 +597896900 +1768700624 +618768434 +1785284162 +842645374 +624227496 +459162031 +1422354756 +1567710408 +321061633 +326412562 +1656597558 +165556834 +409666039 +576331244 +1345722177 +1001533223 +1275599116 +661258947 +424283333 +397665427 +1046063564 +1489528614 +426907128 +1815096698 +1455743649 +1914245700 +608082976 +1352966594 +1682205513 +1220659345 +1950863494 +1303422489 +1839427779 +1588664008 +2146067863 +316171628 +2047826039 +1420938971 +1883882036 +221404024 +1747351534 +1392995946 +386960858 +9533925 +1969327191 +1732683035 +1011067149 +1097442659 +246458334 +1435350482 +1495108086 +1292521898 +777395448 +1922015214 +960134949 +85655450 +1688777267 +1568217925 +1438622044 +1223499132 +641393622 +1242001890 +379437973 +333337754 +683182250 +378022189 +649509382 +583524642 +1798961160 +385907770 +804928666 +1398829046 +1778903717 +1191889525 +1408362972 +1600747260 +777088912 +271946473 +550706271 +1023547247 +1707296955 +2045814358 +168585497 +337208755 +1820345924 +1128720446 +422864205 +1361639543 +549454723 +1861486249 +437655027 +1190848346 +956004491 +817093001 +1524186100 +1639186742 +1195115190 +26211834 +75227736 +846592702 +412119604 +880156402 +97938101 +43539673 +2072045927 +1506301073 +1644286933 +701651192 +1778247546 +47509557 +1725198439 +1338060853 +2093323915 +1893783936 +1675269608 +1766186191 +875020735 +2098133814 +980342087 +1424475458 +1812136415 +1417997114 +467840156 +620657259 +87606467 +1992026256 +112360353 +1282721657 +2018238090 +187588089 +2129314360 +282874047 +1067744491 +79768813 +326413720 +992306771 +1586069886 +1970700654 +1693957963 +1216833784 +2018210211 +1271672754 +407410989 +1964050478 +1017973042 +2082680597 +1582753021 +1892993777 +2033330763 +415611460 +1169985588 +1697983531 +1833608575 +1637825744 +171157142 +1921215042 +1482368353 +283517495 +1056453052 +1353122795 +471105584 +1038283764 +1635996842 +1538850075 +1118052577 +1962410563 +383673198 +556638815 +1785627569 +2077631161 +1773472599 +1656354132 +1201820267 +33399940 +1472920962 +72309662 +2116080537 +908190335 +1965303439 +2001927653 +1323801796 +987805379 +1552427536 +1009926723 +478147476 +1723584678 +783658117 +1960515829 +2007102173 +1840111169 +1166154976 +330724109 +730911285 +654668171 +1869574184 +1848963862 +469595086 +105763735 +258119029 +107739007 +35911248 +2031591628 +1764093139 +1237731516 +2064991568 +1089530453 +1310041178 +2033588458 +1997720788 +1127860969 +1888032463 +1174038936 +2115666349 +1292976351 +36482011 +446330177 +869077381 +820140129 +259362358 +728695906 +512767650 +1425517334 +1059420015 +1243678936 +2080185505 +781510551 +945159150 +402296943 +887274286 +1203278180 +510035950 +923185535 +1087386160 +126645441 +13433403 +1004894081 +1216175894 +1323474581 +890998891 +1066413035 +303851902 +631547706 +92968323 +272034603 +1924524057 +129450335 +718364780 +646117790 +949590464 +977727138 +1374813696 +1462358114 +255760825 +286750063 +558553402 +188462682 +1068260614 +1503712553 +590759626 +1955534901 +559507085 +1100795576 +731236788 +1646893245 +1227441018 +744670191 +504303678 +296133264 +2068144772 +1395302569 +1362546299 +224513026 +2026850275 +1455514623 +496547630 +1803890684 +1584964958 +1214912410 +302524826 +387071774 +45155901 +1677338522 +1849429888 +300916726 +1964088585 +260499643 +489379408 +884865552 +1764212196 +1080139034 +692916805 +176235633 +33450963 +1424153593 +1823128878 +1260891981 +21340136 +179948909 +1557025245 +2089484908 +1575251478 +772087897 +166514286 +1454618106 +80118872 +663061916 +1111025142 +1665083830 +1877974327 +1413549969 +2052155604 +1923130228 +943404843 +1754101844 +76563306 +760009781 +2014601487 +565942714 +1644875333 +1631330035 +1646081749 +190308490 +1807565668 +1679532712 +1614462083 +1483210899 +792941045 +1635802219 +1663159808 +202482642 +1577803479 +1090927638 +974570539 +1744317765 +398062096 +1054689411 +259896034 +1509087239 +572289593 +2137870361 +775153560 +476961549 +1913516941 +1718558403 +83579746 +1990080247 +331084536 +2098181233 +408539313 +1975959869 +1582027621 +2054621062 +18784711 +1242109641 +1586670126 +1633246794 +577836892 +232127523 +1121565365 +93513052 +434610166 +551885196 +1184440691 +1409180705 +148719314 +1582502787 +316386469 +408615348 +944106378 +888676062 +399002061 +1719259938 +1365637612 +165035354 +1290334694 +1449217358 +7631953 +1621419230 +1399914943 +416171266 +1449895452 +834458916 +323308681 +1468680163 +2076568558 +1909978807 +954443310 +506921802 +2142106331 +2076008675 +600434855 +429232849 +480410224 +1784875546 +1838413554 +629129538 +1219894685 +7316375 +1037744886 +16517416 +895992438 +1436746947 +1735777354 +114146402 +1601782301 +878628400 +1563363760 +1609414254 +352563983 +815795055 +2025585520 +1802459435 +1650253972 +201410553 +1123655950 +1579338882 +2111389361 +2078099260 +2086260684 +2106012044 +2006624288 +539211891 +387761245 +339550864 +176603789 +78691151 +968680402 +1396498475 +86007527 +2006425288 +1413015891 +981999965 +1295688587 +1001309597 +1096146367 +749987240 +1879937998 +512026479 +211917846 +85018333 +1327821534 +90019718 +1887477768 +830591858 +291430272 +863650070 +262447092 +255335985 +794265683 +201224129 +213864381 +653406323 +740436020 +601625626 +992957187 +917039810 +680316777 +1961637589 +166054637 +766324304 +1820579229 +1579070528 +1748324269 +968784168 +432896477 +696986988 +1718771408 +165350827 +1209013467 +1930689254 +250369160 +389351354 +2020708972 +2137846928 +1219943212 +164655596 +854013351 +1482390305 +419991581 +1648279034 +1683614434 +633855962 +154201709 +276566806 +1235481588 +1147158896 +1193606616 +1915798366 +961312837 +1359661253 +534639022 +634408418 +791248133 +135479644 +1603192586 +1224144611 +832466632 +1174480346 +1389495438 +2041480100 +957685952 +1639864599 +283347806 +830911276 +1630227879 +1503291018 +995566873 +336757582 +838197675 +1415558454 +1985036616 +374328461 +2049414417 +2139238325 +650895268 +1137412357 +1138913573 +1844501884 +905727075 +2100226410 +1056679490 +1440366098 +587151180 +1847927623 +1575845742 +42860118 +924588586 +260828726 +1217340464 +166600377 +154825178 +27542768 +1806464976 +438172984 +858454045 +1289209207 +1941464003 +1854020918 +1625966790 +632178030 +1122095724 +1463519758 +1006506492 +1024026493 +1455274436 +1657401760 +13955203 +446704361 +1354419996 +919682278 +399447124 +263615838 +212564728 +986598304 +2111543462 +1788410470 +1029458423 +888648400 +2049239197 +99315239 +1055248777 +56580727 +126858008 +714230105 +494753712 +985312053 +2003439313 +288734067 +691849323 +1481922455 +920912097 +1813945047 +797958565 +1927418589 +690487893 +105749353 +1437336701 +704443096 +552453715 +644273050 +1624125374 +951900839 +907888888 +1836690103 +1938499143 +871948702 +1477616925 +820473918 +1760597103 +1379372474 +919789158 +668362232 +1435953202 +1046647166 +1382592338 +1930706914 +2031959219 +1238548003 +71957333 +576324894 +572986810 +992869430 +242786293 +1370945375 +772804372 +933274186 +1476694729 +62657425 +1637717282 +2029148444 +706930475 +1114359009 +833565635 +1614819364 +803565464 +624581130 +339284418 +133698741 +1445055049 +2099881521 +1513071216 +217360559 +620760106 +801540770 +1264007725 +2003352444 +584764036 +1148483296 +1094416799 +656721369 +1724808190 +1667403609 +1649590799 +1967594483 +890865336 +274911523 +753385022 +220076417 +337568949 +243618656 +101741213 +1044499424 +1357977665 +935306848 +511835140 +14059481 +1559887979 +851119559 +147758223 +857459380 +803517432 +1660829439 +1074819939 +1424277538 +314886561 +191344016 +1280146334 +899650597 +1339827312 +227079485 +1556371966 +917151854 +1894483094 +1058479117 +737262689 +637864783 +1333390641 +1490647711 +857941200 +1670959590 +1734266368 +959682414 +567975366 +944760385 +1894989262 +1079810507 +958819867 +1307393593 +1930930066 +1106578090 +17369325 +586963850 +619923881 +1092189264 +2011241389 +934810442 +1283533280 +1143904075 +1834461039 +475876944 +1370983561 +1243349357 +1393028798 +1117983007 +154344826 +2130291488 +1755847790 +1487735467 +1473455551 +466305343 +1011211409 +1060238271 +1425987757 +1579186776 +2004998657 +1173493371 +511513635 +816334876 +333403317 +294960053 +1922912966 +350772642 +881923903 +395353199 +1442961907 +745681644 +1330163641 +579011539 +1889585720 +1017141032 +1054888484 +1113085633 +113006741 +300433634 +83584992 +267351567 +283241474 +1839432783 +1755087035 +1756697026 +158254478 +618814796 +669451649 +1584242235 +50517924 +526966658 +610251958 +562031559 +1343301534 +943655275 +856991612 +1118730852 +1294427918 +1738915516 +1514084051 +589906177 +337113512 +696764044 +1168917716 +79215584 +1713905076 +76322552 +1192301217 +1826911817 +376756187 +1275886210 +2094263385 +659997661 +967835345 +1701866772 +269211039 +1126089823 +173197920 +938662689 +562848410 +223715845 +1465629347 +1173100368 +785747404 +661447234 +2116755644 +1642739017 +1780178086 +1263699914 +1234170885 +1146778490 +1853606091 +1571284397 +1843542534 +875040159 +1650499982 +1409963963 +951362712 +695317551 +1089392132 +1328118899 +1971203761 +1036171869 +1988116560 +791555458 +590554993 +109843952 +1917645281 +763752914 +1048506641 +333010043 +987468759 +366652340 +1506110412 +1773216163 +1028099574 +1475382408 +1268471532 +660794013 +591598674 +355158769 +1807572503 +297721117 +1926443167 +1503631389 +1172761276 +1429459501 +766111704 +2124123988 +2124777052 +1855503837 +1304759239 +1948497166 +744192058 +1145392152 +592568976 +1334747052 +1255236104 +362730610 +2098499966 +156259097 +695740653 +938485077 +522911437 +54367417 +564217592 +1551011012 +1529749825 +1832689125 +64321377 +2121348499 +40364246 +1871893880 +271585968 +1966807413 +1228041621 +1444347245 +1248783266 +1994153326 +1420987585 +1226076671 +1702173515 +578263177 +1027090189 +298881925 +1723655329 +1619659165 +1633628977 +831407785 +1982389775 +1584645295 +987666882 +530646781 +375646724 +1510578319 +585014198 +939864317 +914105683 +2114764024 +625069794 +978427060 +2088628875 +665434040 +702837292 +212731196 +484757806 +1930878914 +1657078441 +1733541072 +1777548592 +930582378 +812134095 +1332238459 +1508845555 +1839224284 +1631120384 +1085017236 +1311399802 +1117265714 +1916425021 +1146305929 +554427361 +756608255 +1676952710 +930074086 +119702927 +114483261 +1869938403 +1033808610 +81763637 +347524549 +2012235671 +22908864 +1012958589 +567589315 +235640060 +1497716395 +350984581 +1892718501 +1083773820 +2128533173 +675817232 +1895907915 +1313287984 +37179139 +1587648552 +796924721 +1122196376 +751564706 +1914190435 +891137749 +1897870635 +321134148 +1647746005 +1427339698 +1251208234 +1767448932 +1541822959 +973662989 +653773894 +1623586596 +1321187538 +518525917 +1646495460 +186662480 +1086115233 +1882135521 +1684378875 +1437099814 +1627370374 +620669047 +1418149340 +155703958 +369093315 +583953676 +192883098 +1956741867 +1380878397 +1315079474 +560822925 +1147585184 +58733575 +311209912 +1468719333 +1706479580 +1738549610 +572443919 +1326444864 +1132888921 +1546106909 +1980218759 +608991869 +719810799 +351261028 +108003682 +906473279 +1437376261 +1990139203 +443368507 +726992428 +1470025929 +1064037554 +2145141768 +1625729888 +1433130869 +581611796 +1818612986 +1242389088 +1962490194 +986208812 +1803212013 +962591730 +1044942387 +2114421926 +283827415 +603938320 +1705487888 +856271335 +1930383184 +690893162 +254894596 +1763118295 +1299885031 +974705395 +2114379324 +1407888713 +1881178675 +1404271937 +1250544268 +177063534 +2131264365 +573086550 +1241101088 +2128922485 +51332790 +526748310 +563050634 +1869945776 +1769137398 +378057180 +708670940 +1424865764 +1340648910 +1753613327 +1391804042 +1624476326 +210067999 +949808282 +333264013 +2140451184 +1640701444 +588158609 +1756085831 +793102828 +1562864004 +1722981507 +53507893 +1296559031 +979769797 +1304052162 +1473622565 +963550514 +1877138712 +567240006 +944989352 +1928471502 +1093988316 +1508039986 +1650933630 +715642066 +1886097166 +212120922 +2140507830 +1079262428 +1965734249 +1384828224 +556255106 +28318601 +187152859 +889519119 +21286137 +1827854303 +1477677728 +1777371968 +473473483 +893058085 +1352869828 +526981377 +42133468 +185155977 +1831033539 +1515756034 +1148706491 +1560688603 +2082996040 +2093695843 +1341676457 +1029500708 +1454252181 +845126439 +1745142774 +1192865699 +1057247361 +1738166957 +124644480 +875497962 +975511533 +680899586 +903816563 +1162664392 +1570418706 +925102700 +843035048 +900612786 +554991021 +1316508531 +1793670871 +1907860849 +1843489908 +1835804340 +2093016826 +1527039799 +1204076726 +1094239669 +940244754 +1139589118 +1040451865 +134437563 +21606178 +347220398 +979564002 +1766748952 +1540086098 +2036811363 +1357432261 +1664730578 +764825678 +185460147 +198146516 +1668642241 +1348124539 +1768565222 +446261294 +43675939 +521694361 +1001252315 +1360184471 +167881584 +761629516 +1056190731 +2003685924 +707162694 +435746883 +1060279002 +1801402363 +1375991637 +52384472 +694370580 +1510429201 +73990650 +1041590979 +342509555 +1840739603 +434193429 +231837271 +1050688216 +2098924007 +996662949 +1236148363 +149586875 +517821542 +436789255 +1918152098 +964082836 +480465194 +292362811 +1965335151 +1840649665 +460244395 +579481019 +749356749 +316446672 +1286643713 +1185103632 +1376725674 +940562429 +413611621 +1429110147 +1634933009 +1924040822 +1503100797 +529040340 +119066730 +1196356752 +963233769 +350904001 +99561321 +914674128 +1347566950 +1335709684 +1064261004 +1865388492 +1772498939 +834929454 +681987681 +105480486 +1127292265 +499839184 +1946130151 +1587536660 +1079320204 +548003252 +1903983332 +218480269 +1733106884 +1133225359 +1159042698 +2146718506 +414851858 +646492060 +1923275680 +1917952655 +1175532400 +2042342410 +966825760 +2138766170 +245762763 +1066387081 +905956650 +1593329713 +254613117 +1970217654 +1311234558 +2027112057 +657663460 +1993222239 +2132592543 +1784955725 +345577775 +1931239046 +1225008738 +1424897979 +331758651 +981508422 +1643378249 +2064865535 +2114733781 +654937299 +2064100393 +382101991 +1301429359 +1839892426 +152570999 +329478112 +1734751188 +1119396759 +320760634 +1980513952 +38300192 +1226717284 +1426360017 +292913309 +1049451291 +590110927 +172541718 +1707114751 +435849518 +157650613 +1344586829 +781427294 +2088889660 +422111919 +58841625 +273164663 +1403620341 +1702219874 +190546550 +1370870475 +209673526 +107163296 +1752972466 +1511102885 +1947055722 +1905543465 +1840580997 +1534323262 +877456576 +13857983 +1367353566 +915756768 +1240575268 +646229936 +1208670078 +142542911 +1236340863 +1381211796 +1849657662 +1672190382 +1538862410 +1046760843 +306134028 +1480268422 +1468872762 +364975653 +1753433085 +725009456 +2067195528 +1943979635 +2095879931 +129385406 +2051142931 +1701368749 +1640488291 +1850715005 +1459428567 +1333585641 +1237554620 +189401495 +1347443624 +457424538 +1105158264 +440535244 +1103654474 +166344694 +583078155 +192511690 +1547556490 +285252170 +1864702072 +938935252 +1332013013 +23352452 +271720026 +653402128 +388328105 +2025153111 +1378411584 +308039985 +1821649099 +1326807867 +437425391 +1725308382 +880692968 +2077913683 +1428539740 +192637887 +1264015676 +518610712 +382039383 +463975652 +976035250 +1487197647 +904510897 +2079689725 +1653542341 +1487589052 +124717767 +1053615183 +1772841222 +1989419839 +1992550436 +957370588 +2012772291 +116786814 +1610772716 +253616748 +2141939926 +841700652 +561656734 +1816105377 +21024871 +999082125 +1393930111 +901717839 +929512160 +674986203 +1094355727 +46044188 +1193596915 +1476395110 +510019841 +22148518 +816109109 +1414530738 +2101838243 +322167802 +754636142 +79072362 +1375782985 +379993717 +2068492201 +1220849773 +1337364305 +1933780844 +1337636588 +800653373 +39913944 +1332092866 +1642354025 +601570678 +1000714595 +1663378896 +1600652804 +247161058 +417613087 +382681316 +922147262 +1511968814 +428725505 +2115744177 +840880276 +938745346 +2137892695 +1656989385 +205792436 +2092247290 +1979157187 +960428578 +23836004 +1207456525 +1340422295 +2092328205 +280822650 +530302952 +1878625401 +1618459238 +1330956325 +1918539346 +803068456 +825826702 +372626376 +1803783051 +341721950 +1973279180 +2050944110 +759335038 +208476849 +825607724 +123820204 +637202354 +793868253 +964700481 +1575947700 +784277301 +474206218 +1781740136 +729040943 +305879758 +594685066 +752876948 +1513336283 +1935107362 +697721505 +1794158933 +317926666 +428863259 +1265134524 +1648882992 +199918957 +2068202980 +327226046 +572545333 +1724502384 +668947997 +398340866 +1627962846 +1428283035 +606817715 +306086922 +1552103239 +1244020069 +1099955175 +369320072 +672484121 +1884232476 +843526291 +306740609 +465789772 +1149406049 +901425675 +1218666720 +515258684 +689049389 +1916388225 +161933969 +1006976056 +197767836 +1427068493 +508375400 +397686793 +1347787826 +835601446 +970232127 +924806562 +1504549443 +1368572993 +405285760 +785348830 +1975390708 +711372682 +189968422 +1071927129 +1811327857 +559288494 +1744411250 +1548076686 +1402814785 +2051151859 +2013866458 +404737186 +805093886 +1085049530 +919995870 +1494143276 +853954107 +1081929840 +353635684 +1051721944 +361514685 +862011084 +1449408737 +1709302511 +1697612530 +272157216 +486625425 +1054678326 +1640730209 +891911185 +1840027156 +1468637269 +1603283867 +2029995578 +393080750 +1267128077 +441800425 +2137492000 +667721115 +1844615210 +2041160211 +534103925 +101868749 +698770450 +1619153455 +1021864619 +45430078 +325623914 +2103794459 +399065762 +1377345858 +317825497 +1261076846 +679270948 +2027128008 +811205728 +951428164 +366269786 +1865884054 +444674726 +1258180971 +1558427563 +1913311995 +713981191 +1440939493 +158909098 +1981109268 +1882739918 +148917450 +501346735 +1579871481 +42594014 +1035450660 +1681740230 +741364464 +507120467 +556121201 +786794542 +832744381 +512432013 +1185860304 +62606592 +830257510 +299453502 +741877540 +709901870 +1110659230 +1693305704 +1076171656 +829059637 +2137980430 +186868980 +240003552 +1903808778 +900850171 +1680943045 +2062717876 +734475791 +1416199316 +64151678 +1235822526 +848587149 +106745692 +123789538 +382843731 +848110156 +630910005 +938964932 +1634904698 +1463654386 +1451396945 +673281354 +1526260978 +134170807 +972734856 +120654870 +844072678 +2083394087 +1813960575 +1920244334 +764970076 +1804457357 +2107113314 +1004973628 +1560782487 +860479837 +538433025 +1476016715 +1594955628 +1954632341 +1540168394 +683294506 +655735842 +1646914086 +807084044 +1038579573 +347540595 +1437994049 +1977544506 +1982445293 +754164788 +1281457803 +508243000 +132942118 +1415628611 +1480977856 +253596989 +112217641 +1416888295 +2067557564 +2032461975 +34374723 +1724531273 +1992091642 +1039348351 +1137830113 +705087831 +1577781377 +466363180 +152559812 +1384930070 +2006531574 +835854318 +2040665913 +1505962013 +1642938363 +931761838 +1853502608 +933448764 +761822696 +1688464253 +1687613552 +2043280500 +49223605 +1820555671 +1311425463 +1530201462 +2074152660 +1423643104 +799606109 +1994226576 +1308621431 +833980833 +1571274201 +1153229425 +1873329184 +561620666 +1858317257 +1303626913 +1027983847 +2010877069 +541073336 +887031773 +699247739 +434255601 +245510138 +194702454 +1366017439 +2099012746 +1128151219 +2127840136 +1639993352 +668281123 +2023636988 +1689216957 +341353146 +1187578803 +1071934771 +268022158 +463738259 +1871540881 +114765086 +1772359690 +558038066 +1686039288 +778105468 +283883602 +100176306 +488939077 +1587510516 +1128160153 +352332498 +2128583852 +2015191927 +1051580237 +415355805 +113218417 +1246282692 +1781373244 +64747516 +226950263 +1761729732 +1704740868 +895231386 +1637883072 +1246474177 +1236584533 +677978227 +170925301 +1504606691 +1141716486 +2042466182 +1619371778 +766592529 +453020600 +1157927418 +1544697997 +736904202 +1258103724 +2033637074 +176931070 +238780230 +238485924 +158031274 +106488509 +1290066161 +573387079 +219706926 +388865205 +207276676 +284454442 +615815468 +1969006408 +1989195310 +1511046855 +1459405833 +1088185840 +600147740 +2137384060 +1259111141 +2104754431 +1131616899 +1154093675 +1576642561 +1898209428 +1607114275 +587086331 +1295423777 +196534829 +1845190056 +1181577203 +373465900 +2083970286 +1420063127 +531497174 +42975147 +562645640 +1104884254 +262682073 +951510846 +1312160930 +547136516 +1567326314 +1133683690 +388848178 +930889521 +445605875 +1477034018 +1531037261 +435506288 +588661511 +1488308045 +1567123187 +1742755186 +917466958 +1317848967 +1202385813 +1504553290 +465789096 +1398920643 +1202259698 +1647366299 +1772386543 +1138746336 +919945778 +156400069 +1181721483 +1482591418 +1261284323 +1444403556 +286618616 +425961605 +1991540072 +1853944931 +1559645296 +232904603 +637350804 +2005251171 +1709938621 +20904418 +293273811 +151116485 +1509212463 +1860396998 +1893871671 +279195773 +1030762317 +948773837 +1783749063 +1496551413 +200210832 +838525113 +996434064 +1972597375 +1977271449 +1916379842 +2128997444 +1011509284 +1251487613 +1242798120 +308429193 +1538106229 +1668759725 +152485617 +1244567512 +1080921373 +385390220 +1881918317 +938688897 +2095328842 +1902822735 +1231962708 +98961679 +1264551550 +944876059 +1992833350 +1543747323 +1975638376 +794123539 +1180012739 +1324706142 +994334371 +2018537852 +173656558 +819448098 +1848325654 +2090036401 +800961895 +712351290 +1194040366 +2043760015 +1020780483 +584662947 +1565036092 +1173266101 +1829230460 +498473818 +1558656321 +1563665129 +1437162715 +1506501515 +1319004216 +521641775 +1605463194 +436072118 +1466517834 +1450812897 +1979819441 +1294672563 +97452788 +1012348532 +471895057 +1091787160 +883402737 +645551615 +1911235258 +584244743 +588104368 +564713505 +1296596033 +1782144734 +460989872 +169892869 +219324034 +2026025965 +1343158970 +2048554494 +377016135 +754331643 +1464735975 +1814178850 +113349511 +636256543 +188336977 +1718812705 +1072328661 +1654854812 +1022141954 +904664454 +802043727 +1119594743 +1917012987 +1273938784 +63898255 +652932076 +1919490399 +1975133513 +1237176819 +360111120 +392363371 +386289204 +2142255854 +853353243 +556182073 +214096240 +731895560 +1899341043 +115167086 +1108911695 +506189039 +1579903061 +775606897 +619538550 +68675956 +963943875 +190867607 +1141004617 +471315039 +1213009562 +2045669072 +1273358766 +185120657 +1815198411 +399813902 +249018912 +320646839 +171820653 +76668777 +1557823658 +531931773 +469032148 +1944112862 +526703980 +1322385392 +352811288 +740800220 +2054280952 +104668683 +855967307 +1015709000 +610857722 +288386720 +1791315897 +1230396272 +357062677 +607776124 +1421263880 +1498067294 +1079091163 +486789794 +1396252718 +204966281 +671910451 +1063967481 +604780183 +920929363 +1384614320 +776600837 +997598140 +794954330 +1308532610 +1466630289 +591583545 +1835236590 +641532033 +944394833 +428553163 +548329337 +1049063516 +1284520470 +1564038337 +1659921239 +1572907190 +1207870587 +742833863 +1929969867 +1815646711 +16614095 +1280553514 +747254227 +503403889 +529322584 +952220508 +1175314340 +1593290066 +1557000692 +2096243703 +830420738 +186117881 +946358196 +1625375069 +1494650491 +265504837 +69474966 +1182403434 +907036870 +1013869799 +1610956597 +1455366207 +2062933315 +747993419 +871920897 +1575370906 +173416961 +2079791484 +170721122 +2103386829 +1747954547 +187335217 +1236456695 +347725126 +690739107 +1765779279 +1299945635 +1866053447 +1211585697 +709462679 +1814813503 +2042006436 +895580560 +613688051 +1519897857 +242747403 +879192888 +1589372823 +1425150837 +1786229758 +455758974 +888623786 +1094112317 +371208641 +1636617205 +1966033214 +1946579548 +1810034167 +1898341050 +2117300670 +1765937348 +1498811950 +157152239 +854910395 +1846537076 +847891346 +473206026 +998999063 +566461146 +1684791724 +1708461742 +233791001 +1579314512 +456558654 +847479052 +951728721 +699306058 +1726671940 +393617896 +2124456895 +1365418050 +849376870 +865597034 +312046719 +1220585511 +354730591 +130596286 +1019681411 +17281110 +2028937336 +989498433 +1783218458 +1380265638 +1146650673 +490645205 +1079319067 +1994542019 +963851232 +2078318130 +413519517 +501159308 +1639296225 +647310518 +2080473820 +2095854879 +1494789570 +884718893 +647677289 +1073977862 +1278336789 +624650537 +291912264 +2127713659 +1490247571 +603958984 +1200815522 +1844978162 +734555270 +73013286 +1862259273 +616008958 +1062511719 +1497994083 +1996274597 +61678744 +1988639289 +928110016 +2056220764 +805006873 +858944498 +322256633 +1306166181 +350757075 +969567152 +1239156353 +299128307 +316873074 +2123875246 +946805596 +1390850937 +1254728387 +1571456133 +1682763201 +1234958398 +914220056 +139238537 +288290272 +611714571 +873793807 +361303558 +326490196 +1489802766 +1423815278 +1824484279 +1338593715 +1485494022 +1665639920 +119220083 +1394231138 +323163145 +978164581 +1716487772 +1629329326 +1328921657 +538571276 +721002031 +1628049964 +855444350 +697393629 +427371912 +98811639 +1952122016 +1998828046 +1781574841 +1039596766 +765564454 +1920813378 +1327887039 +1377279025 +647123538 +1689190597 +1703769221 +2136926304 +965522227 +1380769853 +1328036371 +303532602 +898926125 +1447256454 +1697763740 +1222089271 +277937387 +1266767864 +703934949 +1606859044 +1805339140 +1424936981 +1087425360 +513299843 +2122330610 +1514797273 +612111482 +1926968979 +1366141671 +246202675 +819082097 +2131706125 +19532406 +2146969136 +1361501503 +666655944 +1688676086 +917787076 +656098600 +506714665 +151073281 +1984134971 +810247267 +1049999407 +1283907777 +360527360 +124605030 +1561845164 +1627295224 +828539979 +1021220561 +1285150717 +105993312 +2108645921 +1798450560 +80840275 +1475959546 +263078394 +2007809254 +694617569 +509281070 +679407703 +678840047 +528813476 +678893192 +2040341550 +1195469420 +220085630 +810644978 +1851568020 +726800295 +961718260 +1688219343 +1537047563 +2011717667 +824643472 +1897574923 +2136322697 +239004988 +1377386499 +817379028 +1260225549 +515053568 +923372341 +1221387823 +166020480 +1004212616 +549863721 +429098875 +864538222 +1244481291 +938379945 +1543945925 +1923321338 +1467193421 +75355469 +1816179240 +515179193 +295441099 +479340570 +219263565 +1022241395 +1441058830 +1907482908 +411805310 +1305292849 +584642732 +161896585 +1294131898 +823647720 +1539283084 +2111510927 +2083873270 +2054336653 +887399620 +1157777445 +72873485 +1891612236 +1707641166 +501972360 +608666810 +804638809 +1440352305 +5129087 +580476499 +760062078 +80484557 +249172091 +1275241271 +375925656 +728512662 +1494504836 +1398167051 +22087844 +1254504096 +1809972361 +1327380694 +1839146828 +1971868946 +474028944 +515310901 +1363668383 +438056223 +451700523 +1270521388 +1325455843 +1609477968 +1343394873 +1069584431 +1169635486 +1845367234 +1678251241 +1974274296 +1138235891 +1683380329 +407267147 +1898297970 +1763864886 +656439239 +1026055593 +2139790542 +1384951901 +373076782 +1390473946 +1407039745 +1627580878 +1052962659 +586936791 +1319244059 +877347958 +1060965736 +1834554960 +93532693 +1499021959 +138771835 +1364054081 +676994155 +1748249803 +559965306 +1746578586 +770401641 +257848892 +1277346180 +597192289 +1396084784 +813242861 +1004459437 +1146899106 +429624099 +1660898676 +25471051 +421930993 +898366929 +398547833 +1812404939 +157923026 +2026128712 +717883951 +744859818 +1197889123 +1595231909 +1805825554 +884960435 +1688764602 +1157363865 +1023732270 +905335035 +1834358020 +624498425 +1465300341 +1433452959 +1394900066 +1723149234 +563315491 +1992092356 +971750370 +1376558352 +849068145 +2118649476 +1806182451 +362483173 +2144120527 +80629796 +1260850102 +395184713 +1893034736 +1418773128 +273829777 +463435039 +16149298 +1471718900 +2058666948 +1821974852 +209195687 +1599947902 +831855070 +1232927957 +357799289 +518729442 +1857426382 +1823099630 +1952182401 +1104842800 +1398765216 +368014244 +949451508 +223031938 +1744572596 +1798519653 +194197766 +1403271399 +13519178 +190834646 +1483901196 +1274369280 +586019359 +1229452284 +545658761 +859849136 +1692887323 +561808059 +184084388 +1604070623 +236299264 +393280075 +1056534877 +1068154334 +1626208032 +1414334166 +1586883776 +1336150766 +1089950148 +1391582530 +293509918 +341231717 +1759596774 +1242961427 +564263655 +1356685723 +893997432 +758461422 +612473474 +907516611 +949296068 +2096374670 +34402243 +1535315427 +1178343306 +580061004 +247680915 +723746981 +1141869064 +431765303 +180333956 +1378168328 +825045378 +1236868833 +298839014 +303769762 +503719351 +1885722790 +1639920528 +1593669500 +1129821672 +1933430446 +1934901217 +741934799 +1028908225 +351681224 +2098620522 +1922905658 +1110142646 +563610348 +682938621 +2059438714 +512501371 +717340864 +1447270493 +1690844677 +1297401869 +1694951408 +267108011 +291787285 +2126716711 +447441967 +1669955613 +804278441 +1684310801 +1968794627 +1108048203 +40546504 +1707033769 +600485083 +1634216004 +689371794 +386431882 +1421633573 +1431306593 +1415340107 +1773314798 +1382443467 +1190762117 +735973796 +1946053815 +1873700738 +647928863 +311071538 +443557955 +2095199356 +2001916216 +1740959824 +1642667117 +121540579 +2032747109 +1621900180 +568982546 +1555219074 +278694974 +105809699 +1376530053 +1386743177 +146356204 +936080174 +1987228261 +1780572208 +1625451968 +226176495 +1054722134 +909274913 +1641516602 +680553284 +144234732 +684795072 +1416527080 +2090288548 +411012162 +2064455943 +253876438 +854570117 +2012171652 +108309006 +448046293 +1507355121 +229849585 +333309754 +981771653 +798832132 +1888528828 +1260466627 +904641831 +1117575233 +499726157 +1050998035 +2053655408 +339470770 +684086596 +1531623728 +565647265 +1738808730 +293414994 +59680219 +271878366 +437649726 +744475291 +1688405446 +380454626 +1155487454 +1605377742 +634331065 +2010057571 +1470065746 +742640071 +310620217 +829937219 +972489657 +643929971 +1811708872 +1771321789 +384975152 +924691852 +528479972 +1502550385 +1424418009 +1579478008 +1408722145 +1763888779 +116080956 +792862226 +182052396 +1854889686 +1086277220 +241732615 +2126768052 +1523926946 +986207907 +1667689850 +1904381573 +2141695361 +1125583944 +391228990 +2004269284 +448166042 +1133869061 +167405853 +1278103261 +2106358718 +811335825 +942328486 +1730196859 +1196310977 +1867020338 +111193184 +551377714 +1143954699 +1690671192 +1960099860 +760359830 +1806752148 +605478438 +942412226 +1514158186 +1691755658 +1184144841 +1493442590 +1068198956 +22869100 +1013648792 +825096881 +17080813 +2139232737 +1216325871 +2021350098 +439915131 +202711285 +41272303 +1718018393 +161586355 +852608128 +512863231 +1891783215 +2048919105 +232399921 +2002976399 +452813172 +1376354620 +1546163943 +265429384 +2136714450 +1205432443 +870907822 +931643028 +572106981 +415179832 +2115787869 +2065549571 +1483378788 +2138656970 +931714715 +160992022 +8254135 +923463804 +1377317893 +2029604233 +1363378936 +1580029178 +2070876537 +933913681 +1741615534 +776001017 +1446776912 +1485915101 +677436475 +1679176833 +1341407852 +1130249647 +908047805 +740088147 +1395679031 +897278607 +1945520590 +119103205 +1828921635 +370143923 +534283037 +1797225856 +288209846 +2017661825 +1788399178 +1219924561 +31170199 +1796653314 +2143388366 +1408488093 +1678773899 +1359283654 +841033623 +1602166788 +145713687 +435165509 +230684158 +1592490599 +1921080610 +908120633 +1124183784 +1115004814 +2038370280 +2032231589 +1855092961 +1286565663 +782026548 +1653129903 +1405668868 +463464535 +2023273826 +1939951905 +113206743 +164000024 +1810130082 +1901605922 +1383924586 +1841300282 +1550775588 +1379829304 +1102304727 +1082065839 +591629310 +1943338350 +536748980 +737342997 +231020212 +767433138 +182349948 +4617174 +1675553771 +1306533732 +1119621989 +1566440403 +1191281673 +827231302 +705522418 +1973308221 +332877558 +2111191286 +289289108 +208667736 +1903659543 +402495851 +372667761 +1566305977 +156618125 +1756592347 +1260122611 +1707393713 +988938003 +214943690 +641975905 +1580567313 +10798393 +1178724885 +170426662 +241818605 +1946158023 +352776610 +246435779 +1474228146 +1659310342 +1366057768 +893184901 +703108367 +45805423 +1598707319 +528932940 +378682981 +1562414957 +818222048 +587350717 +1318590852 +1220717899 +960018478 +737413181 +1377336025 +569127177 +1997535793 +937246090 +1558065180 +64995835 +1579221995 +991148845 +75794228 +610463232 +1161575507 +317612833 +409137607 +1514352117 +564048613 +1883365753 +1026178811 +1930106381 +629067006 +1729287178 +1975911804 +80290677 +110736470 +207111137 +1642705634 +928958518 +794461855 +813812838 +2192770 +1754480333 +1551226020 +1379528795 +176123863 +1401278165 +169291237 +1734189043 +1466274000 +1748513233 +577854241 +1542068229 +211492817 +1739429748 +1859681062 +620630425 +1106298218 +276246027 +356512530 +2132477029 +58868761 +985579537 +1714280560 +2034780565 +1065870214 +1825017030 +94408055 +561092201 +606491901 +888869910 +1374905039 +608684671 +495866595 +778647411 +1988213466 +671990458 +32441928 +10021055 +258695854 +1498715929 +1758534288 +836550095 +893300510 +1970027106 +428496195 +605497924 +443173883 +1534794413 +881743952 +799686413 +1519787795 +940612713 +1785265950 +1086584707 +827909630 +703652517 +764118089 +922317685 +1264744718 +1370609990 +1811187595 +492166109 +1979294661 +159570543 +1270813521 +1820024479 +831561001 +1303255449 +1830045535 +1090256855 +654487730 +1441096175 +1926806950 +1547788240 +1263639633 +207819498 +5802517 +1706813516 +1742613911 +887546469 +359016282 +1114918058 +1828159182 +2144282232 +54019117 +508585164 +700451101 +818137207 +1430902850 +1965195819 +41263549 +1094606797 +309878281 +2020558211 +1254177340 +1580691802 +1693099042 +2085738342 +736463603 +1375660929 +1028511549 +1390951334 +669273457 +807834852 +791255926 +1932913090 +1015654350 +797058443 +1492242959 +610784613 +1684604912 +1851259241 +1725702672 +1365280446 +1848057825 +1779721789 +1873865611 +401025279 +450375348 +1157284813 +218737450 +491638898 +104407962 +528615731 +364713461 +1358585303 +2109307533 +2057812503 +1296839997 +698287489 +1285989785 +177867898 +2089238823 +1955263242 +985702750 +733011101 +1740692684 +2001357100 +1530069545 +1085451995 +464658066 +1067190809 +789227588 +42877090 +284987608 +489801766 +1822598879 +11369571 +890827045 +125490580 +1168654384 +1109564495 +617129478 +1273062346 +1638180227 +981842939 +484164001 +1600004112 +892171794 +1781003998 +150807953 +30677931 +1958871897 +92563128 +1985941173 +797090999 +825574230 +1579150210 +650964452 +208160127 +517118557 +1115622518 +1275350936 +1306346146 +1158499608 +1560338544 +1796147912 +833614839 +1571708115 +539491309 +959105419 +592878851 +1649055804 +1576234897 +1865941198 +1139752383 +410594188 +202621551 +592272848 +1302765983 +1983625550 +743080801 +1333443914 +1795013799 +835643930 +1171901440 +444621150 +1661218160 +603568002 +1095585602 +1869378287 +1120686559 +63724472 +997245575 +279549057 +1222224080 +410100472 +2075696969 +2055838920 +1981808587 +467704630 +867460691 +427203791 +2116760435 +296211941 +145661341 +1109029170 +706806129 +348282892 +1701302018 +2009572112 +184424794 +296899172 +1195532379 +1979438593 +1132543102 +219950171 +276576096 +646277614 +823518173 +1372161698 +368172253 +1944204732 +1435886171 +1365417828 +76270142 +510626603 +1775518300 +4483463 +418981875 +1609843240 +472188094 +1286442567 +2037047031 +441464881 +1582654508 +35224724 +1550494051 +141976989 +383507616 +1104312422 +4065454 +567932411 +1401211594 +1199597833 +399887356 +386271048 +1419548004 +676463452 +1032548662 +95582529 +2048625151 +1400720915 +2039787261 +1337027674 +618655095 +2116057403 +1847654277 +246689748 +2120540867 +119152505 +1856532988 +445245313 +1405595072 +1746096371 +886710194 +840765932 +1781321095 +289720597 +982742921 +17345063 +1394033019 +986808375 +585277474 +647760965 +38922560 +985164831 +1034032013 +1458470564 +1661628283 +2066580675 +1554053093 +1562769786 +1319817942 +1446356707 +752313812 +1938473038 +1414930462 +452484442 +37679138 +1387987681 +571636947 +1894212126 +1833232994 +1977232019 +1492824849 +572459540 +670514303 +1126662296 +862180138 +1653257224 +1144007359 +108729509 +492581952 +1729284834 +756490475 +531504512 +566966017 +1790522488 +1989975077 +81110652 +1709619516 +1396544522 +1643880439 +881953810 +695417581 +248710603 +672943200 +2110348044 +701195045 +710622338 +1350852077 +1272831992 +457350816 +1036601424 +1102580363 +1950175665 +1609060964 +1773094666 +929354313 +323757454 +1278868243 +2073361673 +432486964 +1771450195 +1655162859 +1188977439 +155471059 +74645228 +832016279 +2145446136 +155755880 +394152147 +1394507011 +1799636319 +1276105958 +2089924592 +2048346923 +1949049158 +2052788988 +602058320 +512187849 +1256157418 +1874890313 +969538665 +145275194 +829987028 +772230683 +1754336158 +455598047 +1701584996 +2078093613 +1734466290 +1627463021 +363096929 +1358432837 +1135142232 +1552074368 +1513903896 +1209787460 +236606999 +1511866385 +1365543341 +630759147 +758889748 +1017696012 +1906865105 +701330692 +918559287 +1708430615 +606636033 +1520617608 +73134816 +1862793451 +1248024273 +1042673482 +2008068645 +2078011301 +1814904165 +1614921155 +386125700 +1369005513 +1545531120 +2120591990 +848984887 +1908628049 +1331541179 +1984127119 +1313218769 +697961428 +1046430932 +1549825769 +62344165 +264490625 +33101268 +821233913 +1282186637 +1939966373 +1522564605 +53262277 +1500913340 +2129200638 +1573879885 +1574048157 +1844510441 +674420510 +469237991 +1705095438 +604948163 +136658508 +1172532946 +991073864 +1505664021 +570580418 +964182206 +207165260 +331724820 +148239738 +43808732 +1644943589 +846201166 +1090239664 +1047285710 +908545331 +1354730289 +1080386978 +1729779244 +489433278 +872869703 +1104860201 +542695555 +226299396 +1086577192 +2116575440 +1800347553 +783603985 +643512302 +122101896 +341215776 +1248460466 +258760404 +1513748722 +92050682 +1764424425 +2084329140 +1056232888 +1971589686 +268570312 +1204472626 +2015398418 +1913513902 +2050673792 +958154434 +813315964 +811735475 +165401075 +1893702943 +394031071 +654834353 +619088998 +1498891273 +1197529909 +845388394 +437984817 +1166621701 +498252299 +1221588802 +1810134004 +620354195 +1562804578 +911110822 +879114599 +929069652 +1003161504 +496055377 +865915145 +2059394392 +320161415 +1134485457 +1116383371 +188076185 +900515711 +1019573515 +1146230619 +1713831676 +1831308991 +1311631694 +1460050971 +77856414 +1966466047 +2079139969 +1576747687 +1016512308 +777044716 +2014732504 +35650362 +1275297015 +1088837659 +1845784366 +1895651211 +504158589 +609411540 +627282162 +1433228242 +1612573044 +1123337539 +151659739 +1524483788 +1443498954 +1286145196 +493383511 +1631575139 +39177260 +1512957027 +630322110 +1753008936 +1196782370 +1941953804 +1065576259 +1274638784 +1760936204 +997232580 +703902824 +629964864 +1774277296 +571151680 +665615226 +902090664 +1659989339 +363915944 +650258227 +16664281 +973327484 +1277540389 +1449892523 +438416880 +253394281 +1601552262 +1962900669 +1696893235 +740213810 +308800532 +1180984727 +779391070 +1821757559 +1811306837 +384916358 +871056281 +1605776994 +1450492617 +2145695066 +1219229550 +300241550 +702114242 +1849194414 +2074518846 +1273265922 +367325993 +829125862 +785771614 +731241937 +1479384089 +802435895 +1704569422 +609440831 +104844770 +2142986302 +862835112 +1706397032 +1958403323 +412244699 +299127194 +119720208 +1593229426 +1078518265 +1941477767 +1257052616 +1463434623 +665050401 +715345962 +766443593 +663261819 +1934575512 +1066685143 +1365376061 +1636286278 +993720341 +491158335 +2003612271 +1822846204 +1276929949 +587370561 +1154746645 +2079365844 +144456335 +1764187476 +36726966 +139958989 +479538940 +1743123998 +2098362313 +891783640 +2042251193 +70598873 +337529418 +973285810 +2012076640 +1594582034 +289236785 +529643393 +162444348 +1055680378 +1192905212 +2097019860 +2122365521 +410797625 +1585822491 +968602215 +901955961 +1441951114 +643964771 +31402262 +2029321675 +1798711416 +2110768107 +26294362 +1415415245 +11425 +166253352 +1894954185 +1743135424 +117132017 +639254177 +1637902969 +187730890 +976783596 +463705131 +52323882 +423881982 +752941916 +581967276 +586326331 +1808622295 +1774872488 +535862543 +1783504168 +38186466 +2121685034 +604622735 +940142427 +1416152501 +1248587506 +971544689 +1297990528 +899815275 +934829148 +1324284891 +167746872 +934840574 +1490538243 +2062701057 +530492350 +1607670260 +554471587 +20911671 +1795401150 +1531255183 +484616802 +1847725032 +1955137165 +1237558718 +282208660 +393979848 +898697365 +2057081149 +929842392 +534717886 +2095267615 +904043778 +1139340621 +887926394 +172712631 +240444480 +1859471083 +1470703160 +1140259755 +646816584 +647504403 +1308006627 +1581657158 +2138042646 +1223224036 +2112149508 +1598229258 +1777695623 +2133061179 +1246146760 +1161467158 +470194333 +946388144 +969120676 +1707753051 +1228596805 +1363100524 +458966769 +1138194306 +145459268 +993684655 +1085978273 +1049503047 +2133025276 +1973904667 +1222215678 +225986108 +1685892102 +545435190 +1366245863 +185225038 +1192939593 +526768842 +1766882196 +1183498591 +1749992879 +1731548056 +634244201 +1380204854 +1717125587 +1880390961 +394188365 +39836272 +679295458 +1363309041 +1747589324 +1907892263 +578925917 +59072445 +898602921 +724385186 +1052757100 +1984581194 +1773888233 +1038298728 +1811002213 +848620263 +1264284837 +1349410667 +1394055454 +483047052 +1534635706 +439511399 +1009815895 +1154034254 +1623009991 +612325126 +738098663 +109770544 +1992529980 +307740602 +1990161506 +239234697 +347576875 +521973316 +1602543738 +2095166199 +282381931 +33986008 +6754996 +1180984852 +758371194 +1059512096 +1018082398 +384775779 +2097810824 +681600963 +1233396042 +1214612013 +2031011630 +479967848 +1697659066 +1418163688 +919479248 +559991313 +424714295 +395005591 +1172316439 +1162812958 +504776135 +1017362771 +1470553560 +347453993 +1256597469 +1818130435 +869427309 +711657559 +1765812986 +1151809240 +745643567 +1772567982 +185310444 +1504014761 +684596430 +1203392842 +1888790540 +634923607 +1884993805 +974702935 +1849535620 +1768521788 +1454670783 +1399711038 +1039201828 +226666383 +1959702351 +1463916123 +621671974 +984535142 +479245433 +1126448110 +2001897914 +1949798994 +1473902103 +1111011735 +1620445781 +195845765 +1822669294 +1238775120 +1347655005 +420829214 +863859454 +1532965450 +1924843975 +1548455885 +588874644 +1666150868 +35895844 +326384802 +493370155 +1885431464 +2094906590 +1948040938 +1137658855 +986624770 +27223674 +949877558 +303057246 +648895648 +1934412701 +782302679 +1775343758 +1788826967 +584618025 +1101762214 +752355054 +57580159 +1297607979 +427540700 +1296355279 +497779336 +848369914 +12731085 +2030744786 +625730242 +1561186970 +472135783 +144397462 +1597082814 +798520585 +637767617 +1335030631 +745943527 +438324907 +325205838 +1732568297 +465548581 +1275083396 +2035625543 +1114444230 +1062012449 +670444575 +742304340 +703355768 +1255062600 +1844066554 +1455710822 +1312642759 +994190885 +1883251523 +461514390 +1491970222 +584137789 +474245476 +1375231360 +1209868031 +2035432446 +1847367143 +1354265493 +1485031613 +498404080 +1992033110 +672578596 +1244347607 +282874370 +997784434 +829432257 +748422951 +125384182 +717574152 +1862867181 +1187396632 +1388018727 +457687874 +1890752400 +495597680 +154270780 +1198979575 +1808240439 +1148461666 +934747450 +122271182 +492948240 +1518885239 +596516658 +1868179600 +581269623 +484465456 +1568063096 +1935535116 +1969497069 +2066467176 +1780084579 +494592017 +1163331136 +2062958949 +1492376451 +1992763393 +663898252 +1617760634 +562853897 +379281786 +657673618 +1950872625 +836969660 +400942370 +298986657 +991240440 +1599921945 +2107227096 +2139702106 +387185747 +82014630 +485166698 +1906070987 +678531288 +205862651 +339856962 +1162996745 +1773925747 +127908430 +985010166 +1692909275 +1907993009 +1479602184 +708756763 +1823468310 +824494987 +554036508 +339882915 +294771973 +1116890406 +719164701 +952445591 +920279383 +1556134361 +1353387962 +1219266040 +399891153 +805826259 +1179009488 +392109612 +1193012007 +1261024119 +877276310 +951599346 +1939555407 +1083138961 +1291456308 +955068504 +709581060 +1419364738 +1940078671 +255006688 +1179874100 +1272197207 +963763451 +855858762 +2096692194 +1517799960 +1195741677 +243980520 +487206718 +1914906378 +1196426111 +1407486101 +1323557091 +402330425 +479268493 +1723448245 +1208156685 +1658277981 +2115557857 +253685044 +771818452 +845350519 +1205284390 +563890212 +1928489481 +349257050 +1518958716 +490586893 +1768621788 +1311553739 +745593581 +801012240 +436267298 +1709357033 +1656871003 +385475845 +1079673345 +705129032 +629456365 +1566880063 +472551763 +1825882476 +826882516 +1796108854 +80729254 +1306151009 +1372073451 +1288885939 +816945342 +1340147660 +1542570983 +1588763795 +38014532 +600371725 +5170359 +1966504013 +949628775 +1524129075 +309607258 +570766915 +688199167 +1055200840 +1371779156 +1124466465 +617074225 +881166511 +1509942310 +1696747570 +1586295543 +2139398675 +1116143985 +2058847306 +1817797504 +1943026501 +1707472513 +1898526758 +1101693862 +932062316 +1039929049 +1918639204 +124726329 +435016384 +1359919351 +162740861 +1035388109 +1365089710 +2129244874 +1985016884 +741735138 +291368484 +408300151 +1429934305 +1346569324 +1780079307 +406917122 +1963643549 +513762170 +1916859433 +1512907471 +2100057714 +1908774460 +481567808 +2011421372 +1579088316 +277110661 +1571410237 +1330131426 +1378804523 +355988906 +222576827 +1149960080 +480715235 +657593211 +362395783 +643456096 +1692981320 +1727485494 +625217322 +1530514556 +321736984 +916585806 +1938814708 +1751671289 +115671483 +1571410367 +11104763 +2079315032 +2085172538 +1927964196 +1444738856 +2037746604 +1689255009 +1926306664 +1901684328 +1120859677 +55933678 +1325610918 +303507456 +1434738201 +1681599824 +526084283 +437214633 +14831411 +1183677495 +799610417 +658287507 +729175167 +379612263 +1283504829 +112206076 +701349247 +52606987 +2051020784 +305536888 +168278470 +1474947503 +316641651 +100109855 +1412636393 +97122200 +1544848711 +1302899349 +1786377209 +1323671727 +1057100030 +759753238 +1379605405 +235227300 +1063260694 +666859959 +1916827124 +1589344978 +1104074592 +1931658535 +625538825 +1903685009 +442462394 +1354713992 +135813624 +1725967223 +1466920068 +837162871 +1778574210 +1370457204 +1142699759 +1946852681 +697921060 +1459341411 +2046962536 +2110557453 +1556463611 +1444327599 +1265973155 +1195357172 +620515678 +175589537 +1955110410 +2000121084 +410816837 +870887457 +519497395 +180160313 +312748787 +1623571987 +2111818848 +938287612 +1379773349 +406797594 +145517956 +1515586973 +2132764817 +1612438025 +205266197 +1763855379 +835411581 +1347965956 +1563224412 +1533332641 +659823719 +1462703300 +1496406447 +68803682 +759547251 +614895954 +1264160854 +1380062930 +790485491 +1071787617 +1232700366 +1201302328 +1942675074 +1752197761 +1381462641 +107940213 +1228286100 +1345797841 +1046227825 +460575801 +1752595435 +1191745781 +1976162775 +1737876604 +656700158 +33945324 +1354248335 +1492111740 +1381911280 +769989100 +877960733 +2041735000 +85208752 +226883532 +2110538682 +844756004 +841779486 +1227215889 +77335286 +1632264977 +151519858 +1310035652 +686083657 +2094194932 +914749765 +2067546298 +54651497 +2143035865 +1265860491 +1100879322 +456128019 +870972278 +145141455 +284807146 +461365234 +801841614 +318752470 +1815613570 +146469706 +1700663750 +438119022 +1024430439 +1594915102 +523327774 +1251313972 +1557970137 +1368083778 +2093093458 +637702378 +1445419064 +1577874788 +789222236 +607971068 +116474797 +735933520 +1522720833 +36537448 +790585017 +1518273051 +1302397939 +1891464339 +1974401070 +25886570 +2036605794 +111724568 +487251804 +690963760 +430477038 +155381726 +837433466 +2131140788 +593500748 +1861863906 +1578572243 +1116828523 +965694230 +989058732 +337428653 +911304040 +1626761110 +1782847718 +341695180 +268499698 +243335138 +458169978 +1004433218 +1766055972 +494707426 +1795018235 +1136845375 +1797105365 +1538998926 +963762797 +1822991935 +1428121072 +1075487365 +162760092 +2119084833 +1505964403 +318141818 +809034651 +1489621543 +911642567 +523414909 +920710138 +2028471090 +1489109139 +1909768870 +218416095 +252929532 +1389046332 +2001263813 +594624712 +1657546030 +97115304 +1052794690 +514495600 +1863171276 +1547502116 +162030187 +852533003 +1197123834 +1701029113 +1816295800 +872632121 +981666538 +744299517 +1035392213 +953267723 +102780272 +1353534032 +1762302374 +1592401815 +117692951 +138233636 +365628306 +2146164041 +1627342775 +127913528 +217096488 +1880272307 +1516959861 +70876654 +327413372 +1027022243 +167991958 +1380208062 +1541517844 +2031163234 +780226531 +1703548031 +736212589 +1977350365 +1257093497 +405024741 +702498838 +91276387 +1149324258 +1737891052 +1044544110 +1252104530 +943941436 +659362836 +697022697 +1061634387 +797596472 +1062651003 +1060314780 +277455600 +1190564532 +1277411268 +10244259 +560040745 +1348287922 +337657631 +1587062988 +1516279880 +1717865694 +981097184 +1399959466 +350608577 +537161568 +2136172055 +180475294 +1794255065 +393713148 +882974132 +1885531452 +1543037406 +473381536 +782591914 +647658288 +1417322972 +1441954750 +1344680986 +331473711 +92067575 +259848341 +1391788491 +369523175 +1450412873 +521716112 +379767434 +2010453618 +1870004034 +717425066 +1450032959 +1238800267 +287807112 +283646495 +491276085 +638415689 +820808063 +479964493 +818890983 +467579480 +873677641 +1701865115 +205627284 +269231400 +27763004 +988219198 +916889688 +1445085976 +282690301 +114087026 +1776559688 +374757876 +373935368 +1020864531 +744281051 +1824348241 +1542580643 +1124048485 +1687318212 +1265101030 +1841473551 +989867523 +356417649 +2129280663 +1273514018 +847693734 +620212704 +2094322082 +1327658227 +1439103687 +414417914 +53852221 +993485155 +620045199 +323083621 +1021248159 +1608264397 +1239973309 +318850487 +1890954698 +1354060336 +2095410175 +118228926 +1727995704 +968791059 +862509977 +1404860297 +363888054 +1986558463 +944694861 +1628989084 +1680548366 +1934562384 +1985406733 +1662345382 +1060592755 +685616820 +135074438 +1007431189 +2013275047 +1574178126 +1421849103 +2067127268 +420179633 +2041894302 +242727241 +1441427792 +1502675052 +1482700551 +1760278279 +1246146102 +689277239 +1708204807 +1364375029 +269789295 +529512218 +79401358 +1674649592 +893400272 +2065959821 +471860806 +374905709 +1599024540 +258939542 +212828794 +1113886274 +1319532297 +898445614 +1248960712 +179479838 +764237014 +675655190 +1601328942 +683880634 +1095834823 +1495739596 +926607876 +389778967 +850931000 +261824779 +2573599 +2097077103 +951102018 +1710778406 +1313968484 +1220891313 +92806976 +1393369842 +748057257 +986207248 +1311846016 +1219918063 +1361112957 +763386908 +1478857606 +1573941752 +1877273182 +650906255 +324903718 +978750246 +830386094 +1089140732 +1654405437 +284231388 +1773021367 +602756612 +1779970984 +552145595 +992535580 +483418337 +813970374 +995109179 +433011792 +1765072392 +558403937 +1746980276 +838480057 +651210913 +992866470 +1586537314 +1637418161 +157228838 +658971730 +851047471 +920615746 +2137829336 +277505575 +650405280 +641251943 +602409293 +1629155527 +1471638037 +1691550026 +1136077316 +1755869425 +1317087745 +1738833928 +1388356762 +1869233340 +583885860 +1871775099 +535720066 +1578995039 +157303243 +153308810 +2137398976 +1904283519 +991788867 +641126241 +749666341 +430842533 +131060755 +906895180 +1089814263 +982108226 +1827510926 +1080159951 +1259613801 +330432559 +1721411895 +1862023094 +1959588086 +1045566284 +1406089472 +948181754 +653952062 +575693569 +539532034 +2042308824 +297443261 +1123417895 +1766600275 +833163327 +554929286 +1923903518 +986472137 +544844615 +1680703389 +1978261004 +1185970856 +282886082 +261619890 +1317031611 +1189781262 +1351434153 +151656189 +869808541 +284110457 +1411269990 +1200241100 +2005522352 +1125809437 +1012345538 +903604988 +384415261 +1960527292 +1557557050 +960108831 +352575678 +1452382226 +1257552092 +1475993573 +1071498853 +2090715420 +2030922860 +847918723 +929703909 +428283827 +381138464 +760481266 +1614254683 +664024547 +1022101156 +783802647 +1853805809 +226051661 +935458836 +576130702 +510162118 +199245179 +1776371802 +368200822 +1325054616 +641233692 +1271805811 +1709469877 +454277336 +681879213 +522095060 +806853015 +2134261440 +1779647153 +135362940 +1058276645 +1722878925 +18802152 +1906195369 +505099186 +447085979 +139850185 +1265580452 +2061340663 +803874732 +140197960 +697659662 +510196894 +366249622 +1633118498 +1086327596 +876411740 +1832363677 +715215751 +1244612563 +1009934645 +1356449443 +368934726 +571920875 +1810726780 +1050813939 +1094015935 +470096147 +1037591731 +726179440 +605459087 +2095868377 +301574717 +624261240 +1854580098 +806673904 +1071347219 +1994430283 +2072254356 +985204234 +650821368 +64968669 +1682863896 +1161018262 +431218291 +1168498747 +99862210 +1307630031 +853378776 +815077961 +404758946 +1863313422 +24043757 +773693672 +287750649 +1834770537 +1824507612 +1381766584 +157383036 +714615695 +2107946025 +762842123 +663000424 +262037094 +1387103363 +370096874 +1068710998 +310966935 +217043510 +993481707 +1296171169 +867864878 +1058450376 +831551418 +2028883140 +1489668667 +2000050165 +2128745350 +649815050 +705945293 +796339664 +1054573997 +421775067 +820383421 +1828267669 +709525716 +507670310 +1505291633 +2091292301 +665053346 +72423681 +2051754678 +1427895469 +735424105 +166308124 +667515185 +1105520980 +1235019123 +978482120 +1322564490 +81017182 +127169641 +42945720 +1139467558 +958721059 +2071828860 +481652577 +811287576 +2053090562 +1131467627 +1517232870 +701946578 +38557976 +1939007937 +1522329999 +1866825646 +501050006 +2030000309 +1224633631 +444858659 +547570007 +1297057312 +349129689 +1975465477 +2032481418 +515437813 +495497014 +990518750 +1750456936 +1473979134 +165599592 +1831474118 +1601148775 +208545312 +823458028 +412386187 +132890524 +1305110605 +1223673763 +38497438 +289094585 +593422985 +740444017 +327652561 +384947275 +115290368 +46994559 +885997281 +2145290678 +1271628191 +1330855940 +545377037 +421201855 +1679985629 +373358866 +306199625 +47939794 +868855880 +1296718375 +1798396731 +195351366 +1462317967 +1482387201 +1796500142 +1670863279 +158361582 +61402681 +1803753803 +1463472187 +1285076444 +1842251242 +1752566772 +1878499430 +435211611 +2080219334 +115963057 +550501979 +2127213893 +1001960338 +548309009 +1251358436 +185332630 +1093686047 +1672560292 +1865318259 +1467044913 +1978759917 +1913258053 +188417146 +1127994645 +1564171136 +383768512 +442828964 +899074690 +32785006 +2113692244 +1057436272 +94187687 +1769962399 +373424811 +1379264132 +1464729993 +2125991584 +1110279914 +1899941604 +2058727270 +1226242971 +302959936 +2038457515 +80719661 +851268945 +1142332304 +266052291 +1944954992 +667408948 +2131370550 +1264516258 +498685217 +1897144955 +1452933404 +1626679862 +1313832444 +1836701916 +2069508827 +65423486 +1869486923 +2035717423 +1122859758 +1963674610 +1658196174 +1496284569 +1195455094 +975442520 +1474792505 +158251360 +727900476 +1386036127 +1384494331 +1030860412 +1277009995 +1465213992 +1882129358 +271858651 +1731266283 +1679600702 +939267599 +1715153185 +796633312 +1437952816 +1464814493 +102083068 +917149031 +631163289 +1938784985 +839174210 +696586775 +1660788260 +727407985 +1819446533 +1476979222 +238120511 +1168247454 +524950669 +1213563031 +495556312 +683202029 +1941463508 +1881592439 +2067696361 +824840272 +1011118786 +1385426705 +559485982 +1282977437 +969209341 +91603037 +74761388 +536878878 +888236349 +1512714205 +2001693371 +990319418 +282379588 +485373012 +781620755 +1121553798 +1181959787 +294925367 +1848961783 +853922672 +1771904589 +2087082294 +2022170127 +149371610 +1153161678 +370242791 +832573640 +947141538 +104351582 +752786353 +1771981810 +1115470369 +2138213058 +183984145 +250964158 +959938751 +275587182 +325725547 +1496817630 +1163823531 +1838439752 +1351027353 +6659301 +2120819340 +1836400366 +788280056 +1094889490 +870876505 +1083205423 +796367625 +1724799178 +707626365 +735966271 +1599485657 +856997975 +1889127949 +1969728448 +1689571615 +688785839 +2074080030 +294874320 +313284002 +1042066751 +285603731 +497268147 +1293030910 +1245542482 +772855329 +1618756457 +594876464 +1936678860 +1309712561 +1945903818 +1943338162 +1283048253 +1634820536 +584134570 +230454095 +358213393 +1667339994 +1026821720 +2083012571 +227482711 +1762787991 +1535014580 +1084480686 +1504432293 +1357259380 +626568654 +45734484 +1283855763 +921442974 +359018486 +178438866 +1207046705 +856286633 +1471469776 +305105540 +1629141962 +942742585 +899982004 +1418337175 +104971498 +698402174 +1214191689 +1388019751 +185739062 +1798326259 +1618473846 +543952456 +1318182605 +497811918 +479481379 +1545665316 +113116262 +2014495960 +482662355 +1617548555 +1224271692 +1109231009 +1663283039 +360643807 +2030673983 +2022301526 +539082674 +1090237041 +731104511 +2010552450 +1395342581 +212762826 +805811388 +147840937 +1631100001 +910782886 +846243112 +697808042 +151318990 +1031982174 +348650653 +1769792836 +1575934630 +1666833259 +120121107 +2055416010 +1065014927 +233237369 +1922428322 +1547677282 +1850785924 +999216366 +509424643 +1366585315 +1359860174 +392614979 +1241403193 +1898942848 +1482852020 +1972507705 +1762011650 +730710953 +37786883 +420339390 +878551890 +1668886884 +1331122277 +1724795002 +219211278 +1482441267 +609293529 +567861931 +1104750455 +37744511 +87211542 +1224871562 +2093160521 +1152226470 +1458108931 +1868105195 +552420104 +1161411207 +719837914 +1061844748 +380512875 +2079698088 +1454459727 +1621916068 +1831157288 +789828099 +1446940125 +1445685290 +1520539052 +1484727008 +1866024681 +251607294 +1006130244 +1049663310 +1976402297 +1225341522 +384620929 +438212178 +1793203454 +1489371384 +475956689 +1880414996 +566759299 +421633563 +885157818 +2024868230 +142255110 +1437577923 +1038795790 +862093024 +351939023 +1419308665 +794307464 +1806398750 +893741085 +477981104 +448743201 +193197563 +1923666395 +1969282253 +1677924571 +1642207428 +73405899 +536571168 +544387090 +2049808196 +1761912690 +929008019 +340536726 +1407632496 +270895755 +816493416 +1140563845 +837655054 +1238126979 +2025721663 +715039637 +1380382089 +1315815938 +1753835427 +94991466 +1667754961 +1025660444 +889298930 +1326670063 +1919401529 +1367280035 +1775413264 +2112599092 +1143462782 +1597211869 +1643040016 +638186562 +1670617769 +32127536 +1182573652 +1572942317 +1794040226 +2111581671 +1913479044 +1054189075 +234993778 +582488812 +47269272 +1072648833 +1820615791 +2072990935 +1787688470 +1053514232 +1241323226 +1394040249 +1148505698 +761594539 +272217045 +2037804629 +2088264603 +44134926 +1257601016 +1716194219 +9250371 +253580150 +1165922441 +1652290387 +891766712 +689056562 +1684417923 +2074340364 +114515231 +1330974501 +2038438387 +2027994275 +237679928 +125948517 +462999439 +284949200 +1198597350 +136131582 +210456488 +838802172 +1189645815 +1451779714 +85358773 +190667865 +65890605 +357575818 +80988846 +6671560 +401710745 +1338589862 +1722865780 +410961116 +1592170012 +741304573 +2063251503 +336453076 +1430361135 +1600185778 +263309792 +1544876366 +783676631 +154264531 +1425386994 +1021356560 +280213049 +1888386433 +1306305760 +1478810399 +2024518016 +1516762248 +170128924 +1066680183 +821058314 +255487697 +1257348048 +886948920 +613063516 +1338336895 +893620480 +1014774261 +529443109 +469002612 +1425735377 +2121613122 +1210307185 +1341503232 +310582550 +493184672 +794205362 +573892343 +2038061039 +1577881993 +728156874 +1315964385 +451754905 +1008369923 +1056867170 +1758060666 +339696675 +933901538 +1127339266 +509825599 +2000581721 +1948397581 +765313296 +1110446122 +687862853 +1378376812 +301299369 +1581483333 +245667425 +830742478 +2050485946 +1671402802 +804871952 +1113309483 +865422386 +1115454503 +1606494156 +1659627748 +1689346846 +1497071547 +1090026094 +270020072 +665552284 +1541780999 +1278389996 +1722419454 +1152358017 +1618086671 +508837345 +132213636 +2127912270 +361935418 +2080611217 +745741918 +1472381540 +620990422 +2124118731 +1773680909 +54990107 +222302508 +456939740 +2105476053 +1893705311 +1261811692 +1071301889 +611644049 +229782547 +530312397 +123788150 +1919129393 +2027383944 +1213814244 +41665818 +545452580 +608111595 +1320055814 +120388386 +1760469613 +790658837 +629225731 +1892683249 +771087459 +991161150 +1825810818 +1516829377 +316059042 +299317592 +1493464460 +2089739952 +354307699 +1715766969 +399196044 +312300105 +1461988632 +1661007736 +1383601994 +2073632681 +1890790284 +1913914391 +49937183 +1662436029 +1793814687 +1263751427 +1704101847 +191783619 +1871863023 +876674013 +312172005 +1484848988 +1667332850 +941397737 +1230048589 +290936661 +1932558887 +908375759 +1807766039 +101134281 +1207693351 +1153746851 +43390585 +1562001050 +722030172 +442586629 +1874301155 +36535156 +2103594366 +1110419501 +2110167838 +1846901002 +876850244 +12621373 +1361853383 +523181283 +1276372801 +918471583 +714964902 +1000752176 +1795145596 +1027136908 +338117516 +1314994799 +1968534645 +1568166105 +1605931460 +1753609884 +329058216 +1266213851 +1854744165 +1536751567 +272477055 +1898134751 +951268969 +994507227 +193237732 +678086477 +1031042384 +149348450 +1788505978 +993726574 +1996249452 +517872575 +1006347947 +1210619188 +1041053858 +135237100 +2129090771 +1756018761 +1135989276 +1776752719 +635672021 +1474106792 +944263870 +456723018 +894789249 +402711683 +62849254 +1223847465 +1668925534 +1917593419 +613115384 +1941402589 +1668244522 +1564384354 +788426169 +1861482255 +94987183 +1819468553 +2010830705 +1883493161 +665711479 +1859596510 +253882088 +1672059426 +922732050 +1294935947 +1807296527 +904339173 +903471060 +795802155 +533608244 +1539143081 +122425300 +1477872115 +1995866099 +1017214549 +1880583798 +2058715353 +93578367 +1402025684 +1828825124 +706693751 +1195944626 +1349585999 +123594457 +1984370795 +1063584606 +218581640 +1656355700 +926931663 +2102074802 +174583531 +639044525 +208473242 +1846642957 +1561776575 +1503409189 +1506455836 +318632100 +259396601 +154774344 +852240345 +1798539682 +277199644 +182628812 +1646922133 +1294414193 +2063212610 +1558153838 +1387992560 +1317754646 +1239495315 +2094686312 +366215624 +441597666 +70797121 +203102771 +1505182272 +289378762 +1859458471 +284630287 +243969916 +2034042002 +923674813 +452443158 +1733201312 +337967740 +1955852348 +1092173500 +656599841 +67765301 +1246947844 +1508840186 +1866304984 +1524147488 +1691468998 +1365743469 +671078034 +1607197960 +776413660 +2059070594 +777468958 +2015908975 +2006273258 +1143684583 +310022993 +2077070380 +1346787354 +1815205265 +218965494 +1058762178 +2099835552 +462935410 +945320532 +876026717 +915378568 +531038196 +1213994458 +723747268 +1623211697 +1870594299 +791512570 +722675893 +1231950837 +510333906 +99339734 +775936187 +1876077375 +770417768 +235650499 +505007387 +682004714 +1013119457 +373432714 +540794325 +9320392 +683455707 +470381057 +1356107747 +351177324 +689346551 +267386277 +303529229 +1152281961 +1212706809 +1179555946 +2067660529 +1743745006 +246066756 +643924150 +1219473055 +2116661055 +1435436720 +1942148948 +1201128244 +1945770626 +2041488682 +1977064431 +1674364353 +664422802 +65231282 +31888093 +1346427517 +1078350740 +405320807 +1887221842 +1087671132 +1088776515 +210119251 +296295231 +1439953839 +899465802 +563681508 +1743483068 +2051747763 +1776388318 +775555367 +1971924644 +1372649676 +1021622123 +468365146 +444639083 +990799531 +1903801866 +239304383 +44444127 +1702088844 +133309418 +2021508559 +1228969550 +797732220 +2086739841 +1260857643 +2144159737 +1017606933 +1666178450 +1883897931 +2105278066 +607471317 +2094017182 +254089649 +2047425157 +845999336 +817771158 +1643424577 +750263451 +446675828 +271496296 +574704448 +1819325504 +1293118420 +1043069594 +116480939 +136434303 +799387813 +355785322 +180878430 +353993009 +489094740 +54903341 +1582962559 +1286826961 +2141643183 +696336554 +1283503050 +1011766468 +215031357 +1019917334 +969560886 +822502674 +966450868 +1223650536 +722444183 +1812450205 +2041421694 +218385113 +415230008 +340613874 +489881409 +989934456 +12455730 +1782999829 +2033004051 +128936669 +1919434132 +684908216 +484721991 +2100312563 +1038901225 +973816732 +7732256 +474380137 +113160045 +1891791 +1170716691 +1396663095 +1013658260 +1385748048 +269096781 +1983219146 +60767075 +1235547650 +1059386034 +783211258 +900514207 +953324080 +1001596371 +1315744215 +1293937954 +1491477781 +158195024 +1306393684 +1126993962 +43715427 +1435330353 +898944447 +728623643 +1920052345 +851773362 +1767524868 +746385429 +859505618 +94421357 +859545474 +861397410 +1265138049 +108724921 +1875055670 +503402449 +377821703 +1710791168 +564169524 +1613369353 +622693555 +1347380783 +366399912 +1576017635 +201493506 +1682144127 +722471942 +1692971287 +1840339151 +2028865626 +672481602 +1884054578 +1316712332 +1571426049 +465194573 +1089281029 +275715763 +85235794 +1835666458 +1135221381 +179657151 +547728284 +1996618791 +1444795200 +656453205 +1724190813 +1948197650 +1034274908 +1287498334 +364883526 +500160613 +1910191889 +1712264309 +866560525 +1338725876 +1913757816 +401221005 +2061197818 +1459245455 +94076508 +1942579797 +2131727057 +1978131087 +1111808481 +1555669458 +295842012 +53605862 +1831385221 +381077806 +1889272320 +819122955 +560734958 +289516956 +668258098 +2005530158 +945970161 +244965264 +1806244160 +1980245070 +1532463598 +23644039 +332922035 +1295171839 +1735908348 +1199482561 +486414067 +1502182516 +1600703566 +400128238 +813944324 +1694780074 +195224387 +798187733 +1525427513 +1307032868 +206373544 +1821269526 +1360638730 +2037758765 +54863684 +1102427402 +709398072 +615598642 +1391944358 +1377656171 +473645153 +190430871 +1622621435 +132405665 +23192293 +1007601385 +156049704 +356114329 +155289576 +1891958053 +1555596890 +641703643 +1246656921 +1008816808 +1041831881 +2060601245 +556113234 +1237056268 +711305331 +2081540748 +396605488 +917678875 +1755326626 +1757244218 +807953992 +1810190310 +712187972 +1517352065 +278305305 +2104132330 +747524588 +751950458 +147079554 +222662375 +884356123 +170271847 +1230263760 +1040405828 +526386176 +1385553336 +784880233 +2081983066 +2027256979 +2031537154 +943316226 +921605213 +1944654752 +1499429461 +11177833 +508476435 +1433486561 +407783322 +1426155310 +1041329539 +17543892 +86625654 +704036201 +729731865 +1603977719 +982341506 +686380547 +204018659 +1734291964 +833460101 +426681034 +471164440 +1003731949 +1656944794 +1511570268 +1530118125 +895014482 +148966853 +1464617544 +774787814 +33020359 +260450122 +1696393027 +1977675111 +1759879583 +1707570860 +338667898 +1045882496 +2115354182 +1764823208 +2087212035 +2132898075 +1851448863 +643764589 +715146292 +1307942934 +1626106095 +1401526839 +1511961594 +1212914412 +87503293 +1938642628 +1684078852 +1091235242 +1448103775 +1048165472 +473869719 +195634609 +1197132325 +1938487263 +970422423 +1230152684 +51453738 +519331802 +1060344148 +1811333321 +79419015 +1399012046 +709732170 +47289549 +1016351607 +649460557 +32703976 +720316822 +1293225146 +747850268 +2028259756 +771847594 +1893460 +1392737702 +1984762006 +89396753 +1183896683 +1521357210 +1180631995 +484516810 +422039034 +1654501714 +680151419 +1619171359 +1445505330 +1650573843 +701840395 +1496959068 +22421997 +1762184543 +1160808741 +101841012 +1013712942 +1870540911 +149130562 +2030064549 +372517821 +181834538 +602897723 +1665742967 +929684807 +483673831 +290106913 +931578267 +1876411534 +127385271 +1020975020 +912824569 +1648742481 +54123367 +1397341379 +2070781515 +1708625081 +2077492798 +1542469226 +1006646763 +1580582993 +96825974 +356122183 +1603004991 +1859010517 +1516930925 +1704846003 +725239811 +1239988188 +1853976565 +607820712 +1612506009 +2035811104 +1210718435 +1130765329 +818012263 +1694392267 +1420872242 +1749590530 +1423320153 +1548257514 +623081902 +188661074 +1049516347 +677205269 +1586002453 +972814215 +238346702 +1516011603 +367799793 +1244993466 +949110949 +464625767 +1601115649 +404632292 +176152637 +970562926 +2109478295 +901392448 +63067467 +1815971213 +1509213161 +1675573476 +1704298669 +572447948 +658855157 +374827284 +119356567 +2079727400 +2124417814 +1542676720 +1480501266 +600016068 +1731337794 +382533965 +1277221337 +1169856599 +1355348180 +1515568039 +538384555 +1723147974 +613077857 +1487495504 +40290093 +66709859 +1892127796 +216442730 +1037272785 +1854122443 +1117835179 +1100340252 +1522610008 +479564692 +628430081 +1079425029 +1052012640 +1287285238 +1454252313 +1171369208 +1219528990 +1431186479 +566562280 +552546608 +2031202547 +150416427 +935080574 +1160940236 +1320273026 +142945106 +529024628 +1858657581 +1866093080 +1142102485 +1198669437 +1906383174 +1208812344 +943313585 +2122825904 +98601482 +649952381 +1093177435 +1198941734 +25078741 +1572742127 +1827371815 +1104503771 +477271120 +967173406 +411272436 +1648640328 +39218748 +1842458916 +67718960 +591765357 +1726177815 +218135387 +1526845931 +739634404 +1538408414 +1669791037 +1268659032 +1249582347 +1388400470 +263277869 +300768137 +1147299996 +1472090214 +1244081722 +1122642252 +1570691696 +1894034103 +68336040 +622149782 +1919112845 +1641078167 +302037950 +876132968 +2118349287 +1269211356 +1287405404 +1619505967 +1308430104 +982380672 +1687224928 +1900195461 +561074840 +1905360315 +1279557744 +1300709244 +1296285081 +801865134 +421884628 +398383781 +42781956 +685162497 +699151918 +1190081952 +9769063 +1943233640 +165240556 +1580460759 +1689784096 +233576596 +55126894 +1461413293 +1874654764 +357164844 +190062613 +1845520403 +1626376200 +1477468017 +1317542723 +787322656 +312365042 +857284003 +540034470 +873439882 +615160670 +1819592214 +26665478 +1911445752 +473973700 +448550106 +162345885 +516755656 +1133712603 +861497803 +1706837608 +1143481667 +657247795 +1872078165 +576458778 +199548243 +2105654761 +631585672 +1660961536 +1832825877 +988750516 +1851024149 +1530862633 +467643068 +1181008519 +700921708 +1254965725 +1493373561 +1558205711 +1795000195 +219329795 +25882733 +1467108761 +245995273 +1937328485 +1941082462 +694545379 +2099674370 +310354470 +1828257982 +813688525 +2017192079 +824256001 +1470936321 +1741786596 +1400714780 +1670484564 +1699957709 +2032300452 +1183962453 +1385299939 +873567321 +887502954 +768678924 +1341210389 +2068511473 +1469600632 +448692466 +1414401386 +880322695 +96209013 +1633731181 +906205428 +1563317775 +1879726454 +696050266 +1356916589 +426788185 +648240988 +1667271059 +107562520 +1461929514 +1536979490 +931818521 +785382187 +1131282438 +185049653 +308383103 +683756500 +69866458 +1492345556 +2069056439 +943433779 +232364863 +690251715 +137160520 +153392688 +12368699 +585852987 +1567794075 +892691394 +682062000 +1054041608 +1798896822 +97896127 +786284415 +347463440 +1454812716 +1213072600 +995704429 +974600128 +1320635120 +310150295 +364095970 +104969994 +1095532482 +1495378409 +290019647 +1403915585 +31651261 +359886105 +748777494 +2100707700 +1303319884 +981142357 +643475767 +1440480405 +1134535045 +655844466 +2026333392 +554845472 +1548535860 +560911744 +1608887081 +1199949034 +658807872 +247687848 +1547412475 +2113620588 +1460760448 +395633256 +940737068 +633911921 +705783551 +1304833039 +738881915 +1801316033 +652727800 +1028901562 +1057747970 +684379061 +1388787668 +1806525464 +637603113 +544623904 +640184173 +1281078880 +1985104309 +1774719219 +1936923346 +1863954053 +182081043 +1337975558 +277382150 +1790968124 +390440944 +936190022 +2038655972 +1937853419 +902326962 +1351932773 +186003027 +1843064031 +1985844694 +891786578 +1000413422 +577242961 +545618963 +1653141222 +1606144523 +1603366934 +190036635 +847448543 +1262408750 +827639748 +1392072448 +1902592924 +2108718628 +1229693109 +1529828495 +1898158326 +946163515 +1711909538 +1088650236 +1223545665 +1355394015 +1479091180 +12252039 +1246566339 +1269460952 +914579001 +451015464 +1455463979 +610159384 +289376510 +199766910 +1610572806 +866619471 +745385873 +1116230380 +325280347 +201269159 +1306267015 +1172728890 +1463677910 +2133906763 +417317690 +1218787186 +2095141743 +1647010800 +601132033 +1845816421 +445690667 +165557923 +786983009 +1669236332 +1520951938 +118590542 +1681488371 +620034630 +1388051494 +448583724 +1071050094 +696031825 +1058743109 +1360426605 +895798735 +521832267 +79562428 +1641184609 +1638062648 +404842775 +1842453768 +796846015 +1577571666 +1158648030 +783269131 +1994889356 +229951568 +730927226 +1494416508 +831083601 +429260000 +1940107175 +996641525 +1216243009 +1461859859 +370109815 +1334833551 +995864582 +990144445 +575401397 +1444448307 +2061194540 +1271433223 +355707768 +1274137497 +19748310 +877540035 +1353699925 +1660932919 +368119035 +1758542701 +1355903040 +1164965051 +1188630719 +367067422 +1948234182 +1036036427 +597018991 +531677760 +382969288 +1428102592 +960937760 +175592815 +277260469 +29697122 +1637452675 +647370285 +1364530673 +485833609 +1637514730 +1939932071 +1930281916 +1551225622 +1063881646 +138506036 +677879471 +1083629956 +1016046072 +2031579397 +597079228 +1384165107 +1642638450 +1952982268 +401646510 +683785521 +172566042 +202397044 +1719821948 +769585033 +734074805 +2102791236 +50203978 +1695012565 +130900404 +327464447 +1724709687 +1768353079 +974834732 +941756713 +106703040 +464865815 +734205136 +2036984957 +2016091437 +1798086782 +28007345 +546487261 +734233090 +1044053417 +430583010 +1331312318 +280734877 +2073221460 +1136810938 +682381387 +609523333 +1309376981 +884778432 +181861633 +2078962014 +1618853237 +137169222 +2129165992 +1166382154 +268069626 +309146792 +743608194 +2036422705 +1283981524 +1685364907 +2143125745 +1748847339 +272086395 +2032627054 +1617455129 +2070173177 +2060634400 +16458742 +656922619 +957204169 +447041752 +1988234938 +1237939046 +372779564 +977562228 +1920320434 +982302897 +139455561 +657615218 +1164164530 +70933928 +128984807 +1301333752 +52616272 +1295366961 +1569403378 +361763064 +2038975155 +1458342435 +1645744589 +1576856414 +1453984533 +1247108280 +1848942809 +1339127939 +717079761 +1771632338 +1252278691 +733538503 +281071310 +61999213 +1180580255 +121822600 +1299938259 +1553359819 +1099384828 +1072775045 +388179068 +1238840390 +1730390263 +1552343599 +1309774318 +1859375070 +706193703 +1362390590 +1007258384 +128113434 +1724153655 +898749891 +1586455869 +1222414596 +328122658 +892956754 +322039228 +29581819 +84601046 +1039118990 +1801214158 +1336879737 +1772657493 +2082285468 +1398878950 +805754101 +56624420 +551333562 +211630272 +1156009248 +1624108607 +599809341 +247365990 +1207015223 +4669292 +1557140308 +918906645 +710862995 +772047251 +1926165029 +838976429 +348717258 +677431273 +277948651 +1571131854 +1005553931 +1170905405 +1893171082 +1035135750 +1255506451 +784806424 +688866260 +444902541 +409980270 +623668080 +1843781491 +1215734371 +680292500 +247631405 +1427364643 +1836301749 +1871740013 +2027173984 +2083667739 +931271588 +2031843276 +1493324400 +1850178233 +595222624 +117888003 +1628859615 +1434199053 +466605261 +158807240 +1712147704 +2037737115 +1164361171 +735569462 +1783424549 +52013273 +1991075913 +420747326 +740879534 +288494806 +830727596 +1364547614 +2132276298 +2046461967 +2044840115 +232424055 +1326342962 +1733658216 +2104164068 +1206033299 +1669842307 +887952008 +1090392927 +1015683059 +590646594 +1685615551 +1133571062 +72022561 +972330957 +1600176323 +230829801 +536995013 +1490429790 +1395190972 +1272564475 +1126370692 +1447204245 +1116156741 +1547118018 +40600131 +1404651547 +230361966 +1405147746 +1389444197 +129340285 +1302504213 +1621868253 +1455683247 +888678781 +1578548673 +514232898 +411037440 +319017034 +1604625826 +1426720500 +909663628 +1142757729 +412807914 +981686189 +2115088686 +2012984238 +1212515990 +504600052 +1355930380 +460223314 +1777164527 +334817424 +1907427559 +745837620 +1881935442 +1948027691 +3005520 +2112297408 +1205691789 +1392449717 +94154045 +360712354 +866834322 +1549837293 +1249391135 +297899348 +2064070191 +1660428575 +616916382 +1521212369 +939665427 +1526580010 +516486451 +1352473342 +360782551 +484091489 +1217973932 +1573298541 +988691541 +426420664 +2033521855 +618372421 +761238089 +1793465766 +1364210041 +495689883 +1594009809 +1367215561 +460503644 +652217950 +612181631 +554657689 +1012930304 +1479015953 +2104494982 +114837791 +1776915301 +2021081526 +1775266367 +246348035 +1394810247 +567448146 +1772928045 +1911296698 +1919921488 +2133710596 +247904540 +990411772 +1559525489 +1236596081 +1416832437 +1445563696 +1854968502 +30586878 +1091545815 +1071694896 +526276761 +538071976 +291426809 +986780405 +1190289927 +903608440 +1541438095 +55736583 +235140746 +1498449429 +170574375 +2012056047 +1372047307 +1945840742 +110920435 +619373907 +365805240 +1883848480 +383186957 +138243081 +1870075429 +631091497 +1128654853 +1282117270 +1867687579 +398003642 +580197319 +1575172433 +428590520 +1671743134 +499383681 +954867282 +62331462 +790810491 +1941647687 +1252621389 +1694418931 +1335602134 +1308357973 +1929559677 +686567916 +1478932348 +1794132077 +2058615223 +1277289442 +1905052512 +530505482 +1643094682 +1641417344 +913692440 +1781337763 +1364009125 +1544783937 +762508969 +498642748 +1264987868 +1160512611 +1078840067 +692676654 +1589103132 +603099553 +1192060335 +396486766 +665431015 +1982870826 +190650805 +1918052405 +1529806110 +1526252940 +1078926730 +1311882139 +65337208 +410375430 +958530568 +2123952431 +1687664872 +716099432 +506974266 +1183275906 +210033129 +1420666706 +817130022 +1574042254 +817966995 +1579638991 +2072685002 +2082954864 +592667954 +1004041421 +628147870 +34287438 +1607140974 +1820208205 +430774204 +125088342 +1655595384 +621425010 +2043140747 +1037917846 +194302 +974583829 +202316337 +65531510 +1384959259 +1160846906 +42000293 +925140483 +1876946338 +548974559 +2108416389 +2086979467 +1969641265 +778062763 +1513538074 +640124613 +210218106 +1438739428 +575595829 +802886061 +295297202 +1203743699 +837173499 +1902438176 +876468256 +1267947704 +2027526518 +384579992 +1889372714 +1923183617 +1422497838 +1889567016 +750283798 +1624814176 +1955098526 +2135243057 +638177434 +1997098819 +912899892 +367640124 +398589731 +873832634 +307135944 +220747348 +1651895397 +1820674018 +860871961 +1862113504 +1111929798 +1436467790 +517515917 +1407227000 +492727841 +1354689416 +1162181529 +1369196098 +475153472 +1042224399 +1753776090 +217042538 +817924369 +1028790281 +2106609554 +1568208167 +506120809 +1914224432 +1555967577 +1144298243 +1763839604 +321383821 +1511938367 +14945687 +1195216455 +1819074311 +235693035 +699628205 +1492264681 +1096564997 +414258061 +456710832 +385549139 +931773978 +1863937832 +878276981 +138979746 +878635713 +99989431 +614133219 +1920860113 +1853765521 +831175757 +591300834 +735072154 +790301664 +12025353 +1241192963 +557042448 +1567992930 +238007558 +173398404 +1889376752 +1749945926 +188344091 +937109559 +1421536589 +424037127 +1636737764 +766317623 +1520602124 +2050995825 +1223028455 +1906151263 +835286155 +939482639 +636944596 +974265902 +1818118353 +736934027 +1588399121 +1591494818 +443215901 +272091230 +35312004 +1178288055 +1062392894 +47337357 +271997371 +1619435343 +1615330288 +510004929 +1792833747 +1357223392 +112467207 +1981177839 +146849303 +1534003797 +257731318 +1783587068 +152837772 +1778333442 +1687099245 +1375866227 +1537001057 +374901753 +167865218 +26462006 +1349167655 +1985983571 +763396033 +790083128 +1429994741 +1206611934 +1062174358 +1465306745 +237416342 +2124567253 +1512644103 +509413713 +1596518948 +980490743 +1019418642 +1241869047 +190230487 +1131885850 +1075563238 +337079790 +518405999 +1333294556 +2120666858 +671243771 +964144350 +1660282456 +2047109998 +353661760 +2035184209 +67491568 +380123766 +1236868216 +2053475140 +1143519799 +2026951344 +1335986233 +202648086 +941642054 +653809331 +440064428 +918725659 +18969786 +949478141 +367760959 +999460529 +1968896783 +1609630007 +1189691016 +953298985 +537709597 +1526770806 +1471704984 +1871004154 +1499954017 +2142948755 +687664856 +1012752825 +2042575105 +1041326616 +900453386 +2110066674 +1421450382 +2137321602 +2016058166 +417486534 +2016789298 +1204560751 +620134620 +810947704 +1858370082 +1060199048 +1729673364 +1877339868 +2009677189 +2097434323 +729316749 +1831090324 +1559580682 +1919007765 +636905662 +2097290280 +1298294924 +2108610646 +1820810786 +650765293 +2104075754 +360991994 +1663518118 +1999167211 +1402318611 +416487856 +1961750237 +676285345 +406325810 +1830324755 +1093771879 +275631460 +887401859 +1713906499 +1086579164 +598288293 +626621899 +668768880 +328144514 +488815440 +618719556 +1057461263 +172422117 +30816590 +828985381 +809327779 +2128106870 +2127280305 +770454777 +1801434008 +630561950 +727046883 +14942355 +146596420 +578730447 +1417260966 +563084276 +392997036 +2093546311 +969410086 +75838144 +1039834543 +1245041546 +963240003 +606257394 +184137062 +1561528296 +1232879294 +852905943 +1889672810 +1721694734 +1471625499 +799650426 +1894116851 +1502442089 +1628635807 +555960982 +1483065312 +1608432464 +1326415760 +1137015672 +91510766 +2053462643 +1151958027 +238107186 +484709442 +421735345 +801191462 +877706479 +367798009 +1770601548 +953544623 +1407632552 +868159446 +1916784626 +2013889946 +1052296508 +1330829274 +1099285592 +1905202451 +1073018437 +673496679 +1229344302 +1872668863 +420129882 +584302744 +1353821022 +976090865 +2067368056 +814769838 +155022977 +1056900080 +906280604 +61001972 +61374460 +1144387790 +545711415 +483109805 +1945579252 +1423417894 +850907814 +1568697152 +229478869 +111056718 +289372950 +2146263495 +2124946665 +1341669458 +1329609121 +1076748609 +1099388262 +255143910 +1750245288 +181248916 +2127812773 +22891523 +765551660 +1334150147 +998982388 +685436068 +1436337 +1154005365 +1742336149 +907716941 +1215007337 +1803710609 +2052104731 +1760718752 +139336766 +1850200335 +1036652998 +990244581 +1271413839 +1266131867 +1101301299 +1560786789 +1264911714 +1078764316 +754972600 +447037188 +8029278 +1854360862 +702181098 +1758274566 +2035609778 +682510224 +1781166089 +653677791 +2016660371 +632664829 +1339113859 +2018096709 +1786670194 +933966360 +778330002 +854193884 +590193321 +682951086 +467428988 +729530088 +385667773 +1504081987 +1719774669 +1657081613 +622730206 +673592320 +1070384754 +1887641921 +1752356637 +1825357354 +187195461 +1760385915 +1532234568 +889376559 +1371176833 +1420360699 +1571886783 +1004859275 +2074038490 +1441063507 +1637524104 +1265668701 +1311676568 +1276710651 +52151414 +2090006570 +2130904535 +642344735 +625474008 +450849875 +1371874823 +1011141782 +1954931862 +944165844 +520739747 +430178421 +1617758165 +1591124501 +170336694 +1222631154 +1268998208 +357532155 +835533421 +653749128 +1246908714 +59226606 +2074109827 +671311850 +1064085881 +2000664669 +2112375357 +554126338 +1118849723 +1276568277 +1830836989 +1171001137 +1219091199 +1814257876 +1813345872 +1844565208 +117624103 +1037737048 +708223342 +2072555966 +1981902892 +1228963089 +355250739 +1452177409 +672603942 +525587433 +527324915 +1941602150 +883119588 +1362858336 +447867631 +2130028302 +1422084943 +374493810 +653856504 +338687176 +227674832 +618748213 +892813514 +1346524555 +1895316490 +576166855 +370042044 +966924042 +242941083 +35904268 +664005602 +360565187 +1073641316 +1372228944 +285637505 +908060561 +453708385 +640888244 +212754322 +1126312327 +1166475677 +740079238 +920430830 +2049595265 +2102937574 +1368298461 +2032139919 +1377538869 +1742792271 +538512776 +1716226046 +1970467103 +1157260989 +461555912 +1169508010 +905093832 +1037722768 +1539550054 +1872017874 +1280663851 +1575454323 +388539828 +1641229038 +501611991 +1760768772 +1926866543 +1409672552 +66993509 +420271139 +1622426875 +1193305836 +1586746816 +215022465 +2113736666 +1488858433 +170476391 +1334551479 +1373514705 +1548015261 +929860103 +1912027481 +1116757659 +752843558 +921804822 +1578313571 +1922351569 +1826898654 +468552691 +1314417975 +1551432880 +1749216543 +742388650 +1939972708 +1242961933 +1244000642 +1553257832 +1022344829 +506189546 +1620251341 +1442615968 +2128616421 +666073530 +881879137 +196155238 +632326548 +223253922 +366631630 +1966878028 +1596768627 +1914646891 +749254483 +1361312460 +883920902 +1502098041 +135633635 +314750825 +1276965962 +1962532289 +783303517 +443900290 +1366481522 +385036412 +1186288940 +1158970582 +1627998345 +282805934 +564744767 +502859526 +788995481 +37512460 +1945475495 +770128254 +703585990 +679870984 +966283493 +1335912539 +903124906 +1332915123 +1155306919 +352409886 +1100078366 +1904561402 +1713722346 +1983999268 +1259175795 +1849355981 +151266445 +388658110 +1664404623 +934569962 +832558400 +883402497 +1319606374 +2018847340 +2042373079 +800121072 +154169627 +459634198 +1302980598 +943165108 +497146659 +1100972445 +1713293362 +1200732649 +1780843429 +532093207 +389161540 +536484688 +1865008330 +1544468459 +888894574 +817603048 +1301546213 +455133272 +654118668 +413238361 +157005606 +805385114 +801896471 +1821410229 +1739955076 +1634454871 +557329078 +912077803 +1505818563 +452218509 +1712198875 +1659988190 +911852708 +867695825 +455669650 +1408999367 +1968668271 +21479365 +462248368 +1602028052 +553572572 +851409909 +2138512740 +271097255 +248394720 +879923666 +1088700303 +1549940934 +1335056939 +1742818972 +1963179295 +1492062545 +400720438 +617592118 +1165989126 +2140675514 +104563341 +1723318204 +905269669 +1610381904 +28053065 +469984896 +1122886447 +939905773 +1337680722 +1578556097 +201421492 +1158865345 +1600035462 +663669861 +613409749 +6124387 +1515079770 +604438842 +277221642 +1763474490 +1484362508 +1365921945 +1165931776 +671935799 +961257269 +981627423 +16514696 +1361977707 +1599219541 +1182503822 +1355169574 +1703782882 +758338378 +112955595 +1166681139 +786391444 +582940492 +142083938 +1726297217 +1920621214 +1720640035 +1927718710 +932002911 +1173191850 +443904923 +1545412660 +1179316237 +1958984693 +2367854 +1456537879 +1574975535 +1486730363 +674976176 +593423664 +11182514 +1636233446 +1575051087 +27697211 +850727505 +1026786981 +1210201033 +58413431 +583086215 +1968539412 +171369027 +1749767354 +607447208 +754309519 +1891851292 +186260777 +527447085 +1465007680 +2113979487 +1459449996 +490715882 +410400762 +857379008 +1670032119 +221901807 +859746863 +979086350 +1796877343 +198993578 +1654062526 +242817359 +210176092 +1142812324 +1817868446 +237873303 +1993539830 +697171779 +1448074337 +2051953261 +1280257995 +1269130101 +75838640 +882541701 +1876577309 +830148159 +626909346 +2062838086 +1357595244 +2091917026 +2029333926 +669561592 +435149260 +292251040 +1526940601 +2105181379 +514152848 +239203816 +936784081 +163546543 +438197394 +443362959 +406363902 +648373486 +1586175284 +76748700 +886246790 +1432231466 +773920480 +186837479 +1336701079 +2054178475 +1455967580 +1412539720 +789236528 +1185061241 +95204231 +1416145874 +1100415679 +1452799476 +1360579252 +982265957 +2122361068 +1795728512 +1274516998 +1501818021 +1753426243 +1788669846 +1741021837 +542726676 +1952216389 +31735583 +986089636 +211096643 +680109070 +424781272 +287845343 +1566355860 +1857012738 +1061765823 +1753193339 +1046230169 +968460650 +1061677271 +311286241 +1757697179 +99254864 +406490473 +1026359405 +1199670543 +1859289949 +239455010 +34452853 +1834167369 +2035183522 +1308969851 +1188501743 +1641126118 +950156049 +782039932 +36369146 +754888790 +813775516 +1022458782 +965985433 +1493884586 +1447240054 +1253830776 +912756798 +1156769144 +168112952 +518466489 +55515666 +1136573602 +1580143760 +366801907 +746787133 +1679398624 +773292380 +1773146539 +731585519 +485098681 +2012601549 +766038372 +171782403 +1900301423 +2075008223 +1360284146 +1393943893 +877680624 +2142324078 +1430313040 +1632569414 +808615946 +305288174 +451071199 +155016884 +1752528229 +1704901976 +1067773682 +761813725 +1873014928 +1586240171 +817329391 +862104882 +1018900283 +1184131299 +1608892016 +550815259 +1957423679 +1234554907 +1282400779 +295038713 +1099672808 +2048439151 +466821116 +852490583 +1975963727 +1827105262 +98950829 +706160703 +1821945692 +1529263869 +191246470 +483077991 +1834552043 +642317669 +638094875 +1439596624 +199735997 +1705868558 +53926702 +2072750925 +1144625081 +871256093 +787372160 +16041717 +2055387392 +248780528 +566856976 +1865327424 +1483335435 +1849257755 +12882489 +435524595 +1750213259 +479703605 +1288015178 +1578693338 +159325219 +1386966007 +137370393 +1981270911 +768746228 +328616863 +316865254 +455814624 +970934533 +954960130 +1895411248 +1170670530 +513345040 +1949337950 +1095937808 +1657970121 +673110396 +1883309968 +1674011838 +581014140 +2132090496 +93385167 +298857916 +1467942283 +1942642922 +311740405 +1903466878 +1545372533 +791444010 +1043998408 +976582223 +950769229 +283480768 +1113952617 +784556493 +1052226996 +1442569480 +1101421747 +1508041620 +266020365 +2056381877 +1255969221 +1436690896 +422243269 +1057823523 +385145056 +2080213391 +1730933919 +120971376 +1606741581 +164464412 +105578224 +1700126748 +463322328 +1573520507 +1495286023 +775062734 +1329503737 +893174908 +1566506744 +226018497 +1869757132 +369792326 +509499265 +836226101 +1154348819 +1561726262 +131311933 +108286918 +922284234 +397332299 +17185148 +30769807 +1834023195 +439428417 +1088593331 +71684603 +372158160 +672043602 +192655979 +1978899742 +836508014 +298234203 +1531542842 +1299830343 +1871754710 +879345217 +2074893077 +1053774799 +1772520126 +1493916173 +1279793296 +1494793610 +1863708499 +1789292562 +183536063 +870573670 +1203535176 +314847996 +978860589 +2125819410 +712180295 +996045737 +9105570 +398719842 +1435474154 +1097698901 +470404445 +1807632315 +1769742503 +663060424 +1639048409 +458766870 +961294627 +1023107603 +1758597213 +685565689 +1902452821 +1686006642 +1739340488 +1527489299 +1032439167 +871650137 +874799261 +748664019 +513459051 +1058335324 +1619237689 +1716994227 +1373183320 +450614630 +1695329989 +2085363616 +1446660367 +1704435559 +336599810 +734650874 +654650812 +807004256 +394799541 +276909668 +1470064680 +2033847950 +735676538 +283875660 +909471905 +346790103 +969441349 +664441078 +2032796745 +561298190 +44446729 +917752264 +1432948327 +919245990 +1666416283 +1946407378 +1977581314 +1138170325 +1515917957 +1203280987 +1588784955 +1063764298 +1141160955 +887961675 +620716210 +1477760765 +1622612549 +1275367022 +137281373 +2017412090 +1552276690 +1607346054 +1903776392 +140469580 +1891221714 +665764649 +487259683 +713179415 +1330205728 +372572780 +1274477605 +1374652457 +1290325045 +559942284 +146414800 +809257680 +358866014 +2123996114 +1947428005 +1874783971 +1179793453 +1388729313 +791064622 +173470760 +129207340 +1411780832 +1651231526 +1751819889 +539664206 +1788512899 +1621748331 +2091940897 +1248375305 +1378041075 +84926829 +992113371 +2043805724 +572186513 +1705292787 +1226527804 +944759293 +832286744 +453696614 +87600690 +1392229029 +600111414 +896858371 +1751095043 +576623880 +696802728 +1478395367 +1756417334 +2085532041 +121976341 +1929888094 +67255733 +1533757173 +1433635972 +1819075622 +2073421379 +1074665224 +1293340305 +2017878628 +175556881 +523897732 +2102805458 +1167670253 +420219809 +527508323 +725479392 +1646747613 +1472267616 +1557766136 +2100444227 +1559868307 +802511517 +553071993 +309243030 +406122913 +1129695874 +1006045758 +1884518280 +738629560 +944094152 +2006494621 +521034006 +1011349885 +1392768146 +1954669979 +682941860 +1318705877 +881851555 +1976282165 +1189100858 +1057408436 +352696250 +1144422668 +77595041 +772916059 +1671930991 +803074433 +272180024 +996714959 +213356922 +225140604 +409099618 +1015868439 +778212597 +718342648 +1421991352 +1907908471 +1724388407 +1159025984 +499054383 +520998911 +1018036957 +1020088390 +1532348796 +263321455 +827274721 +67807008 +1582027333 +1709126276 +2044089174 +623644543 +619051064 +249301776 +1768067211 +696646106 +1022217835 +1292514554 +1499720539 +1294397859 +141745865 +1713077461 +1519538463 +550845484 +581462253 +150267413 +1269188132 +2003453605 +2058175884 +846092891 +1014995942 +409746620 +1367091802 +2033032899 +1429835010 +751956951 +148870707 +109626083 +819763959 +1730898040 +1818752359 +716369485 +207058935 +290319775 +965671261 +1975126146 +986965881 +1987889096 +1120157052 +339202773 +1134803308 +1261902917 +2052280234 +506858123 +1812748401 +486258839 +657125536 +934452886 +342228797 +567817773 +1780545777 +1357224739 +977564393 +1000153932 +1242773990 +259915755 +1752110883 +1391644697 +369541838 +424391194 +975059089 +40810549 +1140760680 +1182118024 +331130324 +2106431941 +1009760522 +1318096206 +1946837390 +2129917574 +1657298979 +934157050 +1244336844 +1562095565 +1441015173 +909601597 +2048354405 +2098140710 +1844054483 +243099554 +518474835 +1477116613 +1600324293 +1496039228 +329786897 +695614635 +1755954983 +2081897780 +2087259333 +2125496821 +358805326 +914834774 +18823722 +1499566006 +2096952799 +349954046 +1458514300 +959229673 +1668050252 +1257868042 +941663600 +1177865583 +44541444 +38516796 +592477501 +1485556617 +948118393 +493348258 +1436213679 +644689229 +736447812 +1954688514 +2121805842 +189288457 +1303244094 +304109091 +884903092 +911715429 +238523223 +824678777 +889728602 +597328549 +1739513552 +908552324 +2096894556 +1688982703 +1258506371 +1407925208 +500728728 +779072975 +518309602 +1442392328 +1956938559 +562851046 +1480909124 +401932412 +2048407663 +281543870 +895280670 +1337137695 +926233099 +1631728482 +1144342561 +900555293 +1821016939 +300103008 +1204664384 +558436383 +1211818437 +1443187607 +1383115161 +2101547040 +2040516156 +975145065 +862615716 +1989927064 +516644120 +2121122087 +1250368624 +1017372848 +752711415 +1768678226 +312281529 +562166326 +184045624 +1793190653 +964098738 +84969640 +2074734523 +1859379408 +1422107335 +853483974 +1343624242 +418966248 +1754039267 +1017157533 +719069256 +811220003 +1575593916 +1930887694 +106923962 +811225429 +1884951086 +2147440119 +1786370494 +600083154 +1989883535 +155530966 +573721594 +1092768512 +1172903815 +1326433009 +713963090 +1485185344 +1888599335 +898008715 +1130892349 +705214425 +982978355 +1058143225 +417110185 +257602042 +1911627199 +1760734427 +676568290 +1518182819 +630408312 +1395637547 +181919174 +58518580 +1179041593 +288843137 +869744010 +916509031 +288799608 +508630856 +1516592185 +131199495 +664161823 +2090313779 +1223968007 +1837065638 +1269263140 +1937931098 +1174767334 +1010378827 +688456165 +158176035 +1715593252 +1671434520 +1216319260 +2132703437 +1929036562 +980462812 +1745954216 +458121204 +351161983 +228878880 +1853758751 +533081157 +287397461 +885316696 +821924294 +1157141471 +1801825727 +1110723902 +1665772327 +1170934265 +1241923398 +182450502 +1113764396 +318407757 +2019516140 +235543889 +108855207 +1046799826 +1245922716 +797311372 +1204975862 +814032321 +321262244 +273811474 +799252110 +102815158 +1254274286 +397722679 +560936363 +1605436269 +626601559 +267211466 +2138517427 +913999020 +1152528163 +812958073 +2071140491 +806870242 +1923681976 +1589429171 +1977804507 +1018121726 +1771879673 +944085256 +1336529483 +1643912166 +1179629145 +1445384691 +543228344 +278068213 +95212415 +1748204206 +1092100534 +416474660 +2022015681 +1891352645 +519289818 +1128806319 +141591676 +1080226181 +586758941 +768193235 +1347437648 +577792720 +1682192256 +352482163 +1390750793 +1605849099 +1159352405 +1166949121 +1047794622 +989673265 +37587199 +672190648 +1933758521 +1374116683 +168619166 +965904018 +672017726 +711847510 +1243972231 +767230141 +312568069 +188589118 +1183704801 +187100102 +2079941763 +1702994620 +1315906421 +74049791 +635737153 +1902665362 +842243026 +1983174801 +332974434 +376951634 +188173316 +1723725228 +1982800734 +1347525722 +743190701 +883111708 +189715339 +780777901 +1555302356 +2123473860 +7410936 +1723921522 +941894230 +679428662 +288285385 +38382813 +1446658803 +600853454 +226971931 +482879957 +787953556 +159430046 +38390929 +2103859977 +233479837 +674128082 +1859041692 +1075722864 +509819236 +44532478 +1452674498 +697992552 +1768257706 +1287991584 +2045518274 +363964760 +23619645 +87749965 +1144742661 +1578922001 +63740177 +1152153597 +1155359876 +1005634407 +1831582259 +1443645261 +1044017221 +1130757414 +2044498715 +1270989152 +1613637371 +684968623 +1430419199 +1652028300 +641344952 +1663899036 +178672735 +352902996 +592138252 +688491971 +397435475 +2044812751 +1386484523 +18209533 +1185320687 +1284519150 +382174293 +1208940332 +1372269115 +1526916954 +640378686 +1436009293 +531586903 +1795738562 +294160052 +215685514 +1091900175 +1338177273 +1346442929 +988915242 +461682778 +812596652 +1673883865 +1892101977 +317141305 +167745169 +1408517365 +495814040 +520648166 +2000655618 +1184306011 +918083641 +1897984721 +423306886 +936293174 +935821760 +1707826036 +1318467468 +2144762093 +932611504 +697900774 +637657131 +221137149 +1229487678 +285912045 +515297201 +1445173192 +1377812220 +1853474475 +644132473 +219243814 +167673605 +1456729126 +1893127679 +2059775582 +1773870431 +2060872848 +1320809299 +122200823 +434037366 +1173981269 +1306506834 +1352121007 +924482342 +1729813720 +140930534 +1860304103 +1290156109 +1459398002 +1857582548 +75283965 +9815128 +347756031 +296421114 +1239302806 +633668076 +811718315 +536992351 +2011480296 +517709142 +1181124824 +83240462 +685382747 +490370302 +1976368141 +597674681 +116757085 +1889757341 +1918483981 +238957908 +176311060 +944981602 +1545464742 +1528432067 +1869463945 +1127794815 +1669362601 +1582284400 +270467276 +981276955 +1292383300 +345751241 +991092084 +1640139331 +642172355 +82911242 +126323759 +1453890670 +619903593 +2137804055 +1971599813 +1801028418 +73560869 +509498912 +143915072 +2049929010 +1107173594 +260672158 +1792202703 +878173927 +499630066 +1968513763 +1823155529 +2045094809 +1349462183 +1545135826 +1025405976 +871341136 +979936578 +1295873252 +1852618092 +124836230 +1641624493 +696226528 +1764975561 +136313200 +779137770 +1891299320 +1590203870 +1399041364 +1881619727 +1414320035 +1052586134 +1955180596 +1923818948 +1196501206 +1857625958 +883508894 +1457173364 +1502345014 +1761682821 +1956803431 +1323375129 +1437354702 +1854414592 +525353664 +835006881 +732336920 +1396694801 +1814943459 +2028210172 +1101829245 +1939779690 +1522351017 +1798055773 +1557271603 +1658664217 +429709895 +1301087276 +1101384439 +1828751259 +1035223355 +368220827 +733853745 +842920304 +144556127 +1930354952 +553062614 +1028065021 +1240044668 +2055407628 +642264194 +1049364451 +1231299110 +2079618896 +756295395 +1756652774 +767142129 +1488632315 +1005863927 +434601941 +1369358839 +2107693172 +226897983 +744226208 +1758265297 +1784169586 +255406777 +40491545 +937773214 +1356791217 +1869242804 +1972996570 +1725012044 +455612902 +668433226 +1869568171 +238484206 +1221495840 +750149544 +1478528874 +1129419821 +1392413738 +380409678 +213235283 +1324548986 +1136705073 +1969888057 +2091691116 +477853741 +828268337 +378809409 +1847212580 +788477861 +605707392 +443955141 +399259511 +242393330 +699361918 +439751056 +1180166545 +2056153135 +161510212 +1005679467 +1633681531 +617123114 +1674112693 +1355766054 +855607320 +748124885 +2105915598 +186652547 +1877544706 +1350845688 +567062225 +2090779989 +527911027 +1703767298 +1913184399 +472118495 +34137391 +593969088 +850927904 +1881349972 +1382446949 +1456635296 +177821465 +1781706460 +1699028626 +877183383 +73973868 +731711523 +785852871 +235484081 +1737390990 +272050754 +852607195 +1264020035 +1627816809 +1708214516 +2012144921 +1586248759 +1894867063 +1742205979 +789610800 +314445640 +1685502321 +1317521827 +2018212938 +1451203072 +1789640322 +2052350330 +2045172160 +493084578 +1786216654 +1280135461 +1949719874 +1964038119 +914358274 +1501264852 +693737854 +988332142 +85492728 +1479590725 +1223816223 +1822883718 +1751641480 +2076423419 +939420106 +1231974641 +1637154287 +804081379 +670739752 +1384537702 +398803710 +1460350552 +1698983342 +2084306031 +630388731 +1569712632 +1388025455 +272545405 +1474579314 +1285713967 +765629983 +1113312320 +418365781 +567866209 +929866791 +1332724055 +2069131062 +1623604646 +173572549 +7140142 +955711723 +1397388773 +1830023860 +559869555 +1326328544 +621960318 +1791844196 +815999183 +1426041697 +315100301 +53053237 +1824845408 +1775450853 +1752036579 +1761667791 +258355937 +1174265563 +1002209599 +530901342 +501361230 +140439918 +1296531326 +1614673550 +558805699 +1864397535 +397056694 +1891529754 +1786044949 +2020661340 +2065102304 +1793185091 +828889415 +1315007429 +1475725304 +1388758971 +493852325 +2097685622 +1033119519 +1309851508 +1376243672 +1348219820 +1362904745 +1053605432 +976187026 +967457676 +667789575 +1234542963 +2141723239 +1669999174 +1765444305 +495600821 +1810439093 +914491983 +2110274372 +221761144 +631405871 +359847418 +2113290899 +269967172 +233025110 +2030909555 +2063152264 +1061914525 +1198433336 +1391393920 +303189848 +1692285661 +1341595894 +1336309368 +854653521 +570355918 +537045540 +70074618 +1623961350 +1513232566 +1037532294 +144267278 +600291881 +1031771885 +1814266452 +218252539 +1527372707 +1477221897 +1132744522 +1490163431 +1698983042 +1764150393 +1850010849 +1664790293 +2034117566 +2083035959 +1548216200 +1949786182 +997466836 +599165888 +1193696454 +1300656685 +143967901 +387808700 +489482405 +998621422 +958164619 +1026527945 +1068696040 +434642321 +392276864 +2106228334 +578909599 +992568745 +990516571 +245692404 +1210821284 +370405630 +1722914301 +196082159 +1860569061 +1274413695 +1960232552 +1563096262 +791720340 +1846866470 +1498648573 +192452892 +1649169004 +348631762 +791618780 +695381810 +1649288447 +935586681 +1083190511 +2138770852 +1934208103 +2041355130 +1017815149 +855420495 +328513803 +1410092013 +814165181 +907423403 +255177111 +1804681753 +1153115807 +1465998395 +27603735 +728546460 +1662080554 +1888172797 +2002960156 +1474829459 +1303785411 +647196848 +1174212281 +654950337 +839649741 +675897638 +1003582099 +1631268521 +1371279448 +505386898 +419371555 +306986311 +496674102 +206096010 +200857793 +1514489251 +1061516506 +529371597 +777097617 +1875681687 +1436795000 +1032274728 +1532879792 +442427159 +350789475 +1560483528 +1170973619 +2012870030 +1301172677 +1026450127 +1340215841 +457474440 +1673646976 +366944474 +1112424777 +365813069 +1042842112 +2116006876 +1997081590 +266637913 +473910126 +268969497 +573624224 +970584228 +475065508 +774482018 +337589832 +1536582014 +1303853615 +1114687449 +1264780053 +593164967 +2146962177 +650176198 +1035592126 +350268004 +63176078 +59082097 +215654386 +1364348755 +1085532225 +1555870227 +1821823195 +611695553 +1922814702 +786764325 +977508622 +818173166 +755287553 +827106564 +1084811079 +1229197680 +1096076062 +1658435304 +52298260 +1571141570 +285433674 +389888092 +960239936 +1589287289 +1504575541 +77536341 +34968608 +1504054070 +727712539 +1070560734 +1854322075 +790888617 +1129642831 +2069976461 +7753724 +67691408 +1478363041 +1829576920 +679386961 +1253694095 +468857597 +1656895583 +2071867261 +1224145150 +336518500 +1009194693 +305859182 +1432594562 +520146349 +358157443 +856252484 +805580023 +748045535 +1816492420 +247383664 +105137429 +1894028761 +282352272 +1609191499 +474257653 +1352913006 +1316029926 +1265146270 +335072189 +1238522740 +1272899995 +402763598 +569402133 +954993267 +1082150559 +1823096228 +1423850864 +591562495 +1747479841 +500512366 +928080995 +609190886 +806371549 +213191909 +1129337235 +1164528992 +1069444393 +1934917258 +1912574527 +738453165 +34817274 +2017711956 +484998278 +317169546 +1479419808 +959255931 +1670082552 +647966086 +76918554 +2005154742 +1886488826 +1349818549 +260434692 +308407311 +157328168 +1342585251 +2131503539 +1581179032 +1934147746 +1731499733 +2081691398 +714745093 +193206971 +740579299 +927937002 +1322544207 +1905108291 +1997381395 +1109977817 +1670199171 +588350912 +1144795092 +1540427479 +1073349191 +1461964638 +872363639 +2032605122 +984563543 +1520329726 +2109523676 +842234637 +1259334904 +1311858577 +1102669329 +1567742216 +1469186745 +297770932 +1551762107 +902882129 +84435031 +1135778192 +837089880 +799180124 +1328985164 +1577669179 +1727117127 +504045723 +1335293823 +1577014874 +1614023540 +858009346 +17882139 +611334984 +250953177 +1091231330 +2073299623 +1123316817 +976352804 +910379518 +496162895 +938392833 +1752614155 +1755497799 +102767762 +707799836 +1175756367 +1571954508 +1005570768 +580034827 +327352989 +1090005799 +1715813019 +1164442869 +1889185924 +897314535 +594628401 +1468819403 +1401360258 +1929922224 +898350629 +867900151 +640447922 +916232768 +1479235135 +891401099 +2007464098 +1405051110 +2014717916 +836333255 +167946980 +363397163 +1774726088 +1920561135 +2118894963 +1877493850 +480877323 +1147167682 +1301964710 +1486448092 +1727202509 +1629317700 +428970243 +1295531881 +646276921 +170672519 +45362768 +1240905322 +1639491922 +1446723027 +1023343898 +390358904 +167139530 +1663791820 +1306591672 +1646374665 +407709272 +1166572123 +903942128 +274943540 +2002905378 +1071889108 +638340704 +1630147818 +844966596 +609752019 +1360158020 +1325843919 +1756919701 +514639083 +664808363 +1336638563 +2143956783 +1093778607 +484686796 +642750056 +1264451126 +530049564 +1883655379 +756459401 +1976772591 +759515629 +1146818305 +2143912121 +275823802 +305926329 +1642803139 +683533074 +1472498452 +399261619 +958476614 +1327920182 +1471150727 +1596817318 +810584352 +168633675 +59085689 +23258725 +1494477595 +1816005391 +537897808 +11802310 +1005160306 +534370943 +1105580917 +1489847102 +1177120999 +222548396 +2019896666 +913292730 +979007797 +1849185610 +1672808360 +2125826102 +1845614083 +1948632162 +284268783 +1340933574 +484681588 +1756767236 +1740195193 +1443158202 +937203770 +1063862273 +892491873 +1747788123 +1232495948 +951577562 +1771046848 +579489895 +620099305 +161461008 +591292206 +1625259611 +695831951 +1696873123 +967623065 +1872952950 +1919421519 +840036084 +638762033 +750945668 +541738046 +164086745 +729288122 +239868481 +2112718907 +1013556906 +1580802056 +449916847 +622840494 +1173513601 +1893075049 +1560044264 +89892226 +638083274 +1160348739 +1322388175 +1589660837 +783911939 +1901878070 +62276494 +945372947 +345686628 +1687536106 +1641204898 +2042559752 +507675523 +1366674201 +1814497623 +1347711607 +2005436234 +417959644 +1889449653 +22039331 +1147247766 +2129318135 +2134758238 +13321024 +1562636543 +437191437 +636161518 +588666496 +182782838 +48722135 +678558723 +820866113 +1209070874 +2000946898 +263043302 +1992982814 +1755341320 +325319796 +790872113 +2101027949 +2012855902 +284593364 +1996104053 +373047778 +1651267565 +1663118028 +1720759385 +1509220151 +2081077672 +1462725391 +1531259482 +1080841791 +1444559878 +1518534072 +1094162815 +859712773 +1955725509 +1730324334 +1448379269 +2138508347 +1779046469 +2126937992 +811890812 +840633695 +1980401242 +1074934114 +686132861 +1588258915 +1400253911 +1477004975 +1541803216 +1265626165 +1761598339 +1390423621 +1638673943 +1265382256 +906058001 +1211949681 +627118759 +839652026 +527191424 +10894593 +1920493817 +1971751302 +1529428665 +867172984 +683980427 +1337670526 +450013670 +2132359696 +1328695225 +81576491 +2111814041 +2140586038 +922210187 +1944731635 +1068036504 +1608343048 +1385506902 +320806767 +937864375 +779826470 +1586432933 +551979066 +22766443 +1077623228 +1817361322 +928824445 +142089261 +296996433 +1768476471 +669280685 +307891026 +1541486640 +493548339 +1837319691 +261175976 +1177528766 +1027506569 +711189647 +1162404815 +208718147 +792766138 +1126735208 +201820537 +1714976325 +923983195 +1269857041 +1175835726 +162006450 +1590663809 +2113700101 +941832920 +1029613094 +518195520 +964599364 +2107236322 +188073194 +1893423809 +101841936 +485069628 +1514416632 +771122621 +792960654 +908419624 +1264670961 +482796698 +1169595600 +294716079 +1510303267 +1880785247 +1457120894 +1719021414 +526067738 +436372454 +1920841951 +93560415 +1360355650 +1043215345 +1269396141 +1522362100 +486395506 +1235612595 +316711372 +1516008600 +1753808115 +1281310736 +1475761274 +1941881309 +1027250897 +1577603210 +279467289 +394183881 +201242184 +1072427944 +1302603505 +1465913145 +1555224642 +324715458 +1760629224 +918044261 +58017057 +1070266471 +489582028 +584084795 +1506638925 +262940331 +677645211 +719510927 +1306155676 +1947041352 +94389379 +1792551182 +1035170299 +411100752 +1161076134 +641494766 +1692411488 +489353761 +435892428 +572178738 +2066956971 +715359717 +966362619 +120715507 +1787787661 +121482477 +1586628652 +1195528655 +446197935 +1199774229 +2113572917 +504214992 +122557052 +455671297 +1088299788 +1629195977 +718611628 +1765944999 +201223257 +2024767305 +1565502703 +295612636 +1669834839 +453189355 +706713388 +683427326 +1094684121 +251641229 +1172781087 +1530576549 +823819967 +1092254410 +98452619 +1790182586 +1212969918 +1886240280 +1911665063 +652114922 +934285288 +210379350 +1851889151 +900374557 +714594343 +1974446203 +1356045854 +1802894131 +1456158533 +2074657482 +1421355482 +1657381790 +1951941139 +839374537 +1952994426 +1474292331 +1292563892 +512224167 +10236009 +239764366 +763865396 +1183017096 +1770340915 +1587685363 +127787858 +1868793534 +1230384301 +1340757776 +1607550167 +994565717 +1992872699 +394351807 +1204945067 +1697278202 +1294726364 +1919539410 +1524240758 +503288570 +1574949893 +832915643 +430462404 +848821727 +342813785 +234919896 +1688196265 +148324563 +1709212227 +833276509 +660548730 +1719448236 +1073040875 +1424414126 +754981684 +695898143 +864615841 +882769542 +417208029 +2095000143 +76043671 +2024758196 +942082212 +2068916370 +271626355 +2147027279 +1618710924 +1566352719 +1919083042 +995468034 +2069641289 +1346549287 +1828383677 +352620046 +47887367 +23713814 +587539942 +1736083632 +172038378 +149268521 +421876493 +832587108 +1868716757 +1494917369 +109517587 +476214793 +43331864 +974133428 +1358984335 +460539893 +921649923 +1435028006 +337814442 +1863732135 +1356460728 +609440797 +1863275767 +827688005 +28309869 +1634875161 +1823156039 +2097951158 +833940800 +1504056069 +303087556 +881828167 +1527769883 +890627498 +470428151 +1699808261 +1039896019 +892304645 +384911722 +761129128 +239738366 +494429309 +1237343921 +283070230 +1468562737 +448844609 +743610123 +242729013 +1883872615 +1081424565 +2106461148 +1092849696 +1690865363 +1822253267 +1920537701 +1719175232 +1309644780 +1596210092 +1669642742 +2143585581 +952782513 +1972730299 +877930100 +333068749 +715874149 +1348358252 +2032877010 +1755770169 +93179249 +270305084 +369415649 +332917615 +764734393 +1606759571 +615987845 +85813483 +2055604180 +1359597968 +328542496 +1791993147 +293538886 +287519996 +737359195 +1984404249 +2109773264 +510413248 +1556095833 +1271934396 +2106623341 +1078254927 +1268036329 +911922206 +903501578 +2145966430 +1244990955 +1619375728 +1346841034 +1130384318 +1227662249 +1440020283 +1400689402 +1597077898 +1772937898 +17940148 +1056353821 +241442095 +103753631 +964474353 +1601040063 +432296127 +608983853 +1894578949 +719816123 +1346343048 +1731499550 +682105739 +1856756297 +1140111735 +1954040136 +1815895990 +70883015 +1074592817 +580334548 +974384593 +1073075599 +1825325504 +446276673 +272432985 +808226174 +1673938922 +1712453268 +61431928 +1123533173 +1337907518 +79372076 +32403346 +1579349613 +183125707 +996877700 +1032906029 +615421834 +1605861553 +780001330 +1335237958 +804720953 +364017233 +2017343697 +513993602 +1504128968 +1823900185 +182405944 +1575011983 +751009355 +762740493 +401912929 +1824084954 +440582349 +848189602 +2096517940 +1248808523 +374644877 +1661487560 +1310240451 +1498178050 +851911431 +1389612528 +1530581396 +283777396 +1572738235 +379975448 +1316683425 +40676422 +1985837001 +2096684756 +1375914380 +643074307 +313218341 +1245774429 +1157067909 +1817347309 +922190967 +1339473854 +1244875645 +1673200322 +2102214347 +1646788574 +1349801628 +395313048 +347494528 +1298835920 +1644121571 +722139405 +812839833 +806878374 +72833807 +1664751264 +49007254 +1603415204 +1948528660 +1621745490 +1983390652 +1117728438 +1662421912 +1821744006 +1066929546 +890852644 +317334665 +1380147887 +2136627073 +1474402574 +1050011548 +911334392 +666392780 +147403545 +437051066 +621123479 +1794192119 +1786852695 +1016436527 +2141686648 +938204967 +513074450 +716342405 +1751044800 +1319952825 +789176213 +1268312416 +1368960079 +245107769 +1069357429 +843221921 +81014773 +39602219 +358160185 +1902758779 +1106531765 +1249012829 +72609796 +339196004 +1238156255 +1547012371 +1389207552 +2006999 +65921503 +1536611098 +439058066 +687044983 +1183319569 +78427113 +1703481510 +1177522569 +1016632080 +69072313 +1893864975 +620193233 +1389025138 +535557540 +1888505649 +610501569 +780665309 +810379430 +1453723491 +861680082 +849981649 +1811883676 +616955214 +1956513414 +913412858 +689565010 +148225770 +4085465 +89093733 +1537433323 +6092464 +155015237 +926560773 +445150530 +842060220 +2109880342 +523577643 +398058082 +1139919264 +1540209724 +467130395 +886300591 +12919309 +1856155533 +1421858131 +1901424958 +319173455 +55039792 +564320741 +1772896946 +916719874 +1414302390 +1437296974 +1533675088 +1223332157 +203226184 +75756451 +1371557927 +207311649 +164850184 +761507602 +213404114 +319865421 +1688068375 +658554644 +1161925641 +1650465070 +1182132288 +1559983724 +642900686 +574858364 +2027114119 +1529201277 +587777673 +1735786005 +803575760 +341718983 +2054959460 +858615552 +906039724 +1680372758 +1775335426 +172858467 +970186084 +1161526867 +1396190624 +1173412269 +1237283318 +620264903 +1380723918 +1402133502 +1381772506 +1594128032 +1721998924 +922357233 +105199029 +736440917 +425338655 +1287331317 +148940993 +1068239341 +1862189681 +28571465 +449956970 +302483706 +1764357470 +1253532730 +644202689 +1671833282 +2112148282 +1550242414 +1204722392 +1740000061 +1723100881 +27424828 +754043280 +971807857 +1200837097 +1991326598 +1592072760 +434077368 +1245976452 +826361618 +2028205400 +820491728 +1748718852 +2133404429 +1556932646 +26573859 +1273252098 +1705873639 +1094813201 +987958131 +1734445104 +1544770171 +1290441837 +1351318926 +650819254 +1934644527 +875668560 +615483888 +1337403293 +2080390952 +208000301 +913020526 +2107815781 +962043581 +1884828383 +1161169230 +805886531 +1329417495 +1595246598 +2051862984 +8295466 +1475968351 +724871064 +1757014318 +1461889132 +134320062 +1783588177 +587657583 +1840193702 +730917730 +1575615714 +1427155158 +128204254 +718573904 +630990437 +779023508 +505734783 +1506658997 +1394507396 +1843138076 +1439566302 +1602507698 +608674954 +1399898435 +417067631 +346019689 +413584017 +1222954163 +1675437184 +2008830616 +1127333499 +1683732650 +1337315319 +1852204563 +1293263320 +651720803 +1986524626 +929367850 +1239378386 +1679234680 +1660285580 +667510453 +958906190 +1788489834 +1386084357 +1589896627 +420029694 +1891819140 +949071977 +1814537091 +1587473568 +241154631 +1269561141 +48664874 +1641053066 +1686628772 +394684563 +2054637083 +762099287 +2070121747 +1915984051 +1889432786 +1606370750 +1105815722 +1594153702 +752150422 +1757536526 +1433194680 +1681518272 +849431264 +964945712 +1194320205 +1516941717 +1923851902 +835326391 +755542426 +1366264882 +1255356086 +499877918 +167853211 +922409529 +2087351486 +409007842 +44487022 +2136016360 +2050060908 +1731115794 +383217275 +1957214343 +345731434 +305855375 +1725714747 +87680572 +1912226125 +684046821 +1681834274 +516892899 +294099699 +967545306 +50927524 +1143530964 +1932491018 +1245247729 +512989033 +1708859273 +2080574120 +1268531460 +927640507 +1188446558 +1768409378 +1095493718 +2110856087 +1708277217 +1504501560 +7859461 +1696809929 +1407078820 +1738975256 +2080027205 +1216809515 +2084706690 +238398932 +795040614 +24903614 +3141409 +1479087436 +1706737889 +520034308 +1773187135 +526799547 +570961832 +769234451 +311806918 +1816209561 +1282223485 +2020666191 +1749300034 +403271297 +800823050 +790262944 +24197027 +1896316768 +753635384 +1732474244 +1253334680 +761494845 +1281800526 +512929852 +352986453 +1214344083 +1729739367 +290209495 +1452743015 +377296334 +315113110 +1455884424 +1856383770 +2021850999 +1975918732 +1482087257 +401166898 +399396917 +103838061 +712973816 +68122830 +1386061546 +586156359 +1817422864 +1789332843 +1386979409 +460202161 +1813529870 +1135812529 +1213837545 +1398520467 +241663561 +1975332390 +532837345 +754593413 +180835196 +1747181428 +336849133 +471044691 +1052440795 +714145467 +786157801 +360841571 +423045589 +660525152 +189276655 +1905132846 +1061692051 +588673572 +2008970907 +1774665867 +656796403 +1247548805 +213338579 +326735619 +889398000 +1600317988 +786937780 +555444223 +588646870 +2000775325 +1953964690 +830310431 +1828624068 +339318387 +1584903845 +2009459264 +2086499815 +1921752978 +333020307 +991456962 +488414797 +1119178109 +1352298533 +911460386 +1779703261 +1541575188 +669109584 +693911664 +2130248761 +530596844 +321093884 +639561516 +1778145649 +534432463 +966297135 +520060002 +2134750451 +1753234916 +1075504225 +575913673 +1606526593 +881985267 +1406224105 +1287667013 +1221303654 +843644302 +1149642629 +1160319821 +617913632 +1482662937 +4293135 +1106328429 +454357398 +1356591668 +2017788815 +86577011 +750683208 +539414751 +780488676 +733448321 +1070011595 +1101582560 +1373009837 +700673597 +1636015023 +191823325 +1220733599 +1623281826 +1945058241 +148754176 +51711852 +1404101186 +1030739443 +1457935957 +544284552 +104559449 +154096611 +1693927181 +1264879270 +772010243 +1029106470 +1269172405 +1878338672 +1483463868 +478280425 +1748643839 +1570040880 +1228963633 +140574942 +203045908 +1962411955 +1210586538 +1304628468 +1187938144 +1911260135 +793159843 +1379761469 +984510086 +268958021 +1177336062 +1133264262 +320669873 +433953601 +16520057 +1778605830 +978238153 +121079506 +1932702441 +524681686 +1385958776 +557229036 +1553788157 +507647533 +288084060 +889768377 +985927958 +2036727899 +312325609 +67407943 +29819194 +515371517 +2029819898 +1240405732 +1819999985 +1070274395 +1004182219 +465676180 +302552216 +1988692305 +734634202 +1479888279 +974472919 +1055304075 +1913841880 +990992976 +686426258 +744596385 +1112072482 +471645051 +1269278071 +350547610 +1028874088 +675582580 +858195143 +1316958148 +1565350958 +1844123101 +1206202400 +1877676567 +1911531044 +1236021594 +245564437 +1793867295 +328943678 +2065564422 +716658042 +1333125897 +383756955 +1019210258 +1174334554 +1118391157 +351614889 +1323825 +26211584 +117973121 +992316801 +712637842 +862569506 +2104389283 +1184282894 +2131847578 +307453245 +65673334 +659946510 +1165648388 +1382631482 +77813820 +862287841 +441350234 +1955490388 +626335237 +1677371828 +53571177 +272718884 +2006315506 +2119135599 +989376926 +1191957755 +355408906 +2008587185 +218808661 +1473800063 +212718426 +220132486 +1500011648 +330691548 +1212449287 +65165842 +1193261054 +1169354922 +1249448736 +1177624984 +1476808167 +1315122070 +1837571495 +494972907 +550269905 +1915385315 +1357260748 +991620139 +1723392055 +1983595986 +521508320 +1776963232 +108831222 +380340178 +1748615184 +1098208149 +1572297934 +2104024090 +959311686 +1791106595 +1430340506 +1172030112 +2011239082 +782868506 +1502721660 +1076204721 +848034348 +548499067 +98075996 +2097483085 +1726124051 +1574884163 +1265121507 +1416211898 +2069857071 +1815391412 +1184113566 +1279634171 +659527904 +760021973 +1115746509 +1181036224 +389501558 +1224577732 +1561376402 +2138116742 +175302233 +986190688 +2094657184 +1134613919 +629813636 +1377514042 +159160383 +493569070 +12898900 +1661882044 +1569773791 +860933249 +62897463 +1667849787 +810932686 +1789021514 +1095250303 +2076054193 +1057749765 +1017623726 +1743961958 +94379683 +149774249 +256006214 +854401656 +1265520759 +1437042438 +1243903214 +342614843 +850935192 +1234536308 +517917076 +1837125881 +1181709845 +1652530995 +319455869 +411740239 +1811691378 +813024939 +424639140 +1326089774 +235315082 +1285572389 +1388987237 +1903164870 +2096505075 +1030525104 +850931525 +2025075620 +2088274869 +1868555251 +1621553930 +35170904 +2018329500 +1877560144 +889572560 +1136366611 +1167118934 +2133475775 +1478981454 +2018054127 +1220528435 +1996898530 +1707696360 +254754632 +1501945877 +2027152229 +666494872 +1166153608 +692693520 +1091134012 +344759734 +928008602 +229222753 +1733746972 +683689824 +178244180 +616788428 +1534621349 +55836152 +557579649 +1255692952 +1677390083 +592750553 +1126538805 +1407466579 +1482323113 +115421768 +427101866 +1468315240 +1594403223 +297672345 +541360028 +1443818105 +2005368705 +796114660 +798280335 +1885037286 +1462609532 +1964433943 +430247158 +406259896 +161710029 +1358255760 +635482649 +1895457001 +2041945585 +813726829 +364761781 +1429083286 +869562982 +922341430 +537292591 +399469417 +1515091983 +1663831396 +1806935996 +849931449 +1779253164 +86554214 +170763041 +1226172739 +384226559 +712123069 +522507197 +242111616 +1508237730 +1320787532 +2127148902 +823363614 +1137737827 +409912412 +1229623511 +1299447856 +1768168173 +1865106160 +1047421210 +1662630110 +531349342 +1412182991 +944229748 +1400912324 +187040774 +1481522339 +1800381741 +1702132757 +997870087 +1459834089 +404580558 +629639604 +1546388304 +575343600 +1855812343 +1930614863 +1287466669 +230835892 +25242832 +648220751 +1551623424 +4908086 +1471584366 +541877603 +414820499 +553724229 +1841325460 +35505024 +271346741 +741263022 +1698135134 +802696083 +5962365 +494881234 +56124759 +193003139 +1976403574 +1856506500 +1895135897 +826790013 +1168856942 +152232807 +1456429617 +567761598 +727576407 +1164758313 +350892813 +2015043077 +1395594205 +376135645 +515780180 +799733982 +381043732 +1987364546 +1341611585 +795864231 +393605127 +1035453397 +831369255 +664951869 +1776716419 +382020741 +1467647952 +1782678785 +876901975 +1523772712 +1975681924 +705821901 +1232795564 +1723334173 +1532611915 +254168858 +1875566981 +841557884 +821930456 +455659740 +2006316197 +1172823270 +323219169 +1254426755 +1548958915 +838999350 +2054160737 +1930002647 +678880248 +1248288674 +578383230 +1072485376 +136258424 +1409752485 +1737437245 +1912974843 +1791773226 +1057601549 +1548169980 +521191554 +433890613 +1376368257 +1227013455 +1666686178 +952218782 +612141722 +1920855036 +680302115 +1453699607 +595301845 +1135961856 +1312532156 +1768125115 +1459181025 +419475263 +1169600382 +150696727 +326152352 +952119382 +829576976 +1574441027 +1530502612 +1902062352 +1710699451 +792771450 +1492015949 +1476190646 +437061028 +402133850 +876876979 +958252582 +836024464 +105761588 +37782390 +355226994 +1057980370 +649924112 +128598382 +1738282486 +2103623719 +723900227 +726760694 +1268672228 +344541694 +38458071 +1688147491 +1514142077 +189154799 +2014299844 +318777811 +1018731775 +1441257223 +1849280423 +773310479 +1004473026 +494568225 +117842780 +333180024 +931629254 +519976630 +1210057003 +1889881836 +1356001094 +1315818591 +1927664226 +1711228088 +226315314 +430104691 +1839826471 +1964597800 +386244762 +416243050 +543874846 +1654916990 +760784745 +582332917 +1195580834 +127443174 +771487716 +1062397030 +446220985 +1790219491 +356170605 +148017760 +416046322 +1360643631 +642585986 +533889102 +1693823655 +1574215240 +1053865733 +756397011 +1316613428 +262383179 +2072215602 +1096794007 +1973611268 +151047268 +1526898698 +1665954091 +2115645068 +1913143460 +2082197141 +512036266 +1420576803 +695498238 +1094369184 +468673989 +822941412 +1865856900 +1531071019 +1269162397 +1508592744 +1887241624 +1417180158 +1924639066 +1100401607 +2059766144 +311044521 +646741614 +1486497736 +1364910254 +1403138625 +655627516 +1627293433 +1327870580 +1752421523 +1453421053 +1478917848 +1131836573 +971891496 +1447079269 +897496386 +906604990 +1959115535 +170589541 +1602103228 +906001071 +639263530 +277560993 +624374324 +22850901 +1546723390 +2132967068 +1910092525 +816419900 +1910122486 +863010484 +728702396 +73683359 +1509752098 +67716484 +1438593613 +765407076 +723344001 +918403399 +2093277656 +328281876 +224340804 +1424711856 +1460118450 +1196232301 +724307477 +210131188 +2102837291 +535939365 +380720729 +1557456871 +1441940436 +1019984259 +1835017864 +2066314760 +1042835160 +1234257607 +2051798180 +805444037 +2050677507 +1814437019 +1668454521 +631896256 +1888120378 +1030722971 +699612740 +1179230344 +1796130047 +1422956741 +2097633743 +1741924055 +1751238618 +174490899 +1019152264 +1063873420 +1370723200 +1743459741 +1274004608 +1326076843 +131915458 +1654725337 +736050067 +1573855895 +527225948 +423584283 +1492687007 +1570061108 +1657841890 +1397001540 +228021497 +1561035750 +1063954911 +1896476018 +45448358 +804591641 +779715341 +745061098 +1983821985 +428361741 +20534192 +1933972080 +22802148 +1771772810 +2108462980 +1041954412 +688162582 +1331702532 +637930506 +1962167190 +510295728 +769845964 +1469408879 +1246345795 +196218211 +1996634827 +1669930078 +1688905219 +1419212287 +1180288321 +938423111 +1647233784 +593840423 +2002378022 +1396226154 +639288781 +659486015 +28457847 +1384349879 +495824353 +456819588 +1404884071 +282312785 +479621737 +1029173233 +243292117 +1521576149 +1717335815 +1574994650 +12023007 +1532019357 +2085290378 +781868972 +853944588 +1184152525 +978087183 +703095767 +706598955 +519508754 +2122308054 +1886887276 +1457931865 +1622058190 +333244051 +1312826239 +870800696 +972532832 +1972312255 +899258544 +209399064 +320652960 +1356078132 +1614283135 +602965745 +1835699869 +495972721 +846257863 +1209792371 +65824888 +273768865 +1221815378 +1597844246 +211575595 +2003684350 +304305186 +1395728120 +834287886 +1007400954 +2102327075 +1353796640 +982225360 +1841730704 +664244858 +456799903 +27491107 +1977071097 +1327600599 +1000023940 +1801899704 +79375495 +1209423004 +2122552664 +1435453628 +676222491 +578034762 +1123669849 +1172195212 +1424292625 +185978572 +1238020101 +1698061490 +1407793951 +688380699 +1909637085 +1263994653 +992685885 +1157881557 +2098282539 +2000086839 +1112724984 +1304595532 +834828552 +806972040 +1968840390 +1291628455 +834463148 +1798427839 +471745406 +1834487088 +1452843896 +551120902 +896426444 +1427912912 +1986574530 +1572648935 +2005947674 +962760731 +597360500 +1282756651 +1148739304 +1835380601 +833334493 +409049607 +376277652 +595487930 +1673044260 +1368963537 +1753369487 +1623843152 +1221566729 +718610824 +780955036 +2056395281 +1525582864 +602311778 +1200540088 +212562364 +253255969 +1672285494 +2047049452 +1706099865 +75922748 +795992248 +986529130 +2062497278 +221157536 +844993156 +877774362 +818518036 +2127749808 +2026513666 +506414989 +813600653 +288079625 +882692641 +1409088584 +1961123885 +104172530 +1014974423 +1437483389 +1325739259 +1733585247 +70954777 +1234650892 +1111684464 +673266555 +287707332 +1324246828 +926522525 +1959992827 +1223812633 +485138742 +2035915575 +2019804881 +1471667872 +1950929206 +93478769 +169177381 +681219920 +911996805 +149443541 +560249938 +1418411794 +963044194 +848329563 +153620787 +224649130 +661969800 +257793318 +1239623554 +2099453190 +1583532577 +825725153 +22924319 +670699822 +1937409617 +696190875 +958407154 +1114172798 +1622713400 +770916333 +190501783 +2107852142 +659348261 +62823016 +1432036367 +462793819 +156301786 +1601213748 +1144013739 +1068298591 +1750657289 +1704263677 +339226738 +566217835 +405109592 +492847525 +790866966 +1067079392 +750640843 +2030490520 +1019048934 +186689773 +708732025 +1041973254 +857389595 +498657995 +1738164129 +1815796749 +1612830793 +1213393881 +439229435 +1803332576 +1173762375 +1098577696 +1866155592 +458315094 +1561371515 +2022457378 +2059528842 +557901606 +943272322 +1662702483 +114681635 +1282499060 +81436671 +519791227 +1775346585 +872303637 +1586870619 +378503781 +755310509 +458435906 +565193554 +1464042534 +1500409160 +1422583149 +1962700529 +1091089641 +1090896250 +1428047674 +156999874 +1530125685 +1083896602 +1330762249 +481219733 +802568547 +1789077344 +2042591248 +677542277 +1701122538 +453009206 +1620814599 +1216341374 +567690841 +755830011 +1297778045 +1087482068 +383692949 +22598034 +526869040 +762196730 +777908543 +985304946 +1327390284 +94467429 +338230458 +602489785 +2057167959 +1429320099 +1693386035 +1337731985 +1586319973 +1076028073 +274144940 +769598574 +1557247806 +1076713487 +411192270 +1452355407 +1754255764 +2112314809 +1905364613 +1227586716 +1181172535 +325571807 +1983416727 +331466932 +1413053875 +219626028 +354064966 +1939922915 +981822758 +1131973509 +777744213 +161729394 +1226440938 +1115974671 +764219179 +1136125249 +397811122 +310121567 +326373587 +1984131095 +1386149640 +600518527 +606246022 +795913798 +1677232014 +1017438292 +100785557 +1284004130 +982269453 +2006150171 +364107198 +15958340 +184238330 +200040278 +347425272 +1597292205 +419666306 +701490238 +1389731473 +1401489065 +1833463747 +19992038 +1563218459 +912421038 +1135966710 +179953991 +2048546287 +1533777832 +490075558 +227436226 +1370425280 +1876225198 +827954753 +1976671302 +524655348 +357703119 +846625946 +625440906 +1641707250 +1828895400 +484107429 +2005814448 +1844853740 +668345759 +58371078 +44795365 +118154316 +478037385 +746285603 +1507885789 +1879526450 +432265703 +1527877828 +1295261261 +1344686741 +516360890 +1475215252 +1245749380 +2050138722 +1965290810 +1473185607 +1273080354 +1694032360 +153656712 +1102268008 +71204061 +511359832 +1948893955 +696644967 +5583434 +1630305707 +1180752396 +2011397882 +1327675799 +1849098155 +2069768961 +1372471164 +1967252471 +400322698 +2118756768 +1327654613 +132365500 +403538823 +708048793 +1427626761 +1748225564 +1224409683 +755358366 +846491296 +1127064757 +573165528 +172193255 +252661464 +119714241 +325849968 +1354929472 +190918302 +837209800 +1156339779 +887563269 +842793234 +639161838 +2068315665 +706707468 +1966837638 +1769930172 +628992781 +1191825154 +1589698995 +1029315479 +1163098274 +769869960 +1161680979 +1566637097 +1477918753 +441824093 +1167379013 +554844788 +1197182459 +2013870310 +1681909546 +1770347987 +38579917 +1934571010 +1890062228 +364429885 +1142016834 +2080980530 +1201639685 +150872966 +821060151 +2044432919 +790034804 +741892168 +603656740 +609388794 +364338692 +1232649521 +1801213949 +1954037688 +114481353 +816828575 +576424000 +1276162332 +235982025 +2054342754 +1717986425 +1403361038 +461703894 +767685236 +1269747700 +2143613440 +390549576 +1308327618 +1930700802 +133128156 +1672757503 +925233989 +66625039 +726913541 +1076106955 +887685190 +623862812 +1866141759 +1629577359 +1227519552 +328046906 +1993916051 +312685426 +2129260855 +1800470091 +427166779 +798605782 +229410444 +1703329111 +1034587807 +136269550 +1273831889 +290465198 +597973444 +2041517125 +1560212898 +594103237 +284583053 +721056868 +377320391 +417711210 +246330724 +1302554380 +484336249 +973244265 +231177687 +1372021439 +1597107077 +2097319447 +854115150 +677142982 +277882705 +700547554 +989828408 +259659912 +353533997 +1416995187 +1058265694 +582944441 +972840650 +2092853502 +719213991 +99188891 +235835052 +1317187436 +2140706017 +1796047950 +1911290673 +277805422 +369621171 +141127416 +695516632 +615951895 +1443681797 +1179852881 +1589196160 +1674859484 +404390673 +1038819589 +1624695283 +1258505823 +1715962571 +1902577988 +1959053377 +558307331 +14754252 +165103727 +1975302518 +1073019947 +748048168 +800659521 +1018389801 +1467262160 +899848412 +1254224853 +636965948 +893070781 +902789155 +400772973 +1170876204 +1272410326 +541900389 +1866392836 +1888362221 +1985582186 +898762070 +1330074733 +1512958023 +1303152743 +221410675 +990169658 +414174918 +1937373246 +745263999 +225744648 +348196930 +760018251 +390848375 +176015800 +1833038198 +1138896543 +976675321 +703944351 +458675055 +1876523734 +1958169204 +1095641003 +622110867 +713474712 +1496413976 +1792987071 +1985885038 +2038314366 +1511896260 +1726763612 +1876412904 +263174682 +909354697 +1241887279 +1566327425 +1130765372 +84573290 +1980502343 +920654971 +829837289 +58763343 +1268851901 +1589855540 +449611718 +1444867701 +1275410091 +1588508262 +274059375 +1979354442 +2047183317 +3099461 +1790039999 +995340673 +625210328 +356031063 +344271001 +270713752 +194432453 +235101719 +1782610012 +1921196065 +2111514624 +2045784694 +683067115 +1205918255 +1464628471 +1813832487 +1290491545 +1297647166 +587003810 +2120328834 +1356410510 +1855855711 +1562700727 +1806022228 +1153239765 +690627170 +1247046842 +1427299140 +522497964 +1146746512 +1430398601 +165054315 +2142087185 +2055608929 +521085378 +338874538 +178839033 +715517832 +573976258 +1961449045 +489230249 +538007234 +1859750091 +1172297364 +1743925489 +1176894914 +838646204 +886933387 +327058433 +1425650014 +859778573 +1683468943 +1134022078 +274995652 +1342007523 +139778195 +965622822 +441570718 +1567077335 +1488120787 +1588317230 +849992288 +1653175102 +1582920767 +758117569 +26776833 +1921795305 +936956603 +742294665 +348287915 +750922000 +1231524914 +886295149 +463188444 +256338631 +482736991 +1640083358 +1094984835 +1369670378 +1967141791 +373151201 +81965303 +1503127086 +1507173279 +356960956 +697650962 +1646951474 +1322583778 +1139221680 +1066545161 +663220917 +580055262 +1916537449 +168912372 +15492381 +527171371 +195689205 +1937287686 +1464127974 +937983870 +138091954 +67566326 +22025136 +1024387103 +530754770 +278363767 +1507124094 +23354481 +1373348602 +729310824 +1990496272 +1746499804 +811276128 +1346139711 +1106189435 +1168237084 +2043790673 +605657262 +343337214 +1035528705 +1672202423 +1006558132 +1615583967 +1441256225 +1175470504 +1631076348 +1968427596 +1371159709 +1420880386 +1285071922 +161659931 +1558972340 +1352638248 +183685067 +435875796 +1883393019 +462048835 +1942999890 +1906747500 +1835397437 +524827067 +1749760124 +1434413593 +1336103195 +948416187 +393119381 +356856631 +844723212 +998776643 +700193845 +1880251917 +523495418 +1706751977 +1348352236 +1964751643 +734738833 +831944936 +1785695591 +2105898542 +105341675 +923283865 +120074825 +1664314015 +128438466 +303759893 +2100189811 +2011831485 +765808728 +1895706054 +1771095337 +453722517 +273049473 +1373371813 +1888136111 +1609152668 +174304353 +133771844 +1966009299 +1019027565 +1132548487 +518719496 +751795835 +1656043905 +77987826 +2100148071 +1473311901 +812726659 +784609360 +1111523844 +771141554 +889951035 +2034807710 +891216379 +406781402 +15762528 +1194976272 +359487566 +2027594013 +1960785000 +107709972 +1651205702 +267023870 +380759445 +877093867 +7676333 +1989912113 +1051398220 +141448177 +1808437764 +2070425786 +1273996664 +179673612 +674737973 +782556921 +257661438 +627402396 +108385174 +1070388098 +1412011756 +1219909019 +1841529652 +154479143 +1107233081 +585262383 +561260546 +1122995609 +1780238656 +920748112 +1003105974 +1593540008 +1028458084 +506828028 +1860563878 +1409217529 +1383921895 +1868240211 +1251645994 +287836468 +2009688388 +912600110 +210778606 +1136201404 +1092273722 +885516579 +1918758326 +1349935161 +1512918975 +2027143500 +272839611 +777447084 +1099568871 +2114369263 +931926227 +59318304 +552147998 +1493186773 +1182313913 +184903006 +266451237 +37936239 +1778443015 +1294909321 +544764267 +1491523245 +556643202 +1928686163 +1212279809 +1808289196 +69038983 +1074484549 +573405658 +279817589 +63202306 +1665679381 +1165334168 +1981960632 +868130894 +530769495 +1861620484 +1140970505 +1308216579 +813705708 +1107856120 +92659159 +873024012 +1660004118 +1585845932 +2055337926 +1844907125 +1852297170 +2093274165 +1475866492 +999722843 +490554785 +819906089 +1556366046 +271757300 +2032185898 +1217171594 +340796283 +959186800 +1790577253 +620613872 +1022389106 +1308772986 +1785948040 +856866090 +29420232 +169233887 +571002926 +1170390737 +1477450467 +1384708634 +130763209 +1570109626 +110248999 +1790767327 +1008471910 +18103277 +1488190804 +713285432 +2111377442 +816573648 +1713008276 +454448579 +1636479738 +1121890674 +726205879 +1521181988 +191578620 +1067002162 +332885140 +1982155873 +1687616034 +1355274246 +1143445211 +1326080426 +64656688 +1172865443 +1495314314 +635659615 +195772532 +825281133 +2020368249 +326535741 +247907111 +2130617248 +2117303069 +1256379021 +1236877 +1458010225 +1969664454 +2112614320 +127100226 +1535189082 +419579251 +1763579964 +509596108 +1145785131 +1137278304 +701174728 +65303645 +1470163445 +535846954 +1752919680 +677954043 +1679292165 +931516458 +742610732 +704673961 +279347124 +1378270347 +900446493 +1104628257 +1251154948 +1226982235 +1352535368 +1234288549 +1196801656 +461430742 +1235525426 +507328233 +283611548 +1200656098 +634428459 +1818800630 +1620235350 +250524775 +180913090 +618536833 +1387803080 +882087818 +683840478 +710482877 +1417934772 +289276510 +1388436920 +949743290 +1220792969 +2131047652 +1654417251 +1500140093 +1361834351 +407380096 +457284703 +465505652 +1634362331 +1809820071 +1699794201 +683680339 +123767165 +787835979 +1191008573 +407378713 +1988492078 +1825437032 +78695695 +1461243780 +2075961808 +259608785 +2079780613 +1316281240 +1141696604 +616137443 +2026764117 +412147728 +905413954 +1267717389 +1361891018 +2126206923 +1251281394 +868824621 +1478863368 +465632097 +1276204718 +1936148071 +931137749 +763083401 +1598484495 +483448302 +1446763741 +1722251660 +1271284282 +490288666 +2129630374 +1112292712 +168242050 +60842421 +426052844 +96720210 +320451207 +358349809 +1413001450 +1462147811 +974487252 +1292281919 +1874295539 +1879901206 +412515661 +1088702910 +1858624481 +1663797055 +1957527531 +1190004202 +2129429152 +1086248601 +978668625 +913083254 +1849332003 +429669472 +1396531556 +1148612096 +4437485 +520332190 +1638900762 +2134067859 +1632624902 +1807142812 +47426632 +2058677746 +1903863023 +367877839 +269543907 +1169380825 +1830025650 +1244031160 +314179097 +1556837542 +976448718 +726694758 +498056804 +687589552 +243008165 +308100687 +1877593754 +224953669 +1394349289 +708778731 +1138036923 +1096197644 +1138448204 +387084832 +97326092 +1142885689 +907417022 +1736226854 +1129469900 +392558277 +1395886018 +1176896532 +303752375 +1152265393 +1544774372 +573296283 +174162571 +1227316374 +1817327443 +488341668 +636670268 +646292513 +1215036426 +1134727072 +1333882065 +1458044591 +1442827760 +1063992171 +1682998260 +689693401 +1772770903 +673551536 +1785891045 +763735459 +1060636368 +1883217137 +1906621148 +1968053390 +1471960343 +888607400 +213128019 +720362713 +2065503932 +516880395 +1872628107 +1462794656 +1090176678 +2046790678 +542627383 +760020473 +387648698 +1179297651 +1406312986 +1602685124 +166541076 +592711404 +913246067 +1609368836 +1656703575 +448760679 +151578589 +1281990830 +1122312215 +1937469634 +2045726289 +35464935 +1673203123 +1804863789 +2003518326 +997679818 +545987541 +69162697 +1718042531 +464007826 +586043092 +1443186990 +1926802482 +1676219770 +1342494020 +321946217 +288756595 +1730142718 +1501243869 +1695069582 +1185344194 +1667784945 +140297338 +2098590261 +1129670133 +1797000913 +399867293 +1281248722 +931508096 +1522179508 +1071234708 +829750737 +1557644444 +596954183 +487130879 +1413679122 +1594634001 +1033118420 +1482841819 +1165192884 +1497126246 +2068884912 +460896227 +1276445081 +1597621034 +1803390247 +1598391298 +1886377630 +1386049318 +952151519 +1433963564 +423909864 +472452816 +1574260902 +375016478 +1602122949 +1223778167 +774883771 +735888023 +7802615 +149579631 +1807122731 +837553353 +1707224075 +256593266 +1324684232 +973419549 +1851227267 +210319004 +308777721 +868936504 +1707445251 +230178985 +1329832731 +836406684 +1827800019 +985739330 +287314334 +1566694001 +224305000 +1239465854 +853173917 +648214865 +1711918670 +279951171 +1023231343 +1166557972 +1503729339 +1798115114 +1902445995 +1511531954 +1947694745 +1562085079 +201601659 +1507435173 +1818678345 +1526285891 +333371074 +1522421965 +1736604896 +642148795 +243874821 +1296566499 +872327780 +1573707552 +2132973183 +552644152 +411963234 +272803869 +2119338153 +636268235 +1512269723 +825028423 +1284483100 +1076704746 +1104979594 +160230795 +95779070 +461225285 +1958345909 +1998225065 +1972757240 +1758557006 +1412826496 +26875251 +1118508531 +1084021194 +1553161143 +1451879606 +458959511 +1142282391 +2094028401 +702834332 +291365242 +818872534 +129058236 +276854777 +1371516686 +541021470 +549658646 +1343371191 +1177289705 +2061928370 +20915966 +314289157 +991149468 +1125895561 +474519952 +1086928538 +1587120846 +285382213 +937669955 +1412394438 +2043939220 +203012804 +1439269690 +1014964103 +1287033998 +844947185 +319360061 +1745993509 +1987229576 +265904815 +301344193 +131111170 +1084777349 +430402429 +407965947 +308810387 +971423899 +957624593 +1652181578 +1229957 +872069315 +1673097545 +315519114 +1863218783 +651509458 +790039067 +802663673 +91146656 +1075421280 +1740333629 +1503541095 +971876852 +1943346433 +795327137 +1986840956 +1082896783 +1640274322 +158717369 +681406644 +1480020250 +424622184 +982750837 +1611131420 +1509399533 +1413153266 +2019097367 +1818209920 +237093517 +829238312 +1322907851 +238323474 +1701307628 +848521748 +553842589 +1417042763 +1500031206 +1343881656 +72222789 +1591177862 +271819288 +1812556418 +947235309 +1243696141 +1608419203 +1742562446 +1083053449 +543832338 +1235353120 +1241770818 +1225238982 +567889722 +1666393003 +60506171 +31537494 +1028308888 +1473659437 +2050634861 +699035161 +1710752954 +732389526 +2021943012 +1949076429 +286213506 +722981112 +355435370 +1703256269 +75528670 +1699317026 +1775479058 +1666706532 +1971136314 +1440551828 +466458194 +1067348807 +901487383 +61536992 +2918608 +1445319721 +1296890113 +1244689427 +523075055 +1864779835 +763598782 +583581226 +1896317330 +1791907670 +2057240663 +1799468543 +343459183 +1620509970 +384374421 +217918547 +1422102751 +670587927 +940899659 +1777538121 +226360549 +1016428329 +1329371499 +2001839607 +535651214 +1153024165 +1294907788 +1002109408 +72889325 +48911523 +1063646400 +75807933 +1494231245 +213052865 +1320497360 +2017306300 +2077832701 +2084096142 +453403879 +1826666383 +1728520165 +363160894 +1478651278 +2071979348 +1983670864 +1863025700 +142414248 +1258289967 +386129979 +1083313907 +888344440 +612490528 +2099742237 +70232291 +466846488 +487909803 +1223256457 +1761754276 +1490019211 +1296145782 +1810665799 +406181963 +1371953715 +1157413396 +619234829 +544967428 +1027236049 +549583882 +481579922 +1480639928 +228766617 +62616439 +1843800822 +1707417895 +2134595788 +1679988039 +1422959947 +129526388 +790794358 +1809089927 +1212840295 +1679138799 +274096807 +1165098884 +1749371090 +740943295 +1653008687 +825143899 +355213923 +995544250 +2121289681 +18396075 +1401726214 +1345759749 +1175809471 +2020961043 +1890727177 +55561872 +423061277 +224823451 +1536201800 +651827894 +287439891 +1232518975 +211762141 +274552031 +765023366 +1634722089 +404078419 +1555817724 +1296328368 +1616918714 +1087472875 +1570425175 +634533951 +689360318 +163884823 +140058990 +1514504217 +519098746 +1135603241 +1488310251 +537494821 +389845807 +686586352 +1713304293 +263323202 +429829881 +1768866165 +686384479 +654653332 +1157584318 +1338212373 +942093223 +242619645 +1549974514 +1216645254 +1007643011 +1037212955 +1620723673 +415977087 +186057675 +1090158740 +1503449963 +1756482851 +1724692691 +45326633 +1920367674 +1864751681 +1559830850 +291982772 +852871274 +900657453 +829477594 +1242717081 +1587243805 +395298239 +1506040283 +2017073686 +16680756 +44941114 +524243371 +1174265074 +1383153487 +1466336594 +1416884719 +785644354 +535498201 +277044082 +1822857309 +8738226 +693021170 +2008914985 +1098896966 +48987485 +1617914188 +676106009 +94314118 +1390798214 +393374043 +1654144968 +1682780986 +1246245317 +407318774 +364774932 +341478751 +1994562579 +760073171 +1847519034 +1864152618 +776753928 +1892460149 +240912341 +1951019002 +1128129988 +1707248935 +1220420074 +1913774342 +95263488 +1497464156 +1589148004 +104001715 +43001678 +1450579341 +1202898681 +91989163 +921009881 +1879004691 +186303281 +164324447 +124895086 +1840448250 +1847105433 +1371140403 +100283376 +64396718 +1712619154 +2094845955 +824469889 +1412654541 +1811514925 +1601223817 +1157631042 +2052427266 +1404759172 +138277382 +1612192554 +477695598 +2052051725 +1707456042 +1975159754 +1493716081 +1811457757 +2018161433 +796811774 +866872791 +2110150596 +1717821655 +598393834 +148970230 +1882146102 +723288920 +1989418480 +1581767887 +2094429323 +2089701856 +1646164605 +1659564830 +2037064163 +323150847 +924735723 +1701095441 +1924374664 +2082366765 +1606039059 +1181650188 +73160499 +1070747965 +1659345786 +2125212224 +630720360 +1487021893 +1471444657 +294694469 +1357699678 +120772783 +1161567260 +1320366626 +1838594438 +1759961094 +1469336856 +1573256892 +335766366 +1311271688 +1007541132 +282712042 +1253489896 +506222089 +1942276872 +1143070412 +829372936 +719528947 +696682205 +606263953 +654412064 +155237616 +1787914141 +727572563 +1225985582 +1299776280 +705301140 +1856705942 +639314525 +29262149 +3916763 +1997014203 +150034933 +1165484024 +1169897181 +1988629371 +777961470 +491750390 +1414402616 +1113727837 +1803022078 +274460100 +1396439879 +909028327 +780682189 +1191233103 +2052098739 +1610055126 +1910762050 +601297296 +68835431 +417690466 +756534912 +1856749572 +1145263029 +1982520494 +1009042204 +1850564169 +1691742788 +1648356729 +1879826319 +1695659552 +1497887284 +2029861252 +713659928 +520300818 +1871006975 +1491621398 +1012051208 +1137925943 +457865587 +667589638 +1412386043 +1854305466 +1576617965 +45584585 +898054921 +1481233056 +1655639711 +661333323 +2082530352 +1724475142 +1079023789 +691581617 +1433741066 +76803171 +526618463 +295299623 +1927367340 +70877604 +1943656352 +1659710011 +1766537156 +1294059989 +1542087615 +332713436 +1814360807 +1265610943 +1824334834 +678928367 +256053238 +134716774 +1346518005 +1668439282 +1989022240 +775652323 +1714023867 +739593514 +109401731 +1222179930 +1400926837 +44448436 +799171424 +332466979 +736030053 +85428842 +409270150 +1262648516 +380728465 +189153842 +1333526120 +176901170 +1848863854 +952579628 +1470961159 +1243467821 +1285293064 +1137838318 +361595116 +962144251 +1816766685 +617648355 +1096861025 +1015801042 +138603989 +938399617 +1791453365 +1852627856 +1677993131 +1900855097 +927324138 +931436321 +1945303533 +1726495562 +1263903300 +533849938 +1811924404 +1673173450 +1796498454 +45169222 +1862327292 +982540927 +222070392 +1563707498 +1935120555 +1693031551 +659691672 +1072929972 +683386221 +1021286788 +2035074223 +352669258 +1638935143 +984451600 +1368470300 +1777539132 +1922851217 +1012440018 +1482683340 +1453360701 +765811467 +262523830 +237313374 +563631352 +1989019392 +1501216674 +1097481290 +1653460149 +1026906476 +746496096 +1698629371 +741750120 +1729037023 +1920699763 +157973971 +1516673931 +1466247666 +817665643 +442120255 +2150239 +1838952431 +329710830 +354819497 +1330403927 +1314162430 +1723289797 +960459411 +1089529999 +588246167 +295659104 +395407052 +1354057634 +558182934 +632720426 +1917688986 +399718679 +2133937100 +867686628 +2053178828 +1013359928 +1614182725 +1604324551 +1755110049 +1195736100 +1377540666 +1913084020 +564926383 +696304684 +583266015 +1007046638 +698454923 +274734798 +1336757468 +1053274420 +1605138725 +503436250 +629080569 +418114489 +1592966250 +1217326737 +713773593 +1988373302 +423900723 +1271956527 +473610081 +194106062 +1671675206 +460063533 +1061792690 +1577370386 +1473423462 +528491767 +1034211289 +1081049863 +1724227868 +264268307 +846650235 +141670603 +960572991 +1429916250 +1148717242 +1659027914 +1704651048 +337991062 +564818686 +1162306126 +841427313 +1193899256 +1580420615 +286909915 +263742345 +146710560 +127799569 +687643068 +1418667087 +601409650 +881749130 +942858646 +1061473184 +1943541821 +372745384 +387412998 +324549940 +1406956674 +1468462861 +2048777808 +1671224981 +167629448 +42964764 +484314325 +1597545698 +1191682006 +2143342239 +1154713098 +1529673068 +560677278 +169535576 +223616733 +1754576534 +1749956191 +510526648 +2018318879 +1896666751 +638326218 +558478299 +1167850191 +1239735868 +1440227430 +2110708837 +153725404 +1236285603 +335970573 +541138402 +1560835543 +1742927247 +2009601263 +1462129704 +1266668581 +29747063 +1505094468 +1750982906 +1627292761 +549292826 +1746841497 +634522212 +2078965894 +160035127 +804057788 +155098980 +1914611661 +406530332 +665625628 +1785446892 +155713435 +1303951846 +196441544 +1323563626 +396204067 +1636668974 +1286788815 +549929471 +725470929 +1622759389 +1091067874 +138822824 +1218202988 +953185489 +1600952528 +337387921 +982932553 +958563348 +2088370827 +462741666 +1507856174 +1687728677 +1097263878 +1439338421 +1847763804 +1901321667 +1594437401 +1614891818 +160368351 +112579381 +1252855062 +316081786 +1416531228 +1449296606 +1639645413 +1812735295 +938481932 +778950580 +215181118 +1663952861 +254226321 +1306248992 +1802775686 +1472429310 +111950834 +1256244566 +1809817231 +1094883387 +67324267 +1750704411 +1557625053 +1575180441 +1290949440 +507405284 +867035214 +991229596 +261243303 +313988967 +458637766 +421611654 +426568349 +1711492829 +737693440 +1843099577 +1013305787 +229855205 +1508351224 +1951787720 +1008805786 +1723532342 +1468256933 +1263032107 +882297687 +1123548971 +587977769 +994248521 +232309890 +250311353 +2089131908 +299634157 +2001015764 +1499273313 +1874814598 +1144481556 +2006678597 +594366165 +2135711152 +120438252 +908355132 +446865271 +542049906 +1334923481 +10874452 +1279743347 +1030539410 +1024180239 +1509598552 +391406986 +828484311 +370920690 +2114939329 +149257597 +1633952798 +849753368 +1272806568 +74446919 +1844001889 +1505116458 +324758272 +1785650149 +1804750615 +178290388 +1137439814 +1532081566 +1322771944 +996634764 +2126447731 +1310999449 +1117073016 +887319215 +1757864720 +1659122923 +74759049 +1768739172 +791382622 +1105298459 +645435763 +153497526 +1496705446 +1473920075 +524418217 +1464161127 +1623177672 +10887367 +166430847 +748500592 +85334286 +2010432736 +106133403 +410092559 +1648599237 +1910884018 +588382947 +638555403 +1295481936 +1911154892 +1635190167 +1274446019 +1074670693 +604779536 +14281587 +685051765 +116418811 +89040636 +306307289 +907801433 +1194339095 +951743052 +1061298959 +543560893 +278179479 +1585717176 +2007722020 +1901357151 +1596604543 +26669219 +502374096 +1681938830 +2037101955 +608507499 +2092031389 +1538217544 +371907869 +532930688 +29289300 +1667389806 +296601932 +1664479467 +794352177 +1371272625 +121775355 +808633764 +2056324390 +238194166 +897674400 +215148031 +1145995599 +2092013496 +1166891084 +59810911 +488090741 +1445070563 +1645528087 +348329114 +1198944067 +1094648983 +374998333 +1701318163 +629104165 +264616641 +162342014 +573651906 +1802834185 +534249883 +1106582594 +1832123485 +54156041 +1403184527 +1349119305 +848508219 +626973504 +1470894660 +1657141983 +535814247 +1709088827 +407332736 +750962278 +707600778 +351862584 +1917853362 +767411689 +839953325 +1215440278 +265456129 +1188282439 +266900697 +1360105112 +1563280773 +1968218860 +1989209277 +1827897414 +2130560874 +415377535 +1483247951 +517327109 +1521960129 +1167887789 +571483151 +777661008 +369523446 +1419991370 +1404634513 +1840418106 +929649705 +1940448760 +1402023285 +1336982441 +543927390 +2109624064 +1688845025 +314297105 +729552105 +381314703 +1529737383 +995008234 +1569597142 +1796638080 +207629698 +985394267 +1617373292 +49355327 +665808033 +1600450518 +464732862 +1572337 +2117777627 +1986692992 +1169460126 +541777130 +616870352 +1538983572 +1961768500 +2021504865 +1231918030 +743934558 +1814469977 +486457668 +2080916999 +210913720 +448598084 +1622278377 +525210825 +1178150189 +2003593080 +2054948208 +25674776 +1425706574 +1704102640 +233304474 +263617194 +1173992284 +282659802 +929425227 +626959154 +747392664 +930997564 +597253133 +586602008 +2100457690 +1139030264 +1203472361 +1491957614 +953315116 +1077493578 +576391997 +1697249674 +744479908 +1062849665 +1630683026 +955393628 +1511447749 +1105477755 +1480604453 +542114290 +961587187 +1388069013 +567789066 +239810113 +944688005 +801093541 +503427307 +2118680289 +1083753343 +1432852535 +598155795 +1831146007 +216366451 +1195408928 +270264368 +169340494 +186955544 +1473736729 +1661298108 +1140270661 +403746659 +90206457 +690036687 +1148226567 +1153056122 +173236065 +2103620195 +517020223 +1278713820 +1436741000 +1059134514 +92817359 +677326365 +1626923580 +332627473 +1622014370 +280533473 +836054780 +1593211011 +1364286816 +121423667 +43883158 +1047949176 +337790119 +1239292087 +1318213544 +507130613 +1426247631 +644466625 +20945073 +419034644 +1048213284 +111151531 +1109071332 +48956204 +1264207653 +1282307397 +5092751 +1781227877 +413537570 +1441833752 +692878743 +506354929 +2119160117 +172318675 +838982402 +1593690840 +452852149 +1675037183 +1039418203 +1817138965 +1796460850 +1083301362 +717604493 +2134250969 +175109801 +2035818037 +493897934 +1601357432 +532801014 +514843008 +2020392077 +1581014299 +625994539 +981979761 +1629970503 +1890202192 +116803510 +1635063254 +1523946421 +530341080 +929413358 +69341516 +1036696010 +901089828 +241660192 +1875678412 +347297020 +694512341 +1403231947 +1386715223 +364167658 +1052209150 +322532937 +1081772152 +1038976471 +497642738 +970106541 +1532874406 +2099000171 +1502907556 +2047717414 +1971908600 +936438207 +526228305 +806404713 +418925062 +268946849 +923208223 +2053988316 +1792893271 +1453549304 +835918027 +1862234787 +342761666 +1737007855 +2103894979 +70956430 +2084304875 +650923672 +1474188378 +1323536450 +1015091331 +378913880 +1646069388 +2096863483 +1417890351 +2143712126 +919486376 +803281109 +2095228649 +274910284 +703514875 +1919653601 +1211348491 +1229743180 +578574666 +1630273553 +1498690030 +1501782890 +1536778222 +1144099653 +807848546 +225212601 +858850792 +1150610212 +1962220456 +815262124 +1221566642 +1899041683 +1466185796 +548271372 +1075094485 +333793479 +927185252 +573680225 +283173314 +197591956 +569908704 +1202659691 +1000873065 +517653705 +1477569975 +1704387941 +289823659 +541434819 +786647473 +868398325 +24224724 +137853855 +222697567 +1561002946 +1281953508 +1030546113 +1786215547 +2140804301 +33672677 +1600952355 +808582777 +1255239320 +1352510390 +127284925 +1803510692 +280121228 +461078405 +583212297 +853801453 +744251719 +780804253 +1423710157 +1946911410 +1781677318 +1941363863 +1276997738 +1338581611 +83703874 +1818432557 +2125229085 +952102199 +1842657281 +115599292 +1174799767 +1256176580 +1397552801 +57862232 +894908479 +1390873454 +91534910 +348377187 +51972583 +1346774230 +1700887577 +179257508 +1002801274 +1981008805 +640335913 +1586013571 +687326611 +1384587633 +219334176 +2111036768 +1184015395 +2001011495 +1904916983 +313529485 +1192109458 +1988620857 +2131962042 +1169854895 +793239409 +1827135676 +1285454188 +1968039176 +935828608 +535523341 +2025901408 +1830737087 +1926396795 +2117436318 +31630626 +1978369378 +1316726900 +1732518204 +10143238 +172044527 +1566043361 +650479152 +1758058098 +105886324 +2035066785 +1977392275 +69439445 +1071598532 +1830920122 +1974356428 +1385128018 +875545932 +1815493638 +1369606412 +2045400828 +461249399 +1049258440 +1183371368 +281804927 +1985087048 +1718894709 +160222687 +1668340488 +1497807856 +130175358 +1699971114 +1328693586 +1446902258 +1285005670 +1338836824 +1618946785 +703565384 +1989315976 +1229521236 +809451708 +1876899113 +1059429863 +878891153 +801013998 +742866337 +705763934 +38658368 +1618412269 +373773924 +1408264780 +1516329449 +835023323 +310039573 +552217169 +1116828250 +147642973 +123628230 +1277050937 +1815983461 +1621436086 +1407226295 +1368470928 +802646024 +706644906 +505992950 +2141482849 +178108043 +1209558334 +1983315177 +1407629279 +2019010043 +1712730643 +319575494 +750417548 +366260993 +1062441831 +1456181482 +404919361 +533370453 +1829955406 +1813184141 +2049699902 +517495081 +2123223714 +454433424 +1634323331 +123383040 +578061654 +763890621 +1939366501 +52014093 +23633268 +1160353781 +854660117 +730278174 +1666346732 +848659318 +908386218 +728421418 +684490848 +168531849 +599947813 +249737843 +488107344 +1350365362 +615998836 +1550549175 +659063196 +1020918197 +2083919628 +341534955 +686618690 +1986135883 +859030036 +662358757 +293085659 +345869720 +785741797 +871147313 +1109760341 +577624650 +923161406 +1133393609 +1737978432 +1777821524 +1863671784 +1256841516 +478997194 +624574354 +1985262934 +1163488042 +793106203 +437727100 +1413225885 +1281213547 +1788092462 +2029224721 +684279075 +299672010 +902659270 +620715055 +641206965 +1589277961 +459367290 +1500237002 +104153070 +752452949 +1846106722 +889894867 +1623600263 +808383415 +1467519517 +399278021 +1941777024 +1058014301 +29615897 +1657965160 +167372169 +508613092 +135055866 +5151456 +1672101134 +928162070 +442878556 +937843372 +61891969 +83487370 +819584445 +746171044 +383159380 +1722243716 +1366886100 +1024366346 +1164038029 +1826253390 +377119700 +1268191099 +431222692 +75742774 +10602318 +2054822955 +884126189 +1478121835 +306617328 +678419565 +388652489 +336233226 +188901078 +556024658 +844846318 +323956944 +561176114 +369463804 +1252119014 +1004054670 +1307307176 +1314010984 +1087542040 +2126891622 +2060182028 +1470701421 +1701651690 +1279584480 +347584119 +718206071 +958354223 +724703819 +1986397170 +1389576915 +800446593 +1996999488 +1296916222 +1684572782 +1327637675 +1603533550 +215508699 +1716290164 +1939766776 +404409777 +124831175 +637129446 +728366722 +686007289 +1006593251 +1980485736 +1690061960 +166416779 +1147013072 +630120352 +145824753 +1059711453 +2100821773 +1847476443 +191812285 +300922244 +418198866 +1150166508 +1025626063 +257112388 +392259775 +1826072656 +106628228 +1689175997 +1363161790 +1434265904 +1145225900 +1578670490 +1003072420 +937509028 +1983080267 +1127903595 +1574638475 +563963341 +1813910885 +433748078 +396965430 +1356489197 +600164857 +1543978502 +1986609549 +745989611 +456206307 +1939947675 +445982406 +648018593 +93386271 +864181273 +1798185101 +1119012335 +1121293661 +42961229 +797601343 +1227921890 +1732137226 +13279486 +514704146 +729879478 +1591949976 +1517776566 +1667388507 +1427546595 +498196514 +1094543334 +1991509937 +164623751 +1528291412 +240991719 +1521112948 +2128456269 +1784970221 +1360238849 +726962232 +93692881 +1152702876 +1172944639 +741711474 +1246089148 +2037125912 +392412927 +217617835 +1010935925 +435374156 +1015219178 +91374167 +20027735 +1028498664 +606078313 +749907213 +472964992 +2123854880 +269812072 +1900511588 +474567746 +1364355406 +1744537877 +639191497 +745163170 +1985529596 +12820797 +726135792 +1623016169 +1373059646 +1453098024 +1716709050 +378278875 +478559015 +310936876 +1624368023 +368201279 +703349804 +1841985858 +1379137205 +1138723960 +709721388 +1470511372 +1158751695 +1738220053 +2076589686 +1908658909 +63701397 +2052960918 +30987333 +1964212985 +380045016 +1395342740 +1561267214 +1019236513 +2140505910 +1399313162 +1032057310 +719158054 +874845684 +257633308 +24772431 +444071086 +635912183 +503331446 +755007963 +112796558 +871532726 +1458357767 +1954782416 +103186283 +449598079 +517020157 +1573697655 +1608349775 +107756562 +1502803693 +1369525036 +171457959 +1408280963 +1400512369 +2135670945 +1788325979 +648371461 +1549454511 +660078844 +641393724 +801284026 +1692136154 +1360551778 +1676129710 +1949769463 +1385324209 +2120200796 +438197998 +1888655656 +727725111 +550994557 +612704734 +38599230 +358293325 +715891017 +488197310 +875313482 +142105024 +2096547085 +983070044 +1644908718 +1318588473 +1154528004 +905706033 +571617194 +1142715301 +546548365 +1219988656 +544686164 +1206627209 +1861382380 +1345970190 +751279716 +1074450510 +874616252 +553565531 +312291072 +847333401 +991763529 +53463080 +1575058512 +1542758086 +666167814 +1613657743 +1901051412 +1382058831 +2101855053 +628881246 +1524163855 +2050918490 +1611951291 +1021588925 +1222023315 +618995647 +1927294959 +1793640509 +1761710948 +326359676 +866145517 +158913464 +1532986885 +580044249 +1504883655 +136782953 +1654494760 +232016259 +690348484 +1966785832 +1079349660 +1682112014 +2020248912 +506924525 +1077386452 +538933078 +2120582268 +830954216 +1920991909 +2074953673 +1459835463 +1297672116 +1978388515 +924303106 +171777394 +1052928182 +1543298753 +2099072353 +699085043 +1157526053 +277948381 +1565230561 +1316439517 +1810935266 +2145274810 +673839524 +1947718220 +1652285922 +905855784 +490583056 +1471588106 +1985205444 +25211422 +1344353370 +344646321 +1102597875 +1883286448 +317744941 +1933552091 +1656794709 +245214966 +1245903906 +806983178 +76119833 +22723364 +978760572 +1129048015 +1566022117 +930349277 +1828133059 +576064522 +1208297658 +1245879972 +1892504040 +871749276 +1243671134 +418859916 +671983848 +748473409 +1324715700 +1162566905 +72577867 +1162437497 +1187778327 +1416931238 +1507083818 +142892554 +1152734038 +1824828760 +2076444646 +662045100 +2070043726 +1174864904 +1469028278 +2146163560 +1197588269 +300305202 +1127727927 +616126738 +1230654479 +808377338 +1192191261 +291468489 +2054257310 +937211653 +1163217765 +1150444797 +1356071569 +1835201614 +1898918206 +533303622 +850284871 +1971496073 +1695741119 +2038063198 +1240943663 +1055341289 +33472105 +246194054 +732686401 +2109916751 +908239154 +655246480 +1137298007 +229783784 +653926392 +187402628 +530088986 +1781654319 +803529367 +1760743465 +442548010 +1995720628 +2052211954 +349321672 +785448633 +1067946071 +1499766469 +2141520202 +755664037 +1251201027 +527340176 +1605948908 +1075213453 +75597647 +1496528459 +168673468 +1130938937 +1530000564 +414867522 +1863625338 +1492433667 +1323106676 +371388170 +482248026 +1552890460 +1025314562 +669650655 +2082979446 +659485234 +1473180022 +1696239263 +1102033244 +1321417002 +1600967569 +1451354916 +2106865635 +521429993 +803637738 +2100902189 +1277094030 +2054838765 +480758718 +735559291 +982568570 +556356365 +84604102 +1151242039 +1687295302 +1614604666 +1566109561 +1403436993 +959554685 +741732590 +1774825163 +1441802711 +147139402 +652656078 +2111453366 +82635201 +1312141312 +1437149740 +1778874464 +266690908 +611083094 +1232358386 +1718045824 +570465081 +1753788379 +374199914 +523883623 +883398761 +281555032 +1004642341 +1618958052 +1264123602 +1560998706 +1703562154 +267881993 +1100810361 +1170683172 +1833991555 +356763706 +2130237857 +428240497 +2131588869 +1424556921 +575379899 +636761299 +1388526639 +658015100 +1948902611 +678192732 +289405917 +68109871 +1289275826 +1521764303 +1786155696 +1859740908 +1128069034 +12871962 +236140883 +2011467795 +294426994 +1240783224 +1482942200 +1558550597 +654298282 +1039020706 +1826432590 +1755108643 +62220231 +1512940497 +2111872349 +44974440 +1941180994 +2095977571 +1469531361 +369077246 +585255222 +710574353 +1027092346 +386674186 +1388767085 +1316498263 +454784057 +530559263 +690778918 +93456105 +242816523 +1818847952 +106328068 +478957406 +1682832100 +400755062 +1719740630 +1018290652 +1959305659 +226555265 +2057311358 +1638254602 +1981663908 +2119531589 +1003711451 +1946052610 +17022382 +797408798 +1894546533 +1486553743 +1166486044 +332318107 +49644448 +46094742 +718992293 +1438411533 +1362593006 +1173776351 +1968970797 +2053371924 +1267232456 +64303672 +1724736229 +1373560524 +543261079 +1260084681 +1774315587 +115518061 +130891685 +1586137598 +342073326 +40719395 +1076908552 +176253587 +12767337 +2080620004 +2122306197 +29789719 +730545154 +1869369082 +1516343462 +1897031198 +54203541 +1565987911 +1943125940 +773195835 +856915796 +1158235298 +1946972186 +678402945 +1064123575 +1066720994 +742706618 +641376156 +292797871 +1285967697 +1901460837 +2067113458 +1401485758 +2032352522 +1505767408 +1743559085 +2073071917 +435192313 +1919812672 +2085839254 +368328669 +1894635221 +2115628973 +1098873823 +1616520655 +1484488788 +848421373 +1670724196 +902993051 +644063665 +296436383 +1759908847 +1802298964 +95924921 +290828145 +718938891 +1162645916 +1033534763 +1360315047 +1455443787 +172018812 +1114292236 +1375073597 +1573504570 +999161110 +733357357 +1169580007 +924749379 +1168549670 +941909031 +863104986 +1536878339 +689060604 +831250311 +488268514 +158097611 +168255451 +1336689887 +1828821808 +1071248502 +1980753553 +2125258191 +683673702 +1635568869 +73699465 +974501847 +207024112 +1236345381 +2008036610 +1567339159 +544305520 +32571774 +534147747 +1919379117 +1606076344 +1533308857 +505252826 +628172704 +310574588 +1673802497 +1570081735 +1173679574 +1063197188 +111658692 +2004929886 +1551465703 +269756303 +25701689 +740671942 +2098578111 +1096950192 +573941847 +2076352655 +1780623894 +62027068 +2568472 +607642093 +269051180 +1238913853 +468195055 +1836390339 +1783219373 +500766829 +223054438 +1555114842 +2106843173 +1756363295 +2060367668 +587532229 +2066937884 +1586686517 +10130317 +1093133810 +502400058 +121789009 +950580048 +2053865761 +391545312 +976281738 +647054055 +342639776 +2073231930 +1220995903 +271508783 +1706372176 +1283022971 +274077255 +166530621 +1552074152 +1512991108 +634725676 +1240980843 +1148726833 +1135492505 +1464035282 +556358027 +1094852030 +1072914929 +469242047 +1682384260 +992369165 +2055928565 +1692514577 +2085502976 +410844975 +1814303586 +888599376 +317227088 +58365250 +1864881114 +964281143 +401005026 +1790629396 +37793398 +672513809 +1349517924 +1320816370 +946591064 +1516048545 +725406874 +312098524 +3290573 +1966387717 +1460825357 +1138783078 +1282939351 +2017183384 +86151461 +208370633 +338941784 +1768535721 +1200739798 +247386701 +1313566650 +1138759126 +658231676 +980386588 +2027358503 +975458764 +1038751838 +1744755969 +1939739907 +1439756865 +1387901718 +1977533306 +2112270674 +589935994 +1150866028 +911378091 +2105984540 +1876272902 +1223476615 +2109275113 +1695176971 +536818325 +1100574544 +830632675 +406518061 +1186726005 +1039003308 +745459845 +807778078 +92259458 +992846546 +2121344728 +1231018585 +1651078222 +954247668 +1110893440 +479053338 +1992999506 +708165761 +271309598 +1285272723 +2096067479 +101359256 +1250059750 +538519826 +1252225284 +13954193 +497020718 +981014538 +1237430808 +458812183 +528707861 +1774249133 +1559386727 +1359340536 +33283547 +598629084 +250860196 +778743392 +1406407162 +343119655 +1771589939 +1380268242 +1574138240 +1275184513 +187032262 +537548032 +1754237852 +32548121 +1245713793 +2025547450 +1317820844 +1194297625 +2126906706 +420396946 +1732817451 +1231648342 +434351139 +82354521 +65179232 +1671781948 +541166704 +593887093 +1298547433 +2100553432 +1953227630 +1331830980 +551698868 +56604178 +2110574373 +1958106031 +399723833 +1734680664 +1190890625 +1973862073 +862381529 +1377922888 +363926457 +469135733 +1410471009 +1609640251 +347199535 +580808205 +656454228 +326622593 +1001205152 +241788031 +1558270935 +1435556291 +324142552 +1623450167 +959854591 +865309256 +69853613 +110918377 +818379040 +2023081243 +1442749357 +1370077909 +2079685421 +1405840082 +1180700292 +331925607 +993037098 +224107269 +158304032 +1855418628 +1602030157 +522230490 +177070713 +865017518 +2131870741 +524270249 +1445825724 +640841321 +850892842 +299547228 +882629352 +261680130 +1735103519 +1206771904 +1885130297 +547474463 +2072081160 +1954983910 +658392840 +742976553 +1830581505 +2101142197 +2113054462 +1762783279 +1359498632 +1146271106 +2094708886 +205052082 +1370378375 +105529270 +2060470710 +824924885 +627759760 +90057776 +1689942403 +612146853 +614328025 +988284479 +1252988174 +1465220867 +1287831707 +2135617526 +1726900997 +875451579 +1194905782 +1464547647 +1422926042 +1119503295 +1272047909 +2081318882 +1862479848 +955145767 +2034977431 +1828050662 +570445398 +1246992415 +826838120 +517670636 +1452044498 +49732847 +623199906 +1365031560 +874657732 +1250959667 +1455089336 +417116488 +1863106520 +2069417361 +1405400967 +968611047 +1387154581 +545749027 +956744925 +966571930 +1421200606 +4167060 +283635929 +696643000 +1123670355 +1555683839 +630478234 +838666555 +363345958 +517972017 +519233569 +933791356 +1764964433 +1346071689 +1451461992 +1069525283 +1395804536 +2074661898 +287073195 +122978621 +1178137917 +1742162532 +540095109 +893760790 +1664096245 +1945496076 +1862371837 +903767178 +343761455 +671633114 +1870339109 +1764962061 +675800174 +6491390 +314121413 +1799470529 +1562175229 +944599647 +490653436 +1925521187 +1462571665 +1009887005 +711828895 +1080052450 +208475046 +15807239 +2094085 +1604279583 +2090469138 +289167280 +1727258204 +1121123407 +2031329812 +119869665 +2014884197 +1547942410 +2065365741 +1729772386 +304225940 +261643549 +253921853 +27081401 +2026605610 +929722027 +33572792 +193243376 +581708909 +1595748021 +1137843023 +1072362345 +1373785561 +452931040 +2082249351 +2085614456 +1532983490 +143240749 +2101421696 +1535077575 +1747520332 +2044407186 +1824244856 +1327294888 +1018046945 +1708091020 +1447164553 +885447495 +1108549782 +1365046647 +467736233 +1412775723 +1626690196 +721658086 +1439857124 +1505812158 +1651380114 +1473429916 +1699055534 +85605375 +921694290 +689414910 +1157967720 +147996203 +1142345950 +1092733423 +86127011 +527845793 +1235974173 +40065059 +2062923368 +836010857 +2084472245 +1739684576 +15822098 +955035543 +1300291949 +1462986651 +1840483038 +261358083 +680549650 +160735623 +1674133806 +159756198 +882393710 +966507283 +1665568357 +386290176 +292453551 +1217140243 +471895551 +1214147841 +1906555153 +1629863271 +1362144044 +901417456 +575113047 +1448271056 +1429263249 +1811087220 +1488336115 +1344702969 +499614429 +1425324713 +936903898 +515436527 +232876608 +89712199 +1978423179 +2073359646 +351070282 +511489181 +86611621 +2025204089 +671245380 +969005331 +844227724 +189330089 +1355295507 +1136681275 +1406470332 +1827191058 +203345469 +1165541838 +1309570682 +1565489513 +2066959294 +1884683729 +866276921 +1348738895 +1548287301 +207129389 +545958216 +2047901730 +1632454102 +1482862114 +415854610 +1865330710 +1572574313 +246794141 +1791206708 +1923644596 +758283322 +1877818329 +1801365037 +1429528702 +699340013 +498109113 +1618858791 +2054635520 +1634790388 +877845476 +1734342931 +1838135857 +2043387314 +896429965 +1256141723 +1962862960 +633630046 +2122418644 +1164118207 +34433699 +182064385 +1710076423 +2082335429 +1814518487 +1045454890 +350706391 +1532365549 +470545555 +597500532 +1176088609 +246706503 +1355783855 +906423291 +2048071540 +637828909 +1605763304 +398697005 +109204053 +1512915176 +2033487394 +987049529 +1099774459 +1724139603 +882953195 +1996204424 +832797678 +698332507 +482350822 +807732675 +1862450714 +516784521 +989797060 +1425043489 +451636303 +656831900 +323014731 +802342694 +41713801 +793560287 +1399843227 +1217802411 +1040266790 +608143434 +2124225702 +940854683 +1245972343 +1582505358 +1339551688 +1355176396 +947936886 +1225555434 +194742277 +2047711346 +802211390 +1077695472 +1896432122 +1635009068 +1776027979 +231299297 +295258095 +1490995045 +748083818 +1285055156 +768554887 +1199720121 +1941887056 +1091569618 +2002062816 +1983600857 +1885129905 +1254422395 +1053919620 +777913048 +1862565829 +1030661674 +1718767731 +961054524 +465683384 +910835771 +168747273 +1413620271 +2136391206 +363489550 +1313847969 +791118948 +1441185023 +1062796443 +278644368 +1069729354 +1294095740 +573902464 +413240752 +2042179559 +1858957620 +1181795639 +1094416032 +1653361028 +125881609 +948995200 +1489478237 +2011011515 +55933947 +395914210 +641440915 +1918499776 +1426575884 +212724998 +732070653 +1892259269 +1123560769 +900817926 +1158395892 +1112468327 +1264307476 +324760213 +1903587275 +558008851 +1387556656 +34747996 +1627738206 +534168749 +608650460 +2040978958 +428864660 +320124432 +1075290949 +1523280692 +1973485460 +1201172558 +324792245 +1315480049 +1064700425 +380726192 +1711394259 +1706141340 +151742321 +990486496 +1918866338 +883812974 +735262117 +894943460 +1784630900 +1893658009 +2007411787 +901454728 +70934574 +1763515415 +1459463580 +1458491230 +1798263411 +939718138 +1992659979 +259430223 +833213448 +274040991 +579554655 +1908504397 +1797321684 +405556467 +962193307 +2122113929 +1721036516 +2026893733 +355356473 +1284947128 +1585551425 +507098794 +127949976 +1356934116 +1390911768 +863212093 +104393928 +1028059020 +609386454 +2111805715 +1929513749 +680321028 +1727837482 +1241493681 +2138812258 +1378617245 +33728171 +1983988590 +1638047468 +866941619 +110545933 +70118475 +627962368 +1907867617 +475674942 +1590155675 +1882497898 +49227811 +1469565760 +90370724 +1334174939 +907633538 +597469518 +1462124915 +117084006 +1988381287 +177853360 +221477934 +868956659 +787239814 +185800001 +650986760 +1467560842 +1913637484 +1892480441 +1458889452 +1144771081 +1926208612 +1295394394 +635334902 +645666583 +1405940328 +705453377 +1273628951 +1166324297 +1181128320 +716300979 +901338548 +1230356131 +38383091 +991709272 +417047422 +946016629 +1589178790 +1879172337 +1063100635 +1430076429 +2057025697 +1284578569 +151549441 +696781863 +1470378571 +802536201 +16859057 +1236532407 +547532995 +1475748509 +233819840 +326257959 +623659256 +869154742 +971924543 +2029599584 +1574608120 +98069846 +1048440233 +608252792 +814370825 +1949778781 +1838608923 +852753917 +794004405 +108172697 +1798770546 +235699548 +1987345034 +714387534 +1665775977 +1896887083 +1998966103 +1817325418 +446185298 +1321861026 +472377972 +463044355 +410909785 +1019910967 +1938792864 +644729626 +1346168926 +414968472 +1513884368 +170609821 +297084408 +941008840 +268679668 +1345524642 +1549261632 +1083050493 +1147819775 +1240386907 +1935804410 +1941824181 +1348559604 +1587091309 +30040081 +1188420990 +153995195 +1695816058 +937824425 +5477650 +1365657829 +1384009723 +1327338677 +1838035801 +1847054078 +1738248462 +710463120 +1638363295 +235494440 +2056632046 +2053331767 +1749378809 +79758220 +202932528 +542904001 +348437888 +1548457170 +2092165634 +1431488381 +548793297 +1185068893 +1219809144 +343133830 +386144850 +659416805 +373173911 +1574565840 +813412000 +2068989970 +364906618 +818889650 +1287164151 +1748916341 +2146228327 +977716304 +1448486772 +1736993142 +1688179424 +939366419 +1972487582 +1597327822 +845214538 +1574382743 +1677086042 +1048147066 +2117286745 +2025523930 +449120588 +2061968731 +1309528664 +997913886 +1099553976 +381854160 +1341047716 +1485698826 +1041270965 +1714221628 +912781019 +1854682965 +1635727950 +1277687637 +526088967 +775408453 +879120330 +524833647 +1753124757 +180123454 +114343141 +1293820533 +1119489873 +2086830723 +743664707 +1964704412 +1513729819 +273267102 +865367830 +1483532916 +151307384 +1314488419 +1398017999 +1460836048 +164918657 +350088327 +1842690208 +1505966373 +1835787154 +736477525 +1072704353 +601084525 +443676842 +560948655 +1878772162 +969765810 +1336357108 +610408844 +1494599457 +941998217 +790532299 +1608942598 +88335102 +1910022172 +1548289673 +831999810 +1727242936 +914535844 +1105266912 +445127119 +250585112 +1256574296 +1759615538 +1648603111 +569926697 +1924534195 +1998691439 +265133257 +1283016920 +1686994945 +1001610783 +208237626 +140595822 +1445287625 +769186281 +2019367984 +267569787 +2105543390 +482293180 +1762169244 +900057959 +1272825479 +1223628194 +988393062 +1035364004 +624434220 +1820392872 +615123292 +1538970064 +778176136 +1060250411 +1789555177 +2034750432 +672382301 +1290674640 +457193481 +449432848 +1141882431 +722326739 +1732449769 +681393728 +1723937522 +1940687395 +821989550 +1021741499 +562390028 +693873886 +1289311287 +520449770 +1176167067 +903996883 +1420507730 +301508898 +2127625078 +261417144 +1336872902 +604575650 +2081810016 +1951996195 +2143545714 +712502504 +864762958 +1785617243 +599769288 +1537145260 +928808236 +1056962770 +1986578108 +2070690667 +1779289509 +1571544229 +604600748 +1355743383 +1364747976 +1426590298 +230001234 +1927138005 +2120464185 +1519312521 +300104127 +1149147604 +275825757 +1720611857 +1450656502 +255967187 +1982029001 +640045757 +860542837 +1916355369 +444558304 +856604903 +481374225 +1309321262 +494738499 +1081143514 +698982874 +1423546735 +2138106284 +538077335 +1346753754 +1769912145 +2109621564 +1951354502 +978171880 +1326885893 +1230461153 +1208173114 +1106540250 +1203441690 +580001988 +1406644377 +205105646 +855827745 +979772587 +1655762148 +1111794932 +814317940 +148324257 +1972337769 +583189662 +592882561 +681459024 +1064563887 +1902203824 +1176197523 +2145707401 +453703050 +452260610 +2136330037 +991780385 +1799014365 +1758758534 +953918302 +1602885219 +589446766 +133320547 +685862724 +1797619881 +1239860797 +1889304414 +230138221 +499021526 +2094410060 +1085965966 +1478794113 +1602688561 +50277250 +145628406 +1751012818 +2022615019 +728818068 +196411732 +556590395 +1793381955 +2098615556 +1732787919 +1791605709 +404834958 +37564881 +1780452098 +1396615344 +1836579246 +1391726985 +203049998 +1291980818 +1981173751 +336370545 +1977843542 +1631309984 +1576231342 +1719664309 +1861448205 +2075252868 +1666590721 +799930523 +1406563334 +1121795634 +850207773 +1552191740 +725324805 +725339144 +133526160 +921736537 +1281929540 +1926908115 +872868445 +867233811 +1571030176 +1277703403 +904798692 +1203998627 +526835099 +593894291 +448241964 +729885097 +1885875109 +281932067 +1066255642 +1716235003 +1913242052 +495003336 +1288415664 +1627206609 +422772557 +807522738 +279653485 +1829335891 +1929318372 +1129861258 +1234043983 +507159529 +1855200403 +1367570143 +1428896066 +989646295 +1146994610 +154280863 +1856880106 +570541139 +1431984267 +614195150 +1774539766 +1958819366 +1208089441 +75298082 +541220816 +946480902 +357230149 +1607476458 +515232258 +122988553 +2102479795 +1803647922 +1750195163 +377768704 +463687012 +2029848648 +59620947 +245521737 +1012226258 +1293664930 +752681266 +719943013 +513751425 +34093685 +1709589308 +1660746035 +188374548 +1418985766 +83803526 +1620358815 +2033180917 +1858343292 +1431694534 +1093786710 +1933641374 +1972915350 +2040267613 +143387876 +1432908160 +408016223 +266376429 +1387904307 +64180497 +2016571592 +1765673011 +527867510 +1898936592 +1825293958 +773389247 +763679203 +971475240 +1526070513 +1483622216 +1485226665 +1560164198 +1045727877 +998489053 +1748538747 +317229995 +1082292579 +1221413914 +202927264 +793152224 +505624800 +1296713975 +579309950 +331056502 +1189497940 +722697826 +1763964663 +1597514163 +989074256 +1004385322 +1661694660 +858162200 +622574686 +42078522 +609615145 +300384996 +815467769 +1373294348 +1271860237 +194054635 +709432916 +609603254 +1754218833 +1755160793 +1608092307 +1355273932 +2072390789 +542901239 +429204199 +127834405 +1336053463 +934828999 +1424548380 +1915363413 +1265885502 +466562672 +490577592 +882366517 +2064076835 +1479651848 +1886751839 +1578287848 +190330400 +361842877 +1620366370 +799945545 +662227874 +288350492 +25756245 +1934088111 +482405127 +735189162 +396207717 +89140312 +342866307 +2004300025 +1444414245 +267773448 +399717616 +1873618444 +395607854 +1735771079 +660963795 +1820156234 +1503650844 +1926849297 +139235259 +1994228436 +661732166 +55828446 +1326396636 +401000358 +1634116294 +1516727037 +762843235 +1106999017 +169188934 +1425071109 +1395349509 +194945180 +1211675572 +1877754636 +930134342 +1607883290 +1966894948 +1273000649 +1464699667 +1263825545 +1540774098 +1864417283 +989960341 +1936381952 +1452704714 +1650924137 +1609054538 +808871910 +1430289786 +1748289797 +655616699 +2092021953 +1804118244 +1982013335 +345538663 +1290750890 +1351256724 +1108381898 +250266259 +1520445659 +385969360 +1645615768 +1715390839 +1597644932 +1375886756 +498041533 +1058044574 +1195298057 +1771042182 +375260593 +311639954 +1164332632 +92194228 +1301600296 +953230936 +1544898942 +805040785 +414801827 +206287205 +87846923 +15607976 +861903904 +32385228 +1819726220 +696433591 +377923891 +962993463 +2047690316 +1486305790 +1213259722 +1420652327 +1872275150 +711391843 +988559518 +1322436434 +2087278599 +1486601051 +232997361 +1135093008 +1110159585 +608257954 +1446732963 +127008570 +700452183 +600849611 +1080239506 +97867477 +1405890396 +1495041333 +304154682 +1493737319 +1510649310 +1166058586 +1526122548 +1182891882 +1862492178 +1904046439 +2145885345 +1762698846 +1242868581 +1211661420 +1035867525 +967660083 +1923053263 +2024427043 +142612870 +1862848214 +1363544446 +375610231 +850457575 +326220383 +983868185 +149706890 +453228953 +1684320368 +750556501 +1533468460 +1782187846 +8963249 +881026145 +2086342528 +1502700568 +244191807 +1104917467 +881339468 +1427083690 +819925997 +637902260 +1425485387 +435141195 +1880770841 +489663159 +1471008720 +700947277 +265232774 +1347952115 +843560147 +2128080989 +564012913 +1219170378 +831054916 +890233296 +55554915 +980761806 +1343462250 +1739875284 +1731318307 +729447062 +1374579482 +1740281556 +1610473207 +1313438362 +1095498476 +1854665015 +270872181 +1976837945 +1134265057 +1090798178 +467256557 +412266796 +1525939373 +200543750 +901929956 +849464445 +901491027 +1167162730 +49932912 +1745051174 +1147760071 +613945825 +816737904 +1978814987 +1504179122 +872292820 +812093145 +700157724 +464684456 +395927804 +1429604786 +1839263938 +2136209360 +892594345 +1005218652 +1084224189 +599775712 +1276090834 +913578486 +1734040769 +219405364 +1380835043 +2146307566 +1745344738 +1581378793 +900753874 +447325535 +335386173 +2067916604 +497258448 +2080437347 +1068193028 +1111204273 +749691604 +899524367 +467899747 +1621984424 +1711617513 +1168057471 +2086668880 +2107545317 +450178609 +1778449170 +2096271030 +1342772955 +636184174 +1033011571 +1942548667 +1912275008 +1946590057 +1529105789 +2131680373 +1179941452 +1527929707 +1729541463 +613836597 +281199933 +29383350 +949222770 +201632889 +526641798 +882176470 +1269825917 +1637846072 +1631868074 +21866637 +2105745819 +1106368850 +1733484150 +1126319643 +1045554082 +1693545819 +1576498252 +676519604 +1642333201 +771787559 +1312703778 +527861124 +566852579 +1077495139 +326967533 +2095958368 +1061691864 +1506908985 +1476404427 +643749679 +2120745583 +1757604360 +673133029 +922484705 +1959237249 +1199774828 +1804661175 +1081579519 +690137252 +1289045601 +1103446156 +648399423 +247930803 +689446658 +1774719066 +1293484885 +235508829 +1203733671 +1970004489 +1877842031 +1975521230 +1135224620 +258219507 +394890161 +65236111 +585187041 +343364881 +1126927975 +2092096026 +1819769308 +1770677654 +2065357961 +1429890020 +296327035 +840359019 +1241643622 +1496101863 +497536546 +175739493 +38755467 +1786582148 +1279185649 +687154891 +2034512951 +1968632307 +314390309 +1180514189 +56657488 +1518123980 +1003035030 +1934499519 +1346161563 +2138259650 +45235379 +1741051724 +56012113 +630422420 +2084416606 +1182940088 +575034798 +1756702266 +806134094 +492909112 +1039108639 +1102461130 +1333268131 +133268613 +451079345 +1830804677 +309008106 +489834813 +1469903177 +1588193755 +1176989704 +1356932481 +1409342414 +1491380013 +389963022 +1465999902 +862020346 +1392998052 +1253015774 +60698261 +1383774055 +1298251153 +1801749985 +1439786168 +1928673573 +1738682943 +475242609 +356224723 +1347901562 +1281376703 +849133835 +239526553 +236354185 +34918318 +372795166 +687433531 +1865722996 +681803272 +1177268344 +1188142525 +122513379 +206774400 +397591358 +1531855793 +1698154413 +787554380 +850372047 +412691111 +33068785 +2103387821 +473389372 +1416842840 +1254155326 +127655710 +709145360 +1035345251 +1866338653 +1184387969 +1391569975 +1066756567 +318281025 +93220162 +1306283120 +554635210 +128138481 +1679078286 +1242068741 +1993861477 +213397910 +271853437 +1034520354 +335911289 +478627837 +1432111713 +1867767082 +29298603 +72182445 +570655482 +441989714 +105251230 +526559655 +915379087 +1522094070 +1780714982 +1043034797 +83755783 +668576585 +761889802 +1268143752 +2060146560 +1828646370 +1586424777 +5883075 +987445842 +2141059988 +134021556 +519040481 +1235645081 +2127883033 +732438391 +1507498519 +1014919739 +1068349681 +1986126356 +299547804 +788633115 +2015424959 +371730250 +1359288597 +309931026 +476981480 +1885848253 +1225310113 +1999075551 +1519079587 +120861262 +2082831334 +40172524 +882751064 +1203491438 +2100319085 +563913786 +642432568 +2106202160 +1551359629 +636008908 +92740068 +2070400110 +1871653989 +73139453 +655354853 +1231668860 +1088059192 +1723704534 +1070311569 +1387606997 +364854002 +938252880 +1759337247 +1724142599 +1248183906 +88835079 +1462507204 +326010371 +2087910630 +834103143 +446871633 +2023258316 +874275668 +1329622698 +1079266107 +827111105 +1893536484 +1721698675 +785829617 +1297412465 +210223935 +878569685 +1220328927 +2081877924 +951709138 +1875683781 +1166063137 +2039768330 +1451904667 +88891058 +1279891679 +1816758669 +1027143938 +891745278 +1393417621 +127844197 +980580358 +708441177 +453854568 +921007340 +1542544321 +900726202 +796782009 +269336341 +82865252 +1876048116 +1096447446 +1976401736 +1450263143 +1882277063 +1126330554 +1660487078 +613363100 +199175833 +1594881354 +1565072238 +2074859614 +613460843 +1457356920 +1379280634 +702351901 +589764952 +1048555655 +1729495840 +1481510230 +294489628 +1857340037 +314606940 +1002930806 +163710957 +1235614281 +397991479 +1064437159 +2032396290 +667327820 +1147302411 +1760960758 +1763775266 +976220500 +1063740253 +1498568681 +2102551054 +576743683 +2111931781 +154243239 +24141389 +1529520371 +81619206 +637602233 +839393643 +1460899840 +1339954134 +1429158595 +361971847 +921966326 +763185178 +656461476 +631822715 +1077792118 +1659392282 +795533673 +165922751 +2057383761 +1859970832 +50835393 +577227933 +859789596 +1811796151 +193519551 +1836010096 +728052756 +1692088232 +1791077502 +1304796439 +1656536365 +1945320741 +1328937829 +1038573088 +2026939947 +1966540062 +1877966731 +1340356139 +1159010548 +1159641679 +1702327987 +2080976875 +1922826857 +211305815 +565315942 +853135327 +1870698097 +1360849615 +1019058079 +1780598210 +1073336800 +1069893472 +210342495 +1933126396 +734205976 +403862046 +1621652844 +1462258732 +2095950278 +1265246698 +619571524 +1605002995 +1063083791 +1948509353 +496092435 +942540091 +1767565767 +226575518 +135412582 +779092667 +1386217197 +1837740569 +712585894 +1161560406 +2049046384 +1277901837 +2014695734 +1772260833 +491267804 +886270165 +1405375395 +1564604604 +1956163637 +1615717890 +1350247352 +542885965 +2019579936 +824416548 +2005144698 +1968046566 +2089663246 +477232574 +1425565913 +1005263390 +278258279 +1921658348 +1947803481 +2045824046 +750219 +2083216063 +677433065 +1386967416 +1773472985 +1390018960 +401044175 +1675035721 +520437149 +268256261 +1299812907 +1011704953 +1154526426 +557704654 +428825910 +963206415 +25938897 +1779073262 +1506092381 +2045518833 +456006163 +1363753431 +1866081752 +398185761 +1840986005 +1144164017 +1403449151 +2119244284 +918338718 +1203768984 +2017584682 +919088937 +1139501400 +547534099 +158572705 +765490737 +1937553059 +559616880 +293042810 +310506560 +827873141 +1592855717 +1322211514 +1982399567 +3076724 +1751037424 +798122335 +29015621 +1382627038 +156731068 +2074534454 +1838633201 +1520484499 +1793132558 +89335315 +1213986856 +789812928 +1492784466 +1185747492 +1708151646 +549069803 +1055848526 +479756935 +1688571203 +1603382625 +638329640 +306578292 +1393452037 +1197946521 +599621102 +1703958597 +2025819662 +44993172 +878686463 +1860735582 +48069896 +482240239 +511374269 +77085517 +1864867278 +668105337 +4136323 +1556016831 +41106188 +1797268882 +1645352146 +1255093044 +439598162 +990652965 +293356888 +266160 +1539722768 +1349205414 +480023095 +1080810323 +805104391 +1118352735 +1387388615 +51072780 +168815608 +1987009717 +1755031378 +47151623 +2032002889 +486234193 +1907887205 +2080072785 +968474433 +271777826 +9674654 +685858063 +939883163 +13810978 +94391246 +980989351 +1811079860 +1739743393 +88598747 +103194374 +582912710 +381955635 +103460534 +2122635478 +1731161049 +583483629 +1055962153 +388781792 +1701836364 +295867120 +439854573 +1870651973 +135393189 +47402303 +1917803596 +19912431 +533636496 +1678207153 +2099985216 +1502110929 +1949984979 +2109659871 +40485344 +742384494 +2123470849 +134876591 +1723373845 +1787067061 +1874619984 +1811972592 +1890261435 +310049046 +46444579 +1993721969 +285200876 +1777605628 +429721950 +1341163029 +18903772 +2131558314 +1637030149 +458758345 +1854726639 +1772423338 +506160648 +1625046587 +1792335769 +1039797145 +1155770092 +1744837338 +394424426 +958271423 +1707013561 +434909771 +1700655917 +1683000762 +569786362 +1276546114 +1322584175 +296922698 +941035058 +1065361962 +606971744 +987479637 +911600283 +892172620 +617601617 +1341322233 +85852001 +636505390 +1325396899 +1722882150 +1095263735 +1032639891 +1347821840 +1601424384 +510202830 +992673962 +493737881 +1665972923 +590027652 +888162307 +476760698 +149557565 +1323072078 +29932968 +1832558327 +1892858440 +1306479082 +1007658854 +42297490 +100030493 +2073020816 +649269234 +1087510130 +837137451 +1541441854 +1705111748 +30976036 +1627293855 +194133490 +1356372935 +1202692357 +1289397225 +241529178 +403030550 +743337961 +751732009 +1395704512 +1237075842 +270221284 +1985732164 +2125238150 +746981982 +2135289729 +1300826580 +776914950 +1820364408 +1046201373 +2083394033 +680539614 +1088498863 +35940878 +606076782 +1737768098 +1123451008 +1443214233 +1131726304 +681079108 +1474190269 +611536512 +875212598 +683079556 +1814228869 +17126176 +924608735 +69775771 +760464137 +1676340744 +1465480283 +1997539980 +1946562028 +1303728799 +1975294482 +546060362 +1291534880 +1128637414 +1322975313 +964415640 +27355139 +1258885698 +1644955254 +1115854003 +1294826576 +103548388 +706138453 +270793936 +1546762621 +1837864757 +951873045 +873469242 +301917621 +1827085643 +1556548799 +2116146491 +1844211819 +333673886 +38438614 +457192309 +2010014630 +1503918898 +307248641 +1809093010 +660164049 +135059475 +207669724 +1951698930 +1263696889 +1530645037 +768630922 +1291052029 +642047087 +266102529 +259422384 +1936873663 +369650917 +965560837 +60183952 +1916413539 +655941946 +1012056997 +642399133 +957859568 +691658992 +51464284 +926522411 +388387164 +385138170 +964961025 +845579473 +247669152 +321396275 +1152828114 +2056762162 +981560325 +1287887589 +116948239 +785775607 +404100830 +1647593276 +1554406529 +1695152859 +142156716 +1820509058 +1954575243 +2079030379 +42676328 +772652432 +2139214331 +1959089867 +1428594379 +1003787680 +454005352 +238970299 +1695446673 +505469637 +1165492710 +2083833837 +890607807 +2130453735 +781929662 +1138276960 +304366363 +1934757776 +1047555474 +1285926688 +1075161717 +1164503713 +2071702295 +1479262547 +664613342 +1478625176 +1026931759 +806770058 +1151650587 +834023354 +738316789 +1194326915 +1606675787 +730047473 +1005933134 +887786518 +1733835153 +1459938486 +1126756817 +1281798178 +1965408123 +144765879 +1218148367 +708532283 +127735966 +2000078029 +1846809243 +432102329 +1787352157 +746881069 +1718029017 +715030226 +1911384783 +1642247664 +46809126 +428514477 +973389193 +1073740885 +1235284535 +2125039780 +1907764239 +1973601324 +1171883047 +1366956378 +556165149 +30332533 +107259248 +142516655 +1490271019 +1234016065 +1424314833 +1308195495 +1378781944 +494979553 +2016727778 +1506517911 +347573934 +1716053373 +1938620240 +2134926092 +315450794 +1509165610 +702472670 +79351929 +1003929626 +749281796 +507866406 +1977318819 +1823022681 +1743150941 +1954874951 +1583303273 +1569268618 +979274350 +802776003 +2125433767 +1009606883 +910035252 +120466774 +352394255 +2144051317 +1544781608 +1660589750 +1375349614 +2039761161 +1529833880 +734383877 +239851447 +1098403605 +525520469 +227293891 +1413854399 +2034686079 +929766562 +1493206329 +891132058 +1679048358 +2001072735 +720967229 +1354587392 +1596740029 +528358533 +790407017 +1018524999 +1507632883 +1593183020 +996475118 +369756119 +355734624 +1116941893 +722150374 +352302294 +514239853 +235256476 +1727651908 +406517366 +1765090356 +314552137 +646368813 +716010313 +840072606 +873662705 +2129864712 +727275038 +1803429267 +1475587393 +1618407096 +1334993977 +1329176481 +191890677 +542097721 +778432862 +720249210 +1332504738 +1796957861 +80398446 +778204111 +645949331 +450154565 +1133938735 +1762891224 +1172304939 +1486241029 +129647429 +1407561415 +1066409289 +536164795 +1025168123 +1380961426 +1182533609 +1741178436 +73550385 +2056196314 +1723559500 +800825423 +1712141933 +1051663246 +271748871 +899652262 +233356079 +463639548 +1441749984 +1011788941 +1183888759 +626771074 +661263154 +1264287205 +1404975185 +1307212485 +1714441770 +391430273 +922620062 +739263061 +1877671302 +1052267491 +2146824476 +796596944 +1588432287 +1024508951 +30074722 +623482248 +618203739 +103625107 +532194914 +194279591 +904450530 +96853199 +1245942837 +1176199401 +996505461 +1479298916 +1639838950 +290771797 +343604209 +676244061 +917542872 +1004867363 +1940531266 +175034409 +164596201 +1507489388 +566464682 +1087216263 +99268801 +296652337 +2139483754 +98609629 +1093249281 +1580432393 +1123118580 +1123324003 +56430993 +1741322319 +1226949111 +588625907 +1935601910 +2131399641 +685479106 +1034061100 +1160115395 +1681984568 +365876368 +652470697 +1972756365 +709480578 +1328714758 +742815589 +1714347941 +1121762376 +917849999 +1878944142 +481768116 +1484314681 +818676757 +581036917 +1780967018 +810676864 +679646546 +726732651 +243625609 +1802765126 +1850056655 +300056603 +1396603797 +929522118 +888682510 +1184722059 +913438111 +1574161617 +71299511 +2073553506 +1108662537 +437175880 +578540555 +933935254 +1146656458 +1907255313 +1676750844 +713520751 +881534041 +447117195 +444981246 +1363302157 +1931431876 +1263658003 +1944339074 +1564915247 +2074334867 +476501972 +144164250 +170476829 +131783450 +1994220905 +470533432 +1528387247 +776259375 +1359215942 +565625659 +1689697487 +785893911 +636925170 +1615767345 +1894556448 +1074101050 +46824253 +681008055 +73273860 +1954079566 +210275251 +786794612 +688129960 +657392446 +1231775858 +2051432117 +441340674 +347950213 +1848287544 +2006255921 +274801433 +177305868 +2936524 +445278262 +309089319 +1997157429 +915811694 +1837476566 +625933157 +127543988 +255618577 +168146996 +913437900 +892543748 +1783914341 +660510700 +1966644798 +1830738594 +1341518755 +2039918659 +1637334513 +1551794006 +679229623 +177980825 +61702804 +1911005481 +81929294 +503043479 +111472046 +1930216838 +361815752 +386273479 +2107522707 +364752276 +831551741 +269128378 +214426058 +1747363435 +2106604944 +840359215 +1874907424 +214739874 +1008506211 +640861676 +1107283622 +644936904 +1301372376 +926444772 +328191851 +495407484 +818879783 +1965526364 +2047201490 +1498109406 +2143507189 +2108904295 +1261631239 +77952835 +464464126 +1373103286 +2008169674 +826279878 +1759376765 +1968208733 +1191032155 +443444859 +89853463 +1405458213 +43324646 +48974759 +98333780 +1918232070 +263714633 +1106839991 +411610098 +1370998255 +1751776895 +1712982475 +149959380 +2079968746 +60906311 +968839163 +1898011462 +2108107801 +319464922 +1894035003 +2069528448 +1581096161 +1971987839 +386508926 +806715799 +1832673865 +1212788805 +418608917 +1653398950 +256337312 +862053776 +1743252413 +1661795525 +905378422 +1792227172 +1760129305 +676126845 +2055941806 +719485648 +1087736943 +1279456413 +323778895 +653235770 +1429415793 +256263994 +714142081 +250771309 +6791808 +674766235 +570236231 +1900826812 +596811035 +3848744 +1725331003 +983319962 +810564544 +1410521220 +48625119 +1229173461 +916436522 +304962431 +2091227237 +512205287 +1966757956 +849122011 +156948811 +1579403613 +1525248856 +65406969 +151405613 +465502152 +1344863383 +475184508 +1118737922 +626795528 +731448502 +1832880004 +877566837 +738240311 +360162591 +1447803068 +491583475 +956973626 +1451651813 +69430830 +1940293588 +114732709 +1479952050 +1988918707 +1343906170 +248904924 +146397490 +1287649759 +761110211 +2113155446 +2136771770 +918059022 +1545075411 +1514536979 +983465992 +1696481024 +1980039131 +180845727 +24181885 +951293405 +807641255 +755630387 +636689761 +1685208093 +1493870698 +996852352 +985527513 +1985454173 +1953825979 +289695678 +2054885003 +1746635919 +404428387 +1387353405 +1588070979 +1748334557 +1636258329 +1734468469 +888500668 +249884892 +1700140268 +877788791 +1167943915 +1097732031 +244842122 +3926259 +646729408 +77397605 +184771986 +670911293 +1028691010 +992413241 +1426541680 +1665380772 +530137686 +772928731 +514749476 +1515665200 +610899256 +321091807 +1805360878 +518300612 +2067727727 +62305618 +1905654017 +1508315058 +1810640175 +1394428699 +1095299879 +551657196 +1644313591 +647956499 +1429445987 +664773858 +1745688531 +1674288109 +668700117 +244934291 +1751685714 +853472103 +915845584 +632893076 +1845885345 +194903616 +150790200 +228539383 +967832347 +665539677 +1744204583 +1578731604 +986631484 +1402081814 +2097032216 +906875563 +1464387432 +1855202585 +267706973 +1127543959 +1102147636 +1363006853 +1679201155 +598977580 +2010963352 +961163494 +1263751438 +1609168235 +487967955 +1932451556 +1854102526 +92170021 +638440011 +622464462 +725063098 +336841708 +817368079 +875853298 +565381092 +1785200426 +1541392975 +162102027 +1216448382 +380540812 +1564183841 +1165996950 +1287416375 +881087625 +873715888 +1555123349 +2008631585 +1975863524 +770646554 +1540349092 +427357456 +634126258 +354028939 +1691108895 +95810846 +841996894 +1476076803 +1949913372 +934166916 +2114516814 +424894187 +1659230014 +303874875 +1242262266 +387599664 +869255967 +879979044 +1928992640 +1031357994 +2096427427 +162049804 +448058188 +1114940729 +1449466179 +1329145813 +1988656617 +857105880 +1190293750 +1817036494 +1627752434 +583159195 +96910302 +114395045 +937188134 +1788019197 +210205891 +1779185028 +1116612352 +12635615 +565868296 +1083645519 +437529802 +77614662 +1387520394 +1679792068 +465214327 +109292713 +412287465 +246723319 +1140650707 +361231244 +408773123 +1588708895 +1476171973 +1858239302 +770371061 +1317344943 +567861535 +1960664811 +986897789 +48130321 +396340358 +1083808091 +162525366 +1333528492 +724343641 +372731257 +965229873 +1840955993 +385366873 +1531098169 +777117864 +822896675 +1608712832 +17154610 +355205096 +2073927159 +126447323 +767492561 +173166830 +1267098031 +1128723805 +581939953 +708323278 +457412130 +292695607 +1478694339 +1774757073 +860557142 +1291875503 +614171214 +908687464 +1688215861 +1697979306 +1071212830 +874260706 +274839299 +1443944088 +1839490579 +2115795292 +1829310961 +1223105100 +745429509 +504723988 +684334284 +762584119 +859929084 +610777795 +889031443 +1627421645 +783944625 +8645826 +608661802 +1365884578 +716969104 +1066073933 +1658580186 +48179796 +693347358 +371653680 +1340055299 +1307518573 +1280341144 +880787512 +858014231 +204070327 +1755048218 +1132853530 +1648014415 +1447055149 +1101165174 +1329841728 +522676602 +1846594683 +1834565716 +1207010886 +461695155 +547011153 +1817788682 +1350726598 +26949150 +454249659 +1359372424 +635610953 +1820134238 +2076341528 +1701684886 +1331230776 +2124521324 +247548596 +1702884456 +1317092975 +1555067169 +835741953 +50396840 +265597752 +1039812280 +1805445058 +1398451282 +540343047 +1105016560 +352132809 +1870184775 +1627693162 +51243844 +1557266843 +687220400 +512938999 +2104277996 +357525434 +1863665597 +2131227147 +811775094 +1075554373 +619354452 +484425684 +1004412254 +173555690 +1815656460 +981449930 +421104286 +1371057268 +151059258 +1976171456 +59315573 +201456098 +94285560 +1099127853 +2006901156 +1492736843 +1639470900 +964434068 +1844869652 +1362172027 +444643582 +1896113496 +771955223 +1131863983 +261568848 +728749571 +1489389417 +2125234445 +712493070 +153680863 +1053305171 +1331847522 +638106547 +2057717425 +1505403212 +306279359 +891683707 +1926507499 +1677336628 +1042742965 +1755195307 +1736652201 +1244199063 +1849480867 +688296407 +1103616572 +1194734062 +180283659 +2068050640 +892120066 +1542455687 +365210575 +640749915 +166927262 +1497074558 +902318763 +895676833 +838980327 +880069560 +1608169904 +992661191 +1933374731 +792533778 +1630767738 +1843608508 +150453343 +1937047098 +587808568 +2076960842 +1466900078 +1630551533 +1684672501 +1056068631 +727266949 +1386669720 +1744365038 +1830883521 +433920135 +1924648698 +1751450513 +1326040201 +1319620737 +2116661088 +1966790116 +1486547999 +1466251998 +721625231 +234741184 +157748678 +1601694792 +1842911088 +1150409869 +1387585875 +487961219 +633693959 +1083710736 +638414562 +423257409 +1671519304 +567891756 +1890157487 +1154587189 +105080609 +798742471 +1881854138 +1491750329 +395623861 +1565254011 +1925670464 +172788911 +1169220877 +1104227018 +1492409648 +1138398317 +923533486 +831473999 +457166668 +1645158718 +1066215184 +614915346 +1099369862 +761642624 +1765325215 +339472089 +1249603843 +251535526 +1423182825 +1888018405 +674792936 +947218481 +308426513 +417466775 +2101805671 +413507122 +1216209246 +1836176161 +1905257452 +1611833108 +1253946525 +1683444268 +1784622019 +275683754 +640187638 +1129548020 +1414082071 +1563721125 +1961022019 +1871248739 +1061396195 +879753555 +338680437 +13282409 +1641396180 +2104005652 +352754498 +743516375 +208057531 +1775937324 +484051133 +882850467 +575672157 +792477646 +1300317242 +529994180 +1205984769 +369042841 +218686694 +963758573 +1980875949 +1472633219 +499719193 +1618014320 +1748316973 +1139906832 +600078692 +1014915396 +556144309 +413617064 +738680488 +1617540504 +1293370619 +1077360925 +1630822913 +787283151 +1033882930 +1983577411 +1530799527 +1241940461 +1612031087 +2014850660 +2124790928 +40219597 +659844658 +1277624522 +570213777 +1865829427 +1646667363 +788900471 +682104352 +1480059664 +114050042 +1181823546 +950590337 +1862367015 +174246730 +1550669029 +729798764 +730391039 +1964286093 +1468479252 +200447895 +1110173065 +398356529 +1831270808 +1897456216 +1432239459 +1667364571 +1280772095 +526696272 +1131912011 +1148139107 +504003552 +1172131608 +1807983766 +1781628075 +1742345385 +1526329545 +1280811790 +383762209 +60950250 +613387807 +497812251 +1242773796 +1563978144 +212695619 +1417020526 +967163525 +942494383 +2147411565 +783965971 +263489987 +200375812 +1894139036 +661846516 +2031646620 +1644111604 +2094085976 +1551527543 +777400052 +473298600 +535955906 +1925539159 +977302153 +1708087514 +1586039277 +611446580 +1302949252 +964885175 +1892258370 +1686711461 +1025835425 +358162529 +37040064 +121125573 +1922140673 +249735683 +1538146099 +741820551 +1192230066 +1538074016 +1525786522 +1455720053 +1738449828 +1272441910 +2117566570 +1622612800 +769069866 +2064168898 +1026656695 +1546469918 +389983850 +1562612602 +1324525430 +1367286003 +1123216468 +763081059 +1978732583 +278682072 +1727966234 +1723507306 +1965393533 +606318011 +2081669835 +2002433598 +727443584 +1856326861 +104685633 +118106035 +450663764 +1296915700 +1656180051 +1976450286 +605152105 +1247146231 +1101408548 +575235027 +722275383 +1870478414 +491920277 +1748932079 +1269464685 +881904128 +1164061033 +446506467 +101706483 +139793853 +1209587526 +2080439067 +418475926 +790070113 +1656462725 +236385811 +1396388124 +1590648912 +91335761 +2123831709 +1299492125 +196021395 +94454096 +1750155889 +1492937095 +1750634148 +1579122527 +2098089200 +850296731 +533047427 +525840580 +1572572115 +256042194 +1017760857 +1174020546 +1525506879 +1899664985 +190597931 +1972013346 +2001371469 +330391784 +1034117224 +1934326888 +748867710 +1824187337 +1443305965 +985253522 +1073091814 +886471229 +1076589283 +1049439875 +38479707 +1272610678 +1143893971 +1788635596 +618064125 +747044471 +1220274476 +568669678 +1597341203 +1753321903 +1094510258 +1022429670 +2009364097 +2112271115 +48966568 +1387387328 +1864452453 +239564499 +1211917026 +1718340274 +569956283 +98550603 +1505183514 +1318823994 +1922737940 +801005831 +156593868 +848346106 +1687477060 +1233183151 +1897785981 +1725956767 +358310182 +894196305 +1367108716 +976374307 +1641240776 +439899544 +1545043985 +1091098331 +45737799 +492070595 +2113528001 +2055101897 +456858063 +15010921 +1295005577 +173826868 +254575420 +359438956 +1892167142 +824531704 +457989559 +1249867008 +2143355698 +233243851 +2050872839 +152465918 +1081589958 +1590866251 +1385649069 +831892291 +1169339371 +1743959251 +1726088596 +388964439 +572849911 +1219845725 +828863983 +2117893896 +163460408 +874601782 +462480844 +129504762 +782220031 +919338907 +144515683 +2077225609 +1093165775 +399091104 +289180917 +837849269 +1223622808 +747170476 +2087716277 +1219494858 +980414327 +1991105468 +1371960776 +2062004285 +1434488071 +610126197 +746412929 +456343794 +206601801 +325017877 +845308233 +779451712 +1544863602 +1674172216 +749861960 +1708324011 +401290351 +1212342804 +1837828773 +1183510382 +2131681711 +1982344456 +1113252343 +1077363838 +233951912 +1402433260 +1915213107 +1457574720 +2120088 +1855445736 +529585930 +982534416 +1699067556 +1901546706 +897055053 +986071980 +364189256 +1643467982 +1442415774 +570791057 +1968485860 +140240360 +1350242769 +1365865814 +1814412576 +2100104729 +926706177 +68219279 +1164963886 +617051302 +1251729662 +1149161949 +451912111 +217498357 +79042140 +685864023 +1619931618 +1994255247 +2143438744 +1622051706 +1702217336 +525541026 +457102474 +1253801244 +279604085 +1354157528 +92389576 +643793341 +850141862 +1534805351 +1214584398 +671144074 +1675045711 +417343519 +2037009889 +1341974639 +369964600 +816232418 +1410193919 +1534928486 +1433283721 +514439933 +536606788 +1885195832 +731938290 +615648928 +423576207 +204386260 +462420527 +419531303 +1826437967 +17154215 +945072330 +136056793 +1270955460 +1224676415 +1490214321 +1363345036 +1868469756 +192872536 +750666739 +935570506 +864016610 +278228802 +1352914025 +753542851 +1620203442 +1722878625 +1569775270 +882913713 +1110323464 +855575343 +1397353646 +1646930252 +593287527 +2129291936 +115095532 +1016863734 +186194549 +577516059 +1436395038 +2012632516 +594670275 +233983720 +1205661 +1865625735 +1458660135 +1491419983 +1081487123 +1179646243 +1684292519 +1832153863 +2115216749 +400825481 +2110382665 +1320647126 +1154368333 +1583102459 +896042103 +576659955 +318532524 +2006365567 +1432235298 +1715886170 +1505812171 +2025522825 +1697694459 +1620907703 +894902911 +1883889008 +50940115 +183814301 +1749037876 +645610390 +417798021 +1750243537 +363752477 +1876458156 +1094179872 +1445239600 +908620751 +630988743 +1129909815 +876353852 +1031814225 +1092808833 +49517330 +38698910 +528427644 +945559434 +615358865 +846960169 +804441353 +2047594163 +415362691 +162769877 +1925633340 +2113057150 +1783677580 +673052603 +1849462510 +1834617695 +856866905 +1451016738 +332744437 +1274664926 +1053776628 +696496914 +1003639435 +472852 +2141736515 +1912260186 +631461596 +1124162682 +641130391 +1663275821 +69487867 +690647721 +1701974731 +597915512 +1636207155 +169849948 +1444875681 +293164861 +69960463 +1860238372 +455934738 +1995593803 +1825811875 +92128670 +521162758 +1527790737 +1926746366 +1378029663 +831323828 +112007155 +505210942 +1885100456 +808504070 +1508850377 +1885573308 +802756937 +1273626915 +369551256 +1926919619 +1914757306 +2032827077 +1996407487 +457921380 +1587318160 +446839351 +2094128535 +1757168108 +1891715032 +239809748 +1827128571 +1604469756 +695744486 +1675238726 +1282797983 +787873157 +48917837 +663105073 +567135875 +1426947500 +1494428901 +679143030 +1932158442 +1232045709 +1487647100 +1293525171 +970135369 +142920389 +419668439 +1339686626 +2069840009 +186942097 +1225030055 +1918763848 +644863477 +664864568 +218119551 +591508365 +274549028 +2109834583 +831318113 +2101677600 +1566820691 +1527062600 +1629432678 +702135027 +167452109 +1678350515 +1365240100 +734587984 +957814368 +712185353 +1413731014 +742489162 +1944231062 +753894467 +2036014334 +766882783 +896814856 +308199125 +2106569409 +819171217 +495141222 +1184115817 +590451417 +1140004700 +1848980385 +808570968 +1731513065 +2123529413 +770921903 +415347530 +2077723365 +190258947 +1942410130 +1559672396 +892393974 +2109862239 +1090539263 +110150426 +696966575 +2048353631 +822335779 +2110697590 +643359146 +619083193 +717108409 +531889832 +1385965976 +1613923265 +840088957 +1345051738 +285610835 +1335230179 +381683907 +876062252 +327751231 +83180644 +1684633221 +2059264296 +59226409 +308071476 +327128179 +2136949775 +498330423 +122054661 +1549138523 +1390724397 +84433253 +492194138 +1500874823 +781399828 +393064122 +175726954 +744613770 +1036423268 +794810147 +1461722179 +1568313100 +33292476 +928161797 +260918409 +1378344214 +1213772632 +1596148588 +1760028121 +2089834884 +1923899820 +1843208765 +1626984457 +1835680468 +1902435174 +1935055934 +15324999 +1891901301 +285902709 +137379661 +1293556176 +1676627107 +221812914 +1785750315 +1030018282 +1003212742 +31330789 +1205745237 +1747826513 +1067754057 +2000555384 +1062065044 +488583509 +2033847860 +1990226841 +749501918 +1264708426 +1056515825 +198166858 +877252899 +998867062 +2122066678 +572978016 +478367871 +1810263499 +327929543 +265940157 +1825588498 +72347196 +551842867 +1962968159 +1365903373 +80986326 +37297425 +1004170040 +1111004608 +1040510168 +1035500829 +169266197 +640853033 +2103254886 +22337934 +1702918077 +444354747 +2056185794 +1545661271 +1193856665 +1173410573 +454693448 +1392023523 +2050663472 +1453560510 +1366606554 +476157841 +1931928382 +1029386405 +804087384 +50384891 +707491255 +876434580 +602227758 +522975767 +94854305 +683214084 +560273192 +1099024345 +1794218693 +1600783360 +2134525174 +1963484890 +94152745 +2090296412 +1985822824 +1797070823 +387167511 +1894524971 +1195248446 +1581024176 +920451896 +1649941894 +825564052 +823631720 +956018757 +44686958 +1299789561 +740463491 +1074073363 +2103876945 +790848382 +1781564618 +832827878 +1393076141 +157056737 +927682183 +2076290225 +717329930 +2026706529 +1723025270 +170629642 +2013748055 +1539026513 +264782388 +1956560820 +1377365689 +2061853211 +196244683 +1124407012 +1109618009 +1777268860 +2044858908 +612076255 +455349264 +721006981 +1568095012 +500036222 +2020796542 +161074855 +1574109585 +1977189840 +951923238 +1208190555 +662534070 +197515731 +1365247293 +1590216253 +126322308 +2082577223 +1469439134 +1849347579 +105723217 +1335703542 +1240890444 +370505605 +1144780714 +470772485 +284875168 +1341025397 +1595179498 +1394493177 +970810609 +1492554758 +2006569433 +1426159873 +66078091 +1427180797 +1926196095 +2086874634 +1588255653 +1352822032 +1916580826 +392695243 +413528940 +431631248 +590210974 +1778776233 +2021847501 +716533282 +1713869808 +1343802988 +418397213 +1819593025 +532022882 +1659287657 +42614983 +1676803596 +2130060143 +327490151 +870345345 +1577755993 +1721983329 +1841155955 +922827103 +1581069114 +1119832180 +988905195 +860766263 +898544628 +928296181 +301538268 +103883012 +697393359 +694233511 +517411952 +1129024607 +1284444485 +148704537 +1003388460 +2000977768 +1862574345 +199707800 +271891333 +1534683723 +731730682 +1931178991 +1577298706 +261050630 +1913755486 +1904788857 +1131395976 +1344027831 +1479288538 +825068283 +119371286 +912874004 +1944900463 +1108276481 +1773640268 +695961443 +2036572662 +2075178536 +799844456 +586482373 +621928400 +1317256408 +1715506980 +1906372885 +1465960946 +571411793 +1759867005 +1181051643 +771119593 +2031758339 +568251718 +1502850276 +1815453682 +2145550424 +1763900906 +1581725520 +1902855634 +747813234 +778269703 +1234660524 +1572881517 +897640989 +50881 +1370298333 +2005917471 +1773691149 +2066259776 +1895006485 +1701386037 +718620584 +334005211 +175830789 +2035876993 +2049512191 +2082203675 +1354354291 +473440336 +1694587032 +387922286 +1244559930 +1578861723 +956174005 +599926558 +1246831757 +954240781 +216343816 +681073629 +709612767 +964157051 +1459343332 +1944273292 +389554920 +209500674 +1944324173 +1759853253 +67934497 +1570531674 +1678629382 +1962940982 +1124434063 +249766318 +149462545 +1300264853 +138159663 +51491089 +1234984880 +1492513954 +524931425 +782088264 +1880436241 +1769491355 +213466340 +689126598 +221934265 +1460298097 +1643367379 +438278082 +2141371727 +205496499 +1402435133 +1453231411 +2286143 +1791990053 +1662732085 +1946610316 +1404359659 +1730666582 +1369658342 +935505393 +1546123917 +346608757 +1185271711 +1695586462 +1646873610 +1323431375 +1747077551 +734374842 +668461681 +124525329 +1516463107 +401414274 +1894016684 +1729929447 +1090540872 +2115950950 +1042743896 +586424604 +406745384 +1036631975 +791921103 +1809180517 +342379739 +794207246 +1453686922 +2005111824 +593333914 +710562933 +1588294759 +1962992256 +1646068326 +986935028 +162117365 +683856390 +535037842 +1808990976 +2007287765 +134631746 +395882170 +528265798 +259157075 +1912345277 +929680073 +5690111 +1494791076 +2020220945 +2121641061 +390051325 +459161901 +380902797 +1426683300 +1251083004 +42599666 +1769063039 +2045290250 +1496286589 +1626691216 +491140516 +59365874 +1067502327 +306649124 +1705434201 +2054437355 +468766490 +241806943 +441991549 +130273818 +101611060 +576623295 +526155988 +629876858 +835780370 +291017618 +1559556931 +841470482 +1785808694 +1432294229 +815627895 +28376371 +1891456130 +1196530693 +1455059672 +995055487 +1239130359 +1076639063 +892862089 +587933300 +555846631 +1384002606 +647299175 +1623348958 +1690651730 +205249728 +1530302665 +11934572 +447056671 +1972294215 +142208390 +548667731 +401433862 +668364379 +1178544589 +1237214233 +959381997 +590617873 +2078684715 +597707043 +2022912102 +746828962 +626083415 +1766884584 +1943359655 +2081143087 +614456423 +1035006367 +1010298502 +1507318513 +1622939667 +1566145134 +743837471 +122755194 +1042010444 +287005553 +328004922 +424829462 +298940126 +775061593 +249640029 +441148516 +1323729324 +651073891 +1109512895 +354790266 +1888288124 +2068894892 +945408139 +1819489191 +519118288 +820836593 +418834506 +1145201703 +440237529 +214710513 +1078861142 +1054693953 +1249716880 +2089159644 +414528818 +725172900 +1507821130 +1158366289 +847928094 +402347927 +1445371842 +1175933017 +827177389 +1744311968 +1950994610 +1076817418 +37976837 +1127240287 +1727891309 +1147489732 +1482030553 +1468695786 +1068900977 +279955044 +1140701329 +1588019265 +1100791637 +1559535835 +585737320 +1541029166 +1774246349 +1664598462 +448239471 +876479581 +1606274458 +862768289 +1601652481 +966611941 +2021134578 +302096928 +1368959868 +1319022773 +1478029945 +48653609 +915851093 +1281540907 +1125471027 +953827930 +261297546 +705878688 +2101317663 +1743328099 +27090826 +1022734992 +2023283143 +1167792156 +463270609 +976591132 +579844343 +1049007929 +370136651 +206607044 +566122743 +818376122 +1083086626 +24913553 +1681144412 +537255459 +991525494 +1554795342 +839352387 +213001714 +726334467 +169898684 +261655323 +1642185561 +1451439592 +1387126350 +448529843 +1712737138 +2093005039 +402363858 +1308581590 +2120095865 +1425098850 +1184381085 +1140404373 +1888369459 +13488570 +1720248717 +789893740 +383625221 +1926855761 +1356016483 +1202001343 +862458739 +1380930037 +735662107 +1399714199 +224971883 +142973802 +91582938 +437973598 +869308269 +261481623 +699628921 +364010182 +1712921215 +2086755272 +812540026 +1278174705 +2032276663 +1214903884 +439272647 +2004888880 +492519087 +1623653733 +997809606 +233404898 +1637142303 +570574675 +1023298639 +2020767524 +349946788 +231831474 +1075285219 +1212405528 +1612761511 +1810947327 +464636079 +1837733395 +1953921129 +556219017 +128223345 +675745750 +817700640 +827852266 +1039755933 +383138207 +767123890 +1852295959 +1661312913 +651916905 +919716195 +2100585560 +509322138 +1412235282 +1576755645 +1507131744 +1645640181 +1066414300 +2077706419 +521455172 +939698176 +280169559 +753286646 +2014983396 +1492575087 +218564510 +1678447075 +1957211166 +2056297905 +1484884556 +365946536 +37037602 +13146658 +1183647176 +864889868 +1052902591 +1566785384 +1632013759 +757714902 +1080614649 +136447016 +1677431098 +1033716561 +645769154 +942182732 +462988559 +5417250 +440339265 +1529402859 +2083123669 +961794437 +321617388 +215809581 +1715081084 +189117136 +1708384668 +1933645594 +1867564211 +1518112187 +1842459851 +1204965119 +1884058723 +1879497453 +1218111777 +920222251 +596903673 +123530721 +339523987 +81433784 +881245623 +1420138636 +217880801 +411193073 +306371550 +863649955 +1353375806 +769360109 +869067206 +1793715071 +151279320 +804707227 +608025861 +472896708 +1020516808 +175623297 +662013844 +581417829 +2109268891 +382094407 +2099530016 +1804245094 +1587059526 +1836105091 +1536258899 +657687656 +608843694 +2133162572 +781218377 +948367682 +67112709 +1662464000 +221022670 +284993510 +2073657074 +527394220 +1148643465 +1279549232 +1296754329 +2017710671 +925780655 +1448033650 +674934251 +1533806516 +1920930358 +1695451059 +1709429813 +435460555 +129385240 +1671215056 +817554962 +81431608 +1327976502 +257130841 +1917536699 +716751753 +914818497 +378896746 +702430678 +1696036874 +1327264428 +769543387 +1211017226 +1548287098 +1054536897 +1137190652 +2075681319 +55696714 +269256236 +1224952000 +2073407386 +1195036892 +525502002 +600857989 +581359760 +298948713 +148825400 +143305926 +734409268 +278210641 +1814520982 +1551964230 +359642249 +995013837 +1809095071 +129695301 +1711765590 +576429920 +508592047 +266712620 +124983146 +1835856475 +1036256007 +1336000373 +1236659925 +2090792904 +325707377 +1164857596 +2146489619 +594963614 +242325949 +2072413357 +1790000506 +767827951 +525787698 +223876618 +1066776664 +674613098 +367182544 +1801185932 +952823739 +34219879 +1205666515 +1312465989 +1029233716 +867277938 +1442161290 +593515658 +1443707859 +1950753337 +860228279 +1568691005 +1639126164 +1896484286 +757207730 +728302441 +1839793543 +1082915108 +1893160038 +1838799514 +1677878722 +2135485987 +1763729223 +1320395580 +755830290 +142033273 +1544272198 +1822606955 +816646371 +1911454743 +1476309239 +1769470111 +1945674622 +534492106 +934452452 +827424690 +1401770045 +229130094 +1420940348 +697994256 +32399783 +133684979 +119201613 +1671525947 +2030169266 +876409344 +252344740 +1722479161 +1959324452 +2145504778 +1413795027 +1489719526 +2133507117 +1030040602 +662631458 +741853760 +1172073875 +59420008 +416977067 +1988720246 +1970874751 +1893286306 +1610706709 +1769065725 +280294765 +397675513 +449006767 +1682064810 +626805607 +1869947116 +232575418 +659205390 +2003632095 +351777031 +183247689 +1886317713 +1228186375 +435592430 +1461313226 +1040027179 +433613560 +727624605 +382263057 +419637030 +1757665207 +1044894515 +1161490790 +782255434 +1104314524 +1578467857 +623492033 +927705627 +1324270515 +86715094 +549287705 +1604565280 +484390608 +998294472 +1139146442 +1111196215 +720757940 +1371721860 +1770401606 +576906388 +1723498892 +1953649295 +315740453 +804201619 +241758077 +1777053680 +1844228799 +675371638 +357194637 +79008208 +1095008668 +2114859845 +1123902724 +109015810 +749631631 +80733600 +1687483667 +1373123664 +1008439227 +864270534 +1459838759 +1557726932 +321352167 +1944229367 +408537757 +1460498609 +907941934 +1129295697 +684736822 +530859892 +1706202085 +260752066 +337025540 +2021942539 +1064953685 +578783617 +1651512571 +761698836 +1254155255 +2008707208 +840707045 +201680275 +1976083405 +1964609769 +310696085 +578231389 +2045343369 +1998179752 +1951355053 +906298948 +714966639 +1263710164 +316542233 +1036318806 +1060455883 +725079990 +349333767 +1968397818 +1854375687 +1034070589 +351774062 +1413094125 +1294822655 +688799602 +1287553016 +212292693 +1267583220 +791581939 +973991529 +374254827 +652805499 +1814698574 +575935103 +481405257 +1631824695 +886631188 +1059636646 +1529684416 +737327293 +863508051 +288499717 +1452293932 +2127218216 +605041950 +341129090 +1040190451 +1330121940 +690462857 +861104621 +1037013979 +1724533447 +1212878684 +302624456 +871872454 +1901678286 +1590177472 +1084165147 +1021777858 +234275763 +2058156677 +1396032686 +887081263 +1725371603 +1971967789 +1368486520 +1209712651 +711115329 +280639518 +591913419 +1448442622 +1144147569 +880413136 +753252906 +1123882137 +1485455086 +1094381996 +16588941 +668093378 +1784844854 +877693562 +1705107358 +1361894653 +2090572246 +2007731814 +86283459 +1844766885 +1450425639 +1170448607 +719061095 +1684701402 +1081121636 +2115093781 +424299017 +659009591 +1939577922 +1792785537 +1868722242 +503209604 +2073425055 +313152014 +1951652226 +1070088977 +1193565150 +557421485 +46487466 +531536589 +1651803481 +63076407 +1199629967 +1289164687 +940769970 +757253677 +503575692 +883858568 +617501844 +589859152 +581141805 +2067927483 +1760307759 +1300202901 +1605145237 +693945747 +1267813034 +2029444255 +1352955338 +1059907309 +1674746144 +1074193933 +1563116913 +1600687552 +1387345947 +1367285491 +523292881 +433427449 +1924706976 +569780347 +964964038 +1429026810 +632856755 +17110358 +570707849 +1573626725 +774364035 +1074283542 +310001645 +1391865879 +1664142694 +891143451 +1312309714 +1276966805 +43862704 +769971304 +1970912552 +1311675738 +651931911 +1176384242 +224099399 +179194407 +103094527 +1787216312 +1779881959 +1490440474 +1007018156 +155691192 +1923867924 +784241484 +725471540 +741348314 +65784646 +1358328295 +758458672 +636492496 +784471372 +1532822708 +1710776038 +1094473017 +777204939 +1227435084 +1985616468 +2089514654 +356918241 +2029479172 +712002310 +180347145 +1193671263 +1363934221 +1356731387 +1417770662 +1543128628 +1459825915 +1057503327 +1175526940 +802782741 +2064521483 +1331218132 +579167017 +701279319 +2056689672 +1320515332 +767063966 +1267534319 +2078974004 +1403556462 +2052005691 +1464313064 +966848852 +998995061 +94034356 +46800288 +837127881 +36065362 +403718529 +719123406 +748067672 +584065674 +1912794669 +2112001893 +1940797061 +1183081683 +1507646873 +1253139328 +93101362 +535690165 +2055922070 +10139197 +1866908298 +487605439 +711418517 +1776114322 +1808120771 +1478482483 +896164994 +1739611128 +734555297 +800687037 +1056440544 +1701404149 +1799682098 +1150474900 +1748204437 +489326332 +1186540262 +4439318 +1208449738 +1934607934 +588504992 +973760759 +1899126179 +381818405 +9358794 +1259289405 +1634957734 +102460157 +1794979570 +1543396156 +112599354 +1514404220 +2031001595 +824017871 +1143034895 +1691638719 +155016706 +2039199889 +1283766199 +889572003 +692403278 +192723095 +443492504 +344601729 +1343197996 +44213293 +833928061 +382254610 +48652611 +2042377799 +169378897 +637157603 +868654910 +2068505076 +1018976009 +878013704 +1180310833 +506450095 +980473861 +827806756 +2049846251 +1093073216 +194727328 +1933364198 +1917091087 +1337762223 +1477519269 +2072107794 +1229478464 +613801820 +814196149 +1921881743 +806524916 +1257688654 +118999824 +2239264 +1301901947 +952927885 +384493874 +1350554559 +847822036 +553872771 +1987712162 +1716476946 +474894200 +859204523 +447007002 +1655205033 +1365654618 +1427480864 +335528141 +1268017221 +373070432 +530255470 +1053897772 +142677871 +1868017693 +383933393 +67302017 +950012510 +997735214 +881498167 +724410605 +1804260130 +2139186821 +843410429 +1806499394 +1293605120 +1796338314 +43509620 +496676031 +496676702 +597382392 +336904546 +65670000 +1072276592 +1196109069 +512677002 +579997977 +414280040 +1940157866 +915526119 +1682297261 +165744650 +1445781589 +588711385 +308422522 +1166315634 +972644779 +375724539 +2116328144 +1970379993 +1257222706 +693255101 +1627156475 +1248925879 +1536665530 +1286172221 +395047352 +1185520196 +1329681841 +891723383 +1682196898 +1927064233 +1228627929 +1747866898 +851857177 +277253351 +113060253 +1431855155 +691533391 +2053218119 +199897626 +226347004 +71479122 +1645679215 +815058390 +379901644 +664511201 +1787703169 +755626183 +633355698 +1610599514 +2012848890 +1326610799 +1090272341 +1114291121 +715792682 +228960914 +1509338473 +1901312878 +1558642755 +253578209 +1436026129 +1338223341 +1482206138 +1036409379 +42596870 +1759459489 +1149469632 +1474452025 +303509232 +1055204104 +1674349651 +529856237 +1126683226 +1172545218 +1344914627 +1506584870 +1837056420 +985134148 +114727405 +322928470 +448250014 +2127576295 +1649539269 +1538522355 +1094383769 +217848303 +1767483269 +456238594 +2119161182 +1178642376 +709816803 +1407703663 +369382069 +44539294 +296629394 +411978940 +1803998783 +1446099027 +1886430965 +2107508016 +353819483 +1413296969 +489880605 +1480502709 +438358539 +1834795232 +839603931 +127931311 +672445732 +954331336 +450859781 +1120695746 +934423984 +2100399051 +511734453 +2028807753 +170763706 +131734074 +337562699 +142441240 +1310376450 +1047379503 +1550144903 +1679758520 +1091918797 +1846774298 +2091737460 +748433932 +1145389677 +1830684777 +708458300 +1499209160 +1096498098 +1198338905 +832228221 +1534856638 +885650489 +1671832152 +1662787949 +1558096221 +478679840 +2113647731 +531308319 +1413103824 +2066563134 +1043042772 +1294427929 +89843192 +1174776846 +1631990629 +232284433 +337669649 +531886484 +1782429336 +2017428169 +1623805281 +1481719986 +1961681981 +224755565 +479626015 +1644883110 +933213866 +1978835175 +593897561 +2131552771 +663579748 +2128754199 +869719613 +187928252 +1644058500 +280332186 +666608093 +1610222583 +811640506 +2079711917 +1529302069 +1854683278 +1226656199 +1619145262 +881976477 +711163180 +1851429695 +1219646126 +1243049664 +1486375383 +1089590647 +719371297 +820611722 +903788980 +944126862 +1300237737 +401188442 +1877340728 +1131589265 +995086003 +1861409852 +1795169013 +976356554 +583645817 +1983097266 +472931407 +863978003 +502221711 +2083153990 +1675618509 +434449980 +1464972412 +1382818140 +1661106179 +936634026 +117310969 +224785711 +640580073 +1336957095 +1467835375 +2126955456 +279064094 +39723024 +800083530 +1182853074 +983849887 +2100321268 +1584041516 +713706967 +1084426885 +431643872 +427633171 +732112250 +1408000426 +1011278988 +567725868 +1880931833 +1875256992 +1069947579 +1816602176 +1403391853 +1504397560 +1134090940 +638726345 +1018020091 +2070724966 +756037314 +1242805803 +563821391 +2092994409 +563157530 +543293199 +224574855 +602880555 +1343376730 +1407427929 +1586730442 +1296214350 +843985798 +152953761 +233157587 +1275629670 +580586933 +965269837 +536146448 +1591865921 +1532995706 +269594634 +1319639265 +455459637 +2086196810 +575547471 +1959857197 +1072804102 +1214273816 +830393641 +996045420 +1970311131 +2073199444 +1559866811 +1915821892 +488873326 +2103160010 +2140396748 +1091753881 +1299053092 +1400341029 +531000675 +447783794 +96843179 +683954437 +680941381 +1372472849 +1264541370 +1646211219 +1908619298 +708923643 +1031723277 +30730284 +2028562909 +1487182914 +2116927094 +456626732 +1299556464 +1042247548 +1670900548 +2129950105 +2038292968 +1493728031 +2055665901 +1450676131 +1262066276 +397055579 +1406352493 +1254979376 +1488809461 +557921938 +507836757 +2019810136 +1005705732 +604679937 +556280925 +1686647114 +1977152786 +1820822295 +1185374685 +1738288436 +382262291 +69614314 +1769018720 +263341552 +1556797228 +1738462166 +719968284 +708870044 +633226066 +243385184 +691336501 +524035386 +1737113216 +599518754 +1974711517 +851695844 +996574334 +1233580363 +2106675220 +337900147 +1791502301 +467028329 +210226635 +649724385 +1071708266 +766507561 +188887851 +901377405 +439846208 +1374262536 +492182193 +822108499 +1443876850 +113717266 +1085450051 +853190431 +1852179432 +1805418335 +1562060475 +337921851 +2048803520 +105913329 +861957237 +1638433088 +705432083 +689185107 +342645284 +1702006417 +1922765470 +301836856 +2039906564 +1566784123 +768865185 +102649552 +69024860 +1840573452 +869157113 +257912712 +594467209 +1309003321 +1632175248 +1086649402 +2131111821 +928568451 +1200366668 +1069078224 +1781758882 +905062453 +727012912 +1196335709 +1242984304 +628332784 +1302249038 +2104941541 +119282224 +2007681122 +646643000 +461927508 +1562203891 +421924822 +763764364 +1454626808 +1988708945 +1532629549 +1557276360 +2057733806 +1225719353 +278949825 +168162870 +1820186562 +1587953146 +1800338118 +759352317 +1571581319 +581422921 +1959718985 +493175896 +215698155 +717297790 +1220188808 +1412033865 +1960282094 +1848521592 +566799255 +1917739988 +1967803816 +426996729 +416899340 +282247676 +1989200621 +838824163 +1046012040 +1296343781 +680049460 +431157941 +706136493 +590299618 +1656877295 +985086318 +758462488 +1329580209 +425555816 +411316959 +2088932526 +1997137136 +992739880 +1901167864 +342829384 +1208438036 +470982006 +1563018192 +472988253 +283780453 +1264056136 +1039787508 +54036793 +1084376304 +1466784238 +470936133 +1366623980 +1308501211 +1309760296 +265152372 +457361344 +1989809757 +696310313 +1163497837 +432625727 +205703960 +1100507 +1191088216 +1535284170 +426656323 +1602405175 +1476733048 +276309811 +447661407 +1230417264 +619139195 +1656099443 +1701399271 +34673739 +2129087696 +1985179724 +1298729875 +1021391557 +2039216517 +235622531 +340692147 +362669002 +1602246511 +1649193358 +1672429299 +1867398883 +2106554702 +1514755408 +416225549 +1122568891 +1947381135 +621929509 +1123669398 +990985703 +9730031 +1550325721 +445907230 +1486463080 +1826635533 +893568638 +569396696 +298291080 +402184433 +123312319 +332964820 +383788482 +2108492043 +1631694695 +1405180039 +2000224912 +1867317227 +1745872186 +215410267 +1322080090 +1247581896 +1887839566 +1041995326 +1206652950 +1255111326 +1458220875 +181738193 +1055008813 +2080150384 +1305407591 +2045994517 +2089880416 +708249664 +344418099 +1428859848 +387401549 +1237986737 +1998256544 +685692630 +1640171171 +2121568864 +1018657450 +2023959653 +2082577259 +502868497 +1281656044 +1935318524 +222702076 +880044582 +3245143 +1544782167 +2127626478 +1891084709 +439293845 +1186795780 +998712387 +1897514720 +1368533973 +2053721200 +1830181456 +526457916 +1952232069 +1772578224 +1234707580 +149166521 +1053954424 +1622109130 +1387153258 +904727321 +160318112 +879840781 +878812537 +1178975562 +756316786 +813906148 +1681844059 +2037972830 +601741024 +1904546136 +770533764 +604986167 +1301844655 +750676594 +348587228 +1741138500 +1937472374 +1347299615 +1491169572 +1158522699 +1253537168 +1173867380 +1684980615 +1058285589 +798961957 +772204548 +1207452110 +1852916381 +246830030 +447121721 +610160054 +407148142 +1326962502 +1488972591 +1586123704 +2083279289 +155395092 +1120484115 +1973768471 +757136116 +877546603 +596818588 +1362122284 +31907610 +1347495182 +1710709512 +1773046110 +1137483909 +910525480 +1116732034 +148522960 +16579000 +143115767 +1833503576 +1074864589 +942077724 +458224476 +134833052 +647510457 +705054506 +581954773 +1257670512 +1112202648 +1908917275 +599159455 +550842704 +1844712916 +754554547 +1671326819 +1670997740 +1511690664 +401389775 +120332680 +726329300 +433297385 +1467827862 +289555164 +58859848 +457828123 +1200080644 +1175591882 +606351084 +1216659644 +1318707649 +292371012 +144040586 +113301725 +750595488 +278873638 +760812183 +1455649994 +860828411 +2018482695 +420368994 +622262038 +470158502 +971211698 +319491307 +1224713050 +495054869 +1990489047 +588920066 +896444644 +2110821727 +1315249366 +1329742030 +1431165941 +1604804530 +1388601878 +1888994065 +657401527 +416710112 +347861501 +1874061171 +1735417762 +640232513 +2018101757 +1848719487 +1390828001 +149491747 +462048022 +698994347 +1010320158 +333047069 +1119363341 +1632582197 +803205572 +2090575039 +1952073504 +2027918622 +438146260 +1795078903 +469355040 +1334590905 +1758416982 +1784604406 +516849287 +1042099275 +1241925288 +1905451165 +783609692 +1899326815 +174677629 +1131471193 +1625904339 +1910095391 +1771703706 +1496522448 +1611331231 +1015048059 +1646014196 +2073379253 +1714042406 +508850706 +258942675 +685922099 +2141432903 +1062148247 +629013490 +1946022759 +942583221 +1067159751 +1593618014 +1411938261 +254267008 +1204551348 +1049059019 +771116295 +99166976 +143500659 +529083812 +882776668 +2042827475 +703761441 +2014247862 +1521248166 +466373185 +1638467920 +870286966 +2077704416 +506032332 +368817514 +2003600021 +72591090 +877668221 +115059048 +758513190 +871617476 +1177207295 +1387526680 +670156588 +2119790516 +307202783 +116290954 +1384245129 +561469791 +1320842303 +285820500 +1332586086 +1420009279 +429321160 +1861669898 +155302299 +324664987 +417947692 +22066513 +1845913153 +884320877 +1660534434 +568716471 +814541645 +19083118 +937533986 +670658018 +91674208 +1815202207 +785717067 +850187398 +539336035 +1962924362 +90230431 +1209492623 +1935231231 +397433214 +1325783578 +1171992712 +958903006 +499142233 +1457813213 +144005444 +1919151512 +1887134373 +2005675343 +2074453811 +64315712 +276139387 +2096520325 +1910228865 +1160460264 +1609571111 +331461688 +1975001909 +1628654229 +1268995674 +498176279 +1720328437 +936714233 +1283893346 +423032188 +1476050269 +1099334061 +513262619 +538059244 +887081644 +910695833 +1863842822 +2059074356 +1869598839 +215501407 +1369403921 +2013604284 +2134652919 +1109054646 +1871795979 +2061623083 +1173370358 +451718 +2010659760 +936115575 +1160911982 +1472747223 +1267577264 +988430243 +953917804 +389089290 +1486606522 +526762593 +1325803524 +623016221 +949794781 +654370145 +1722350282 +1463057400 +1192429389 +461948278 +226269586 +908788564 +373538986 +2095868425 +1124289971 +1742942908 +1961989061 +1111459243 +704513906 +1686301392 +1025598678 +1877884265 +1686753110 +888774790 +666516192 +700181444 +214038365 +1934093456 +1688611687 +1167956169 +175699099 +1027734562 +1694718762 +1501502623 +1650750783 +497029896 +8389120 +1225617417 +1960087296 +1200818509 +1687565695 +38873234 +2109607073 +2061104681 +2134741660 +1086413397 +1656563941 +1949247073 +50388992 +213594200 +1488064818 +1075987670 +2091478465 +1027334280 +1964762460 +610511009 +1727515725 +31317177 +397120818 +1268643764 +1199273346 +572819917 +148894678 +746508460 +2074322540 +1799645461 +1243538356 +2082711660 +877779230 +1056142005 +1136046521 +417861277 +1095015239 +1098169947 +331482311 +1082273251 +37099696 +1988046252 +884036677 +87488688 +54156804 +224617847 +1163476358 +2145635269 +1251952127 +980755170 +608662631 +831984204 +1012072347 +1005783449 +2100627969 +63862045 +1578603366 +102038999 +810370505 +1505442258 +1901684461 +2053908862 +1440670270 +631980043 +962567219 +429233143 +1049841321 +2057582458 +1527403090 +1381323632 +992372062 +1564502786 +1221886236 +1876408739 +1651991474 +1276043041 +2101026586 +667984184 +1274194662 +1205495065 +1648739354 +1882857293 +2037479270 +513328053 +741157094 +1990623591 +577190098 +172276812 +2092662590 +1387560604 +1677719070 +1846863403 +1293985818 +970905692 +331359799 +109069389 +1400138836 +1381201120 +19168199 +780058278 +615041104 +1011540261 +197077417 +1836927340 +740465352 +1849068891 +965486733 +694008290 +369569428 +92197748 +1899503356 +2018308782 +1975055041 +1789498978 +384153188 +568728488 +1632638921 +961343286 +741005300 +1577817863 +201420242 +271240723 +1277197619 +1495406060 +1242146415 +1608557418 +1604475449 +494801603 +842274890 +1623643649 +1274859882 +1457315994 +487700262 +1471937299 +1146759686 +1228165615 +1173522542 +2112246420 +1922173905 +1543091970 +56960520 +1674193613 +1413917105 +2032015561 +1316208943 +1798070293 +453260401 +801364216 +611929931 +1194265702 +231698432 +813350174 +1465506425 +1508896051 +161272586 +560169192 +969969821 +1765748036 +1054970796 +1812244711 +1241908037 +182347030 +1122077057 +1729608299 +1654284329 +121353095 +810290266 +680323223 +86115867 +584980524 +75931546 +143076387 +111690489 +1489848651 +27608301 +1427899433 +1140435296 +480868702 +81780001 +1752365227 +1675134404 +313478433 +418231753 +993157181 +1822374484 +579504340 +1553326374 +644860657 +197768728 +460813522 +309621720 +1439676765 +643160552 +1431698777 +1021801416 +149961233 +1553051873 +1832091683 +830284456 +1639167740 +269588559 +906216002 +1782244128 +381279048 +248581005 +1809852429 +1809178481 +1389016301 +143237483 +1890958483 +993897881 +1818371888 +56953268 +1412129634 +664045421 +1879327753 +1991633974 +69888147 +376704762 +41919054 +530701669 +686326483 +1481595819 +1173862221 +2118025260 +355913588 +1323823454 +1523593485 +40521623 +6624263 +1015277578 +310110182 +912840265 +650038058 +691389230 +1161421271 +312406839 +353084064 +402953924 +455644322 +96558899 +1396851805 +126532562 +153512167 +661497792 +790577984 +2032839920 +505648118 +860466131 +262061035 +547567173 +1391167801 +948387518 +2029162992 +417546374 +918929130 +237592932 +1741369829 +295038968 +278114555 +1747994092 +1310316546 +588224737 +513350709 +1960354604 +1279613968 +1674771980 +125277795 +1632698032 +2077725905 +580922117 +1729256931 +1327094062 +707454680 +1882769098 +1988591854 +1498032664 +1768125371 +346756325 +211015147 +2030186406 +894323498 +1602182948 +831090276 +776002842 +2019729323 +1750019406 +1013595775 +1613615504 +2045058374 +1291710330 +1214125948 +1207891272 +1879935068 +1727476657 +1020762228 +1012065388 +1254764990 +1146040023 +497279772 +1185007247 +1726962141 +79053055 +364617661 +286933173 +1961822153 +205725868 +1784965837 +1582463876 +552482193 +1995980984 +1465166634 +1446805691 +1450680285 +148773262 +75324885 +1322925960 +1898792669 +1088920660 +789057816 +1796367395 +233147343 +2003183764 +856775020 +2113082411 +1583176773 +1877537248 +977664151 +690458115 +876093624 +1474943923 +1875465362 +455572117 +1553996978 +92599376 +742505290 +1368335483 +298325244 +379987479 +803315712 +850807437 +228484815 +120998698 +150129480 +1679165100 +269771961 +225454365 +854607412 +21080982 +1314375026 +1643665228 +1817448377 +1547522369 +1499365344 +526739749 +1513121132 +935058470 +256793350 +343301635 +1625516585 +1132886974 +1818245558 +1353498300 +1588459091 +1224758888 +1446097676 +183480733 +445610723 +1744422920 +563468212 +1248926435 +447746709 +791953027 +1369925134 +597876189 +323634480 +1639697095 +823330554 +1178241892 +1660778077 +2137705580 +674423473 +1330742806 +1537744301 +26305169 +1857482556 +903381785 +961363639 +2114275906 +1246683420 +439396577 +1099679232 +917445330 +1792894877 +540654675 +2142204218 +1091508905 +724135408 +440331294 +688448177 +1287603620 +1689257729 +1136194886 +2079556647 +911699215 +1734071075 +255707479 +403912662 +409917981 +1433949372 +2064690739 +400139914 +2108372845 +1247949898 +1937884215 +2134678014 +957948806 +693782353 +948558006 +924741064 +1940465773 +1387954583 +2024420296 +710427456 +1033365812 +417591323 +705148026 +2124874717 +1141726731 +1145479320 +665839246 +281846703 +687253402 +1802034132 +213919702 +1598952617 +1388621559 +469627182 +2002865280 +1798539540 +1903576554 +1920072371 +51195806 +1864465751 +1020538621 +1989080022 +1851660117 +1978487427 +535378727 +652734475 +755744843 +328360852 +2040689058 +632681491 +1038788308 +926571222 +1050272814 +1743936335 +903962291 +44515897 +741932007 +1569801537 +326362600 +1429185409 +1224352021 +540282303 +880654379 +465489932 +1009909485 +736036011 +116545825 +766002391 +508624734 +167741631 +482984494 +1529163356 +9338005 +187160963 +1360167135 +544716732 +839895439 +2115911979 +873077585 +733100849 +601109822 +1911865893 +1659672072 +1651382637 +1508318580 +416150715 +1695898534 +102766940 +1985952253 +2022261135 +1531952349 +1062820626 +415059790 +265123080 +1528310559 +1424969275 +1001159091 +1644856384 +43488018 +1509783826 +1812598015 +526472512 +891463534 +1821936021 +713633475 +104147021 +219169105 +1553528914 +72575352 +1092246690 +139146116 +673685175 +856628936 +1798818188 +177584164 +217463868 +67485255 +1873482698 +320230808 +2053437508 +1748260185 +1852183158 +968774487 +15836327 +2117306238 +349601398 +1440805602 +970981682 +1994457782 +1484293620 +333281860 +1659572149 +2010766132 +1224745394 +1334024522 +576915960 +1328892415 +1553193628 +2130444874 +1401467768 +497956670 +122107342 +2075152943 +1354585606 +1920925530 +105253459 +1572049475 +1988410786 +1978736157 +1892280283 +1894364646 +1579512695 +1596979793 +715655485 +1595349022 +1566802384 +1065256883 +888670977 +390300418 +912231017 +225480949 +723582278 +424319519 +88763434 +1948327672 +1758344041 +665679394 +1129736439 +1164054021 +648640620 +383720559 +1662010692 +770747963 +311389854 +869112650 +544189845 +416643313 +293678477 +385116983 +247895823 +38475113 +131997982 +1827408518 +1635454906 +847653467 +1275273892 +1054773642 +1912910351 +16461221 +1445074060 +677657720 +241942171 +21172690 +1101977239 +330705605 +1969500362 +712837633 +996384999 +951753154 +1876891654 +1645025619 +1335473713 +1391418698 +268289934 +1646863568 +113047701 +812479780 +2063506881 +406726178 +1197596763 +163919056 +445201291 +1329594745 +1991327574 +2080656198 +29764565 +1119117819 +987946192 +1942674916 +1135579040 +285536605 +472848988 +1377521211 +306709295 +1574826228 +1708226816 +128726010 +140180213 +557128167 +1080479164 +2017071867 +54670139 +268469229 +1261006918 +322960073 +1915332797 +1374054619 +1135439853 +1831356031 +1780780797 +185552969 +1995275087 +78498441 +1515147714 +1839119014 +11670991 +1544912279 +810753185 +999617183 +1340103547 +1946332225 +1285153788 +1812952536 +1176369789 +1591863084 +1240295116 +737112957 +1720589094 +1380475329 +1294241125 +653584610 +1250063548 +1348911264 +922053839 +363586818 +1671871337 +689902989 +1737641437 +659827543 +373775372 +1370938587 +845380512 +221566811 +1449437028 +213044578 +2060685825 +1461108019 +1757956858 +723955362 +313241554 +950576757 +522803940 +1598395343 +616045645 +1699173729 +1042774779 +1856340761 +288803038 +615880225 +1089332442 +1583044163 +1269464835 +191912343 +784471779 +44035026 +555499161 +308859469 +733938015 +145656951 +968687012 +1107713387 +1516595538 +1814067524 +1329280199 +818548918 +2027112102 +1242482376 +132173289 +1637585312 +1966437739 +445414843 +440678422 +341758031 +2043810186 +1056724067 +2040931760 +939101317 +765581181 +182251150 +1554981542 +1854913623 +1765295314 +676962729 +2046825966 +402283445 +720997756 +454841480 +711142914 +1454935771 +600498431 +1679829926 +415165511 +2117093969 +1346413802 +1744445710 +788159239 +1226042257 +839444438 +920332528 +716143921 +658398529 +1365747371 +1156822343 +1000156560 +1262073910 +66062763 +893604672 +53691579 +831643944 +1075855823 +1608673122 +539073919 +693667489 +138152203 +438416238 +1095950934 +859149959 +893257718 +1807093849 +166602083 +1493756149 +1339440127 +581767594 +1463366470 +538370282 +178729656 +104042061 +1764412539 +1018174094 +1024374589 +333072812 +1676572624 +242638312 +1489895156 +529245536 +1504712222 +1555957919 +1422850209 +1558403802 +240118215 +351222384 +1019593276 +779192134 +1044889873 +1157745479 +1217608372 +2140840807 +2016895439 +2110866090 +1800451008 +36013874 +1457138591 +992407488 +617781468 +773021413 +1530777770 +796511124 +877063474 +1147706661 +1814685218 +1901438063 +1480779473 +1343774194 +2144076376 +823190981 +1873019731 +1501304950 +231665252 +1148386292 +912225104 +471783467 +1499608676 +1931818380 +1250975602 +397014901 +942080212 +321100326 +390372060 +811492003 +284482769 +43339421 +847505877 +1741621360 +1035746909 +1465287345 +367159126 +419041031 +114314821 +1244222600 +1566747692 +1929000039 +998177016 +900043517 +1125290586 +994769744 +1723234499 +850826669 +348591046 +1954899751 +1999212961 +1260816151 +279199571 +1351337989 +1045150883 +1530175173 +1748352890 +1987231095 +1851275499 +2138724950 +651239450 +2135758268 +34580723 +1498745327 +1729895981 +1070327632 +816549024 +2097055107 +1489368663 +930863845 +1193794059 +908632707 +712380237 +44487427 +1808676225 +1837670823 +1039257171 +1384427076 +541013844 +1387848218 +1191843179 +392743157 +501180721 +1471042750 +1744081146 +1546331604 +853734275 +1344950388 +1386079052 +557526127 +1336191690 +2037318502 +545800747 +1370772414 +1388580182 +128213080 +293616398 +57645558 +77784539 +1782985062 +988509404 +1271578599 +544134121 +1700889641 +1316066026 +205326698 +1391076816 +207839550 +1589753774 +1932090660 +1595687768 +634113306 +177350169 +2096868489 +2105156056 +1921431315 +1495716445 +811406684 +1118898055 +734311849 +1368932811 +307606097 +624146704 +1914733558 +1678378511 +2012726886 +2042946639 +1971994910 +2070372444 +2120731178 +1607496324 +911398200 +1244826129 +4146797 +464804193 +413408508 +209473496 +1855881009 +621248058 +1799227270 +1640488021 +69452178 +285856928 +1817838190 +18837019 +243529337 +1591785857 +1514553464 +1054936021 +563200264 +101381666 +276385184 +870806362 +725528370 +43635094 +401701225 +590771608 +2086581733 +226212487 +513660404 +2059829264 +1833708811 +1425058605 +1157171745 +1837855609 +1889862798 +1570580253 +2047329105 +1598260160 +44344663 +1699072727 +1091264533 +113796841 +1984929656 +761619076 +132633860 +80975345 +205921285 +1647187325 +1135911366 +769121550 +1748568991 +1412296550 +1639927912 +326613713 +1455931644 +2041629137 +917385321 +1395029730 +120357977 +1431045725 +1307375346 +1954066788 +708620682 +317063443 +1644438749 +450999833 +1887643697 +1544284206 +2049259993 +1931988360 +1095873286 +993040878 +2045785202 +933319294 +1754659954 +30935414 +1014294639 +1960581240 +1678122739 +2722357 +582219142 +1279208082 +1415018907 +74663406 +1605821795 +723466903 +2116292543 +375723468 +2118496633 +89166872 +1806769194 +1278388331 +2043233661 +367906228 +1595451775 +1540188762 +818906061 +1335611824 +936989321 +720682406 +1120116536 +2032862607 +1713723285 +1018418090 +818698253 +1320899591 +1049353505 +1832992892 +1133997183 +579992596 +1835715249 +1716216325 +1859200679 +1103250508 +1790879731 +1317538826 +1826717411 +1759688627 +1693262295 +1797730397 +1848855499 +1352547841 +928635080 +1744605512 +1720454069 +376603207 +1137310627 +391876483 +1712215031 +2074299948 +1112558889 +684847920 +1959678907 +678798526 +1703266010 +630893512 +1999698118 +605135867 +316402756 +986211653 +1185128464 +4634357 +554944331 +896845495 +1107884865 +198340414 +66900673 +787118628 +1958029041 +1760162968 +437365377 +1659400893 +965227161 +1366000458 +1256522757 +538197583 +1742603665 +246349736 +930074066 +1307335049 +173166036 +2042632955 +1992182969 +2132844943 +573947834 +1547965331 +616254807 +426162304 +5617551 +932657563 +1412373957 +1190746015 +937291920 +1967318288 +2087591510 +2045176785 +18175055 +7008535 +684811766 +1976204096 +1767171504 +1122177143 +1488121341 +584915017 +340693953 +597160451 +1123112600 +2083297619 +843510187 +2053186666 +1243149020 +1016676224 +1948335974 +1087848341 +1002037519 +374800160 +488330024 +1618292327 +800962464 +493947575 +403466242 +65852773 +1684693590 +1340758163 +2033171062 +1624801452 +1238451300 +2051346117 +1631809988 +1923263066 +1880066565 +1251497844 +897956562 +1220704259 +1836412861 +1238650515 +1817864710 +812041814 +1174464486 +513891249 +717744832 +270129858 +1530567473 +518597158 +1357978199 +385121345 +893397318 +1846308224 +2003413672 +1694359782 +192772151 +259396266 +1760212556 +1877465742 +1600154429 +1645899970 +1354783546 +691122082 +1549762439 +839109886 +466901500 +1282345356 +2090607730 +1364858062 +355565967 +1779536944 +456024930 +25947029 +444095110 +1630489416 +539838279 +1161839942 +1900619275 +2070405752 +1680437101 +1111113826 +308043449 +426350771 +809938402 +163973473 +2120710554 +1002710554 +423369740 +1733439462 +732692648 +2023524169 +1231855784 +2087476194 +567162603 +634134575 +779102433 +1034064104 +1916479931 +722226515 +251438518 +124562251 +354279811 +707463448 +150509280 +798374921 +190469217 +690347559 +1960214864 +2091088492 +613269664 +1493168317 +1054718670 +921313113 +1919519088 +1864657073 +1085286587 +1892745994 +719883979 +1508656327 +1478701808 +1452576627 +1384696848 +563073944 +1392569173 +1951859452 +1197208519 +24187958 +838439908 +966204803 +746414474 +1089878426 +1090767054 +1100694285 +1797341875 +1241276334 +1899069207 +1987811092 +1931623894 +1711800423 +1931415936 +397409910 +1057485092 +838650958 +1318723023 +829520532 +555824383 +256525962 +574782879 +1275708362 +1765182289 +2053484687 +580801341 +1002395490 +469074984 +1973370515 +806771294 +1666283503 +1997558473 +1645211202 +485004658 +596489299 +587605980 +1575771712 +1697183585 +237464207 +669564399 +1448769144 +77791651 +453704645 +1013085919 +2009207587 +851114555 +2070571011 +700374898 +22353930 +752607895 +1256199281 +278879893 +1327390774 +384423996 +2044062182 +1233391814 +965225337 +898974024 +1702466798 +791112204 +1705745318 +1221266653 +641187030 +1203472872 +1706271312 +1237676329 +1791078853 +1134559376 +787376266 +2028543060 +1804123775 +88661762 +2106334712 +110344772 +1101747681 +1968058651 +961459327 +1024835044 +520949901 +983813258 +1777442940 +1777149183 +1262693151 +957350066 +14089531 +1159271685 +43258232 +979314868 +2058245710 +1745725030 +1770427073 +1616507380 +819508036 +264130455 +672496605 +378295700 +1501806784 +316091810 +1512855076 +141699403 +197151222 +1169495204 +230361165 +156002286 +1279839976 +1332108847 +2124060938 +93815656 +209460243 +497527191 +1077628914 +1986903183 +127192726 +192838417 +796769602 +141282257 +1352110102 +840027834 +1120597126 +1262872164 +438269217 +743540551 +731895897 +1257777253 +1007671006 +1404392502 +1636072953 +361994142 +1720484312 +1001444381 +503693545 +1917635534 +23455937 +734054711 +2073637821 +1303295914 +2066163558 +2050215111 +1397111570 +128140153 +400258654 +327256836 +2115043337 +527451381 +520095253 +764329291 +668733638 +1872205355 +1604357125 +1789330764 +987593872 +2042626342 +385387667 +1719489769 +1152919947 +1393058673 +976398623 +641509252 +1755052816 +549399287 +1642953634 +111262713 +319551173 +1666409571 +845317424 +245705346 +822221837 +763997334 +148436809 +71849759 +892137488 +548695464 +399106595 +859697177 +1076146845 +919201848 +1624026468 +1744880483 +643923556 +1080899945 +1386727600 +1631517428 +976042640 +1772115267 +1203523549 +2128962587 +1017690293 +32438524 +622988192 +625259461 +581837811 +118458178 +736522174 +901388984 +1784867749 +1581839599 +1147094331 +459605939 +198353285 +1295531140 +531455698 +1090490773 +1844226604 +930562294 +1950187950 +772889801 +1849764142 +1426730770 +370286637 +346204050 +360147068 +1757014237 +1977721478 +1336189708 +1381645856 +1033761379 +1317668647 +251852501 +1066199903 +1940656839 +877111962 +1648037714 +2059115017 +1613634137 +401943051 +1696499119 +1047990088 +1549037382 +8621410 +1246343373 +697084874 +540077108 +189350499 +393827831 +1470639402 +2139538449 +1166717632 +1172919897 +1418785572 +1537004269 +1519123947 +1778932640 +1146534858 +1349361778 +967638700 +380697067 +235639509 +137823699 +632549568 +1301839413 +2078480539 +1509661531 +802393479 +1990111908 +975812020 +1204336530 +1539127379 +2023802108 +605890264 +1547748789 +1122661833 +1302975139 +2087825898 +1312012332 +1696802970 +1410981652 +1304067134 +716036954 +436417901 +575369058 +105557576 +1955541849 +206818050 +1252092434 +1157419979 +1174456750 +1632789501 +1393059488 +1312280449 +117855422 +547415253 +1243277340 +1627516953 +1349808733 +1085905601 +455845325 +406661615 +477549332 +332163785 +1012551880 +2025298122 +1454825618 +168043371 +1965640372 +619354303 +1864846341 +1229138376 +1923421437 +433399647 +1665556278 +351306847 +538957223 +1473614479 +558124897 +1791049658 +483550810 +1732581647 +1276355511 +1876610298 +897378448 +1394210933 +276541904 +2140655789 +874244238 +1626350637 +1079077742 +1330089563 +2033012252 +1556627074 +1662253348 +898080484 +1434441548 +969595319 +1066123855 +1252598272 +1588949622 +783486548 +334253001 +1364887411 +1216886196 +1999809279 +1716194258 +1755843419 +1325940110 +126835507 +1399409429 +1809490920 +1859417154 +528281293 +1538617570 +609311954 +1922492226 +1815159474 +602484095 +649252817 +1294026463 +1681561837 +1979342380 +1179555068 +1090705264 +1494112081 +2077635552 +377663164 +316223752 +996275760 +1630261437 +1905173374 +1779762308 +1964514438 +1122577137 +849164856 +1816840069 +691287747 +457524628 +995296531 +818123254 +1856934057 +657303803 +530056760 +237731702 +48437725 +1139368714 +12740281 +1863597200 +1741852810 +661993098 +1010140015 +1275930999 +493851830 +42211435 +219152615 +1987963911 +2119846988 +596815780 +156704015 +968639100 +79593569 +2061877389 +600917760 +2044108007 +1036970878 +1450082617 +1713464428 +1728258625 +1907607245 +561277311 +398898231 +1617057654 +1218581114 +928954991 +1854789357 +1267018839 +2068323706 +1867529638 +983132391 +1662692868 +382039088 +1993272407 +791140219 +875890918 +2035483842 +1010292835 +716371182 +2007847182 +1607108615 +873075197 +829002634 +1686702184 +787468939 +1429920395 +1583326543 +1824439817 +732519364 +1149307323 +1405214795 +492642961 +1710584634 +1804113026 +2109700615 +781682100 +585584370 +1817006324 +2048700939 +506424428 +1537052314 +884349683 +21633648 +1919091402 +730138442 +812773867 +647498673 +618138636 +1823066702 +1363869855 +478502171 +1282691669 +89461404 +1307504805 +821910205 +876930343 +589941552 +257753100 +553886513 +1322460916 +1407060423 +1959101308 +1815103877 +970161409 +1615730686 +1777320845 +1751843509 +53831408 +1446843521 +1653060801 +560255836 +836412188 +389926836 +581889484 +608019942 +1120065278 +1394663352 +1255518615 +1738203914 +1070246406 +471904822 +69222437 +205454428 +561366227 +1376727243 +1027364633 +1438296570 +1966668795 +1285117734 +1992183083 +1141646064 +544694509 +1803800743 +809266293 +1514855919 +1272047782 +439103490 +1119215780 +1325879190 +1885947012 +624792933 +1886135027 +574875552 +1014719769 +320540863 +1182895494 +2134785047 +1715204215 +290930462 +1725505314 +637966974 +762835284 +1794727751 +843421402 +1324201511 +1023971346 +1870786035 +615014434 +843156494 +1008420121 +459713869 +1984802558 +1553114631 +116030965 +646585203 +920486902 +1388078747 +1085688694 +2039702682 +566474289 +824152058 +517011968 +305125668 +1399027610 +1531731737 +625666532 +434439456 +1519033137 +193387099 +725369918 +1097054803 +831354073 +1488205203 +744298906 +1674775475 +664923066 +1768270253 +1398077863 +1279937500 +463943099 +259014336 +1739651370 +301262009 +1812128967 +1855682335 +947847212 +585132221 +1096277434 +2033535906 +477351256 +1662751723 +710204316 +994363224 +1967877392 +2109231926 +378611313 +446060276 +396187735 +1897644450 +639447375 +1121557653 +847215605 +1470801449 +462279208 +1591514512 +998093276 +1127202275 +1212301117 +248687491 +259656127 +1676244216 +507701828 +1999307497 +1977506225 +172347147 +1707506184 +777869789 +757479369 +656299970 +663922048 +1234830625 +171568046 +1374126364 +81710201 +2139445438 +1335874643 +460321514 +438022066 +1732062378 +210482317 +1077469441 +706136383 +1057697922 +400787242 +1168415592 +501728786 +1398880519 +148134219 +1714029903 +1647568010 +407790346 +1242790471 +7786190 +259614196 +1072813048 +180133338 +1967120380 +1850682838 +937612707 +475936703 +367121238 +24959684 +647504749 +1741247602 +106669885 +639466539 +929638597 +566991399 +1077488605 +514217327 +777473716 +7474398 +1220353711 +1835171639 +408261641 +241285655 +189416777 +1807142160 +389419874 +1903446681 +1307226522 +797210220 +998753504 +1315012713 +1056824416 +2071566553 +1495146051 +876461149 +1774765743 +285275110 +1352397852 +2141886981 +310234794 +1999902601 +1735650935 +416904679 +491885492 +517805885 +983896078 +1569374097 +1032023212 +1761369795 +1576848495 +104893275 +1449057786 +1985110136 +346178930 +1638474563 +1644768648 +735598804 +1394437596 +804511523 +1532809025 +245707453 +2119524236 +442149793 +169790358 +1467186639 +1318610942 +1944556101 +1752461749 +523525146 +1938959434 +2062696543 +375944099 +1527126721 +332117574 +867829591 +2044932606 +1316013652 +289720040 +929472171 +929899799 +1866568536 +1034365446 +231473937 +1704195024 +1380544377 +1869948501 +1201480025 +2116143181 +1116902449 +2005991548 +1501468558 +1362609902 +1978032136 +1943618352 +1532400260 +1297735127 +1114745646 +1329472713 +902713228 +1638270793 +1120948499 +817926123 +2014214892 +500591573 +1150043697 +734560836 +398040531 +318573701 +1024280876 +1327512702 +1248473501 +743365764 +214394501 +1479947438 +300077141 +1594938878 +1202412291 +1501557166 +1563598411 +171831093 +1360065066 +917583322 +1534440995 +1190613554 +713718026 +919357608 +340865033 +1828463672 +101346673 +1243578261 +1319250817 +1222295173 +2061504384 +1185982062 +1722886746 +1064064433 +1920542898 +2120927277 +1382638134 +797340126 +1300956332 +483627987 +1540705891 +1515350833 +1963575426 +1840783032 +962806063 +1018504069 +1194856550 +378920826 +1190335162 +407437968 +1296504148 +577292510 +1598051522 +2010222174 +1496650118 +1938916555 +1691202199 +1597996791 +1035011168 +862969368 +672808316 +949031904 +2048951430 +248211414 +2013096337 +1822010680 +221655044 +1248250823 +471867159 +1522611376 +1731878811 +2012573050 +890478561 +1547970589 +1705872434 +1853284624 +418991010 +753245336 +84721802 +1609326173 +1160683304 +1381225951 +39135035 +611251178 +1243964477 +1535785153 +402684085 +787683028 +986298296 +1437695253 +1650652397 +1659106613 +239243509 +1552120179 +1907318027 +104856198 +1226647212 +2128973071 +1353107021 +1698514371 +1504100799 +937502184 +1563603773 +247095712 +337989125 +1121992559 +2100380336 +756980136 +1875237895 +37618491 +218822661 +888437551 +1418844442 +257957696 +1499688729 +515325271 +1793742849 +1902372814 +1303008300 +632557497 +1192584419 +806177049 +144180462 +1431827928 +210813580 +2051498490 +1536684126 +1437460792 +2032987913 +742307499 +988491515 +1389605065 +1679809684 +404611640 +1636700777 +2017798809 +1526604199 +1589597466 +627295297 +1254358446 +1627215957 +846117958 +2142795997 +898576751 +1104075654 +1495001078 +1413902022 +750334855 +1249890244 +569426674 +1382892353 +294991015 +1375603723 +1527072815 +1726818943 +1586417304 +1431087657 +1116019421 +876394448 +1316591923 +1858326921 +1864885964 +558713340 +1390652957 +122013956 +47930469 +1260968118 +1648618156 +1637527935 +1888263416 +755492954 +1117260244 +586897726 +750805304 +2015836995 +1690973381 +98322734 +1282255370 +293824588 +1348212979 +1851682044 +1676716941 +1643203994 +1079802120 +1056306109 +1222539290 +518735776 +339910118 +191075063 +1395130224 +1656502041 +2049401984 +1112532540 +67731733 +1292571293 +1234546497 +115662203 +406055764 +735681005 +1753190138 +146835532 +1491173959 +722966735 +733733258 +94495615 +591320082 +277222991 +192818350 +1873575452 +571047580 +1541031329 +1577773849 +100280873 +1036751675 +510092321 +1156586982 +111807317 +1028828097 +1496497101 +302882381 +276474673 +1005515494 +204800717 +1389007214 +1073247228 +1497372011 +476070063 +1188909431 +1903427775 +1211751068 +794615921 +2050263307 +555441379 +1517582656 +636512917 +649936995 +2108902739 +913735909 +842755345 +1834994543 +1484783489 +236303026 +1265284744 +1585064362 +1273054701 +1775377065 +594167697 +1384862019 +656721514 +2090664798 +1687744400 +933196188 +948696644 +1892545117 +174719754 +2021943872 +1242433480 +650789817 +1063369655 +998377607 +1862540885 +1857985577 +901157266 +270498616 +1228084585 +1537670184 +920435611 +1189503676 +303922445 +1763190956 +877014572 +1788705934 +1999493982 +2142299316 +1226286648 +1125065036 +1770192734 +1820454345 +362443407 +279430600 +1763635495 +2050187807 +1212626788 +564848492 +1795249276 +1387346542 +439308716 +890199109 +2038136359 +1502678372 +1888576716 +1753193596 +1213180301 +642250335 +2023692213 +293781238 +32436871 +796644176 +1483284915 +336359316 +412351485 +212815839 +2125065250 +264361819 +207631507 +1203868250 +1389426855 +1977824241 +876838948 +1751870262 +109771194 +492990795 +1654574421 +1322397982 +1057839287 +1302340050 +562260877 +1497148004 +45055511 +452913588 +852342728 +1933632227 +58623537 +2065523029 +428398914 +2082315750 +211820619 +460835785 +731476278 +1695105534 +797195101 +1143827763 +1907921373 +774776703 +1408189583 +2115552881 +1978644954 +650132790 +1945893474 +708000254 +254519405 +2055664668 +1200991049 +1909093826 +1230579003 +111346689 +1063950228 +1792839880 +1608494693 +1109005739 +98269820 +313353773 +895154319 +156893357 +231393154 +1323553233 +91725459 +443213773 +1784389019 +823201738 +2138319308 +434100472 +1967029501 +1898757033 +1208877176 +1227735436 +1866826266 +1040038482 +1877868227 +1665236093 +1748038736 +2132387632 +1573417113 +801546137 +1893997810 +656512468 +912892826 +810464391 +301868700 +373903871 +1919470130 +400138521 +687257644 +667140801 +557031878 +918650798 +1990694035 +648757338 +1361864572 +1627599406 +1471959076 +1352700232 +2061699878 +1291504929 +1103973617 +1123093406 +371756718 +823316236 +15648240 +102141297 +341068681 +1763686976 +87045281 +1914485794 +417749466 +1981043091 +423514615 +1330642292 +644023834 +725383315 +1704546164 +416010317 +1125521836 +244320160 +1083151118 +1682553715 +1162970959 +926361505 +183827405 +377351883 +406477263 +1655786481 +1730052115 +320693494 +799807762 +686542084 +1443786900 +1171564480 +1509858320 +1459435141 +1273705777 +1850927001 +1075638469 +1360751058 +1617929148 +1493387935 +1194310502 +2041443763 +676546580 +1838334336 +619343430 +233609096 +106861005 +1744865267 +477929256 +1190012124 +1279935334 +1640900215 +2116373629 +1463762739 +2018252098 +375367245 +972065572 +1600820565 +696060739 +1771873334 +139879002 +2139847639 +795954167 +1649737322 +1451799132 +2069659944 +1353180676 +379953954 +1282927355 +823626176 +1873341889 +329754209 +717586291 +402404821 +20604897 +1336929721 +636013917 +127465903 +934311340 +1113943174 +1317478027 +66763026 +607359741 +1286368008 +1530525765 +478128192 +1661735253 +355107689 +2078948757 +210312344 +2126981024 +71344111 +202676336 +775451543 +1721081434 +1654475468 +697627839 +926778462 +2034429422 +1980555194 +1750404638 +1760287664 +162825755 +320507281 +15208837 +183430653 +1657437002 +651222755 +310896556 +444264695 +1765165929 +1628374583 +511027721 +225042022 +767258943 +2041553487 +703170214 +281510549 +249177528 +634635324 +491822893 +228674904 +705979435 +694499229 +1004126447 +279577221 +201491050 +1701754287 +1206355683 +88436824 +1534825833 +809276673 +1848724488 +1697651589 +1129783954 +1863933326 +1881082242 +639737309 +367672433 +44495150 +1084002004 +2132838362 +1672869733 +1595029725 +210396736 +292645028 +1489099564 +913566951 +574155577 +1738277093 +1548202275 +1065978471 +1966951997 +106698062 +1760477700 +823594797 +386275284 +1961968750 +377865436 +1592630967 +2050405575 +1912691269 +254423993 +1751646415 +1462859210 +1384207947 +1468096093 +1196457804 +2023945256 +1835768526 +1240952954 +960463612 +1821123240 +766339039 +408009690 +2031519977 +1058984068 +1897109254 +797603280 +1633139645 +1487902699 +198321907 +551634468 +1307371049 +305019969 +164628521 +2130965846 +691295253 +2126597271 +361347634 +136442573 +2029519198 +126555255 +390866566 +1633681966 +1589414466 +1775074513 +954294411 +638388622 +1651536122 +642579290 +1879341577 +464516086 +316218882 +498196968 +872525776 +200255211 +1557181036 +622151383 +997858491 +1042837034 +2110054082 +1196180398 +1594471502 +1269941483 +1501200368 +1759100023 +1253423681 +45011973 +1738213647 +1614771315 +181454546 +1620249197 +1741326571 +572321112 +1106447515 +1183257389 +199911978 +2060741927 +1821646011 +1851448100 +555837569 +1553503940 +168480538 +872056451 +2051700909 +1041006315 +1072311663 +1461398297 +1663157698 +2070170154 +356751683 +1625728132 +1118866905 +1951223186 +748185968 +472583625 +1562839561 +2001609649 +517595598 +1153569560 +1468897317 +699050145 +626335110 +1062740240 +1271371257 +1732782625 +98513981 +1471283235 +1646040904 +1920159992 +1175247687 +54394825 +1326180285 +1343728226 +926451277 +1230397546 +237250893 +1998762940 +544312195 +1900408591 +1921449446 +901063879 +1378653075 +892832703 +704803417 +2126839043 +1365416328 +120159330 +1980965045 +1883011927 +1273728891 +1302378714 +434578424 +1900064001 +217635306 +1705949681 +1485362978 +316149287 +1029749269 +983920235 +88825631 +57513308 +1038315060 +1415005916 +1401241534 +1964766337 +497919814 +1638492427 +1816045629 +1042232010 +1391417370 +1590011428 +1943295889 +622586798 +335360483 +500615658 +601942193 +1700776812 +620774988 +435423590 +1436305091 +1894503879 +1737802304 +1870883515 +1647084232 +1955437610 +1429349548 +984963563 +124103249 +311615169 +1968883798 +212928881 +369128478 +859715210 +1627934797 +1770370012 +676997900 +2125854612 +1261378792 +345559881 +1020602974 +505312514 +1935571309 +816415215 +1127899312 +123448145 +1317030873 +1729841506 +1824224957 +1937805861 +17781448 +1113046400 +1684826093 +1755583753 +836446267 +1184426677 +1563537715 +118312167 +21906592 +1687640965 +429927337 +1990790390 +1900569846 +799055815 +703021953 +1381020995 +421942179 +1380019853 +1359391959 +1683320971 +1725579734 +232511285 +41149838 +1513667396 +1048926500 +1169049150 +1637115541 +218473725 +751407008 +1313856850 +8795939 +769188457 +279419602 +1693622032 +377288562 +1115865869 +730565061 +1940826277 +1234178036 +752471654 +1480983594 +1664105373 +595778396 +1234069792 +315677540 +1298800349 +467607140 +737619720 +531336554 +1826999099 +273457043 +109432641 +2059510385 +314606881 +1623100037 +960953237 +1483656032 +1112731930 +1179426963 +87579392 +279105132 +1188222902 +856767849 +558524734 +734361286 +1234056411 +1674390603 +1464926347 +1027399041 +761084991 +69914353 +360898987 +277706717 +665692750 +1594968780 +593384257 +1964493099 +2062575920 +1331003977 +348346006 +1742091371 +1604461021 +457778647 +1654118108 +1919067902 +2080878684 +467587698 +1255240286 +1046126966 +1647014661 +1342819679 +1325232098 +687753915 +52103880 +1883756832 +1422115201 +1286160292 +1410663787 +739557900 +166075685 +24265130 +809472254 +526974672 +301971847 +1475165004 +2121943452 +895356105 +1292174455 +2037035724 +78876434 +1640520461 +1631643448 +1683337455 +2098299108 +1138277908 +1454921710 +2031694144 +1605865606 +562678348 +930337462 +1105396619 +1905498027 +108085912 +1793150534 +1957601908 +1991842744 +1067782087 +1096278552 +1255022883 +1807339988 +1262354237 +1279288014 +469328594 +1789328909 +1581259861 +1944493598 +1763788714 +329132318 +1089184405 +1653340790 +408008753 +582221219 +1137500590 +2091346208 +533036679 +128294851 +1398784270 +417247176 +1734160457 +1961462619 +1347584638 +692073429 +1719476998 +1455670551 +337740315 +1529595258 +1300029647 +1405522403 +478390162 +407568883 +1065378743 +1740744399 +1686856897 +1534707337 +1382589661 +1120633110 +1331717287 +998894727 +1449765429 +273418044 +504751869 +1857774182 +855639263 +1642252460 +1801636742 +1388675943 +1770547311 +1052937365 +1805923119 +1357224120 +866916336 +1006024109 +2049297549 +438909686 +314211012 +239554217 +1968504945 +1614240660 +1645076620 +299411459 +2021809543 +562971715 +2040155859 +1561182792 +2097679052 +1275261872 +534332254 +1281912691 +126672951 +1984097683 +1555330735 +631424820 +1694388217 +263486351 +126193632 +1348541312 +1652162294 +1896740943 +253995029 +1310601765 +1106481416 +1120911365 +169142226 +1008295317 +1559821051 +483353239 +1247849534 +1380842348 +2097593899 +745442506 +1680253808 +1971919794 +1308414221 +1572926019 +1385618938 +1258609625 +700704243 +1919951192 +393038668 +827377194 +1756565228 +1948369404 +1458802014 +1303469797 +64372107 +1584995647 +504527461 +1716534401 +1334252942 +758522490 +879652518 +293250710 +1879433855 +1048794744 +1301546028 +1291771259 +1532147983 +401911914 +525129959 +1482258234 +1147354421 +57900119 +1306694380 +308284994 +1630826138 +544829670 +1566894620 +184046733 +317297215 +1959933288 +1011423927 +2073862443 +1760819044 +322742294 +1229848592 +1825191151 +1907737941 +1734376054 +1394241904 +1094507235 +345414896 +126410774 +1387757946 +77365104 +1175205519 +541820326 +1369136363 +559869854 +943732240 +1894266322 +2042128089 +2091086661 +1952166442 +1201338821 +251888008 +1435508932 +1746168492 +1818782628 +1619555666 +2063465707 +1631232268 +483495945 +1989844502 +1244567665 +806238239 +1072209446 +922275168 +566492532 +659101852 +169033425 +1660999768 +1004516749 +295444199 +901274066 +1081881853 +1470649718 +1443094392 +303534568 +2030519573 +239342984 +50317242 +1925164014 +182945998 +2002483684 +979019187 +434834006 +1290508969 +577704031 +106132986 +762580987 +493686090 +1737365254 +1246076932 +336046944 +834449271 +2052315172 +1408256391 +1756724440 +471324056 +2067358243 +1925757865 +2132323824 +924391344 +73718416 +886114242 +2006273197 +1544368135 +181724986 +162324117 +1427404060 +421067971 +212641360 +1205084426 +604013969 +67641396 +36619965 +1038847975 +1358150365 +614323997 +1144980961 +2120731352 +1108010087 +734862567 +1219324637 +1444057032 +1569311839 +1124156161 +704829775 +1178552631 +1595480217 +624704370 +956826848 +1580320394 +1549095715 +1030545264 +318950988 +1407885264 +427429751 +500675975 +1570209382 +1854833811 +921743946 +1782850742 +912434589 +1525757915 +1850492138 +949054555 +417122242 +1061158856 +1563378552 +1562103203 +1034406560 +523904991 +149482122 +106247549 +1967962023 +1718793961 +1230403710 +525308150 +749862944 +678400280 +1150012521 +1706689792 +111237026 +551624588 +589751409 +430188014 +1959509852 +1017181160 +930863989 +1382235586 +724531324 +1852607935 +1017602680 +1636965913 +1230882202 +720611171 +438536820 +1648004444 +1781770027 +2001915372 +1062623999 +668692939 +378336716 +1212106122 +774940489 +198815091 +783416435 +2005344199 +724123242 +1533279380 +536260831 +1874135763 +1092485524 +647497857 +278276703 +1682236933 +1077685872 +90302907 +551934446 +2008549861 +1472538494 +1276465770 +1713674149 +342657526 +765948035 +797072703 +1063268697 +1204484856 +297593500 +697555076 +1058916580 +1360217499 +1366248016 +1437253296 +424839973 +2141188505 +1636068388 +1208256409 +1999049056 +212707982 +594052141 +387826240 +2086843745 +1686537665 +1035324097 +217636800 +1221290951 +2113009969 +307939707 +1773225397 +1974076183 +1780478201 +902207519 +1540266684 +2123135728 +1668155554 +189855739 +1038920777 +725156762 +487449239 +1736475854 +1784073343 +1847666739 +955240222 +1073842991 +125023064 +948945079 +562427731 +1333279473 +800510487 +775135713 +1927331614 +1188336727 +714495810 +1466385632 +76177177 +932132610 +540192935 +41703498 +1240072318 +165934684 +2015779681 +873066871 +1068142203 +1408562717 +848718951 +588814109 +1598418457 +1887639729 +1313970872 +2085867696 +1476631935 +950560567 +1786050787 +284388509 +2024403558 +1911073852 +1233333588 +439347642 +1096869677 +2033844075 +1214483355 +876717644 +1074697155 +1928979166 +195619628 +1150874332 +713628128 +735812563 +1192577830 +1953700446 +901747247 +1060873864 +679283670 +1969889450 +321952933 +1528002621 +411219911 +1920371390 +1268158702 +1725190783 +1858755439 +597306989 +528267702 +1497322578 +881695498 +405187613 +1260912782 +2115029086 +844535255 +210298812 +2001389514 +2059018610 +1087016456 +928603021 +1840514128 +1282636084 +2079477353 +406658609 +2018448647 +1124571535 +212875407 +772712246 +37961751 +892159077 +595118048 +359914685 +272678051 +1006337959 +132802427 +1540836753 +584045095 +1991557866 +2138143743 +1112312797 +1341396797 +872355593 +1517500410 +454825931 +839901032 +214552017 +665124743 +693806898 +126086980 +1752141199 +1622409919 +1966601108 +887293635 +1554403624 +225776069 +758258634 +531491511 +438651477 +1530970880 +569453263 +1330810554 +2126088928 +929367948 +1603488605 +984943240 +1062170375 +996841711 +1568988335 +906244594 +987501806 +533817484 +100157743 +1859857399 +2051317895 +554983674 +552274783 +118386264 +1220108418 +1246081681 +244473244 +824765969 +721007952 +63590705 +1712059605 +127927928 +289366774 +322834591 +659419440 +728018251 +1853805472 +1228872703 +2058828806 +1832410752 +10757003 +1514833763 +669870344 +1072927378 +364191826 +91375031 +1979171972 +1351693632 +625192516 +2079329715 +1064067384 +529026763 +486829742 +1616342167 +647413027 +1706938160 +714940201 +891886272 +384220481 +1435948153 +955476977 +2096280086 +1563876082 +1244843751 +271631030 +75811874 +1972862003 +2125436502 +1304684577 +1884207161 +1810363606 +1315441580 +1251557276 +332750303 +240885310 +1615749103 +424125334 +72573635 +819959087 +1049317850 +4419702 +1884026471 +1578344613 +491249444 +1352884991 +78273993 +50703956 +2067825192 +970160265 +434924438 +1356289697 +1925637242 +383720876 +772682131 +1022997345 +655351906 +848494005 +848375700 +633304760 +5694934 +585099213 +296184719 +1321136514 +1836656490 +628935022 +1562021825 +1304921945 +1053060356 +1634595460 +2124881032 +2102378207 +1639015162 +1861423856 +1533239172 +2130264607 +1066825199 +1611513165 +33484915 +987166743 +434189782 +468409353 +195972792 +212343376 +852130230 +968654924 +1235340722 +1507482136 +1817148929 +2083716422 +2140786897 +1822843864 +521331988 +289487968 +996496730 +210504830 +918422990 +411034907 +1515426775 +1971483346 +2045630367 +1492824159 +1926377905 +1537161882 +1206764367 +1312133430 +1519942841 +126105918 +776162947 +1553427756 +1113272661 +1210352730 +2021837110 +1309245454 +1422696106 +726483692 +130416730 +510553180 +86482180 +1947565659 +446785955 +79785429 +1622925875 +968117943 +369273397 +471938958 +1178622773 +1287696387 +882973865 +546565900 +1111696086 +781120585 +2039390059 +890590343 +170798819 +1098670779 +55240125 +1690741660 +1224776697 +831403073 +1096685768 +190565711 +2041755803 +971039230 +1499811165 +1316968261 +1697522922 +1630227895 +1827521442 +1784005103 +1430309906 +126823749 +1863790532 +905752134 +1094941692 +85580282 +1377691092 +126080817 +1373276669 +113181309 +672646717 +337489107 +894301894 +564553128 +1228079451 +1065100713 +1663223907 +1283319576 +608358725 +740516957 +2114722649 +1705044494 +931082668 +2008994804 +528600076 +283410185 +1178479418 +78639351 +1913638080 +858517212 +1862644454 +1196464338 +985340961 +1578951338 +2102216472 +2080282653 +1664531620 +1332423916 +58879822 +890324642 +1445605226 +731526539 +1227813749 +192423472 +1296079667 +308409552 +1257524186 +811819927 +1591729129 +1865882911 +1552336884 +1558968130 +1423443757 +335935904 +1420479287 +1952043834 +619346089 +451475057 +2030683185 +385500521 +1309992269 +1745843991 +1581964859 +147849582 +1177311681 +1536697684 +80648587 +694359654 +721637952 +139528409 +1584684296 +19759530 +871054948 +665014397 +212183003 +19650967 +973423950 +1469707189 +831470894 +417669431 +1188106452 +236324130 +1976637561 +464066562 +572260034 +1249633200 +268626748 +1191606123 +1701108257 +151826285 +1577106644 +863616878 +1897670276 +1011587856 +1011466460 +927498309 +400801892 +1092115047 +1621857963 +1122439844 +1231643456 +1059058611 +1142199375 +2102698404 +1724073009 +1354382378 +2122349372 +550013311 +676605919 +806336618 +967682742 +1864712371 +1042660749 +796836655 +181295285 +1614920783 +2046469856 +449922033 +659043259 +1600094465 +601748318 +88666255 +316227696 +351934946 +1100254111 +1327694156 +1279433256 +1501056003 +272325556 +753807571 +476012200 +1503969012 +1812866183 +1618211575 +1459183769 +1389455544 +825110305 +1434049493 +1939468855 +1501716224 +92902463 +759667949 +1218944947 +1135563212 +1556504604 +1400240233 +603000348 +1455490812 +1850162266 +1262043607 +908101630 +304426937 +1350709862 +1224329326 +656361883 +303480326 +404539834 +1935795139 +1804536329 +676865390 +542119063 +133064881 +33350755 +207501598 +1751276456 +1492534524 +1596957142 +428903113 +779100369 +1388942349 +1930619337 +872002832 +1126650 +1002080637 +2007566045 +1557631254 +254837222 +463082745 +865638419 +2104999488 +1725126352 +1773740049 +261942777 +928352566 +850585727 +918304661 +1231832892 +1255125561 +706616152 +888885574 +1931990952 +1248735215 +1021950455 +1965341707 +1456236813 +625743264 +1310392583 +905710307 +1054646377 +2089492952 +147169008 +837782067 +814012136 +148295658 +1839862704 +674094533 +1705926913 +2094699926 +1137177278 +424081684 +2052215766 +714819982 +50338085 +166674896 +1643172549 +900923812 +1084979557 +727521793 +8565725 +1791595709 +1616407367 +1940556677 +892847277 +490874175 +1758414736 +201600442 +1116617439 +921323671 +1107310750 +23780168 +863332975 +1254479758 +861562235 +1677345112 +1402775417 +553941291 +203955997 +961218682 +501157569 +1341133276 +1385300366 +405889688 +2055953258 +1435638451 +572564584 +1551642159 +189078615 +1657544141 +131680305 +197644340 +1301656202 +1748087672 +2138201018 +47019831 +91478199 +1749132106 +248620274 +1208095638 +522972130 +1355931024 +1231875807 +1386305105 +462927134 +2093438042 +916166569 +1865702551 +499895686 +1120122567 +679437585 +1001053255 +313772195 +2064737951 +1406942943 +222241805 +1352892754 +1979507527 +1773883965 +1541971369 +1489568020 +1905564270 +1739615710 +643740575 +1506168294 +1730333080 +690760406 +1597646494 +1331981538 +939380680 +658258484 +1854953668 +147828056 +1890134291 +1093775126 +610755191 +1836088686 +2009941695 +328974094 +188500724 +982580614 +1008411680 +1189553979 +1296352809 +925665983 +449013275 +1518594615 +131075090 +281037154 +1144994932 +1673046459 +1770605175 +903075554 +1265178521 +266862102 +261760200 +848027953 +957622508 +1859406694 +32525844 +1897003189 +370181531 +1887479512 +2044831245 +112832174 +833770990 +508102788 +1948920860 +696229038 +837076883 +2137421584 +1678809652 +1845488563 +1179491916 +827678814 +623670898 +1628505191 +198789781 +754745988 +1909542345 +1343784713 +280308800 +1532663872 +99376619 +1545487321 +1799525974 +361136819 +246031627 +609664835 +73059866 +278557471 +359184376 +443241397 +18553335 +256531973 +556073571 +852324326 +764634762 +357510784 +1548553364 +1601711645 +347448720 +1079879368 +1299716560 +1526940636 +1907558182 +1923387458 +1007962179 +2106347963 +530649799 +770020877 +1302649028 +810958599 +155201101 +1402025647 +208962272 +1954727076 +1763162467 +454993899 +416908263 +1836222333 +733551370 +776092639 +131980082 +752104706 +1032624612 +688053653 +1604429032 +1797259374 +1045564437 +1005498748 +1251487371 +1393013158 +2085378116 +403720283 +772470146 +1845452651 +179624094 +1780432326 +1804316966 +710273893 +402969555 +959482347 +1521232492 +558170656 +214024346 +1730194764 +365414084 +1977186813 +37705016 +782322347 +1665925498 +771256386 +1558414986 +1797905580 +1523361092 +443555951 +338475586 +980306476 +93331677 +1384040023 +1985805224 +1344819049 +629569533 +1923699693 +1748539332 +1402039680 +1621668696 +1928163426 +1034988358 +1278502014 +490953671 +1437957913 +90500713 +2012186163 +1996128569 +304525060 +1594897280 +214059006 +134228225 +1632602296 +996381353 +1800153724 +256375034 +407312692 +1450575656 +1779736127 +850868643 +1789051242 +612558955 +944200320 +1025607618 +450880532 +141535721 +1655177151 +227096577 +1890075054 +909733183 +1848765273 +1670754832 +1944721541 +979783639 +14224856 +1235195806 +1070284353 +2026411019 +1083840728 +1374809413 +1473824651 +1297899734 +1509037638 +958943299 +146797439 +1161707714 +1215318334 +554110131 +464799723 +847570813 +1404978774 +106367317 +1460129768 +201695447 +1131974935 +1911010300 +343231168 +639668439 +2138106877 +85822574 +1549401622 +1839388502 +1756577407 +1346639516 +671688494 +1770802263 +434351674 +1741972847 +1649729634 +1518192402 +969298612 +976070638 +668608488 +330852602 +1935013937 +815405928 +1492560317 +1002848623 +1369516059 +1957360040 +1850419436 +627011186 +2063727357 +1163065557 +828706633 +1048218645 +926592209 +1171937801 +1687887084 +917215439 +1257760376 +1089805058 +609120293 +866854135 +288960926 +1280808787 +490172750 +723312601 +875297986 +2139902384 +94021355 +1844596598 +968489374 +762629844 +27965553 +756019664 +1578035772 +1520525870 +1758868287 +800068183 +1330402262 +1461804076 +1427079369 +1246645971 +477385985 +108302354 +147380968 +1403978194 +1280240156 +1835268052 +173709985 +390516884 +777589463 +782830279 +1257371019 +1066550389 +2063639066 +1747543769 +1789862990 +791453405 +1739962505 +1883884346 +488566355 +560968232 +499030542 +516531908 +1316987896 +2077066314 +2037057778 +928372535 +729650849 +1219976392 +242692963 +9246571 +319138716 +720078948 +117548925 +466519684 +2124057143 +1397789081 +154304089 +150283480 +1788305965 +931893552 +933113759 +898193336 +1998443941 +849269178 +498253457 +1640823284 +1640722583 +90732315 +1377223982 +2129288938 +651700547 +1876254524 +498337199 +1968688443 +1805837190 +387911329 +749577330 +388004391 +1607887722 +992270294 +397250962 +1927026438 +1712349242 +514799888 +246062474 +1688922737 +1912588969 +400366563 +1839206218 +1553411287 +1332260115 +624836329 +304120975 +1183220409 +1474105507 +802374433 +676560045 +967344442 +893106748 +2053784027 +949149733 +1544807295 +1782554903 +1447486932 +1366012090 +1440908445 +1835398261 +2115589420 +1828912836 +1295802335 +960376066 +78680151 +1075345125 +525241661 +593480039 +1321407600 +66680750 +358585360 +1721774163 +1905886968 +1911996647 +906550631 +383239650 +68633975 +2089771040 +1857345157 +871008408 +618847437 +677205952 +1764115156 +525147816 +1626355685 +1161438803 +160219071 +926358969 +379967245 +1601127516 +614273582 +348073017 +1282556704 +1910075918 +1308449084 +1361236855 +837937395 +1833690745 +1954716894 +11861347 +1900371495 +165818607 +1733635511 +1658774816 +2077815254 +492702494 +2042014466 +2146449229 +434989886 +1751875975 +869973989 +1053837323 +281598279 +486605497 +1578985139 +1907953964 +1648044300 +1739204210 +686829285 +2028011545 +1192848078 +1301102868 +228600915 +327921134 +1063695138 +1537049999 +1689157990 +1901632533 +1223257096 +1496391236 +1913493881 +976144943 +1662209843 +1499645744 +487436111 +1592541450 +1992348238 +381966929 +1591507031 +279854476 +2133842905 +313997373 +1333691799 +267957536 +800602870 +765193290 +28427853 +301163523 +356913852 +715257138 +181691420 +1549761930 +2016360006 +410292335 +1877683064 +932571496 +1947342334 +1419357406 +686720382 +1023115782 +768264995 +452730615 +1999260726 +282991190 +1952376359 +339213189 +1875532640 +1797240949 +721180119 +1319556024 +2077095425 +707539376 +1633553397 +1263303576 +975496912 +286672619 +2028496866 +1003924765 +587836142 +237927070 +1719181904 +769527563 +1787689000 +1588058262 +1179819898 +1517888416 +373146111 +979678585 +789762175 +1059866493 +2002794367 +1558027170 +1512597108 +1854571445 +1841018360 +1317489819 +46300987 +1569067353 +967247120 +767481106 +741139729 +896858897 +1475020482 +227209478 +12678825 +303033746 +513882097 +2041175691 +1306958512 +1101718240 +131619113 +878656768 +1871245803 +1919308113 +319231382 +903582053 +1289712881 +692377493 +1883260638 +2079475056 +1752243986 +1738571358 +1490018578 +1117357446 +1445659155 +1183553291 +287363617 +1491960142 +605136996 +1254610737 +111957600 +1346276725 +3985986 +1586978082 +1573486203 +16664811 +1890011829 +2087368300 +2057840502 +1049486693 +1041602892 +41975967 +1928143461 +765365047 +1961284080 +99891195 +1668947101 +1103513314 +792268689 +1404724091 +1035504722 +397029027 +995811801 +378039653 +1514386474 +293987309 +1561592944 +1801750091 +1785947451 +19246292 +908877181 +1897905052 +1365523017 +912863167 +1337399486 +791525572 +929527979 +1079927667 +731410224 +839884833 +2129414360 +1773013117 +881860801 +1910074173 +390894516 +695661233 +2009965369 +2059841617 +1799174547 +654750410 +1317082061 +687195622 +1051779437 +165410214 +1065235275 +418682263 +459397523 +479344571 +72948707 +97861327 +498590863 +981825888 +1995766379 +1864113880 +1894689055 +1185682217 +508155804 +676733386 +118126237 +1239566028 +1516618220 +100056949 +865095497 +250995373 +2010131123 +1255990014 +946656606 +1872612844 +1168347983 +598347506 +379879606 +337946396 +1285543128 +1431659043 +503356611 +203294755 +1850341307 +962754134 +682639326 +1923290014 +1060615461 +1181230189 +757632254 +908898192 +897860421 +504837661 +2094580410 +1406016225 +1181571048 +65222999 +498098605 +550705620 +165279948 +1363194103 +801700993 +27927423 +471700469 +1748357599 +1900540267 +1640048452 +199221457 +132936225 +1977994849 +1484764585 +1564595269 +333867812 +1688059340 +1267452928 +1296621946 +223215018 +1043259294 +209753760 +1404445207 +1800891548 +1118651952 +154821980 +158245561 +1065748714 +1560838205 +1339816609 +1130971713 +2058936811 +1890522229 +1296251662 +1274647266 +544739574 +1324179085 +1746347735 +145613526 +1077235705 +1238912539 +344834983 +1210171930 +1069423740 +1829599569 +627283551 +1403291552 +1370175261 +1894736479 +552429851 +1593390280 +790512125 +762183611 +850351839 +443920025 +1880835563 +1005173820 +602165587 +799100630 +418528377 +1941982196 +1930072343 +329981540 +1685020778 +1078840357 +1604628806 +82276704 +255535795 +1203492893 +227890230 +1332771500 +294921785 +572725214 +395459782 +1364345525 +254841135 +1022743334 +620153430 +1625016396 +769996165 +1172583281 +1070923028 +1560508291 +1934766892 +1921274868 +2004428316 +1668118807 +778965040 +459110255 +319735789 +1197493417 +253608804 +102324485 +1527474958 +1938629582 +1181164842 +984620116 +2020906286 +1436700637 +40629362 +101312869 +621988489 +335551147 +674038083 +1017448272 +1699896672 +928879218 +2040191606 +172566454 +406411966 +662704123 +1345149735 +1477334995 +75728766 +1132432979 +1251126215 +2080157083 +653068139 +2030091255 +391783690 +972803928 +1080101024 +645392494 +1075128413 +460092334 +436538428 +108809608 +1444712451 +309961067 +1545510245 +1485341813 +411273936 +20015087 +1820892960 +1085312019 +1037463359 +1373305984 +2014191237 +930171317 +1545872439 +273119555 +1592875440 +743538526 +1750454550 +1668604207 +1875971506 +854097117 +1601277642 +381555997 +736704724 +1993061332 +1354359925 +1816805749 +490970179 +282004691 +129414435 +927508607 +390814299 +1574126886 +1237469674 +1936324544 +911985051 +1648743610 +1956339631 +585394363 +586571981 +846319342 +1958700348 +453279570 +1776490659 +1357089139 +726399126 +1221882452 +2100627665 +329370028 +743003011 +1829115523 +1183467146 +196797005 +63187872 +1920171870 +42374689 +1417547798 +1589493971 +533344868 +1699552489 +1718908407 +1460853476 +2090366788 +1145551645 +550839502 +1879207684 +2057536697 +52099465 +1688063668 +495447412 +638671446 +386899362 +306664112 +1091951017 +15906374 +1663753251 +1818350143 +1237788826 +1616897269 +236523 +1980791837 +1298529144 +1183703669 +30105194 +1361717017 +956391892 +72479883 +631781167 +398402215 +605824752 +183850008 +2117310622 +2066678228 +126733148 +1115378620 +470034082 +2005940832 +1025431669 +522133547 +1546520852 +1520879081 +1160804994 +1933420215 +1827543194 +105272363 +1949326589 +1343812797 +1923622506 +1039631767 +813226418 +1923859029 +872939956 +2111755563 +960079051 +903045150 +1325988932 +1916470943 +975525033 +1957770099 +167389510 +1581349785 +2141620107 +137216485 +1500544365 +120869607 +1252595105 +1970578448 +2126810439 +130543126 +345228347 +1525847644 +1651422207 +1506033341 +1311784211 +1331481753 +1611305704 +1113627152 +527810903 +1387444562 +5775271 +1341037321 +1163819944 +878715227 +1305309236 +2123898995 +1781760377 +483814520 +1892886290 +609801762 +294100971 +2060275800 +43667900 +288237430 +50008637 +1544212265 +409107037 +1302603742 +1367307065 +388433829 +1433146868 +1712535413 +1914281473 +937085428 +1071085106 +1078582036 +121083533 +534907163 +44725540 +648894436 +1922351725 +50500811 +1989931758 +938688021 +929216038 +1147757346 +915103368 +563492767 +1631571867 +660506010 +1173294529 +1925672838 +573298163 +1216962429 +66426621 +623306800 +613691047 +475533658 +1925910543 +1980998112 +863967487 +1211573763 +1546049877 +630765312 +1175543 +469651336 +1709347348 +122259077 +1004558499 +1754072888 +771153513 +779426576 +1804573699 +613601623 +1718114598 +586306089 +1761358970 +485734318 +1149798856 +1245447189 +1146240329 +175609738 +1023636379 +1719538492 +1392572167 +1090063000 +195361644 +2006263214 +1565596659 +2121272187 +1839777679 +282080498 +1185362303 +1238343908 +912845811 +1186537846 +1707995244 +474709511 +1308796923 +565070095 +81298752 +2079950437 +1344496672 +1885872451 +546068412 +915127622 +324694893 +159943734 +1400861940 +1474493749 +1405390923 +399618621 +1650103487 +281543655 +2119157113 +895192007 +1371606655 +167035110 +753971573 +789719666 +140823649 +446265604 +1071800165 +1326185952 +1684609513 +1984645976 +365240151 +1245121109 +311871839 +1674037074 +1810191205 +393170591 +1606503863 +1007204229 +131559395 +5088628 +1922331851 +456254288 +165032362 +1175710143 +1930748037 +1570423286 +1575328765 +1433367877 +1851966941 +1547002230 +181076236 +1076089948 +1714037340 +935047809 +1865809615 +1854860990 +1381313414 +790126132 +1033563294 +918439279 +627288460 +1398803445 +16076740 +939160299 +925356872 +1826267945 +1332330891 +384377087 +685988526 +1463890286 +389465715 +460836729 +1920144574 +554498078 +1636546873 +1703408963 +2124921364 +1064391990 +989293192 +1829404657 +463910572 +1170369428 +758010957 +30464265 +2105417238 +476336924 +1885325255 +1339247004 +1266463056 +771404901 +110202635 +1893751516 +22724699 +126279375 +685428168 +948081571 +1952547321 +2017759059 +1332458658 +491052199 +1334165697 +1721924374 +951888929 +1106826623 +128938804 +440952154 +662751938 +106376520 +1505344144 +1652045131 +1935781177 +1969254716 +674930911 +546308486 +1999718981 +632864501 +1022645411 +1737560588 +1972111505 +141624819 +361481842 +2082314140 +2035376336 +384206541 +61109868 +573320856 +1332288112 +2013657189 +443596267 +517263122 +357225740 +1777761964 +91703848 +1309114669 +737104939 +220642652 +1750066823 +1399856877 +327019172 +1107927319 +904418360 +115316701 +929698388 +1579349272 +661625188 +781933721 +64730125 +1684270599 +372010662 +2036841631 +1825895418 +733492504 +1971672123 +1713788106 +1117699045 +2032781991 +139625314 +302503509 +1898955532 +583221581 +819766631 +108697625 +213499897 +911470480 +1417812294 +950604836 +1132113132 +1020395470 +202978066 +1459132305 +2128322789 +1107396426 +1574449006 +910537529 +539262050 +88590546 +1692471251 +603992176 +1772861145 +2064481913 +493350159 +1451272916 +650490769 +317538634 +1017577374 +1768189814 +202836978 +1157202689 +2070693323 +2101792510 +1740424270 +742976306 +63006487 +1953924168 +1654446786 +1480818782 +757045356 +639076271 +353730604 +960023422 +2098208576 +334569745 +2067419849 +1525173934 +1245107275 +459198251 +1613764481 +790094878 +1063190427 +1239141978 +707093143 +1556540586 +542931246 +1357583912 +1874079221 +1560508621 +978290078 +2076916199 +570227662 +901499753 +2031225061 +163168284 +1644476059 +2094231549 +2117092452 +1151439198 +1427566683 +726654161 +1790515469 +1781297287 +1686677583 +1741240397 +2115867032 +1606613784 +1118930683 +1213490659 +2065812036 +585211516 +2003585537 +981518815 +1824353495 +563195032 +390575754 +219801093 +1920778944 +117171327 +1780309714 +751585374 +46603878 +203053728 +1653085127 +2077828939 +366222013 +1150077539 +2024576840 +335830817 +154033089 +1304659875 +1062484978 +1944548558 +938473514 +601678914 +1538305307 +906856899 +60809050 +509752342 +2120347558 +2126621086 +1094963859 +1976449448 +960656254 +771833706 +392160832 +1351232008 +991634799 +165456129 +1468403335 +624460866 +917041503 +1515007213 +827514594 +422642983 +1445352504 +1193736607 +1572720522 +1322445697 +1529567425 +1726753611 +479621924 +444568755 +1523818521 +1418095439 +1046247669 +914640180 +177468690 +1107056720 +1424392522 +150332600 +1086194158 +371872733 +2126782048 +2046850412 +1143706439 +371459233 +1250598772 +2135341239 +536915362 +571518459 +612318457 +1453956865 +2086525672 +1439833051 +1876599848 +1384394529 +486086011 +1301836722 +559356578 +2015653436 +881106685 +1038978502 +312738543 +257441558 +309590293 +1358986213 +1172081738 +487058983 +318559285 +448990613 +637391584 +1404753443 +820863346 +616689984 +1304120208 +1964569786 +988149217 +407235332 +1952427377 +1525064579 +978753792 +417262186 +831537797 +917795816 +1857095237 +560653997 +154706697 +195697600 +1862490720 +714063275 +63867388 +596113757 +1753041778 +376605932 +853555316 +2062632071 +1735592145 +2025637054 +402207407 +2054151430 +327144019 +1039598991 +1311421225 +1148007366 +1656288975 +468057785 +965093504 +496954545 +875293118 +770037233 +2022019124 +1854046910 +1187299419 +706073273 +624359078 +896911008 +1266727271 +779065776 +1092608609 +981734343 +1493129051 +1156475997 +1577848100 +1098687181 +1533081929 +283919768 +1013835605 +1121190426 +162073175 +1416043012 +1027858208 +489217194 +308158355 +191795786 +1637224560 +1964447330 +659853571 +454834416 +313918227 +1535146689 +1224871649 +188453704 +1241709951 +264687420 +894526977 +1866069030 +1161598429 +13770600 +497651158 +106723390 +995504943 +1990780209 +1263199387 +425869396 +941983743 +648797669 +709789164 +1955819348 +1769988095 +871862339 +1224378712 +650362656 +1361079534 +1532537067 +842158442 +850820446 +1349500749 +1502012013 +1305654863 +1663418977 +889675055 +383042864 +1851872681 +2131385006 +647730285 +598916010 +1849970388 +1809328714 +612686611 +200137898 +1916052104 +1608191554 +43434460 +1031767843 +2034060950 +985418203 +1680565512 +596366467 +793753903 +1303069960 +1468228806 +2018132615 +1953432616 +681824692 +1403186034 +648107410 +1532645139 +605203135 +2635775 +690816354 +121138464 +892310830 +1073859218 +1973011145 +876212189 +1721589503 +424443508 +578698929 +1383434569 +1037130119 +778836828 +1152003025 +497838025 +822271288 +36287221 +384415328 +1807689491 +1716852733 +980781795 +453959746 +872439045 +301526953 +324608713 +678388013 +983351646 +1727794747 +1326495423 +368513137 +185514234 +1329131199 +1059329491 +306652699 +73958381 +2133188709 +132180196 +950170570 +1707294565 +556623704 +1528869500 +943245486 +1593753823 +160222680 +2095248512 +2091591849 +982493968 +2131535733 +328523529 +642699811 +1700904818 +1309305324 +1096659557 +425860216 +1610832277 +1421268270 +1104248229 +446700275 +1001579369 +283260005 +815213412 +1187093603 +1612391204 +1874542903 +1493746302 +1686349585 +1860247965 +1625926499 +489036508 +1420058882 +35066555 +2017906008 +215820720 +1628820379 +30645040 +163585584 +1572928580 +1013139008 +147637669 +1901452109 +1655838819 +1848542488 +1063273785 +605014728 +126919056 +526622414 +2026282998 +1231167285 +973322690 +880378719 +1514427290 +1788536102 +2067472322 +979334846 +1515595358 +1413734977 +518200784 +1228359675 +892177828 +1007237292 +500934909 +927244383 +877659652 +716755629 +408581114 +908304692 +880341214 +1981509694 +1921443700 +1027978883 +1735478155 +1429798871 +729037723 +651268292 +2034813599 +855956779 +1177890707 +1913612949 +2087124065 +3729749 +646508020 +1454067707 +1792265851 +566496694 +285918906 +1160377561 +1980231671 +804119690 +241253588 +724925851 +1811356982 +742188497 +1652170235 +541532986 +1458944127 +2060751349 +1449837678 +191801693 +1894777396 +1223797730 +1219780576 +1482771903 +506112953 +1948818300 +2134040196 +393442904 +657291431 +1164447255 +159572205 +596931848 +1168177004 +806080225 +2050999556 +812959207 +1372576919 +189434814 +1973336769 +1205324943 +993554504 +67106709 +1930250794 +657427838 +809295207 +1434937381 +1198960824 +120755686 +1348205083 +501314854 +312557379 +1095498831 +1725112584 +1532337955 +430787086 +83741889 +1333672607 +417343634 +477184793 +1990964039 +1581790889 +636756998 +440412239 +602484245 +1442837223 +343928147 +1415443453 +667930494 +533362961 +1241296574 +1873255437 +1526917465 +1308403283 +1656022584 +36861655 +2117698490 +943476317 +1235822479 +90970528 +144197752 +1737137333 +403527907 +1239696583 +1314766269 +1935865863 +1670483670 +1398508158 +1122054822 +2087827304 +1875692951 +965535213 +1522134546 +364966301 +1405947453 +2124618791 +1807803524 +1749875600 +1392578596 +328250371 +135754914 +486391522 +54022160 +1662672379 +1794794806 +1710044744 +1699534035 +1765009648 +506037414 +787872866 +1855980177 +650235166 +377526552 +112024436 +1889931750 +1692292821 +2047890299 +1412931772 +943317332 +1022461474 +1353275428 +671526635 +1987996687 +727926326 +1036492937 +1246460492 +705061470 +696812813 +848852445 +2097640066 +1025063184 +984607359 +436547941 +1079085345 +499796090 +83859099 +641646441 +51846477 +1848868747 +1147683855 +839719344 +1557365276 +1797919022 +1217245896 +1669389713 +1540367124 +762055069 +1569796364 +805815248 +1705372401 +444774190 +11607028 +229415389 +285287230 +739533355 +1265908326 +1531747722 +1444594825 +1962721139 +233116519 +1394751243 +840300676 +1217723878 +1831299184 +1919386021 +1717519969 +1915158283 +413548814 +1769366446 +1616543383 +1561232670 +461602142 +1026425011 +1211668044 +1678848038 +548331076 +604551520 +293419460 +2118127441 +1410366768 +1998791861 +415417983 +1421973796 +80723602 +700705213 +14023503 +1346631928 +84969288 +1458618328 +1161869420 +318085807 +705885924 +2002170096 +1535809686 +389701460 +1774072469 +1105846007 +157376096 +40137635 +727728805 +1773919479 +1601370305 +1189330948 +652860842 +665554701 +720695338 +1201191919 +1270106221 +1014114798 +1171835712 +532989341 +865423012 +1587253695 +1954963138 +946146614 +140475261 +1968986641 +145294895 +225444549 +1280121322 +1307164315 +543530356 +1986007246 +1161850763 +2079340042 +228225058 +788439584 +1037702401 +385601154 +828577219 +1765431207 +12036985 +282463877 +807278507 +664897828 +948018578 +1527973845 +1866089747 +70641152 +394604996 +890441811 +603630493 +1260028008 +330211858 +411109983 +58690974 +470687119 +232612977 +203985869 +696131668 +1512734299 +1511150184 +1239662025 +1351257897 +525517299 +1171518419 +1579482955 +1313956883 +61737173 +1965084110 +2142534103 +1827168380 +1977121095 +277514332 +486963239 +494535275 +1225532910 +2014937084 +213141374 +1296174062 +262058432 +1103583185 +1899804556 +1522086440 +1433795044 +163430891 +1580777415 +1904482163 +396043868 +1784763284 +453130184 +1908778167 +1148429821 +1692792209 +1112552416 +1673947120 +716826980 +544551724 +840420356 +778564153 +362152186 +835470811 +458248885 +191789633 +1112985143 +945212124 +686324909 +191034405 +812665561 +899466283 +1487208468 +1074723993 +2003049469 +1239529376 +449326786 +1289360865 +1402960267 +2030104201 +1046359380 +1799004136 +1667383837 +1499489564 +1560298655 +668330010 +1044798125 +525367424 +194793483 +1761625106 +1069919148 +1035213839 +392705611 +1432071334 +1870684650 +850954497 +1623860967 +836186145 +1796166621 +162702228 +1027220550 +461348534 +1062168512 +366945370 +1536072528 +917734333 +1606474746 +1985399314 +59611550 +861951366 +1868019867 +1105970930 +513471854 +1387920056 +457976847 +2073770509 +2056250067 +1502774972 +451654285 +103559902 +1116916430 +1521573433 +1138773741 +1509622042 +806161119 +861974743 +213092891 +282538439 +1698160888 +2009259512 +445240667 +577897790 +323124399 +1507409179 +944843161 +1859196927 +277659864 +403834259 +1697112593 +337271414 +1265785625 +1417648812 +1443242345 +1779257479 +658085220 +1901219192 +1705544341 +566851639 +1256510516 +9714978 +670411541 +225943299 +1531288412 +1809185282 +1735565341 +189965883 +523676377 +1948658232 +472504322 +74353617 +1810434096 +917744990 +652251408 +2133558495 +277670521 +1597094569 +1845271774 +555330386 +2000928828 +1394900719 +892601800 +1119230806 +665065883 +188360497 +751004637 +1323151104 +2089579689 +309065330 +1890002743 +1198606558 +318780309 +412930637 +1424549857 +1850068721 +74632271 +1012631550 +2040034604 +598308649 +813806134 +365055279 +672662266 +476756582 +1282800269 +1324913674 +462831430 +1560470790 +774524595 +160619556 +2115801176 +627969776 +1555520276 +860919329 +1747200582 +73102511 +1049279826 +350721571 +1396253615 +991375868 +659786902 +1138772711 +42498778 +978567211 +1551703348 +1467048635 +681152284 +1626335619 +332196537 +573703240 +77160620 +1146002671 +938758519 +749822887 +1622759253 +74075140 +2074736561 +2085590683 +1634545931 +701777509 +98726592 +1602863459 +1329747285 +1654246868 +316299140 +929464219 +1727349379 +1365578967 +1280185790 +976119347 +209471187 +1939972692 +2114892058 +251969965 +771056255 +1519111758 +1719018600 +1452208539 +997963729 +2051215137 +2025911780 +1075124350 +1049734160 +817186651 +1824947237 +525009765 +891261792 +1752200150 +463116801 +378324075 +306494011 +561843393 +1981187534 +1636241296 +68606613 +150003027 +418221867 +1795955992 +1515581994 +1698407658 +624591691 +1725053181 +1490896702 +592000101 +1977023146 +114469310 +2111111859 +1548558098 +1566677849 +961591941 +1452289587 +1445105981 +2036716291 +354540099 +114808985 +1714179880 +879549864 +1006070777 +1318896382 +1342666665 +1384394852 +1625390394 +1904510058 +1218098738 +1114148042 +1973116671 +1368101765 +1532369910 +1621589016 +736200111 +1083293920 +98697059 +313769644 +426706974 +690697161 +143309142 +541176284 +654325372 +1691867240 +2107854134 +1615917313 +996673179 +1405476467 +1505149956 +1351213278 +1520285452 +1071846188 +83279495 +378872581 +243258923 +1425946160 +1763267433 +1868649317 +1182972571 +833882524 +835313711 +1008605594 +54500641 +220199973 +482710962 +790700753 +1303493893 +581408022 +1104470397 +1730200868 +1272105183 +1247779540 +123893504 +1926430555 +792163132 +84263990 +1394864221 +1788836312 +1489740458 +752530529 +992565942 +862542262 +1824376718 +1075845437 +1241414844 +2067635641 +354307950 +857198629 +1788801310 +1537280521 +1691081153 +476631373 +398402467 +1745581795 +696831347 +881113430 +388798900 +2000325240 +1462521452 +1493269297 +1583042460 +587142987 +593565189 +1706935965 +366089894 +1385728322 +1791199955 +1760954115 +1027080986 +1133456765 +366000997 +2019646928 +1995999028 +42894067 +948008718 +1089930224 +2110529708 +1302316668 +1947128853 +1751847370 +692113541 +1490726359 +80995095 +1090516008 +1088824506 +777826442 +1971629438 +1477623406 +630668035 +1286667242 +823409055 +66226847 +1873810229 +1416974245 +1773162812 +92416476 +655218919 +1416879120 +1853370591 +1682299905 +402852237 +71887940 +1554463185 +251367617 +114782007 +354988255 +1341297841 +77828067 +1657304923 +1140943047 +1829675437 +201934816 +484185758 +1910670533 +1292450825 +1573010264 +541013327 +1116596615 +903150022 +1171681362 +255780210 +1726559077 +1237908210 +2129590439 +996049674 +863587374 +74523267 +1651268593 +132982846 +1927893859 +1186084850 +535835084 +1999781799 +593064388 +787202701 +2114563807 +948052643 +2128500543 +44908226 +457873919 +1121959942 +1874583664 +659808735 +1606145700 +1637770549 +1952259560 +1031672316 +31300228 +921372528 +1934822338 +1202981591 +1177152738 +1513897767 +293406153 +1159259529 +362463794 +1156993527 +1233782797 +2013732387 +1289976374 +1014193008 +1052333590 +1825811458 +866491159 +1645397978 +465530511 +833571318 +445966973 +446547406 +878479545 +903840892 +1568507348 +605579561 +1563649628 +1027169400 +95866462 +1368425540 +2058841716 +127166690 +142314420 +1846180406 +1330148281 +1319467158 +1212594526 +1623554434 +331243040 +1575058320 +633064314 +1565025837 +1441307059 +1923040688 +431735197 +346157001 +1601368498 +1298226356 +1991554979 +2066899009 +2131797675 +290038305 +365962768 +862793572 +1193879197 +1934470116 +1468373133 +610045177 +814155869 +1564239595 +1978470718 +725513937 +1691406285 +2120785138 +424210696 +874070919 +1292768649 +1636805222 +350141705 +1624011689 +1064379894 +983206019 +1041553878 +358203305 +758763059 +1473289075 +704360307 +212647909 +624031783 +548431638 +132063271 +608345810 +838469943 +498026039 +1471139382 +2032349141 +285012507 +792028867 +494910670 +1099168376 +208784814 +325897740 +1824682314 +1900191100 +299199231 +101409362 +626778371 +1591967880 +1738214584 +976920076 +1068495921 +655110830 +1960126096 +2110049799 +1013314135 +571405507 +1435855226 +1717674442 +784053417 +2059887009 +118622433 +916116688 +520749172 +957092376 +1414142727 +1991888554 +841957869 +1699155234 +636433774 +1336868540 +650839963 +845218588 +1662766280 +328038629 +597926040 +1961965511 +429447991 +1224704411 +1406449743 +20178927 +54140840 +327462016 +675289757 +2014266936 +290028167 +1688603892 +438188795 +1725883393 +1258794687 +1222242212 +1638286755 +1377417120 +2138358900 +11552279 +187025848 +1405017979 +2003440833 +1028983718 +956689566 +492390959 +218368610 +1607529529 +1337609548 +1881134890 +1935568158 +1935535588 +1695616754 +217532501 +1012756352 +954582849 +237711428 +1066897192 +1282044866 +913001185 +933680480 +1572073033 +454121429 +1371869275 +1150472779 +1712916116 +446627840 +641275886 +942849588 +437503092 +652828165 +1129875437 +1842521072 +508785350 +11375507 +651726990 +1001176310 +229744117 +111772871 +191302210 +2110879007 +2047341029 +2126837798 +1659012113 +117389882 +992110502 +466111315 +355101310 +2059007694 +1748156181 +1268102495 +845204526 +1172745566 +1722223924 +69590154 +175734697 +1287656393 +516217994 +817010583 +83022333 +953721086 +1469838748 +1212897770 +648758510 +1978624099 +1224273277 +1300485500 +832316761 +1454017394 +1412258371 +1023618971 +1417412754 +1312115752 +1002973121 +928941219 +1429505634 +1995083624 +1395052534 +1784606944 +1906607670 +995725067 +905225791 +604328549 +20986986 +479966068 +673918703 +196721683 +1767622461 +1190136697 +1013732267 +1850644794 +2143857783 +336087367 +916058917 +645132646 +167227818 +2140332194 +1945618146 +999544579 +1446865941 +1210392870 +2023163550 +716795047 +375024974 +878653024 +1645736266 +1804530609 +726253000 +893305153 +1441653905 +485377022 +1889030220 +199396049 +1089705571 +1910017206 +679362117 +1763624274 +2106738890 +299500930 +806277323 +972987509 +2662076 +802651459 +1309074876 +918720993 +1447784105 +1476302695 +911569540 +1245918603 +328363626 +210951833 +308827825 +204043529 +927746880 +683852800 +1082696553 +425999498 +340899761 +1808949553 +1319304651 +1782553666 +146842927 +1060851224 +1981949715 +1236548499 +823384782 +513828184 +852689125 +782640024 +813329114 +1658966449 +1755627533 +815991191 +314134260 +917218762 +1734712184 +1761918365 +246037809 +498798076 +860353320 +574401435 +709749909 +1169181146 +778444964 +1637496789 +1853033946 +1861141517 +2063496288 +46450059 +1522607422 +1235317291 +1829003725 +1669450350 +148684867 +1663469793 +758515201 +972069650 +29814329 +1611204326 +1754709674 +843143444 +1122687127 +1362853560 +1659134635 +1436821387 +132588674 +1246363171 +1051256104 +378626483 +1745161248 +1911609425 +953027918 +307427509 +933306923 +1731472883 +1944924299 +638857221 +1445130752 +1860936939 +685307280 +820254527 +948770582 +366827357 +342221229 +1097455450 +2030297150 +1100736430 +2069525100 +2060111480 +564457108 +1676751126 +755771276 +1687144236 +892121038 +267422263 +976481975 +1024709712 +1513785434 +2027738080 +1403336195 +1111463034 +1791863857 +208880466 +1418890544 +577687132 +1940353349 +1216331195 +1216544353 +1238000453 +929784486 +1901851633 +2058254980 +1878555068 +121195342 +252992561 +828526870 +4008845 +1353728991 +750568322 +2064120325 +1918186100 +279835801 +672407953 +1457846688 +1171956839 +939830216 +286845015 +49182904 +306132002 +167099447 +1452519099 +1417595037 +1958963304 +1661399565 +689001933 +389166788 +1454269266 +1905333128 +1605711141 +544786072 +687633966 +1360079126 +455557404 +418705386 +1481274469 +708549966 +1247232257 +1485283314 +2062278957 +1997800579 +1401919991 +1832981409 +130152732 +2074327944 +1143344449 +1302109572 +866674512 +1430189465 +1351292476 +1172806514 +1597288912 +656327927 +442917903 +1408768569 +170243845 +1131919836 +1797935357 +1624513111 +889769316 +1256162851 +21815535 +1577403282 +468758329 +477372940 +1996108669 +1950032798 +1185922906 +1095857278 +1287832464 +1100718215 +946174209 +542268807 +786215977 +1076326942 +469113103 +1929560426 +230952866 +1335787615 +1212266243 +1582245342 +361110482 +662071508 +91089621 +804028385 +2070840077 +261333466 +1935948222 +1721291786 +1885846578 +678233890 +829970989 +1907662113 +108153525 +1298729319 +237551405 +2104262194 +1101278469 +1423474311 +1052635824 +241627286 +376708879 +1998810033 +783896093 +1162924856 +927653327 +1253009197 +945001634 +1158606193 +441313164 +9784230 +593367887 +802423646 +671855738 +684457509 +1606452032 +595212167 +945790975 +1394916606 +169020305 +684153905 +2073150496 +998991295 +444332371 +33820373 +150236966 +681883776 +2138082567 +1251515435 +2105358088 +1043234743 +1493142721 +334583319 +894561129 +129555167 +1497508175 +1822214456 +1382564364 +295026161 +833337002 +1823877528 +304810391 +1426704889 +478817527 +976666129 +2111162398 +2085269559 +1571878296 +909469726 +1332702517 +1740898602 +1593623631 +1258369365 +592406249 +2037956002 +1292189739 +742643215 +572356131 +1282788658 +1994158650 +530230571 +178539754 +1339817724 +864813890 +1073100883 +1469372891 +214838417 +747831691 +704453607 +509864578 +1581168693 +380847487 +814674970 +860389935 +859665014 +1791341099 +824068685 +797450925 +1215735748 +1733538411 +2130153442 +809150702 +1179678395 +1241039160 +1401556951 +1070150749 +385745251 +2144200166 +1642506880 +1668533909 +1990875168 +25253803 +1847073663 +1183209244 +890067693 +772690898 +505098487 +1104906110 +1520522590 +1209552094 +1614770689 +954207635 +1590399582 +281962011 +1814597570 +302580948 +2073303110 +491182608 +1100031874 +1141555210 +77237371 +1082701668 +1950705912 +1256915766 +176257180 +1204779215 +179582868 +562002431 +1201495733 +1822089748 +83052693 +1044887254 +1847343552 +1930126356 +80612850 +589927597 +555333607 +585711338 +1694833708 +2075856197 +1795263432 +1162120749 +882580184 +1238179366 +1444082760 +549694107 +1540760315 +1369902222 +1040876715 +493308541 +363973785 +1118114086 +1576010209 +167196049 +227546205 +1752267390 +1371975265 +407129073 +166786173 +425987350 +81735173 +249838866 +1470874604 +1929078725 +32481575 +1551487455 +371522675 +587815182 +2137198793 +2066356383 +516187731 +1784978577 +1080993484 +1398767915 +875674296 +377592596 +1948462022 +268950963 +1747494818 +841855089 +762259504 +2111468603 +1959969176 +190786065 +131181005 +40031733 +1943053455 +1503156270 +447160806 +2109839629 +1929143620 +528895979 +212194847 +1252534577 +310491057 +244676422 +656538384 +682013732 +832491604 +646253529 +600886467 +1348679335 +283748458 +1681879951 +599963603 +1159422754 +2059472547 +400941977 +1428373717 +1659483717 +1242797067 +43149573 +1623468673 +1055282595 +233935639 +1754649678 +1095314328 +29505446 +1110322300 +1542475134 +2139345075 +891982272 +2071371113 +204056275 +2144516849 +234378522 +448732697 +653571585 +916392254 +1281224302 +1299825114 +1517278721 +482419989 +1583573573 +1051675024 +1082383592 +595512679 +963663923 +1483325570 +2023886397 +475663993 +578638989 +2067035970 +2099132666 +1633921584 +153487961 +1706298696 +581752264 +182993408 +669137348 +2124227398 +174854835 +1561119620 +2048114863 +378911110 +1558152822 +135009738 +827643808 +64240759 +1051401992 +2108868110 +1364065874 +421197066 +443804451 +800155799 +1472872090 +1526188044 +1395668478 +289052366 +862029966 +1272071227 +764716359 +1440668955 +1191623550 +716365377 +927106891 +1345111511 +275180425 +1508859155 +1528104919 +944317773 +1485602905 +1702959755 +357953745 +1386234120 +2081870865 +1916106567 +1521243858 +762031025 +1980347327 +425162203 +723415487 +1196929553 +846359269 +1167219939 +1997085352 +171747711 +545924335 +1245270182 +460800077 +1407954301 +369857762 +1225516436 +701139608 +1561481312 +1941881813 +1628246499 +759109175 +69578590 +989622006 +139730447 +1013896363 +327741263 +1842690202 +1371850109 +1713975383 +1777077419 +1140473028 +1087735594 +391624797 +973336707 +1512897797 +1115040284 +22782612 +211773418 +134776575 +2019867964 +383521129 +680700910 +1117654499 +844321207 +2088655211 +1487512261 +2069837643 +642311171 +901509925 +1864235809 +123074022 +1660619100 +1933814399 +1112696028 +1800349547 +800227115 +1440437291 +1495556101 +24593576 +1006929027 +1125149873 +1165066604 +2094664621 +1516774670 +2138403312 +1460078770 +484331306 +13702276 +1671852188 +619107882 +2033570241 +2055373317 +1299808792 +1003741092 +752210876 +1240980356 +343769705 +674564872 +1883291527 +1245279630 +391317033 +2006365550 +758415082 +177647784 +971577930 +411280982 +977874899 +264531574 +1906837083 +1002468475 +1271460601 +884503308 +20051432 +1218641574 +253794330 +10971096 +531236696 +738125637 +24673372 +55605236 +1357233519 +2058243613 +2110978553 +509558663 +914501057 +715705782 +1750539019 +1258270762 +1390270654 +1486346899 +356066744 +1781587687 +1345228801 +1114481827 +1959235471 +169323083 +1525762809 +789626723 +433854657 +1285116244 +1792095198 +1705315258 +22135905 +1812146630 +776473184 +275930235 +1823117726 +1307709880 +1014055872 +1847791099 +1363315116 +223805743 +1758551064 +1326810022 +733364407 +525568474 +2042515804 +336419778 +1783839236 +1285302810 +1822766677 +2139905981 +919406849 +1020511830 +1106904160 +731158672 +1189834914 +485183321 +1520785395 +1623689571 +1770299565 +1165396946 +1181521182 +1792435470 +830059928 +1957994366 +2068365706 +505694007 +1118220599 +934937930 +206001458 +334052067 +1158743674 +1964552522 +1660862089 +1892108081 +342637348 +1555894245 +81044211 +2126476585 +693713407 +1903810889 +2118898918 +1613120256 +776839071 +1078319430 +196795281 +1966673985 +1563502751 +1717580676 +1442879909 +1186318668 +735493974 +476917443 +831270491 +1565553903 +287428161 +752152549 +2071247910 +1405648760 +1687090479 +129765720 +1739700828 +698350505 +2094318242 +1253079269 +442974938 +289471943 +661489867 +524019150 +268464880 +1355203274 +280346391 +239880150 +820839883 +1057185462 +1318199580 +1017635164 +876375800 +734218683 +587732192 +171772061 +1920537351 +1323226167 +648689504 +604324194 +741296422 +936117665 +1356476743 +665060684 +194282778 +896083575 +794826404 +1933983606 +1594434080 +741660998 +1039579227 +2037409019 +1031132941 +1701069094 +413944521 +1299597821 +908788721 +694290912 +1539477971 +1729628604 +1751476374 +710193903 +599780120 +480368526 +1444412586 +1187512312 +652140587 +1217466290 +363254831 +1300830091 +1821790484 +1104551253 +89464109 +1030783580 +1769611937 +283746887 +1926867155 +416954693 +70246845 +1373817587 +1158615692 +1109826072 +1263742958 +42264985 +663411519 +1677687479 +1341862807 +1572200240 +224494743 +733857130 +1154345196 +1975971118 +1444051034 +1754125316 +308855996 +740979972 +794153980 +960996584 +1958446262 +1157408812 +114343027 +1632753099 +114476417 +203807136 +516053031 +1884088355 +487554023 +295436538 +153559400 +557800868 +1669254125 +1312175092 +1667626941 +785513436 +1354440078 +183554812 +315717267 +548819237 +1755755052 +540212011 +1282676367 +762616600 +368699481 +579243753 +369258268 +677555477 +1320223726 +1163412248 +1638552061 +1131186340 +173337412 +1752895089 +616455791 +287813830 +1956702225 +1132508822 +24418537 +296772601 +1427945360 +177977937 +854573469 +949715838 +1490153030 +374716762 +1735229274 +697109460 +558271574 +2050946541 +1245928697 +166542978 +443674904 +381121416 +929159578 +812374385 +960365170 +1298417846 +1489929863 +133105248 +314346447 +980998276 +1264291588 +487683859 +586409717 +1880747380 +775497689 +395628295 +865772554 +799916226 +692400896 +146234267 +977894164 +1546974365 +1095950105 +320563546 +1921691128 +683695731 +1017673006 +332479054 +587158624 +116118055 +499022033 +1030833529 +497239471 +1428181611 +1843207914 +1457604641 +579115810 +1185654129 +1590709889 +893462257 +19168758 +707517830 +1381146116 +605578475 +440781562 +9160158 +1001206770 +1306554116 +809076384 +1693607666 +1452788383 +1786970548 +1093098384 +401254840 +2107534094 +867305864 +1084950571 +977723452 +1199784918 +1672109196 +1093841507 +1698806951 +555459077 +1591080979 +979504915 +251183343 +901201972 +1558620725 +1436837473 +344428214 +304599334 +1456006231 +1051946044 +1685745450 +2061584706 +1492727606 +1694905608 +915307829 +651798074 +356498345 +461431847 +2104586458 +2143468893 +1554530231 +358357650 +2103519340 +274352447 +1443308222 +933759144 +1474137366 +967933770 +2027600652 +1025460669 +1523392847 +1471197983 +2004965584 +1774576190 +224916307 +1416102661 +1063930015 +569344521 +1720701995 +372452598 +1621290565 +1258963798 +286553657 +966534523 +806385758 +1201861486 +1618332598 +1162884103 +1663293333 +1575435408 +1158869349 +1070339917 +1933793058 +1114905041 +1344692364 +1229617632 +2048664185 +671346082 +50067754 +1928781189 +1696806752 +1573460601 +1252495524 +1554288688 +1200553144 +1477411832 +822907702 +116999511 +2046756353 +396126049 +489452110 +1520563271 +1655089847 +776005767 +339614146 +313991958 +1977867253 +1957946744 +1476876061 +1493676938 +1385898504 +488261762 +416533207 +1172207915 +1603166803 +1761225572 +254341899 +1504347341 +285088006 +304409654 +1285644882 +1981894758 +1877870255 +390656759 +1388699799 +930939751 +1868068591 +64123853 +1047939263 +1767341296 +460249902 +1537391373 +1140420919 +2115339750 +165913492 +1480035066 +281848060 +2143780745 +1290498162 +1758724121 +1489974035 +528913019 +99502236 +1906507243 +1701120934 +1702669039 +1520249167 +1955462833 +1059532732 +1805337173 +112388839 +197693967 +1639748284 +1990259095 +588350726 +880964435 +773715198 +308935669 +945088288 +1821654461 +2076276965 +1405338190 +1211562186 +1069214237 +1373194292 +1377475678 +401765655 +1655042352 +1373772775 +1692263817 +1266282826 +716263163 +73693188 +1365785062 +475286758 +1774814122 +920970453 +1995535925 +1582793308 +1980503186 +1653389450 +1695182147 +30713505 +1145654086 +1537957594 +619064231 +2026618521 +164189145 +927999900 +824223161 +1985843606 +856793217 +82077704 +1049922145 +1926007454 +1455271996 +279914175 +180289461 +962830701 +1653686951 +1872553279 +81629879 +222466466 +1946246467 +1447414941 +697753224 +1573576942 +220901746 +545805501 +1008886602 +53921284 +51711303 +556585101 +84634789 +1197365390 +2094542696 +703699020 +1076500263 +111248193 +1631698920 +1900723425 +2097091799 +341008490 +1982801129 +999530296 +119532296 +1290589477 +1279444472 +299821758 +105936530 +785647775 +24891389 +187566409 +1008114241 +1971137856 +1634981350 +1705867465 +1397231150 +1855883097 +104189318 +258634104 +1909804381 +155900621 +815219206 +1994439171 +1353266011 +762278254 +550654543 +282282627 +873526447 +34869816 +35522404 +823134598 +375878306 +2018323533 +1822664895 +495410602 +1161429362 +954625719 +795232360 +1267365893 +1740273494 +820123749 +1454932302 +600904087 +643777958 +942430005 +159287904 +2041009108 +650829454 +263477222 +152159565 +413150187 +419377843 +967378771 +260105710 +1772643855 +1729657025 +810760254 +2054926482 +455699824 +845630070 +2090448886 +1278834422 +1221508376 +1961288771 +954015669 +1716918978 +975234485 +1908641388 +364667691 +95116730 +1501431234 +1184791440 +1550049033 +2102335321 +1828569398 +344995390 +114139577 +1722094859 +995824844 +377616799 +1874254424 +1408975031 +796994643 +694149547 +1669080742 +422154850 +276322924 +332357348 +329597684 +732022748 +1177987418 +272562922 +2010857170 +252012146 +86368045 +817389192 +1968931124 +1061602530 +578546932 +186115167 +1156719261 +2079978167 +1370906608 +559284646 +2034829840 +1051992358 +904280036 +1485770 +626603569 +1900104880 +379102569 +353374345 +1161596263 +1176097212 +1047523892 +683193357 +1598252062 +1323846816 +1015550705 +1927849746 +2055869564 +46054475 +52929020 +1919243087 +298066621 +139297065 +589148631 +119514098 +1200899596 +1167695563 +305629265 +210135209 +1100190082 +1676535873 +769419855 +987536275 +581044584 +1673699891 +989022045 +1207648153 +1426321123 +1368124614 +1561022499 +440433738 +396738179 +461062743 +1123627096 +1994990241 +1784909560 +2139177801 +1775356340 +1693295476 +37748629 +1828285360 +1465054915 +335815250 +1967582426 +2054203546 +455329348 +1020998374 +1074415462 +760958614 +1231133583 +27121896 +290010839 +2000553438 +1014658171 +871055423 +1526769681 +2003680216 +2078703577 +805607156 +1224321183 +1492242428 +1246040894 +1621059362 +1953305171 +222184342 +1468565955 +1590731083 +213878496 +1096438647 +1136542912 +251627125 +777240360 +454114179 +587442375 +597339138 +360834078 +1042771724 +1618337512 +1435249540 +1803730338 +701987447 +1462371436 +2093741177 +555057237 +329545960 +817312953 +2081826918 +185742528 +748532882 +739950426 +1410063711 +93291662 +1985991320 +883639425 +2046596833 +60692015 +204721733 +1489844269 +274570511 +1301160380 +478903533 +526197636 +2078400740 +933017712 +1113640011 +528256230 +1293851790 +8928087 +2146593742 +581617682 +1812658425 +701097541 +2043989119 +1758915955 +1256154778 +226051431 +428745260 +1190498048 +411793959 +1177278142 +1930448474 +1821857671 +1270569804 +1768956147 +558013448 +1169682989 +1829648162 +762735181 +512043610 +2104218673 +2063895562 +990947143 +482932661 +1994812654 +1923964856 +1596572672 +375585237 +1070332998 +1605500760 +374695331 +1651950681 +1270675537 +1075792873 +1548456152 +882107844 +184464003 +1774507583 +1310853104 +1374962052 +38817894 +340647598 +1157926878 +1860675565 +1611217402 +779399377 +271205366 +633416744 +461563891 +1033940547 +1145460354 +418298916 +950352461 +2136407498 +901231577 +797681468 +1912888706 +350320602 +1173266705 +835738056 +1955821362 +1547962036 +340205089 +1079013251 +476271261 +1888661241 +1961121096 +660735265 +1515685176 +1124490552 +2035697317 +1554503071 +1465138151 +1046140547 +1267694988 +928871905 +1825539925 +1538900354 +1562288649 +139620168 +425357254 +560265356 +557919085 +1375709715 +549189206 +1459150662 +25907535 +314594264 +1809471264 +1199174240 +1150332320 +1617808978 +599652629 +1490537410 +549338582 +1075923890 +1231715003 +362976030 +1736659155 +599916532 +1487466582 +1624872824 +6935955 +805121085 +523529724 +1274630943 +1733992991 +201586001 +666047650 +1148797992 +341206169 +1091404904 +1709063348 +899125254 +319630971 +110768906 +210792269 +345538507 +425363170 +2020263533 +1544712747 +1575695491 +1490588864 +2144365376 +918749253 +2039927446 +1072805619 +2980608 +255419828 +661981126 +602897140 +1742886410 +139370303 +609833095 +400523848 +662900027 +1884464039 +2134516839 +864486028 +403028041 +1135831183 +1205692197 +1494432945 +697410884 +2104817452 +1814063916 +808179790 +168126073 +12118775 +1233542961 +40905958 +1556831523 +661754804 +1531494822 +1553713251 +1580504057 +1423938620 +479035222 +1583484665 +1679358448 +1141016349 +38898158 +1274761211 +1280386652 +648731253 +1675285059 +1943286679 +385711644 +1662318250 +660289059 +788739685 +650665785 +1865981256 +135688982 +1348076669 +1823315060 +1949752899 +8772812 +1991441133 +1961871674 +1242315773 +2032347092 +1371219549 +1904070577 +1416358266 +777449153 +1337090986 +692813239 +1256484375 +773092003 +224688039 +250017076 +811990161 +1499449250 +1530403728 +1460721415 +1027250661 +1326206759 +1846433059 +542085263 +1986495818 +487689097 +1192751049 +1704993427 +623378079 +393344070 +1380824839 +425647330 +402116882 +1224782325 +240035357 +1644432655 +1109645769 +1611254906 +1401019584 +378520387 +241220411 +590626922 +1071333626 +1497704787 +1363718926 +1296021666 +1747721863 +28225439 +647987268 +1130641944 +1488946854 +1675237930 +309365055 +1187896266 +69839545 +148377226 +1675585363 +1262590594 +1853370653 +151479794 +1655934665 +1086711844 +577127125 +2058051547 +164010521 +817162482 +1555000555 +1273656290 +280933740 +808536491 +1652176678 +522154152 +1399163414 +576026656 +2019858939 +615398692 +1872048322 +1620097154 +643624131 +372551943 +603255450 +2132570986 +2047789873 +912620506 +1172983604 +2117629418 +1060997732 +701085319 +1232736365 +766884737 +852565113 +741187382 +1853596581 +1429692238 +651755281 +2017607103 +99371072 +59272188 +1143779745 +380304813 +867808680 +648472775 +902458965 +119488446 +1224499432 +774834256 +734887138 +949064106 +247447762 +1378511269 +1321616049 +850703213 +1363598607 +1221922274 +1763323719 +389098563 +1192068045 +676837803 +1090183882 +277320762 +1443722540 +1942748996 +1018508144 +1149835473 +1224957586 +1670263425 +1019958928 +1324328659 +1729535614 +16255026 +1704633472 +449860646 +664727801 +459608789 +569349092 +1889227233 +1234443045 +1304236230 +690807692 +1481890807 +535263851 +2012423741 +185110372 +1898862459 +1086862368 +1948434091 +140477374 +131446765 +477788246 +1230661257 +408767527 +1921510786 +1025926605 +1427275671 +923862612 +103400543 +950055448 +1943821540 +1427729202 +532107414 +1960076566 +984879026 +981968060 +477320720 +1444487815 +1551317152 +219064305 +531447212 +708069734 +909871997 +2013338020 +1243333586 +774812091 +50964744 +994712397 +1861674459 +1999398836 +1135189771 +1993121224 +329703434 +218367380 +254405103 +103730573 +1244293985 +1681680774 +1027593185 +1347694529 +484252574 +823931077 +627940083 +1016359989 +636523996 +1612819110 +1998328049 +1113844716 +909823277 +1402161554 +1332909021 +1441270490 +2110231288 +95297371 +1307124862 +1206081226 +870109462 +1358089606 +53309975 +584300273 +1210004794 +1188499747 +429937849 +1539708229 +1406867127 +684342952 +1643438802 +503677465 +218540078 +523548339 +1851371994 +702792652 +1347479416 +331828429 +1719152641 +1984003412 +1944647539 +1569997043 +950364480 +706987169 +824674949 +135789854 +774011 +787422589 +231087225 +1307898873 +1993503816 +1101196687 +518504831 +2046813791 +1685496960 +1728509626 +1087829890 +2115434809 +1120734207 +347213370 +652294113 +616689361 +850890835 +870834191 +1140237700 +554779181 +1573626843 +340233468 +886607610 +1145295837 +176753233 +683771502 +567809232 +1127117713 +1390758671 +1392484181 +1262907567 +1391532682 +32423122 +1493994792 +551947907 +2025926938 +447707831 +1070452738 +1925257082 +2133204791 +651478716 +865603324 +2101155952 +1772212923 +1212816694 +605966417 +241418636 +2063707529 +1476800608 +1381656336 +471003062 +902943804 +1721889805 +1357610673 +2048239641 +1898643038 +2041382175 +468565225 +878277103 +1284657198 +1861049406 +2141184671 +528706232 +1893472528 +1487695815 +1080654139 +1771915819 +1935403647 +3623229 +1549689253 +1921124790 +655101946 +267808929 +1874797095 +279831221 +1480625624 +333279864 +521249858 +1396849505 +1810080473 +1902906194 +1867852568 +565540629 +1477312351 +1077979593 +466296622 +1228471741 +971878120 +934861847 +2106748845 +109051670 +648427605 +2100449868 +637757902 +394416485 +1440662035 +1718412041 +18848656 +1228582034 +1722035270 +1568537909 +1002223177 +229653568 +1836346839 +729536624 +509484790 +1169488815 +1062816488 +1030734648 +418854672 +725413313 +786157194 +139223592 +1290953942 +115985898 +1217203185 +1757250564 +1344457639 +41597657 +544628763 +1303722836 +150649327 +1193056368 +1256689056 +788407229 +1587472854 +549867444 +359335622 +1606321510 +1778449478 +2081370893 +1027375772 +633189007 +163540813 +716238963 +1362725631 +673025603 +1885727778 +278058472 +1703760251 +157098802 +1003471785 +342433798 +296322395 +146942080 +458419696 +1513525580 +1904192644 +1802877335 +1555123238 +301337760 +959116524 +1705772565 +1494394128 +68321932 +346696147 +934383334 +618189376 +706031769 +393221197 +249155207 +639919014 +1420596969 +882344214 +803459828 +2136835932 +97586198 +1476485431 +1875080062 +375644670 +1032762035 +2032178864 +1379116455 +1375195833 +181017611 +1526058535 +1833615529 +1694543192 +1282767532 +1489009216 +1102182782 +1584105292 +300642092 +660471699 +931015772 +368964025 +1007167846 +1865399107 +987153401 +1713199616 +111136656 +1236308608 +205634982 +1531733625 +2118652823 +1009094810 +1521085909 +68755373 +338096594 +1248682323 +444400043 +1370858629 +1133377539 +1823516498 +598570814 +1314395151 +1202091386 +284702695 +861454695 +337375270 +1773711911 +1963637477 +1921480562 +2074354004 +476625528 +705012686 +295834381 +1483793375 +422928145 +1282987782 +1049509343 +534064801 +371812743 +1255144325 +2065798426 +342981918 +116755488 +1439400687 +411737291 +454852082 +540599362 +856137334 +1825710711 +1673976902 +532170184 +276797877 +840888405 +1734261570 +561500572 +1702343100 +2071636840 +187728835 +1518496929 +1845633754 +114599191 +1995122457 +403162793 +410433572 +1331432184 +826090938 +1693421355 +233457879 +1360155740 +2065234098 +1488602205 +1278470518 +260732368 +1605357693 +570387558 +672469659 +2060209775 +1110986920 +1528606993 +1738436838 +637480174 +2060777177 +2015234715 +1478368579 +1647555100 +429251639 +1033228031 +1571708292 +616980474 +404241312 +1269858399 +731579666 +251880122 +1673021192 +1142013238 +1583312306 +351628482 +687950945 +1816770186 +1711784222 +605701395 +1157888743 +842771093 +866433763 +615762788 +1413158651 +1538903422 +528488915 +376661923 +920026767 +119442105 +1014142098 +833320297 +2134676820 +345027029 +333391749 +416444811 +1378255061 +1905100041 +1033425285 +1782496373 +1027474792 +1765004951 +2034376495 +553012336 +759534542 +1470205154 +904640819 +1447485487 +1139491692 +468941393 +2053186883 +149896787 +1311712486 +772136998 +765659575 +577387489 +163556773 +1294148490 +954049413 +1083583540 +1413590595 +1968191511 +1916903837 +1400783767 +165734892 +102811938 +1817228578 +1543989953 +2007911980 +703170215 +1179002679 +887903124 +320691519 +1065895526 +1440915461 +1080226061 +388617032 +198072632 +380227900 +1528108724 +667014025 +285931135 +1678005511 +1978726512 +1058068134 +296181438 +408630353 +1221624907 +1590329928 +1362679766 +157724799 +856436875 +1183387629 +2074628637 +109736994 +1349122522 +29956927 +1926965572 +745628827 +2037868907 +482652140 +1924631506 +778288384 +803343659 +843043385 +71720197 +1883569720 +1231660417 +269792829 +116313972 +612285494 +936806854 +402245108 +142807357 +768049718 +1460313242 +438988796 +1176680072 +534454501 +2029318724 +391876190 +692179300 +738271952 +1575263820 +619324289 +848008946 +776902694 +649281217 +627490871 +1522531521 +539666476 +1110143011 +1299679380 +1317954860 +1913486670 +2142722765 +1389675057 +1649572742 +1226899534 +1659467886 +1765886714 +1839185028 +448791093 +20648174 +1981992386 +1216840811 +1480961416 +273497534 +246037235 +2015415917 +155332610 +637913426 +560111570 +893604562 +65693598 +1179435859 +1741613509 +842596292 +1828717076 +221620732 +217644165 +220899905 +1331763743 +1517323545 +1538854765 +1097766765 +1512562662 +781046175 +599855859 +591978549 +293030413 +218258925 +283679929 +741821506 +238907100 +118188667 +1958662318 +1719868516 +391686201 +57215905 +1587800786 +547018812 +695129331 +428708 +1440623374 +760822929 +1179864567 +1034753235 +1603419221 +861097996 +1256373967 +1821063387 +1081997901 +440654062 +1190903284 +473369018 +1538420827 +555982299 +1254415193 +2138276686 +1147960848 +1547445607 +209051964 +1431640777 +141783465 +447959064 +1549829445 +2100445783 +20343932 +1941515646 +10178041 +1608144718 +341050810 +705307372 +1608573426 +1781674185 +1466130302 +640954346 +668943772 +922065875 +1502052342 +1925317740 +595645614 +436566595 +218488154 +1786548899 +909935613 +1756908982 +195047550 +16867159 +1747702020 +1343008398 +1564312766 +1956753984 +627165527 +1706096231 +257229400 +29511324 +1659058367 +277573333 +1971026971 +1669236408 +1885718051 +164594133 +227060132 +1346807830 +1946268318 +1693190434 +1987762176 +467728443 +467772662 +1342330870 +245562535 +1063418276 +1778897465 +464050689 +702483527 +541349430 +73476023 +897531077 +558216589 +1821178044 +93055827 +2122529355 +1630448380 +720221355 +1681141939 +1887677781 +749732679 +1192716658 +17767466 +573276002 +714469418 +1903485517 +737870136 +941529550 +1102809699 +536654806 +487236337 +943088227 +1004383249 +955008999 +137935449 +1249945784 +2018427275 +1916832914 +1713996474 +573427155 +310698697 +1787472497 +1470958232 +868915286 +1461166893 +1564014060 +843960994 +944131626 +136751767 +377619285 +684325759 +886484446 +1570335943 +702093225 +1459760449 +137321713 +458095094 +50146937 +1078851263 +1560904794 +586801743 +1566087600 +356509373 +1591184993 +373612951 +494444823 +693647129 +244556579 +263794089 +260159955 +817983734 +574492786 +2047632453 +141458318 +1443408073 +1361315698 +1705472378 +139885419 +157963676 +1842224145 +517504704 +842289435 +581224944 +2087840647 +1544382660 +2040985393 +77678712 +2002477755 +2091132330 +1156529975 +1415898901 +530450425 +575133928 +1772408274 +2121635418 +948746879 +119369449 +667798900 +1193303458 +383163539 +927958855 +2011287192 +957656325 +828107660 +5261863 +253580750 +41939711 +1710734241 +393466169 +199903387 +1405474739 +910970873 +1042192823 +1986699683 +851327872 +439091835 +1880201428 +929006584 +294085942 +1823850110 +2085536560 +1709984843 +206816887 +513186840 +1334909470 +180968658 +1461933719 +1454278919 +848767558 +507753530 +1837442458 +1776726413 +371557074 +647615136 +457350426 +376818937 +901195886 +499290137 +2087553179 +1294662056 +699193524 +1345544270 +58149281 +1741386347 +1184760305 +909477154 +32994535 +917478085 +1838483738 +327080477 +593844547 +1776536650 +2037065321 +800661434 +142239842 +1224491143 +981630092 +1604173562 +531286414 +1830397650 +2111927092 +221245225 +1459640416 +336000518 +868860361 +1916990842 +712819456 +1770056247 +268797331 +652888987 +917234655 +967990855 +1998433257 +975383937 +561893555 +1035709914 +1884861091 +594888090 +1953187999 +1575861181 +921968567 +399548898 +1204914184 +811550240 +1200210332 +1347154026 +2036041383 +34356777 +803843940 +419844150 +1864754427 +768287384 +641089375 +1176911195 +1104287903 +1509949736 +946418389 +1817107359 +1132522335 +1215215720 +322512698 +2049756991 +35722928 +173462307 +877657280 +597616483 +1209172221 +615034723 +1192504573 +1014876572 +43412256 +2114473140 +1414425470 +1248326440 +778539733 +467152154 +447996819 +667097468 +501508931 +1251840759 +1086941618 +218779711 +2020128144 +1728030993 +1395690906 +976932399 +1090497081 +194625648 +646556110 +75535769 +1409841368 +969068808 +2125292760 +1445564296 +1142531115 +855466392 +2043180779 +204219688 +1470501115 +1088201704 +1219096260 +1513913371 +1055191197 +486038082 +614756164 +1833730930 +953190236 +1062752983 +353344750 +1454699168 +167110094 +1440286369 +1673478879 +39754590 +1020833714 +921686137 +1016686989 +2111330796 +1116311785 +1663243099 +39382917 +378669506 +484828259 +17192029 +1824233802 +1627359374 +872658421 +1719930934 +1831579062 +195675888 +660648990 +903191674 +1709589259 +1715840187 +1389229756 +176861775 +1402087469 +194936345 +1239614758 +1755432220 +1649635513 +1406724853 +1048234941 +1175630744 +1446479443 +2069068655 +2097316881 +315682785 +2032915803 +1066145019 +1978925884 +2072298720 +1444814525 +316270496 +2089490749 +1121564679 +1943629870 +814665522 +694011965 +1627725285 +1010341410 +1354660956 +383433311 +572447022 +923017495 +1772663068 +749308797 +177621317 +1967599413 +1988923556 +1933053537 +1469751278 +1248164761 +833804830 +497898374 +547160556 +755389837 +447731607 +862843341 +640821993 +1513876626 +694285578 +565637065 +811207503 +1010556074 +507644167 +1932772183 +806702296 +1322309689 +479300500 +286943933 +185167452 +1833961456 +670377245 +757614474 +609495304 +295556665 +1506923271 +787116621 +115672430 +1348363179 +572686510 +1585423708 +449044292 +1406491340 +2083322082 +996204849 +14397529 +383570041 +1859048190 +655219522 +1897446668 +405850120 +1220856588 +561170523 +1416406194 +1728500755 +346459058 +75624843 +903326796 +825759559 +362568776 +1088494248 +512237367 +1032946021 +1846108722 +1121732671 +1328502686 +1205548346 +1908849292 +1444175116 +406427877 +334052154 +882115176 +855472170 +1740543494 +817953610 +1851677019 +1754941024 +1201523652 +1563241561 +262676898 +951486672 +1969091682 +1483533486 +1512657195 +1238014228 +1064550593 +1859116254 +1313639071 +1967877390 +537392165 +1676207848 +908887990 +1049629532 +561670221 +607513065 +23878556 +1890172908 +1813061411 +1932727848 +1186864376 +72005640 +119296355 +2068979553 +927477810 +1859839849 +739449515 +631671181 +1467297225 +1940973167 +47429095 +1729974124 +744976191 +2016520777 +1066023962 +110149739 +1107051357 +2130574556 +1969265993 +273206781 +1950968298 +359174510 +1949414629 +712372640 +1408804042 +363601202 +1319885705 +1432682598 +106290462 +985463468 +1217926799 +1293154839 +1057469109 +1337223154 +1214650744 +1984946919 +1049579355 +1954100259 +469134453 +369392933 +1747589779 +516563548 +2099367057 +345082322 +385600677 +1017907371 +455232061 +1492652034 +1000998279 +277014406 +1765858815 +804482929 +636188916 +1567789796 +1516855570 +2044992959 +1931390999 +689257627 +1330191909 +2037681461 +1674721096 +400635060 +1183352652 +584706557 +1737858214 +250519748 +422169828 +639953922 +57136360 +891304281 +1009346855 +1804726139 +1407867829 +961230264 +2324813 +1793468506 +1979137635 +457556875 +1138636893 +832652267 +734571281 +757012060 +1637135196 +1370760198 +177318209 +1006507118 +1268269509 +2108709208 +1695764746 +450977770 +1998907021 +1223002194 +851612831 +1034776026 +1807708751 +441987397 +1285295774 +82394931 +1081941319 +1342432134 +973699213 +2091288174 +999674625 +234083394 +905034790 +1001999439 +2027551901 +736688778 +1459556314 +1018705146 +1569341045 +46643947 +1775717206 +1058992593 +1417404145 +1953035415 +2065499712 +538190006 +1914260975 +1613780810 +989167777 +1765684349 +689299356 +1840780608 +652976727 +349524459 +135284357 +1938272501 +431919390 +1217225677 +1133220988 +1405618603 +1161030203 +2132895613 +1639701998 +2066064994 +987411404 +1519770251 +655270124 +299484070 +390991749 +77127521 +346128018 +19225307 +1136120114 +1763532163 +1972260723 +1054136178 +154238522 +1739038050 +520433340 +1143406299 +1357238751 +1209732696 +836703259 +2010215478 +1559257155 +971987616 +1801004332 +1991176546 +41729645 +786741672 +1249311501 +1202759849 +772153637 +741529851 +1121341195 +1759565042 +113816454 +1776611319 +2059049112 +504808203 +1853738840 +257693482 +524033511 +842375306 +2021225646 +348810586 +1896511485 +27980520 +2087848636 +269461177 +1171386819 +1297603740 +1479193874 +2008090078 +1160335570 +890967381 +832594046 +813856254 +734660279 +874323692 +1600597926 +1983971781 +2077083541 +225267916 +578017984 +1050941088 +1984832958 +691834439 +680068759 +1896398422 +1196642642 +386323951 +6608257 +1720676153 +1228699257 +2027833903 +2069486739 +977727094 +2055814423 +2009851728 +1247188272 +1079717594 +1159971820 +578898498 +940324024 +172823742 +1469865879 +1772918070 +986679997 +57042511 +499758114 +439794275 +2041014292 +429358007 +665062191 +471548628 +1480299095 +502411501 +1163383067 +12884206 +251326276 +212542062 +399208157 +257934533 +1933218215 +1627907415 +138284788 +1855221307 +458150861 +46615563 +1717589387 +1705339133 +1126333157 +730077559 +136753983 +2066657181 +902901301 +1606619863 +1692091603 +1889581298 +1663662374 +44366070 +181891926 +1557193018 +473724077 +846954117 +2028741646 +1954023173 +1349365619 +1044641066 +1966907379 +1600691895 +1257183128 +218631889 +1858626428 +1042917695 +1846539304 +1996911216 +750655354 +157206517 +2043526779 +320761093 +1862545651 +1022376288 +1050838652 +1999299634 +941549821 +1953739954 +1458435849 +486157776 +1695837604 +974614575 +530523846 +1877729530 +384323945 +1004247924 +577200000 +265581944 +810787449 +1926565619 +1310223010 +630211180 +1379773866 +419922490 +848843069 +1090916646 +1462840185 +547898725 +940344214 +66011892 +705105243 +836387345 +386772985 +420167246 +1858763633 +1437611638 +271983232 +652829806 +1243867944 +1730419082 +1138987582 +792221900 +557550009 +1669511429 +522467783 +941873955 +526275705 +1099667783 +1207455899 +1337063154 +878749754 +370195261 +1967274334 +111039972 +790117751 +668633756 +1201956618 +105474288 +1216532481 +2142300832 +171486180 +1921637724 +831204529 +558259166 +194321322 +542484514 +1995870804 +466304555 +1195314320 +1092255100 +49239989 +186818254 +1884477000 +606789998 +1856329683 +259461135 +1548663953 +235121740 +1359128918 +608636204 +1572184894 +90395024 +978831465 +1391975581 +201434996 +1768949216 +2060609337 +1403391614 +1874423505 +1129658170 +1398208798 +2045909685 +903812247 +81929679 +456685203 +1098133569 +624414193 +305072359 +1564438124 +1819728513 +1397327459 +1613678113 +2006546768 +1134320812 +72984464 +1715392803 +1393781947 +1621648417 +1950514544 +605427218 +82800974 +1375215790 +695822242 +1061632439 +619707723 +897257239 +683098008 +532833412 +153165205 +410037865 +1662491583 +1551374004 +308463902 +418820182 +1633303683 +765149106 +1516953751 +110234229 +1070221465 +933908228 +1929962742 +320065277 +400102693 +1789025862 +1454386089 +473087157 +1356935018 +700684388 +2094735575 +1159965914 +1306111606 +30052901 +387698056 +2001933849 +1091685340 +1007405780 +751707440 +1774783348 +1540239192 +904872645 +37337565 +1055247127 +308763001 +345801468 +1474067309 +1942066685 +1110950574 +843537413 +2052300914 +33688391 +1777445641 +1834780008 +353753668 +30064686 +1476322223 +1808139757 +503151844 +685773593 +361340498 +450403771 +1845739507 +1667452104 +480456672 +85953915 +1521902305 +1572142012 +1093359695 +126126097 +1199441713 +486115240 +1030998743 +1236779278 +1541362367 +1339761744 +1582580746 +867946029 +1134344781 +546047672 +1711483442 +1039162047 +579736064 +1341445435 +726458408 +933489732 +1371510121 +55296983 +594145842 +1874661965 +741070576 +955486340 +177582088 +439326435 +475454796 +658038760 +525280350 +1997357102 +82697125 +1618640046 +2123483199 +1282138838 +2104755286 +1006998294 +371434468 +1498634005 +199276391 +1954015215 +219096386 +1333621172 +352579239 +1930579828 +225299572 +932315303 +1124541615 +951757980 +1865805036 +348568089 +1007054963 +312467230 +75746406 +1748125539 +1267953570 +253328495 +39968326 +1743408366 +911367255 +565248676 +1593281820 +994064380 +36405074 +1569281372 +128719570 +2141160360 +428796018 +500154039 +1492310718 +628072409 +306685606 +1711407104 +1961693582 +659264845 +1494503285 +39509506 +1591580149 +471561252 +991267486 +1309901537 +820129341 +1998322449 +1622368767 +895875748 +1598964340 +742838689 +1149204243 +1638932666 +338763407 +2060571498 +56697694 +1932045228 +907152231 +93102769 +1353842952 +1035871801 +86779481 +1782638970 +1536025840 +1579090199 +263227732 +1842711446 +1143013656 +77437666 +354492644 +490033293 +116947172 +1946072793 +961594545 +1108214658 +1108490682 +1781723887 +959053459 +583375801 +530115987 +410534151 +1326214490 +1679320230 +2049466817 +1664977897 +1592408080 +2106164511 +1449539477 +352076663 +51783632 +655898781 +1387948465 +138563114 +291054104 +776490657 +1717653313 +554281836 +471718456 +713183321 +631719502 +826211100 +1203216614 +748666674 +624800245 +17327512 +1856881332 +1733290927 +1799051399 +668451143 +169183080 +181683738 +1078985294 +1495397570 +1861003968 +980968463 +1012891819 +1305928400 +939649326 +314947649 +1658005064 +991432959 +970846430 +898469881 +1129996073 +1261900534 +1674960538 +700165738 +1816182370 +2146678994 +1413349060 +300418224 +825406446 +469082026 +1049084898 +1450206691 +486409538 +758482582 +1036013970 +137977289 +1426933725 +1205197050 +319661027 +358435371 +553110972 +33181347 +1339403834 +1566002792 +1339109748 +131569513 +1880950441 +849631164 +1123002472 +704313223 +1748101045 +105514897 +1966213758 +1275577935 +805680635 +1634912480 +1274773282 +71546047 +1935330705 +2100179728 +540628074 +836931955 +1402902772 +1027037612 +1595414538 +291433094 +1165014902 +874864615 +1496630145 +1484675929 +1233299987 +2049741117 +1517857277 +425220173 +1468260261 +709483377 +556789686 +1201727054 +1559114541 +1679792158 +1906040278 +1159731938 +1785307055 +1724770388 +287826225 +443504043 +1212199220 +1562599507 +515050090 +1000046277 +1515295588 +1055678164 +1836978233 +770714712 +2082715777 +1284909123 +1062147806 +1100247031 +12290090 +411294303 +437439312 +1245590077 +313551773 +1955296589 +1670810251 +1781812034 +517296318 +80116289 +836055441 +2076410859 +1759908448 +594612071 +1088659149 +1397731855 +171898811 +1376485375 +1841235898 +1384098031 +791601234 +208802341 +236660661 +159413174 +1264480505 +2073638894 +930127886 +1199712634 +1211064369 +1992275693 +152476017 +1223354459 +256086348 +589915330 +321460889 +569638121 +397728271 +1992271140 +203966508 +915024590 +2072387429 +1040021949 +843951801 +1684812229 +1634634020 +1932610951 +935060437 +1806532831 +1161612678 +628812687 +1043147214 +1953213912 +837615028 +1279807875 +2112627087 +2102095534 +1205963121 +895271325 +1154324520 +269543842 +740063370 +1306800538 +1492898302 +996149719 +1896715868 +1814359191 +1565787840 +146960491 +1659146683 +1769754348 +1061985081 +1584050464 +662292649 +1905936883 +1121379046 +149443021 +1691064186 +2056439483 +1955975852 +705193216 +537768522 +851639419 +510923480 +1375383551 +2131447294 +476066919 +1329995437 +1189926768 +1371338245 +336836309 +1459470610 +2111401615 +1643636847 +804885264 +960067686 +1392869067 +471760807 +378371879 +1539829559 +2130907490 +642579 +454330992 +1567474307 +662935229 +212784227 +541369705 +812378250 +1903848413 +450325540 +620870455 +461557981 +988094062 +1472509874 +972481462 +215993965 +1456473520 +1448548381 +1545989402 +498916640 +672402978 +1882825712 +1958387251 +636320946 +1378978911 +615788867 +1596388632 +624364331 +1087549675 +1974760511 +16710242 +1070973517 +1975403091 +471041234 +490964176 +490854672 +683825462 +1032333881 +1303232922 +440190227 +1482659421 +1924103377 +901748209 +323269836 +1249129603 +1874229671 +539263801 +558119476 +1175294404 +2085253204 +1057036116 +1847697383 +1820595268 +867939719 +336534681 +1052090531 +1483728587 +1932923313 +1676454862 +423794614 +1760200177 +1693165104 +1494768131 +1588119620 +16722691 +1985732308 +2078974292 +700548153 +870582541 +1234723566 +1140738380 +205758315 +1011343296 +2042486589 +529028151 +112989251 +1769232612 +1068291952 +671108727 +797043369 +1006061508 +1728144844 +497257104 +679173128 +448600915 +833791785 +1731263660 +1932329502 +619231450 +1260234874 +208640468 +231947979 +805916331 +1703408600 +1820067599 +822639022 +1541657260 +1751558243 +1523187175 +264756153 +838798162 +516441907 +470514468 +1850141458 +411444849 +999542619 +1963130709 +33193813 +2067834572 +486755789 +830237182 +926412432 +67416985 +1327494286 +1605585561 +516017900 +13802423 +1189365573 +300863755 +633033874 +302116799 +509504223 +864981853 +1108033130 +65429175 +537565805 +1930672152 +1607086435 +141640400 +1306375679 +1871842589 +980438562 +1822817587 +194873409 +683096372 +86778788 +1194416029 +498743434 +119972601 +1114766953 +985499223 +950209784 +2041179385 +1052916208 +130220422 +1499281298 +1568934108 +144022846 +541163223 +1869797863 +777056720 +843280023 +231818439 +1642038573 +1951313153 +297247614 +32120730 +1734501658 +1904334050 +173761131 +893393689 +1628692991 +1154199693 +568727628 +1823566400 +1837296066 +655506416 +870498781 +188555852 +775479018 +1985265734 +1174055075 +1725688802 +1878961472 +79487635 +1855909224 +1230759122 +1648421743 +1999932070 +1771922346 +1370735959 +629505142 +467718721 +1602554398 +124060068 +271548226 +1899802012 +156180798 +2006049884 +1656652414 +329941929 +751959926 +1137861757 +1484141623 +1320687554 +813944510 +1173954041 +1976193971 +1684443291 +1362509893 +604189341 +1522225378 +389081320 +182394495 +1253703202 +468568955 +2038303719 +336978676 +2116990698 +1890752142 +2108901022 +1340243009 +372773636 +429136095 +795313759 +496833704 +700684322 +547632124 +653014503 +559250558 +56800890 +982956432 +1311210484 +1194662648 +319614407 +484414391 +2008607158 +1493568448 +313124714 +1545566801 +708594693 +917314055 +920308531 +1097676013 +1099708550 +26528085 +1566244968 +990528621 +363506762 +1535752019 +733797115 +324924136 +728511380 +1106570752 +754060232 +1523825140 +1603404456 +1454744554 +2071457264 +108935311 +2013995112 +2128258154 +1091891744 +1177721949 +1175437154 +1411506151 +1662136340 +1036560664 +757590952 +1975261054 +434643818 +1466185645 +745091461 +1354952349 +416378011 +1844800011 +1381480435 +1982622979 +687844984 +1744987197 +1370891350 +1421642100 +2069911333 +2099402731 +380729204 +676487917 +1475744223 +1984133660 +2131232471 +1399717839 +2093068972 +1997743936 +1380492345 +1037477068 +1027982237 +408445852 +301499571 +542634929 +1445006516 +1059090523 +370412335 +1879650334 +377792521 +1115503796 +1087119036 +794170532 +812820159 +321115823 +629309863 +1500665143 +2066103020 +2000201214 +774823595 +1988530705 +1952120297 +1155552799 +517534975 +1280380872 +992202812 +501283798 +532615063 +937788136 +351544086 +1913107408 +1975265204 +1379526323 +174069612 +129281127 +1922161252 +1619076129 +1188371651 +145089939 +1351242815 +1566164172 +1260593735 +290878203 +212851056 +2073413894 +611994026 +842160919 +1426595390 +530613398 +694878485 +53935337 +371660456 +499515134 +1209488137 +889195431 +1779896006 +54207301 +1390479229 +165027421 +991995437 +1742023316 +2078134830 +819776993 +974065991 +104720794 +949058120 +748743596 +1723796923 +2137429771 +893833535 +927556091 +1556110295 +6943623 +1218434294 +1768961351 +2080357517 +1830428321 +463638623 +1359469259 +213558071 +1158517108 +1413404597 +585218527 +1658032243 +475409086 +1474413958 +1290444601 +529616387 +717409540 +1455472023 +1521611824 +311949208 +1386123205 +193905169 +1286015199 +1490843999 +1142963289 +2034758795 +1067157275 +1132909413 +781108683 +1994713366 +541536060 +788052306 +1065664012 +163013764 +720926175 +748608685 +626652387 +2080395435 +962166757 +1785169495 +1346316384 +1547385284 +1295718090 +1821725470 +874315595 +438679044 +203858209 +1591725135 +1894151067 +1725470033 +1903674343 +1132790624 +1919375202 +1042205894 +476150975 +914854843 +929481042 +1543308250 +2047764256 +1710589725 +1390537968 +441816669 +351158383 +308718333 +604830433 +1072084558 +1057327018 +1231482820 +1004996345 +2019493775 +869168667 +203829081 +1419395412 +17403110 +2025554551 +146227359 +456082154 +81929112 +1737952494 +202749573 +1807399145 +1494143189 +1335540197 +1579290699 +388865435 +1811691172 +346661895 +1318346477 +1207515775 +246942503 +881452554 +450570095 +688759172 +1232610937 +759288428 +1293589605 +157211848 +1816615447 +377588777 +1162208193 +1688625574 +1246757445 +1366037275 +960537338 +1264160555 +1244108178 +1106764697 +1720242709 +1326037291 +697233543 +1922992282 +985952788 +43893084 +1111048831 +417759840 +432758520 +775256355 +764421735 +1751104997 +1982772130 +1011364238 +485073904 +285858578 +1700123411 +1717684841 +1045147006 +846229368 +1874896689 +714278805 +1223818146 +889621235 +255420732 +323091943 +108174862 +1215958070 +1587252498 +1352283040 +175239120 +1160011559 +530836683 +872472663 +935520193 +1516789472 +916365748 +2046569024 +1934549312 +1349124268 +674341731 +551487399 +952745617 +509630214 +1562851637 +1437819521 +795488792 +1115491400 +1008020715 +1840635798 +1961720769 +735433756 +407430956 +1038055267 +1625054991 +662851688 +1361147210 +1733229853 +1878809758 +800916060 +938029246 +2054048878 +1960927619 +1468865929 +779037894 +748964164 +838171753 +1695403642 +648049540 +625237417 +897044262 +1322391271 +1176724816 +1849789879 +1832021485 +592092806 +1140125753 +480026629 +1707584206 +662820 +173178780 +1521821327 +736096576 +580609736 +412392946 +213667920 +1243461424 +1773540156 +1946897773 +974787534 +426972568 +737443371 +881352765 +240416539 +58825653 +1660390659 +989380703 +896997406 +1208310653 +1637430243 +1522234824 +2105354915 +812337867 +551475992 +1807661146 +496875704 +1143568798 +800303251 +976902334 +703669357 +800966071 +1150081114 +78007036 +1537062648 +1730690850 +490399983 +1750730568 +826668626 +116456491 +1550144693 +1801456160 +543429060 +140104417 +535325277 +783845599 +198930070 +48232288 +1773226303 +1095927476 +1256542941 +1263172898 +470678652 +1214414208 +2075510765 +1022154645 +874591707 +424902822 +18239795 +1674894958 +1401805156 +721909152 +328377382 +404402622 +799916189 +1865440030 +2135093472 +1290316172 +1468686950 +814278450 +1406772663 +871347995 +468250962 +1950201723 +1011452412 +1003576240 +586563675 +1210382482 +1051808528 +212306330 +158826311 +160867822 +1475479228 +629504963 +1375282030 +1403506346 +1651659608 +102390089 +1828409168 +1669899404 +1777285048 +1082730676 +244324908 +2105662430 +1487133298 +1044241097 +1823618812 +1474743122 +187073621 +1144822114 +141537924 +1593846285 +2016170109 +609788886 +1396564360 +880138874 +1613365126 +1983128035 +2090521356 +517690007 +47950717 +101864019 +678557829 +1523429946 +731368983 +2053839859 +779452644 +235544943 +8746301 +460378164 +1905444347 +1786031349 +1543108840 +2285608 +1744210131 +882758490 +1046526705 +1420345295 +210017964 +1233600327 +417683761 +351555888 +679962964 +286370222 +961344774 +2076527324 +1166509096 +427226253 +1912171712 +1109546805 +944916260 +1960122429 +1211410824 +1623474089 +1336068727 +1942779807 +1529830300 +2115521371 +30841103 +1538576601 +428415887 +1936285450 +1177124302 +1971524727 +1938571058 +773850785 +706799569 +837614116 +46712432 +916817533 +2071214443 +464396193 +1268373421 +603693759 +750766416 +82234548 +532737435 +1917275512 +509460801 +297425499 +879338669 +1454377061 +110064281 +2090749494 +930367502 +1446133008 +1886045653 +312714154 +1414170732 +1916886756 +1851290756 +1842586619 +1705688559 +880931410 +1666627699 +1496775969 +1654782196 +225943620 +186906437 +1701494628 +1142761154 +110637232 +18407174 +263650927 +714330991 +769173590 +345885475 +1247068427 +538965454 +855346276 +1544493926 +1418304124 +162239689 +1654558207 +1361569970 +1092607191 +953207568 +1100131975 +1405321346 +219894652 +869535084 +1109128454 +2062481271 +427739995 +1990059864 +1581625322 +1924515964 +1497358412 +1807568943 +2111422402 +1051369393 +802846449 +74575986 +1069776567 +1066497376 +788906978 +1838950157 +1412382852 +2035975405 +230431963 +120245480 +1432985683 +1648736087 +282485170 +940060243 +862822409 +1375092361 +1893267811 +1962954385 +632930059 +2113162463 +685005821 +1742058513 +2028160086 +1112745816 +1584634730 +1462301761 +889778132 +934509494 +1122387056 +853716886 +1985878887 +1925233505 +928292873 +908171806 +844247233 +1717199851 +599638315 +109146437 +1605691608 +830070279 +229391918 +891193643 +331322718 +511877088 +1831253886 +1194145128 +1886969449 +1577038049 +1009615865 +372415861 +1542716864 +1694621686 +2114474374 +1423393303 +659883854 +1551625456 +738211416 +1549661986 +338651303 +1860598472 +255895225 +177046542 +1638348329 +1184188098 +1085218349 +335111914 +753904301 +1684856664 +444258352 +212112261 +367443295 +673650270 +1103305904 +698766014 +1185527358 +787076143 +1892911142 +925013159 +216630544 +755043359 +1297429020 +1759347409 +302181397 +1264419747 +1035257064 +962065251 +668561555 +1773468480 +364243589 +1007212858 +1486583304 +620138814 +1184259401 +977447985 +1804326912 +121994102 +1312559899 +410747565 +1806850766 +1756818251 +622859826 +26810414 +282984873 +1726165731 +725576428 +1468512231 +365758226 +471003922 +246041743 +582388770 +1226047281 +1543470763 +194252531 +1528228678 +660406862 +1229509595 +342810281 +1328968418 +855494427 +707053870 +188697628 +194594083 +1327192685 +1372957029 +1172042068 +984035949 +1494951131 +337118320 +1394783515 +1154318250 +2093936571 +2017643341 +1181128664 +229437797 +1596325424 +1906705092 +1697950028 +1962083650 +230225366 +1943991771 +396988773 +1456272647 +1339978887 +591241304 +837017677 +2000385749 +1820750900 +1179827958 +1181870519 +528761679 +1886881828 +1370568148 +723355763 +1066590865 +596041529 +1895397831 +2050626815 +2090992661 +85032503 +1297926682 +1097827263 +31485427 +1168086375 +131472279 +260923224 +616928152 +2038177371 +1958873252 +431528154 +120919089 +1755381376 +828516927 +1577191736 +947876615 +1419758232 +266725765 +800778716 +1093025484 +1446553723 +1982649236 +1621787163 +1185951903 +1205733736 +197659278 +105059121 +1801775265 +2093057110 +8202288 +1745284278 +30605965 +1306128970 +695627893 +62091392 +326731697 +827100172 +323014616 +943659849 +717793895 +134404221 +1375188004 +838712984 +1889785597 +56221283 +268421072 +690178564 +1475979515 +535146837 +1490957280 +421521351 +1981700560 +1326122868 +2043308515 +1020168816 +384372956 +93484145 +1125227937 +38664574 +39057607 +1133430225 +1783948852 +69663573 +292075547 +332093098 +131754965 +618807244 +1159193270 +454769582 +1562467094 +1876987166 +589173803 +790171450 +568216502 +331475752 +846392733 +836637575 +1021654316 +174888601 +1371784412 +365127948 +596409952 +1206001325 +1691250817 +492234819 +78686493 +2075623773 +585718965 +1203914430 +2114288347 +624776572 +189861007 +1750753552 +694440145 +481936554 +2082846650 +826195111 +1100743798 +1094556272 +1280964693 +515727244 +824059790 +1870138496 +1305898694 +1392276293 +54130600 +4807780 +81430220 +1075784916 +179696381 +1453214632 +1440912864 +776106333 +511732309 +984680033 +1268341153 +590418802 +912820159 +1854060118 +1794333232 +879624858 +331353042 +1984194239 +482894762 +1025793188 +318647145 +418257764 +1851988299 +1419390944 +1512814037 +985469344 +1935118188 +189390179 +708124192 +1093533235 +1581666472 +762254792 +1098341015 +1663096692 +1838039708 +1278037396 +968827677 +1131468924 +2054143729 +1480559986 +2116148958 +1175001234 +2070978789 +881485469 +881577704 +1717828373 +1761110327 +1212930747 +1554538965 +96521442 +91240287 +1873186110 +514779206 +1943228586 +1145093406 +2027593243 +781214282 +932727947 +69499775 +1489338474 +2026261182 +1651166247 +104109618 +977118549 +1166779292 +1942149326 +107672297 +2135606969 +926134602 +14332378 +1468683307 +894799912 +1189333613 +1392178448 +1776285381 +2070911317 +962523174 +1389912061 +1136358416 +369578491 +1486433503 +1227598703 +95280953 +2001212709 +1023343641 +1240374360 +1881322305 +1804557923 +25618659 +1950822080 +1146412749 +2051879841 +1454504679 +1250522367 +881514742 +473800323 +1045188045 +989187039 +461923644 +1971322648 +1003519417 +1930606952 +718638912 +45369382 +1175301752 +347440646 +2116280700 +2137824926 +1737352707 +1105155468 +359919769 +1076302562 +185270524 +455200723 +930031623 +1208614165 +1695575083 +663870280 +865688441 +1721193742 +467208712 +2012101190 +1625589935 +1921713392 +1115139910 +359621029 +248030067 +12844307 +1348808068 +709953712 +1984166955 +204843837 +493077016 +555322220 +250213220 +1668378768 +902762866 +219010272 +1658720047 +492631925 +1324165740 +2018639816 +1568934487 +1509436264 +326356891 +351482462 +570566782 +2021931974 +1015352743 +1436255223 +1595642068 +1482561455 +1300872765 +1073748355 +1256791199 +268529027 +1433369384 +1504821267 +281373335 +634693804 +67291331 +118056642 +839537642 +560368347 +673378862 +1089750862 +81263467 +1576141728 +1308761134 +1739983514 +2068773653 +485443226 +1611139683 +1490224492 +1994879491 +1937496574 +1841706955 +417962625 +1811944901 +709576050 +1854217848 +1260103321 +44653857 +1007606965 +186368029 +1301445057 +1276135993 +1619737413 +658782676 +1557509328 +106947570 +726074007 +1675565970 +946485212 +1286442354 +201461185 +2036236074 +1367705821 +1777602913 +1197513560 +960205688 +1698892919 +1682956786 +423861723 +1041633763 +1530352629 +213874649 +735857070 +1948315254 +2025819550 +1445433120 +1655049454 +1138439224 +1490086978 +515172772 +1324807253 +644048387 +1791308765 +797061018 +1302831063 +1201334445 +904008588 +2028905070 +729416767 +1850493800 +1167863776 +930877952 +1739246226 +388085949 +560997218 +789276138 +1348291637 +112406489 +324749277 +1772153360 +1154040252 +1855101906 +1986028010 +1889897323 +1655933513 +1864363912 +1187846795 +1163499319 +855319488 +530450125 +1678672091 +32643093 +1174498512 +1322497208 +829704112 +329845927 +376348005 +1733712700 +211267349 +1105764773 +1436722853 +1379131125 +2036642725 +1028485431 +1767217075 +450156295 +1817761570 +968025064 +562562784 +2142510847 +592694777 +1716603037 +1850129105 +431239139 +1459016712 +1358578970 +148119403 +499379859 +374594642 +1003438892 +1029829985 +2053266733 +1036081985 +56844849 +1228280294 +1865786097 +386690777 +1604628299 +1452015150 +597958126 +562909424 +741254355 +1977089252 +452068502 +1769739786 +1596822679 +902224797 +1440017708 +417364095 +1464787582 +1435044907 +1010058872 +1033906971 +1137690365 +1441298011 +345440035 +348785687 +1589417415 +844819894 +723380329 +445372659 +1874649879 +629163415 +1481454644 +1931494729 +1857443709 +1199757094 +170701858 +1314588360 +504288596 +768659984 +1877497785 +1245542951 +598265588 +182082639 +867799089 +47604619 +1084307436 +160333150 +464968715 +401611370 +1595378057 +1475027587 +1435518341 +585584774 +768841951 +1780958376 +934370462 +210775718 +478294623 +1657750791 +656148377 +205460854 +139430558 +2137603021 +2136955583 +1996874267 +1189876467 +160173793 +1163978980 +1694165063 +928833778 +893993117 +792224366 +1527099366 +1076075756 +1660023456 +1574703986 +12899544 +1820356606 +2039672701 +414510915 +1268251015 +1367216640 +1850029256 +1853835790 +2136058591 +1483503985 +640722604 +199350661 +1961798608 +150989747 +855499038 +19775814 +290420306 +845618412 +9247750 +139810925 +2035494879 +169421543 +1303789905 +1582176295 +1098255321 +50299374 +226917013 +477871040 +1126375130 +1886940469 +2052575026 +1139274675 +1559813427 +1944764079 +1553785590 +680580795 +1164497071 +1256331198 +386932937 +1153072015 +592351535 +1027655541 +1352422676 +406666495 +1178645288 +60438067 +426442310 +1469065594 +906056479 +435690060 +1608876520 +794067710 +605111603 +765182777 +228760357 +1703366925 +815482152 +455677371 +33754317 +1941857282 +195134192 +2086329343 +933648309 +1754947620 +1883609774 +339950251 +288044767 +900623197 +1596281450 +674977704 +2053695212 +41149337 +1702633245 +1258634241 +447815833 +733794885 +1319072308 +874258143 +55376832 +77645139 +1309948203 +1664253352 +871712849 +1915059806 +281952481 +1100473207 +1470943083 +1097434633 +1556150578 +1504697400 +891808268 +1751284770 +1443543095 +1825456577 +1358748742 +1179669221 +17923181 +1646793509 +2080292419 +1614204631 +174287565 +1986503983 +1655353968 +1876920810 +1097654576 +2103169801 +463232048 +269243236 +829944296 +518608880 +346888375 +2139892499 +35378584 +1218601225 +1907468658 +317331065 +171590784 +1230928093 +1414765699 +1727741362 +588141846 +159090319 +1331542484 +2031684941 +1984546896 +542807579 +1063870515 +2002470077 +42117440 +996679286 +1469191060 +216405006 +835699621 +977061381 +2093325816 +1933354198 +932747534 +409074216 +55113786 +1762691831 +927683096 +402002162 +1755100682 +963061680 +1620603387 +1515085692 +1280392746 +1792194171 +598530138 +547674797 +1372451885 +1186671984 +706765116 +556510721 +1070873277 +543828364 +1099318300 +2134743792 +398814794 +1141435741 +983939430 +1868005854 +1357840747 +1819639052 +697583587 +1303682915 +1605509602 +1630331122 +1712757132 +1660623388 +1245539305 +492956580 +2062625550 +853156339 +1456018261 +1535745289 +220758384 +588927359 +1180455812 +819288522 +1136602156 +405424049 +2005960506 +1843367272 +961934771 +929350135 +239711988 +2061253071 +916610280 +638526782 +1055205164 +1900549710 +359048989 +265562263 +1572705114 +1056632576 +1569245179 +1030731068 +539480050 +1134518663 +543870809 +1785019355 +1627475243 +459012711 +490692047 +936009856 +1994758001 +711450431 +1524937215 +1027730165 +1530738953 +514055723 +1433154215 +1389215811 +209939347 +247605338 +171082298 +449651336 +161374761 +1087692578 +1088178118 +1216579926 +840758641 +1447227107 +1482142189 +265980107 +356376036 +903903720 +1296711176 +895856086 +2038422383 +1840581985 +533391794 +1518413979 +152111048 +1024083841 +306940187 +2146869049 +1735534272 +1831877403 +1027115567 +1118789577 +198449478 +312786134 +360521740 +408388826 +560391472 +531604038 +858040162 +721766233 +1619296617 +1946218280 +1938346159 +312571610 +1245961740 +1273004701 +578551717 +1602337776 +29424773 +1875262893 +350710214 +2067847157 +1568361230 +884102008 +1438777488 +1720472279 +1908185849 +1745717675 +1719857680 +1496236473 +1430111430 +599489599 +467542402 +1628560909 +912275733 +828064142 +2036949735 +1472667205 +1359668181 +747506249 +46949791 +831481150 +546240881 +1985295950 +1144052760 +1792202621 +1110817003 +1722604477 +1247056749 +1140241777 +1450383723 +1597766964 +1060605286 +871261305 +334385324 +351899126 +444249936 +95087526 +2097616801 +16623969 +1591323999 +1380244584 +616113568 +2058866402 +861321845 +1528389302 +739446896 +750787932 +853572859 +2099115077 +1498294181 +900522650 +783112579 +2044535062 +738334953 +1927165339 +1689254036 +1849151956 +1502286169 +788827137 +841910085 +805186244 +239110453 +1902515371 +1676447549 +573495778 +106930849 +2120697486 +668583304 +57064003 +2137321455 +112423655 +1437308587 +605951375 +23806409 +151146784 +2134340677 +763253306 +901934716 +840429889 +714884735 +252745249 +1740952539 +1497997315 +149796663 +331803844 +1277679006 +1839050699 +33472153 +632481527 +480394189 +875382238 +1437667771 +719504642 +630413962 +966631673 +1293000420 +737344811 +939845511 +1961583724 +794408814 +929683318 +2074007380 +84233753 +1535634693 +2097813789 +235380537 +1522491723 +713583447 +1137315253 +215437964 +1428468183 +1390060502 +1956390503 +778981850 +1539857166 +140710700 +2056660856 +1231424217 +174182853 +541658736 +1711818406 +1049565091 +1979326507 +283839401 +1679979053 +798474532 +1576839821 +269840217 +1738320043 +1390939898 +1064249031 +520519713 +1317463630 +1148482785 +2056154407 +1267793771 +1383863322 +1431162482 +1981377219 +373694928 +1646600446 +1262361754 +1763755430 +1455507301 +2041343604 +1156128948 +1596218001 +1950520812 +240069518 +1770400854 +344695900 +1951887924 +672482298 +176538760 +88243677 +204977703 +975013292 +1665083499 +474817920 +565849688 +908539749 +1539066952 +1086369401 +78519731 +540066089 +995040160 +1346313502 +1923929411 +278718994 +1180207073 +150140691 +1925319440 +295085179 +1913896122 +1233343094 +188945135 +922541422 +682077447 +2139465948 +1162610940 +304994654 +336678200 +967015217 +977476952 +513216960 +1055258894 +1182454655 +1488230253 +572858745 +1657272576 +2054079941 +1481398494 +1048855880 +992965694 +1559918225 +1588921969 +1988005855 +758748080 +1365367732 +119241201 +1938955153 +1515508424 +2044560642 +86556685 +1281920898 +1130420088 +275501820 +56978672 +1812497535 +267484120 +1219589613 +2117492189 +604162321 +39121182 +947485493 +1117379281 +1094380076 +2129940149 +458125886 +1667238822 +1639729077 +364722179 +1001153668 +541101309 +1357687874 +413588246 +2130023278 +1198210081 +1172336326 +1347907362 +1317451282 +963807831 +715932138 +1214528276 +1050364516 +1997853036 +197464716 +1325866337 +2054831709 +2009962252 +1593350457 +1126937674 +1979970793 +50029130 +1166058856 +779972639 +1167408412 +112955284 +762429140 +1625534298 +1780194106 +254674569 +1990256478 +633864127 +795775878 +1200460704 +1047452373 +778315508 +251187137 +72305051 +2126222870 +1568638419 +1036112882 +694671361 +635683048 +2086477399 +545040749 +833147764 +1264860088 +452388810 +695626368 +710726897 +1579326484 +528113514 +760756028 +597901692 +1308086153 +1928164440 +710856977 +2070515293 +1406215090 +343567435 +177706214 +1248987920 +977431562 +973482092 +301964976 +2024883935 +1751797600 +553152113 +2097188986 +1730536822 +2121790533 +985818221 +277724535 +609989933 +924811972 +822765285 +1443137697 +42188412 +1275154095 +2138764066 +752915309 +706996932 +519393932 +1513671337 +1304898624 +1827480085 +1294352129 +2015755601 +1750511730 +553083572 +211839389 +1928217944 +1802071492 +1189270951 +754216388 +2104036469 +1066671239 +358530340 +509704934 +1016376577 +2089067162 +484011819 +2002194798 +219308050 +1094001752 +779523122 +1042073335 +389655802 +821711534 +169743782 +380936220 +1574626844 +876740714 +900330152 +940814533 +34155691 +580326589 +87683015 +2049911292 +183354671 +640766587 +114267033 +2111572615 +295354431 +1303537985 +718305355 +251907252 +222725576 +1076835695 +761612187 +1239102153 +1018419209 +1245624006 +1093813304 +1237727259 +192142111 +1873336426 +132316946 +581797913 +547564313 +302060729 +962734133 +2122191157 +1178801443 +1863064285 +915522042 +1212957134 +295907226 +1003205057 +1115384779 +479261897 +1643971644 +1229651812 +443350864 +1939326076 +385706149 +1161656219 +43749680 +608431725 +91008266 +805361867 +1847533879 +1109427475 +2050985874 +793863535 +199671087 +95644337 +519716313 +331988033 +677442250 +1067280626 +634048762 +1640176383 +1041988135 +1812850206 +1355757020 +1957510178 +878323692 +1651664246 +813231587 +1993708471 +2130926143 +309719584 +1075876636 +426793359 +101562012 +1461582785 +1588449578 +145311692 +2070014511 +1679457844 +950673560 +1770064742 +641401671 +854175786 +416444629 +841072758 +949820123 +936160942 +1173060792 +1627262373 +2003441569 +1807109554 +1119955108 +897946056 +1472476112 +328228480 +707972586 +203316157 +1979892726 +1521204174 +49540980 +1963335221 +1830923758 +1125417616 +242644932 +1932485770 +439516754 +1831094510 +2077797462 +362047617 +1363068706 +880987374 +2132112359 +2004470377 +1735163160 +401073340 +698059488 +537499635 +1337234282 +1871120280 +17278360 +1193192203 +1530746186 +1137233468 +2091138260 +855738651 +1465461948 +651627198 +1059054808 +1297871026 +25347724 +1108595788 +1113722599 +1856271482 +86529757 +1356367531 +1641273604 +526046511 +1039978393 +1571587419 +888094128 +255563451 +305091145 +872722839 +112550181 +2040254306 +1273796179 +810609669 +430270293 +463546813 +534246301 +447548654 +1656739017 +2064992487 +1584782122 +1600393629 +773247490 +902760423 +104537179 +1832302298 +53147801 +129884904 +793414439 +1166870401 +1986156386 +879944196 +375754284 +1479946343 +1405990707 +1415732678 +904050114 +146601187 +1671296129 +1209141259 +1019324026 +1783846310 +1101911917 +145636557 +446972331 +1532182211 +609183370 +981218632 +1979730865 +118438739 +898727472 +1417029339 +1718832368 +1671974962 +172306114 +1823369548 +1356793613 +225453916 +1953254452 +2724404 +1392324317 +1791927190 +882668600 +1768078601 +1124389885 +141175659 +1036327631 +2028439999 +287776846 +560140113 +1090097611 +1307100872 +196502775 +44525880 +1452737429 +643475107 +1576708091 +2061920799 +1624693739 +1408955308 +32875891 +375937563 +678501000 +1751708259 +2047912526 +850807114 +1427594159 +1257222491 +1076261030 +1233364963 +1259946895 +321101699 +877808506 +2142615495 +2089180301 +2002198391 +136307506 +978024284 +1883154743 +424084352 +1538164397 +825768706 +1731185224 +1734667173 +870294586 +1036439005 +230658632 +299519030 +950876156 +1855352371 +1708474338 +983752047 +83806287 +239491690 +587976659 +2131718813 +1090298805 +2015570818 +1241457656 +19076187 +1101452134 +353920903 +340177887 +1979260640 +349052750 +281874540 +1833975383 +485360256 +1259898824 +1569646478 +909444608 +650579574 +247931536 +493146184 +237763099 +1118226123 +1529585189 +468421731 +1417745153 +332977697 +176290454 +978735843 +1316729745 +260096741 +1218227534 +1904706404 +244331906 +161042691 +1772793574 +1485789562 +180118878 +726762060 +1839710465 +520296765 +558539052 +41279567 +802171305 +245030788 +526639823 +2062070130 +1814677266 +1436084431 +565166056 +2062608803 +1929230615 +802929155 +1033351278 +1311332156 +1271350886 +303612783 +1644309854 +1447641340 +1282348626 +813555951 +1707738082 +353092512 +570778707 +1952069988 +514135203 +196088633 +1290375903 +694254082 +922850694 +982602720 +1214550847 +1481389746 +1023882288 +2016722153 +1726420534 +1550522111 +1931308635 +1393614153 +839122895 +348991043 +1308739308 +620869862 +1151920198 +194606938 +1932202019 +275787436 +498219721 +1429028225 +1723428776 +1780568347 +95100528 +1283683210 +2133660860 +665879235 +1088269551 +500312415 +861967868 +231161806 +1194566497 +1784818562 +1213764526 +261633697 +1118724661 +90163166 +130872202 +697661547 +1640685278 +2062180837 +2091275700 +332324525 +263688232 +1252531360 +953194387 +1415608430 +1447138298 +737912758 +1691395866 +1945358019 +19457335 +1267340994 +1578442719 +114557863 +403540557 +1564619931 +780437098 +1491810108 +2064932346 +1642404967 +1722971914 +1112015196 +1279739881 +789252792 +1373648893 +250980894 +879415959 +1504521095 +948642442 +372617589 +1419218284 +892434494 +704942114 +1682906516 +2144965855 +1658136501 +951031298 +1444620505 +248565612 +494943516 +1242494877 +268022947 +1762284510 +673453948 +382580811 +18341419 +90590231 +1163017909 +1510151527 +8038929 +657939228 +1085639793 +1120054125 +1937679110 +1874892586 +346219370 +41176356 +606824897 +1850740465 +989818798 +979442486 +1122475101 +1882253293 +1684384600 +657897969 +1879735500 +1195037453 +1608929267 +1176872357 +1443603065 +2103872783 +271883586 +1711626013 +1718673646 +945337534 +2094206824 +1737015065 +1035927765 +1109741085 +1099682945 +1043966695 +1767680314 +37839090 +16537172 +1557875776 +1912731676 +362756543 +1599052132 +372072925 +66013360 +441387283 +1351515411 +1188488462 +176156928 +888416363 +1846386431 +2055892428 +2083453817 +1307832051 +1085281137 +1379573234 +1264221186 +1357164724 +943715599 +835411184 +155018610 +890438775 +424942602 +1190946376 +2000179861 +1524625547 +87429423 +1620376527 +1562464637 +103966595 +1030768655 +1327712666 +466723138 +482337139 +1699785591 +532736499 +923724422 +903817355 +1721224961 +1099881350 +1792233718 +1420127744 +1008290130 +1728203887 +580476147 +2093571268 +960293474 +1844697334 +1303252344 +1904009073 +532624870 +1458270954 +646964201 +957567472 +501733682 +499660414 +334709371 +589163105 +2120036941 +1897174009 +693129701 +1003321948 +1077403027 +1159852839 +1485659087 +629704970 +1692589338 +261899862 +1533522325 +1266330651 +1361781212 +1178272396 +538974748 +222587695 +758992635 +1119450895 +168675315 +1719286109 +816664581 +1471927659 +1475811535 +1349289452 +782714965 +2122775736 +159373276 +1284448648 +474952502 +494082648 +1873611753 +447505795 +243773009 +419257806 +1450827743 +1321176036 +1579110646 +789003182 +1950881006 +1124216336 +1050903044 +1336919684 +243063340 +265200609 +367708432 +782038088 +487788304 +1126701067 +1901488983 +656463619 +698503529 +570669917 +2128391278 +26831416 +1919959369 +763622595 +2123504 +2079332645 +2048071243 +477076006 +425931645 +1774199349 +924581801 +669704654 +45973507 +227925896 +1990880690 +1625084153 +1016929078 +1794278049 +601816842 +2067832123 +983714085 +844880182 +185549084 +1351422517 +1626918270 +673337388 +330639936 +1380923605 +1329801007 +1029143465 +1951593522 +1310708637 +1055974881 +1724069243 +2074331232 +1058098385 +1655918241 +1974918828 +1535174391 +2081849886 +1601634529 +312272544 +604070893 +1647608036 +540198440 +447467935 +1125208542 +1557127519 +94262336 +1727025384 +1477475994 +1077976421 +424421918 +1663025078 +281915290 +2051340188 +188878818 +612555227 +1284780145 +1518679825 +1641698692 +1088890020 +681904814 +550189926 +665475615 +608752398 +1608288311 +173910208 +436187578 +995979055 +108276447 +2037822107 +1308251599 +712347340 +1537946496 +1848450040 +1159815275 +515671390 +1258093911 +1254077612 +95213126 +588086257 +184570385 +519635044 +103627687 +466485676 +423491584 +292506505 +1079040903 +1708271729 +1811186330 +573255947 +649678101 +345607496 +1123445873 +1315153717 +954359894 +584250537 +1489063925 +1390547473 +1580229592 +1597340372 +1280885932 +740997543 +162204064 +671348780 +441963935 +1322019340 +1187020170 +1700057846 +428613304 +1282233296 +140660455 +613183689 +1801868340 +244288142 +1079669365 +77876276 +536794647 +11226620 +1786148006 +200497329 +584482568 +288342459 +546104825 +1707928441 +1603496176 +1500464720 +144695330 +945076454 +743528545 +1724924922 +394933178 +2024414477 +318438818 +557137243 +548279610 +760402753 +1879156583 +1735299780 +312976952 +160286239 +870049429 +453637407 +773469928 +524434121 +697925550 +1853139294 +602310398 +1234720197 +1864365914 +240974756 +1435217527 +301364834 +529317215 +1981322352 +2009293276 +2132813392 +1334303424 +6504958 +930406198 +2077831969 +1731429881 +1325339376 +1954762799 +2049868699 +1882476619 +355558761 +662787804 +1614149554 +2090858541 +975764756 +1774435793 +813424322 +1429402164 +400422074 +1337858444 +2127327714 +106077720 +1940168842 +1214564263 +1970443634 +33659950 +502298142 +124324821 +562977165 +336136847 +2133618097 +548306909 +1670440271 +2140123055 +1478713107 +1600788593 +1724069288 +656568836 +1408067744 +1626454339 +391561807 +1763626505 +141758496 +2005711362 +1707001398 +1117523252 +1632663507 +372942073 +399441768 +2033085581 +1710800517 +379285834 +2139163301 +1503485711 +1593850098 +1962123288 +1537145661 +2096148240 +2086448109 +2100122826 +284801439 +2072582558 +500946088 +1955241711 +2065221965 +1979659195 +1408546656 +1641807606 +488744383 +669130752 +1120778297 +880306191 +285273609 +1262536793 +738533905 +1992275007 +232576398 +223713764 +217733432 +632018166 +109315698 +1928533949 +1011304001 +100995351 +1284536012 +457670451 +2063118639 +674198025 +406335043 +2002083100 +626837204 +691136483 +1927182010 +1127783292 +498894546 +1844920328 +959958839 +1907441202 +1339244286 +1448703223 +429088306 +312538935 +181525766 +714361915 +1575075729 +920059671 +559153274 +1807652127 +1143773435 +776886707 +292186645 +1253089133 +557937008 +1303490646 +1354084485 +1842473021 +1761161097 +1269719476 +369187398 +20012493 +1124318929 +996024602 +711148976 +904017291 +2123807894 +1210043522 +601453971 +936283086 +970001076 +1940698257 +237502661 +1399089382 +105753545 +419028427 +2113451297 +1680829274 +1339088098 +525120923 +1340997753 +335377885 +1302007630 +1633184398 +1588467019 +1859944639 +789191397 +795067856 +1554934012 +402868846 +2064787332 +1924121410 +422881339 +1041622613 +772662365 +1134030315 +1945639905 +748986611 +196590189 +399610228 +1685269697 +1166591265 +192824838 +1922772358 +418196999 +298578383 +194317137 +384164648 +1979407657 +1533405235 +909285572 +1172921762 +1868783121 +63809554 +658622512 +1309766492 +1923754193 +1447813909 +2104834348 +1331204557 +1850682756 +2022138032 +1107842320 +126080447 +916276998 +1880504685 +1260110763 +714433255 +482007648 +1456700952 +1114043483 +19793698 +475808570 +1306868321 +1942566056 +894005569 +1605446704 +2136883194 +1278170218 +1437370713 +1522804781 +39972142 +462808827 +1244104254 +103781696 +1121431340 +406387098 +2027535890 +421761601 +363737798 +1211256799 +124960709 +238392183 +171615471 +251041157 +1154669181 +2052120156 +1511151920 +1869102436 +386644157 +820369224 +835662271 +406437855 +1296177794 +2142530593 +201520263 +42699716 +1600493649 +190919809 +1320869934 +890380715 +1713724591 +1360842076 +1353189542 +810345197 +1464623772 +327137234 +1216732296 +1344676014 +748898836 +1580470094 +408449166 +873859545 +1818862277 +580064637 +1124900702 +826047810 +484701146 +488568974 +547666598 +871345303 +1308938199 +1383328870 +1277783158 +457632345 +1378375815 +1479303421 +500332061 +831385816 +1670223231 +1821201995 +1721766531 +1236464174 +1034560423 +927472426 +2046809371 +351700548 +1254609660 +1116058019 +1696376562 +2003508496 +549044466 +2104825728 +729884394 +220423095 +537406718 +1854785096 +1046470906 +1022107864 +195870423 +1594137504 +1893453167 +1504808622 +829982726 +1023752677 +1962440967 +60874893 +355572450 +315289381 +892260710 +2025795681 +2136491376 +466543593 +1114776207 +1023568152 +1394016019 +1014101931 +1375268700 +501142032 +2130159950 +924161614 +357166880 +531720768 +881503695 +1087051274 +752143864 +1418910413 +794352723 +1798614770 +293534629 +990223146 +1245268626 +39504148 +347548120 +2075251353 +1063256825 +162505439 +2136126246 +1418829275 +477794820 +880903308 +1297141309 +466802549 +1347446902 +264433868 +1490370701 +593979273 +1278535799 +718155753 +1095121305 +1261212102 +1642317367 +1452288186 +1792932870 +376337414 +391855812 +397593086 +1795247827 +1186208535 +48724208 +2088782456 +28948033 +1293992835 +2128286604 +376496153 +1221760540 +1044059781 +539001593 +1210403138 +315405409 +1016796413 +2091306447 +1612546718 +1483598962 +1291269701 +1876980586 +826486015 +1885248974 +1008032738 +1544641768 +832886632 +121761192 +1039475488 +137691170 +1914694062 +1415812902 +529546982 +164803501 +1063577082 +1715755518 +213527709 +1004875890 +1744703551 +1507520544 +985678847 +2121199705 +581797436 +2029738628 +512717650 +1792200575 +197660389 +1529514063 +1736023374 +1810207107 +865629378 +879809427 +1539704046 +1692115393 +617574753 +400253136 +1089273514 +1450461385 +522014328 +2128749002 +1588152555 +289224742 +1397078256 +2117699538 +454028243 +313171690 +1685971408 +667555953 +1318047581 +1283191311 +27592849 +156242780 +1256907368 +609390286 +38497760 +1769625018 +254107213 +236158150 +1151655434 +1990130587 +2046365257 +2017284812 +722456366 +1438585655 +1561916557 +1340031119 +1838838791 +503706423 +643008857 +213369471 +484971777 +83677764 +502594214 +1882050034 +53893654 +956622457 +47738076 +1739865062 +1624178410 +1365785657 +875572726 +1651771260 +1522028437 +2132480094 +113677898 +1560526198 +1754621465 +367785111 +1796684348 +758793251 +210432050 +1695565957 +628594415 +932888416 +986667965 +43027324 +125435887 +678023108 +546733748 +768444744 +891392580 +1031705525 +852122509 +1393986794 +766271911 +906016163 +203125603 +814009988 +498397578 +1827304014 +32311997 +1373970304 +1331591626 +1554340435 +1358966750 +1445269524 +967382985 +966104567 +1813054635 +616583685 +1724897818 +2023486685 +164665994 +206008585 +808891453 +1151333959 +249035910 +934327340 +1829357068 +795769658 +1702772085 +573266000 +1827475183 +407410946 +1967252794 +446263447 +1313427109 +22894749 +1260273435 +1811824687 +1850198763 +1292585432 +1038311343 +1034306741 +699442219 +249794446 +332092617 +1666825204 +1215899013 +2145147252 +135925241 +793313184 +2021150289 +300591236 +999321769 +682558094 +1451925195 +1248357679 +1616885435 +1133798615 +2044127337 +1172173872 +1707064615 +1724118873 +1579584818 +1526833761 +22898672 +745528279 +1549728511 +1283172107 +409869319 +1252443626 +428273891 +1448180662 +139266720 +1127716111 +1697975108 +471359337 +647057667 +766390474 +469022942 +782982909 +1559703658 +342689583 +1083574145 +411541779 +1025247678 +388015692 +1659899459 +494649465 +1521814308 +1556543148 +1666823337 +1081395275 +1133178373 +1098924507 +460745389 +1156077045 +1844452786 +2010473900 +291765504 +106838457 +1115433878 +720039396 +1555019120 +1254700598 +1847755507 +1105510580 +1726059936 +347329526 +1871901054 +47599230 +1130312435 +1284121064 +390288813 +66402932 +1695662844 +1415536491 +454418625 +1208078655 +1910185956 +1976232933 +617138155 +1429525645 +910144560 +1750316529 +380966504 +1370889949 +758909926 +77935643 +1233880201 +1050675431 +184774100 +201830432 +1770714827 +1739793220 +1456531030 +1470986686 +697820153 +1035107318 +1818316212 +422237559 +1082706548 +801145000 +1706358624 +1472995362 +867547932 +1254537820 +741048205 +1321966557 +315132827 +503750514 +1150715842 +932270982 +1933276159 +2060860403 +535103863 +166759016 +1284266704 +1294013790 +244694659 +370663258 +197205573 +429468759 +572493690 +1967920400 +21778332 +2029024720 +1291423438 +719598485 +916648391 +962256002 +1141836044 +1999354939 +1763401002 +700711020 +1324866653 +483465287 +1955248840 +2065914859 +1805431844 +122898019 +422181725 +808664039 +1055169002 +207974236 +722040794 +1590272865 +374733252 +2006307498 +736803007 +619427911 +229487108 +934008580 +1048896671 +801980798 +754445332 +1070675003 +683521871 +2045868770 +1790273488 +1600170262 +860641125 +784625884 +1452041553 +476558479 +1485336905 +629424559 +960023766 +1293102097 +547855770 +617971963 +1416000117 +970037495 +1426636002 +323685471 +1178011731 +1193148 +1913958336 +1552744984 +2007500646 +503277696 +24689247 +89504107 +1437286276 +1073585918 +891484905 +44247961 +2144260921 +1575006776 +2090116731 +1787050761 +1027693390 +803274208 +424192998 +332251296 +1279832688 +1909529903 +961675855 +92372806 +1055148352 +1509531625 +710344769 +323664821 +332085472 +2136980771 +647350292 +1510097203 +2138173919 +413824981 +915358539 +1998190918 +917102677 +940047787 +2087695025 +206905305 +2013633705 +831696282 +251153266 +2010410979 +259219411 +193786350 +1649978092 +1286912801 +997060558 +2074171090 +1619164097 +129409598 +1836217345 +433356304 +221782405 +743882050 +1942887929 +932127174 +1067546871 +127489753 +921624298 +1714897164 +1637586957 +912314569 +2128722145 +405461848 +763021839 +898341174 +1345509635 +703233216 +1105246479 +1211659693 +1534929499 +1356399746 +1074587024 +1794148910 +1550186096 +577081468 +933578063 +399763006 +503768911 +405258513 +529172605 +192502608 +838614817 +750955010 +936384658 +634019099 +1683082184 +2003931530 +761508852 +457222834 +1571345046 +251612161 +1369537404 +1552583543 +657074010 +2132559243 +303441069 +2002583645 +688308812 +1408687548 +1066759690 +75754663 +617603646 +2141346714 +1869903573 +20306094 +570944535 +655997988 +420069101 +1074713446 +1061256501 +949241706 +1267216054 +1899871319 +1700196716 +56117065 +386406770 +1235795252 +2060048595 +1147915622 +1693018087 +1483909993 +1399527784 +915071843 +889009888 +2056601794 +900147438 +1192450957 +1911701791 +1588456250 +453654857 +830977834 +1664210913 +1071258504 +824840900 +1386630838 +1091564598 +1395785435 +2042628827 +1511633699 +323015233 +956401680 +313391757 +1590231288 +708789351 +2013588473 +1646348353 +1095196121 +1101900078 +1558913300 +95628096 +647434517 +895339645 +1495155880 +1562506360 +1784349533 +1404274026 +315170150 +829316842 +1168492169 +1903626401 +1282971699 +1999470003 +1420353666 +206746555 +676827256 +659500857 +1298311154 +2072612691 +554646036 +662461205 +248144277 +1511047716 +975852963 +1838375565 +72353420 +841957788 +1337240270 +1167549541 +1943857866 +748669922 +1263177637 +443808735 +1644009567 +610849869 +2006315095 +1280875452 +2015123895 +174001598 +2110192294 +1036132417 +2077627999 +1245680345 +888118772 +1350498017 +1452426901 +1564946028 +2009998874 +603254407 +1490075072 +417161262 +1265715612 +1738219349 +1928208979 +94084927 +1429111266 +2000562399 +936042716 +618867888 +1020628292 +732416934 +1367537810 +136322282 +1176225670 +864063729 +747172151 +1035057117 +2144939181 +614812399 +1209058715 +2107647827 +1650944816 +1139203066 +1205844524 +391579940 +342217436 +510787777 +1956525969 +204732662 +1114042184 +1299117393 +621893925 +232274149 +889853094 +402619256 +326359076 +171480712 +255698007 +1262401792 +790348600 +1276326299 +1994818727 +10402762 +1412648581 +1023560749 +874466491 +12337085 +2058617866 +871922024 +627149484 +1120192934 +832086203 +130610652 +111912352 +2037930727 +522190592 +454129788 +401234857 +331232913 +658862451 +1515277041 +1630350306 +1280756376 +1747551190 +372719752 +1683375632 +2073910267 +544200464 +1939073639 +1188828411 +1334549064 +1067916290 +1036163490 +1344951826 +333081224 +2059724239 +71934669 +345418309 +1970858458 +943856693 +972567793 +943567744 +1775942896 +1103178445 +1055480096 +1666389976 +1625369037 +1509609885 +2067624833 +1956601951 +20988688 +1435418226 +1439468609 +1301745064 +1035485769 +1812188362 +837637048 +961912388 +208905178 +629227039 +3257151 +1543454243 +1697143329 +1039420642 +740922421 +2030224553 +951661233 +812857091 +228159214 +775036043 +1756713784 +1200727007 +1718603787 +1385173033 +156421804 +626600236 +904079361 +1781790842 +2136210121 +824220546 +1590909145 +9715161 +112155124 +882894106 +1311460225 +1147640893 +547598820 +1613625 +2109553281 +756503999 +630840664 +2112810433 +152474594 +180500345 +1004747427 +893397015 +63241251 +1956408660 +1706254106 +291400465 +583961056 +1315484243 +1492127473 +155081195 +553173628 +1648549277 +781681431 +1457252989 +1282856471 +770407904 +133989887 +726281968 +780123065 +246145011 +1609176075 +2091583290 +1393785905 +9291247 +2093196915 +1355855538 +765795246 +576553931 +1321182323 +918269840 +757054277 +178446102 +1811666856 +820295528 +2134854763 +1370437314 +1111695993 +571332171 +538437909 +456339818 +726413366 +1091611537 +2104889096 +1508094798 +401380878 +1240261919 +131019054 +535370765 +1966543888 +911142120 +781515777 +1428236315 +855241762 +27818034 +1437527562 +800955030 +1383673572 +55839161 +1377508961 +557372248 +974109001 +2134563238 +735818350 +638292209 +807375118 +723189465 +2008729524 +1919071112 +1294521636 +399683785 +227927282 +2020935003 +1491295323 +185332730 +1381546153 +1892676201 +1425594650 +1512565207 +280563319 +1244654890 +276223679 +1062079096 +525407557 +1131465442 +1089897130 +1962935119 +1932420472 +326087054 +2018774280 +1162445785 +883459302 +845399634 +1149525376 +1619277653 +1483691843 +1956900494 +194983470 +1344937719 +1728487958 +1489505107 +1744621505 +1956415241 +1362956462 +1088433180 +2141747971 +597018967 +833625733 +1419858973 +2109584174 +1114189052 +517030215 +238324206 +28784500 +1042437772 +1369789648 +1118681630 +857889244 +1154726472 +1444768685 +729179876 +169688609 +180744339 +1574579510 +1319213985 +1800021992 +910787706 +1128630832 +1995005463 +108241777 +709635142 +1337026922 +1852863282 +518566735 +552499736 +793812814 +512831059 +1149518703 +1627438548 +1932690032 +1111619229 +594143952 +302236600 +1349943435 +622928453 +1344674372 +572249435 +1741610083 +55079968 +1726975907 +1038895120 +784259845 +1896664517 +1219639460 +211355707 +1068394854 +872177804 +1122143413 +49542038 +719699619 +1230385191 +759177181 +2056726541 +935764825 +1277743916 +461742629 +1729577640 +1790574975 +1611261332 +1209532540 +1575781360 +575396914 +1803676492 +1878017960 +1925340349 +279121297 +1075208684 +350106137 +2020731381 +1130288653 +2077082044 +912142853 +1914548498 +1826262913 +2131782313 +2125904205 +747174120 +856476470 +1100563971 +796716158 +1576176089 +183465514 +1555893339 +1485418983 +1119230339 +686153608 +1947161612 +701324331 +329244935 +1410939297 +1910856871 +1905026295 +1986336211 +1567049716 +1635560607 +1764192912 +1846171013 +563285644 +2114299049 +1719418746 +1693574297 +2043897446 +484077952 +1460639147 +1722676711 +468376617 +1439059704 +322367183 +1324853087 +392140027 +1119083342 +753545529 +575605541 +527493033 +91480864 +1694835881 +1213646641 +2038642476 +248676564 +1542891577 +1302098125 +12049788 +1300434224 +1140950688 +1579099504 +788511184 +757659953 +1277786869 +1351796828 +724475354 +849721968 +897887477 +620889152 +1333799920 +211042976 +196082216 +1802176537 +1650102680 +518449399 +979545977 +2042242708 +1637532741 +1733091506 +470364601 +17542127 +1824572370 +17716834 +1231188768 +1715731198 +266393399 +626596697 +870345676 +278443187 +1927030922 +2011296364 +1857542691 +568058458 +621472669 +987845912 +1919855286 +1345948024 +1837567880 +670259115 +1966837176 +1023884152 +881302091 +15435744 +678577042 +383921123 +533885144 +1658123019 +278680183 +23934237 +1243730877 +749044785 +41476364 +920819599 +766761619 +1272665133 +489067149 +1033155018 +1899261830 +1359412825 +1311598205 +1678809104 +1223225542 +1021657248 +99383914 +1844698211 +2009503161 +2019239200 +1043162587 +1699587393 +542014667 +862516116 +575987898 +1423316758 +877951860 +1254564940 +1807237882 +1411837004 +765204311 +2085918065 +1435771242 +2008935188 +687479202 +1477247606 +782271139 +1454240822 +602429091 +1271338288 +339912192 +354207274 +483267466 +1651510398 +2033016378 +1706493008 +525683998 +2132400293 +1403707571 +387703511 +2004155845 +299386511 +2087290905 +398686865 +1161902627 +515795155 +1822003623 +2039854487 +1770360095 +1481757857 +1304207844 +388080758 +1420192275 +592495438 +249532298 +2107671477 +2069743044 +1031803437 +1414428651 +524688488 +155658077 +1754340844 +878895762 +638925543 +1258367594 +764428492 +197934903 +1784051592 +749345137 +1601642475 +24271456 +606017335 +1901028986 +2111562361 +1004704200 +915447965 +479873868 +679224175 +807818804 +102750315 +13498385 +2112026648 +490831073 +1433690660 +557038438 +740363371 +1393878489 +479297835 +1772166808 +660823493 +1003986323 +1927824885 +267680689 +1882882085 +419266781 +1526048283 +499826929 +617201684 +1162616227 +1249172067 +71360511 +1186887683 +1855189402 +1972389497 +1150966396 +712409954 +740353814 +1630840264 +1391634129 +1548172619 +1733590579 +1405132514 +1512715619 +76938004 +691339526 +2069754058 +817301375 +2085218016 +401568245 +441984535 +598557861 +1405554568 +222325773 +866238550 +1140953005 +641592554 +244803185 +1640779934 +1258794238 +1407419412 +742468353 +1330154750 +446823448 +450174107 +1155060599 +1597789844 +1162584061 +1895414414 +1081146461 +406734543 +1296103385 +667253392 +1811867057 +661335356 +744191397 +355722936 +583605766 +1561492772 +293457304 +985174011 +2003477308 +892015165 +243244931 +78319433 +1758253715 +1384197936 +719911987 +2003056900 +877494223 +1978706225 +1262992664 +1619962576 +1161377327 +1709816112 +2070136684 +168954279 +1160122309 +1085237097 +2064368693 +93785122 +1491971640 +1212988430 +761038514 +1156355050 +1874323786 +1505229911 +1512077986 +310445905 +919239036 +1805535290 +1295619916 +775232696 +550066807 +1538864848 +853552129 +160836874 +775579136 +1573464116 +16410126 +1653073359 +1404686693 +1279402790 +1125552288 +418580373 +841735255 +1048205324 +587534652 +2001857564 +2133442421 +504419697 +2095642686 +1477930414 +1717408127 +709197552 +486801816 +1444248265 +66943816 +1998879802 +1754694170 +986182852 +1656931444 +902830439 +1761415548 +59514603 +294211639 +467484029 +220351477 +1069790775 +2040948145 +236761603 +575380487 +1298151190 +1516164393 +1700932775 +1716731563 +210416000 +601654451 +156782567 +64789916 +587613224 +661202264 +12948954 +2065543638 +231126743 +722146507 +404861806 +1675375009 +789090323 +256257960 +1282585531 +1775273175 +1913189404 +37932322 +1389205075 +1972704007 +332143961 +1856689104 +45571836 +1401934737 +1750153601 +282333439 +1977315224 +900821143 +1798497833 +1530764351 +470069059 +2008913833 +2132418802 +626851626 +2073703750 +572548378 +1288053891 +2086652704 +490608369 +1519180634 +661315563 +895470175 +1047071995 +1450405886 +1151728136 +182173879 +1078195413 +917433892 +220106201 +319916840 +742654252 +552250163 +29122296 +788226088 +1954184900 +1779275897 +1070559528 +1784016476 +532613393 +721573713 +1167297179 +1002682452 +583003898 +1152232333 +1629534078 +509224000 +1724780711 +770104321 +448393057 +67905432 +141801308 +1109708620 +963375608 +1188873303 +412630859 +2115103744 +1371047182 +1490826272 +885053988 +1591153384 +1810743113 +1627708240 +2143403547 +1839865409 +268450681 +1950104799 +1471657659 +1339010209 +1586637627 +2004271052 +2060583922 +606451158 +859469856 +496104172 +1758683491 +341520286 +1005328173 +1335980554 +1111624608 +1453721230 +1403885987 +1253425916 +415946202 +219777947 +294815571 +828577061 +187398043 +1665862754 +171919686 +1072452031 +1109532490 +1982662799 +552676624 +1105452389 +1675044560 +821127305 +908073540 +999218571 +12653866 +347227519 +856005975 +2073237788 +953678677 +1715475831 +421858312 +564878520 +2056996118 +1427186485 +1900859074 +1021137078 +733424067 +1157261413 +127079346 +1149370270 +1377039360 +421894917 +1977947331 +1564437403 +2087757671 +2383369 +489405787 +1049806513 +1985046168 +1042082411 +7775254 +1512607081 +1863209716 +915848794 +364342004 +1875863582 +1263076313 +1220347980 +1801617722 +69271342 +788340163 +75992386 +634149862 +697852633 +1503178872 +387525289 +1718989711 +89119291 +1544786702 +1846069057 +1238489561 +774342415 +120480327 +1068953245 +191296170 +60754350 +1071336614 +680701957 +1110560864 +908899135 +1722784368 +1118336118 +274022568 +1438510436 +2034184913 +638364572 +1166890370 +1149777578 +1858712552 +821024444 +1219048921 +499569068 +897016831 +1853198783 +1197421701 +252712055 +93240424 +768927765 +341831346 +1638027127 +467513174 +1580320908 +264885894 +587993501 +501790505 +456182064 +648747852 +1573127119 +1136884022 +1759308716 +334542606 +712184742 +730161186 +608565174 +3211531 +616862451 +1246929747 +1170101901 +1766640030 +958158651 +1991126346 +838205303 +1457727719 +740659529 +543920438 +507665773 +993371584 +637160863 +1276593538 +1335202930 +127704342 +1744106712 +768040190 +392590236 +184616566 +1269830695 +848772300 +833364418 +695474167 +1985656322 +445189486 +1030016773 +550357417 +1175350672 +1638581948 +553568948 +1792213124 +738028047 +1723670849 +1411369506 +1696186698 +1567313547 +102091161 +1006430770 +160489428 +646011599 +1514096543 +1153861012 +1283172462 +643206433 +341580295 +1410876804 +239829497 +1109620485 +1803467040 +424446063 +231967533 +504755693 +1257810481 +927441700 +342928367 +1702999967 +1957458473 +893285784 +730866992 +1448556773 +1446854732 +375596468 +39101172 +1023041934 +1786965974 +1735287871 +442871833 +1889057135 +594234993 +603361262 +387585086 +2108331536 +1757222274 +1670757549 +604054321 +2098802569 +934150705 +843883818 +1060939407 +590134098 +1268329882 +1292906940 +1094889791 +378656715 +72864992 +1437818158 +2081656683 +2030323465 +183620295 +665040027 +1331396591 +1630475027 +1040636495 +1370497763 +506033313 +680118821 +958301986 +948905147 +421692308 +1552536979 +1552266409 +809277394 +1513384867 +1162005035 +332551295 +2117439188 +1113323957 +1266702001 +813839359 +26779716 +1856836099 +2082169241 +1319686656 +804242242 +313342308 +1392551648 +94576752 +247515343 +1275391465 +278197047 +912555370 +459304408 +1908672075 +1953191865 +1829802172 +267221740 +485827038 +640620510 +1216126887 +907519346 +45673842 +620909648 +1716796741 +1559058709 +1782914684 +2049348036 +1529014250 +748754993 +1168566389 +195369961 +775534709 +877918840 +130055554 +2095221365 +1682161082 +443397862 +1340289365 +1776737835 +690913206 +468197182 +2054934882 +1603468576 +927501591 +1816123309 +1409176794 +609820115 +2083345050 +1895003832 +1250440625 +1151988289 +655039531 +1296114467 +1772897938 +224352624 +707689529 +1408328974 +126217012 +89220131 +9600319 +1294783402 +284590092 +785135028 +25218594 +414645646 +732872745 +1707379677 +858043508 +2073162110 +1336633864 +1548956714 +393875644 +1244085098 +1004941643 +1321377235 +912724760 +266634789 +1931197350 +848586162 +14154973 +1034154328 +2000574451 +669194504 +182785147 +1625988741 +893547128 +890474676 +886834067 +1019764141 +979694807 +896434386 +167063895 +1264284899 +1681569414 +192282489 +1678930545 +266958511 +1899662166 +389490406 +192636973 +1088812382 +1938447120 +586512618 +185413833 +795905115 +1907889853 +1098138593 +1062539904 +1691603556 +1946724755 +1076694878 +578274236 +1799815558 +1745889382 +761059383 +1278320652 +491952863 +1651534060 +17671071 +1511717004 +483745219 +914105458 +1678780899 +1748030119 +448191224 +1871063388 +1279477016 +715149736 +1623241907 +1668967422 +907786709 +564570641 +1459930895 +1494299327 +749984474 +108352362 +1254705533 +1848123067 +1170892267 +798825441 +1647364174 +100103497 +1377099677 +1299696085 +1845992879 +2138159060 +430533089 +190462094 +1642209472 +448204160 +1702179098 +2125954692 +1362309618 +1233476349 +1726501163 +1810500843 +957056090 +858494531 +378166931 +432814349 +379978306 +1285953640 +997384990 +1839909201 +632769320 +1747369465 +1948261563 +1887474853 +1448008884 +971670182 +538816646 +947889411 +1071773679 +1915916323 +100101848 +770282911 +1906591735 +530634937 +960745005 +1401317560 +978839097 +515440456 +1379788604 +193665068 +1748916805 +958806119 +2004165911 +558489247 +1817300650 +234849194 +991303596 +49795308 +1520802834 +1988688587 +1889704509 +6088506 +1588574404 +1690482425 +1893563359 +889099640 +514668959 +284896357 +1836989051 +1586442639 +53329032 +1937090899 +209241902 +1959920768 +320242188 +1169986907 +1213754680 +1299081286 +1685427363 +446059636 +1492746354 +1286860521 +1404865755 +1349428617 +1845349768 +1074682757 +1584277811 +689169717 +1124478066 +957596997 +530374656 +866698927 +963685504 +2118949060 +409697704 +709765215 +860565052 +924366664 +994661573 +550070456 +363325655 +1047990605 +339677707 +572567557 +860427725 +659919896 +1742554464 +2074182405 +1959001182 +1280498180 +372758393 +1304263888 +419875053 +1777624148 +506208857 +117741173 +704823258 +2090486668 +806910890 +1829301324 +900600017 +1337285546 +548516603 +1864285521 +1308750958 +958214308 +426567089 +21832363 +1882580972 +1421228662 +571902819 +98422979 +321735619 +911580526 +670990536 +1182163345 +1571500422 +266061352 +1108862102 +1383017956 +1546559532 +1481620496 +539798196 +1966434585 +1111760996 +1046007053 +2084175759 +1816584254 +989010073 +743603001 +1498401930 +1889610091 +2080888548 +2046918534 +1606411964 +1242155858 +857649194 +2032979053 +1263988221 +592746518 +1306724067 +1835891040 +691169497 +1628459687 +599987919 +1362160033 +663139384 +24004693 +1628221385 +1772001486 +1407022650 +1027297270 +1106138334 +1946820846 +846248207 +70415683 +845344252 +782940318 +1886999937 +1834354325 +1526543320 +1237918220 +1576480768 +1459948220 +1137353106 +1035409085 +554620430 +1995002300 +920904490 +1818608652 +440265170 +80144910 +1507016044 +1131434667 +1708604597 +2107003963 +346111052 +224260333 +2131008657 +1974332437 +1996261819 +1390547659 +854146059 +954916506 +1189884857 +1700394267 +1025332189 +2035229109 +335850937 +764848478 +1722099787 +1862394257 +2002766698 +1151096907 +1174858829 +992636156 +39022344 +1729479260 +840154808 +959926835 +1400604264 +1280419978 +1040071745 +760136660 +264370997 +601192694 +719656976 +610482049 +825453027 +703181985 +437330839 +674231198 +2093729644 +1291476898 +1629147704 +1136130853 +844387517 +506996245 +1023876315 +1180238455 +1271844724 +598492454 +895149064 +1127127774 +1749589361 +2070007894 +2119763931 +1788611706 +1652003506 +812435091 +601054893 +905124122 +2092855070 +1641126638 +1665260782 +209742419 +94835684 +237434110 +820224469 +920288711 +940616095 +1257555308 +1594519909 +886862091 +401548558 +1076183966 +2022992945 +1245936076 +1583180211 +899385612 +278690883 +707541287 +1497878066 +1173839947 +1834669062 +1099983779 +1096364193 +1806949345 +741111837 +600884051 +471900788 +1342166730 +1506008173 +417272210 +835809720 +1023785308 +627014630 +930645404 +1261219418 +1447239099 +1850934115 +54351866 +557310759 +1297970377 +941213957 +958859317 +226670695 +816723254 +57311745 +1809850906 +1716108866 +336002628 +369908546 +1066503284 +1509842576 +57093960 +19003416 +458723121 +1864043305 +760115253 +1059607173 +188460445 +2102281984 +418131698 +605732656 +790608056 +1441917006 +1232747286 +1721253461 +555652777 +532502737 +1424703928 +610004643 +1089813496 +575190657 +1551218600 +2048672813 +801861352 +220458207 +2105984559 +464228611 +1936567073 +294503539 +834137157 +855586710 +1804346115 +891231117 +874590126 +115585589 +607790774 +1634705379 +1175192762 +796251219 +1589503715 +1593324460 +1401983875 +232628124 +887757819 +487247513 +1953881585 +1443410596 +1019750250 +1231101865 +2053415239 +2109563746 +1806292523 +1457150191 +2010752912 +460670227 +1677608398 +1969253823 +924898838 +1466691824 +116273714 +1759035995 +174794886 +1920619830 +502783464 +1049385012 +2036205419 +1110574238 +536606743 +1063914533 +1906825458 +2126110459 +509755345 +1161325685 +211254935 +1397513164 +1648573199 +17652872 +693440112 +520839801 +1248754737 +599371703 +482919900 +907563612 +2056521895 +346189164 +1368233840 +1586646645 +167959339 +145649030 +905854821 +284233053 +1904685026 +1080649707 +57369235 +259984842 +2130034719 +2093574654 +1370559081 +519157815 +1010005539 +1129900891 +497784626 +1519760885 +143742928 +709039561 +769790401 +1792316127 +726692433 +1463230514 +165672281 +1975447170 +2062602217 +648592181 +735527135 +1971640464 +994781345 +2103760975 +1410803462 +1162740684 +101926357 +169174635 +1446973737 +2006611383 +1249824343 +1504342973 +119112578 +1232375414 +1450433979 +1489671659 +1751533229 +312955871 +472088902 +101834207 +1832716756 +615831830 +810873768 +455023509 +260664310 +1537566201 +1918254023 +426336591 +1365529724 +1833372593 +1074928772 +2101056859 +1657529409 +2069710117 +2057334186 +920849223 +1084967153 +11776895 +1090023859 +384457242 +2018388279 +192364554 +1888800215 +2137500857 +1424739968 +1191750547 +1479688868 +1028789550 +1504706418 +1951777770 +1130623757 +1189939526 +420125952 +1941497526 +1644963035 +680790262 +1331580079 +1415733411 +1107126853 +549626155 +1101622356 +34571977 +503199366 +611668117 +2104282094 +413049904 +1532517341 +1041765599 +424826800 +475057552 +1426222842 +295731431 +667422106 +1167539409 +285748640 +2092162074 +211806308 +1765437508 +973467976 +1716512726 +1569731630 +2104091734 +758968604 +1989857582 +1898105612 +256447992 +523164197 +1082202043 +1672181403 +1630291050 +1631828199 +626320111 +1664863028 +2135027565 +1237988228 +1621661474 +400593822 +623021921 +515943426 +825420622 +1098079473 +1942166268 +1121152053 +1765501579 +962222029 +1406900693 +1710180006 +1174028338 +1024854553 +536164334 +743057416 +447102535 +492772420 +1502026021 +289476469 +243394384 +1758474013 +812640666 +1325596428 +1283171768 +295448069 +809940979 +1909491879 +1960311097 +797484896 +999996459 +1434488923 +1198078718 +1623018381 +1950432349 +2023499340 +573614206 +1745114969 +997167745 +191632138 +559853351 +256584790 +1901812144 +1733881689 +1281439343 +290492830 +329455457 +1728541878 +783265251 +1831481478 +2018018348 +1026659635 +1442471843 +683175366 +204772415 +578159963 +978623435 +1014713394 +340168194 +791450884 +1812198291 +1340164654 +78456160 +862793361 +815699387 +2028888509 +738809054 +1389313593 +1626519831 +1735976799 +1580945731 +38889534 +1992561590 +1335274227 +1772771223 +1126517285 +1625767058 +2102226680 +707575516 +261548661 +1786224511 +578110216 +1288208296 +1081212706 +1261285582 +1492980712 +1659372670 +92425370 +360210458 +1999540864 +883876254 +24925101 +1192221870 +962332414 +887718463 +2007921257 +843737276 +1626527517 +1249751203 +322773459 +1215020668 +683213286 +361662993 +1060098610 +2018487514 +2134434216 +39132248 +1496770924 +2089177248 +746707764 +1758319585 +1727918111 +1324817980 +899044233 +661647170 +438619914 +244541297 +173536192 +531045284 +604751756 +25593408 +1414921539 +629676857 +1217815279 +229770305 +1517395320 +1078252888 +1073507581 +996439189 +180520443 +1396281040 +63976210 +863733730 +1757944033 +1124074820 +734737596 +1744894601 +1163207068 +84024872 +1686588202 +1909914832 +1842344457 +1267022665 +1087249164 +593905042 +1928669835 +1525869079 +838446340 +2102206027 +2056914363 +1443198096 +2127799436 +1324352254 +2072874953 +1198131067 +1554122560 +1442786626 +128900307 +480146493 +291742167 +309420751 +1876427534 +355718377 +1173154481 +1486887919 +1479793198 +1907892077 +1084298873 +495516618 +1991916949 +623403427 +257947803 +1686777758 +1890426092 +1345196967 +133199152 +1671612280 +723582398 +971645492 +1626334659 +633013114 +267359940 +1606650447 +1957365368 +192751246 +657297866 +1364004280 +1635537872 +786198174 +1844150774 +1927280039 +1095618925 +1573094660 +135514769 +121289758 +912498931 +1615307967 +2029181835 +1996797804 +2110824585 +1873615136 +472717583 +221288740 +1412909246 +215660028 +1566485708 +1546108398 +1887272308 +142584458 +370270243 +1366123319 +775597572 +637630183 +825290119 +585479293 +830381429 +1482587985 +1949483573 +318435653 +121302511 +1646150699 +98232045 +1216921436 +1071761711 +233746814 +1338211194 +1984260643 +1849054781 +1219909381 +1833574799 +1812395718 +946040869 +158808735 +2033684459 +211466467 +374468763 +1452686519 +1757574866 +114257423 +1595270977 +2127845109 +1480380742 +223384902 +617991644 +158187213 +808864195 +1448373074 +1640775199 +610864120 +1766808727 +1762077710 +109531172 +1865040772 +831515499 +1181292883 +2098787586 +22243045 +1018069878 +1800358719 +1242152427 +704161030 +1465270790 +40709648 +862969765 +1351471601 +252176116 +1237438528 +656674472 +2009750982 +1351695951 +104461801 +1990112443 +684593045 +327846703 +460620439 +842780259 +1136710898 +1908993513 +336071810 +1747575019 +1528318593 +2098149520 +1857106191 +1245875717 +782181371 +890915426 +1197179656 +804424417 +1908985305 +850054727 +2046576844 +465662687 +167841869 +2087286492 +1328632452 +1519313470 +191978960 +418587332 +28504294 +54246294 +1770283283 +132966096 +2044358737 +307392680 +460812799 +357495529 +1150172939 +1597523698 +119005394 +1486244749 +1197615069 +1647323987 +1436910622 +907237612 +745716057 +71608345 +1798153038 +1942895713 +876032762 +1559654695 +645466792 +775125958 +2025317382 +813308662 +714928803 +1206466186 +185138484 +906907763 +1625053518 +213642779 +961154058 +1247853153 +346608875 +858029147 +1555245834 +807421674 +1215524676 +557935125 +257461724 +1334530071 +2044179875 +1455076793 +834370410 +1333606849 +214830757 +1580086467 +1405215194 +2012983796 +1375498532 +133764309 +1425154843 +2020965325 +908890267 +1302988578 +686790339 +1623819070 +361971116 +871928823 +383243186 +1987024635 +1085571602 +1344397244 +1087394140 +1432180477 +54942743 +495156326 +92118504 +1270467420 +1053091452 +349580228 +457513843 +949787679 +1804657022 +1291884253 +135910880 +2019487779 +724487073 +1541126074 +1884987927 +2099985605 +1674890383 +1162659123 +1973467282 +436297003 +318164053 +512773973 +2060116073 +680135169 +1384702797 +295875611 +519676156 +322790751 +1640272855 +1607070297 +1754971229 +1695215599 +2102226623 +1847089733 +818199371 +1007834427 +49186313 +1275713214 +1957622106 +1853843335 +420113819 +2093532986 +1725847467 +1144600892 +1487175413 +1463351746 +1097102850 +1014582148 +478527221 +923086484 +1450879151 +796691274 +1435860458 +1363511577 +1476826444 +673079607 +1659387188 +1996502600 +995870358 +1152176396 +1456089249 +603357939 +699908347 +1410832225 +302964024 +1518107718 +271183004 +352150338 +646337284 +81321463 +58510025 +1066451103 +27370801 +1784357492 +63568348 +1514546214 +1100225591 +1160671198 +381644715 +1578752812 +2083757682 +1832523866 +227960439 +1372134492 +1048551795 +1704786883 +2045214099 +560455336 +1553805835 +893600810 +1712631732 +862411437 +1496958749 +265056431 +125760014 +1799922774 +1783164149 +396943018 +4589464 +282017785 +478264481 +63099489 +1348468888 +505635283 +1847456982 +1412037236 +2020181497 +800198925 +425224786 +254342564 +231468089 +361498821 +2086866431 +459428528 +1733633313 +987934578 +16731763 +1631363765 +1548389914 +1570537599 +377480927 +1113537998 +285465388 +1874439676 +1378594429 +411225402 +1526878802 +1014274930 +808168420 +1531468266 +1296292715 +1286432902 +1594567756 +497277956 +1792068185 +1294541090 +1909315192 +1664766034 +2094740015 +187056331 +1919108599 +178724456 +548555152 +1858491382 +638152985 +134704817 +698942312 +654884748 +1766068582 +99848579 +77938699 +2143549509 +1213386577 +363404087 +1870505538 +444497359 +774629489 +1249900692 +1458772289 +1582797910 +633885311 +607581357 +721747164 +80969419 +1104859313 +366331701 +1375510509 +866690857 +2031097735 +1322766876 +1053747188 +1802722686 +1501491332 +1602302340 +1513730420 +2139644317 +1737007158 +65189085 +647045418 +1355592092 +165037664 +724984117 +1351657954 +1378424241 +1088388205 +1074679844 +1822921600 +1863017694 +177096888 +1134210242 +1298331956 +810982199 +1741791599 +2020079120 +891951618 +699167264 +238927173 +119978479 +1565858121 +122541261 +1442745355 +472121662 +1925263947 +796753040 +2074424002 +1291510720 +788913709 +1663947512 +1356699805 +1435959127 +872055957 +1521737469 +13459597 +76230263 +752678062 +1101847802 +1150910107 +428116015 +817381848 +1328006995 +1562326257 +2115713805 +2138989195 +1156634208 +1988309277 +883457165 +1855801472 +79752803 +1003435645 +1274175945 +202294064 +298697352 +1746297607 +2127558011 +1095450392 +1673237962 +1271585083 +1884364102 +1189701826 +480801240 +1172839581 +2061757783 +2002538709 +1186299178 +2137988046 +607733124 +140663332 +1141414505 +1035849139 +958045181 +321937853 +450691748 +926275338 +313443400 +1607325956 +767100967 +1196900565 +1315643780 +846853770 +52852562 +442336077 +1049147834 +351549915 +41150037 +1029222198 +1447000307 +1714387999 +153323633 +1183880761 +756606177 +634124874 +209236695 +670880313 +489179935 +1395535873 +661384711 +1096913059 +1536199206 +1802799217 +2132762198 +346760739 +2124737070 +435970298 +1273036077 +290696822 +2043296254 +2040137044 +1487597387 +1211456386 +739507167 +1540449950 +1653792464 +1788655001 +1891999865 +1694942501 +670393551 +1191516524 +1261846852 +823717185 +227913638 +2018453029 +1457842059 +437150333 +541849694 +1947021994 +1832686206 +1203234406 +896451406 +1221401764 +858549975 +881729956 +1568162503 +835803397 +1317700255 +693714932 +1126500219 +1213512861 +586368329 +466613958 +277485600 +1325875496 +2007063908 +1931278064 +967046849 +1751580125 +1478736917 +1637440401 +795613002 +593100121 +313673938 +1023526640 +464069502 +1771515997 +1460676973 +1005919197 +1571054343 +1145879531 +61669955 +320022101 +219797648 +920219930 +1201752058 +1787960151 +1756023327 +371968665 +334191436 +735039898 +1585481526 +920559765 +1201653856 +1862967126 +98951613 +1061234117 +1646761542 +1065998462 +665330594 +978014811 +555955215 +1460943596 +1571114932 +869629153 +336986588 +2035184435 +493661502 +1797663561 +893619984 +2064715846 +796059445 +955289939 +237254299 +1015857093 +1875509869 +1439006357 +656333596 +1484049548 +1810975022 +990525032 +71605798 +1248972901 +1911084797 +1273259654 +964456379 +2010036410 +187010123 +463734274 +928551225 +852340718 +1441749085 +1484506440 +165800666 +865380370 +206651946 +502787255 +753081157 +700313448 +152967168 +1646701141 +617545646 +949026613 +454507432 +854799946 +1964883706 +182533653 +146322655 +473733655 +1666583201 +1957297678 +1464258687 +1738188999 +1058786931 +1227859837 +863965005 +2023243310 +1090412599 +1050975129 +339493936 +2018963824 +1903315847 +1781243022 +1355986617 +2069116513 +499139744 +1562638563 +424420120 +1252220901 +115468363 +577387289 +751438394 +733014010 +1526413902 +1205945826 +1587813956 +1343813961 +1388479479 +1734136611 +1817547616 +907579032 +1543950641 +1134322655 +498284383 +455253924 +214698844 +1362249388 +331013587 +1305111444 +265740869 +670507523 +1176591620 +21573068 +304266897 +385094589 +2090689582 +803406641 +1947733152 +367626054 +2055627542 +2063201516 +945013343 +659582288 +648731878 +323943598 +1865528114 +89062186 +1667757559 +1106523945 +1823198797 +1337821527 +2014102977 +1219665791 +324660534 +364903712 +1674919715 +539359379 +1727153101 +2005933302 +1844470823 +1992893970 +528957178 +873578795 +2014467039 +833224075 +1258673385 +1957672973 +1636630717 +1058922889 +177815379 +1544774611 +974640757 +1122828723 +56873252 +1623372635 +1446772321 +1922401366 +1712434821 +967046232 +881441664 +1388149971 +157384111 +748060993 +460332114 +482044645 +1112964706 +2135251829 +1021404024 +692634159 +1993701484 +718391199 +538044481 +375175014 +1591969995 +405027872 +1208399089 +703159732 +215217197 +697546158 +1762082621 +393032577 +94837122 +589239731 +1515861300 +151710374 +65128718 +815149973 +2074111740 +1777563540 +1782196205 +808069756 +1018229863 +1939580316 +1556130750 +1478561977 +274141313 +521611808 +1466330158 +1295545338 +1214245967 +1312547994 +2013936537 +1752290448 +1687723008 +1458422884 +9834673 +748638450 +14098968 +225051870 +1446184608 +1776181590 +618084447 +1541021730 +217937673 +2133945747 +1692732104 +283066391 +801612072 +1619360197 +2060629931 +436324629 +279946305 +931376146 +228421297 +1836077055 +262454475 +502562611 +210205215 +1728784634 +1798107949 +1424451182 +893848980 +1664560838 +1029257983 +434088341 +975500075 +1039092656 +1182726791 +989599043 +1264144526 +481427751 +618296985 +1882228974 +2022449482 +836234658 +1868691073 +1567697938 +1119301050 +522819498 +1039574487 +1032447333 +959144127 +1319520793 +1963823480 +1187565425 +1008114200 +78794307 +1690128036 +1218319416 +1807578941 +1340752337 +495286950 +553944274 +857829527 +1524544933 +988032615 +1833329602 +416153941 +23275758 +675444998 +1680298468 +504703509 +1293741983 +1415043794 +379669343 +2129976642 +1136251219 +1947367282 +1101794044 +1659070717 +839458121 +2134241377 +470731197 +11495266 +1950581209 +1658296622 +1019609467 +2029375517 +1200941010 +90445235 +1689470810 +394209699 +585732185 +95931436 +1252039226 +2110277119 +1083964051 +937885181 +378947412 +1107239809 +1613330179 +2059245880 +1611943319 +759588514 +1326806026 +1991612662 +742081508 +315573598 +1791496296 +1843875552 +1974644315 +483470770 +1830633282 +297891864 +494966036 +1633730843 +1956188486 +1514575503 +1515622712 +1009645848 +1605020738 +1057609875 +1403855547 +43269276 +1153541311 +508411126 +6062747 +90021715 +1446296307 +385010159 +1197261524 +912142838 +296772392 +661721195 +1671731352 +1623578418 +505850210 +266329213 +1939152016 +149862858 +2110204765 +1766312684 +633333628 +1793354399 +2064204548 +1128299665 +1279601595 +1872909387 +495391520 +647740659 +735071587 +2100412259 +1705350534 +2138927135 +2143681535 +711408198 +499854613 +2260634 +801429913 +1946150920 +387270793 +1998691437 +710810110 +684043185 +512928985 +235057814 +160137956 +1018779195 +501387027 +2099289972 +1168642053 +464108145 +1718119008 +1801975682 +109978896 +1634839909 +782791699 +1389580491 +1360265648 +1278183219 +2037321151 +2095337235 +1231111830 +1595188037 +2086780722 +1227309717 +159112587 +439151687 +1229570351 +960542500 +237818959 +1616841145 +811750290 +948629069 +153400682 +1324679275 +1183686884 +313538638 +195974822 +1685073911 +265344963 +1364616875 +1698408 +1983463971 +1019108909 +111677305 +1470820232 +1801900608 +1501257796 +683602232 +932600180 +1391095299 +631455820 +16228362 +838799689 +570752894 +1243538080 +997912276 +1009904582 +325624783 +1958454777 +1247723541 +1942465928 +622721419 +48868963 +2095866611 +1947400694 +1232555847 +261921601 +2143375516 +770146110 +527266564 +1360508743 +771844519 +363246888 +232134005 +883521824 +1834067120 +2034034613 +237295972 +370185705 +819151145 +1628391272 +1001641525 +835379508 +319707313 +1572394419 +2078917588 +1317619589 +434815353 +257058723 +1128590718 +1682538895 +52041004 +1751312137 +1731407858 +423967 +1551229183 +816480057 +262345568 +1547121051 +1586626167 +789612133 +760146147 +210987038 +1152859021 +992280152 +1094508862 +839442493 +878831117 +1331804835 +1209628198 +1697982263 +812712459 +63786075 +385878123 +1132419772 +1636180495 +317312063 +302555713 +2070995848 +574370786 +1431146432 +1606051095 +626411790 +1034974921 +1189975305 +626835757 +438720457 +2006455362 +889181326 +1985841508 +1445597882 +1678793459 +598504007 +1656584920 +684168832 +1590784159 +603610135 +1523611325 +322131629 +1935414970 +585755876 +2020113892 +600643781 +649541951 +258508367 +1733063553 +138238798 +575820430 +2035619266 +61750999 +1150191216 +1319282050 +1667802094 +1776603007 +206773324 +710293752 +255955116 +645493781 +569265466 +1145136442 +483851641 +2014863348 +676446253 +1082355649 +1523964621 +1360615085 +525656160 +2127574756 +736742763 +847787789 +1915506078 +1322498639 +720418033 +368666211 +1972040590 +978926400 +2101729764 +2110279389 +1554746830 +1989865382 +24546740 +557454399 +1161663785 +1692348834 +186573758 +1368437109 +255158938 +442528874 +2013930890 +824424405 +1587665317 +350298883 +691804105 +116627922 +1432654532 +68285078 +1477243008 +1958310693 +48376186 +66502123 +658614834 +1963882264 +1389000762 +1379032868 +185064827 +1213557704 +210475620 +139310943 +1176353445 +1765222451 +2129176326 +1200900185 +175193202 +1143356463 +745765372 +361766960 +364309924 +1000924310 +804295834 +230757166 +1825348715 +244477503 +581056049 +369669173 +361105426 +2013710582 +437954251 +1838348434 +1824537627 +486330438 +1904850557 +335668813 +302729054 +1146367671 +1714701681 +487793882 +212441727 +1925177302 +627104825 +1388795173 +1542916105 +608797503 +442211710 +1718109307 +1752153966 +1187977082 +2079876267 +2116463890 +41417745 +736688453 +199737408 +1866766460 +981165957 +780793458 +88951985 +1342271383 +647020392 +526906237 +1033136169 +324074371 +1013236675 +790503078 +659743184 +1315965729 +1936870749 +226961218 +1803759611 +1828828 +4654872 +283380789 +1390624001 +1547570977 +892178292 +1832835712 +1118196636 +496848611 +873329146 +1050589255 +465828853 +914746891 +1787277708 +665566262 +634029704 +620960017 +1446359720 +722981689 +1963231400 +2093380112 +1249887926 +848883921 +269970835 +115640953 +1639386999 +929714019 +1431606683 +1428774100 +1156675237 +1087882646 +1430602929 +1161330109 +1371263435 +673743282 +561417438 +115958080 +359095346 +1679614074 +612806691 +1232424493 +582719681 +1078635544 +2147171384 +222513742 +1744201806 +633717440 +843473759 +1043077878 +1356699130 +659221512 +988974342 +459103408 +1508105433 +1258945177 +574744362 +1000008785 +41175549 +2006351045 +281299237 +1197850786 +946750043 +1711902166 +211697248 +170529831 +238161801 +773114686 +286487911 +597257147 +305245113 +899294602 +1829681640 +887964794 +1977930146 +1829369377 +1110478536 +1574648305 +315603169 +1953952296 +470242535 +1672302299 +465690160 +1459216878 +2131405708 +1973795593 +570678407 +558666422 +826320730 +611853956 +417533819 +1107619968 +1809704743 +1364283862 +672038486 +2021401991 +1534813693 +910200287 +647033029 +1821301604 +1507457435 +952278142 +573112558 +1189655427 +1840242937 +403559057 +871541156 +803237825 +1978207362 +1187144326 +609706473 +300966249 +711962977 +1075396633 +1760183127 +695885037 +901708579 +183377887 +1254551459 +1728029309 +795231843 +1672085278 +688165629 +457452938 +888885493 +1360204116 +331371281 +276215538 +122920755 +978404311 +2097517143 +1630378190 +1930682453 +523146053 +672549970 +1623441742 +926705110 +1544091126 +279195920 +757428824 +583751804 +888902393 +1058395074 +1295714782 +1964299027 +671094553 +1991599819 +718523958 +854472440 +1098667631 +299069619 +1649704284 +623269261 +987235249 +2107157222 +1512154754 +199955717 +291044856 +1788370293 +322876472 +1269449167 +1738403788 +1953254663 +1052647972 +114066193 +478320985 +528606067 +1040771304 +2022412111 +807801987 +1798200128 +458680268 +1696704380 +709111554 +1754395050 +1513519759 +1380206108 +1598511221 +84560069 +87194900 +549695204 +383629689 +1736899184 +1172964466 +1370864938 +1696572759 +537635572 +1570820655 +1987617615 +178522217 +1893697127 +1109583134 +1916926005 +1699468142 +14747458 +2030992199 +30305479 +543353525 +924279855 +2052717591 +1351155512 +574996335 +363914211 +900376245 +1284107890 +2118309261 +266412356 +516830350 +1569336834 +350972426 +604025250 +2119032039 +734602115 +193440787 +1144512857 +2105467053 +1890013546 +1682148429 +1528804060 +1730147513 +1860670647 +1275017539 +692246999 +1630113004 +827002034 +706994457 +1513621555 +857307513 +1250347983 +290417762 +762541456 +454019847 +865414098 +1126455667 +1354396092 +2038340 +1097281280 +1620808449 +518868690 +519134467 +1971780875 +1122893940 +490682858 +558899342 +1316334727 +1635195715 +516882747 +1058864625 +1169860496 +2045686807 +641528490 +883047495 +1173220698 +1333775489 +365676852 +2000222732 +2040769947 +1879298407 +710046598 +1143634282 +22232522 +1472588054 +1597654129 +887646620 +451560074 +804566574 +889684960 +1548841354 +277891375 +1408553650 +2067975821 +102188602 +383963942 +411175031 +661087944 +1700298670 +2046370746 +1177970691 +611679647 +1068747595 +1076173850 +1253208138 +1951795090 +101910900 +439499979 +169988294 +2102133633 +332786278 +2049286702 +664696583 +1476420560 +2071519224 +2137284637 +926591042 +811682196 +441361063 +1731157616 +1701367156 +1990202418 +2009048991 +962437158 +1910694591 +2111237593 +1346401100 +174385975 +624841889 +899216122 +73273073 +1802812580 +1510895770 +1142020668 +731502782 +616620260 +946332111 +833413682 +1056120239 +1116320405 +788063667 +1388906518 +1018123459 +1452760250 +717843430 +942159035 +1442561240 +1644434472 +1753841231 +1883922303 +1228108440 +1307724739 +1726641073 +1089673783 +122678249 +1489852017 +1053427728 +1469079350 +1664237992 +1678269617 +220811824 +1737511065 +1333598549 +1731707594 +732048086 +2065101331 +200844206 +1678380197 +751031366 +1256964446 +647216954 +1539095033 +498387316 +1665340414 +844371636 +1216230746 +460015801 +139449228 +713181571 +66373385 +2023371531 +1941290011 +1374098124 +1602528957 +883480147 +1496776374 +944897326 +1936907875 +818372076 +461651670 +1467693845 +1039183900 +51679087 +653808746 +623407847 +783727173 +571426430 +824252053 +314623722 +1322457796 +2081216499 +961840677 +714069181 +432120167 +479697443 +1558440817 +1648350914 +939713244 +1697890045 +214048837 +1006086629 +1573777929 +7855200 +232701106 +1028823238 +891335347 +1729477480 +1973720564 +680759575 +400365908 +287888586 +969772 +1439549808 +339567673 +654778518 +2062957655 +1123294847 +1226204948 +739726061 +1437918569 +401179096 +673458912 +252275598 +1115248278 +1105579080 +731973041 +526205447 +606446346 +1671686286 +76611845 +820495183 +530289267 +1650389774 +828350383 +762990373 +531729364 +1719685731 +344984205 +357966280 +252961658 +745350113 +645854866 +253931430 +37416274 +985422539 +908709948 +2100373929 +2108717386 +2134914897 +692616342 +1399152308 +388610345 +1366075255 +1651427906 +1503858623 +324170687 +235917300 +2030064071 +930617033 +1907603586 +2106675916 +1751112216 +290409205 +1609582042 +431978951 +1053399579 +2141311406 +4181034 +1398383784 +351794038 +257142692 +2143733898 +997648904 +511074122 +33666524 +1983071443 +1419784071 +2134040453 +1944305182 +1407215320 +679173148 +1195973842 +1795825665 +2045248403 +699918100 +1152200641 +221935442 +935835400 +1034781064 +1152552475 +695955338 +993973332 +756181043 +986364544 +456071726 +1188159994 +2039764123 +449899484 +1192341029 +1290664259 +801693522 +1449483721 +1286914509 +1799342426 +1960557844 +1320581033 +1634930221 +1232858267 +1307137839 +1431751755 +492589939 +1986310987 +480241949 +140931956 +1884075742 +1180160050 +1293132597 +2106011184 +2115995450 +180430013 +1111080011 +664467141 +1174403345 +1867261054 +1650831685 +1630475071 +907937400 +1543112160 +2080374555 +2100278429 +686292771 +734584429 +1402278503 +1973207281 +386443207 +1215352699 +1146304666 +2021373429 +300727318 +305958857 +1305641536 +793317257 +144786196 +1785883486 +934249213 +2028861938 +818559888 +79898163 +1987389474 +787071690 +260328176 +950985837 +1451538831 +1434731522 +670763243 +954886868 +917722945 +1578700644 +350515380 +850613853 +1531495425 +1036808152 +1585198282 +786290280 +862531785 +1971641490 +2001642979 +2008836451 +1845531271 +154886649 +167311661 +1003689159 +948203906 +312097857 +642088997 +1882453120 +193476148 +1460648885 +1962351283 +33381974 +100236928 +75195811 +984367812 +1551775759 +1509927333 +1655131055 +359178980 +280166631 +1086348051 +709694360 +1130780484 +470359829 +1746502512 +568495118 +1256650109 +461550649 +392652960 +1110809441 +322903453 +90700583 +1265696090 +490215114 +1094389743 +66416349 +802312971 +1736478740 +1948869469 +995789119 +1049643978 +1763737104 +1029171094 +1149880906 +1838932915 +2013538906 +554173017 +1201376601 +1521186313 +913351997 +1481543232 +460050717 +1623046358 +464840068 +930410546 +1222065222 +1033335186 +39577007 +1683615872 +1425988147 +1150386448 +2006519325 +1516688730 +268598891 +349250791 +463594825 +335015240 +1151563762 +52589918 +136401061 +2147352882 +1102233896 +1900138165 +1029040328 +104631154 +1591587432 +895095586 +658804171 +645480385 +268798251 +1572156169 +2127023617 +728848968 +1047718879 +444380037 +1659259514 +122300453 +1477715224 +1698836522 +1805916325 +756219723 +701739322 +1664952002 +125424805 +970338213 +2014202793 +589019631 +1305353453 +1018282908 +641609549 +1441754514 +1018152142 +1743843445 +1194409031 +2047192470 +1848474599 +638512816 +794804408 +359795122 +1283993201 +1063602659 +1931951291 +1263533171 +1792451628 +832186522 +1707913208 +1304227494 +954486976 +1038144784 +855580368 +612919653 +1794364507 +1557319691 +130388008 +1919789313 +380174256 +2144590801 +361325296 +1685527710 +1015390061 +1002934845 +979798576 +2033542203 +599294642 +26723960 +1933251025 +300285593 +665236776 +580571785 +660080715 +1949229977 +1644174445 +444548359 +1065279500 +1289142425 +1276734881 +625709061 +445886271 +83738209 +1663853845 +1301466640 +696657863 +1310734705 +711302683 +827045871 +1083040370 +1091476939 +824153024 +1444365666 +629521001 +1839543086 +299816863 +1609319578 +1725601641 +899111505 +1636043538 +1511369019 +1199397098 +153796666 +2091940804 +1859477813 +2103026643 +1588631601 +156542524 +1020822496 +730290378 +1433277406 +1646531557 +1176176650 +1517015615 +1162901754 +330159642 +66189830 +326152811 +1041462325 +893235701 +1409193181 +2132939264 +1717388726 +706075199 +614976618 +1409448164 +1005892062 +76812548 +987566157 +1905003567 +1712856086 +351451528 +956917017 +1866652752 +295908685 +668911183 +1822195747 +1884540286 +825453707 +695534595 +467347017 +111247465 +194582504 +1643523667 +1628263081 +1357484259 +1973683309 +1694452911 +1683637070 +867661986 +440204965 +945346604 +853117602 +10110043 +1651421803 +1468094220 +1419558207 +509830218 +1544906768 +259640716 +267350137 +1110279206 +611092245 +1224267155 +829448310 +907000930 +1893178338 +504160410 +644057568 +571148397 +1199695005 +1111404585 +682395863 +1394277510 +607444604 +163175296 +604278121 +433644265 +1857628207 +140431543 +1301306251 +150349524 +1085778147 +6940206 +160459567 +589716303 +1475034426 +1580017774 +1099546521 +872457547 +1839658491 +1366896658 +1982736753 +303267088 +443680165 +664701416 +1210268018 +189374855 +1168861826 +1854325586 +760523253 +221073183 +818246524 +1442919116 +1615350693 +1425691128 +1606094412 +72145166 +1859335394 +1316238971 +212576710 +1013157997 +1466588496 +1298354857 +1020098203 +1627048063 +1888071160 +347648982 +1059582190 +840134033 +1220106529 +751757033 +59547044 +1055359634 +1055024121 +503227209 +1720061050 +117808491 +692602065 +741439228 +1972134077 +1453125318 +962512412 +642896953 +748560786 +430379457 +2068588082 +207171550 +502524624 +1780439828 +1523410521 +715101334 +646114177 +842515369 +2013456191 +1666212381 +322079785 +1754043704 +2013861363 +1381661975 +446694089 +1086484244 +2133419008 +506241133 +2141843878 +1040959481 +1009468343 +1714421281 +1158767972 +1702070408 +308376861 +983418401 +1007712078 +1270889273 +1626315355 +1756272864 +1701268731 +1547419789 +1963444414 +56309707 +1180375969 +1339371287 +771411041 +1826490146 +34403009 +637383584 +1345218879 +356482794 +243943640 +1211596594 +1738144769 +690637730 +150597190 +1724080129 +1196878863 +144957421 +617555962 +58863558 +1859378702 +1776323934 +1760933966 +20271915 +612258687 +621162396 +1291161189 +91090394 +229951612 +844946272 +1638510183 +45912378 +901255979 +671402504 +1385283666 +1672667020 +350409003 +1419686675 +162566956 +1695627882 +1776169469 +406510597 +759740829 +1366830590 +1097148327 +910338019 +943427071 +146543542 +1055295440 +1560983033 +205407101 +767190494 +1189823319 +1966341067 +787462410 +1802082006 +440019816 +2078623599 +1893172401 +669971428 +776086223 +1384198936 +715883807 +1677342202 +2055601441 +2101167473 +1202525574 +258526796 +1373370500 +1365092530 +1954154678 +1002056321 +1771603127 +566411859 +221403263 +721267806 +1476749879 +1164830334 +867811349 +384561671 +578329719 +1073218450 +1151752166 +1768153038 +892075869 +1939214576 +1422751396 +1332095685 +1870354527 +1168440149 +2002067114 +498957102 +405155438 +570467273 +28815656 +313273231 +524151098 +1231341230 +571800027 +1897521598 +448950112 +378471057 +752094271 +73069592 +944882917 +973497534 +794337398 +274149148 +2138327868 +1662148747 +658710819 +569173939 +587883549 +1810462985 +189843329 +1479959419 +1602193913 +1612594725 +664571456 +1325064792 +633551227 +519154922 +1824021894 +1038706665 +1089622195 +1852837550 +1351979896 +1613773293 +936695132 +1923779923 +1363811243 +1385645245 +154767332 +2115905514 +1458714837 +1099650249 +941919400 +105568587 +1373799397 +932763620 +1767717335 +2032510217 +1501937559 +208117236 +1695489554 +1691780888 +1688076655 +1150199820 +1156891966 +205164464 +327780964 +1790443193 +724319386 +4319211 +681666210 +1813941582 +1857156761 +2033646106 +1280231227 +646368246 +1809942381 +496558823 +2032013491 +1964709713 +464980689 +1343244680 +916876315 +1406900090 +1448813267 +143192064 +192180062 +1069046954 +28218633 +1694117622 +1277164191 +1723708188 +1238414862 +817757198 +726424360 +247823180 +1022921662 +1054205324 +2038266373 +1747241049 +1058524535 +572448935 +1413698983 +768197649 +458611393 +546446562 +1414565895 +121070126 +1043005385 +1299095738 +2085779840 +1507986075 +494856770 +855172507 +767402517 +1943670037 +998364571 +959582579 +865233344 +1026583205 +506216553 +2142397535 +602807745 +1744631416 +812671085 +1329232105 +1992454596 +1835592748 +235953781 +1883237322 +1435350149 +1294478317 +308202609 +701565484 +2062675966 +766814003 +1248012046 +1329758213 +887884129 +143533784 +481370303 +826180321 +1651519859 +976227073 +1681352828 +271438728 +772413462 +532233752 +1231021307 +1637646806 +1558816957 +1737237861 +1632560693 +14141054 +1334385629 +297748131 +1343373159 +1179356577 +2133340879 +1579326940 +915110251 +1421207380 +726321609 +1223312861 +2122772864 +641513927 +1990126864 +1223301262 +1971272140 +730527345 +1366835046 +305158795 +1556707667 +870871257 +1281385868 +1090576847 +1142309985 +2053799331 +1622810599 +225847645 +1543962489 +1034143908 +1963085506 +1029039535 +1048284962 +1149987487 +1326787666 +244174473 +181860416 +1312644897 +1823501414 +1096970668 +586368629 +402339375 +172799881 +561657845 +1043853303 +15443097 +1784959107 +867641795 +745970442 +1004310506 +1172800591 +155194461 +1875181763 +306702811 +1245771309 +870008101 +213018494 +721098260 +1095855746 +1756980984 +1755242169 +911457604 +638536871 +656043483 +2061445091 +1965324537 +900217957 +95821859 +1130485786 +576235723 +1192792527 +1716854415 +978575098 +1365592408 +131028612 +2022428401 +1381035505 +1915987719 +742586549 +2127005948 +772814577 +1915387140 +134716761 +500512693 +74606303 +1380488070 +1370520794 +287624798 +2101586331 +318892892 +2044605782 +1709344852 +1230350496 +535659005 +217904687 +1144311939 +353499894 +1118122644 +1240133798 +1483985680 +1694358367 +285442678 +1053356447 +525449818 +1651035086 +1184385059 +400394571 +884586944 +952889130 +1142981120 +864109244 +1725703708 +910884612 +998826005 +78732753 +985490916 +231830428 +1449253547 +1273115714 +185933111 +1768146439 +1170237848 +1895277963 +851013287 +1705896853 +2113182650 +1995325226 +2059396747 +1083821647 +1087975376 +1395898779 +630696366 +1373418054 +301771578 +1156146184 +876969493 +1486156637 +1556540756 +1761556437 +291562119 +552038228 +478182033 +2017265827 +1462922841 +1477008038 +2095998580 +300930109 +1708838466 +1397768479 +1574045823 +1894771577 +1018431270 +596800023 +1642565892 +1869444557 +155213228 +1608264895 +1717286135 +67126327 +544602894 +657777864 +1463025106 +1175299260 +2031195918 +1764796684 +183961797 +760681763 +1103469673 +1740502553 +374754552 +1395031792 +145057133 +852936585 +1264813972 +1607979974 +182460976 +1213328904 +1908910083 +1891299442 +463613736 +1335472258 +1638587372 +1482045006 +1932272281 +1133669616 +1204005916 +2087485509 +594450863 +773808403 +7128188 +1139053757 +1431586267 +1470153294 +166869370 +1315298538 +1087466330 +350831167 +2075980301 +43452355 +2091333720 +303251206 +1438484148 +88907205 +1156187791 +555814472 +1696887180 +1338648767 +1769143376 +1458313615 +1082464562 +85273464 +646302226 +573568286 +1567318471 +431090859 +1707237902 +623840739 +371092721 +154205118 +1397649142 +378220909 +1293258875 +681751762 +1848374204 +1460128245 +1997050300 +788356886 +1810959412 +1925546953 +831809242 +1754809484 +81314511 +122809742 +1843716690 +1237502303 +678624214 +1393120222 +428667422 +300283942 +703950189 +1511131984 +385557407 +1350252415 +2084700270 +1952875878 +1781343275 +1644454525 +429232969 +4952348 +1798659643 +1826882111 +383173257 +944434870 +361150225 +84063813 +257079468 +210716877 +872420700 +2068038880 +2136263831 +1704229942 +1675364717 +70094694 +1827039684 +1371597759 +1307596997 +358180250 +617234333 +1736264420 +658464192 +1321184522 +1099912756 +1044021599 +523953290 +1037129379 +849413829 +157812917 +534100256 +1278646798 +162765265 +185276251 +958045262 +545938522 +1129711121 +1319195487 +630002336 +1386790589 +1529912365 +1502423036 +1307345822 +1518692548 +1059169330 +835226891 +1588787242 +738725366 +59341002 +748900592 +1096905616 +676575335 +337681364 +1755369808 +1997759857 +1437594120 +651907760 +374229499 +327239851 +1501321589 +532042416 +861340107 +632484740 +694807681 +1046616358 +1590530002 +1240746204 +28843832 +762241841 +1870748540 +1415634421 +144670558 +1225687928 +575496595 +1663363106 +137373610 +1410723486 +1104666701 +876098976 +1470064488 +1853567293 +1973004592 +2146639823 +43765009 +1580890752 +1996916033 +1481359129 +85314864 +223661884 +1808598981 +1586636454 +755704301 +522455440 +71637546 +1450511982 +1569071799 +1662167548 +543774538 +1597915631 +276925741 +267039430 +866066404 +421596300 +1492727358 +1441563000 +2084959406 +1630100968 +704802838 +1042142459 +358716296 +27383679 +748226104 +184237240 +26539854 +791991113 +1765127993 +2023455887 +125866595 +1850442857 +99634124 +1934465576 +1289595663 +855338425 +309437368 +1361233209 +158366759 +1878509167 +875917109 +702141298 +1328941150 +1152842851 +969180728 +47523907 +1574439151 +314424439 +1489086907 +1511914909 +1944525407 +46406097 +406573721 +155758056 +73789776 +1154799825 +339995296 +100329631 +1946790939 +2105123289 +2123785518 +2072657534 +1808082499 +75935994 +1859639462 +950194514 +931274419 +21593182 +163944076 +1089641179 +1900102350 +1039861185 +1791782477 +1081559852 +45220388 +613479557 +1129083759 +1619659539 +927903996 +470687018 +984090801 +724945756 +517093116 +1390664522 +880703812 +590882892 +397980699 +1220699108 +691212523 +197287990 +1178338750 +667514394 +122461876 +838937601 +743450388 +1982101338 +1789132115 +1674724808 +2003694521 +1953076191 +616882339 +1756313223 +845453729 +261181168 +690389427 +890674117 +874660725 +1819473187 +362850009 +1802564722 +142676557 +1346940810 +380026830 +659769673 +590121684 +1260730642 +1250652566 +988102383 +333946102 +1941865089 +1185390374 +1512284852 +461895835 +1307852250 +203738805 +1205346224 +1142469941 +1992870921 +732587384 +998680814 +1798463464 +1349469723 +607510389 +496433545 +1610650891 +1297899816 +1387107663 +337827968 +969889355 +1749957672 +2140392690 +1112565913 +949414834 +372935872 +1772335586 +1539536518 +1633666514 +875504504 +380155253 +1967612617 +669885946 +1565545627 +1332413821 +1131781781 +725914230 +1536152627 +189644357 +1868384171 +1381539900 +922231741 +719581337 +1032519716 +124217816 +1327091726 +1528953262 +1734868707 +477507894 +768577277 +2072696676 +1447397250 +371051301 +2065605718 +412479515 +1320466135 +291057943 +37331453 +712519005 +1924724457 +912835958 +1092674258 +1744853426 +1582721904 +510736238 +929783600 +567020037 +1236650468 +318452579 +756664395 +957550991 +1699992479 +1678896136 +1677132328 +585028547 +1803113953 +856740406 +2113981809 +1390499012 +1334248300 +735075438 +1315712040 +634161902 +1106126739 +1233834111 +1046641417 +279109226 +1524892054 +1083972871 +991628231 +1302132863 +1996808829 +2084302490 +899502642 +1432047085 +447555080 +1829286242 +1999067122 +1684205548 +255173 +608247869 +494272891 +1700247652 +139660358 +23921571 +137792551 +1942774311 +880661977 +104290713 +1185789675 +67426629 +839366151 +354018068 +701588532 +1945492891 +1587852179 +1748229949 +77118469 +965260585 +684719172 +1068746701 +119909800 +534044353 +1005565543 +1019412442 +1966091438 +1453120623 +701215036 +1817674913 +989842523 +701470209 +278439134 +1484115414 +254234213 +418099492 +1508036985 +392026765 +213390155 +241215314 +496317478 +1399179831 +308641943 +1335683629 +1753197899 +1010230475 +1133692872 +1193566430 +610976777 +1210811342 +11343367 +1295695949 +132074395 +131253167 +1829740303 +1137639938 +1150665610 +1648348093 +443276913 +1851880646 +1318539358 +1433119436 +405867208 +1596978493 +769751202 +660101421 +2015077985 +130304539 +1052128186 +80984493 +371519853 +1548445664 +1480164324 +680161796 +736645646 +1085878575 +1690392272 +1870338518 +131961357 +153885401 +933666212 +143304724 +1449581350 +1065740607 +274557891 +1131838005 +55896897 +1425223501 +632702451 +499173810 +1129620500 +1951241809 +1932293246 +1535487708 +1400736654 +554560800 +48105481 +1268330992 +684865339 +1100233668 +1349315485 +1056385192 +501195684 +681996161 +1736546989 +1237841330 +1767874736 +1279455613 +960696201 +1899836093 +1433341014 +1894362413 +2043140817 +735438716 +812619373 +170215060 +1867276722 +868516270 +1595438562 +352495525 +1367690081 +577575414 +156253686 +1152499679 +2113063122 +1556990341 +1707060480 +13684955 +677837685 +244442171 +1113918623 +2027153170 +1300827364 +1615114308 +561665683 +889890705 +705471990 +182056771 +21862670 +1666168191 +2081892864 +1455203684 +1413046957 +1977550033 +43158752 +78182682 +281445 +1910435474 +946698952 +1595720007 +115447351 +166905385 +25811773 +271701038 +1319405065 +2138874895 +1828691379 +878981897 +5076203 +359045416 +1123424068 +1118994826 +238714938 +276767784 +586625486 +800380621 +1166658489 +1292097477 +982437392 +1188521159 +810782020 +916846608 +496241195 +76345329 +746912993 +539399948 +154528011 +747194438 +302351774 +1101226964 +195430798 +417799126 +1268132349 +221242571 +689500164 +440053766 +212633819 +370707895 +1319035663 +217710022 +729753311 +294976084 +1336704848 +968468249 +571743868 +1923330335 +1768848870 +1738402358 +1067944164 +603802614 +779439869 +1878726184 +1520649222 +1275681065 +1955071514 +120078567 +1815081013 +2109599525 +867273005 +2117432787 +1063342841 +1062703803 +387748265 +183991543 +1283946375 +1077248429 +624045309 +1496580194 +1447956324 +1943080973 +1714290216 +30225987 +90573409 +903511416 +998694236 +662317277 +679358103 +620059458 +253235987 +1747302267 +1223862072 +1032675857 +1478544804 +597027646 +160873274 +1286132670 +717106213 +1975954287 +1248248547 +1584379219 +1945903426 +164107741 +499599374 +186168044 +348099284 +1783545749 +1263416473 +972144593 +1132642295 +563889150 +767741918 +699448863 +594115137 +858315327 +1602960280 +1592809374 +1520632605 +134834735 +65385184 +1773868592 +1882137003 +1289247257 +659060801 +1213198159 +1886274903 +819934075 +351847181 +455897469 +648404714 +1600095728 +2040276688 +446824493 +1764203469 +392392414 +632992537 +2112302753 +28454516 +1896409010 +936963699 +1161096811 +312814512 +1704705617 +1860545675 +906929650 +415537297 +1316022307 +352255376 +1936169902 +1450857042 +417640560 +1562554846 +1185510397 +1706887817 +74132000 +251224908 +1445679073 +894066075 +603072089 +1901576542 +1542470790 +55684170 +1794369582 +1989295283 +1819887639 +39278348 +474804172 +1784706745 +67732864 +223729534 +574186796 +1228829676 +536544047 +131408765 +941891703 +1443473697 +546946062 +110430362 +1795729073 +335632316 +1561287404 +65885985 +1898187163 +599314154 +1772773803 +1972319163 +850539062 +1070969228 +718901590 +1453611152 +825062122 +113888732 +1509295322 +471948056 +2103184015 +1181699313 +511226404 +430504539 +818922410 +578959269 +654234074 +1393109206 +1807788945 +1190778121 +1524517972 +602197000 +486768170 +2071464034 +712627362 +135013595 +259612703 +126431118 +200899580 +10316218 +725745272 +1973673383 +1982635381 +1576284335 +897158963 +554053323 +882411839 +1722221085 +667942056 +244223513 +46685493 +623642423 +1425922826 +557911898 +1054146963 +97361589 +1136871167 +1708381037 +1490470795 +797176464 +751675510 +867505119 +1399373464 +1238443680 +791485506 +2112000826 +1373457275 +1051098209 +90948296 +1574356855 +1061414427 +816693569 +1400546591 +896566160 +245494256 +150221906 +1450619483 +1127906095 +1872442992 +2118561539 +1372129608 +1919128485 +594720315 +650568786 +329556735 +1648867278 +747930375 +1466427902 +1209764667 +90917523 +116120718 +1961440177 +958422642 +1515494182 +1052400209 +1749908148 +1480011360 +278373836 +653522709 +1570959657 +1852730691 +1714937136 +240169578 +1105793634 +464019648 +485663834 +1256015541 +1914639132 +1613569929 +980974885 +1885717023 +838215889 +752619722 +332953690 +1488784675 +1082176458 +1981820968 +89231403 +401120712 +1044101987 +180148926 +517241431 +858058516 +1138571568 +2032735613 +1910458725 +740996069 +1365263326 +41348913 +1394518778 +788739335 +1894079605 +961972267 +1028908913 +852389591 +1425991915 +1514572747 +2108405132 +1193147399 +980659028 +941896369 +931380775 +1818874917 +1694516092 +1264334465 +1160175944 +629208902 +1098671786 +1249407347 +1030329614 +2142773773 +1429556273 +1547571045 +853348642 +420644194 +1432823011 +616323719 +1161640263 +650602689 +657672633 +408675393 +1439342024 +404268590 +1370647660 +320767289 +1256658181 +649155928 +1835340036 +1217579666 +1842303327 +668515416 +11992387 +626200454 +339906685 +1706508479 +1890534920 +1500082629 +188233733 +841723058 +602006329 +1218563348 +837013183 +2031562602 +618650745 +1690361825 +304723148 +2051473756 +159201897 +1466363411 +554592797 +816874530 +1875038805 +1993934821 +1221143120 +1098202817 +167218462 +330317653 +1747358745 +2002558498 +1547897319 +1442178425 +523590266 +1559889707 +2068378879 +863496951 +1118914538 +1811430151 +216095933 +1307148272 +505669561 +818102262 +378227972 +1342682745 +702181216 +996878717 +885560922 +1006904365 +900868826 +1044762819 +325784128 +1455461623 +1861637349 +53339285 +1301912797 +935296821 +1151542103 +1469131259 +1265614475 +751417200 +1324206110 +666028146 +46111977 +1847796376 +78434205 +2114490857 +563809680 +1197348744 +1778437360 +779905613 +357013368 +136623274 +1598007875 +735241340 +1479306019 +152705443 +1732120057 +217383293 +1159609808 +485505235 +1262146113 +1485393937 +1940966859 +976299814 +1538733222 +1095396008 +1911596636 +542791677 +417043619 +1029727463 +1294208878 +1741249729 +1695755609 +1340320855 +1441562458 +1774189815 +1307328064 +2005372138 +824054911 +938281777 +637794103 +1181068279 +1074905051 +88318330 +1916309619 +406727422 +241023773 +1500946028 +624110715 +1400633582 +1986451264 +1886256828 +738543871 +1779934475 +715072995 +129793445 +727846835 +479185983 +672585123 +1144890454 +1508913446 +1966794001 +738656536 +1057185407 +1159631208 +32735346 +683891574 +319475625 +2038107484 +1507946485 +1257757402 +528417939 +541531116 +185178805 +616736269 +310357087 +591906227 +857760042 +1811303116 +1216016942 +110909976 +1650270732 +954790123 +849453847 +1282721559 +1669863118 +979247293 +2010568394 +1565453 +1651832416 +1007975200 +1510478899 +1471142769 +1746631736 +420180658 +483290329 +1779367082 +1104072233 +802765954 +1669990918 +464535070 +2060523356 +50925209 +1006066187 +98218513 +667661478 +1316423274 +690124740 +1525421521 +980242742 +1906141683 +1636331497 +483029826 +713448158 +338301697 +1765751385 +235827628 +1317548990 +1628836131 +237393081 +821897758 +489327684 +1747871980 +145556879 +88475772 +20568990 +628847208 +1867842855 +1124641223 +1431613163 +1390350125 +1589176294 +1344652871 +1441275335 +447758833 +1442871385 +2108936813 +1764182107 +2132996125 +1486874686 +596941202 +1891654160 +975722536 +1079971028 +457618670 +1314024233 +698238766 +693446298 +484089575 +179591249 +930839379 +1305987333 +668918933 +531227711 +1451544212 +757394706 +551796702 +2080391420 +477753913 +1676437925 +1364520935 +1868104038 +1118130571 +561690159 +1161895725 +1565889404 +2004561544 +1123348891 +1182587864 +1990074021 +462739929 +1779529066 +1734244534 +1438462465 +712016446 +44379556 +605003050 +1410255212 +737825855 +1089092625 +1589846462 +1668665234 +247596310 +111281747 +52409298 +1699140522 +868676453 +604206000 +1632048295 +1346430366 +133160277 +849085582 +1067050757 +1251290849 +1410775741 +81462834 +669696605 +1267853637 +1204811725 +1852284469 +1110444011 +1667551655 +1484329887 +697204897 +958530472 +48862686 +741584453 +1563533523 +1459117898 +1479410308 +505142500 +901480712 +1000591895 +752738811 +1012762460 +1053001193 +304395685 +1881438913 +1657207193 +1936443980 +1080385632 +1790367470 +638045915 +2147436389 +894174671 +2048821656 +81415575 +1563871277 +1169191646 +1286227301 +1268672098 +132152009 +806295308 +605518338 +829356906 +1764825780 +654381024 +1570941359 +1180875655 +2113498922 +902868020 +1686018156 +867495987 +1903459915 +291273319 +1880258447 +808977460 +595669004 +1614213712 +318701005 +384629337 +547115696 +2109068475 +1022675252 +547068437 +855759499 +924013260 +628484013 +272147128 +2093204906 +1914711314 +1540819226 +77873267 +573522974 +2146337564 +907230173 +190865106 +653234940 +330687885 +1371740762 +619250215 +1233555905 +910275270 +1486746202 +989532172 +1201548589 +1219521001 +1798509632 +1797217593 +686251065 +2117210637 +34363282 +1233366762 +2078795464 +1057038534 +1780435199 +787071315 +1981051795 +261435564 +1059218443 +1926773053 +28663230 +452554022 +2004646321 +602186204 +451407938 +764392846 +793051311 +1104642879 +1095080731 +17308425 +1723893094 +181152988 +927583695 +1063155648 +1170685160 +2129132284 +135193001 +821711144 +1778866229 +821444066 +791438133 +1813229512 +2054810828 +722749950 +722784398 +1687762380 +1509821265 +556352545 +1949197944 +421556061 +335641951 +1977861175 +874110083 +192804624 +432563731 +1325518021 +957197470 +1225615042 +282677252 +2052278202 +1242923467 +2006570346 +85947542 +23023514 +922242346 +1256632703 +4672150 +1057435347 +2078343847 +1783538380 +1878879414 +722298333 +1449284244 +1786206594 +1445048283 +24584994 +1326485326 +807385900 +580937540 +1128199623 +1228941961 +916579491 +958577150 +2103052044 +1109384115 +1391140881 +1281086418 +2066581585 +469272276 +1563763670 +1971376139 +1712195743 +1422850369 +2057323682 +1735219258 +197609067 +1166472737 +1739891408 +1255044415 +1097332936 +1375946140 +986440181 +1819631269 +677746736 +625163127 +1117195904 +702331731 +1951648454 +1924581805 +1283269271 +932364429 +1006040118 +52365114 +1890941579 +961608515 +1161749229 +1134598812 +95211285 +1080847166 +1603871088 +1658974955 +904739658 +1168583184 +934341676 +814579692 +756318794 +1131950744 +1981052429 +348726554 +239511511 +930901717 +1724672695 +1225951692 +603049339 +254935783 +1851114819 +1720245243 +957267514 +1655279625 +1497343400 +93053137 +440160406 +355899871 +145418251 +183618337 +1317508386 +1307167480 +1318217150 +1412719671 +240530999 +774604590 +924210978 +1145270657 +1943187774 +1858552655 +1959850349 +552022920 +843019751 +1793419130 +900749475 +1082531262 +576837199 +477938522 +160999306 +1179886538 +732874305 +2012114125 +752648134 +1690141820 +1519910103 +102507886 +1783194957 +1960070509 +458407757 +1928613209 +2143688847 +1775916143 +1088297041 +1314422349 +1041152166 +1328828040 +2089026939 +1965363145 +326615049 +1884731066 +1676432152 +138981750 +289270338 +371968255 +1932400880 +1190019813 +1454499517 +361754432 +1667958335 +1615498823 +1541640970 +253348993 +1480129300 +146805456 +1943490813 +852555755 +249313343 +1579202122 +665142617 +707721100 +1360331683 +661347816 +336153596 +301145077 +1975770165 +1377305762 +1629973117 +1917313456 +1195185259 +1956588167 +1654560874 +724133763 +2095569917 +1943831213 +1096102018 +1880487150 +986367378 +403117887 +94757934 +506842066 +2018616710 +1636398904 +760191059 +1351262363 +1783204361 +556198224 +56334470 +2032517704 +2135400346 +721477087 +592755156 +1348248382 +1382824903 +928908752 +1649393459 +1211111420 +158730867 +1131882928 +980941229 +1353916126 +940987447 +488018455 +2078049890 +889073717 +284366020 +1026668260 +622077219 +1270733399 +1429786148 +716835153 +1777575465 +1300919210 +205750409 +390282876 +504697925 +1988954770 +946481100 +561032396 +1873988826 +934397798 +1282509483 +319260335 +135162532 +517850739 +1248169087 +1784555991 +1728962159 +1406899954 +768955272 +562419740 +613332433 +1709942719 +1050438196 +543898675 +451532788 +1334804216 +1570566935 +1073610007 +458053967 +852869435 +1790445160 +88145784 +6304998 +1996195570 +478428660 +511002923 +1837666692 +1424909760 +1072035319 +1564171871 +211823911 +207061155 +1883432206 +346986443 +724911894 +984117645 +2131542435 +306390405 +243533952 +753014059 +868810146 +856866385 +315473130 +1919248342 +1400765060 +767005919 +1106568910 +823848347 +1840615926 +1564622878 +1676717783 +1483577439 +1652768662 +1683022781 +1332289361 +2131197323 +46542056 +1022472405 +1408623435 +1118577376 +439160628 +1620447346 +1325638531 +175109186 +1967433790 +2050550425 +1159226832 +1951492577 +209457182 +1402760784 +557022988 +1078267328 +112143521 +872496118 +850032022 +1512908581 +1639502037 +1956600933 +189273280 +1332634316 +1373740163 +1865991063 +668728107 +879025177 +1401530196 +2001017468 +862738852 +1448072253 +876006225 +123878640 +419165981 +1315166854 +1744325986 +1744804512 +1490276040 +1564276128 +1647871289 +502019224 +1368285057 +1857328471 +1904780008 +1925308045 +788112152 +2016923529 +650320516 +1638144174 +1382348462 +142338905 +1447261459 +1571621743 +1474973221 +673517974 +1290129158 +2143701328 +1552543152 +544175707 +1997235148 +267798356 +1992247960 +725757726 +391676996 +263930293 +2040924580 +2136002983 +2008734805 +1383716972 +1552795463 +1509122446 +1885736197 +773596873 +1218967269 +1643032557 +551421270 +2007079421 +1512472439 +1201741786 +1497739948 +747337253 +1344080692 +797517759 +171475348 +671570265 +1471035734 +1461604507 +667787946 +876095238 +2005780214 +517539446 +1143893594 +1850544526 +1243297172 +1535570591 +2114474819 +1136738104 +1524089926 +1975725976 +372971429 +929401741 +1337364774 +111223978 +1702998614 +408848395 +1754256535 +106936237 +268444169 +1119245326 +1308678023 +1766184117 +1866582580 +505275067 +416218228 +2038057928 +1176845333 +1887253962 +1352178787 +1844633279 +615865552 +1210475353 +214689077 +1759759147 +913536231 +1457986250 +1147846090 +880527402 +447240706 +524452368 +708769730 +820212135 +1453854109 +2046134504 +931436113 +1009369076 +307499252 +538209001 +1116305313 +575943421 +1657454327 +277499688 +194643890 +1376553259 +782774756 +610862118 +1267127540 +1959620089 +350632433 +471822679 +1656769720 +966497985 +1682298033 +1871458797 +578773484 +448350616 +1181961399 +1726619574 +1328878019 +1629202106 +103588294 +2037647749 +301930593 +1557442404 +1936298606 +1233366707 +419327832 +96314210 +1771575708 +1535633145 +672257631 +1281546387 +1813132833 +866901521 +510615999 +448423941 +1477763639 +1777743539 +260560382 +1828396072 +102082570 +1917330102 +647410410 +1784380603 +1641305252 +1226183894 +85247572 +675783003 +805319821 +1414125591 +157501461 +908908115 +1304289692 +459432055 +318866871 +1093104650 +1692798762 +738194703 +1189418860 +1316890822 +126344200 +1861676491 +450953561 +1939477034 +581094364 +961569560 +240417327 +2058858004 +591829451 +500977710 +1739770428 +693912022 +270824164 +239697190 +330808977 +1912129416 +1465881085 +416056549 +440428772 +123717258 +1830182140 +597930233 +1032625373 +986988185 +1057362288 +1351492245 +2080092835 +602677402 +2089686948 +1122028048 +1919568224 +68547501 +836220891 +223038138 +2008024535 +1417315256 +1184607698 +100958214 +1328689612 +1776437150 +601935924 +920976392 +322865524 +872760089 +1160673583 +653674501 +637405857 +479071020 +1069731051 +1077834629 +602788278 +752429543 +1675764863 +1635413651 +1739417728 +585643503 +839422248 +1672026916 +1188320906 +781625549 +646571316 +960405482 +850173050 +1482792207 +1183443620 +710713937 +752623815 +220567671 +811672151 +2081313427 +1997004821 +1413608076 +854806172 +172386697 +138884517 +2015479755 +826061198 +776290374 +347067127 +1895792249 +1854125004 +949855405 +500738145 +1382406219 +437785408 +92672225 +1968049722 +1277207657 +1764699141 +1008886980 +2058833206 +263786809 +1969292463 +761522608 +1746579017 +1005252435 +1472236545 +351719184 +1225820106 +136425048 +285548964 +1075341279 +1550033124 +1140355136 +1247727976 +1688917641 +1008351243 +2073789175 +317724368 +1355418370 +1822097776 +24365724 +157790127 +175352273 +1406771943 +595575535 +268024499 +1227338017 +1872783192 +2032723640 +88741350 +1784132750 +149026802 +2058033813 +398171710 +1895605819 +915802600 +1870408255 +99841355 +2141622707 +2006833304 +385390319 +1069480338 +1409382780 +1525745455 +169724667 +950816774 +386613050 +96030194 +1268541142 +1742031420 +1918127970 +1292906866 +1899821547 +2093480244 +552195161 +347913435 +214021095 +1779533178 +73212979 +99261087 +1868274528 +1857345730 +248287889 +1778824693 +108033792 +2143893708 +547143646 +1978442048 +96251416 +541282705 +1837791704 +481641735 +1610763043 +1099690836 +2007387191 +1780487710 +2050507610 +246516593 +1876517904 +1171565104 +1988548014 +1647162227 +316988322 +1740885913 +1593158823 +869183483 +2088799348 +1807179918 +501233014 +14528680 +1906441005 +222023894 +1871874410 +7245247 +2000848588 +1979908202 +3655307 +400508586 +1810866602 +99906723 +941791291 +1501174658 +581548459 +405070686 +453381847 +441452002 +38074749 +356405809 +687968595 +1914592653 +1527970914 +529032961 +1414271232 +1844959236 +122435227 +859946407 +566659072 +63750927 +519642677 +1067892086 +78279607 +278600035 +1289915980 +1950154017 +285845282 +1143280920 +1782578572 +289500589 +1543789506 +1445961526 +389407313 +338097149 +799652537 +970955772 +743167836 +1253034384 +1412407774 +781242585 +1609440193 +2100376369 +548351590 +989927459 +481925683 +1962622823 +687403048 +604360910 +675085582 +1254062120 +668111837 +1194728260 +174470558 +746391445 +1473328295 +1464386538 +549061814 +1759173577 +460183811 +184156738 +2048674166 +2003973317 +1630118265 +290597831 +194586819 +282287154 +1261553603 +937754655 +1535321538 +526477729 +1718997240 +997278083 +479370451 +119865182 +1987205543 +961296134 +2082488005 +527124943 +1565657044 +610089940 +1781187063 +86285233 +1804818200 +1955657621 +832676678 +1130662847 +1272560511 +1381738493 +742352776 +1732744322 +1565895231 +643543294 +1589233992 +1048529848 +934141126 +1783820811 +1330817002 +48211081 +574091818 +718654892 +574688811 +145605410 +1715932976 +1054059262 +265470592 +1555654871 +2015355396 +200474950 +2082779814 +1433528792 +810564890 +1716483229 +1519814025 +467899442 +1524657202 +205007056 +1598562289 +649734065 +1586745549 +193431417 +234994740 +1005157132 +836974711 +1824228732 +2053686981 +1771115837 +1460565895 +1237020335 +1819326919 +2034657713 +1955675228 +246532082 +32779475 +1524124556 +1300591344 +298250067 +932295779 +1168463092 +498725017 +867591945 +454508236 +1309289907 +436591526 +1974322261 +1777189349 +1961248728 +31845669 +1228267990 +463499145 +1618591218 +1421699407 +698493885 +476264703 +111190471 +375238969 +382468036 +1882306308 +1835804864 +1619488371 +1554149579 +1722978929 +1427679951 +1800681661 +1755758404 +804320859 +953789357 +2054008472 +1736616638 +2122252449 +405249841 +456724935 +429277037 +1714539749 +893316461 +256115651 +1344245450 +707081541 +287961320 +425029793 +1170580687 +1906552539 +1846729200 +1869074572 +235333594 +1957919671 +96829894 +617801630 +1692742332 +1932634758 +89806353 +1099408263 +1508130040 +1517486305 +752606277 +1116404796 +174323516 +1706395634 +1022929620 +1910940155 +1681164436 +1428179462 +220181442 +2110441473 +995235563 +1113497904 +219073476 +191997365 +1820579445 +507034797 +617027158 +843676484 +266103688 +316272711 +565267409 +501437282 +126708734 +662097303 +1119238912 +1819451066 +447248413 +1209045265 +771375682 +1955378453 +579047922 +1523981959 +924299602 +753371439 +1082893945 +1947229222 +516827946 +616574733 +1227925036 +737009388 +579532559 +75676951 +1850507292 +798606035 +267674317 +1523603090 +1305640832 +884701475 +219795926 +1571744520 +1200974186 +785063335 +2073181802 +1327682921 +1447160638 +1044937066 +999650339 +1894409052 +106498684 +1771026021 +1702303857 +685546606 +1147524332 +479119811 +1438918045 +82934630 +278865386 +1955745991 +699509363 +1506790422 +545271732 +1279041922 +1582467374 +248295376 +2077647958 +1850141691 +1771898466 +1235805142 +587359518 +1991694393 +660066015 +1788333705 +629274080 +585764169 +968532978 +2076434719 +1630701236 +1968183317 +1823360123 +1737199920 +1591725691 +1378180332 +275262878 +591766375 +1857300144 +1714180924 +674701005 +2136165530 +1522443267 +1374210369 +1495472304 +2067714999 +505768643 +930456030 +168526728 +435932953 +633114073 +1940425194 +1671738096 +1220473592 +1784635939 +184320463 +861323649 +266426372 +770084632 +1829856627 +195377443 +253302220 +1650556296 +2018737566 +1990502140 +1094798339 +1249434250 +118281371 +1686564715 +959250746 +1832462295 +213782072 +947932628 +1207421914 +1587992441 +295921285 +1127653266 +2093761085 +1226377315 +1296179994 +382210390 +1859491389 +1089121540 +2053948486 +932481333 +726273832 +90785301 +1793804982 +992700204 +860869934 +1476177961 +1188077647 +1114172154 +979250609 +1059331565 +957190647 +2074048949 +161282167 +1075472018 +1613130016 +1120532914 +760450665 +1826912088 +2068465542 +1967872579 +1267420882 +216903179 +948042197 +1213698319 +1443280495 +96738543 +1595908709 +1155288236 +1185860084 +1502373548 +2087769569 +1912133916 +1593158849 +1734090903 +757350472 +306545135 +1062785216 +1945428119 +1420717290 +2042035825 +857276036 +230424289 +1968601126 +1018558203 +1305896307 +1434247494 +2139091117 +2066346972 +1113675935 +2060073012 +1886735903 +233613169 +129492543 +687294453 +1447311488 +1572773038 +784032996 +895736549 +580577626 +1969893080 +250626449 +520863547 +1734543348 +1843785299 +107470802 +344410172 +2846786 +1170256018 +142354643 +1423564076 +1064808196 +999630679 +1653988365 +885925674 +2018188883 +812401024 +172689521 +2009796352 +731264348 +1286365456 +1922385716 +470516604 +1519978625 +2051878260 +1157811057 +819806465 +1477167650 +1941844053 +1715543014 +2057745277 +1764253486 +1966169464 +431125176 +1351313186 +1662471115 +538595979 +1695723359 +1665317901 +1708851997 +1838078002 +941398330 +626176545 +690225034 +447903047 +1512102220 +560930269 +1260304072 +1684791741 +423242973 +1991568420 +823673549 +198145042 +314601376 +196168526 +102539654 +1472412433 +1015974991 +1579707304 +1266772839 +584034357 +1489968933 +883542677 +402720173 +1921094110 +87372215 +2065191288 +312206441 +1783095574 +1583025542 +2021058438 +1473689929 +376940224 +499751336 +16431315 +824843271 +2011853556 +577361584 +2085147343 +1549161649 +1000604557 +1929232116 +225351550 +1198749599 +96349844 +421520076 +1301289253 +1568762278 +1437495067 +733512910 +688051469 +2021529424 +75998195 +1571594146 +276765950 +1997092305 +1658966361 +194473590 +161815098 +1294578288 +1777499132 +35389889 +620784569 +6955708 +535141225 +637215884 +831798980 +399511133 +1214577468 +769462675 +1948672782 +67698377 +551211143 +26540684 +1266447977 +647560988 +448060760 +420253582 +68839618 +1885555827 +1153766492 +756891087 +1759601603 +1229764688 +181001585 +2036367553 +1079373345 +1839967946 +83357496 +1241188444 +987062586 +1860856628 +1276578333 +1607847155 +1867812337 +1811719558 +97579391 +552127669 +63747043 +1312156859 +1321590344 +2012419825 +1379855237 +1872801488 +2038960509 +498819566 +372878828 +339537621 +919073148 +441718446 +77609800 +2072839641 +1198609533 +1837211403 +1155120681 +1379611118 +1726095309 +87010378 +1072095416 +1809452805 +1328198822 +2059158003 +1522825785 +457293507 +1519521510 +1243154474 +121529417 +1617100902 +1795282143 +185276460 +781774113 +969388840 +50212637 +14145702 +694706680 +2089173146 +512965268 +1067585508 +281227119 +1432038417 +1509303954 +358836919 +1357394410 +560429839 +48564675 +365031443 +1940040957 +1774659984 +452041821 +864652725 +1436629141 +1780240644 +776327080 +811971278 +90050503 +148364943 +2055125753 +211579921 +1765465845 +1702924248 +396856381 +399756310 +524829440 +447069019 +413902013 +1219536120 +388758517 +926867281 +139637980 +669985637 +211422050 +1648941934 +1028822556 +1568816460 +61888125 +1077387231 +1933847903 +2001929082 +704563567 +238406077 +719098160 +2141192708 +2018646721 +1495425240 +805680339 +2108697224 +1643790183 +713322444 +172793497 +1261772380 +268763044 +569649879 +1661528691 +793592485 +1016718898 +2075430704 +2013128605 +1405477415 +854814337 +5282938 +2075463052 +1066236388 +1654224872 +956801961 +487569200 +1716112998 +2034189192 +273933456 +1570558432 +591269112 +512339533 +142172944 +584978172 +383502606 +1637598185 +1390658511 +344716182 +1133904720 +2103980955 +517509680 +248193453 +225260352 +1087159559 +1909722144 +1018852837 +2103878457 +1837669200 +884497794 +1361872224 +544999889 +889780732 +1289851629 +1611236277 +396521957 +99169942 +2098805478 +2112634955 +2133359134 +225255286 +1535709739 +577144598 +737594819 +1677882684 +1162122771 +1121097425 +1167997221 +405297634 +1465813607 +154418293 +361794942 +1983323287 +402611746 +587055294 +922999198 +164850242 +1605908131 +879394007 +2002519442 +342922277 +93782584 +400035684 +1232703010 +1383634213 +2011271961 +1629224967 +1482804155 +1962593791 +1594376274 +1468679641 +40365429 +982602365 +2045824240 +777960248 +513001401 +1060463363 +1899057673 +1680998622 +1465760997 +1217387633 +1835416916 +1827555939 +1053227272 +90545014 +267127585 +1976226471 +255395257 +1873035716 +708136830 +110431051 +68474346 +801919414 +510466735 +1301177356 +38069979 +374255049 +782918675 +1520874134 +189365192 +229811301 +842070128 +229730622 +1212413666 +740410720 +1007690870 +1725415068 +1800874083 +759264896 +1258930042 +1119151432 +1976652529 +946863310 +799223724 +882396153 +1037408325 +1066351309 +711138976 +1292803582 +791903378 +1419275807 +1403234633 +860377724 +73711573 +1913701369 +14071432 +111781553 +140472770 +796990107 +1632655687 +329837962 +1026801408 +327242167 +559568584 +91731426 +1067652887 +1567259455 +1817146494 +721043322 +179040703 +928592889 +1840194755 +8209584 +1875456199 +491934831 +890605737 +765380876 +1558286140 +1601744714 +2058184458 +202705870 +873536873 +1313935444 +1063083594 +947248446 +1080153165 +1077155026 +1059029999 +1220625935 +1874145133 +544202039 +1550463897 +753462893 +871444206 +2110032482 +845194320 +1939097094 +1529808289 +514857166 +512656768 +1708848992 +1443450055 +205367875 +1717058576 +1171422607 +697302706 +460180665 +1936803483 +108105199 +2061925379 +1847504294 +310811069 +787978604 +1013956090 +1373894664 +1735227051 +2094109255 +303566042 +646773402 +1167251542 +30227528 +1190975441 +570231791 +783690421 +2062419648 +532780625 +1628884741 +1854033094 +2062588914 +2143741908 +219206214 +1623954258 +1439708315 +424574090 +1193529186 +463647274 +1121876796 +1653709852 +252967110 +1229981995 +1568151583 +2100471404 +1540793065 +208646540 +966943846 +767204081 +1943873591 +913569453 +1070770123 +443163345 +2080820995 +1100997651 +1634138787 +503569138 +1884688073 +1549074787 +1036349764 +1366089166 +1255624233 +951455030 +1362347426 +1474830447 +427925641 +654572094 +1899404537 +1621454827 +1118219368 +873797686 +1127681031 +1371186478 +2103779681 +548348967 +1324174234 +1497089098 +756995507 +143634432 +116809531 +553385450 +1057203885 +1187579655 +996548795 +990541232 +141093658 +483203934 +1494110371 +2025781731 +2032278721 +382976487 +1244387250 +1140419306 +1334431517 +459251028 +467766106 +1762357158 +1113823122 +219686995 +1236328338 +84558843 +1093484681 +216525721 +1455745321 +1049780715 +764874688 +632435908 +399386165 +1521870195 +776070340 +516195697 +2075255645 +1833274226 +1703775352 +924320793 +676331810 +1844869010 +1407524727 +22958533 +1723167094 +1292319801 +405935020 +820070696 +285255459 +1740366538 +1279321724 +753021565 +1355240048 +245661199 +972708561 +444084738 +330220042 +2066193242 +660610460 +1785965363 +968490309 +1425485148 +270917623 +1367876475 +799871696 +1046987964 +1884072172 +727643693 +732778542 +1440363876 +1651964486 +1409110352 +1137749238 +912005566 +1432068886 +713432684 +56841719 +1838003906 +1533503380 +342097178 +1430886796 +665341457 +1095118744 +638643197 +911002656 +2067827305 +1082727935 +1241222698 +1986536899 +1743338395 +879704413 +807543561 +1021339896 +1150622037 +27936388 +1821211592 +50126353 +1912008560 +401371637 +782904895 +1204888788 +2053336124 +44531599 +195154378 +817858042 +1476600485 +908587063 +874699761 +1167120744 +294606795 +1216796939 +450523892 +959948252 +164432035 +1089167089 +1870950908 +84775692 +24411377 +964689958 +2071312592 +1767749772 +1844394372 +731372505 +641606020 +847532761 +759308893 +315333964 +897659114 +523833805 +716705602 +1680564009 +1728722593 +622558078 +1725095608 +1923876971 +1440416120 +1054212446 +684980386 +167632233 +73849542 +979587182 +1384429172 +524373434 +1939535434 +1548861208 +1613540524 +1663002695 +1633636900 +1637951901 +480209005 +1557465844 +1258218025 +177119729 +141354701 +1899824046 +1024652490 +900663594 +67674362 +1922311604 +1424497399 +784379964 +1455391965 +1005736344 +1406938042 +1033003926 +782129668 +699870514 +2087216372 +1467110054 +867502747 +13582266 +299213588 +104448272 +537955700 +91265375 +1653309480 +4012576 +1754268070 +1139462732 +1641964477 +86993427 +549444929 +752698855 +264113157 +690799630 +505039253 +1288765647 +1591463225 +572713615 +1063593604 +868476976 +1357093580 +371501921 +1874213321 +616547974 +1404505847 +508859341 +1316418489 +1344238571 +1975969395 +36437588 +1357820837 +127699336 +140885860 +1895776538 +218964711 +1794195340 +1899789114 +1973232781 +786174425 +1394269944 +2060226208 +1335619354 +2146968799 +176855717 +2026418984 +504524404 +1465621365 +1470398561 +1077238019 +381731321 +191391890 +286847951 +753233242 +2065605211 +903395926 +10255442 +426980904 +72330767 +1354494013 +255466651 +108768355 +564831203 +383165987 +249654216 +313124093 +602130698 +2043849556 +65429559 +427879831 +682540333 +1459699503 +340622392 +2018159687 +1459184654 +517478109 +1897095024 +1963709058 +1983099474 +1220009937 +893463430 +217347147 +1411401827 +1180311381 +970580390 +1329523390 +2083707307 +980835832 +1756504294 +8554426 +187846197 +2011970946 +117322782 +752677400 +247653285 +366976998 +1065801493 +849783984 +263342906 +1131231053 +1277663815 +945883240 +443446908 +1618286207 +816559279 +1902631563 +2135764317 +566170655 +1718856973 +1971380143 +1786180593 +464836755 +41243643 +1050098772 +1645148137 +1011824033 +232138515 +1581371796 +1992659865 +1988642809 +1589926223 +33022414 +1853130107 +1707249005 +785699815 +2100783393 +2074226003 +1851501308 +803083729 +190085261 +835248713 +2080747544 +1135968501 +1278695622 +1551550104 +1952527781 +1033843537 +1539830773 +371214788 +605216862 +1363727268 +9911733 +1070053618 +1404970911 +1060010506 +567718107 +269311296 +1292149021 +1606255 +114487513 +1133308182 +1591532478 +147509928 +838954642 +1151297835 +933209743 +792254387 +1078040190 +637227403 +1595338116 +1268125452 +1472476117 +1528602012 +256610305 +603688091 +932668468 +61654438 +1637531628 +325015593 +432869227 +95264842 +1688742862 +442780960 +1165318460 +946230125 +1502791466 +1733036567 +1215541422 +647456839 +1734642823 +1330028935 +1780765022 +1178691653 +1477538863 +472236016 +182505841 +263264958 +1264490403 +1260546031 +900492362 +712344871 +381187835 +225484831 +93463235 +637798141 +829172922 +1026131704 +699452579 +319220902 +1351147297 +1132321806 +414485744 +892406511 +1575102767 +1579804205 +1838636637 +930410585 +1165357124 +906694411 +1577867425 +752516299 +89239698 +1211148799 +1931207953 +1566778562 +1683384815 +2113713794 +1830043520 +800391570 +1226776177 +583052234 +1512736441 +1607964013 +808537065 +1606199676 +98278506 +1637709987 +484847732 +797731085 +1956930889 +1835995030 +1930052892 +223932986 +580917893 +1357672011 +1803737191 +272070882 +140598948 +821610667 +1178765293 +1718466373 +1574126967 +1268004992 +782131524 +1357851272 +687299906 +318032691 +1324081418 +369859778 +1118424261 +403373947 +952912013 +483677054 +2011337960 +1761449078 +2089876731 +2109616466 +1251675418 +427240815 +759863904 +1061122659 +115752197 +542433148 +1285055645 +696670091 +1900105159 +941309188 +968740973 +2040704107 +1762919856 +22619 +1611686833 +1189563175 +1268027611 +246334709 +399930799 +1955327517 +564367401 +1724012217 +177703647 +1682791662 +2127386164 +1130615660 +18985069 +1991240477 +744581091 +2108861800 +1953373295 +1996256509 +388618967 +565753551 +909895520 +504371165 +1108186699 +47467518 +1201041256 +860808210 +988776706 +22298581 +754028670 +604212914 +22321200 +218231855 +1793776089 +1290348811 +464566564 +46223240 +1098192680 +1028933965 +1770235457 +1275896328 +564241980 +1750137974 +259028340 +583227049 +1593894803 +1003609431 +544605201 +1399784450 +852382292 +933224168 +1965538002 +1762277813 +1437595333 +926241053 +1809745331 +491152941 +1787049264 +651038389 +513451523 +393594286 +1255251304 +535772723 +611826141 +901543745 +1826121535 +1076392705 +947766986 +776830567 +2105326671 +570518795 +2052726895 +522085003 +173173121 +164271588 +1105312052 +1767067924 +1167881019 +1649917253 +1019368727 +2020263312 +435657773 +837423081 +1635057477 +1873253107 +1763664134 +1297319160 +216922400 +1403229750 +1948357549 +730373923 +1796824036 +1056125205 +1266146647 +261166529 +1957668951 +944784534 +1337559235 +757952289 +1721615101 +1295402258 +1328471084 +1626858349 +1817487261 +1501644206 +1791129937 +775315665 +1121228482 +811527308 +277749270 +2140597209 +684306972 +713407043 +830536642 +171880801 +439176502 +446717129 +1469199961 +656098903 +1849946879 +1270073863 +1386472826 +1499287268 +178715420 +505135825 +1760453797 +2136384371 +1449920359 +950529384 +746853012 +1024051813 +98447994 +2075324097 +503426514 +1915935255 +1429484655 +147072803 +543767272 +403229489 +958600111 +821516542 +396343051 +1642907084 +1534923586 +1226879693 +1814787885 +1974100088 +1673596822 +1136504199 +482715343 +1376060054 +259094414 +1869188170 +727863674 +437809834 +226840347 +340833823 +426710558 +1676760707 +1291363208 +1173563570 +553328872 +1389811202 +1101404019 +1056755386 +1158262810 +383405026 +1203828189 +1702030082 +786634516 +14944652 +376062977 +1182977567 +1657851736 +1910986563 +262373612 +1325155974 +1737603003 +1935970435 +314176525 +72834699 +1164546841 +573270939 +1942022869 +1892410515 +1011080773 +21379568 +85760690 +1437791331 +1698140275 +1377123898 +463871254 +103985499 +619451453 +1565275273 +1160740885 +1777714263 +1948680300 +217085426 +1332260697 +587831168 +232030079 +1708323674 +1770808735 +1889881815 +1471826589 +2033182347 +1067554141 +1061945945 +1821669134 +1381730666 +1134780644 +838732327 +1955001605 +929319865 +583659194 +818598731 +950699433 +669419885 +108906414 +501356061 +2046543783 +572777668 +605341560 +518511588 +2138052942 +1766082446 +148742203 +1939249594 +1983167872 +1481002901 +379597114 +67714303 +1041842927 +2922201 +1957596119 +366185869 +2036104548 +877666612 +1428131814 +1710290035 +111913631 +415428810 +401538714 +2066915236 +1344748675 +985197909 +738030319 +147964460 +1654617794 +846936734 +649320521 +1553677929 +1419714402 +1254662082 +2072189518 +1410283696 +873260880 +73448073 +1202049642 +708945104 +1554450974 +1581646756 +776659408 +448810254 +1584568957 +586771879 +814996123 +1473189858 +1464438491 +95644289 +1035996245 +1576352122 +511073099 +1437534959 +1495783711 +1855821774 +275249220 +86330382 +2003786234 +1929867014 +933267116 +505623108 +1336061296 +205497871 +1760285190 +1260767166 +1615781567 +486062422 +1334215239 +670347562 +1195007526 +741182566 +104510670 +1971666934 +1189992820 +1689079628 +410955165 +2004988943 +1014785838 +1875393657 +2100633232 +2050782083 +1304262131 +464222683 +1340833394 +652562194 +172560809 +1616082615 +738892577 +28863395 +1398465981 +1672159693 +534486503 +587043629 +1877657564 +147288045 +1847810795 +1345955484 +633350467 +1034542387 +2016303046 +1828357994 +1775724953 +2120813716 +1652541280 +818234125 +1662409696 +2063496446 +675739420 +529711886 +1791406455 +628889004 +433010321 +948184938 +1093111687 +1773843716 +1600747133 +1265672496 +1242442683 +192156062 +1294535891 +493425016 +1864315755 +1829022395 +1080468646 +1594489672 +1976310440 +780795793 +792961508 +462177260 +1815338180 +661780906 +143051606 +1443579485 +635110974 +1795592886 +114329962 +150037023 +1711605684 +790069382 +679748909 +1355528491 +1418958386 +1112759231 +156229782 +364586425 +739119299 +1756976915 +1630258921 +1981561982 +1949132977 +777311165 +327503350 +1665965084 +458849912 +1407971996 +1112971108 +287676704 +41284142 +1905932616 +749853964 +1856622322 +420229874 +892905570 +1152718160 +1055340849 +541014809 +1267048122 +1205377872 +105136845 +2057117505 +1885126781 +1460665337 +1328592243 +850402364 +1616895119 +1693178669 +1589521663 +1226388386 +1175953942 +1423599997 +1028037715 +1953265107 +1751103348 +546519151 +264631371 +1011591696 +1659490260 +552308076 +1052875838 +1417939228 +1302162040 +762014513 +1838169103 +47583963 +1914732673 +746026304 +588598772 +1034297147 +1951404176 +693735617 +943931004 +1689047309 +6917306 +125039600 +391966026 +1623812425 +1818218269 +1981487689 +702717163 +846688563 +1257604039 +1730754878 +652470023 +861223739 +129790382 +917101394 +1872815435 +1789280642 +1469409470 +778207626 +1059736222 +624087863 +1540222139 +750421677 +671671826 +1307471164 +1496447981 +1260270598 +194284663 +1300368509 +1954006215 +1138215668 +841932171 +1960923522 +1263255268 +1233898197 +1437252299 +933989889 +1067902238 +2139969463 +1780678452 +178022629 +1723240693 +285664827 +1039246368 +1853031075 +1202766222 +764578156 +1494828069 +524692044 +1542785782 +407080644 +1148779907 +935524273 +1157502321 +1820451733 +95511789 +506466655 +933238683 +289796452 +1806835164 +739761251 +1428012120 +501283687 +553201125 +543783740 +1735181884 +1990453424 +1477773629 +655600475 +1982939239 +1110968434 +833623104 +1558696285 +1396633261 +1872869473 +1264243712 +451915835 +489963981 +611588134 +976607880 +2032749763 +1018668778 +2125387787 +820790388 +28687451 +1798355873 +916302177 +535154106 +584110908 +1206098629 +194505623 +1323872159 +486627102 +695789310 +1877073284 +1030410842 +283487547 +1720043061 +360700824 +939088022 +1555498652 +1471669258 +1772711126 +966711289 +720818871 +1498096951 +83471354 +1172734707 +1988060932 +695059488 +1858939 +1873327047 +1713728266 +2127246726 +546633787 +1742415717 +1778118951 +1462935964 +130086176 +214746212 +521550946 +324591799 +1538618371 +1008178048 +1020381109 +1268208008 +2038588890 +1303868656 +840767421 +251806066 +95473030 +248782425 +1723475324 +1868184157 +1215493715 +296810548 +1218797460 +1298965069 +1469545255 +1059374745 +1994024557 +1471404194 +785218144 +1560269175 +1451167272 +1331851932 +1155201244 +1081802576 +647304248 +1285287420 +1296548788 +1168855194 +1609879219 +687683511 +29549594 +482776681 +1955891519 +2068138485 +1786645337 +649175292 +172460903 +1882118368 +897957718 +1895936228 +1602818877 +2113451433 +45263128 +674132689 +1264932854 +1514808383 +1733507434 +1111473763 +838728929 +371241931 +524259290 +142412553 +1703093863 +1679460534 +1224215129 +202914463 +817264307 +373280269 +1371769658 +279659878 +1060963781 +1401319252 +762436559 +869371652 +1321974089 +401598249 +1518546945 +1494434993 +136232969 +269021015 +1242887573 +1739051846 +234988800 +1288150701 +265700887 +1499921654 +655475436 +1999208322 +463911769 +1494204365 +222966605 +988171059 +1636616918 +1926060468 +520147945 +713348400 +2128974931 +1337412252 +1086628669 +1353260941 +1617072131 +108802 +607096546 +232025042 +869480455 +1929070635 +633623291 +240543752 +1276021980 +769856260 +509564767 +371425905 +361424458 +744553567 +1659576606 +627125346 +96991573 +167568394 +478850020 +560903342 +1661772759 +701816625 +1549074401 +1150906030 +480393445 +2069222346 +1864254430 +461884728 +1259150951 +803399451 +1815145670 +728739434 +803508254 +274758568 +960764476 +1672988709 +56345555 +1594387768 +1913532461 +1332367536 +216760380 +275613580 +1703793441 +578184839 +1020167147 +1215886400 +1205310185 +1117158720 +1383454794 +1684160205 +1678062062 +897743906 +238493182 +1079652815 +2048649936 +718886627 +1001391513 +1765420718 +1180771355 +113058816 +421336521 +848433377 +841798250 +1224844775 +1123191945 +1802562727 +750349836 +1179537501 +1249466847 +516398649 +364421389 +1466227227 +792012229 +2068214830 +2044412066 +1812179376 +1136617582 +1102238603 +781854448 +372588729 +638915160 +312432862 +1270332635 +877408342 +1392085677 +1171498923 +1596294969 +245993543 +789435993 +629582677 +359052359 +1210772514 +1478016054 +1200850610 +288133642 +453724352 +855929689 +1038483478 +1633261853 +2105396536 +1554882128 +1997683242 +1424140115 +199410709 +1918414424 +1321068534 +2011590086 +907548359 +275823489 +645960886 +1280137088 +914738650 +958393749 +402986075 +1792146992 +202995778 +1574484998 +1240958314 +448989321 +216437343 +1870540991 +808041681 +1427209857 +1201073397 +2008892291 +1715343499 +1654797749 +717338332 +606343330 +1140575954 +675251220 +13741810 +990775548 +2099391335 +213152519 +761706325 +1272976221 +77258957 +1669254684 +1548799711 +723219844 +801908124 +316054713 +1681613593 +1204894199 +2108201705 +1884609371 +631895549 +1201676371 +186115045 +848332892 +924733714 +994156726 +128059101 +2125807112 +855565369 +1843402601 +1633121213 +1572903701 +302262283 +626213520 +100671273 +316004093 +1616989068 +52578960 +529156612 +231211745 +1325555182 +606415570 +1900466429 +726871245 +1329635414 +554890905 +1042925958 +863765359 +1759785104 +1003644015 +600891082 +244197005 +57836739 +787006127 +1092529897 +982570453 +1781162853 +1220588999 +960893917 +489244574 +916507952 +446531483 +2062148275 +1218770235 +1072745003 +15335900 +1534774328 +542250423 +67914861 +2063930940 +773462169 +1393470043 +522862862 +526444950 +2120341288 +1852498276 +1081335856 +1015783598 +568779987 +693637312 +2019427613 +1169671070 +937834318 +2077264352 +1956677197 +2030364215 +912351158 +1590356403 +1103469566 +1873245075 +2079600977 +2019977518 +172292910 +1994265605 +1091264105 +1245037913 +2009601505 +478554785 +1787288337 +2077516366 +395002078 +413266858 +1323502761 +917864940 +939711808 +1296360401 +622879569 +2021047664 +164660351 +1191659556 +567201329 +36604317 +213846978 +1505035647 +2113868669 +23040528 +1387916214 +878736179 +1613396931 +343902133 +604497607 +1545514260 +216396003 +776790517 +1392296217 +1307660109 +2021828431 +1254414075 +1786214894 +1661633120 +1184446793 +33733324 +2074899978 +360465907 +951598265 +867128138 +1656826308 +1574477834 +740692155 +1821486660 +618653742 +1307893484 +1858090977 +832500721 +665445483 +1824475998 +855541249 +2053361697 +555728530 +321454532 +249780182 +1160226137 +1866968792 +466176186 +1937016654 +1111781362 +1773836295 +1811361437 +218711789 +1412567541 +1325510909 +1403158582 +1446300866 +1252927239 +1763624489 +250415483 +2120055378 +1272967150 +1824893317 +713263885 +946970162 +296063411 +2021157369 +657577491 +1128564132 +539119204 +334569841 +1984105381 +444997253 +890298371 +158076265 +694777436 +2050524508 +2025045058 +1160953622 +1840057515 +989342772 +787306269 +1503935304 +1208054561 +52390162 +681962566 +463729495 +1498691028 +1934889805 +79870337 +1749106511 +1907461535 +1352837487 +1426516180 +473241772 +152324001 +1722579592 +346915493 +809901492 +703660076 +886034697 +1144471333 +540281810 +1331031951 +2034769705 +698358075 +2025809387 +1937810565 +575919485 +1039279361 +1630384432 +1565262257 +1826585630 +986836089 +625833170 +1878975792 +1668798655 +1089562666 +1230183173 +1456204812 +1169433003 +831806036 +1216182700 +374786842 +110838569 +1689424472 +527110843 +1833418161 +2036339966 +1337012335 +389594589 +774891015 +334000020 +929876399 +2105922966 +221286077 +1628234475 +1984248705 +11612995 +56670312 +876044418 +1641997427 +1621932570 +555146400 +481349868 +100282092 +286638545 +2664875 +1189844758 +1516821718 +1458869688 +211794113 +201144106 +527568740 +586580955 +311982675 +69509564 +1113691798 +2145400836 +2105849530 +303220485 +387511778 +733256898 +637220506 +1317388177 +691696216 +858506583 +798139004 +528461274 +870119578 +854809317 +1404505692 +364633358 +329258239 +1959652093 +845983226 +429540331 +98806990 +848648102 +1619385090 +1615628708 +160034142 +1831179203 +1816772814 +687602882 +270276511 +2128755490 +757112446 +1383968309 +2126672678 +715478329 +1687188795 +366700808 +1448735227 +176925653 +1684088986 +2140431443 +1035432236 +334744342 +521409069 +1905551815 +1189553659 +1925914762 +122701525 +1518811898 +1738083207 +968684751 +1948352230 +1836890197 +1817332853 +1420253672 +1305035257 +1977366995 +1103949227 +974324423 +517486229 +1374225738 +955596265 +1274598676 +610710400 +934785296 +1990077005 +150415547 +1301486104 +1291328584 +327341200 +838091442 +1284276379 +1362773436 +1172835785 +1805685449 +1120841603 +214905796 +1584116563 +1243543128 +1733717695 +1174716122 +64744232 +1534586277 +864122671 +1882077085 +807356301 +21674280 +1711960433 +1911305528 +995998703 +81963014 +1138047619 +1951594969 +1356561690 +1748758019 +738896617 +1199155047 +1899173566 +2040382721 +342999983 +79031118 +730990516 +1627276363 +1441804554 +1903826301 +1285478164 +415162510 +2118732097 +722111079 +1658705638 +1704966144 +1896827201 +1723449870 +1092068773 +613466224 +1458043308 +1899425074 +635140504 +1022520093 +1663246955 +1631139207 +1104483107 +653810926 +1435250528 +313561150 +255085297 +26663497 +1512716197 +6775215 +2067046219 +1855716181 +85806333 +650553087 +1335508896 +1527610887 +406895740 +473503412 +1942773397 +378144189 +1195614491 +1453995388 +2083110334 +944958044 +1029961610 +1027695459 +1558424268 +340521270 +779636886 +46081124 +1363041363 +295400193 +1677220331 +320040823 +949211119 +964987212 +633601973 +1204296416 +991650709 +2146318170 +1211071631 +911213280 +1854550703 +1296877964 +1561766367 +1042575951 +677005203 +1968662107 +1516079363 +472294953 +199322649 +564210206 +1926290341 +134949335 +1509168250 +808768303 +1162644794 +920108870 +1149289574 +1942281680 +966189994 +364847289 +90198225 +495926678 +684888112 +1039409344 +1460913890 +1318490085 +96222112 +305080951 +1317324608 +1307293743 +1216294232 +1024391663 +456688059 +630576951 +2066967615 +1133693263 +451755411 +1435563330 +1605988216 +651078060 +1999773537 +1384794909 +786027395 +1361458139 +46079564 +1948672189 +134083362 +1195369138 +1743470222 +1100273356 +1560216428 +1833668447 +1596200034 +97620892 +725594144 +909630276 +1416110978 +821816256 +1214711228 +585951938 +2129110000 +283521812 +1610343601 +438314411 +914098763 +1529827568 +1572007674 +1365854174 +817907251 +1030512242 +2016932234 +670197140 +267823503 +655475981 +2031655279 +313903068 +456664523 +18254993 +1509272206 +52651097 +1118528350 +922004986 +1886319544 +567244736 +1019625879 +464430040 +1476875013 +288253209 +1286246297 +544102593 +874205147 +1267872649 +827624405 +337065100 +1706187060 +1741723168 +1866892669 +1130711087 +960093695 +537316272 +13739681 +829542281 +1207513412 +281563185 +1485018263 +1091685043 +595466253 +1941682786 +1109940037 +2104738459 +1994333883 +80984739 +879259798 +1733169779 +648229475 +1898885677 +50116172 +2125104488 +39655238 +1336362469 +521723433 +913860385 +456751470 +1349347838 +1250925485 +15454882 +943587359 +970334506 +1146165969 +1903681054 +1507650778 +1159905651 +585739687 +567680542 +1441468836 +2070757950 +1659365586 +2036935089 +1864957088 +621821975 +1994189900 +1711807323 +702806714 +725966050 +1297493455 +1351036189 +477368079 +1347609627 +1328657030 +517023317 +536488448 +1850380463 +1430883702 +993239918 +1052244654 +534325540 +1008694800 +1995832013 +1504660046 +7377122 +1752029419 +864827177 +1167282773 +190285458 +1432507719 +461267961 +113559761 +944389657 +350719402 +1978516849 +1566211632 +197425654 +1542840525 +121534698 +923391705 +692850332 +1472570888 +1400759784 +2040459959 +653744270 +1917783102 +429464759 +356641085 +1201183156 +1422704677 +1408885739 +1735508696 +283915829 +1257234104 +1092685095 +291292951 +861779875 +1957512272 +1458575724 +1052065334 +1242536343 +1919843685 +1165625095 +39442353 +123079439 +996658296 +1605653985 +320505094 +392015173 +1727188684 +1243896799 +1084865505 +1052275924 +497172935 +977841816 +1706020194 +267472389 +1407306575 +2062661279 +1468655546 +682527604 +1324063371 +1056680594 +966443434 +433813827 +1882041 +1257736385 +1295593703 +1959394313 +568828462 +200175389 +1054447009 +341188499 +1365800484 +1093889362 +464267939 +214975132 +552059699 +784773033 +606990306 +131764735 +2028669832 +1691855811 +1184040659 +378359119 +522213980 +742577205 +645831509 +1929520555 +657754837 +2114487055 +464564512 +1981818208 +1023684001 +1431007946 +268148387 +1025566043 +541260683 +1563742090 +837476708 +1110089145 +1763917479 +1891923717 +1451277645 +982234315 +838329431 +1915545584 +1197209448 +1390389131 +552834969 +1804199754 +1522153866 +434021153 +1348571917 +558710878 +812380272 +1870785897 +1301288083 +1458211781 +1652822805 +1959042920 +1425215188 +2117387317 +1793377480 +301415542 +1400911615 +2061525868 +1326981585 +1942172298 +1477784310 +16974645 +904777796 +1094218142 +1908898363 +208571793 +2076452457 +599744146 +2124117377 +1126178257 +1990133277 +529468698 +782894363 +1364803496 +963489851 +2131466281 +1923514374 +1775870123 +1854768530 +1077318809 +1086598257 +1360107687 +888878082 +364329797 +1330011356 +534771914 +665745339 +583439323 +448814134 +1992726924 +378127974 +1926598445 +2009701570 +1282905770 +873332939 +1771116285 +1491477563 +802301748 +223376783 +1468111292 +1928480006 +66026413 +1997579990 +563890721 +1430829909 +813586193 +547873354 +1206860635 +441972668 +255158237 +136695796 +1528570925 +1615265924 +1025573878 +1892900723 +797793633 +1560345793 +411162414 +1381232956 +2009159927 +256405691 +1759360930 +1788274724 +118623613 +894783052 +514124015 +1889739898 +238776967 +1316425764 +2113116681 +1706888259 +1097422122 +31659446 +1556984601 +1661312843 +1462489355 +223087146 +61702550 +521866342 +665059815 +316860787 +658562139 +46147092 +1932126711 +1684136017 +1939047815 +582436696 +1096998162 +202726582 +1963669653 +958674442 +459132273 +1575546935 +599465518 +577755886 +322846340 +1113589534 +320012136 +561623307 +282531650 +285645169 +121027919 +1379953772 +317304616 +1678012520 +893782967 +1779793971 +1901099667 +955485517 +154176666 +418675834 +1272346304 +812738805 +464822926 +1056989368 +349391174 +256387094 +1639426064 +1446389337 +459113676 +1455612069 +257580131 +918245949 +883675357 +857045649 +1496001835 +1206521697 +1970635183 +1816013971 +1768145004 +105683185 +2101659140 +1889172923 +1485636957 +271480108 +1419701796 +231936277 +2051274080 +1173317815 +1187421794 +57967098 +1591993649 +312284451 +870705903 +2056816575 +1369273819 +1220097077 +165720021 +861216235 +519002766 +624833697 +169344657 +776582897 +1543079646 +1053020014 +1633628547 +891597833 +112058063 +1456780082 +560128156 +1880203067 +1562463268 +514303649 +1621892343 +900616577 +785783757 +894110491 +1132552854 +689574189 +2067428306 +172491001 +747541287 +1511938307 +484775452 +1618247190 +1421271234 +1854049271 +690860620 +1586991256 +567781858 +1209863386 +64341305 +737126515 +1986446284 +1607420952 +1790146529 +1472591183 +351535137 +1902204592 +781887617 +911663294 +1634924012 +196867237 +1425966943 +1109332707 +1097483815 +64267052 +2003443198 +82553021 +753841242 +1923387856 +255044022 +1501382529 +1287842515 +739819474 +972146072 +561630101 +446385097 +1663006692 +1137709 +1014166956 +725386430 +65479015 +1751293471 +564349066 +1672899967 +1393956353 +2036940249 +2024435104 +1148677297 +671344219 +788614750 +636117661 +868211456 +67098045 +1745450368 +1965695271 +131365098 +1601409918 +2048248293 +885206340 +1377314126 +155808667 +239105221 +517672993 +895628142 +1211251293 +1079303095 +1342013239 +726774337 +1080440804 +208696547 +1452160768 +1145919819 +1959990019 +2016509834 +671336138 +1206462724 +1905966436 +548287595 +207656373 +429827007 +1336902345 +843774035 +1298038463 +1404000391 +441740755 +1116250087 +1535365489 +2043150674 +1017014732 +273088181 +1272981152 +1172823399 +512193402 +1790654146 +2068451541 +1723444696 +722473593 +1262981133 +302735385 +1802914397 +1471677680 +1754896153 +801350569 +1284184051 +1623922340 +1472686707 +343163127 +1382405128 +2020974302 +550819501 +1812232135 +1210393000 +1394593536 +962786950 +466909743 +1836334291 +2079037037 +2002275232 +1732001317 +948568121 +127879765 +857498822 +2121391521 +640073167 +500669320 +2042359414 +216034215 +1223142913 +1157856899 +518769601 +878573662 +482050932 +126182106 +1679924231 +1766234983 +1750104446 +1005127291 +2109398111 +985025926 +878617945 +512733964 +649774413 +2089010945 +1907327500 +1612561364 +408437040 +1596178143 +1544114753 +263228624 +1180695813 +345199227 +391108389 +2038194635 +319107100 +1031181557 +391380307 +213982866 +1247215772 +1614523220 +1371839766 +1765985373 +345613234 +1853890698 +1892167480 +2025537466 +1472642033 +1494788278 +883181109 +1434556496 +332330557 +1761799054 +1947290460 +982104970 +1703326352 +1707134312 +447182686 +2111763392 +1155828808 +1991297440 +227508369 +189040973 +189013019 +618616758 +79751960 +508120119 +1649798315 +471132267 +722102985 +749530440 +2085655487 +2093942751 +368032165 +283785073 +1800349801 +112715997 +161838891 +1125508187 +1607504276 +1045020000 +412581035 +1939834833 +659335407 +212387848 +774456155 +215178111 +1919522160 +1221638842 +179457855 +927867320 +1065452634 +406966224 +1116908293 +1254465653 +1025582983 +1196660253 +1762585772 +527897650 +1667792520 +337205109 +1277428090 +1605964359 +283664213 +1645460256 +1889749433 +2084014014 +1758176253 +2051588324 +1062038553 +1218196881 +949124677 +1474619589 +1010548066 +1608460084 +1687007437 +1785004222 +1823638195 +1459045949 +859159416 +2003096050 +239429622 +1924612050 +262578627 +1356337915 +1031594055 +1288161610 +405514521 +646696179 +1816059260 +2073307041 +983901288 +946003703 +1531787753 +1267565501 +443980311 +1274053538 +1204095868 +54672916 +1178158214 +118650773 +1272869798 +2127282891 +1593270362 +135934216 +1588259327 +1132794151 +1920938438 +1264413874 +444356453 +632614206 +1120026277 +683786075 +409742608 +1382604904 +2040123990 +1441336663 +523282866 +298154863 +2088032842 +191858478 +223978257 +924450483 +1137862181 +1755766010 +44532336 +1581842492 +882335900 +1248628204 +1636515409 +2060494114 +1367278978 +761901559 +2040293358 +813065692 +897835775 +1481069037 +1945859844 +671290566 +597999264 +242732649 +1303904772 +1718025541 +926518724 +1713647381 +953146797 +819159066 +1007500396 +1476429663 +1117313930 +948049591 +1668288141 +1341292187 +1872500074 +658666675 +949574549 +1917032410 +93025519 +1831910449 +1018176967 +1729540928 +1744920915 +237972297 +343958839 +1637730625 +1051037989 +1241794615 +971316015 +849414185 +1913085181 +1569315279 +1092146834 +1069506305 +1139857172 +2018665558 +635670038 +2093003969 +690340977 +1643170435 +1421949984 +1807654907 +443736378 +942754477 +1001463446 +168752804 +1601421152 +1951037995 +2085785214 +1694446672 +1635464796 +956478533 +1276503952 +1232902063 +1194450830 +1620462792 +723149041 +98005172 +714773759 +1694465056 +947419357 +480375292 +1116296687 +2039566192 +1549881597 +108670211 +1910748102 +38067988 +54190532 +453605431 +1681238423 +1476140516 +113776690 +2124974801 +271411345 +1115240136 +146243957 +1872832498 +918794483 +84545523 +1419795522 +406775631 +1041024057 +548815826 +1639677695 +87991239 +21794970 +215343088 +185996411 +736568729 +1909808144 +1133415769 +1216944021 +878621183 +1025498313 +619341971 +987291394 +788762767 +657409959 +1041481926 +1242368199 +191164734 +370138794 +1356144889 +168655887 +641550139 +323901378 +314899844 +366898989 +1242695861 +399445367 +1786694511 +1649471493 +1440469424 +188026690 +1141665540 +1528460664 +209821660 +1357008628 +1714457075 +946390390 +1119333124 +700389196 +15850763 +1997954307 +1725887509 +635192734 +837762053 +367166629 +1292602693 +1879243979 +1609534828 +1483767427 +101899125 +818196069 +1652423314 +743449264 +1142097447 +1967323158 +1110348254 +237309661 +219284878 +749559117 +1886781154 +1659754302 +937585807 +880963046 +1040731318 +1147407468 +90488026 +607704746 +2093797858 +1209821150 +1308093942 +2109648621 +1060291809 +886497804 +597357708 +1898053862 +1253664433 +1889960401 +1629814193 +715715613 +1226244181 +1731713318 +1533911682 +731183847 +327678934 +528525482 +551023358 +1438027188 +765835143 +770308236 +40102658 +505132649 +282578890 +977688465 +1386095695 +1323310209 +2125095933 +1476583721 +1931014955 +2071410143 +538921223 +1091625249 +2033575117 +1599213032 +1978123053 +483449177 +1349783246 +1084303838 +225925930 +832113791 +1800019451 +1452170111 +416343461 +1186447486 +35870311 +744022395 +1714972968 +586893669 +34565936 +333324463 +1357201905 +74668594 +838457112 +1639780795 +1052357059 +77069159 +815607356 +1029969345 +1553652880 +599138663 +953895840 +2092574103 +1690763913 +839987309 +1544303487 +1521403318 +1323436486 +746603085 +458223509 +1549362417 +1578716876 +110759312 +854048880 +1995060337 +1297206798 +889919191 +591599084 +864696118 +1476812860 +626165020 +1198020581 +686531117 +700833614 +2036477693 +178828265 +1753190674 +2113546852 +994435621 +635676371 +1519716084 +1593574285 +1589572211 +1464806539 +1136854550 +282075873 +861626378 +510774220 +1605512359 +1608229463 +968997729 +1007391128 +1039462691 +1079757042 +1861440009 +887039380 +229480192 +603875552 +1478638465 +1094176311 +2080688413 +2104803485 +144713244 +619735882 +658153452 +33707290 +798564147 +263860478 +2147254142 +1792999769 +899536849 +1519486579 +1239090406 +341625412 +836809470 +228461308 +623701285 +1698435849 +739235528 +81729997 +1159181664 +1708233258 +1089121125 +51160708 +640506652 +803077486 +938200088 +869986844 +1406953039 +269354905 +1964163155 +1340157804 +226674743 +2108876400 +1959893686 +884828195 +2142583690 +610974186 +1148688673 +2142354184 +256490307 +2048225522 +1514357115 +1495580713 +242367286 +203682938 +1724042021 +866068572 +1902118787 +315793901 +947798569 +913816803 +2024027159 +2036919694 +964977511 +517050163 +692513533 +1903177600 +1387037008 +2099466572 +25048857 +1203716515 +1292140728 +251723600 +1165109267 +1104550766 +1136551795 +1160209309 +1715524952 +137756820 +1155079846 +1972015259 +38498694 +521953313 +1320112324 +280865981 +725636251 +896670697 +1146934553 +480271390 +1212464599 +2094733122 +1394088194 +1089008110 +1984169168 +211582057 +1606058274 +529199053 +2114759657 +845611634 +481181977 +2139808515 +2049328149 +1773322705 +244048467 +1066953769 +730389824 +1380600263 +79679430 +298431128 +1518357083 +1234759276 +122962740 +1556855778 +1756712590 +1443075064 +1837721759 +334865193 +192262114 +837172664 +815136584 +1404726713 +784422138 +61741130 +346251175 +621107658 +273323187 +1952309449 +1150306712 +240599197 +650437435 +1631488689 +232924064 +552281937 +1257327747 +476972531 +1619235706 +1987717571 +1857572794 +1698915136 +138665051 +1228446230 +786190765 +261627791 +637818360 +395419707 +1704702856 +328056471 +730284900 +1896964970 +1165229135 +1545421484 +1154208035 +1949651273 +1607162614 +1500459210 +423275283 +1880485802 +1305285012 +1573581995 +2121084999 +1955722447 +1057587037 +206525415 +360520736 +167431136 +683497946 +1979756442 +7665059 +393587093 +1531187931 +146330110 +1622033323 +169895048 +407957902 +112368035 +565314755 +2112660758 +440424506 +1295599655 +1862142080 +1605653641 +693537492 +868866467 +1407821266 +153216458 +221842029 +1831096549 +2033702260 +1527127041 +1257194897 +2007303611 +1335365841 +167298286 +66345378 +1695886577 +334729422 +749843325 +1528159372 +342394481 +1143430418 +911863655 +488724591 +617980093 +1081758703 +896682493 +730348128 +1647073458 +861859603 +1170772634 +795189465 +576518035 +628942627 +1488726957 +1445384502 +2036763893 +1641943416 +1667226532 +1720376794 +1528162028 +1046869925 +830088043 +1387981992 +234752118 +997386329 +1454327370 +1930638696 +1332115751 +56687047 +1311314420 +1674510232 +1200117465 +75694427 +15751176 +1818097558 +1157453130 +912433669 +400962038 +657042940 +1774293273 +1571734672 +1452232405 +203327660 +53193651 +793475715 +1648712163 +2089957544 +287935483 +1168455047 +1662850691 +1816097511 +67841324 +345455086 +1056595855 +302593443 +1342841416 +363439578 +85748491 +527473519 +420126625 +1397062911 +54500104 +1620244091 +1472757338 +70251280 +1290858001 +482726820 +982684949 +1691820040 +1139769760 +609494574 +1116071064 +444518517 +812822235 +1169264716 +1237994232 +314050750 +1111738612 +1525929715 +1482505797 +627105655 +1194543579 +1550347121 +972560742 +103655786 +1852940564 +167918510 +467095364 +1938689055 +695392029 +887221990 +1188268318 +749892133 +359982433 +513542008 +820143413 +1650840434 +996268828 +1802828363 +1195176826 +2136038588 +264839289 +163764243 +433073458 +1077661524 +1333028959 +1671067690 +1391712274 +297283923 +1049513758 +726734423 +924389579 +96573689 +129597897 +1896950321 +200229475 +1982538461 +2064868831 +667324840 +1773743869 +612777212 +1554546830 +814528539 +1362669346 +1914529263 +1328070548 +35329111 +1417886049 +176855728 +1838157474 +465579228 +165410669 +2102996764 +629343471 +598484127 +1033174640 +1962372430 +122068169 +277403267 +112172705 +1171581927 +1004137690 +1036562284 +1268155616 +1133735587 +786028957 +1468385092 +968790401 +703414140 +2135709932 +595050622 +1316191353 +1542773114 +1409579161 +531377051 +1309818729 +590166061 +566706162 +580221130 +767021790 +257379989 +1045800358 +932432459 +212893105 +1675143829 +1530916586 +1246067745 +1490032611 +1652984755 +1523471012 +1602205317 +677083035 +380125055 +491283953 +1945238651 +1513860642 +1277312911 +1266140095 +335167395 +1980727051 +1254366379 +930218017 +1149434756 +649655845 +192313531 +1680811807 +1959474574 +782479592 +100034322 +392212057 +1549501382 +357414311 +1438012415 +334450193 +570307416 +965672597 +1865366779 +1816375161 +308221560 +1370867887 +1192362526 +1910426877 +2047950922 +1572487581 +254227183 +1845705925 +938864575 +1531540094 +964362373 +1274031971 +1364783497 +71245104 +56766340 +366734606 +720900950 +249079871 +2047546413 +532891876 +1031559464 +97087 +925103933 +433577198 +357511398 +215632701 +768027392 +927818814 +1181305298 +485910523 +596710328 +1489526858 +1856778410 +1789072854 +1252470088 +1757245684 +1214076787 +1506697271 +1455467962 +5457714 +890753717 +272346687 +1279489685 +108053566 +343591791 +1336256026 +474788172 +1064492741 +1585335897 +374850938 +1597384618 +469411713 +374948025 +375004903 +902988912 +732459424 +590637604 +1671016304 +1660278238 +1771942902 +9443179 +109504918 +1113986113 +1866221590 +1898577772 +218972553 +1475983626 +965170911 +1725669824 +783967940 +970628626 +468939893 +1056314627 +102634663 +576993459 +1399906419 +1438890689 +1051781632 +316915512 +876742939 +1426632570 +1914300130 +1346154652 +1801580595 +141821386 +101659916 +386556371 +732458990 +1772676220 +2046834610 +356918245 +1782119400 +8855880 +1470904358 +1500857342 +1907433653 +1689876911 +829357320 +725120916 +1268063087 +1613325261 +1695749542 +1737002980 +522156240 +1798384206 +166512791 +1922062659 +1089791247 +1218294423 +91494524 +1966534186 +497443345 +2005794654 +1165205191 +151540293 +132392 +1266865107 +538096664 +732591383 +892057680 +437447626 +1089509628 +526693432 +446303507 +412930338 +2027550774 +206253512 +2102807249 +709424446 +931374428 +1223386688 +175266059 +479640323 +812906020 +697422300 +130540881 +979418811 +472001311 +1220332128 +50229587 +563495835 +1039382667 +547672932 +421806842 +57104210 +699213225 +421939234 +1323969317 +1237309890 +1154530617 +68543349 +1674757516 +96556597 +595236781 +2121061023 +509486935 +475303907 +179830887 +464810536 +1184728354 +1111205316 +1688197224 +1359994413 +1590845639 +353619596 +2057416713 +1721386520 +1333038408 +381934377 +794235000 +1383267995 +945430212 +1833617667 +1930940927 +1367237054 +1890721877 +482670505 +1789176289 +1067207547 +1719980395 +796223258 +1135750896 +1247254263 +892779856 +1730987678 +1220831639 +1402266791 +58807937 +1400662526 +1867077328 +1243536291 +364384194 +1407790904 +456047057 +1955229833 +1761410501 +365980122 +1529132705 +946965261 +747914499 +175884058 +182749608 +1693344712 +2009501725 +2113690535 +913098118 +1752739955 +448877392 +554790759 +672463854 +21374139 +1351014018 +1808214750 +1268628403 +96310226 +1391718780 +341976394 +1498577017 +1450526718 +1742638920 +1218170697 +546579361 +2107023115 +478477954 +1002626418 +1914769300 +92404807 +1368606541 +1296418358 +1039370068 +2116521040 +1472302416 +1222119676 +1662382104 +1334320493 +1188326563 +427996575 +939576800 +1637203956 +982787334 +1612040654 +1658578095 +186317704 +1272771757 +779722850 +282627930 +517006889 +1121699244 +1781204948 +1967533607 +716854517 +851891997 +366629321 +676393984 +1330369951 +1369255739 +443679636 +1422774758 +590378632 +1740097994 +314661178 +559416025 +1064916762 +1536780854 +74314481 +251753608 +577623770 +502311056 +1191330408 +67344078 +1485098391 +655887415 +1725922173 +1671416095 +1928659172 +358161376 +1954044026 +298182413 +1479860620 +1587765326 +118232373 +49231489 +292173675 +484861694 +725625473 +1622543627 +1854117433 +1169305110 +897834737 +297012418 +761919456 +1212495916 +856428443 +1826836219 +601793122 +930742924 +2078589827 +1179416892 +1433053981 +1122436587 +1246760970 +770668724 +1778324002 +825199496 +294601171 +1559499526 +1183360872 +101161549 +1857681940 +515737844 +1688926875 +1975914313 +564969334 +1981100551 +313292359 +1290594807 +1456160530 +19926144 +312416269 +206511619 +316938562 +1074335726 +1419007535 +1173367005 +753688297 +2020800658 +2104109930 +684794476 +1052733902 +1389680263 +1807231063 +152011225 +12865339 +1438071418 +977210721 +307466510 +850087296 +13087945 +408628060 +560285588 +528825789 +2097554935 +388716253 +1093795123 +1931171838 +702008612 +236906283 +1239848720 +721934757 +549322552 +1446360340 +1038873319 +1623658278 +717884227 +64756677 +229862927 +591201237 +21382959 +914657403 +1643935140 +1411063222 +574404819 +1795946365 +1423928561 +2012476237 +625673438 +1731395071 +715079885 +638761383 +2140023131 +1275365474 +1167587172 +2090094419 +1664081727 +113898648 +1873782609 +218606692 +350804931 +966147682 +940541449 +900127483 +265024374 +1979414768 +376302114 +982908601 +2044171445 +606165041 +1574109839 +2065554404 +1520822445 +1070561331 +1329133978 +2095227264 +719024048 +605578891 +1960219853 +1344697486 +189490315 +527816090 +1983458869 +182029798 +1803181564 +1003562393 +124640569 +1319779644 +1117461041 +1998423179 +1538386336 +1468265972 +817087213 +331444137 +220909808 +1082111587 +163375257 +597211922 +2065020188 +60063055 +1203376963 +1491646379 +2125617459 +576715760 +414724062 +1307267790 +524459376 +1133748110 +1912846681 +337195581 +330961948 +2102336996 +865011672 +166937169 +136883147 +520709588 +1170499563 +261523716 +1840489232 +140476956 +112463247 +1231391920 +1608742929 +929550460 +1562836057 +1829652737 +2011662047 +1726211315 +279381011 +1929198588 +1786274370 +1482757974 +1273361319 +1764408181 +2059473735 +1688085382 +924192323 +436449463 +674349844 +689555357 +773645045 +1005311793 +644408705 +1638656717 +1172248962 +781291852 +11882657 +195264877 +1042815569 +1852371890 +335741834 +1155278816 +936280162 +1944484763 +2084829277 +351632572 +1626653852 +1949007676 +2077843887 +1906034863 +1730722616 +1716634609 +1241309189 +856600288 +1333559142 +1153299276 +397202022 +110267818 +1589748740 +1071551866 +799823175 +215910137 +2076863659 +1444231880 +1854566854 +1101628974 +78040085 +1866449511 +1296893851 +1120855654 +1571337753 +1632635685 +128650822 +360134268 +1429636800 +65996451 +711766840 +908807004 +2015004128 +642127079 +667358219 +1598243096 +211278040 +1908667409 +307359736 +1544837182 +914483037 +704561758 +1655105000 +356748129 +1776113625 +307444527 +572658266 +1705493636 +1751676408 +279741472 +659638962 +1829716493 +2146190984 +1956532814 +803088499 +1570045089 +1441684851 +931739321 +1930179357 +723838004 +997735773 +494462549 +1632645008 +865256253 +1136589628 +152519580 +316015701 +1347867668 +2061186989 +623375438 +745221203 +828186378 +1327937196 +252842555 +1184934508 +956567173 +560287083 +1757592774 +514577162 +164479843 +2037334247 +1174216124 +1994196336 +2036041583 +983265290 +649801187 +1458603024 +277466494 +1581540508 +1241298734 +1001304498 +431792633 +1735761283 +486465858 +1297048886 +724867264 +638985438 +1613064588 +2072734932 +552688779 +88956378 +670472487 +1380875158 +1416893574 +923315043 +418326018 +225977100 +1483602126 +28435144 +740554262 +1648081969 +2065769391 +1914770386 +1494794657 +1954327326 +750552029 +2144595844 +1265446703 +1028018523 +1578652704 +359261789 +2029323021 +2010445338 +2095023072 +368305231 +1160010576 +672406688 +1007290670 +625591516 +597657973 +1559979449 +714547894 +1268130460 +793370959 +2131441469 +43961855 +1211696977 +209934921 +1527563981 +1240132122 +950489183 +1028162302 +1158417865 +717775921 +375473311 +965261544 +1468327950 +372585507 +83224599 +348862825 +1951238212 +442486388 +230702198 +1814199902 +390025812 +599007430 +826726830 +1062432501 +1606298100 +1452318347 +1660090474 +1018793901 +19382593 +780737286 +1812164861 +3340414 +824699142 +876378190 +213275335 +204779475 +2116510312 +1163764518 +1232941778 +1127444530 +1881540440 +1608415089 +2092706074 +1202384742 +1981000597 +28447025 +1551247568 +1784755161 +470933413 +1781949766 +1451471415 +860959225 +233473548 +130714597 +1923391726 +1839771648 +1583032944 +1435998552 +711081902 +1602415538 +69252191 +375763115 +1605755952 +893951333 +1252141305 +1819031288 +1098730808 +1221167970 +835312158 +184188938 +201128852 +569368950 +1792604028 +146351278 +1771753693 +1626120977 +174798303 +1175517613 +1263392490 +645731716 +809983731 +567380257 +1506690941 +1043457280 +698094854 +1282599020 +735745280 +133644151 +571113924 +1446827182 +1736059689 +640366115 +1822590297 +1194331993 +1534317448 +927247955 +865879633 +485564609 +932277 +1701191792 +669753547 +202061129 +123077094 +314873927 +348412407 +1894830787 +1940994904 +523210710 +922864752 +1056903746 +1168942426 +1732848484 +1624284003 +528149719 +628822116 +174895210 +1810748739 +1364567396 +308539361 +234379016 +663910931 +2044599050 +874745131 +339017580 +1091447395 +261578932 +1266265535 +1957327029 +747143541 +1267197812 +1511035173 +1416897088 +1469258941 +1634112267 +1731771016 +1817671348 +1381459407 +1525282272 +193398410 +156840511 +434702371 +1362340836 +1889688995 +2058986374 +1890490556 +371027463 +86397936 +1553755647 +1735594860 +394937297 +1788134663 +252022143 +292052699 +515396147 +591039723 +1383500095 +776975079 +1857305259 +1193343476 +1524118620 +977019423 +556895001 +793532060 +298794717 +43523620 +377819428 +2116466065 +1424983027 +1903101701 +162380828 +1581823539 +190320424 +1524721664 +1324028886 +101823150 +1267728572 +1695056350 +188221087 +674000572 +1283167562 +583158384 +314651587 +1535189705 +875211084 +830047734 +2126229428 +111227531 +1607022813 +1836051039 +1304571007 +983657785 +665586815 +1861466008 +1777189846 +964381532 +1904989628 +7525626 +933363949 +1182489008 +1910627327 +1095744777 +616828899 +2100947751 +472982794 +1940857785 +55287254 +1740711366 +1488430487 +243508341 +267228290 +624114401 +826666725 +581879878 +11820458 +1701877809 +1411927612 +2138049887 +1813105340 +871466778 +1826617278 +970192699 +1855124563 +344720445 +684175059 +1484830761 +1309101977 +441681040 +1492356388 +94982279 +1624170048 +1255500067 +1190727056 +93515299 +1208964171 +1663709850 +2034373084 +1264251425 +1256937569 +1375319924 +1507759766 +1524165859 +1999434325 +186942843 +2106045737 +2011254784 +1888820653 +1370489702 +2001821023 +1554442345 +94472832 +1680954653 +377151397 +1949597395 +2025675099 +1061326456 +1286944509 +1187293428 +1503007496 +631817249 +1282275707 +979693896 +1887317316 +325519116 +1073209195 +948797839 +1989228966 +960098632 +65565616 +1098682887 +187934908 +1573325382 +475365099 +39885585 +1760268226 +433927188 +2051140369 +1501605231 +1804416890 +1905477744 +908563928 +1898889722 +1438948750 +1285715325 +1701003470 +1317140201 +199558134 +840464331 +356949981 +1702565630 +1472281580 +1639225689 +534775879 +1212115248 +1964744805 +1607985074 +13429440 +1806490123 +420600058 +78995056 +757689363 +608534966 +1652320439 +1233054462 +648420552 +1265105017 +1666981650 +552077273 +619226600 +1323914893 +310071370 +1527790528 +1075320967 +1749020120 +666022206 +628840789 +918676673 +865580340 +1469305120 +1275626654 +420662322 +794103052 +767368695 +955438201 +2006218301 +584629852 +415939628 +2019647741 +243636328 +836539686 +2098642797 +1001325691 +1445074653 +1603479588 +86896505 +2093495205 +721100957 +1753878155 +498088830 +1340327557 +930309400 +808160200 +720634438 +2005630368 +409696672 +1386656644 +486987509 +1328373345 +104753336 +1956292630 +456516352 +525415658 +602912034 +1223885047 +1480853860 +461646687 +1808514900 +1896793488 +333810780 +2052151228 +585849526 +284969930 +905993271 +2030924179 +1888449518 +992889776 +1976935736 +462066828 +599284283 +327540919 +1802394385 +1529593684 +1135701119 +375545175 +1387740404 +1545397792 +1762201819 +1874727913 +726287489 +1866955155 +1683536895 +1182803841 +244887166 +138965282 +259205241 +1725741026 +600611969 +2067720141 +1475050866 +934422750 +1972387721 +2060900392 +1219392680 +730897344 +1944340924 +960358550 +1723787120 +1773793012 +1422425378 +175587755 +2101333931 +1077336116 +1705181439 +1089551403 +1452881291 +945438195 +487465547 +1067599463 +672682461 +1213753036 +787070970 +208735708 +249073230 +1031958136 +347700990 +508278471 +610215514 +948312960 +428514964 +2085266380 +1882735710 +253419037 +1998683125 +954644742 +984316381 +1795540401 +1915003292 +560619853 +1421849765 +1189945023 +736207608 +1375700049 +119797491 +293905400 +317767804 +1572678782 +1239343595 +805233351 +492794597 +1912026056 +2018986387 +1279865568 +2120761765 +120575969 +164340056 +320979107 +628854440 +774555571 +1269292067 +1057369404 +712338303 +1004544129 +1310788441 +563537780 +1959188871 +147621174 +211594533 +1726708516 +708241027 +1633444299 +769169891 +1444448636 +861660700 +888967382 +1738354036 +1179428504 +314162516 +830213983 +1984661855 +806957114 +594756392 +1856164594 +2086822682 +568034509 +1976740564 +103679090 +889013616 +458111356 +878234661 +10822036 +1515480761 +1590572965 +1015366165 +678785554 +6627097 +827071389 +826406729 +218221631 +406296257 +1534647756 +1851665930 +1175466148 +831612744 +565842982 +2064433530 +422483132 +1745271486 +231112398 +1252697116 +1582449693 +1038069512 +1847453508 +1291130639 +977408546 +268004369 +1120387555 +1081087637 +1157017985 +1578498912 +1959322298 +1167840021 +946496025 +1402411615 +35722539 +1625281579 +1409038713 +862793928 +304204660 +1627260344 +1269090185 +1838852417 +1331442626 +297072685 +522981513 +1897285608 +214022567 +945464646 +1495073446 +445134965 +50678114 +930039491 +1483204478 +1898131622 +73686482 +313129376 +18652343 +1194074038 +1394217013 +1175670328 +625089302 +1206055664 +196026702 +1571585327 +460983631 +231749241 +1049383258 +1870022344 +1094543169 +1353587919 +1349799040 +216149706 +1044956688 +533758018 +513222391 +1567938201 +283559978 +727244958 +365919199 +1778633424 +1172379923 +416597313 +561189267 +508100753 +167245287 +634875750 +821230130 +185897630 +1828949788 +67963495 +1361567959 +306555442 +1274019159 +1557594661 +1878140769 +1735002791 +1789343902 +780040379 +1457541487 +736403423 +2133628298 +659856880 +952553129 +1031101338 +1193614898 +1465775520 +451555892 +1477174877 +45536830 +817475091 +1108324653 +1217916753 +1234072405 +1669513921 +1726017507 +1401317692 +156906023 +399763989 +1587215323 +1985855811 +467727484 +801299634 +144927605 +1741746644 +211410647 +2023068374 +1329265787 +2000754549 +655625105 +639323626 +589674324 +641769756 +1299180506 +1542227453 +1672871094 +345311757 +860519325 +2124426986 +1822486634 +906056155 +794418430 +783327639 +2123972908 +2028490835 +305357912 +1702506767 +1282324879 +462263935 +2102270756 +722056554 +300636098 +422514593 +1523356188 +445563703 +16777589 +1734766835 +321148429 +1346043376 +1588037736 +976773535 +1985367002 +30228412 +1618543291 +1137063861 +1572455865 +1143930737 +1482375618 +285491542 +1120874076 +1157378604 +1191547697 +1915292506 +1940706243 +1168036958 +1796299693 +98580508 +723060077 +931140924 +560844443 +677847186 +1653197479 +861480542 +1100361779 +1029070019 +1307044245 +1117139368 +616353207 +1628192675 +315699096 +56907295 +457482562 +153582450 +87135708 +2076025853 +1290646311 +1659591573 +1072472942 +625538281 +1945083116 +45863370 +1782916885 +989147165 +1961155876 +1576139481 +9700475 +1609971921 +1674719989 +732760553 +393629198 +88080784 +1410607739 +2046826677 +949561326 +363485870 +928413048 +109121924 +1480625238 +1544766255 +1737314599 +1796324334 +1601673551 +47313513 +1949906784 +1688809259 +2123339366 +1093069448 +1200917184 +1048328660 +1718607729 +998516652 +1094192031 +1354040967 +1987663818 +907864259 +782696800 +1997364293 +370352533 +309933141 +582641198 +763981731 +398013925 +1993248937 +663324760 +1347575252 +209251159 +1591737808 +1456697176 +1689876397 +989020416 +1046528127 +1338717083 +443210319 +1093841640 +1141140220 +2132019578 +1069697358 +86726020 +1185453114 +2118026018 +1805333749 +36486119 +1064734401 +1011891068 +2024149937 +1972598661 +1794587868 +1874030582 +195467546 +2104521009 +309188133 +959449277 +355051287 +154953422 +1622774037 +1702626539 +364204582 +1067028197 +1011840067 +2054080979 +2056048613 +2058368194 +1245314415 +351775284 +1004726186 +238970987 +336311214 +2074423544 +325697007 +1521764329 +2044965914 +2131030756 +1558250448 +962216668 +995438177 +1434916737 +787331681 +642542397 +1161463671 +982799227 +599579759 +1470651804 +1942248504 +954631046 +1625605227 +1417538893 +509773937 +1989809809 +337083442 +1521614004 +1896407140 +245648408 +1432498550 +994237907 +597423692 +289741088 +1233208894 +933734907 +216680984 +1558905901 +308015588 +114163250 +1542453010 +1866266036 +1076379918 +390407539 +1153699125 +1863711599 +1032949936 +167679148 +699027178 +1632529695 +1638330953 +493792034 +439677093 +1116452532 +1911330927 +949451030 +958778693 +100930722 +323581386 +707702185 +346579130 +1756079936 +1701940093 +944002822 +2045821024 +787665339 +1877737729 +115018360 +199087593 +38269669 +229181611 +1741540603 +1904535705 +1305561529 +2131948142 +910751182 +1021789481 +1017414430 +1078430331 +1720816659 +502460478 +569277636 +67125046 +942137571 +1685730168 +1978455973 +1891588602 +497025213 +2079386695 +67686340 +1204727398 +278482177 +1823766277 +759183843 +1222485000 +1722103653 +1546849183 +952739081 +1837122014 +1745936776 +991008751 +2066303625 +1339993731 +748060808 +1224381506 +1324458225 +1658811991 +98687339 +194389007 +589758674 +1819503999 +696849485 +1159036310 +1886629045 +1638987057 +697282830 +1717601370 +1383092011 +1194308043 +1649504418 +1450778351 +251551793 +1927986595 +1127060980 +1010735637 +1002987947 +701680986 +410101172 +1955727029 +391319352 +8554300 +799252132 +310139329 +1348548031 +1547312940 +1534520835 +525522608 +1058641283 +1633208175 +719911615 +1648399957 +1305228526 +1416761101 +659952619 +1044373923 +908264510 +1357235449 +614491645 +143872873 +404059844 +116512415 +1594651224 +655611638 +2044499011 +574228557 +1666347275 +900003310 +1275909543 +2076448447 +708246691 +1667228895 +2085002747 +1507498823 +1977368224 +1286067130 +907328116 +1364405411 +1811589738 +1965969399 +850129938 +384017705 +1466885709 +7874816 +1800778806 +2126838328 +1052248739 +561559668 +1336590130 +1666740385 +705432541 +1740649974 +1783252800 +152600118 +248777964 +1680268163 +726828675 +1915125239 +432787826 +2002738218 +1844090038 +1141034517 +1522483465 +1781609137 +501049693 +1352368041 +920192619 +1408377809 +569289804 +584298709 +1226863560 +1419419743 +968316415 +546265621 +1427294559 +621611573 +525620302 +332059651 +1183171242 +1862210432 +1998800036 +1888603783 +1455376758 +1634569188 +2041203901 +1704154723 +1167353704 +620548928 +1471796314 +1600141530 +475803498 +1168402705 +593692399 +1998286963 +802528194 +1094742092 +1203171356 +1722720814 +355636253 +1772461161 +159535875 +1582499814 +1044397256 +1127852290 +2128765435 +324208167 +1749463864 +506902089 +656267818 +785151458 +221628873 +507584206 +526271593 +1677005632 +2142153395 +419991847 +1233676707 +1162023451 +1040540775 +557989373 +614681333 +1516344274 +1726392078 +1208373732 +1367147589 +381436625 +155632177 +422835298 +2104157439 +511268430 +47812811 +116209666 +2093768244 +1092210067 +1244061957 +2075050032 +1416418234 +846042173 +434468473 +2072686053 +1631193631 +656097347 +432786611 +9981576 +185619331 +427456358 +429973423 +1419296038 +1589479809 +1470514199 +1977285411 +56677494 +839374825 +1556193842 +1265051227 +59038766 +1937630467 +1420683404 +481874064 +1894304258 +1931951834 +529686875 +2010513924 +1878236431 +1621896942 +1107092233 +1805802815 +890831529 +1953134406 +92787640 +816033934 +1436844389 +748884987 +1248820545 +1446825966 +934504318 +1676276904 +1876799389 +206316708 +1118273065 +1199829940 +36118472 +1174950560 +2039204765 +1592312314 +292518139 +2098243532 +1382459133 +1713201543 +432633948 +1129279743 +1497669729 +962320824 +992310019 +1228422512 +436734118 +2099402253 +886741679 +1327565647 +1905053011 +979529320 +2143599581 +1194413753 +1728414307 +1244936479 +493756071 +515434978 +773729735 +223071812 +721751686 +1892002800 +1422901753 +757870158 +919469712 +1314622870 +202698824 +1211987851 +1265382754 +1585157957 +777705746 +1698016703 +566954052 +127891828 +512853879 +1559264072 +1356314340 +949587997 +1511182677 +95572372 +129669997 +1268752040 +1075101692 +125785930 +315682145 +656032351 +1370722409 +809438216 +1171467329 +2144452144 +1032510029 +1893219016 +1888971297 +307928134 +503605526 +660957361 +1622551004 +706304351 +1872945213 +740450111 +143978660 +503167311 +290983166 +710932713 +631059139 +803837045 +122713137 +1987373480 +1753425042 +1633895814 +2082945852 +1883095039 +755164206 +1010563896 +2008880970 +1070846352 +1666596247 +1232119731 +1880284568 +690579929 +1229088228 +765310949 +436315297 +970575877 +1073239083 +939920823 +1631533238 +548306440 +1646225174 +1356994803 +1288756551 +1790203835 +1860162115 +1579739717 +353652900 +343737606 +236093114 +476366037 +183627438 +1989518156 +2110261851 +119089642 +1725129548 +717942409 +1129653538 +1586526870 +1788788761 +648766138 +671162953 +1521589682 +1339346067 +1900251181 +139416983 +1775661364 +723343410 +1212656067 +568098539 +207393001 +1760962507 +66840066 +1564387804 +902235410 +1857043901 +1277066271 +334491479 +63213153 +1620803878 +570584593 +539579190 +1804431316 +412619101 +502357393 +1923520959 +2137748649 +1220299802 +905690849 +1576791871 +861604916 +1554456987 +100471177 +235710950 +746319406 +2000722358 +375127933 +374497122 +576582121 +1587784000 +942595662 +783975122 +1201262859 +1009435728 +200879278 +2103498269 +718995981 +1477945550 +290506100 +782209134 +951265780 +861090693 +1321788324 +608213448 +1273709795 +1824145717 +384250759 +1263974796 +896961871 +1289941609 +693283020 +1758566787 +696914948 +793754197 +1994277737 +1443234355 +646992907 +221922023 +1817731477 +1223575028 +1809706023 +612843491 +2007550150 +863485235 +1622279219 +60945781 +819499856 +193791552 +1538891331 +1110005957 +976000686 +342673463 +1971096650 +150305362 +950886911 +1097322797 +1974451079 +1335137671 +213813946 +723929303 +477595632 +907096966 +335012442 +1174510580 +1700851163 +181806532 +470261287 +200360422 +403728555 +140509117 +1423935451 +65950930 +753352608 +1284001953 +929436165 +228148180 +1344947734 +1748936022 +421939732 +736355417 +711458331 +1397940419 +1079028880 +535071333 +1548245781 +2029915792 +1632394131 +1375213213 +1217569815 +1846208077 +2099142516 +1695165447 +605821395 +286671310 +722192379 +159188910 +468477842 +1192453667 +359549332 +872206397 +1332962784 +1783484783 +938157328 +2086315392 +920003089 +1867593493 +166979924 +117467175 +1469045867 +588919657 +853822593 +33020550 +1986860076 +1932851473 +568091884 +1387622209 +1815283617 +53002367 +615351774 +885369784 +1899210444 +567010642 +433051583 +357548191 +853681953 +1155243963 +516737101 +1322159795 +200213982 +876286433 +46882545 +1533176766 +512287569 +985039873 +1472008510 +1432290658 +705149718 +1638988435 +1549757833 +26711938 +80424444 +256096778 +59732488 +2067284520 +41464604 +627824372 +1307423081 +1856748221 +680826739 +1922774856 +594634358 +432553535 +342301850 +1027685941 +790101726 +1195983803 +35446256 +1306838827 +370659951 +235660238 +35641613 +417542496 +1768837004 +547929182 +1402582369 +1093361867 +1980219840 +2107732087 +584866654 +1382494025 +2134444025 +665291098 +1638590804 +46692866 +585091970 +1680055408 +674517238 +1892515051 +1389319981 +1355343978 +1667806259 +1983954339 +1787897513 +2010108110 +864156633 +430515592 +1058608265 +899602889 +1737354419 +1429268216 +1135263128 +1772996032 +1846810712 +756616484 +173441566 +1101909433 +1849978351 +6177758 +1062157873 +287361357 +1388671784 +1049118250 +952652455 +879778940 +1095811116 +1537744425 +412350700 +1770328355 +1282775829 +1801670681 +978188685 +803098440 +1638141373 +618602550 +665722902 +354814358 +1049118142 +1724331168 +1254417247 +638988914 +1006115736 +242196727 +264501298 +705442801 +998813212 +437942865 +1807352234 +701307915 +444120623 +722026459 +988669273 +1832792407 +1771144710 +1941321728 +565087699 +719472178 +1331582506 +977438399 +342316885 +466874687 +631625433 +1320505570 +1269973127 +122283158 +1939108121 +1935696030 +477097516 +840742615 +1512543550 +1731514763 +1479731529 +371175638 +1973711491 +1744232828 +1076618439 +825041055 +34692045 +736487026 +1526348970 +478812668 +1458513485 +367534595 +164121428 +1082174547 +161372676 +729209127 +1801646726 +1492955182 +1706647527 +2143963611 +1959829869 +190789312 +1316985534 +1082319348 +313072470 +1108610007 +870531730 +790169986 +1949352622 +235591632 +374201101 +1281600504 +606767271 +200428944 +878349684 +1683385710 +1025469999 +913041729 +272389088 +404335322 +1391854397 +1730902574 +771869917 +1555975825 +665593473 +933242593 +137701305 +319756551 +278714127 +1844348832 +316236515 +91060348 +2035138144 +1633222049 +1173379697 +200726966 +594348408 +2043911427 +990896952 +396217382 +132019412 +1365098053 +1677817886 +738786683 +1565526998 +408683922 +274688745 +443513349 +1321725651 +547077834 +847848671 +566096401 +130496760 +1619718589 +2122072226 +796090233 +405477534 +112289883 +1115846785 +684191662 +1956638715 +1432083300 +775252010 +1844293211 +917821701 +1948631707 +2045020177 +1512170109 +1845059487 +888433481 +1908387491 +1977078899 +106047887 +1438721730 +568381934 +1671574885 +1847405652 +843070679 +2115088234 +1021647656 +1390148513 +815453258 +1587744057 +1520645273 +287688199 +1562332635 +169251859 +693165733 +1674622519 +1285098644 +1377357395 +1483777586 +569698296 +5125758 +1180587150 +1487519997 +1953757465 +1078123679 +852206458 +1651333304 +1966557161 +613110301 +1480928555 +2072605048 +2051832031 +2049310489 +1596696285 +1751754036 +744897521 +1564300871 +625918044 +2135046034 +232270481 +66178453 +1508207660 +519958680 +1628511088 +1677459519 +1213124414 +1155649959 +815074515 +442998161 +491943898 +1384772811 +448123919 +1672531048 +724809160 +254397737 +603171079 +1577015618 +1905731041 +422244592 +42642271 +1239175949 +347365992 +2094474303 +1141002790 +1944062277 +1698744691 +1885900311 +1360879501 +177179087 +1873462698 +1593149982 +243357540 +1234186710 +2113108663 +1871868628 +764162581 +1178749429 +880034940 +1579237096 +1621747590 +1371978838 +816526259 +2069871510 +897026238 +1541335419 +176785599 +1500197317 +970867389 +2082516640 +1922441910 +1013509660 +1174208941 +122324254 +960500315 +167728084 +2066386532 +511761358 +2053628395 +1279782385 +688940445 +1779607445 +725448719 +932297985 +866310507 +691073734 +656682966 +1630473088 +1869823163 +1536717906 +1062226536 +1344087106 +761213096 +1878752795 +1266474968 +1658239334 +1272604566 +1443260567 +1010953003 +95988307 +1378293559 +785911265 +1109497968 +405018853 +908235520 +2069998283 +572746937 +827138404 +434275994 +478891684 +2106920789 +1123216439 +111015482 +684885860 +2055514425 +977325989 +1375959595 +564713743 +460315430 +1098299110 +2101431649 +1522541966 +294902568 +715161097 +1253811114 +1561377536 +225916783 +378932032 +857154455 +1236869786 +474920340 +87964367 +2022781052 +1584418308 +492983220 +783532924 +1506932943 +1065730157 +1610671328 +1941208937 +1544621841 +1570108469 +916941729 +1655637323 +107510681 +824972506 +485479665 +1483470276 +1389686249 +945795095 +434285739 +1343634250 +320853413 +729188307 +2058795347 +1574664527 +143082196 +137228482 +1953596560 +1000236651 +1374098268 +281033252 +1088201018 +1249395672 +1865451560 +1581184238 +2032928596 +1224900855 +499430747 +1496116276 +1018626145 +2044052589 +918741097 +1935567874 +1552206264 +1026251779 +613056732 +2037685929 +362238407 +2002742981 +835997376 +796524146 +1198893583 +1156850790 +1525712454 +1110205282 +584031669 +1668794650 +1247433764 +390144581 +521547653 +474048384 +671177833 +1609748672 +1723444057 +389145745 +1043449262 +1608889005 +1614046601 +1542880010 +957521634 +485189098 +1439448951 +1876262731 +273273324 +844171567 +755030862 +886330056 +734373849 +1117269270 +741589389 +1570371225 +1913793416 +1940482972 +579738367 +1292022222 +903204606 +1163770037 +813333224 +3154722 +1553914618 +1334880878 +477203106 +77608804 +797145902 +53163515 +466754549 +1840595164 +1662052521 +2080801150 +1235991526 +472090507 +418506600 +527956829 +200869590 +691779924 +1372128397 +955900453 +1578109980 +2106502246 +2073169723 +172215721 +1529389823 +1839479491 +2112698693 +2109128191 +984018066 +868419651 +1125414580 +1797351290 +871574373 +531845550 +984748520 +1348777480 +609454354 +1781894422 +1401940995 +1076208904 +1475005939 +916509868 +1009526406 +563513817 +1388600375 +1428033007 +1091470647 +1589469966 +2119812931 +316115396 +397886771 +1550439264 +275133994 +323572846 +1722654985 +1804523817 +15568689 +1687870031 +1766168360 +999586755 +408806034 +744099292 +649454398 +1280380408 +1275944843 +1634202918 +481674240 +1885399197 +1268613693 +1883615235 +814124453 +596135984 +652641456 +1823650860 +1159649801 +2041241831 +1104200219 +103636800 +1483228149 +1076529502 +419752196 +1881114920 +479485118 +694886190 +57204118 +54656456 +351926360 +72772808 +1742526487 +2118094720 +1072359563 +3848873 +714710365 +1721813961 +1284229281 +1990655208 +1208533232 +1765903521 +1728570757 +329663277 +1502035109 +395211563 +925799261 +7192917 +71378775 +2085449062 +2048434748 +1175578994 +41602215 +1384179250 +104624848 +461354411 +1117810522 +584109967 +1156240602 +1175014641 +638766423 +1508166962 +1247787449 +233809262 +1478778034 +172663364 +237658135 +46004751 +1894477326 +1521887417 +2036659959 +955526910 +1140307290 +1617747069 +1285190187 +494858751 +2012958632 +63505800 +502051668 +2084337407 +1471214 +403002769 +1112432753 +43073429 +1787182019 +1217057601 +504427841 +757508893 +1801167568 +1660668443 +1932523534 +292450343 +1021351757 +1032827335 +526259605 +352646143 +1205490700 +763917741 +398650895 +952484378 +138321510 +287827206 +1908011288 +1278628800 +1905574275 +1045717827 +1773487552 +1771049259 +1109223627 +128055572 +1707903018 +1110694841 +531058341 +672852123 +1153768271 +170756712 +1889909725 +1658196112 +928265606 +1543593645 +1171380907 +713305492 +1836043989 +45249016 +1746132828 +214819946 +397895159 +804139880 +978737687 +796546054 +1756624258 +1117059197 +1084373261 +1517151898 +248204350 +842463888 +415386077 +2021691902 +466029500 +1524609704 +2263826 +26448870 +487820897 +533322168 +699300994 +1641589168 +704078880 +441727071 +1152301632 +1632344486 +1985320716 +176198891 +198166331 +1673881057 +221447907 +1944299159 +1888701004 +619343067 +600955391 +719955043 +1415889121 +210096001 +1837014241 +352778734 +1727247899 +2085218591 +1195242623 +2142633976 +1959426845 +1661272123 +1519760032 +1961690671 +1687720993 +2007580929 +347529191 +239538339 +1501686450 +1051608072 +681265410 +506504434 +536468910 +519102479 +682703326 +734635241 +45499888 +904151233 +531450752 +1934200892 +1523494300 +1132406143 +506672288 +791899774 +1342502144 +196202881 +1144678508 +922266395 +133937824 +192437483 +917416723 +2093364669 +1853709606 +289693107 +1907571692 +1393946952 +149790389 +107617236 +1633485291 +1651476839 +1159225308 +167267054 +10497625 +1695694218 +686369533 +693200951 +282845812 +731869421 +1597352185 +814296564 +518586666 +973362837 +1946702708 +1025258954 +1765262611 +1141721204 +1221461835 +762457472 +2063987600 +1355399659 +954894955 +833920675 +1301280680 +661120914 +1123613783 +1061368724 +2055067866 +1273404172 +1168985960 +1541069509 +777397363 +180727620 +1708336563 +787894988 +1876421839 +247222448 +1481095940 +11784003 +979091870 +930964477 +826080567 +1497678536 +1904327314 +625299627 +375453842 +1522106278 +1767020832 +1596915677 +137080102 +1683524784 +804831688 +1091975057 +369961811 +2106112368 +1753095971 +1493575594 +1019997444 +1660680189 +619496118 +41499757 +1054266051 +1396893481 +222227377 +615118966 +37304822 +2098649216 +862341415 +1518400762 +2110433219 +1841433285 +301881591 +789030139 +1191628173 +58725257 +1414329766 +1567082015 +1580831535 +1033866950 +1016514044 +1717911637 +569908086 +1821345732 +662403047 +939869898 +1779974452 +268015370 +285961844 +652488248 +1928695560 +905457963 +693988005 +835477963 +154867796 +916215383 +1450596929 +192172618 +867380951 +165454696 +1710573380 +830330523 +2006887981 +2012454971 +1619360662 +1051032506 +2071180229 +886206780 +470630873 +1504528116 +1920073731 +1487144917 +1074956106 +342498169 +1161007001 +1737359153 +1282368067 +793497805 +2005374523 +1568329912 +1445986054 +1786586435 +326304227 +2139974059 +474580750 +481172023 +908705794 +1925177680 +673344642 +1776086746 +2090632376 +236434374 +458933621 +1950036710 +101405698 +2078294283 +853585568 +25102279 +817017415 +1324216442 +1529630395 +589607498 +663877711 +457102853 +932105668 +1824884713 +46978358 +66990087 +470898870 +2052352882 +1635319999 +1916884924 +1691455669 +1961624226 +1909375336 +18552772 +295312602 +670597482 +1943730452 +968657244 +299200580 +1886879180 +1205091618 +758134201 +1689432242 +1306497316 +688944836 +395534163 +1331599595 +1505962252 +1719750605 +713746343 +2095569750 +236144668 +1170849196 +880191770 +2061029381 +1217827555 +947181858 +384444604 +1122696789 +435018209 +153845880 +666668810 +249158788 +2063221216 +685221582 +544471390 +586335051 +481468386 +1513128634 +885535631 +220863919 +570736604 +1643669833 +1910296161 +1877233921 +185131021 +158346676 +1061349868 +1691093273 +1878097281 +1775096211 +1639179376 +2114241950 +798461760 +371887498 +2027787683 +2016289315 +1319069356 +264748639 +991502456 +1754087566 +418594520 +1658171266 +2003246354 +334332088 +195909201 +400234096 +920667139 +677377587 +1913362730 +1806202771 +898241506 +336615686 +1302388956 +661054020 +66365959 +1487519977 +819400696 +1127715828 +1031129603 +550014330 +755328391 +522825331 +516772632 +1553790151 +894712829 +397076667 +1422595818 +66298538 +661825307 +266614626 +1820386104 +1080419827 +1924785893 +1676148810 +1414751915 +2120695094 +2076382906 +187935407 +650589033 +1842261988 +1994138178 +1548830540 +31394026 +1149043486 +62400912 +97759986 +489079815 +881801608 +1225475814 +1520209418 +1431815938 +1980804205 +2043034749 +1948588570 +1387110709 +790263931 +198181590 +662222879 +856562469 +860006897 +928837506 +529464925 +1940426724 +706139751 +58130087 +1207694991 +679351197 +2134512993 +1395630398 +1329940230 +1829291333 +1242284928 +731287122 +1860685359 +243844766 +793688034 +1958445345 +732924582 +1675489643 +1036437511 +105650352 +959821933 +869758069 +1201454 +760926856 +109385130 +791465385 +959108446 +771608009 +1648027854 +1819115343 +1700445515 +30009131 +1612058419 +259101618 +88139218 +672269762 +938452815 +75168563 +2067900161 +120909398 +1904459896 +1162701441 +852196520 +1617661607 +1406546208 +1645884555 +1428623305 +2139470790 +1173890550 +317577168 +97637494 +2133712483 +1187335237 +98838948 +747155691 +1296720367 +890304333 +1706264137 +2068328377 +390848539 +1377895832 +1621290244 +420857670 +842470603 +1880391863 +508996888 +1514740366 +671361030 +584165451 +1435156879 +792270428 +341141699 +450374672 +1644466949 +1958803307 +1856920880 +1142867856 +1239942964 +1848908022 +169274758 +1557520132 +1946545517 +155503593 +597371722 +2045384465 +902659285 +1894092089 +788205151 +461439774 +1814936818 +1179053690 +1839335607 +1288743415 +1599911361 +534322562 +1021651630 +2108908249 +2049062928 +1693012660 +545590053 +1336736159 +337799441 +886731752 +1787110832 +1982266390 +698051411 +1496548064 +977650598 +1937994375 +1197972439 +1146925356 +1348030860 +997034308 +1302428949 +1945402582 +894935125 +57604586 +1692011023 +1683140276 +519044361 +1359464194 +714710319 +210896320 +500723961 +167138032 +745218882 +1522375591 +128562633 +646798163 +1067904603 +674152686 +1983534322 +1405704044 +1560884439 +1623161506 +1240486786 +111452202 +972225923 +70653736 +2049446578 +22714714 +1217579092 +1249993790 +1019749022 +372524394 +1047912724 +1914684147 +430128980 +592440099 +1450340776 +949173341 +1951904293 +17567447 +1160069661 +305144606 +184705479 +1905288544 +1827520197 +313268112 +404603059 +747941153 +987420799 +240653733 +6161549 +400821590 +1863815240 +1246648336 +512273792 +688557515 +1317302072 +414236722 +711272229 +387397517 +1664230512 +1731021251 +759921911 +564659588 +1498221750 +1190050891 +1157099688 +801078878 +2139224233 +961520333 +818646325 +1151810246 +1266664940 +1003351804 +909615142 +946701489 +1316619917 +1314218201 +1694642642 +156557068 +1554871935 +1700804192 +557378658 +1271203527 +799968880 +1069652450 +1959761042 +2117270952 +1483889173 +523549623 +357184821 +1000636037 +107087226 +1117106732 +1565295626 +1605308976 +159673976 +574911666 +258904207 +151414561 +1536431999 +1077550532 +1303224807 +655613291 +2080902337 +65356302 +1602314781 +1250038606 +1379574503 +1149473775 +1406595674 +786962790 +702794319 +1963974332 +2058166317 +1502763199 +886143134 +1870443711 +1472550504 +222548659 +246509686 +1829735325 +1223184697 +353596912 +799358410 +640996675 +1958905889 +959032386 +1215908341 +70326448 +1110446947 +604856692 +1147876980 +266188106 +1260469984 +1081295669 +331544408 +715301117 +183850627 +1711118912 +1864774892 +1590446301 +350598054 +420085564 +1406936985 +261280724 +1922848763 +145596472 +2131724435 +1247915619 +368145131 +230750474 +930167297 +1591329828 +584347386 +1729525707 +84842855 +395769627 +541074445 +1300751196 +466096075 +1651521392 +1905607889 +1613973056 +1917709498 +1018594225 +547785077 +101770259 +1733895342 +731635705 +1812889171 +1451186586 +174598358 +16003577 +1871272150 +1581535344 +277284301 +1646637266 +1727131816 +261525089 +747069237 +2095276947 +492275563 +1677236534 +1539123128 +1076622949 +1259278593 +1623965983 +1472392577 +1800353038 +777233532 +1938488652 +1304390782 +535357773 +1404978060 +1074616633 +1553951998 +1952763138 +1176386892 +1140363692 +536915195 +841792415 +444066630 +711513553 +857795992 +167855133 +145565249 +1135080294 +1814492399 +1872697065 +1396605383 +414077988 +1820490365 +1888880946 +2091314523 +1212129845 +818020247 +1203109468 +688612180 +142929176 +855978859 +1465845712 +2081417829 +12885993 +2001203485 +1338912241 +1087502626 +1407671835 +1144191731 +116405870 +400551879 +1681106926 +958198285 +844618510 +245136832 +1815994278 +1012473643 +390702081 +803590924 +679482394 +115915499 +52712659 +1093560382 +1936405864 +1941593605 +1037391257 +1001052061 +612130204 +93017078 +1689664241 +755059381 +948995937 +1008026306 +688993562 +961881930 +861746143 +2027905803 +2049384557 +121934331 +1024613887 +18306779 +522486210 +558237165 +976505065 +1367104720 +803373997 +645015695 +232094715 +1194076079 +1448606619 +911577109 +1309991578 +1501319278 +2005137492 +1098913794 +1295429235 +895045101 +2099965855 +1907559439 +988062179 +1642146448 +515135172 +1937058116 +502689106 +1204128734 +751456399 +1364435250 +1084550890 +653357308 +1486369581 +2109164777 +671664087 +2008855791 +519918294 +1648169152 +1228476864 +1323292292 +145701199 +1460571579 +369884723 +1594307818 +224665041 +1679876301 +948143448 +82318885 +631306447 +96089035 +977363986 +583788654 +2003648475 +1965426166 +78451454 +371299999 +1755000634 +581140561 +1575428734 +358973385 +1945575811 +512495976 +1012330693 +1284461744 +474177105 +1683994781 +1145833887 +994095399 +1184680285 +226827103 +169904043 +1330381485 +1687398683 +539788766 +777205655 +1912063724 +72181419 +1725349104 +1994382609 +703487866 +1821438139 +824262947 +1287276520 +1677602966 +642205465 +1365727975 +2048902966 +249722452 +1946868536 +1476848052 +608695837 +1744960699 +1989344028 +1621026531 +881938795 +316037485 +1157537664 +2027772682 +1310132884 +194734301 +107116138 +1480036928 +1525115786 +1794514821 +2019825694 +154837794 +1559094897 +2092007114 +1880186898 +1405993858 +648011332 +1554141389 +82773157 +1935287853 +1084260708 +724978623 +1153532180 +985680026 +974701075 +952917068 +315044430 +1583396912 +550394119 +156904810 +1056939795 +1432332914 +472942295 +66993811 +1312621948 +1783075179 +261728113 +1419738086 +1115628459 +1786843899 +1066769259 +987970506 +1941681693 +478380508 +932493972 +1674384943 +1884374366 +1580505304 +1081042685 +1967147524 +1368309509 +17819745 +544642499 +374358041 +1003499771 +1519343574 +1327275109 +1318544201 +955256838 +1877669228 +1475449011 +2012196634 +1162518494 +1948391306 +2079190445 +327656795 +1583982837 +193434910 +1747394881 +552127649 +1980278810 +666680493 +1540098155 +1774476855 +1145061001 +325108479 +1301378151 +881951720 +1905613783 +234937188 +701615596 +1126439645 +252756933 +1246258095 +1500797686 +1256256704 +618118021 +680589148 +427317257 +1573374859 +410774728 +1902766268 +1438087845 +1573293223 +1703673926 +1369794643 +1900950018 +1140173115 +1563229553 +1500861251 +1692300764 +1396024715 +20058096 +1084915271 +1023017923 +1165119098 +1410023750 +176912426 +2047070818 +1168153886 +411849614 +601202766 +147109883 +664606547 +1847460861 +1647907569 +1920863251 +318095234 +181013069 +200696860 +1891470093 +591787798 +2103463128 +1182074291 +17597373 +1659653406 +404385286 +1918547391 +652342873 +1967614839 +1271924994 +197159990 +1216155907 +1291983091 +1282075261 +91690182 +309618541 +544615364 +268602608 +209205711 +1712769250 +680452222 +810408477 +1859879133 +1345058769 +510385690 +1360303054 +1118438372 +828480924 +1541316124 +1319135232 +572467369 +2133103922 +1275114712 +1754541660 +3217647 +787284470 +11443298 +1921765038 +1439627343 +1979058138 +1046206384 +1636787333 +1047730397 +190705827 +771378947 +1139420579 +500324368 +1315994311 +1408023187 +709530079 +881279913 +2088475409 +1519938556 +593675398 +1286050530 +2030324246 +1953978452 +257005254 +711321522 +1347810928 +1576140486 +1283788892 +1333431202 +703771550 +890846904 +1336648849 +1491056020 +902290203 +1110930239 +783199715 +733864693 +9652976 +272503401 +1781595090 +200358803 +1043882348 +773532021 +700683172 +212393011 +34071560 +1410213251 +1093672924 +2122546969 +782668160 +1687348322 +1261113851 +665508758 +1493843126 +1518119105 +1376830281 +694170407 +946775943 +513135525 +2027601609 +1650547493 +1403982429 +1216766811 +994119865 +158788984 +180213402 +1777319580 +892653677 +189866378 +2049822981 +526765119 +390225182 +946221681 +1300297140 +1090908354 +1158614692 +1334368700 +353637957 +104803968 +1309432021 +1136306117 +1792152290 +423062224 +1801814876 +1138511769 +1941181329 +1031161509 +1832682176 +740473624 +1544297034 +1712800137 +243537469 +800795815 +782083300 +1237657334 +959584800 +962296703 +867493267 +1852238477 +1152163081 +769832600 +231519949 +1542388263 +1716054282 +1531817089 +485812969 +727185326 +718702142 +839450927 +831989295 +2028134163 +1975757044 +476657937 +303712740 +1630088272 +1615169706 +97410421 +513766133 +1300368234 +837884046 +2058063167 +865684724 +1081421515 +711375335 +1647768024 +171595202 +1670960135 +462581079 +1039088469 +1375714964 +1614744161 +1808921069 +1607234913 +1009648776 +1377491703 +991568355 +1495461746 +2104677030 +1710270497 +187429025 +789182677 +1590921012 +15702421 +1265840614 +1894633752 +1645790694 +733526673 +1992044174 +12073179 +2033894907 +682444572 +2070136347 +752095983 +1763866087 +634028034 +252380360 +1935461289 +157504521 +714961439 +827066110 +1533219485 +182221952 +488503532 +992970751 +1191870729 +1865995235 +1984539106 +539848827 +1823188617 +1547325955 +727277852 +464887646 +990763319 +742980273 +1730728261 +737913424 +241287319 +316771286 +582473950 +253360499 +203182545 +1264918522 +176013198 +955278529 +881300961 +810041232 +1207658889 +669278603 +967545753 +1922620328 +1496344713 +353281590 +2104842281 +1984848245 +1346252341 +1149229362 +1703359833 +1183307799 +1689078189 +1379064802 +583150106 +268872393 +1843952449 +1573913426 +1011852666 +1427197062 +164343202 +1253139986 +1743968348 +746817152 +1506500485 +1947150893 +2011735674 +1682513683 +754945774 +745552987 +345071267 +1962604663 +1414831590 +1312617020 +1737741344 +763692656 +1665898610 +1695099977 +601057253 +864667304 +696845691 +156933438 +2047975103 +238440232 +1535998241 +483641562 +507312625 +1232467042 +2057554988 +1519165291 +512180456 +74414542 +624821629 +108665156 +821231694 +2131322114 +2055816049 +685483720 +1666352149 +663278176 +1431036707 +2011423416 +478399191 +698384650 +1176556788 +68656887 +1462077306 +694971751 +1763756864 +2063134559 +1559639055 +313118907 +72584350 +1460130510 +551559139 +1608582591 +1943772072 +1058871764 +693565985 +1853843412 +430553408 +1205746441 +1928257954 +1055375037 +1314411597 +602006000 +1039213504 +1222743998 +1287489720 +558082005 +1886022174 +571042780 +422021774 +216937718 +1269427430 +1598578562 +285594605 +584021088 +146066665 +2049351470 +499671999 +1705705720 +214986729 +572256349 +1018352583 +766545869 +33355292 +814641007 +1825417633 +726921277 +521000772 +108487393 +1932667718 +301775078 +1163862431 +1099595667 +903781079 +55592287 +174856018 +43787151 +613674292 +2060878192 +614829931 +1035696066 +130332262 +1884257361 +486790981 +415926868 +320794801 +632857646 +317794690 +820466801 +191079719 +532781419 +1392723150 +1209432302 +1299327288 +1426078443 +2024073309 +977261274 +5516072 +397590433 +1085748667 +1938183791 +699365512 +102127450 +890295810 +1603146591 +157719737 +1065151828 +1646933742 +771394030 +978546373 +114280026 +1807090096 +1108878635 +1998537387 +146397429 +1524805503 +171848541 +779255076 +1842600193 +992315342 +970334795 +227897965 +237554844 +32283449 +1527225253 +1663633287 +2056356758 +357002879 +1669149360 +306463544 +1442751547 +1459849503 +1005829056 +1544878997 +202661665 +461491999 +1702598735 +1267813494 +2108425741 +326509117 +98876219 +75222119 +2133599213 +1207754854 +2073759507 +132512995 +585076710 +98124400 +911768071 +280193255 +1090439742 +1882102866 +508091220 +1327994586 +1914386315 +2035316474 +844144226 +1823259425 +244835705 +365809938 +2129722969 +1687587252 +1825659441 +988068377 +1084982602 +2028321106 +1449560376 +640097689 +1148650952 +1410502470 +966606806 +1247527171 +1485724589 +952722371 +307798378 +1412000448 +1085235366 +892875088 +1510124848 +1997003437 +1173068343 +453080942 +1731622655 +1681159564 +1781075529 +1498525322 +1568992390 +477736107 +1174301100 +1813828095 +843546045 +1156540421 +1353931700 +521721838 +2144608799 +291430654 +402559296 +1446685527 +931528343 +1551210249 +709704349 +1898135149 +651253772 +47945291 +703373872 +959052150 +1459945739 +1788609239 +1851927238 +822586940 +1638129028 +877511934 +1275667882 +1222268036 +411187850 +909259763 +573309710 +1980180240 +1386995870 +1747610810 +1646524687 +83058267 +756667584 +852972739 +604780105 +753792735 +1144403393 +1007339402 +52994614 +2075931736 +411066003 +762698964 +1826583237 +1062319775 +810644255 +382473462 +2021371926 +123106346 +23599053 +1725815516 +945693286 +1661728081 +455843802 +73877521 +736512469 +867031652 +983137284 +1309822180 +699728244 +222649507 +909949342 +198769284 +305707774 +1666616926 +1051742023 +910487880 +272926013 +48661769 +1917827282 +325920628 +2124593505 +181409637 +1088619592 +1803693095 +1243729412 +1899263847 +38682909 +1117617690 +2022370193 +62281962 +695949559 +820579832 +1724010043 +1151793361 +894457353 +313038865 +2018825014 +1877594637 +1622861045 +571069610 +2100244144 +385326739 +769838894 +258468271 +2051943666 +1821580918 +1168956151 +177386031 +1870242687 +939299785 +503306659 +1847352544 +1120709422 +1591926251 +1503561991 +216955186 +1343706450 +1542244900 +1334572877 +1218592996 +1604526862 +2030522436 +2039172828 +1181053258 +1034832149 +786146533 +1494092123 +906173515 +516257522 +969469520 +1477243126 +469018019 +1354796259 +99598372 +727486290 +1259256277 +1921179290 +1896442441 +1436642309 +1643938329 +688258578 +1939948968 +1343807226 +1808968000 +1384391572 +699885569 +2025923186 +580614374 +94646822 +1213012415 +1799207370 +1699173684 +1096051203 +1690896550 +732743294 +2130883353 +329559435 +79351769 +889573220 +845816958 +1048821289 +219332698 +1314834977 +256133901 +318931071 +2042321267 +1515390178 +92626713 +1791280060 +804548839 +1736565043 +332054990 +597014160 +932888621 +2141022990 +1981405732 +1632774190 +2019462528 +414536458 +1727421012 +1084991296 +66260181 +1279111049 +33558851 +1757156731 +2011854343 +16958556 +2086716167 +2091206113 +906531777 +785049477 +992543754 +1125864475 +2099884454 +1248677655 +1444795546 +1994722073 +616584186 +1537422260 +1638518485 +1421133025 +1126503655 +1970573475 +2018147185 +2059392276 +1964112817 +1852069269 +1544682818 +1836091697 +119122080 +1124620183 +773599345 +185382261 +256247584 +807158197 +1942538992 +120618279 +824116753 +1881771511 +64340744 +1730648530 +519337340 +1056884499 +709029358 +471738146 +158078506 +6341256 +318976571 +774662692 +1543763516 +1957495056 +48312070 +522783523 +1780584883 +2066459255 +434692151 +1597214052 +1771044877 +1979374970 +1285822102 +1890166957 +956511505 +2059421447 +2075549218 +1212759089 +719095996 +1870604562 +1333377368 +1543212750 +1604892426 +1397718113 +1126377632 +2124229766 +307118964 +1835406990 +448484265 +465197470 +1841748247 +767460836 +1239860163 +1238028115 +577472245 +1288172233 +1760811639 +210573480 +1207147840 +48020142 +1807787533 +830709069 +2027395112 +946125987 +573392378 +836422969 +858063786 +501457948 +2049182058 +1577159783 +224578863 +1235075779 +972888885 +1829471289 +485310244 +2099266517 +1806217407 +792429208 +1787189860 +107218024 +1257626678 +1481454459 +874678861 +350003193 +571998926 +1452151106 +1638175426 +185326917 +1662724586 +697839619 +233347060 +1323028471 +1528548688 +113258524 +121670810 +2101941067 +949681494 +979734597 +455915367 +851379904 +409410732 +680494230 +2086455683 +1382299617 +362481871 +424282279 +1334082486 +21215631 +1216711487 +973788698 +128433655 +326854518 +307759509 +1003112516 +676857711 +879758436 +307779974 +167549490 +1065085353 +1970504561 +865389109 +1298432413 +1146049384 +246454149 +1411690938 +1267720195 +200911568 +213888784 +99971144 +656826936 +1065268688 +509381876 +1337321166 +1004240724 +1891681493 +1699803038 +1428523003 +1078280331 +1721018669 +497750843 +2052069030 +1849452324 +824605361 +212344891 +705081193 +1501463072 +1092103327 +1012861167 +1669012562 +9705033 +835882080 +386918023 +1308137446 +1981931465 +633372173 +572344736 +1102168012 +834283741 +786233520 +1202139156 +1491110677 +1851502209 +1711521032 +680948196 +708259285 +1455718877 +233267586 +2136782288 +386515560 +1954286255 +487049483 +291100942 +1656254931 +1311654844 +503445834 +213852476 +665634269 +1595549161 +1226713644 +187163183 +1605254194 +2062595724 +574081207 +765907993 +1897043541 +1207453380 +1338252729 +851727905 +2041737121 +2124486250 +2053867061 +1385364151 +1828504811 +1617904445 +2066312347 +389280448 +926139674 +152096285 +378579088 +1312655235 +2106382540 +865628572 +1603756177 +1615153823 +29799768 +2107202011 +1829006300 +695434037 +1555267525 +908236296 +882597221 +1013038071 +823348372 +1456678428 +1778946064 +572908266 +516648160 +969715146 +1424636171 +410901633 +946717748 +1331019585 +1796265784 +627738911 +801440382 +1715094483 +1017019359 +1727580057 +1867190768 +1395598447 +892751644 +1826089660 +113743371 +349024173 +1293759836 +143543140 +308742537 +975282488 +838977177 +1864010062 +1883518784 +1721574398 +729564485 +559383508 +1030769178 +361026902 +1132291774 +1547417338 +1330742048 +409444298 +1958318972 +129976148 +1740463883 +1607101108 +757715059 +394420617 +1174711944 +1774734418 +2122000674 +894419064 +1022849217 +867268670 +573025077 +1136592589 +1216292844 +1866784913 +1280135729 +1525035381 +694583753 +2119112906 +1241561795 +430618889 +1693203657 +1971126280 +990002397 +576489187 +184669534 +2122294172 +2123906526 +1515411582 +384254822 +1934741850 +1645387730 +2124718705 +1394359310 +255619141 +371655674 +421587606 +2030353559 +346172701 +1316006671 +905719129 +1213441371 +1889031748 +2042311718 +282250567 +1608333013 +1174963799 +1807285948 +155433118 +1146593057 +901364095 +586052007 +692313066 +725006728 +1576054404 +1268802254 +909676262 +1550864928 +1245225132 +277604197 +1935119750 +1032483334 +1922991927 +1912354807 +279358996 +31127421 +136526834 +700946603 +2061480980 +482699535 +2016953274 +819716461 +1696140906 +1758501374 +714544531 +1978391474 +1219350739 +1889508330 +1638193774 +1374783857 +888617740 +392074222 +1960835864 +1580930806 +1117080950 +1389406620 +702249412 +2026757212 +792787901 +1947474544 +156877761 +580424003 +832474230 +2079869689 +345295163 +1111833227 +2110997110 +481821997 +1812779830 +2024994442 +964521532 +1682249456 +697227256 +513178790 +1293267182 +1411771787 +344086616 +365134273 +1153796470 +1982280391 +1739918130 +2042414210 +226870965 +1553270346 +1475861368 +1343951915 +795193318 +30627133 +1223225479 +1587981219 +1978101677 +1380103241 +20921575 +663092260 +1312489282 +366216738 +1774925487 +1276002744 +848038735 +1440221669 +1153513538 +1812560267 +974987477 +1850740794 +178255409 +120771011 +1115028934 +522342026 +485905284 +121341756 +357138769 +78339766 +16272318 +584009734 +1631610112 +1492133686 +1927961649 +279319782 +1522760819 +1003703480 +1867301002 +1353378849 +236323073 +1888222577 +2016471109 +1548812355 +106955667 +1643912948 +677331451 +954994402 +936650969 +1830844990 +620071021 +1911638446 +1534102136 +798326430 +2032409457 +501647422 +1320668456 +370831093 +622989178 +1677807225 +449170859 +639261496 +114333311 +2080780971 +2131395183 +2042294960 +212617105 +1506672354 +898514793 +2079918107 +712567555 +1134837866 +1820657036 +581555016 +536166574 +1927612703 +77984316 +1213498025 +735123457 +1014635285 +896859367 +1355194478 +778790083 +283477856 +6037261 +663715892 +785125278 +1326705717 +1034546985 +1408114457 +857029295 +1483717844 +2047375953 +971362606 +1417015167 +2031287488 +866173919 +1629632273 +1390476195 +1764688712 +1562066732 +2103043750 +752042930 +1235240121 +537115119 +1288209504 +1015369176 +615099435 +354223882 +1750492634 +1629734721 +1251083249 +958203464 +261041156 +1534561105 +964240725 +924757049 +172202736 +143462795 +1959304034 +1580317193 +1000492090 +1295538231 +1480209498 +1971854696 +565069750 +1364013339 +690544967 +47218375 +607005886 +307750031 +1609285108 +562565988 +1059792962 +697041581 +1099681107 +200518818 +1712410757 +1714780543 +554742700 +1315419743 +1197031616 +1805825950 +126139560 +1458072772 +1192903407 +1090380285 +235346173 +1365106143 +1233843080 +47166560 +797939688 +86851522 +1342704791 +130665539 +2058706219 +1907774541 +1494678878 +601767538 +1954992917 +2101684764 +909517570 +1416794377 +516767104 +1969310532 +2113835958 +1616448212 +22345702 +1678763067 +1183745107 +577088403 +846699163 +233293075 +235430705 +972838723 +1691365847 +1428334112 +2063219008 +1926712021 +645956608 +1149578441 +1973878581 +1443896296 +1236429963 +1169099724 +1574561835 +1147652534 +929390617 +921757065 +1749420073 +736899886 +875958181 +511453995 +6210615 +1392725286 +333280879 +2120046573 +861689850 +355626581 +1651325993 +2045434957 +932714984 +350541508 +131244384 +1168145689 +1323380231 +1822610231 +448996154 +1239115591 +1601838604 +1094952762 +241210384 +1428233537 +391365410 +1477640348 +449849613 +1965927246 +477809234 +1379240231 +740200663 +79745659 +2116140117 +1616158845 +591199654 +2122350733 +861400483 +924480533 +2094913658 +1723090333 +1280107115 +1598756003 +1621041642 +65338451 +1949297511 +1752286026 +1233484141 +1125194094 +1427412609 +1682480295 +216826038 +881767566 +629949409 +458036422 +162517455 +1021314819 +1935676770 +612367069 +839758417 +266002357 +1991607300 +1579959081 +345748016 +1960263769 +1048634278 +936947671 +1935130854 +1910034761 +1861428204 +1882560865 +1485641446 +994051671 +1333833220 +959199440 +1059390123 +1135647084 +564001818 +145390616 +113357530 +1991414427 +1827870911 +330183568 +725698345 +310336672 +788219991 +888215801 +1331651491 +576413113 +1500582870 +23926261 +842415470 +1344706522 +1603885342 +1188163487 +1157486643 +505035972 +2125111158 +945133850 +267587085 +1839055714 +680211067 +1753228531 +685623738 +2014044287 +564944323 +1745013861 +1002207723 +1128946141 +1890404477 +1115565254 +972876920 +1570791740 +1445748822 +1698575266 +1881128412 +86485165 +439307419 +1065296255 +662898279 +1939890289 +1089222516 +1505313749 +1137113163 +545624210 +545993588 +147116158 +1050660182 +523621098 +1092250008 +1318247267 +215193165 +1772461075 +923992150 +900816903 +1639021715 +1488936473 +498347116 +493745790 +470398966 +241267945 +1609311044 +1443275887 +1812059685 +907576219 +994367505 +1545704449 +994061384 +1433674924 +463517056 +1656959663 +1226081565 +1552739573 +1014789765 +215711080 +2098363783 +1560783353 +362827238 +1001540318 +2084404452 +1455077247 +172303937 +152113969 +1080054674 +1096296088 +1052930872 +571592741 +437748913 +1551277988 +1065338532 +908147880 +1792545933 +527165928 +203940119 +1457121970 +1434742147 +1198307624 +855342771 +281319884 +484498900 +1318859827 +1938279547 +1710580465 +724115752 +805585664 +1926291545 +674995888 +218885370 +141635135 +1676536206 +155806174 +1596712382 +1848840143 +307920143 +529283409 +797652583 +1360851015 +1100876150 +1235401497 +764645355 +18731034 +2143549377 +409707640 +545896963 +200005848 +1866829610 +1980639110 +1398313472 +574688733 +114475346 +1882812372 +1893548560 +2052754894 +1445909189 +470180665 +710856910 +1224717086 +1145176553 +929742280 +1366352221 +674229111 +1085548454 +815580956 +375585606 +1393468597 +1344864365 +1173238190 +606835964 +298256867 +261156039 +1371481319 +316987902 +257221768 +1781188959 +862884865 +457227616 +1500534921 +696040327 +1855541088 +2075223654 +810515674 +1590869812 +1821288567 +715786920 +889295353 +143985584 +1426643830 +2114012439 +1289162137 +208902463 +1332881012 +1963391248 +1294450917 +978320 +191493206 +540435867 +1345842685 +1364731396 +1147271831 +1644099553 +1625887435 +371269503 +1961087455 +1883109203 +4974814 +676488672 +192853171 +1505509736 +1372528999 +2048394259 +1433249742 +35561025 +1491780423 +1107054661 +751347945 +233592128 +1251040245 +30508128 +200120919 +392718734 +239410591 +1533001932 +208626334 +1533861508 +1533980252 +400119541 +2074297375 +732339290 +1764850937 +1074085559 +228955195 +1243254725 +1445355062 +42559002 +978880280 +1450329876 +719047674 +1171733452 +808355964 +2091576673 +1072644063 +94122059 +2127137699 +416940839 +1201176720 +731001996 +650532967 +304733318 +761510124 +850653887 +697452052 +1000920715 +236172171 +906078387 +387298576 +1770152423 +1306197928 +314112303 +355008065 +923565217 +1388197862 +583963260 +19336294 +686069276 +626522262 +998216575 +2136399153 +1345569936 +22466379 +797271469 +1289662962 +1095110442 +891393528 +1269317013 +1512051281 +2092570249 +2000319009 +15100601 +249819919 +614345486 +865754488 +947271971 +1615266201 +1101926659 +1853350358 +2002564777 +724595434 +1012064638 +169193433 +1079603500 +1935629856 +1557391295 +1663566760 +1954966150 +95976924 +142605375 +805699077 +84892429 +1488175311 +828165456 +882163898 +630354625 +1923275899 +1773557427 +1899671638 +1287843532 +1718644028 +1752507000 +1302944133 +1968463947 +219368838 +21214973 +768252270 +1834635039 +1123141632 +474118981 +1689716169 +1847737067 +1486183619 +1858909602 +779856919 +1274329827 +1268817249 +295940031 +1081812330 +1364794173 +438545406 +1887511407 +1449686602 +1926720718 +568193216 +184366853 +409591695 +343985467 +1957924280 +161779686 +1631828999 +1529084660 +1914286686 +787289485 +1350064959 +2133655524 +808504458 +2118317229 +1820806915 +1931646091 +444952562 +1363039436 +1631899510 +1931136182 +1074465390 +264272781 +1057982361 +195798992 +560212812 +2139794691 +1560593165 +998758219 +1879822451 +862796120 +777995289 +300532019 +1047162973 +1187586984 +644517486 +857603605 +1349366670 +128862837 +239204617 +1116169708 +916152322 +1589269576 +1102341584 +1724656781 +1560103157 +775664852 +1508819224 +2005055720 +2138704288 +993235086 +1788708254 +1065686031 +1257507867 +699206967 +1261485023 +1817720679 +691518011 +674594540 +668995250 +423856814 +1537390660 +1446990539 +724388833 +437069985 +487093876 +1368906319 +1294673590 +1836460546 +1497769156 +1533878207 +805146607 +266437831 +975664135 +1907488191 +1991094612 +388283645 +535669395 +1352430188 +245855717 +526890036 +198181626 +2034563971 +1592576067 +1455689493 +586287290 +706577442 +1125926524 +1277805301 +1381171982 +1794921775 +1701662115 +771078995 +1094428666 +278567300 +1208148980 +1581522542 +1647473619 +355338923 +1270499441 +997759128 +1889217130 +2075646048 +1264196959 +717397618 +1835650591 +1107807923 +1105681263 +223836339 +312754463 +1351536980 +750726375 +510936089 +1238617303 +195818794 +1966625582 +1824904593 +902396236 +945068458 +955226247 +136084570 +592506585 +509404714 +907163565 +1686935252 +787972015 +2115312546 +1120974146 +287961986 +323167821 +243989939 +1285721114 +64901303 +172152339 +402434425 +782298921 +2007802931 +1510242348 +1887980184 +84155622 +1822996811 +1092033516 +834881997 +186449252 +183167171 +1030700791 +5591186 +2008071765 +1933097027 +950659645 +815814364 +2069181597 +1543166230 +1325219078 +828861515 +1082617834 +2113191093 +796690413 +56108333 +253669432 +1119858234 +300098272 +1539390546 +1184759537 +472250612 +1941824972 +1967058459 +332569895 +1304583672 +1707554995 +416725517 +980096836 +652104864 +1251607514 +1166546088 +835272035 +134824657 +1172137275 +695860152 +2067921684 +2122796920 +1511674516 +1989619633 +1518479502 +689409947 +670997500 +453613689 +655117392 +1467687913 +509722022 +908786824 +440062499 +809820294 +300693723 +1624822037 +1282070906 +95035047 +1444396848 +1614640801 +1399618719 +1004468195 +2031366318 +232231907 +1656573059 +1135490184 +1398777996 +344361447 +1270314841 +423431623 +1040221599 +1190752877 +398744895 +404412468 +1032888863 +1917224397 +1093822415 +1703886363 +223354438 +1748939807 +1024090629 +733076460 +510242984 +1464153128 +1542896755 +810936707 +941491517 +677484013 +905971754 +238404717 +144641167 +158106825 +1242872913 +28523837 +390338733 +751962324 +1164014022 +1789116729 +1096323771 +286845215 +65064704 +2136545371 +1477598093 +463809599 +393474191 +363003308 +233550348 +1487296606 +2066889671 +456904787 +1088752765 +943496652 +1189981247 +1598995749 +260166133 +585394354 +262448808 +1201657650 +1262878368 +1168420562 +1440062368 +1407519535 +1326527388 +535451633 +1436043372 +1716866121 +1287413957 +452573746 +1358499202 +236254081 +739418962 +1423563906 +225315804 +69533407 +1887373505 +618789995 +432536715 +2120923853 +2106086601 +351942738 +430344992 +1047355718 +1295439391 +1620326240 +498867820 +1555605524 +58236946 +761316628 +609779526 +1321115314 +1929737191 +2049841894 +581151201 +1108780931 +437809879 +2017194574 +678163404 +1725223837 +322284672 +2036662606 +1961477918 +1061703634 +1312742864 +39310074 +1131237041 +1052632721 +658100069 +1563773756 +1026072926 +616703022 +1915716495 +1456417919 +1664058740 +1063672238 +929260511 +15442912 +471794114 +987497457 +776759541 +1081573640 +161129124 +559013084 +983931887 +742280325 +1667794015 +1421741766 +611991251 +198473771 +999481955 +934275924 +87652729 +813476225 +1995979558 +1400395593 +852786299 +979732952 +305544666 +1510886368 +396023060 +1331617592 +2127589390 +164255907 +640551863 +1644164483 +1227928145 +1569812374 +1659607395 +1699722259 +409826184 +288883288 +633812252 +570955308 +847896372 +1617744139 +1313235633 +368206739 +892002257 +1925226885 +566680510 +1891484213 +712019161 +654333239 +557476790 +560515071 +2054728832 +1410263090 +1540248023 +212789850 +773665810 +1936271084 +1544407443 +753771553 +2100526991 +37475658 +250452388 +1180971489 +1607288033 +1910059783 +733210100 +2017114217 +51459424 +1367022352 +440585877 +899355796 +837282843 +1753821510 +1267562536 +1729285101 +1531564747 +1834243046 +1473285666 +96100260 +341092638 +2030762456 +656615332 +248337822 +1293541898 +49379707 +461127673 +2067207709 +1985650791 +2005535116 +673495614 +1938694135 +2043010774 +923948002 +972181976 +1502815159 +686524137 +1705392076 +1372445728 +737983561 +924930781 +1813031605 +1637339358 +1762213624 +1419369468 +757418246 +1344015077 +803450567 +444177644 +669817095 +899550828 +785270282 +553095904 +1556166160 +1033608105 +1846637802 +1605545867 +1494735778 +1766361863 +1443713011 +1352787246 +292373829 +1234923498 +1248314372 +1216321831 +59621826 +603645884 +1902845969 +1765013902 +1976091612 +493345882 +542461035 +1641639570 +2130685240 +157191012 +913525390 +740619838 +1501206089 +1716975957 +1184797483 +23539537 +469043137 +1970067765 +576635441 +2025209297 +856192222 +275789595 +1483271517 +203444352 +2042151459 +779500880 +1556231598 +187041640 +2014424378 +657062323 +1403363472 +2074046204 +1260708207 +1158725793 +1691576458 +1089316171 +1652071675 +86553846 +583472093 +1635273268 +243744858 +1496997483 +228409458 +1744950947 +1066489793 +1413206941 +1768490484 +1535532930 +1235791059 +197642277 +1413258580 +2091983281 +473431873 +749046449 +147943986 +368099684 +1528547329 +1704175584 +555141324 +1395488059 +213754259 +1958504796 +1322050615 +1474462466 +969746941 +866143425 +416294990 +474334969 +952697271 +999767083 +2109608237 +1196442129 +349280919 +190534047 +793909429 +1415770712 +1603740989 +414916265 +803819994 +692048400 +612558543 +69594926 +636548033 +1085990416 +818641375 +784492019 +1454090100 +199705056 +341183956 +2009231424 +1595193115 +554938215 +1820252573 +769760082 +2029400682 +642515866 +1635903508 +298212024 +1116850835 +441117131 +1297979107 +1078975424 +1637559261 +1647260026 +1269509472 +283985042 +915547090 +725766813 +698901307 +1719367085 +1417815213 +1311459850 +1788962011 +2054363246 +249966618 +460119739 +691371618 +1704056718 +659824795 +1032555574 +1565804495 +107534263 +1587493789 +1238573420 +877294345 +1469410823 +1881089286 +365714205 +1767622847 +850456474 +806831337 +918118307 +1929431898 +296906950 +417894685 +1051457722 +580891992 +1333441776 +1777224535 +1279793299 +905325213 +1047556100 +443769502 +546803576 +954435699 +693736120 +1006923315 +1645807317 +250309191 +1666748111 +530879243 +1816113686 +1774282374 +2118373032 +907203458 +504093071 +1440300208 +640809096 +869807277 +1060439407 +1491265570 +1676638614 +1978557714 +1273213821 +1973545564 +248968752 +177187895 +406953908 +1582410528 +1954412431 +1686747207 +340252093 +854484883 +2130516709 +887055669 +1808920582 +676769182 +1893978985 +1307244251 +927078373 +1413243448 +1838123494 +595708411 +1040042174 +1809012879 +1502911869 +1544135245 +1101829439 +2143720965 +266458874 +14785198 +1487502888 +1943097488 +1993342913 +613233061 +1769159404 +94828017 +790420956 +28629664 +1677238545 +597349739 +1715376872 +2017490638 +1451834623 +1698409933 +757062659 +1113271557 +227695467 +503557996 +273032161 +1154773840 +1916801444 +2111155655 +1750482251 +809359970 +1772684886 +1105910472 +206011568 +727030677 +1102147790 +472470442 +741815876 +442167030 +268084283 +587675141 +1055400091 +2037243687 +682503158 +1845821047 +2065873352 +212258055 +295687139 +1633766576 +82265045 +1747521762 +1184692861 +839327704 +713309671 +1412388329 +1342885701 +986341832 +419678521 +1112203497 +950013840 +22677125 +1921563468 +575215078 +1128587597 +2127575036 +1302245756 +83251739 +452561830 +2044061632 +525418769 +720646113 +484253125 +1580818860 +610406153 +1166756283 +1279156260 +528795857 +1379014338 +1574843399 +15078785 +1461279383 +1174881513 +1199771646 +153123439 +1888191184 +464676327 +1496009140 +727049369 +884354849 +460728990 +1677063209 +907031974 +234808810 +104794639 +2035619571 +214900198 +1407040395 +2118871311 +667462028 +1303618379 +496806432 +1388108142 +1787871504 +2077625293 +1998514295 +807144139 +1209297905 +379826504 +38674829 +636657656 +394905289 +1499954212 +1811539169 +1594676935 +1653077652 +1552246705 +2059353263 +1001603144 +131812426 +796224464 +1462332134 +1808875635 +1703256438 +1697140944 +1913670275 +1591392361 +1912041142 +1173227022 +1562780024 +432019523 +329361754 +2059586457 +1820127665 +2117233258 +1989728102 +1671158312 +776893750 +1051542359 +2050984816 +815568579 +1688200015 +298406457 +168039144 +1352255536 +1893083392 +1821116796 +757018593 +1804953007 +675236292 +888831020 +453693823 +2137568427 +550223007 +9466613 +1687225723 +316409634 +1600858975 +1451783218 +1489636657 +1016155351 +1883802741 +1818998411 +928258160 +1556446758 +1788748021 +770502614 +1080121422 +418158123 +1822044973 +983622590 +1233726703 +1362761340 +1282029047 +1401765847 +567533228 +1027628791 +1075398995 +1324551822 +685098151 +1750635287 +65899194 +1138791974 +1740720066 +616122201 +1148258588 +1280462142 +932531836 +601633915 +584761712 +274684845 +1617789266 +321080805 +2093683256 +398563779 +1877527563 +1734947629 +1169066393 +810165337 +5622105 +843627719 +1793787927 +1239348808 +58905411 +928333326 +493631007 +626438640 +1955962117 +1569030002 +1950990462 +493576620 +1172181641 +2016889656 +1632368595 +765418060 +485528209 +633143535 +2045880202 +1418060045 +1234777450 +483158266 +1692744890 +705083068 +804239071 +1638944498 +1103646847 +534282986 +1226408480 +125229593 +1344448323 +1232030585 +968857312 +990752602 +323895745 +1027762723 +1919085928 +817526752 +1654201363 +1727564397 +239073106 +1457708177 +73657370 +1411254747 +1327114185 +1706025965 +29189159 +1812642395 +191685852 +2075069361 +1083218792 +1426463302 +410743979 +628480035 +2131546370 +1214983050 +119940885 +1087709570 +1749266036 +1346349365 +1212939163 +946230711 +430896302 +34312827 +1936983313 +754792047 +1062075550 +1708585593 +1572318799 +568793266 +1288666343 +1811391905 +2026501443 +1362323713 +1075163005 +1206131981 +920866030 +1104352164 +871290728 +1112551882 +1031937878 +1954509520 +391531536 +1442681857 +435505907 +375594258 +510181260 +555446793 +1463303828 +111963648 +1901796158 +528759343 +1058194360 +185208813 +563072170 +847694025 +940000860 +1625147721 +408795971 +364836012 +46457339 +1697462314 +28744269 +2072958782 +912302379 +1103907274 +1131607115 +1833168409 +60775791 +2002897843 +798236643 +1092713669 +1809923716 +1189768179 +387911878 +97945975 +1565362437 +898093138 +653392768 +881182618 +1010056787 +407705279 +1409941961 +2068251147 +592914092 +1973014132 +768461524 +1532914952 +1450678205 +1177257495 +1897750964 +1497135544 +727236161 +1926495234 +1422610678 +1639538540 +882918860 +406734146 +1325223301 +943694651 +262148341 +2123459944 +2036408320 +2072072057 +1165744475 +276836551 +22534385 +583623265 +1174929689 +675927153 +1464805883 +37502828 +1083632432 +727264196 +2105753975 +1676546524 +552794680 +726731852 +1061977829 +2003472885 +1903989347 +812245145 +1353124781 +483741861 +591256731 +628251812 +2123280401 +1474175592 +1034985958 +1301020055 +270386595 +1297134299 +1276996351 +159311268 +1221722709 +295257179 +436147819 +1244257094 +878880444 +1611077508 +1920184247 +196202679 +1648580337 +856333032 +923466875 +1606850664 +385395908 +1476261556 +186098868 +1447373737 +1332250793 +2090088216 +112135235 +537891927 +426346429 +703391966 +1166143739 +402143182 +30083910 +53646049 +1703163237 +300470506 +1350780348 +832675941 +459781774 +425019409 +1127933120 +895929593 +1669276503 +2006813564 +359523453 +1441977103 +55532595 +2008103790 +150826487 +978999470 +1467470807 +536222395 +307777378 +1653569675 +1983596133 +1640028172 +1596174243 +2095731368 +30436451 +2022520672 +651639686 +1196580190 +277180207 +681723597 +1250226239 +1980343444 +982194103 +453522939 +665535737 +1441975877 +878542349 +1793468857 +190421822 +400335204 +1652798773 +549945275 +1842312307 +1708331368 +410565418 +1993138794 +539847191 +1878036225 +381877542 +847624569 +1384122252 +217990027 +340169093 +832812848 +166237747 +370605544 +707849872 +817877433 +1567185734 +985030079 +1499601030 +669928325 +817889876 +334311485 +1123451265 +1483425613 +1776287362 +2001993614 +1129410823 +1966709184 +254845170 +634725948 +369170812 +2097157478 +195573669 +779736230 +1942812624 +735420860 +510288807 +177206518 +1583045429 +1894411059 +395196545 +1923214523 +579740259 +561434292 +146336419 +1287590132 +1379311726 +1713522154 +125136563 +731429108 +235966831 +943026439 +1065740594 +1359418096 +278968405 +694544308 +1213928062 +1408379228 +513769845 +1468773233 +2043105176 +882940657 +1418447063 +91195197 +1662676887 +1213776039 +826616057 +25482046 +1390982558 +262177839 +1919893105 +1786179103 +37908714 +352149717 +200129748 +184245133 +1639739849 +1579441474 +1897767287 +1764876412 +163386934 +2133734119 +560419204 +1229127528 +1345668567 +839387609 +1923671837 +412112982 +100283189 +289958034 +1880886215 +2143388365 +1172898691 +1151849630 +87099915 +688091930 +218142021 +913715972 +713573976 +1609124579 +1175893811 +485983433 +1247820035 +1213802525 +838133150 +1447949783 +1398047659 +330389351 +879907609 +1148331298 +2095265764 +1043294543 +1134581769 +508201320 +124938424 +332766689 +1347588929 +2048610261 +744879671 +1447872118 +191084647 +478282238 +1443776835 +1363983338 +1630131868 +1530876750 +2052075268 +1848273889 +297109075 +618165596 +1309914821 +1473002886 +1104149029 +410251208 +539321764 +1942282180 +1858200991 +1937369423 +125187883 +590624952 +938217073 +72969999 +1633919495 +2072798843 +581171319 +1758857919 +258081884 +1928760248 +1659984532 +1002961555 +1229148718 +1851069179 +1481243793 +525441906 +1067568869 +963892013 +2056318656 +972160489 +664682254 +205944083 +1590326085 +1974597075 +1678946970 +546991467 +237364635 +70785086 +341789999 +2095565626 +2008154509 +466977882 +538706930 +798887934 +539947882 +25142778 +724203129 +1121119201 +1784000697 +982285013 +902395802 +1296501582 +1985246568 +2131544520 +1000087113 +1319006713 +509502778 +2067655983 +135415078 +418337787 +892332824 +800097333 +624281870 +335175262 +627210760 +155745192 +882166729 +864575396 +226530278 +1223956728 +812657374 +87201139 +1690934610 +1351364305 +886089074 +83398844 +1376507083 +1610292203 +1204518046 +1013024132 +445093569 +2106913848 +162042066 +282856489 +2090974720 +1162129180 +1601863203 +452993851 +1082301515 +1737278281 +871331638 +1974634339 +389891966 +1495613508 +162325953 +1017102727 +1651358701 +1044492682 +1881678123 +1877888979 +120965762 +546851849 +1965090119 +1811900373 +1898216154 +703695545 +1895299217 +1127239589 +166504100 +952333615 +2140263722 +611597669 +911763815 +154822140 +894454159 +855254888 +1316951320 +348833714 +1308248739 +251769187 +2086111995 +32096729 +78919879 +328520314 +1527710237 +241245832 +1345623041 +1031585290 +1285738515 +1079817516 +761990622 +1406704277 +1626669365 +579597093 +1071121002 +1377401872 +1283292638 +818936572 +357157813 +1449796738 +1771270187 +349937887 +2061394408 +535550355 +504760028 +808364919 +1390805243 +1821711348 +1157198633 +551570334 +2073480536 +1095826980 +583667063 +4916767 +1424347294 +2111377300 +246162599 +622486687 +995478943 +1531901114 +1702304203 +1757469565 +791121744 +1181489921 +189583010 +1862242746 +411408145 +1472875648 +533695670 +768565958 +775188738 +157482210 +1118503846 +689099498 +693032565 +1623263874 +1497464417 +2083837808 +1297491574 +507179402 +487924494 +1223488462 +1603006383 +1071591557 +1228405229 +879870029 +1035485209 +1474567829 +1502356717 +2030964152 +858985295 +1057177272 +1640950069 +1650107039 +91183545 +1830533079 +1364866138 +502591690 +1155925079 +1898561808 +1271157649 +1931113818 +2056044018 +242177847 +472729668 +601592935 +1865441721 +1970194086 +537947095 +1015449647 +329889840 +1025871589 +91454462 +1932896223 +2097463146 +1319859691 +665282605 +985464708 +646943872 +20155674 +868945212 +1505929168 +1077332946 +362411634 +1008552559 +1168516492 +45461065 +225935049 +1671108182 +1201386145 +2124496858 +794782183 +985016315 +2033057228 +1036960030 +1457745983 +487166516 +754918103 +1280456421 +1025113611 +1770367751 +1610346262 +2050985201 +1861822213 +1395758837 +2000964699 +1034198256 +2061041442 +838945759 +1681142129 +2081197116 +1707890972 +1039587649 +1011046415 +2070302606 +2048140208 +32079259 +2115763671 +126591610 +1703187441 +1169666168 +103604820 +350485977 +7198835 +2136662048 +1387446007 +1464944819 +476344916 +2142364111 +597917592 +1501458528 +1765248214 +60780206 +1404960081 +1479586779 +1456539044 +1258441132 +366301387 +1370096838 +2097386892 +2047443516 +1303810307 +1657794216 +939547517 +167373074 +1580613174 +840204078 +199452333 +1548893197 +966795688 +1902639774 +571075718 +1070400508 +105642103 +578274553 +1059578908 +1493088111 +2043219372 +1535923825 +1487968574 +493653317 +889898705 +1105733140 +554433523 +147375138 +437836271 +2010972567 +1405816270 +804137658 +1233585758 +1355719514 +704097527 +389912417 +866030082 +1643645044 +557285491 +299159608 +336365474 +756737824 +1848052806 +1303161162 +511893950 +271644876 +226078022 +617536054 +849919429 +1285656931 +2110624165 +745655154 +674097108 +1451109091 +1239308471 +1563995813 +409358583 +1793741994 +1711370951 +847194854 +1657230914 +969703573 +1651332512 +743333024 +177939440 +207946391 +1133245441 +1043969522 +1851591436 +1690530932 +1343129131 +40473262 +299785108 +1043698289 +1343634425 +811679058 +1315343165 +1569712447 +1429215112 +17778946 +707885730 +1392355629 +763434100 +1381982838 +695981072 +2002742571 +798495003 +1105339655 +1649000918 +362382306 +1952534509 +1158748184 +1332085880 +1456383374 +1902081208 +1510025320 +1664329765 +887843001 +406511194 +1368437553 +430890285 +1749640325 +1408910816 +730675393 +645854966 +605061593 +1542354451 +1961198131 +27290392 +824085916 +1978977078 +735176123 +68957897 +594927530 +2117158961 +764938970 +450186454 +768170317 +1870278625 +2099187372 +1130552623 +1675329487 +1110451908 +315154855 +984229213 +865049468 +1825180175 +501075330 +1752892469 +84207722 +1869512884 +36299106 +1833848047 +1130940052 +766974499 +332219366 +1736001645 +161845302 +145933849 +1763292037 +985931218 +2124910927 +350984512 +1054889116 +572354810 +320659826 +1819828086 +1022541264 +1088830143 +1542623063 +974244988 +71899118 +1070468902 +2084696896 +387053974 +2054698115 +802262716 +64750501 +408289798 +407671537 +148958223 +130319034 +443970643 +1982806271 +1261259086 +1210945142 +167541989 +849777083 +1372790444 +313475838 +465585472 +211238015 +290903118 +816569985 +1266127131 +863257928 +1137229811 +938471569 +1885799192 +78576306 +333610984 +712560532 +150475424 +1404079887 +649773780 +537529398 +1311294354 +1452036496 +602279900 +1719584152 +1859708033 +751238123 +1849903186 +156195028 +586560746 +963678624 +1367140170 +754102735 +1813455707 +592446966 +1067578574 +131557532 +803684981 +1358481692 +948127517 +2069812112 +74255972 +2085357328 +860800033 +1960055164 +16449986 +1194411018 +525132048 +166925410 +451007257 +1174905828 +704454809 +1762301611 +479458676 +1306734709 +1334402116 +191683061 +2057972832 +1036821654 +347878089 +497049931 +2000500279 +1715018259 +1251152666 +1666472338 +159981577 +171247592 +1798029870 +963666559 +1529729284 +598673739 +885995023 +1603985256 +536547419 +1746795057 +1416556772 +552997405 +793722427 +1941688820 +719922816 +1244729684 +969111000 +1424377625 +859547647 +1448569676 +583628686 +46466115 +1640252737 +494117870 +1083287770 +1988130826 +991167801 +936304401 +1555665437 +94836820 +455293091 +1715647015 +266084412 +105839314 +531829926 +1795813697 +704513053 +1417824949 +1252315305 +1241060473 +1017136358 +521388430 +1794057878 +1810858785 +315593602 +366497046 +908104821 +1284704603 +1790874671 +1767652469 +585790631 +227019709 +1814118584 +78559721 +721137580 +749922706 +2066690547 +1712305381 +1686227107 +1474872337 +1807142201 +2141520199 +1043035704 +2073226614 +99875865 +1574865630 +1721556663 +804388918 +845206931 +826388320 +2045449391 +1862343290 +1347776750 +1692023622 +1525718427 +1663370353 +2058520668 +286339601 +800591308 +1701911692 +2053992070 +1386381939 +1928931401 +1720627006 +1464941660 +502585333 +323066065 +1384148560 +67407067 +2009293172 +711537249 +1874549268 +2003329723 +1754572953 +1800292234 +2103205588 +1181954935 +1374365249 +760110859 +2027161866 +53269922 +658076602 +1742021508 +1401046672 +202616576 +1120256288 +916933377 +113653597 +1406595889 +1717524685 +1815565289 +1313104311 +956422977 +1597013042 +886247669 +273880989 +2099598376 +1209313734 +1658029549 +19521795 +1071123259 +222083150 +1894071063 +926969334 +1976656103 +1546879650 +882691275 +1011127390 +773761251 +1642802134 +890805609 +827031173 +153395088 +485343469 +80594198 +356011665 +1605599757 +997527575 +469665262 +864711998 +567568613 +137746903 +30332661 +1523991590 +1734759945 +916580331 +1797872579 +1686874673 +2125894065 +1308418481 +1706396468 +1049533676 +1530501631 +1452983884 +1976503011 +1359674087 +852379886 +711710638 +223317829 +1626141137 +207029124 +1114123438 +305688663 +360424212 +1599466908 +386282861 +716435877 +1057583017 +1383810436 +1186101139 +1922295016 +1951379049 +1323848042 +1952627677 +1327886991 +911124340 +721724360 +978275923 +450515365 +700134778 +139210756 +9428186 +1749668454 +1669712387 +1462412070 +1578687817 +881902826 +167308308 +142914807 +1105220656 +1793449445 +349943931 +71860446 +2099138108 +710368144 +1671327354 +337937321 +1426804021 +581426724 +1721747758 +465421513 +356238092 +1525643159 +1789269555 +161382121 +706046503 +552910247 +883106482 +1684322426 +1003425613 +1583241260 +1823533182 +1012853799 +1185426066 +1345761921 +327782221 +616630236 +80181100 +495090529 +759545043 +1185401756 +141056326 +1109488975 +1257262202 +92710787 +1819857119 +781105909 +430648108 +1099177492 +1362532633 +4912218 +1564599005 +1718770725 +1530555378 +1206384913 +1880152846 +89118233 +1759295160 +615775680 +1773440659 +615237125 +51533292 +1449490193 +1628090924 +1236959359 +647768466 +1955873145 +1853589595 +727949566 +303480026 +465650990 +1913351322 +444536353 +1575139965 +1023129877 +537247140 +1247513436 +1804235786 +967895248 +199207281 +1019284771 +972807467 +1763806286 +590571848 +355879197 +822707551 +323241046 +444997430 +434519064 +939016727 +70954441 +1049756189 +990550019 +1520444634 +530363466 +80025730 +20729452 +338752963 +1933615325 +748679019 +642232990 +251782668 +514546693 +1086769343 +1826922633 +1537676570 +1624016483 +926952422 +1194428708 +444428083 +1126159703 +66229831 +1417235550 +742482341 +656801679 +1773114747 +1565189893 +980042726 +70628529 +1999708957 +1919059453 +141582970 +901981498 +762125824 +1662027604 +1432344964 +842151555 +1682757057 +1771097928 +628283232 +283952428 +265847270 +880065900 +798499121 +1352616613 +559504886 +188692044 +829149448 +1486457308 +1383120752 +1273577531 +465133363 +1449350584 +543329434 +1207615704 +2106152263 +168960533 +625321949 +938711341 +239589063 +477547258 +710287146 +381172033 +1379528757 +1472412971 +2043199638 +664390073 +167080878 +1578473047 +288004353 +795364110 +1862425475 +553851623 +1675430011 +513440948 +1906468236 +87451249 +702132992 +588134036 +1573908557 +2085253745 +1861711568 +2039041920 +1387120681 +257557354 +1099173976 +1345789296 +426517887 +1724495926 +137016990 +666106950 +54559536 +847304136 +1047278984 +1434088293 +172233459 +942994974 +2098478367 +339314337 +373984373 +238999072 +1134678448 +88926200 +792850696 +662624811 +602367148 +551835284 +750076060 +1304500141 +1139969321 +176500969 +1242270238 +854197241 +68059241 +481907271 +1111754595 +1167233217 +1827696567 +1538272482 +744245495 +1964713557 +56895785 +798805032 +664534046 +1104174769 +85409677 +836767505 +2047169743 +36404396 +1176081843 +273670468 +275403469 +163276643 +362596668 +1068254165 +825901454 +964963816 +1620089449 +1575977514 +121980309 +612575122 +1752478483 +1364250547 +1466772363 +1820537724 +1846157818 +431043310 +840287293 +1526370738 +1969315793 +1584532789 +1343600647 +2026211578 +235854173 +2008134693 +982902699 +321263850 +697418551 +882588794 +357668247 +1873500394 +1156259262 +633071716 +2036777037 +1518855930 +1701325881 +715194843 +336336098 +1173931682 +143688709 +458316408 +1786506805 +1896167192 +1822566955 +1105795520 +1569221268 +1521241126 +1536838831 +262024913 +900128216 +1358670976 +1846557702 +96245215 +1237398906 +2082411875 +2104379909 +72817957 +256192078 +654314812 +955406751 +613860325 +380331558 +2111666013 +1246932041 +269624947 +1483038295 +800774274 +984819790 +1819374393 +1974705956 +1128508499 +130207153 +1613729113 +877192043 +1952774109 +572040986 +298929663 +1326531587 +2108879817 +560954576 +79176155 +1320067145 +260028631 +175421370 +409982403 +194956858 +132317631 +482800360 +451148936 +786632443 +1438207111 +1065009261 +1166964001 +1402389476 +164457654 +1436588948 +737944123 +965231928 +273925090 +409834868 +792454237 +1402433589 +540042022 +258699702 +132141984 +345332483 +830740688 +431071647 +1671864070 +792136857 +992026224 +1751040225 +2112204002 +1252054855 +1926461595 +374702757 +1447011713 +2058779227 +857503117 +1898160650 +697928022 +148226580 +815686263 +1864892024 +1550616056 +980143918 +1153997324 +141076531 +1945375846 +1427922415 +550911400 +590346435 +682872356 +1090953422 +849046138 +815014341 +1436285905 +1679786826 +1246085988 +960666327 +324440036 +90628564 +564222904 +289160390 +1342683419 +343200851 +663863148 +642211485 +254496430 +1521366265 +392888487 +952424453 +1669592846 +1208574750 +669832829 +1072725254 +41235020 +1823830153 +1213801786 +1986610867 +1104268920 +1764713186 +429473654 +1787141277 +708182960 +1278519792 +454671970 +2144468865 +810822971 +1700757958 +957651544 +1135263007 +1791386523 +1521874448 +1424423397 +986586294 +1865075299 +2088286545 +1628797779 +2119571730 +1462169163 +2021686266 +924512535 +984278361 +1082777369 +1594345364 +2057003615 +1124012389 +1270691869 +1123321753 +963139608 +227477142 +740551291 +1392613263 +2014618419 +1448734251 +523649407 +321806741 +1445719468 +1334472378 +2022564699 +255887364 +322251737 +1666467574 +1777761812 +1746675135 +505570221 +1495353464 +1687478032 +2134368000 +1467441546 +1002163547 +2008570619 +244470433 +1986441908 +943864340 +1838815797 +1895961876 +2067876729 +962024018 +871799981 +883532690 +1189501160 +1612351273 +128662305 +1056635931 +913601876 +652311712 +1378442672 +211837697 +1986784091 +1253523724 +467725061 +161552180 +772507650 +98003226 +1908227315 +1278077871 +1593356690 +1448221700 +1264962224 +913314588 +302901599 +1126049195 +1157785021 +141859860 +2069913535 +849117170 +2037821736 +1990306616 +1811141188 +762138069 +726355658 +853158701 +227005694 +855017963 +1909794632 +1140607571 +1507329676 +1140753657 +1352445268 +1346630119 +246793733 +1820170329 +1508182299 +1019301383 +1918173555 +1268925967 +149895607 +1364046597 +569664019 +1414857831 +129877537 +872565618 +393423378 +1287662558 +1014425478 +315853265 +2136779728 +904763566 +158676233 +1800437269 +1666901636 +885031892 +506112322 +1893907330 +1740049855 +268423306 +887031253 +1099895883 +1409176963 +91992873 +299042354 +1655970696 +1912163203 +1807224654 +527788432 +1682853110 +928666973 +677684039 +899416060 +1498330992 +2092541870 +1029293597 +223412962 +338481600 +169472508 +1237838441 +654334865 +158768588 +2142602007 +813011098 +1959205857 +1662019995 +1698042990 +317834531 +1408443678 +1290609198 +586257838 +147991283 +243021433 +1995434801 +239984157 +542063788 +1503921850 +4663712 +201804794 +2031710282 +1687516822 +1130471767 +561910673 +439449234 +481319111 +506968895 +1468742832 +704732073 +845450495 +1638215340 +1942570514 +1499785360 +1796983928 +1937688874 +165312810 +1608706138 +1452225221 +1863355801 +1926540669 +713185251 +1006481351 +365314859 +861176535 +1249502784 +213266013 +1101160692 +1791566572 +1717187863 +1105824404 +1993371366 +1601414497 +645857578 +976359485 +15841522 +1085306813 +1457678596 +522810417 +406565997 +14927022 +1368260912 +2044781337 +1957497536 +720562624 +1694281617 +1747702762 +885875434 +1155504107 +1052444336 +601747587 +934561129 +1765629587 +1608228938 +1299875988 +479322474 +710248075 +1513142001 +1580483166 +354330999 +1082846216 +538823922 +200218718 +536777065 +1184681501 +1176578203 +552618587 +122504666 +486773152 +1075429004 +529070663 +501700174 +296206268 +426368352 +311714062 +1016768892 +2120649969 +2059416825 +1902644327 +1128670429 +964377513 +356908266 +2063231558 +582523452 +1965137205 +1215623898 +1061845927 +527901632 +581282252 +494845445 +882232631 +1664128468 +1033669368 +1082451349 +53421886 +70867221 +111545905 +606040473 +193371887 +598319057 +1681469478 +722442550 +1100019231 +1977675746 +1148810902 +1411733293 +846960991 +1121977223 +1323666470 +602121670 +103164004 +140560335 +959029936 +18911914 +723083788 +776683493 +1234535813 +1784929715 +1304585125 +1815818065 +132291512 +39334109 +1332462885 +1165960880 +1121785458 +1385884771 +1236828101 +1233331363 +1991925245 +1430199988 +1831650420 +1525911075 +5158890 +784186003 +1356103173 +1153969792 +48435649 +55580516 +128463368 +1372102119 +657702186 +231627372 +1512662455 +1616732123 +250539287 +88262595 +245931968 +1485075100 +1873192310 +1550517094 +1153409517 +2005483822 +1589851203 +338388754 +1023961055 +564153013 +1724273526 +113305508 +1797484377 +1568715123 +1543505497 +1481651149 +947142550 +1548664387 +118353505 +155762075 +555150532 +166789154 +211342592 +683613900 +1538891273 +869044778 +915241272 +904070080 +338293253 +1165780559 +992332675 +584225222 +503372011 +718041337 +2134742316 +1656781528 +576041512 +1577109871 +1995170283 +1600002567 +2141262884 +1571960161 +1713308075 +1791263613 +993191636 +1109329924 +1125431115 +1940334186 +510510664 +1243784620 +2096096261 +1065661196 +1410573774 +159955205 +1749275096 +801981399 +1028999984 +517032720 +1706051480 +1367293237 +1682813280 +550900507 +1951518459 +38701643 +1268941845 +1938777127 +1695483172 +1844983357 +1368403350 +1543169807 +1297502276 +1362182587 +967646320 +863326703 +1005962552 +1960837956 +1972656628 +2131393667 +1753688494 +335683644 +1227694639 +1702301107 +1401344840 +490784765 +1862256313 +1003136288 +1292766165 +743772649 +1520169008 +851333997 +2111065886 +1055498640 +1402234504 +1915100698 +1094200284 +523692701 +1706394177 +642199808 +221192410 +927313880 +37885967 +1518694686 +142012819 +1005532287 +234537742 +1147975371 +818886595 +59710722 +1131885391 +425091441 +395394366 +212096382 +2127392548 +1796739206 +702881148 +1842165213 +652391846 +1995647313 +438454214 +25077206 +699497662 +402036453 +1080575847 +2101732166 +169653503 +27292483 +477941220 +1876047680 +669492291 +699133630 +655877912 +707378258 +70344669 +797890731 +1712910545 +304882411 +1945866103 +384313492 +364593133 +930267846 +809404933 +759987499 +1142364228 +789313833 +409243057 +1845245376 +483995399 +1061634903 +1693409041 +922449613 +1086712109 +245423055 +1324486066 +19804308 +199671574 +1494139569 +47096791 +677612794 +1222703602 +716589082 +1376746424 +1878581514 +1423967340 +1447091093 +528988598 +989394237 +1751973504 +327371053 +1373707729 +2116566637 +1257638899 +35629014 +729070488 +252519479 +824942848 +1138313545 +2097764856 +1308938247 +52464800 +1643690249 +83904212 +1139176910 +1889113305 +1408390279 +1158981218 +2088784879 +755046200 +1206078010 +618914025 +1977749802 +1922667092 +1995660449 +1708847669 +1199150785 +1295267895 +90352619 +41061374 +899757751 +417723672 +1414769104 +868840741 +1675362571 +1450398118 +1597911229 +1927882050 +127857318 +588741127 +1878163258 +1436795565 +641205927 +1374369860 +1520699778 +1780382837 +1115999517 +781606409 +791880408 +1057300748 +1536652609 +1997958418 +1676214773 +1366918764 +1773141862 +1524391574 +928282785 +824808999 +672175821 +1018635404 +865870374 +1571933573 +1436359076 +133155830 +293290666 +964237999 +1583553948 +1891201895 +744636401 +1711411267 +332459374 +475316012 +1000723184 +973665302 +1849685872 +373939314 +606564491 +818201741 +1155545723 +1398444899 +1875502489 +544714685 +1248919669 +1404233614 +1911633449 +874577884 +781141540 +692432586 +1699386883 +1453317362 +1711067990 +417773609 +877767287 +999943418 +550929439 +1171057953 +1964181417 +2134483388 +914776200 +561334170 +1698411007 +1247235575 +1036650182 +551650543 +73417229 +738852406 +925589858 +679981720 +1557054147 +2081135581 +2078426620 +1285072988 +478366618 +1179862641 +541822954 +242516419 +2054440525 +1322964495 +934949005 +1606343761 +628798209 +498533347 +2024117370 +1506565496 +1498476765 +427563162 +530139801 +1315174534 +414562902 +1444916001 +1876508705 +2112973909 +544667928 +765675239 +517140804 +618085157 +1504527646 +1442730662 +1298066878 +914098145 +1376382596 +1229009850 +51687486 +1854749214 +261388843 +593510440 +2097265634 +168345721 +1916474935 +884730991 +1774689482 +397789496 +1383264339 +1651323204 +1904354992 +734257456 +2078886366 +287011145 +2049431991 +345965620 +1731927147 +1778457048 +311455881 +129111427 +396648639 +828596686 +747196585 +1901176285 +123843700 +2045263463 +667790783 +1500226296 +1126789665 +719478269 +1207491863 +1388178508 +1312988709 +1157273849 +1556524229 +1081979997 +2042004840 +1183730063 +1479769493 +1277785531 +687569620 +1236640838 +2012042988 +618972338 +1523651983 +1913991331 +964937959 +1108095482 +1544964731 +1276393840 +1237206910 +1941613370 +2104990526 +1984403495 +1695306008 +81350579 +1882183310 +215613143 +1581576875 +861489327 +935091412 +641585090 +102184187 +100596473 +1798858939 +1658708417 +1182576470 +1693380132 +694954832 +514862316 +823682015 +1382524452 +1751503154 +688241355 +2001496791 +1127671489 +454749038 +818951102 +88283324 +1999713769 +2095344942 +1325490234 +1793843492 +2052851821 +1162410081 +1341665852 +2134202400 +897109743 +1557278995 +1568295627 +1758599070 +344886759 +62397070 +1860783257 +445483232 +1861256009 +1372008026 +1628059703 +1407152493 +2066962859 +2142922019 +83350861 +1302003663 +1746941525 +771592216 +1156016806 +727129366 +1226341255 +1974967908 +815412690 +1078571376 +1922829203 +2140902924 +724931220 +1828197376 +1155829357 +2066597072 +1814916128 +2052939100 +1476392419 +1235728107 +1664054522 +1821279178 +1298125177 +1377354132 +119278763 +1011897539 +601878510 +1747338466 +271566384 +521357721 +1742776837 +354917245 +1823361385 +1342234714 +1126509462 +831894543 +2069364080 +205367069 +659378804 +737293123 +1283938445 +434724359 +730712399 +2008869666 +115438087 +1886541757 +1927983090 +1930354215 +1791997209 +1256891862 +1018598674 +1308568084 +930687392 +169240204 +538438568 +1049966155 +1181137743 +1140317078 +649820973 +1452704127 +1661674800 +245114162 +1807621373 +1337552537 +1587348876 +786647187 +21963432 +1509229309 +992014256 +681342236 +99038784 +128469053 +1116066595 +829751183 +2137338719 +1231504682 +568809292 +1917838162 +1014375249 +213322854 +1027246376 +2032973924 +1521890938 +1957933768 +54730480 +2060329506 +860416276 +1235868223 +1053162936 +1510237249 +541088702 +567354088 +1755351412 +201226427 +1904906625 +1195216640 +987873614 +1926870058 +556962301 +1979887870 +460728646 +656001085 +2108356924 +1576795242 +1485752269 +2098211995 +660816276 +2054561561 +1868566509 +1675191526 +120400767 +748329237 +1560681802 +1642291705 +558779358 +1615412282 +1555137563 +1419195634 +703796857 +460816852 +781949235 +1244885559 +1028170940 +389816999 +1446111987 +785593918 +1585033640 +286501953 +564980328 +2141995941 +118906176 +1025708974 +650513379 +79779452 +455020568 +2136265648 +30507799 +1115836845 +2043343561 +1899074309 +643544723 +16260681 +499919898 +56742877 +1658552386 +1058699256 +1672155159 +1066206302 +330411242 +228468368 +1527023154 +1112360478 +1473353927 +407710446 +1502177477 +771982266 +1193304364 +939727469 +1058484220 +1758284692 +934239763 +1177390396 +636510019 +1584753142 +1257169848 +1091530587 +1573535142 +1287677647 +59883784 +1469395055 +1039268308 +703428507 +1485655736 +1539188207 +760171384 +996724475 +450403815 +284842895 +2062930777 +780815058 +513311263 +1442470283 +1893175536 +1986665191 +1850180729 +1247869365 +611163809 +896001446 +40113187 +1669648029 +506802490 +974352950 +699554777 +1143312509 +411622444 +1956724625 +87359449 +1985157586 +1096918625 +147243233 +1307068993 +2136186933 +850671741 +645241082 +1527891492 +1610843125 +1641965557 +1978295308 +1895686021 +1557412686 +611626718 +261513636 +852399321 +357318606 +100695179 +555096402 +1605187971 +711858989 +1451097848 +1645301158 +234023370 +1957900339 +472170460 +933578148 +953729200 +883792904 +742819125 +1041088649 +721466842 +1839737750 +1188331883 +2028535836 +1828441036 +2039003624 +526293270 +1208848880 +1502363101 +20775179 +1039660540 +1250565474 +1578187865 +1651287258 +1512079111 +283103538 +2008605864 +1612774290 +838199940 +1466310188 +177149631 +141814141 +964127698 +411173002 +2099714480 +1436298159 +1344751150 +905960032 +172607415 +2087570275 +1947048682 +894074258 +1779824378 +987896917 +775126446 +1460781766 +879416893 +1301419716 +522146998 +234296346 +1322194895 +1561807539 +1484861821 +752899112 +1065611149 +849457284 +1036002650 +926733366 +314747926 +1874202590 +245559906 +491897558 +2016016731 +1209687604 +903070560 +1968247563 +498502115 +100338062 +726723948 +671109531 +40424689 +526288982 +1565183789 +1820249067 +1514185899 +192826587 +1133547185 +246119144 +1494246303 +1655694184 +480415490 +668957550 +1070018075 +1965277311 +1421856662 +2135629224 +667250947 +310375664 +914878942 +981998874 +37094606 +1160438848 +1473896432 +2053111338 +222642805 +229483344 +1873875253 +721144920 +329821406 +453115553 +1392254451 +370246095 +979404535 +809954592 +43011515 +346106786 +1002781179 +1176558700 +592225930 +349543834 +684769236 +1072641421 +1018501384 +1754787311 +890435084 +292874398 +1742932888 +1557686032 +603250062 +510328182 +392201258 +640344669 +1670767031 +1866097690 +545972359 +1893409836 +2095581034 +272363964 +467071108 +277918792 +725479518 +1859325560 +648164887 +1704884053 +521796504 +691176402 +2050990840 +1524577684 +1867735103 +495733122 +1874121518 +405020691 +1568374543 +745139255 +12324355 +311325980 +1038013653 +1755257243 +1869012012 +1641263716 +118101777 +113729622 +134124737 +1788868808 +1979827312 +680097096 +1534794996 +1927924698 +952461060 +2001866105 +58359842 +1677940578 +1713708017 +706524729 +1235340984 +88020873 +1397701132 +1138848176 +1612598557 +1117952587 +1634581298 +1339236428 +1522973278 +1055472194 +2084375683 +1535297633 +1366798174 +974905688 +1143071228 +1088326538 +468685756 +1261173006 +1202056160 +602810493 +902558166 +1034399824 +1282907589 +289869515 +814840874 +87885002 +144251972 +873200716 +1765825580 +1857959989 +1579725445 +853682916 +1945980862 +829942929 +1992531092 +1411095772 +1947895516 +1479628743 +602848552 +1323385147 +387617289 +539740587 +711199132 +1754415463 +1514646275 +1854270361 +695258353 +1983332032 +967959719 +1897314513 +438658877 +1870517885 +784230689 +1721566467 +12903752 +1599071563 +1809451469 +157155724 +324788631 +1427793401 +2015115713 +1904514076 +133992670 +1813612928 +586973358 +2126523762 +1077225052 +387385226 +1458668857 +1680073604 +1710770373 +1846286146 +72330543 +274485858 +1453217961 +1586976818 +2128756219 +992666 +1422825202 +949232290 +1898307179 +1861484080 +672266527 +535054220 +1435566899 +685170280 +2134125783 +1097534720 +842326004 +311430766 +377844473 +709958070 +68461195 +511837143 +376087350 +655434553 +490877258 +1453312402 +1042819779 +1949546115 +985902358 +606106505 +1648348614 +1058232901 +880592363 +954082927 +497726071 +861864934 +955075594 +1920551274 +1811097224 +705899125 +1634551706 +335880103 +1240953346 +922634957 +1021050383 +1227595481 +2020169677 +1863376388 +1539026248 +250530502 +425850810 +1607487443 +762367646 +801938160 +115438348 +1253244904 +107766914 +1158258127 +1055307371 +1093669272 +1764364632 +556172337 +4418525 +497473347 +1510255265 +502144596 +1359338281 +317847211 +275212222 +1022951857 +1023746336 +1909763928 +1358831961 +117216034 +684915237 +232398696 +1344811516 +557601266 +2095775084 +736354116 +808131769 +374142246 +196357911 +1570499415 +1176080406 +311796259 +676260671 +1283847320 +1470054386 +1731568042 +230032944 +1086935371 +140256732 +234451469 +1584408718 +1650511997 +736596066 +796263352 +1968359208 +1011808288 +1819215209 +844621896 +774088569 +1030563522 +961837931 +1459003806 +1262962219 +159165799 +2016605073 +1211253655 +895519915 +677253194 +1585395902 +1091877826 +100268961 +613992660 +1403674085 +776529632 +1897839981 +726244823 +360614026 +2127872925 +1813180194 +500870758 +214840747 +1250105265 +3899107 +951436813 +2046368617 +1972258315 +1963245101 +1718100178 +669396564 +589850022 +601180053 +1631234495 +2048853829 +1864142272 +1790400294 +1917975254 +927912279 +538436561 +447744800 +365824533 +1630314387 +548013761 +979817194 +886504824 +1324543393 +730173527 +1612749647 +1685157419 +710562804 +1278446194 +38544530 +925403551 +381067811 +42443637 +1876840364 +279952780 +2014701953 +1692601818 +1998052958 +536614869 +134968192 +451749363 +20365716 +36338373 +168407987 +1810766010 +1954313627 +1096320267 +201718923 +254574779 +1462144800 +1832033310 +802588540 +294478346 +571054486 +2127131933 +1024651873 +36320485 +1664805705 +1735214678 +1314766679 +1703350235 +513134581 +1695834490 +1745793872 +242491298 +1975787270 +1613012177 +1935093116 +1826356581 +2143398 +2070061308 +130622296 +22509114 +2106399682 +299030284 +1833275124 +1913229661 +1395350551 +2034994047 +20320793 +710011703 +1719543709 +822909333 +1004490050 +143114547 +802557619 +2029141923 +179435033 +319879676 +1616872953 +1494201712 +2023229911 +2130007535 +1042552555 +1621540135 +225015185 +870856177 +1087068665 +12624653 +549729110 +1089212063 +2082685961 +680351407 +1111721178 +2041601995 +979381691 +797512654 +1807348009 +227248594 +685023054 +1827668802 +937260297 +257083115 +503094487 +1941750347 +400197663 +1305652106 +1823408623 +579632696 +1625531782 +1292797928 +2073834408 +1501278045 +1275321815 +968903315 +975334533 +1500337000 +1839759493 +2062403198 +1512961653 +242004955 +1004131613 +1448163967 +922356362 +2115852791 +1342282314 +1901738053 +765881798 +1002146675 +2128986647 +1450904852 +682331829 +918763297 +1707987967 +1185426317 +713029996 +2108185630 +343594775 +388954971 +540334678 +1969126558 +1681752900 +466685439 +1322920955 +809591067 +1435588754 +150771840 +162444420 +1127864599 +65691390 +1675406073 +1369869555 +1069823004 +976086392 +144742269 +1038192147 +170885059 +2046480323 +1804073945 +1173031734 +2027983322 +1107495149 +1855363564 +799262971 +667999469 +893306233 +1512292968 +628701451 +1236901008 +1901247939 +1169036130 +1058543918 +1435517191 +1635721569 +233981226 +97624611 +923826675 +384753066 +260069031 +2051691275 +450444457 +1935475104 +1274077182 +1520267461 +764077849 +1418819451 +410975960 +934962908 +1317816126 +67566258 +2107994642 +1198315801 +1175061407 +1815874558 +1997578772 +1843060876 +561697143 +1362388092 +324278680 +1798598152 +1116152384 +1493314810 +709658422 +404185927 +981552731 +943639648 +501810538 +1905379406 +1328392715 +761879569 +1809587033 +1778837172 +549871026 +936180567 +1151620985 +1313948875 +207516371 +1562596945 +101428135 +1525332497 +1630163203 +61939129 +576164650 +657740963 +1877813688 +426259775 +353318191 +292027183 +1788647867 +677596871 +2090625335 +757316603 +23428033 +652800110 +1161502531 +1004980764 +1596439758 +1663313069 +762876523 +777348825 +277708991 +424979908 +408702349 +827580017 +1361160476 +1560323334 +2141528892 +1568676847 +975436632 +95473379 +946525696 +458116187 +157412508 +1522690347 +1115857150 +2035226196 +1948950122 +1469175342 +179769732 +1590114341 +2146772213 +122911419 +199947297 +22716599 +775711529 +1361449828 +1027697363 +224667640 +877279249 +1790573886 +1002016465 +1154988240 +68070147 +1410718815 +1982568257 +1429230623 +823558501 +1976613501 +850423822 +1798995133 +2072086880 +1796949518 +109627673 +82015741 +1172156217 +1225484823 +2117241937 +973622691 +547176517 +149528021 +416253385 +546465083 +272439441 +616200682 +569181682 +1048150970 +1977650510 +1596879045 +1272818610 +707446111 +1239969284 +127351428 +1862434352 +1308039431 +1538070243 +1697518961 +589786406 +214145096 +1526648815 +1440210228 +2013140230 +1451252047 +1089676098 +2122767903 +1533267788 +114348668 +1200769078 +1503026078 +1087971359 +1747945596 +1652554099 +1504224744 +146927031 +1924993540 +2120425426 +716108713 +825660863 +1950592288 +165504110 +2098479473 +510554752 +1405473394 +78347253 +225505456 +566029177 +1616417496 +1923024417 +1155815583 +1830562593 +1302189584 +448542163 +1696219175 +605957984 +1538218262 +1671503430 +2139225772 +1652566930 +724788860 +1494768202 +593054641 +325250808 +999838654 +2097279386 +472177839 +777348546 +2070221164 +1188286552 +1603009409 +1873329805 +1353790663 +1554005235 +236400909 +611780409 +1632352488 +461906365 +1177809587 +1101286337 +237447134 +186141522 +784365282 +1539636719 +634683686 +333100809 +2145594703 +25418300 +2004604239 +2137336827 +1677985230 +581909451 +1484621382 +123556223 +907160260 +336976388 +73351961 +1379338099 +1114324934 +2143573126 +420141004 +569850696 +1869419283 +1773931667 +2123855931 +2105820192 +238228428 +1608724771 +420242909 +1416038015 +562527460 +657690043 +1602179538 +1346892742 +49843114 +89379576 +1679993551 +47954169 +114797876 +1537114142 +37807349 +1792783106 +2119023594 +1522428731 +1916339329 +878700206 +1859405119 +1989691291 +110554657 +826246405 +1985780769 +530695661 +1396097101 +1707716404 +157143680 +1372469384 +1666052948 +395372109 +833710508 +2086295857 +1811410124 +1396237968 +596502252 +1266106014 +595647063 +646345367 +1355485590 +128156966 +694299536 +1470283466 +1665271109 +732106885 +1115582924 +1636811055 +107051968 +884438606 +368027613 +1966457087 +726646249 +478582270 +645219845 +564943370 +1009277932 +2041316946 +125176126 +1166421612 +1266302683 +1791229074 +1561793721 +2100013191 +1730041283 +1225720198 +1348767511 +179059887 +344342564 +1944414574 +825405254 +1699828155 +2072571541 +1519704791 +1022627973 +1590359002 +104328028 +2138210898 +1079686409 +211379997 +875165856 +1447714022 +30353436 +1601812105 +1926296292 +675573281 +19271827 +788090576 +569406580 +144447953 +1954512189 +1835709263 +1935677027 +1368822262 +1788238806 +1518234662 +447058812 +989522669 +1697294549 +791401377 +786453596 +375216156 +343745884 +711541489 +1894920947 +1366373857 +154416843 +1999248975 +1357101107 +1234103252 +63145324 +84783315 +534333626 +93498761 +1686595420 +313146270 +769072042 +1705867247 +1101236847 +1338478622 +1850315200 +908265388 +1026704237 +1638508579 +129604002 +667459395 +1009259593 +576662815 +1656982065 +559070495 +1368064192 +295952013 +934286651 +1711810076 +1007493502 +681723950 +930700285 +1161910345 +533489277 +140317745 +248529949 +596634602 +225101060 +782863575 +690133363 +1911696481 +1096009845 +1459205405 +1470080080 +49763044 +650200380 +1172911633 +958028432 +1676904617 +663936564 +1087632435 +196880365 +1673196158 +1664295250 +1853862430 +84783005 +884875794 +2330795 +1019069656 +449202222 +1009824297 +1700793606 +1379902507 +24250994 +86799235 +1520220252 +272780943 +683433837 +1745321313 +1055644518 +1373567200 +1509534146 +4170715 +685288958 +832130578 +53933760 +1335489338 +2005042211 +1011962192 +864910307 +521495128 +2099594627 +1061790672 +47207638 +1616406229 +768169454 +131990643 +353798375 +770500249 +1151060299 +803000597 +1780324546 +704370257 +35419457 +1804575540 +791169492 +1555639709 +2077356483 +1474603330 +1153477374 +985517353 +700686882 +515527872 +989688069 +1385975840 +1347658451 +1043621829 +573981530 +1205217014 +2055584021 +1438891838 +1726712142 +2007695001 +353198862 +1773919780 +1476617582 +1121368317 +1905910423 +1830415958 +1891868566 +909487074 +485932907 +1524709465 +1613857331 +521352364 +1181801357 +257543176 +2076992074 +1111674193 +1732146506 +1082985800 +2097191546 +285349740 +1598513673 +939395967 +1671325581 +798688476 +1983017796 +97823463 +2003905490 +1891118170 +1536715301 +1583133985 +1751329523 +1889914164 +1209570117 +1080463457 +863798833 +967996893 +763395767 +608183751 +1877483967 +1249328675 +2132893216 +1343857651 +1770681039 +1167210926 +1601400827 +1700189465 +131401471 +1186063685 +635691618 +81109369 +1471413425 +86721643 +1020505337 +995255358 +885410119 +856039485 +1093078822 +741831961 +599674007 +482310475 +177482298 +203519882 +224740991 +1387052416 +1283983340 +1088539824 +207565661 +2047379107 +1696723576 +2085049628 +1149224134 +1682133144 +1281423631 +772421526 +701860422 +735340810 +325127343 +833261893 +1921404495 +960818961 +914371263 +1245334273 +1047540604 +1934876600 +93105983 +1932950723 +643432437 +1186184805 +527299037 +1243106445 +1668495281 +704781335 +1446626327 +1893236272 +2091833751 +583126019 +834292449 +151915764 +483021479 +383532377 +89481745 +1632245613 +2065665521 +1370905376 +257183491 +620042296 +2106246187 +582310835 +1453304189 +1880167034 +1543129796 +220191804 +978017659 +443186753 +7584756 +1071123643 +228653828 +651017194 +109824800 +755952865 +1894123639 +1778320081 +1460734201 +1193266318 +1524072706 +1405084304 +1776392338 +210881507 +1557000069 +111930169 +594413884 +1646481814 +1744175782 +512595757 +869903542 +2001359274 +1132638053 +828666081 +436186461 +438458595 +561349468 +1979316257 +658650399 +1539367127 +275019362 +666235156 +463007122 +503673191 +1317252350 +572831923 +1259626056 +1063892341 +203668356 +572876609 +109675011 +1727741062 +1977960914 +1886067349 +1938622569 +1387477335 +1997997518 +385552805 +886475501 +1594689653 +898148563 +1756379043 +1448565279 +2030786616 +437561477 +1884751740 +321761563 +998910945 +1716584349 +980411963 +390794424 +1991603712 +1646647119 +853801547 +347793255 +816415821 +1426633470 +1607419311 +1880308162 +1630301826 +32812273 +1989983173 +1210559241 +2010773187 +1728566875 +1001698162 +1250766874 +1579080745 +1387250968 +2137242375 +1026286750 +137915883 +1746137770 +327368381 +21218851 +36215599 +64636473 +342980415 +1035126544 +1781220823 +1323392378 +1425920969 +1625340887 +822555849 +132238868 +1973134142 +1638971670 +1558872338 +1433069805 +1371796184 +1041690516 +1465882078 +1214295709 +104766109 +1329171617 +795378936 +1106464272 +432454843 +226976034 +346231592 +422213570 +1253262784 +484147475 +20867693 +1580631166 +505366326 +57083292 +1645267639 +848346741 +1092209837 +1279004814 +24255471 +370647158 +756862053 +846811320 +502886026 +582512547 +338299342 +2061758364 +2015582353 +1710095526 +955965232 +1333980783 +776907588 +1060731342 +515668753 +1572286524 +19711966 +948123596 +1799262558 +365943558 +1370337167 +905041695 +850091033 +1391204860 +338189213 +1355457359 +1448288152 +1983456852 +56320453 +393014341 +1114978019 +80575924 +763661499 +1871840072 +927387245 +1266547525 +306868972 +1265686587 +1180822241 +174967677 +828298466 +2136787474 +1508948460 +1605206054 +1050035168 +2024617213 +1030008930 +1069747134 +825257162 +681787841 +1435690692 +48110681 +1586829536 +138298077 +1439315541 +1925018749 +1493755436 +740120045 +1760991953 +1550075889 +1133134387 +728486324 +1630651814 +1896795886 +452842749 +410555411 +1015859764 +759711721 +1676241998 +49198357 +934679398 +357056816 +38502183 +296144210 +1962262870 +1088537351 +173277776 +844788153 +10800837 +998534938 +1526575994 +1446491529 +1046645619 +965921882 +1584789606 +338477512 +743456983 +931061395 +1078597557 +356965288 +333653636 +64248296 +1085451613 +1964305450 +1961044183 +1538294362 +227377213 +829420299 +150522435 +1903619212 +878618656 +1085201833 +113192380 +917120840 +1381346043 +2075455251 +2005658191 +1554623819 +772759756 +2016459029 +405675109 +151852102 +1315466910 +1452320728 +1117773984 +752772869 +1790798240 +1861230967 +1683834264 +721912150 +70712607 +2017487900 +786160446 +1156164220 +1834309703 +599720981 +546974934 +2061686916 +1429141280 +697497369 +1817822480 +160276289 +1782699202 +1931014861 +1077397129 +1016561598 +1858986464 +935571672 +423701769 +484262572 +804547053 +829376879 +636114674 +2120013964 +134213959 +1753888658 +725303185 +1925012200 +1467635977 +261653801 +499440702 +1538348584 +131658053 +1285601148 +547029157 +1965967756 +1885322130 +1094004091 +1880171025 +1166979762 +1791501461 +1550509857 +1327256051 +1426717015 +1334041070 +257169532 +295794965 +1045543886 +1192741205 +719496735 +1529806458 +1997288258 +1548873614 +18437484 +1969818574 +1683087573 +1772326142 +547638111 +1460616125 +1092478471 +809291912 +1960056827 +483343408 +940949966 +1098174328 +1030372565 +759434074 +836012810 +2124376656 +492121451 +2002992572 +1768394469 +2042631309 +1182764976 +1047627837 +1229188731 +1439934508 +1343422802 +127248970 +485192065 +2062919537 +1657055428 +334996676 +1464309503 +1675492913 +157331602 +999913429 +1300335407 +704969714 +313045906 +245330231 +1514261626 +125619086 +728673639 +307727944 +1223793414 +1759046204 +1067162019 +2059806224 +1735939212 +1559283470 +1915315148 +1356850034 +1454431131 +950596476 +256994223 +536136215 +243047337 +1600417025 +663385185 +728239402 +1515852915 +172956965 +1063236078 +832678770 +1848449878 +1220567681 +1832592199 +1001301638 +1925537395 +2145638106 +1246631869 +1292315373 +123773544 +1975305508 +1600043318 +1347566958 +1586868064 +519721689 +1259889534 +1175323628 +2079005159 +1027721034 +384690014 +1385952643 +1978317511 +641684237 +1922088858 +73881200 +94617615 +437990395 +802120602 +1610470530 +610947360 +1865356681 +295665652 +311913591 +938440714 +2128257852 +1313215229 +716494461 +2126412310 +412363450 +2008809834 +102702206 +240185310 +1461369504 +1450269164 +1827053374 +1981091193 +562675050 +854893354 +1912612705 +1590396084 +1239583369 +1151081700 +1421229947 +1881267606 +925686910 +1495111147 +1975885221 +1363677305 +149748102 +1438872103 +1974624665 +2015104783 +1734537756 +139054608 +806061849 +1715311960 +1452269837 +1522556310 +1694240622 +1864633287 +1383882496 +1796942828 +2104818597 +697768353 +1099728344 +1784388323 +531375898 +1662403394 +491798030 +296504955 +1105315830 +1731381399 +1447586655 +379062130 +1465165357 +225789917 +1874173277 +1293566931 +1589467222 +2023921379 +584955386 +1416608240 +1891542514 +172009494 +1555662848 +550120715 +1887321454 +860449038 +2072677025 +1434078428 +577598677 +1309075874 +1083537608 +534933627 +2006844227 +35782304 +171838302 +390736477 +1698185698 +663636332 +687241433 +656017881 +247534083 +2134828088 +1035080011 +1712699441 +213134358 +761769640 +858782724 +1802601580 +638207372 +1443738110 +1071726172 +382266238 +1615747605 +479905373 +932386954 +1355585411 +1340354411 +857580331 +642180192 +1917953088 +19172557 +1725717800 +305403067 +2026016784 +1761500105 +477241370 +269269614 +1312202155 +1140877702 +956511047 +1968220036 +1388411786 +943855487 +855816399 +953627579 +1156989845 +1617586040 +1812410303 +812107778 +108309764 +1108664765 +1883833950 +490576002 +576928722 +216255675 +1422962956 +1932514134 +1556610086 +133059640 +427210678 +1327079527 +152232197 +5444830 +1632482594 +30765334 +1766944935 +2109723964 +300034948 +931663443 +1103118019 +1256545995 +752399831 +344046157 +52917834 +1608216231 +1297673736 +1209907680 +1078318623 +962600391 +2022015458 +1186628387 +2071265156 +1758365760 +1677204389 +500710231 +1974621436 +952683698 +285740717 +1383747874 +1085743338 +712951395 +563343753 +1237975535 +718396225 +48342700 +1268740869 +337857513 +10583016 +1568775817 +1269520956 +1113701035 +677838164 +2021920787 +1457747192 +730755999 +1482653370 +607937280 +1940663679 +413488345 +1570537671 +1815195489 +1600116732 +1494319180 +1426077601 +1129837474 +1995029411 +1253215389 +2082521172 +133286480 +489479616 +1020780862 +846237875 +1052823369 +111272749 +1564634100 +1101166069 +1380013619 +1902491613 +1111749086 +801305788 +1024528921 +77966473 +1479143953 +898966061 +1535713666 +62416304 +234135783 +2143650946 +2003079983 +647624129 +1566704970 +1670791824 +100257213 +913540502 +949385777 +1230094687 +761086265 +55117519 +1165132211 +894372745 +544597135 +38429425 +1740610620 +1597420504 +149702175 +1157761072 +551102926 +1529715794 +912769038 +1662852012 +183537934 +1937297959 +1740818485 +1662681887 +688780372 +1129048503 +1725098191 +922916156 +1125215802 +1580694526 +1570540285 +544437124 +1104002702 +1670797498 +1457977626 +2053388480 +753408538 +71580243 +2108505999 +1918540749 +965952988 +505619486 +1956970175 +559079960 +2103039990 +2106672350 +1716841032 +506659268 +1488904496 +482126422 +22027632 +1672442430 +271940734 +1762846118 +1187640670 +960721106 +744410973 +765255213 +1883637262 +1869626775 +198466092 +1306693899 +266580251 +1302468794 +830007750 +1724557877 +1208373626 +1583416288 +1796138120 +1169395977 +1354473389 +614607460 +1675015463 +1163959916 +1173687420 +1630571806 +1123148618 +743044805 +2137231074 +464569466 +1225171227 +11775059 +2137011897 +1497111961 +1774621177 +1177168919 +310349420 +371548502 +1942424132 +46503034 +93691630 +2140890224 +1353196934 +360271881 +1295875371 +35721036 +2084829759 +356765349 +1619137324 +1733484231 +1526161327 +826127065 +200608044 +1053693142 +1990086982 +1374295464 +536781300 +965751952 +2117340269 +526528727 +1430321419 +1195027849 +538303786 +1419849668 +544656162 +165441315 +449534939 +855005582 +536989817 +244475423 +901508617 +630681447 +237882000 +107221903 +990953329 +1533757371 +142942939 +928299440 +1890522720 +1762080263 +514300023 +1269200399 +440723680 +714908067 +175409894 +283327014 +2089203532 +712191194 +1249078967 +2059060153 +1238719921 +531916738 +1106604354 +1777023707 +1951766406 +1651260517 +1942465022 +253817697 +358782451 +331971192 +498293120 +1260291068 +962652639 +736175120 +1367512971 +1953605968 +122448843 +1510455910 +734421760 +2012971564 +1125052525 +1248721784 +1134688315 +1565776206 +1963629851 +1310098209 +1849103220 +1905349735 +2022289404 +950698539 +1816926241 +1113525677 +1482615277 +776046947 +743065737 +1286898035 +279823816 +538047111 +1540715732 +638606268 +870018303 +2039008853 +1898897336 +1832670943 +627700325 +1118926660 +1638793263 +750149169 +481898922 +225731376 +615637085 +1606951448 +1474453160 +1750325400 +1025244006 +1290599363 +912939962 +726863578 +1048465451 +787745718 +1677562118 +717908044 +1901271395 +1012693747 +1493954991 +496853484 +152108135 +1773778808 +1034900596 +1692823867 +264901428 +1904918899 +1584349072 +16315116 +1590106194 +64565750 +1135241776 +1081415810 +814714919 +1617140699 +1307147186 +1430352004 +1076608499 +634116698 +1033193756 +2101852505 +1924716061 +1946133718 +681232435 +825697864 +586395788 +211310905 +1543605908 +340183536 +1224004653 +890077252 +837037020 +1376112788 +516372412 +1871937616 +921453007 +781273840 +1629372868 +358318432 +797588956 +1071995414 +422884182 +1932830733 +5927576 +1237599101 +1402487784 +1313074762 +520467457 +331612635 +1947191460 +1553661213 +285981492 +1724423874 +1352311284 +967213927 +402638090 +1938707072 +1178524833 +1946243999 +131406960 +255045838 +688837603 +968443981 +1631158626 +1205210015 +692897949 +405127985 +1986483855 +174787169 +763446417 +636589163 +1246782584 +1186330599 +421936248 +1252710160 +276446052 +1824424032 +418301275 +796913509 +8553019 +218009087 +203091075 +294534511 +1942432961 +1555402359 +1261748439 +197587404 +1346625783 +292789624 +2143831403 +1478032744 +547835462 +685185358 +298993077 +31510440 +1890395373 +991891026 +436638425 +1729395580 +1166678196 +1200084843 +218501095 +265977132 +238931794 +640437344 +1518687292 +515377847 +317377728 +1936988567 +1312291356 +325930748 +7514007 +1515382431 +620465259 +1949946968 +923301142 +1882213698 +50724 +122443278 +27519674 +2143882127 +1600476022 +575355136 +681583837 +1899469099 +606865576 +424495562 +743876477 +1043504002 +6407494 +1910554673 +96105197 +224908590 +29048157 +335036991 +865345934 +1547735450 +850414838 +1182723662 +1337240369 +15222547 +1508654410 +1344754376 +1530604978 +2129119670 +1147217697 +306422473 +1863849720 +1147268421 +428865751 +1891369395 +1143666901 +2029341773 +319240883 +1825250738 +1781327224 +926106460 +102262653 +377720053 +1969610462 +108670147 +140791079 +2065715659 +333578737 +169839236 +253269002 +1198924671 +1717574686 +1103683841 +234164686 +907331408 +1118906388 +1742819096 +104602136 +502027718 +1724455118 +1251819833 +808450191 +1440821191 +251604607 +1237315942 +1184706938 +1395271508 +1119174067 +1503947821 +1073038598 +753017643 +282570633 +1175301251 +1130737697 +104697447 +1283971399 +1271528776 +22929458 +1617550136 +1441368012 +276198461 +668991160 +1011459051 +1379882302 +903155846 +1918790459 +351305042 +498491294 +2023392595 +853332760 +75462765 +1127728781 +1661782952 +1516283956 +1379333388 +751615246 +553507246 +627121248 +1870789314 +2057455067 +1700159846 +476323309 +192542053 +727977450 +1607061006 +297239500 +2011948849 +731106134 +320168959 +1482015337 +24990499 +596367420 +3522849 +1036449550 +1976249722 +906678695 +807756361 +180071116 +1405169990 +683665308 +1033403876 +1480632755 +1811394089 +547703180 +849433063 +1043243829 +1299318427 +1402940309 +1670365077 +1022624093 +1312911728 +1223041276 +1498947402 +1505453781 +1951018726 +958524761 +1802693282 +1815483927 +1689630895 +2122862241 +1150015616 +1714621394 +571746013 +1153538466 +603587296 +400512087 +2060217161 +1411343657 +580583203 +1317903503 +2095008966 +1613987079 +651052610 +1758919407 +14206612 +1500485673 +654679589 +1313525039 +755942334 +177561018 +188665484 +2068854063 +1400602294 +1687612886 +1426824196 +1204137372 +498653999 +1082033830 +872137651 +40801247 +1057412423 +2022153268 +1755422641 +1629158436 +1028208086 +211526290 +2029670523 +940941599 +1622869947 +462770078 +111361455 +1570395265 +2076757158 +762414065 +1181831025 +2090963770 +115416091 +1836510614 +1257005161 +871358425 +2014071632 +1445670645 +792728840 +1267190279 +985799883 +72069389 +323844003 +1484453883 +1154103219 +1195981655 +1525255130 +64031995 +1070651275 +1133194123 +1693190431 +2098859361 +1344720413 +1575377307 +892317312 +820106713 +2038147385 +1003678767 +243018330 +1967420895 +1766092833 +1424849355 +1910901017 +1881508924 +1113876321 +1020422530 +605383701 +980464306 +318609527 +1398112542 +100170937 +1304409411 +1470181931 +424014940 +641379646 +476801502 +1619996595 +19151128 +540833497 +543164222 +1152345251 +86540281 +494539935 +349582017 +1661917588 +1386857248 +1169688730 +1552581325 +243052367 +1412707060 +1372518573 +2009145200 +690072768 +1135935942 +1743170476 +1803949089 +8874825 +201070530 +636929747 +327484352 +1599183072 +737100684 +1631893763 +921881355 +1161115625 +125789761 +1398682857 +633628572 +144940889 +1939516355 +1176792795 +1297286141 +2026056636 +1671332730 +1646868158 +1540490576 +910706330 +669073240 +945588253 +1153758698 +2081780300 +170623178 +1015420250 +624369420 +1306559121 +611107079 +280834862 +1315433946 +812177609 +917764609 +1642918298 +263877033 +1654865294 +1127328414 +1185758388 +668497271 +1253118175 +436957597 +1302125843 +1398059065 +228990304 +331434990 +547861558 +107563292 +2002767721 +47246068 +1648053868 +765990403 +716319308 +446158474 +1919749101 +650615960 +616781652 +787685704 +1274985381 +1923340773 +1398792783 +1555820243 +1091291071 +63486744 +326101204 +586725722 +327363777 +1980966498 +1714054136 +1513122165 +501980121 +819688663 +1950079762 +1804105965 +70264080 +31586419 +2135540955 +618125638 +139149711 +1990825028 +665371706 +1787203580 +609331784 +1381691014 +85878406 +381597237 +2032306975 +702660058 +1169282941 +1159808708 +478517184 +420592076 +568145303 +1569808255 +484078820 +894246507 +9050329 +811442597 +727729358 +1723104465 +177081114 +1229709479 +395309481 +2127160877 +886331796 +465573561 +11263648 +874389104 +1083699200 +150413359 +717730484 +1749070906 +1937616939 +1327062268 +983278273 +2023495345 +1708659506 +868101600 +578671756 +730458799 +2027910308 +1057188940 +1151050876 +448571963 +479513547 +1635129696 +1342818470 +488563877 +299088646 +2070547828 +64184694 +476169760 +1152773660 +459494175 +455846989 +2039105456 +925067737 +467110637 +766010912 +2008766937 +617523997 +1483741397 +1610354195 +407657288 +663320017 +446148820 +283668986 +224495875 +1314250420 +862340742 +954954675 +1194677080 +1919529682 +2106005551 +1643249043 +251559581 +1593651599 +838583866 +740123458 +1892740245 +761648046 +804308153 +221426358 +1914421706 +1263802328 +677273347 +1806043515 +41386417 +1144383985 +424570779 +2050153354 +1761907982 +1908312176 +1513023902 +22081622 +424148546 +1959172722 +305750608 +648644421 +1125939495 +1168091350 +1603599096 +173132927 +940137384 +1562120999 +1816381971 +1191696966 +1008288951 +507482189 +1931820424 +753545548 +1269130235 +588644929 +974971906 +1036068294 +1852447258 +1652245254 +694628161 +1893833675 +649145591 +1119198940 +1796503382 +263569925 +880027469 +1162043636 +285651547 +1304176015 +973732710 +591402156 +1952820436 +2099672205 +1759493506 +1408935885 +125321485 +552147243 +823573236 +1941703456 +1743844209 +1831862187 +301701997 +1528180985 +437924088 +1570832232 +2116825915 +1412895994 +459416878 +1821789525 +917657600 +1154045039 +1568139552 +1566803191 +125760332 +1217159286 +1830373116 +1005787801 +231719274 +2116024664 +162480168 +1205451985 +559943172 +2115300604 +1157640542 +171953030 +1376752841 +1282962027 +724100273 +52842430 +1077181835 +320460834 +1884704617 +1378883832 +1848641820 +175145057 +802232417 +1817984087 +1588041052 +1261649295 +1492289964 +358215004 +268210687 +912945868 +1925018196 +393971019 +2130105155 +1607907664 +1399758820 +214340781 +1576448680 +1562238988 +1419792766 +2136391852 +1530055944 +429949661 +160861235 +759325138 +1712911688 +884961508 +812167568 +642609876 +1205422343 +549388537 +2021493708 +906580515 +724533595 +676242477 +577080954 +165090999 +1937891773 +2069370918 +523306003 +58618812 +834833138 +300840551 +452589831 +817454645 +1908748216 +1852348651 +1031795427 +1337713248 +1267103991 +304104545 +1326621453 +649676287 +734054206 +1487482688 +1409001425 +299482247 +224960548 +73685345 +942092123 +1430382891 +623073883 +816102183 +189479758 +1347607478 +1492344661 +766560712 +1512698477 +1282752786 +688447982 +2036004480 +1341371598 +1523281121 +189361384 +1793961429 +193252118 +2098109600 +1498826432 +1225047545 +1288339200 +618446775 +1529152091 +467477005 +1268123062 +115722649 +1954959693 +529640840 +415204896 +32436594 +603326185 +1357297019 +1462819485 +1226400068 +25915555 +1652299244 +426523898 +1518260216 +271376308 +1939222375 +653529354 +959824291 +1827743208 +1994900952 +335621764 +2017104592 +1641378733 +528873882 +1967730544 +992721517 +1753921428 +1108586096 +1611168292 +1135589871 +1576063102 +731807706 +1251312520 +1383539147 +1261448546 +1666517417 +1415975741 +1864774732 +876330788 +731311579 +943691152 +902246343 +236127175 +1370215051 +273022911 +507503483 +1161953778 +926552265 +1467327774 +842213338 +773969569 +1802949538 +711834282 +267864654 +184339773 +532081178 +1260586171 +1938261201 +1640667275 +724270815 +926367424 +1069246729 +1456078522 +30196296 +305302228 +570043420 +1696713713 +1721277970 +287334504 +425560854 +305105901 +1231025657 +1327807197 +541233076 +453757060 +1600830109 +1048736559 +1615710838 +379898726 +368580686 +310440529 +1153868296 +24046576 +1022274811 +1421732950 +208386349 +1554355990 +534835474 +2146647550 +1047539617 +1259106289 +925531326 +2116786346 +567701163 +955727623 +274604926 +1137744584 +504957688 +1995882896 +1425079088 +930518542 +153505149 +508621097 +110842092 +694738225 +962378157 +1711672201 +1743474785 +430605348 +2091570927 +2112055471 +741045877 +1097955575 +2136102047 +1763320688 +372204878 +197004749 +1170193030 +907040352 +196168651 +70248999 +18662993 +1121699978 +39551697 +586364157 +2077427601 +314156624 +1724108741 +434901641 +162555872 +1001704181 +1365420184 +316061022 +1510325279 +1476262276 +1010799247 +325219788 +1040450829 +606790384 +755825136 +984538108 +571362207 +1496871013 +2082493684 +559980607 +1112708054 +307214914 +756985356 +135417436 +1214255266 +953154007 +205666436 +1232918259 +2074853985 +245218133 +1819282416 +2004797938 +559374757 +1395907509 +292215932 +721930630 +250128043 +1657636116 +1037991652 +1760453322 +986414744 +2048790899 +2085673110 +2026865573 +508097636 +694014599 +863920033 +1079459843 +43401964 +798930069 +1639440450 +1156110018 +1106144983 +248942158 +1291527455 +172916601 +1202096166 +1497193891 +1405834861 +1129466503 +1742412024 +1077633629 +986780794 +154303134 +326057491 +1278996726 +876233764 +576185534 +789149194 +1914225416 +189155208 +1775563938 +1815532667 +127344670 +1654945863 +176146655 +821359269 +371382248 +1255606499 +864761234 +1170312318 +747563301 +2020871252 +128973653 +996505460 +1164915059 +301890255 +51117978 +514625302 +1707725116 +1180584481 +109553679 +637875097 +19881627 +263856813 +963932588 +1298878353 +1140090577 +1540118122 +2088027547 +906832345 +1729273330 +1716107837 +574881364 +1856618001 +1223570052 +751028020 +530493622 +1594952301 +2006634519 +1395254856 +617780971 +606714172 +1268642461 +746754624 +1603219632 +286073872 +1048644879 +1654337610 +800699175 +608886347 +687438444 +910252854 +1246761445 +707320071 +1174109667 +63210385 +2006198425 +166716596 +1603328508 +1946742324 +1073548941 +1185118190 +1515366514 +1648430305 +894252543 +591452918 +251974677 +1424746166 +38921571 +111125548 +672517374 +656702542 +717839721 +1941159835 +1403457167 +173575705 +79750060 +304618398 +1827913316 +880449235 +913504746 +367868112 +1790702089 +12782543 +1075188183 +817328108 +75992928 +933902960 +984044704 +1679321436 +733161637 +2057593645 +716955979 +101044503 +1558540302 +1611208522 +692497421 +1810514980 +888471040 +731418993 +1921640528 +1560988415 +1388121535 +491996601 +1354664602 +644095054 +665572307 +1434414662 +948713453 +346001975 +167380249 +1862218199 +713870087 +1958082338 +1875000742 +1789058270 +627926798 +1950993670 +575477583 +1611971502 +1482831459 +1308639220 +1522081499 +52303790 +1409683723 +933138154 +1663512312 +2102181144 +596169486 +404499705 +686116489 +370326366 +1965488120 +2074238025 +862322968 +1172669074 +570849431 +1527895275 +459600089 +1519562884 +1873897250 +626980338 +1234297435 +440283689 +437579029 +961814529 +81858311 +1065505827 +765324552 +657335894 +529993682 +100672363 +1965975114 +2052075181 +152976153 +1228175189 +837729687 +1816488465 +1182872686 +1433899173 +73504522 +1868989175 +1804225540 +2038992642 +1795743552 +519064860 +1064178069 +219109336 +2046960135 +1523778158 +1738672220 +1773373737 +3274848 +825486008 +66173778 +440853877 +1787300537 +148032089 +1506359705 +405141441 +805367984 +2036353387 +505813804 +623859450 +1940944920 +658789957 +1852034640 +631190960 +327794775 +887423678 +2065090133 +401299297 +608929205 +1721832025 +292808292 +257189110 +93413237 +1356986361 +476298446 +2140373372 +733280871 +67487018 +1766263461 +736555719 +892973026 +1832437239 +1177409597 +532789916 +1980469329 +536285654 +937931357 +638353665 +425155393 +1443745162 +1262213115 +218616665 +2102535119 +966764107 +849807625 +282846246 +1854187785 +767414111 +684145544 +315633343 +341762488 +976953836 +572822453 +435175726 +186456549 +1049120899 +428065450 +919737420 +1116607917 +46845264 +1656293139 +2009580944 +1879282503 +686219088 +394887212 +1712268184 +1222504742 +1332818569 +203138201 +1647660135 +629080083 +1465351317 +1866276801 +584131555 +284631776 +568600778 +866977801 +2138819562 +1336014889 +1551123345 +306969257 +1677777378 +380593533 +879791710 +2112953104 +567050082 +1928912609 +393534906 +1486787502 +898036878 +440380170 +995596994 +760134174 +172179026 +1681816082 +1155021386 +1884447210 +756837177 +340356308 +2087585412 +257013664 +969436391 +1405453081 +2123290465 +1553567946 +1690084857 +544407596 +273062100 +1681420771 +1880422485 +1824185445 +1988390028 +1410716215 +57295331 +720698090 +1376185671 +624345413 +502127051 +1769720578 +2111132916 +1400163930 +62617100 +959246262 +12814456 +234796126 +493578696 +1167835843 +2119243337 +1250415873 +1508192151 +2059345101 +1507429538 +330144894 +1317314534 +1483236355 +1883712841 +859915743 +2027643951 +9291293 +393852867 +1760582789 +1833476738 +234759247 +1023815356 +1890772069 +955457338 +252517380 +367633835 +1457584389 +2022237958 +331283103 +710264671 +2084855058 +1290529365 +723079128 +172167537 +1784108061 +1890914971 +143927226 +887040287 +1251623474 +55788679 +246986177 +1581768368 +1373103213 +1730222532 +1317997561 +85535308 +1610382836 +1327288854 +479388175 +1223481977 +1013281945 +714147423 +99813685 +756570366 +1669604761 +352331065 +1124204201 +979705502 +227085375 +1455487304 +1689970174 +164456786 +598533021 +265565654 +336624323 +235157435 +8996977 +480551549 +1122197722 +1260620451 +536340228 +1369183899 +694905171 +1909443441 +951922783 +2012902733 +1994978749 +414821971 +1192707939 +326883277 +1638303948 +58506236 +1041030700 +1738117634 +815076603 +563151813 +2090448699 +1939280804 +1542857315 +170050427 +1247284461 +1085343841 +334507213 +1845817482 +1350909495 +671131536 +2080974917 +1359906472 +1151683085 +1055688991 +473043275 +1688023313 +277389242 +1167948447 +1449983106 +1229312026 +1033367532 +1297478207 +1644133997 +78591823 +1624361484 +1134954298 +137098060 +517908536 +725588284 +952174663 +1081060349 +668553335 +743971819 +476434017 +838603762 +1991256280 +1561777858 +1173110975 +1689590115 +765203706 +1844242511 +1623081384 +2125110178 +848441948 +531286728 +450669806 +388981613 +808675970 +1618618253 +1838964719 +2037987996 +504502137 +988959279 +1534638346 +583093960 +465837115 +522108996 +720192020 +983745652 +1247697280 +1672366683 +2064806001 +1916250615 +268854855 +393756370 +607370730 +112627487 +1955534229 +1780481705 +1802217602 +573254287 +1477240569 +1277815339 +550880817 +178198869 +1809102067 +1001550623 +567180483 +470294389 +472685228 +258661554 +360798738 +977187365 +1247620833 +1895437084 +1560281326 +1713457949 +270062432 +132989698 +549719953 +1517759712 +1805356382 +467042306 +1286526679 +2074211237 +860798677 +1893897409 +39355076 +668849258 +1526895467 +1841572679 +1242103545 +856652388 +971904370 +1792984362 +1034851257 +633522789 +647051338 +1602031740 +1103817178 +1119736566 +1860693295 +1464615916 +2096923932 +960830480 +1212569352 +1509721610 +526804781 +1482631784 +1642711308 +1076524734 +852907848 +1300584042 +1543567041 +2139434528 +1227311631 +256882070 +1885848289 +1266666708 +925731328 +1265260108 +960755739 +20351225 +2121912496 +1932660109 +1813335587 +1009280106 +418699250 +312903277 +463828198 +1522516428 +1432639844 +177037845 +839648697 +1382080128 +1137868326 +2052218049 +744318090 +1664673107 +1387366186 +239545750 +593714194 +92790386 +1540129793 +2137281235 +84741266 +619957776 +246679657 +1970589556 +1886624484 +1172410985 +1088366016 +699896575 +1192762210 +1062794865 +485073036 +858614149 +2072074971 +903772286 +1171517427 +388419521 +278805067 +456673623 +565457367 +1118453764 +1838753751 +1703325693 +1023188165 +435588193 +1220515152 +263070703 +675133943 +1814229346 +355861090 +67780088 +1804026933 +440602356 +687737865 +2050706590 +263708264 +426878701 +1075633927 +1352074281 +1126775277 +120912489 +267385498 +1611848313 +979526639 +191976821 +368136952 +3560418 +580396342 +646942019 +460234041 +1145853709 +1765395783 +151504144 +701695754 +641100300 +587092337 +1922210907 +904171004 +1262226280 +1588956605 +1260032094 +1330006369 +1245499891 +1700634450 +2017744234 +1148722833 +1964342715 +297139287 +76873113 +1168933348 +1423914564 +197785602 +1436318846 +888279230 +1177312241 +1628295667 +1256416182 +1180872659 +61208361 +1903358201 +1641106700 +1207062071 +1521270336 +1792610844 +1908757825 +14886988 +232219533 +1683485084 +919057992 +1494445814 +1124958042 +31606438 +676968535 +222974285 +1732240889 +547229121 +1371697118 +1549099956 +844368408 +1448570231 +570549656 +120799325 +1646355834 +2006868502 +1009078555 +676184427 +1487680521 +118011089 +1857057087 +1548888882 +2021369290 +1350680139 +608467305 +1395155978 +995807336 +369741483 +1410042966 +1228026869 +2053226567 +181617311 +574989035 +1030700961 +213223749 +1251957570 +1253675246 +1945464638 +1799186691 +477888717 +1347080946 +496071452 +1926458948 +1917630602 +616870777 +1425331134 +1777015456 +1625949332 +2101515562 +1117212329 +1743960421 +1811089001 +518617564 +1617846063 +1014285492 +1127084869 +865518393 +2010092828 +1496826352 +128077711 +1090636050 +1402569272 +309695022 +1665625085 +285786585 +522918772 +770099008 +1539461832 +320899762 +421802051 +2017350549 +1667980709 +917873503 +1796325849 +1438127663 +1534744280 +1074173336 +1067659472 +1013209964 +1028205250 +37388153 +609686737 +691810603 +556005717 +80049152 +1706096095 +1683090587 +945567545 +1568705276 +1032433291 +1073645257 +511857678 +287518915 +1383340279 +29999115 +573305501 +1906259051 +800098123 +2112767333 +79675166 +1221900175 +1982634234 +1747655875 +2139773678 +1631476435 +1038299890 +1527034311 +558166123 +2105959362 +392760627 +1586371373 +2143347516 +1002447365 +130698328 +551869585 +1082496517 +1836794424 +87476524 +2028064063 +1258016052 +1119909816 +954225672 +1769873730 +1407428731 +190082303 +1799872845 +1980734232 +2096341355 +452487321 +1946017917 +28532873 +1674387496 +1781168503 +1776188748 +1666677526 +1265161291 +667004990 +1046228189 +1823327414 +625480705 +1438988817 +1262215140 +621344573 +293952534 +1392913468 +1173214158 +1376449051 +1082224244 +1260690683 +1257029466 +192756648 +233116851 +63771490 +1962630378 +1640545582 +253853794 +1615019576 +1473796167 +202711501 +2067506897 +1272330436 +231244374 +1594410745 +906015292 +2007433122 +1113604623 +23692935 +526954464 +12349165 +1847020349 +1152435169 +1451337982 +961751841 +1773779742 +1745290516 +207181662 +799510253 +974255919 +1289405906 +2060200936 +83801738 +1482162555 +145834139 +147573228 +1297309285 +1786379721 +401427022 +764845213 +1112692240 +604138523 +684868462 +237539029 +835382897 +131795559 +1143554321 +695332371 +1245400183 +1167247256 +1222286836 +1257749348 +866783957 +227238357 +561603682 +1828535799 +2001018100 +159410550 +2035717461 +653044705 +1133666469 +1177639719 +565761993 +1217468207 +512318626 +711596132 +1365041436 +1809627912 +350492205 +1766468458 +426989477 +1463184446 +223123334 +1111857940 +1700723475 +1058506231 +1243653499 +696794148 +1753838603 +341570034 +1864041404 +828641791 +1599319382 +583341713 +1055880148 +13439416 +264393864 +909414600 +172849966 +152627677 +1562459305 +1306516436 +1330267397 +2128221298 +376500995 +1842586023 +692333782 +1741542431 +1504730287 +1042825988 +1360527242 +1931719765 +358526786 +1583650576 +896094057 +2059250261 +494673159 +2139747556 +608560761 +101028114 +333833943 +325118517 +929669905 +1933153325 +908460230 +1985550054 +1946592742 +1172854095 +747481006 +2119442708 +1325481772 +162456664 +1278475496 +508265521 +143194314 +1654976492 +203367897 +835528097 +1249035275 +1708098184 +1878354085 +462078869 +1492334301 +89397223 +2045729445 +240944710 +1163836 +392918957 +233208619 +609724597 +493947071 +567042562 +934843114 +1423616977 +352712239 +1843303344 +1261683383 +151821333 +868673791 +2009164389 +123780394 +46671916 +24137405 +1402255890 +554937437 +167331720 +909748734 +758305334 +1002859817 +11300362 +318919871 +733730254 +473379231 +1811254172 +823127477 +371625029 +2052198883 +824291313 +764543986 +137923854 +1434015910 +1258491057 +704966416 +221375376 +534624386 +1057678655 +2064678720 +1796307769 +1209499989 +785868864 +1657988511 +1333280383 +832540780 +1682125916 +588052625 +1387478217 +1849457636 +1497801360 +2145783552 +704833805 +1509101722 +317219775 +1438564059 +1982480953 +2128473947 +114207888 +206622334 +2033189182 +938499201 +971166320 +23629388 +225031463 +82173730 +728595804 +446406839 +616798116 +1786274460 +363601912 +265622238 +848290801 +1149470776 +1923610749 +34087536 +1982011556 +1458253017 +622140161 +1222006125 +1160227006 +2119941521 +1220306029 +1865060811 +1481559595 +1537525804 +1156141223 +1316556901 +1518516104 +1270349111 +1523179235 +1404221638 +61364665 +346861908 +1427851027 +286396128 +429035638 +8963183 +732802968 +1045833754 +1795237643 +1096404880 +1311455992 +496044796 +98392008 +1087583093 +530132332 +2080403564 +398352463 +1152272494 +1154926041 +1558579469 +1124730367 +227748423 +1276156632 +458806315 +1765274227 +284814207 +1775363216 +1136306683 +1555163319 +1151058803 +393044674 +1616527984 +1497920711 +1820895701 +1902924112 +1926956349 +1829858884 +488243432 +825306456 +1477612880 +1584648312 +2136762448 +1973657676 +1683040320 +1076861894 +356306361 +1615960236 +1475214357 +1508578855 +623402630 +886310178 +485825574 +851151053 +14983162 +944631889 +468941632 +299797370 +572511457 +1605248316 +1854960689 +1723570261 +1998292990 +1324005025 +1074007324 +1671705043 +1079445489 +853480026 +1354080279 +1567688922 +1678786482 +684209511 +1004853586 +1668065282 +510383540 +540410259 +597443528 +866689901 +8886847 +2072657885 +227785108 +632289477 +811484415 +713610682 +1483440530 +826467578 +1658242572 +1952382163 +1126264948 +83270381 +1410146831 +833741989 +1806840642 +1260956173 +10263366 +733364319 +785177568 +1089708855 +1586844345 +2139257847 +509914129 +1118147179 +675983711 +1514767716 +638728813 +1186367251 +2055177975 +1236172342 +2053057152 +2064064822 +1161346579 +133358612 +548870652 +1972830995 +846969294 +2032311182 +651814925 +357728218 +1837209697 +1778079873 +440998600 +1099872880 +464338214 +100355594 +213345405 +474601580 +833719913 +998522973 +1564310435 +273080610 +990297173 +2074224565 +1391227789 +1666280884 +1441508633 +2029956603 +705164487 +1349202960 +1118645297 +610737991 +1265784134 +132508228 +744096603 +1814654786 +2105339223 +1591065897 +1699482321 +609670500 +1948794116 +1389208370 +240266725 +242309068 +341597603 +704604939 +342664662 +554943008 +1179206519 +1176384576 +1553465982 +596033307 +1449465186 +396279507 +522774224 +693209328 +2062560391 +1964282857 +575682283 +620241230 +1166002169 +1694327580 +1230979221 +284302655 +1826835808 +1975075824 +2098957442 +1784691384 +1418658073 +1650956115 +246878236 +1219968541 +892680837 +487144962 +1462277609 +1234278440 +1191749901 +1804942272 +1789221449 +223472773 +833843200 +1195203783 +819506080 +135824738 +1591483290 +1342280304 +829034066 +1506560033 +1159079513 +1404716349 +2126801263 +177598034 +951560281 +1210296836 +461900689 +630912442 +1037889012 +413374483 +268120178 +309063437 +2064330598 +514998414 +1529031979 +809527788 +1002143376 +843825940 +2043806228 +46409630 +501284564 +1685544029 +269882403 +1335127764 +733264164 +1089388483 +1470952503 +177263806 +284185139 +152502921 +1683823839 +1443264652 +1557219271 +1663141454 +1620862686 +361295904 +725954642 +2082763375 +992208346 +1763843654 +348654211 +1260328524 +2072907092 +265501161 +1775326939 +1454455423 +1075028949 +629986667 +150797715 +971351530 +676396297 +652082280 +509411911 +946278700 +1987210044 +1242676076 +2035667183 +1310678899 +1419939882 +172368674 +1463181821 +956280074 +1615633326 +872917444 +471937880 +1089012364 +1234213348 +1197892523 +1024292092 +78938047 +814252529 +1372946303 +1339266571 +739675973 +1638447464 +967109862 +46647748 +565992766 +1597096530 +197445464 +1537344296 +126009179 +849527744 +2046756207 +1072287880 +689254140 +1141948635 +960471415 +1999933040 +414404870 +1132840090 +1315631213 +1370684944 +600989768 +41065009 +1842622824 +1690002133 +1275278357 +893031699 +566810577 +1354216404 +1707284229 +1939756880 +545999328 +299476554 +1430720696 +1513109190 +346124303 +1996713462 +962722072 +543569767 +1386574110 +1088731252 +1393097511 +1285846670 +13535484 +2082351651 +280311657 +974006899 +1934801043 +694716527 +2106846989 +1102948608 +2065401471 +560353110 +1144013617 +1760540648 +102871595 +271808327 +506088699 +669682172 +1626024731 +65889280 +461955404 +24540411 +365365835 +1892676100 +1537649602 +711490138 +1741905915 +352888026 +1255059905 +980996377 +1441619278 +500673768 +119359399 +1455154762 +435541771 +399671057 +281678014 +222859167 +1094387584 +241041355 +1325807775 +1012305408 +801394465 +322337745 +625362408 +904266060 +594146072 +1131451107 +1573948232 +72687155 +1197340388 +2035903636 +97227567 +1562706223 +1781096089 +1634877169 +126712713 +1375518356 +1987765195 +1381772618 +209031085 +1281900826 +1882446386 +328390485 +589571940 +170504509 +728061542 +871249954 +393363676 +1822449126 +1112291310 +1719171452 +687270886 +1913685775 +2041509197 +1312633294 +670468188 +488171621 +296600754 +96932772 +560858776 +1493941142 +2132836409 +658086343 +909163717 +1766448850 +145479864 +1035876430 +994483558 +2133245060 +270165400 +1203514643 +1267662238 +5128138 +1531905128 +1857234178 +175632647 +112483022 +581000485 +568996324 +1934932149 +1693291795 +140684128 +474719387 +1459493922 +34709677 +1787352682 +2129962110 +522881298 +2083953436 +79411235 +1083740074 +1430410930 +64763996 +1741826418 +192090999 +1831212846 +1887306282 +1227967429 +678212756 +1873067694 +1498132829 +1881727399 +993246284 +1503260967 +1266148880 +702996815 +1678893614 +1378631902 +1283997300 +100406290 +1166080403 +829805447 +241090418 +1640799791 +141815721 +275800095 +1280668825 +124294184 +798681393 +1217138613 +203705419 +1882421468 +500065895 +268469415 +1476764238 +692156894 +2099682261 +1216586872 +1920124323 +630411369 +942170919 +1270773504 +364655120 +1935417203 +626550823 +1630804000 +490930370 +157960789 +861952255 +1774927670 +258367080 +2028032658 +457249469 +499457498 +1521348801 +599065191 +775257594 +654533978 +723359375 +1573938987 +1871672591 +927064794 +1308876807 +224254838 +1195534209 +638157397 +916411732 +1147732822 +1854744270 +689052407 +1778144191 +649431541 +1959825911 +2142799311 +437365096 +438893086 +1626119664 +928295467 +596853876 +340588271 +555739489 +855220956 +221137281 +1012988959 +1354678454 +1742486083 +1612054150 +2129936048 +249536413 +187929877 +1556391388 +2121209005 +1114994671 +717784547 +197980195 +163045232 +1355941945 +1114391928 +1310778054 +1063202567 +1803444335 +941438597 +1712634108 +1615786599 +936754260 +2515556 +2054679685 +415390276 +930811023 +504049913 +755978547 +1486550513 +1359270869 +977115829 +352055824 +566465676 +572118264 +1964109974 +548918076 +821654677 +4556203 +2105309464 +795380034 +1119550874 +675610364 +993360230 +1282596106 +2031552309 +2107752158 +445890512 +947271228 +1763712845 +1387329109 +512421688 +1232015796 +176599721 +514937244 +1139211834 +591989998 +1445748268 +1643261747 +1347968545 +784815133 +855048969 +177600726 +1136870957 +1421514645 +749718990 +953497283 +1970432721 +1571373668 +958053486 +1928258538 +219270054 +2077604360 +456385254 +1212630284 +1212716818 +340453915 +1172898794 +1658607330 +1287725143 +789127992 +898452791 +1800146831 +2021143788 +1075052512 +167600427 +1012871974 +1667042510 +1613348695 +508650074 +867527408 +250680180 +1363699043 +1045128134 +1387551137 +637730040 +1794847125 +193564772 +460679113 +1218737145 +1151618258 +241454003 +1438007199 +1081738970 +697839257 +503153836 +146972140 +1038293172 +1676052630 +1805579470 +178534667 +317696974 +556548613 +1978681498 +191357115 +1631601126 +2146281926 +1204229089 +1151159988 +1612146973 +1712879163 +2018687396 +1862827154 +929094558 +916331883 +1102894643 +1566824598 +563695360 +1296459416 +2027503712 +1782432505 +300594026 +121474067 +1072956056 +1382332997 +819313325 +1576109892 +1529305137 +1857606497 +1104678875 +1187400960 +2036141165 +1422375849 +1743949573 +1867339015 +1613732964 +1228067051 +1866137293 +670478406 +231743392 +1330800619 +235873921 +102947140 +1046144125 +1164968480 +1019279023 +1555120 +584309430 +1582974383 +1298014536 +464329494 +1217923240 +1598608563 +585803562 +143395649 +833457912 +1405116887 +1719505541 +215279401 +1115239736 +676700768 +1402680361 +1003897253 +2099076618 +999146287 +723752621 +1565325934 +79729690 +442406266 +88320692 +311473082 +1773206885 +324194614 +414420223 +671867362 +1489163094 +1433699246 +673422483 +2073472524 +869189982 +1971437019 +390318371 +2087113222 +1422561934 +976121933 +83025223 +108536198 +233755172 +1802530765 +323815600 +1348994908 +331747885 +1726495961 +205408514 +283340855 +578158600 +929161135 +1848666790 +657888291 +1371567401 +1936987482 +969361373 +997290639 +113698448 +1383781596 +1669158001 +1602861542 +669997195 +195096836 +1528850419 +1539187177 +19050208 +1919168790 +1478816751 +1441612142 +747807075 +1561841975 +1550148341 +981562247 +1216889092 +1873963941 +183073507 +1548636977 +1452976254 +388482021 +1831977833 +2031134855 +1317643156 +1533160975 +541539498 +541726910 +1322664809 +1510900871 +1539017549 +1436363258 +747198820 +1060691902 +891741152 +1417196015 +1255788739 +273107923 +808899544 +1274838947 +44793065 +140232647 +568967441 +792600140 +1702074622 +2119115782 +1774162387 +771480066 +1845596075 +1957235895 +172633396 +1151088682 +198234268 +2004611229 +1034739889 +1515877425 +1390288556 +1576279387 +2057604335 +565469717 +939696610 +1449138236 +2001832975 +1686895430 +362346490 +746090480 +956607797 +1618135229 +1019198403 +1765507341 +745490528 +1063991469 +1905739989 +1314457970 +1856591609 +1460330963 +1286090104 +1483270349 +84327382 +984202532 +1293022596 +256960778 +2135291214 +1491256864 +114088359 +1022547455 +859650641 +1504376915 +451343194 +769771328 +2069846632 +1391039804 +71425916 +1924195960 +930451587 +433772407 +522802792 +1887059384 +2051907636 +1542001195 +1505083078 +649914517 +458509016 +1263339419 +1964372487 +167616978 +576186734 +1102978943 +1650887327 +660514116 +2087181475 +796426275 +917474894 +2074989041 +140199491 +1031563253 +950052848 +999850133 +388456520 +1401396042 +1769621461 +310819505 +644952199 +1841047378 +87531817 +1575403786 +127336137 +610334609 +1314979522 +31760125 +4852156 +672578952 +681674642 +463361173 +1935918371 +498563481 +630978151 +364621458 +1601542425 +134381830 +1025135574 +1541240252 +930808105 +1942610469 +1468745646 +1071007596 +826690074 +271314846 +2070857729 +1215146595 +1672710889 +1692995543 +1525966100 +170179440 +1386559273 +1613497917 +1745583226 +1513895410 +76348878 +913079100 +1545655535 +81201034 +1585658053 +79846530 +544562207 +1374092776 +578410011 +1175540358 +1738714234 +32468788 +1309922188 +616366161 +1573709041 +93246645 +411492982 +894971039 +1164254242 +1238183056 +1166285885 +1087628323 +305846003 +691513126 +633140218 +1831812103 +861692566 +2019699491 +1297826372 +459792144 +1386111253 +1374175250 +1372871245 +784283141 +1455376285 +811045650 +864129671 +1999938492 +37654778 +1442539682 +1027995203 +1776369013 +1475008471 +190433743 +245251526 +901233864 +283680389 +656744508 +1796204903 +1447934631 +1894927564 +815007140 +388079306 +53289920 +1506520267 +1021219525 +1885102023 +220729185 +893435368 +1035444748 +680521330 +132062974 +262136350 +2053392575 +916346115 +1717512635 +716954577 +1780475786 +1569967480 +754609355 +1075531820 +450479035 +383494720 +403056643 +640912778 +628746246 +1304290507 +924593167 +1285490754 +953011762 +225044150 +1032934671 +1768018903 +613123457 +1086224591 +1127055522 +1634342982 +823842966 +1347784707 +380294702 +1859287714 +2028306037 +512357676 +2121424065 +1934214964 +1428703791 +1691453052 +503685893 +1061695929 +1113936884 +1258295249 +2137227750 +1564415919 +1641789969 +392800745 +57845050 +123052568 +1697091253 +982438217 +1408543322 +502619367 +1207482368 +293994345 +123154622 +1820605825 +1380218936 +1250210144 +1307465159 +56578255 +450511204 +1687759861 +1915865969 +331333593 +52633890 +1889806386 +118064910 +1481337681 +1433775791 +621750803 +395549963 +400229027 +1880046052 +385294065 +1964644947 +1374352374 +778094810 +2022489997 +1497404942 +327702415 +857444566 +758464616 +830321783 +2064926934 +1052458962 +953476405 +1738049111 +285194250 +56202902 +898030622 +341772505 +506714106 +438306836 +110154827 +838047699 +490940726 +1999961213 +956112609 +1972278407 +1286253356 +1577863413 +220344722 +1686482384 +1310425817 +605638787 +1503643683 +537294543 +1383733598 +1378650032 +2034699485 +1711436013 +88610950 +645680454 +394274148 +6054237 +1698139416 +1347750554 +1744103348 +1983333666 +1403953456 +494650323 +177622524 +1910667562 +932957159 +287777351 +601231613 +1423897885 +140254916 +1557344223 +1248692644 +1426508273 +987723988 +1469037367 +965507009 +150666157 +2074676154 +321667044 +687960701 +1310926104 +1700317076 +575176538 +874878470 +1788928026 +1220856992 +1269152618 +1794982263 +771512760 +469419524 +1391601964 +607362779 +1873372980 +1886252287 +784985303 +1636556894 +671725798 +1072762654 +90304860 +2095623683 +1213017570 +1647649083 +1196832679 +492042195 +487889423 +518386398 +1457549204 +638555580 +445578905 +1779216248 +1326516281 +1756505009 +1332049676 +1901692820 +483899831 +973494055 +975066164 +1753052450 +620992670 +1746578925 +74988326 +2012594634 +206458056 +1948361307 +1751363273 +991443359 +1437434553 +275605423 +2064206013 +1527739413 +223745458 +1129739935 +1027904848 +1420578138 +1621782131 +1515794271 +1938964536 +931847687 +6866204 +237059793 +563580288 +1333382485 +1993564803 +1895629964 +1087591657 +329980986 +721640371 +2062657822 +2083033436 +1342633042 +1661753099 +10538115 +1207744028 +1868211155 +1958899422 +811623654 +712170866 +1248850327 +1087229077 +628893231 +629106093 +1310974536 +1758633166 +1657010941 +584069026 +1232931649 +1025321565 +375549914 +17295689 +1032187769 +612609708 +580875977 +218086606 +458690863 +329022293 +1305678264 +788671849 +1050662665 +1220852438 +724221638 +245812059 +735121889 +734759753 +1453556087 +455849396 +546175527 +117696093 +1168020262 +1795025854 +1204925171 +1796913493 +276648299 +368416059 +1408063011 +1933659241 +952485085 +493511013 +811497158 +1328034999 +510806702 +1843684927 +1940644707 +1091682679 +2061771533 +251851922 +1420704972 +1219966149 +1040523772 +323883989 +293334939 +1764745410 +569696048 +1028456828 +352021515 +2023252136 +1484306224 +898197042 +2140948229 +504842838 +545739248 +1198389752 +154272683 +822387548 +1566805811 +1562335695 +608563141 +371807248 +2055846708 +1420060299 +1699842248 +419169762 +1116261578 +1493003307 +1510852441 +1030549463 +1744855230 +784073765 +103031965 +637895354 +1107957755 +396366904 +255157116 +1677653803 +1424823733 +607178631 +1553422291 +761646309 +1505375673 +1546886873 +1266489148 +2051114921 +597792977 +1420761831 +726018821 +17115141 +835613878 +1334581962 +388922389 +743976938 +607158613 +2088764637 +1163146700 +1723420191 +1434284297 +526515493 +606486007 +1031655879 +1310589259 +709517972 +1669551233 +271063366 +1105884876 +1924708349 +1948717169 +383224961 +384403332 +1354655813 +1144871271 +1889779005 +754059038 +263876771 +1793410278 +1351852015 +1684638602 +371945452 +1368967156 +372768833 +1706527414 +1757889546 +1116745771 +166202380 +1699170535 +132408824 +1889622571 +985971184 +658924317 +348624930 +2017627063 +1969513576 +1058142902 +1539694648 +93093294 +16544131 +1316919349 +2041810464 +399769092 +1701322681 +1248982629 +1544640363 +1443618038 +2003041667 +1808517134 +1089544669 +1207410034 +1345672089 +1461490121 +428893543 +1718440922 +1020533887 +39299441 +687703045 +1186736267 +1738469976 +820111869 +928875191 +576957513 +1479036187 +1277500121 +447100928 +1301066115 +188159376 +1986795577 +1394159410 +204703507 +1156231278 +1288486226 +604472599 +710070312 +389985207 +1629315 +6204702 +245543226 +1810146449 +1095749371 +1452953260 +1008334890 +409755844 +1881846803 +579292164 +1430289732 +1921146244 +1266995210 +469542351 +1512132573 +2087107079 +1398417542 +2089090086 +1418659618 +528434016 +388707366 +572242086 +716593392 +228019295 +1966401496 +921296899 +1384250574 +1107404074 +1525769498 +2094320886 +1497389281 +1527398813 +2100525588 +1742932507 +1190061615 +1048791312 +1048402119 +50912857 +1458547156 +782765275 +630205022 +741353240 +556427871 +1897200232 +1210895592 +2068560444 +1836823663 +461829486 +2010166882 +1107999634 +990263502 +251390601 +1680241720 +1706856894 +479409896 +1499159568 +480670145 +1863660470 +459079994 +2006439644 +1810497708 +1956469275 +1386354809 +1763539649 +1551918134 +428932776 +664847313 +452836605 +479845634 +2123394469 +1235601880 +1110050656 +717264062 +1792029752 +859767240 +1928159654 +1713106548 +549107255 +242505492 +1575789783 +1657106889 +1232768995 +1827180384 +1189864961 +792142241 +159106632 +541540881 +1272812387 +2022767103 +1000620875 +1131768383 +1685781163 +809606502 +370639544 +1301837164 +214040988 +799572321 +1966684477 +666877594 +1279417955 +1942595299 +1902479474 +241984963 +512375713 +1547025578 +1101752203 +293051719 +1112648479 +1650859458 +535557211 +540954614 +1160482700 +1768326206 +220651350 +202864013 +412984800 +379757982 +744404895 +1685797187 +255041437 +1745025770 +670081922 +1940822601 +407148625 +1040721466 +1095176117 +621189613 +1840293787 +914376947 +1288067207 +972228094 +709488598 +1043063034 +1214213057 +1221864311 +442604964 +168481612 +1514916030 +1555253443 +1819341071 +2050473241 +2096208057 +832340123 +1671315800 +169375759 +1035204136 +2084300600 +549133742 +1779609031 +1622614139 +804175179 +1377151154 +145212413 +597514132 +1784299779 +1185933879 +1692690250 +258005744 +878744019 +459583549 +1546072952 +1850972113 +1169072147 +441652338 +917701523 +243452810 +884257302 +1086183135 +1758368840 +292027098 +758040558 +1661358433 +240751507 +1590380681 +1185190585 +410127267 +478101170 +1122007537 +959261009 +110226553 +597138028 +1763436188 +1487377707 +742350441 +213466673 +1124193838 +1928284321 +1906156923 +1382199583 +659544692 +218256824 +780788887 +363033157 +1387328971 +1222441225 +1280734680 +1630781781 +2106698527 +219434168 +1241666973 +251241977 +977474726 +755541758 +491993485 +420371760 +1940732344 +902120752 +898472930 +915256233 +1861381761 +1008699483 +1512394262 +1477334301 +348593543 +107261055 +1690800974 +1472787381 +2035545376 +1449474249 +707503316 +547606420 +1667731073 +1488292203 +910639578 +907576396 +563249780 +43890610 +390874529 +522464660 +263324778 +1632541502 +773706637 +1240799505 +240599613 +1265700122 +1661171265 +33848309 +20337226 +412160547 +949104542 +1881718987 +1420860030 +314015156 +1211569641 +1769453573 +421276212 +754886967 +1094757307 +309337940 +56877569 +1802260623 +856944361 +1724608642 +1143069179 +1767583939 +484701391 +1706318959 +1811474549 +875575920 +81299971 +2074799328 +360633775 +855006609 +1168115185 +601233388 +2120706731 +681802802 +635081697 +2141043958 +1093963349 +1584186239 +1875279297 +367339731 +1898201396 +939365290 +2136793305 +171993960 +1694252258 +1084066964 +481331900 +1751129827 +738843939 +1338276261 +1328254821 +1881913118 +958376552 +1812956212 +1440748430 +622367454 +541048485 +1522048401 +549683134 +901682260 +229571362 +1717798319 +1502915648 +202794446 +252117473 +2137997345 +196354756 +1346080822 +1574699936 +2071634053 +1713420553 +1325417684 +863515696 +1702730210 +1497411644 +410284306 +639313526 +1978743545 +13930485 +1378157466 +1169536158 +1342185306 +1112586936 +2127912711 +1007657871 +405851718 +602796517 +1548706356 +1927900120 +1152479651 +302904968 +9987834 +722794322 +1805820616 +212782280 +974911795 +1796334313 +409137036 +173508969 +1223550601 +333287442 +1886929522 +401484638 +1196803138 +1442176085 +1898896282 +1607087444 +2081489611 +1730156179 +1621017929 +1312163429 +752208690 +815719587 +277266718 +732637753 +1823377458 +683118436 +1335434270 +1224600166 +463534908 +340430273 +1527505134 +473522743 +1063224595 +1185842102 +686305023 +2038136390 +834692767 +1095442060 +64161711 +2058243369 +1428729502 +1951091233 +312244359 +478048992 +1245783670 +63656993 +2085136436 +1179789634 +1793813173 +1558670717 +344469415 +398538215 +226906656 +621736133 +1131175968 +2050284115 +1304854570 +319126590 +1127400633 +1768389478 +659556863 +507422120 +94428573 +1722781458 +1693264222 +780733597 +1613434200 +380473342 +1876175657 +1677595911 +291233063 +1157421511 +1481203496 +603477422 +1635470503 +579503519 +667134415 +1573123291 +1759293153 +313463940 +984310360 +2103762568 +712002155 +1211217016 +578015054 +1843178123 +1114017483 +1882869624 +14821065 +93934469 +1503775454 +674377928 +601356589 +1598204028 +249675738 +147137163 +231453977 +1863109938 +527610505 +2107629634 +1393222201 +818843568 +1117567497 +726942050 +1422320990 +605554352 +1306445569 +2089455406 +31193995 +918255074 +255435698 +1015504355 +874533994 +967437854 +79237723 +1452549048 +663132329 +1193255207 +1187935024 +677953395 +1287189676 +544226831 +1352331323 +1888546265 +2142430859 +1602007062 +2035683428 +226401188 +1317633352 +415810286 +186547174 +563371906 +1234653854 +1304114671 +1290313956 +509491197 +1909669023 +449275877 +451462955 +1940863018 +1367530951 +706898653 +808883725 +94581297 +1674336507 +888121448 +1547130346 +189985189 +2081376655 +587581722 +867938584 +1221082683 +1131808553 +72786259 +962145300 +1126755764 +1674793321 +850345081 +1353156952 +844943026 +1266155367 +1539704126 +1408314932 +353325573 +696335149 +551145240 +862816770 +458520524 +1000421117 +1314279725 +251899894 +220468420 +2021178379 +1060783619 +315049717 +1548031238 +1948905068 +1862180063 +1738016427 +1882798075 +302278138 +458471363 +956397111 +1434086691 +531257623 +1918542411 +413358808 +58567296 +621403844 +1766515760 +903510322 +1887559211 +1158736239 +164341606 +93401137 +1855071388 +715486846 +956217907 +166108265 +1715907963 +123013985 +418008159 +1936376383 +2144192364 +1478791779 +103942453 +1544739954 +1280213199 +1966122516 +1135272734 +1015527626 +120917006 +1593744097 +1971924737 +1555003698 +2125001720 +1742983501 +1968362506 +36085369 +216903697 +1587394618 +939595691 +2104462909 +598647209 +1103937298 +50380398 +306234950 +1819424144 +1006598305 +472343215 +1387848460 +1129612290 +890351374 +1176741195 +1126321006 +221659505 +1280683648 +523577313 +1501872704 +1099322517 +1658850047 +369916683 +1220239523 +1105110496 +194357772 +627759573 +1082628569 +1937341273 +448638431 +1118713938 +6761323 +2036033050 +2058309629 +2111224232 +487196611 +1014763279 +14120982 +793431561 +686703776 +1020719287 +1265774776 +2074552236 +2847930 +8642503 +1103809783 +1129168936 +230302008 +237009784 +1652746249 +1732174713 +1336332301 +1164112648 +2102091396 +409088176 +121739497 +148965520 +1036847750 +1204368066 +2086306794 +1485486181 +175598356 +2093068117 +1374035583 +86424337 +2056808701 +1861232195 +1101187617 +2070929683 +507180108 +1787891393 +944165322 +1772954885 +1714959981 +947013252 +1781597388 +671286116 +2076182189 +2011899396 +908295900 +1581444790 +1596590461 +97144553 +598073791 +1551198209 +506232730 +719813288 +1700163730 +1543080480 +1924181354 +1638986876 +881083013 +2099779710 +1584571345 +107634949 +38720399 +1493896398 +1968867144 +1139908016 +1417342433 +328563604 +780315761 +214024107 +2101518489 +347792094 +1161037360 +1735632229 +1019078211 +1089735901 +1600047978 +1927374111 +523697043 +1049154791 +2024518665 +1121770834 +452869353 +383267747 +1841584122 +5549435 +1926348227 +1618281828 +1644536311 +659947592 +1570577890 +1081624008 +767582541 +1609298290 +428036758 +588966037 +601722658 +1845379191 +917529642 +1382038420 +2059403298 +871564483 +1729830514 +1072957010 +459713065 +601425077 +15209263 +2059761043 +381315541 +538906307 +961432186 +258350558 +1660677141 +1414301539 +641618305 +1354777616 +1419850974 +420482884 +825575796 +916903637 +1080430476 +248670039 +1998527645 +1848013018 +1857968329 +279080755 +289495407 +312207339 +2124459946 +1207025049 +1694245759 +2036379597 +2078589533 +1276592626 +961852959 +390818950 +1878017703 +977062223 +303096345 +111849596 +1515968530 +1264528531 +370200154 +1029162023 +531346423 +1011818459 +236455991 +1951197397 +1432301343 +1062031788 +720617387 +365248172 +1310701827 +571661384 +65777542 +1021186508 +850742140 +355272949 +1333393847 +827718438 +1562297999 +880155959 +716614387 +1493403884 +9264937 +1678467347 +1884222834 +1887282640 +508045922 +39835531 +1999132237 +2024014452 +1304364062 +221848743 +905692827 +1835710485 +1233667203 +1142148819 +1639424235 +518484898 +56696959 +212557974 +883733070 +1367398786 +784219358 +949510612 +241101646 +1634961498 +1304783562 +1574495493 +315196289 +719597913 +307167804 +1031810676 +65518149 +316432741 +562794375 +1949740983 +56231734 +1070840297 +1989576514 +2055363971 +947371101 +1146456928 +129729066 +1853063929 +834683766 +1363396269 +847729100 +326624353 +1881881168 +904426059 +539182327 +618130590 +124341197 +1323401685 +1567641203 +365442843 +810879536 +724941117 +1939938336 +1126075825 +1444539030 +99622493 +10402853 +1510057179 +416055234 +573197229 +1312314514 +472286968 +1644037526 +1154407380 +380167291 +443924980 +153380660 +509896358 +149505261 +988064426 +1873292627 +997234361 +1314688779 +1607690147 +1901660420 +1853871106 +78337090 +2026001617 +1029789144 +1645978293 +243960812 +1840668680 +223435762 +36415500 +819260857 +1667974792 +136037993 +829663710 +1030548323 +552093228 +1402860939 +195379189 +1024380196 +899414818 +1349786569 +1404547488 +1343339798 +1503167229 +1914443846 +1492845059 +343748008 +1640252825 +342595772 +1658436787 +1100459325 +96772544 +1364824246 +1178796415 +2122774161 +247129742 +677291060 +219251325 +2087798422 +900726822 +255666825 +759575631 +421217966 +391704819 +1589239341 +1451766289 +943798047 +844616633 +1647145478 +1968178243 +1744031451 +849448399 +1225242083 +939887601 +205131980 +992202281 +285249012 +548879988 +484971459 +627844784 +59833128 +1585430784 +724617328 +1424657374 +616743551 +699907841 +1671787116 +1294034611 +919159166 +1612101890 +47277785 +1174825991 +224193873 +468495751 +1566530810 +1813433214 +1920262040 +362845209 +510566199 +1419923870 +183539805 +107114002 +121888621 +1408781888 +1047001603 +327020601 +253500522 +1332250615 +875900590 +738471981 +1960095399 +935733718 +176419117 +537229079 +212907444 +793162668 +1237136920 +1884694560 +2087197279 +8812438 +1349312802 +2134475064 +1183638430 +1573506675 +455487167 +602685592 +1239456241 +228265559 +965530802 +1750022441 +1648189429 +1149070607 +1857136443 +1770078050 +410368847 +756654399 +2097098651 +663869369 +2088905014 +825515593 +1402341350 +1901516766 +1761249311 +1578760467 +291262197 +1974156755 +224439487 +1528399118 +1711367667 +164153118 +1537211556 +913196821 +151144534 +573366338 +339219848 +606631701 +1176051931 +1578676090 +834897260 +2141582733 +1181214883 +335603041 +1143169692 +890867678 +2105681091 +1553538539 +1647522077 +2055296095 +69924261 +1588943444 +733328040 +1472265611 +1342976562 +347093704 +903542431 +1634238759 +173766811 +1127981918 +1015154229 +1885134479 +1292135037 +404882138 +650847652 +1443279571 +978248476 +990067501 +2049911273 +6816759 +421259943 +737324885 +915844 +1602474826 +1072927927 +1144085536 +345858856 +1031125370 +550140428 +1993380934 +938937817 +620064689 +1434840730 +1672265858 +2092330300 +630333644 +2019359562 +848389083 +117088755 +45642725 +1976371002 +1132242985 +1930777204 +1121022391 +1537125123 +434141209 +416818314 +367889951 +1424208710 +319245939 +374706711 +1845468653 +1056570825 +375622555 +1300459831 +2129498752 +1519708092 +1646318687 +1013140474 +2069848520 +1492215973 +1952078292 +542429561 +779573055 +1476860502 +487276213 +1409906699 +1348736416 +1335665297 +1526995455 +1394379141 +1164552651 +511754792 +1177672698 +138091394 +2048879915 +1611813907 +554909708 +269286218 +888538969 +874155648 +643992929 +586523974 +1930726473 +1019615485 +1886983805 +1912741577 +391839929 +1385818844 +778398403 +314204801 +730551170 +582993047 +856634362 +1510124225 +2059853549 +1343910575 +772547277 +1261106317 +532092224 +152059084 +508001811 +1696644875 +663813876 +1685674509 +1834736269 +565210143 +1150004768 +242162330 +834496361 +2038543737 +1116317978 +1478489291 +477584063 +899560803 +350621128 +217084220 +664818732 +742461057 +1602903064 +1443217135 +1056665858 +185970586 +2026210183 +1913300220 +1696094812 +1938580084 +1109727147 +321158441 +1052202754 +1641819372 +473217525 +1560204565 +1190980599 +1137031401 +1098395426 +878233221 +1702241544 +100916546 +1120395551 +389254257 +2139460283 +89229881 +1867743548 +469560698 +988790684 +70881028 +686644918 +1653609416 +813342085 +142064334 +949342903 +1870007943 +328034921 +828069438 +1635824515 +2024129733 +619165875 +598068015 +197804526 +1671368629 +92403739 +671022051 +1084089546 +1283384338 +1808053452 +35001324 +14133911 +1362811348 +135917870 +1134529462 +1752065605 +127894505 +1223759343 +1472325506 +597455203 +65066379 +1543206534 +1284100121 +1718675795 +209064972 +1426164455 +520535051 +2079072915 +1754199376 +1348604489 +1567413783 +1630845461 +1967770364 +17998150 +1828649987 +1491655345 +110401889 +352188390 +428261243 +1393786227 +12758194 +463262567 +1407920139 +1375569542 +599180437 +394965953 +980151500 +727074942 +1618725297 +304993358 +1324530145 +1683791676 +1848199892 +461146618 +1254983824 +2057264864 +1887311074 +1775518875 +1988854132 +1494026802 +976639716 +1408784267 +977388616 +796926433 +1426782417 +658554955 +141098130 +1537184306 +1010743346 +569359374 +783486885 +1023501540 +1032621941 +43923376 +251587435 +1631802379 +438889330 +1231738935 +211393673 +2057614627 +1536732293 +1535923819 +1593922655 +1237448537 +1997070437 +701422831 +1147229754 +1736897863 +329458058 +988600238 +1083441018 +1306097775 +249900857 +2060829634 +2103024208 +1676683274 +571900941 +96638690 +1066383932 +1582644287 +665998064 +1849870817 +458662180 +1698620006 +1893794194 +710249615 +1182938737 +185199876 +1941988550 +1394332410 +95330855 +1331237195 +782772581 +1689253510 +421202084 +632359371 +243192694 +1568431838 +221773586 +572650752 +409548428 +1305214604 +1878748527 +659449285 +1218560590 +1834289087 +188648911 +1790461532 +1930927778 +1255032843 +1225622171 +449442194 +957420013 +1684284351 +578552 +703730559 +247050318 +1183517289 +888930435 +41555220 +430366052 +984261290 +1372792415 +1213138633 +526031152 +1793994500 +1845498004 +769223846 +1214942690 +2067271591 +1341874599 +1624491119 +1225002547 +1073139478 +136456756 +296079490 +759944918 +325105668 +2086541022 +543389048 +1580138511 +1164679545 +992831242 +390074876 +701480249 +993409795 +1093805435 +948530567 +29443436 +1982735870 +990085788 +459809488 +819513512 +215394555 +1672948122 +1345544665 +2009389055 +1370962478 +2114768511 +1076848098 +1290750421 +1309159462 +553855569 +368269321 +234815293 +690312325 +664348811 +994760211 +1015417993 +603406185 +1538149259 +448072857 +1768085730 +383496853 +838147733 +322082331 +1376906648 +1931953169 +1270612899 +1406350085 +1767205391 +113215039 +1866159573 +439235256 +328609594 +1391624047 +1784779921 +190515002 +615102878 +1752064784 +1267363100 +1905853299 +913740599 +1821218669 +126638972 +1148555892 +364047346 +790987783 +2143316103 +1379465340 +1394393968 +1533981714 +1827538197 +1014996051 +1917478567 +518202282 +1337078382 +1146901568 +302671803 +460207633 +405768005 +2069877195 +573422672 +124443930 +361628803 +902032267 +1516067978 +2146408724 +1092547269 +2131170856 +1750989860 +212426721 +1889540507 +517246811 +2033645390 +2016179480 +1665802703 +250209088 +659683615 +1661635158 +1629674428 +2054077584 +1048133224 +1309728977 +921589987 +818128144 +1827931260 +111184721 +1965029712 +2130603063 +571392355 +223314069 +2052996610 +1144815027 +347757999 +267141765 +2046847294 +1863825977 +266066841 +991910915 +1847513185 +2017056702 +1204337636 +1589570045 +386819865 +1090499378 +1458265877 +2052622569 +1340708467 +2117949492 +1566774079 +822899247 +2024543428 +467423656 +2132628225 +798649767 +1285551800 +1813075837 +909834489 +1103097864 +1796195252 +1481226844 +1326411933 +1701708215 +478558223 +1674169932 +1968849980 +377921870 +1390512262 +87433174 +1369832785 +1090541799 +2104489876 +426686774 +532628196 +343826093 +1517186152 +1990894073 +248965014 +710410971 +1961359918 +1815739094 +1533310219 +1838419698 +135679102 +1518454796 +489585818 +1421230902 +1184046985 +1399420307 +376845118 +832758589 +733163503 +1703257051 +386983156 +1211721726 +1229943335 +208349489 +1589643596 +472971949 +295782663 +811992734 +1563513749 +252788891 +1238679508 +2096141945 +596614984 +608382012 +1939552371 +845579999 +1318792984 +1753428641 +513835445 +704619555 +1444364691 +649514547 +75590703 +1933950509 +2070745449 +1259637688 +1185887168 +300106919 +2092396277 +1919050671 +2003363970 +331895786 +983288750 +1085823657 +540245275 +425448698 +1558795607 +836027938 +1237441432 +974825708 +1088816829 +328637292 +923484005 +1685431813 +937019305 +715552728 +383528164 +108328641 +321497721 +897363609 +812948196 +1765862413 +1546878156 +888538899 +1552329274 +1470139957 +692939 +590732795 +1770246876 +2093089216 +362299818 +1626127198 +277501354 +1345588568 +564467208 +817746629 +1771037267 +2123262815 +1653774567 +860995051 +950604875 +595107748 +1189632344 +1874088880 +133055914 +2126651649 +442157961 +516584078 +87496642 +763655682 +1413947688 +900444838 +382034447 +813342196 +1788983737 +1934363722 +135998506 +1789676676 +377612869 +1906245382 +1735282244 +739912687 +1384888933 +2012783599 +2085501256 +1949356141 +683046580 +1709054875 +1925135308 +189337500 +422566278 +728256535 +784445248 +1612198622 +454861767 +917501162 +1591366623 +897019728 +1434085241 +1678863265 +1660675411 +700549281 +431824455 +2042709858 +1513891477 +73324544 +1829589932 +1649889983 +1863001220 +59719153 +1408651718 +1450799817 +799631841 +646057003 +1316099768 +737649449 +447929496 +1999146348 +299220676 +225581156 +41000200 +721786954 +953837691 +825445449 +186501929 +1408699458 +1742946611 +1777868552 +158235539 +1029548204 +1309248170 +1818910950 +1730097485 +1741072625 +1714137160 +1096505315 +1814397170 +1396243445 +598911650 +1529914742 +1455962598 +2007563368 +833230911 +108110791 +506136723 +1847031 +845760240 +954066219 +2000993380 +1144980916 +1179647375 +2041993580 +1866767871 +2133485066 +719955381 +2053269800 +1394700877 +315418345 +1683654704 +1552936416 +1344966549 +845419226 +1224363718 +927580387 +439008204 +791017230 +2024085702 +105921726 +39777027 +475513704 +1635836468 +1495739626 +335593425 +321583732 +1603850417 +841730148 +323430763 +302127010 +1795796368 +176940495 +1447107926 +827960095 +71450428 +1166392149 +813961514 +791405809 +1072178301 +61178743 +1106824154 +608349358 +1614115159 +304307056 +1453768584 +690995229 +1231887443 +1892776788 +1482012459 +1108489497 +1998698514 +1521789487 +1584003201 +1487051335 +870045465 +1919596626 +1808635067 +326412234 +613843127 +2132065830 +628539244 +262155847 +161522678 +2075647171 +1090115942 +232973106 +1094555672 +1904077456 +1024378915 +19250326 +1965256199 +2131203070 +627599684 +1431887710 +288026478 +2081368268 +2122882939 +1519913921 +1826661409 +1457411751 +480919770 +1677876275 +831717590 +2064922971 +1017443962 +1701763055 +1837035950 +678595381 +2028175289 +303395429 +663177564 +509230886 +565551276 +824700242 +437394409 +1655667218 +1057673348 +1531950081 +1412261027 +2082052263 +1551200407 +1230033578 +2065771685 +31316443 +514437641 +206314515 +2112684712 +489836932 +1726228436 +1791862473 +1947248683 +59664558 +1322255100 +631482625 +2124587530 +192215415 +185762032 +1814139832 +870810796 +66453674 +2117535261 +1533988360 +575684560 +535602889 +211204954 +1013078969 +43786459 +1268878302 +397545402 +1456047486 +1203446918 +1948745810 +538597417 +1121734955 +1980062253 +1053035058 +1328049471 +1945263317 +1542871990 +906794259 +1589642142 +1342637026 +966458818 +764413595 +1974119651 +943562700 +956629010 +12398036 +610218884 +1827439806 +78851710 +580270497 +1213944519 +654536270 +1115873386 +1425149473 +1667615239 +1159659845 +546544128 +2065160641 +468223684 +1749991046 +1866422803 +1006821101 +724242353 +1699001409 +2059856159 +2052291824 +1496781078 +1455244501 +811602436 +938939573 +650397879 +1778061254 +1703353168 +477033883 +574140306 +512498530 +489431919 +1184359190 +192454688 +568283629 +1764629687 +1406399207 +1222819899 +733019425 +684065033 +742951490 +1892679270 +1230609161 +660628483 +213419306 +833116559 +379567639 +1220240407 +1557358912 +2078569048 +1132612918 +1462167089 +1427866478 +440373772 +126285877 +219322403 +1090771651 +1904347131 +1922675571 +1567805534 +331003789 +287690453 +2057237453 +1515362979 +480145142 +478037434 +1132509018 +1886544349 +1700857333 +1865528443 +423125734 +296325175 +1610724065 +1653734895 +956953659 +1824143372 +339367806 +1336521298 +896900131 +1896726719 +1267606698 +2029513050 +1211410160 +547989528 +322403174 +1337696037 +767311932 +1413174825 +1094559520 +542503855 +833496712 +1425563309 +830194309 +743250517 +793442640 +1310339451 +1221287952 +1925951658 +1049400152 +774661637 +1643996453 +1472525887 +1070986813 +1107236870 +978777134 +2027940472 +783896594 +1318144941 +1216978122 +1680796726 +1067388012 +337101172 +1562826128 +131314524 +885090700 +1885229302 +1469010561 +1652402632 +1150920479 +416086433 +47422840 +1984417191 +1841649742 +877617149 +580184061 +487608734 +40472952 +1801472013 +266076744 +1089873104 +428650002 +1910073197 +414915343 +1499636815 +869826419 +1393692478 +1380093639 +1653723014 +564353771 +449588113 +1187036092 +1631741783 +786689285 +602378572 +1763056307 +1671779986 +340124226 +1084583220 +1176698970 +1491044705 +1500669653 +1224121810 +1327978249 +1194835747 +2101738959 +1908162310 +1682444481 +2142211911 +1562150675 +1948521225 +1084601368 +1990800677 +1711110774 +1499516711 +1342953845 +433453545 +745725541 +575563836 +2087176559 +1310079312 +1025151950 +1126729003 +794337447 +1811841235 +1729107575 +409910106 +1336137573 +2069231801 +1494493326 +365352896 +1412792859 +847679331 +1589474706 +593287460 +2042515078 +1543730018 +353966122 +1577475911 +1538458281 +1916116797 +1378513488 +475576001 +1759433826 +942140614 +1975092713 +954904023 +1375594160 +573334606 +1530467860 +1315287071 +1883413919 +408136162 +294532427 +530267718 +72493749 +2023640002 +940177825 +1408631323 +1945388156 +287187503 +1773984219 +1210697367 +1134866835 +1215975277 +1803984827 +1029898265 +612221647 +10467301 +459890529 +3196281 +1926584098 +1838404017 +478772282 +1538534276 +633060984 +306381347 +345954652 +2008655144 +879715954 +1876422512 +1176458567 +615646225 +137075026 +1470990994 +1145913943 +209568775 +1347147349 +2086091768 +1618200098 +1145051857 +225795624 +1244700669 +208265576 +1360662459 +313192299 +2012250403 +243077076 +925413946 +2022717704 +702967605 +928610227 +1801818154 +393887975 +1407382510 +1192868782 +1026948959 +1713763857 +1538823434 +888120455 +445996163 +1267762298 +2064579022 +1061642388 +1404837324 +1388086369 +60072684 +1614406100 +587750070 +2146164452 +1085122550 +1732801927 +224476428 +182339572 +1941067503 +1585138887 +495531871 +1805834258 +1828215964 +1420945817 +1681068314 +383699921 +202072397 +1335402820 +777587896 +1609454907 +380787954 +1804536855 +1175735116 +1919611389 +545173662 +1621731280 +1039890039 +462269037 +535890020 +297243716 +1850355406 +595962704 +1911649816 +290621828 +594643509 +849288718 +2023423755 +819119937 +1031628290 +1817007610 +256775177 +1527160161 +1475358220 +2084991141 +800622331 +1008942886 +321207414 +1002694728 +196862058 +1098795311 +464665987 +577650012 +755848518 +1640401103 +349777753 +1301022181 +1114648735 +1389667793 +1763291218 +1650538756 +1686911509 +1466162976 +99017812 +1451077677 +1756784804 +693661321 +152882747 +1632724911 +1512781259 +1184511038 +1302248873 +1769556436 +564187551 +630123445 +1707063929 +1364809882 +1639066331 +2028271343 +220020962 +1835928389 +979583006 +684686949 +266094753 +1735431525 +177604405 +615872507 +888970058 +1292253140 +2005540300 +504777628 +795308248 +1544968161 +1970940604 +894326061 +848562190 +1580241760 +1587987382 +1001444937 +1065483023 +953284993 +38472327 +220248248 +575357781 +602659879 +850371693 +134938062 +1967469761 +341954376 +15725758 +40007076 +30399117 +995308764 +724694025 +296493870 +583256641 +902298430 +912366377 +1472226699 +47067923 +770423029 +1977004327 +842376171 +167907542 +1800461283 +1736702232 +1016469732 +1233219395 +1177205967 +2017914670 +151218770 +2130490960 +2056386997 +371467018 +558365094 +511563228 +1221838711 +693303156 +331549342 +1563793087 +709028914 +371556418 +1594192204 +1704337679 +1096250443 +1890686075 +140110672 +1998548874 +655568804 +1612337372 +2045616797 +1425991834 +1441858051 +740509320 +1593899376 +1094835687 +329727905 +462885461 +180571434 +1506933872 +333316483 +331790205 +1489941184 +242219832 +703257223 +2048306278 +753783061 +1925095935 +594125787 +1085332403 +1341405374 +1303154701 +1456888821 +788113931 +860008732 +405655616 +531316358 +1000119405 +256720842 +1186885162 +464973129 +154853991 +465393348 +1906831180 +895363312 +2059292725 +854183219 +1225091217 +374694538 +1034754654 +584541441 +708011021 +1366544859 +2074482625 +950230853 +2069802082 +1975305256 +1704013914 +1847414369 +421947395 +641862669 +1041336096 +1725102096 +2098751490 +1829450027 +437627181 +356923459 +213282737 +1437746586 +613644301 +1400167899 +1902719715 +768498293 +1865561248 +1662067247 +1663861605 +1777370325 +368766819 +741469174 +4581215 +1403521473 +1326010615 +712592236 +622582684 +1253009592 +1662823089 +544901118 +1080831200 +1219353356 +244831840 +1502778595 +1861216025 +1286167936 +1080397044 +1812483868 +968134315 +1518024225 +21923679 +1181417052 +808287163 +635567980 +434101303 +563523230 +1404066273 +152178903 +78106829 +920444230 +1929549228 +446873648 +1661913404 +1934130443 +1850395121 +840440371 +499239031 +325494157 +2093449964 +14578473 +870395276 +1026797516 +1233931829 +1115227116 +382092464 +947664206 +253911404 +1462489508 +612664426 +1222045719 +833030085 +634588105 +255979123 +1641317248 +1270156086 +690080426 +57356830 +526738711 +842259330 +135463659 +1447182942 +624324910 +582337308 +961612698 +410971706 +285248781 +1802053070 +910210737 +610742939 +1748019386 +924789210 +1481138215 +627333254 +11237391 +448881683 +1009425718 +958901598 +702793087 +324431578 +1571566024 +1924838806 +1157461663 +58670482 +33334281 +651295263 +1328826568 +723414707 +708652093 +1855565279 +1565674037 +844115753 +1155264573 +42515300 +1426453061 +2116877272 +453487006 +1711701842 +1771446694 +1363697743 +174961133 +1371982432 +141003306 +1656099348 +1999315686 +152240697 +2104981031 +861257757 +1111142295 +660290470 +1185689335 +535224672 +437645628 +195667351 +593895154 +470979909 +846962614 +1922721722 +1194394617 +1555614708 +1630803353 +612585006 +252246813 +638584279 +655100306 +1678699874 +607977903 +1108587312 +1242918068 +231940949 +324801408 +1417879202 +1603923381 +465804714 +926494902 +1455755419 +618045411 +883992286 +169529528 +1729187707 +1544282756 +1355218864 +116928731 +1981928385 +1550886215 +710823885 +305424646 +250365181 +486061959 +1499819263 +1805979889 +2116865312 +2112404270 +2058226702 +607965943 +620020928 +1589442928 +1215943846 +1728608241 +684877349 +1447884795 +2053409649 +2102756551 +904324528 +371730715 +881767805 +212596300 +989776126 +1765760091 +382125828 +571480185 +1162559200 +1737344692 +688408916 +997003937 +1140747259 +1399232801 +1302428583 +1391112441 +1885294760 +654764199 +1049608682 +1854676425 +619684821 +960351737 +315158720 +1239705749 +402311017 +1531102567 +820830342 +1087188366 +831503714 +726756343 +1042461269 +1735828243 +1098487058 +1924229075 +1948424543 +2088263185 +1542505518 +183066723 +512259722 +557581070 +1920411416 +1200668639 +1554585007 +913675027 +452417792 +709529943 +157303820 +190228905 +1364294142 +1206912503 +2044905330 +1983978963 +19780592 +212580402 +1076201064 +422091609 +1743682969 +1897031407 +1509279976 +427703036 +476304102 +404257597 +16047631 +1574791161 +181003024 +1964472174 +1515570698 +1723508543 +55249 +2027830420 +133605965 +1920466665 +1081015411 +1688190973 +686658045 +1533433204 +250237268 +843961865 +1723662109 +1614531410 +2050874368 +1621083791 +1451026725 +2070654960 +1833664193 +379744141 +345262922 +1429863515 +129291900 +1854542898 +1857566551 +605596003 +111316847 +1873614182 +32903516 +292319872 +1690602708 +1548474214 +2015828415 +1690657957 +1428820986 +1950732 +1463640975 +362352750 +1690141705 +2815372 +1895785954 +1940378973 +846777237 +1471964415 +1407426735 +750167958 +945564558 +710969812 +673339270 +631745103 +1090713954 +1018602192 +2061608618 +1220005854 +725661442 +1771691521 +1825601857 +836978290 +1497822055 +1858505373 +1129298162 +1040941115 +1259495939 +997642929 +584115425 +540833278 +999593661 +2047756400 +903186028 +542251719 +2050571772 +651488334 +335147044 +749865361 +2123452749 +1742573780 +1500033319 +921533659 +306059944 +25888942 +1553278762 +1396773898 +1044491134 +1467403733 +469296105 +1770152577 +1091611606 +147414314 +459647219 +441950014 +2005919688 +1588945381 +1482891129 +1117931979 +439104662 +2067006554 +1658765257 +1438698323 +1967279306 +414467637 +1980950042 +1870367430 +1065955971 +168613439 +472749144 +1041925072 +1911187219 +1972782463 +1963458731 +69763515 +1998671405 +1369253846 +1466537414 +895678892 +689173931 +1935833519 +518347821 +1780785537 +2083247833 +977995040 +75251903 +1941683873 +419456773 +1558143033 +912132205 +858561435 +1477665939 +423413814 +149776110 +1297461598 +837881452 +2130726153 +1020345380 +1903837423 +151855944 +1493094524 +798278848 +2063043163 +1318393340 +614253931 +2132806678 +1169581097 +1983507777 +1451860444 +2065259989 +525198060 +1240210315 +436124162 +158499950 +1175974501 +1414119202 +233751853 +970174726 +1833575975 +1791894886 +1882306931 +544653762 +1122077178 +158237098 +694429873 +272055128 +996118550 +677672378 +1292400508 +752472325 +829528322 +638011385 +1550751173 +745087837 +1956404725 +17521457 +730410867 +978502174 +2001029234 +34787664 +896278516 +378743647 +1274997979 +1332402678 +537243597 +303488832 +599038233 +770995450 +1273663559 +285130560 +415406689 +1008486842 +829784323 +1537483867 +1166723940 +1524214196 +1809538995 +15358842 +54402926 +954455855 +767831168 +883931248 +1592467240 +171098693 +1629019085 +1401388317 +188620150 +211946304 +232406844 +42165737 +246733968 +1128685360 +420909384 +1521731948 +313604390 +958152981 +1825220780 +912642623 +1729148431 +951400691 +1197773184 +2144555120 +1959887534 +2027557507 +1534555339 +979127826 +1404288055 +1196610686 +994486669 +1458690981 +3582894 +1762317837 +195138581 +1596050134 +1933416530 +1824157666 +849954804 +2122036681 +2036103970 +1082361648 +16718770 +135354291 +63563360 +437628154 +1657086239 +377167750 +1395781135 +1334823371 +1289810374 +977445918 +138740415 +340099910 +974517391 +2098627949 +220173769 +361589082 +930272127 +1624461824 +1558199769 +1924758796 +935669157 +1561782663 +1539592985 +1130807738 +1010349149 +1325525868 +807481756 +1860303953 +1300078901 +696102078 +795181953 +1316797671 +831456369 +858745313 +1754425825 +341058960 +1235913064 +1002723312 +1675882332 +378239790 +1980169230 +1814622747 +718339700 +807202973 +1765767048 +938513469 +1168792056 +548555527 +415491645 +579508177 +325830676 +1351160802 +2141290840 +1865423661 +334484892 +1004156341 +1043465881 +1141966648 +716976647 +196061134 +1838068726 +1512158600 +1512858805 +522041448 +223420266 +1119800982 +863100408 +1459333330 +2122524294 +391499092 +1837573120 +1955209877 +58638191 +408429172 +614929202 +1824405239 +1346942641 +1783721258 +225477119 +1762434286 +215745787 +551307795 +966111440 +209552979 +269247808 +1300596332 +1213709321 +1312713690 +295079332 +1930685968 +1508774824 +2133148058 +1295360920 +874149982 +507705858 +1518781186 +1993950964 +1370806267 +830630868 +1968991611 +1762305359 +520720340 +1776717840 +1820943551 +929149512 +244163394 +1497865142 +128608505 +2027884653 +1723342261 +1891042791 +96146792 +127166408 +709670583 +305699772 +396414217 +2010266915 +1519409093 +1709127907 +157862599 +1302611413 +1070419083 +143527010 +450488685 +1944569065 +651232868 +1969269872 +1791036382 +2022039135 +652417092 +1612544345 +1636860847 +1173137433 +1241778537 +1310320750 +2102286945 +1485941931 +660702244 +83411803 +1366342936 +236560858 +1974454594 +1462489729 +363727266 +536641530 +1768189501 +760141483 +399424797 +1140114946 +321785742 +557287397 +295242711 +1392204826 +700814407 +745731396 +1189290243 +1352047275 +567517620 +832842977 +1226602763 +1219934713 +297903674 +715979962 +245588498 +1539682211 +2026300712 +200391795 +878140495 +539519308 +283803598 +96999783 +776080166 +110774545 +1559489512 +1139807433 +647416075 +1180195365 +1899948916 +1046840872 +172826663 +74251011 +1604128269 +468069374 +1466455837 +157459028 +1213800771 +508262432 +1509506304 +1781318391 +1341105410 +588625419 +853769456 +1639009084 +1304605381 +1099357954 +1031207648 +1183422445 +1299749750 +1909348143 +1722941753 +1583553348 +2006347926 +351538272 +1694327893 +1418353791 +1491345705 +194260320 +451065508 +1243810973 +1241101193 +623892172 +1318061984 +697745814 +1091961546 +637034173 +855204843 +158278669 +1145296606 +217227499 +1939597061 +338918368 +805852918 +645882869 +1977927452 +2110458299 +1745240824 +861651452 +1146397096 +897506926 +623515947 +721855201 +333576626 +482380226 +1073393473 +2027904520 +1900734017 +417255530 +74681192 +204315877 +1661066504 +1315782385 +828208049 +831644840 +2013528200 +1920169596 +1468679014 +721249395 +2078448265 +466491972 +938476894 +1870561678 +805410340 +1744329812 +368960900 +635854144 +1707304463 +2114201724 +1497505597 +706217911 +864225002 +2121021544 +1428073112 +1197801628 +455918122 +353982938 +1078222500 +209168491 +771238468 +1152903693 +413484369 +284821324 +321202430 +1241692418 +1116466165 +187246982 +1014378366 +437661531 +908496377 +945342984 +904153503 +1846973271 +668421014 +1709563843 +1443819435 +1037381914 +197934339 +1003640250 +1004099990 +1695439936 +1709858161 +1868324992 +1668977833 +990447626 +918642973 +2124895955 +1344430564 +1996865473 +186580799 +2115669032 +1002285518 +600065168 +253006709 +1323487949 +1841757586 +1369472874 +1510734931 +708652305 +1807134405 +271747661 +1653995289 +563804260 +2118720932 +174932655 +125884455 +1415056720 +1212314570 +323818794 +271213322 +68930912 +2019258731 +1981071484 +1937255905 +1540752916 +824035462 +708415230 +1518165223 +20982378 +557797055 +1704746022 +2136651410 +1560082574 +157327542 +242174471 +736086875 +1999085129 +1611647345 +99338158 +560253786 +1271298102 +371085819 +66765427 +1835102362 +342323104 +241698082 +1960986817 +1757379824 +1454012652 +137321964 +2028593146 +1522943565 +9097047 +1862180982 +1312715822 +1549849963 +538732796 +2021131052 +920531538 +559715174 +431444459 +477793913 +548882937 +1991527033 +635121455 +791057408 +580130260 +486722936 +255221106 +679468419 +1046976722 +1526519208 +1050554238 +1113742149 +1214137923 +1392877342 +1355440232 +1027641092 +1002773518 +661969236 +1164963056 +883883017 +37429153 +1174060103 +598580351 +1350144975 +576426418 +1137313148 +1223792379 +1496957957 +1697028322 +1655236839 +1974751870 +98427611 +1499280224 +462389677 +889485020 +2079410485 +949112614 +1144706126 +611395256 +1996089336 +523741686 +1661949494 +962347838 +1737879609 +907343189 +170304422 +618037054 +1910116707 +832273658 +1783000110 +646516076 +869702812 +809576566 +1245096428 +72364139 +1386002984 +234925928 +1296156519 +735477293 +1931954250 +803909710 +562745515 +2030381862 +155706286 +1025135193 +772383234 +87633123 +1974247807 +1917089360 +699028379 +1822853495 +293347398 +213494226 +637717685 +2031227008 +1120837415 +808022107 +501780414 +883470474 +1640295766 +137296876 +1529986551 +362514930 +946873442 +627599331 +434879069 +185392779 +862525259 +1731035588 +920870072 +646995861 +387461650 +1483615588 +529894075 +543167937 +361267133 +1302277309 +630801060 +188031292 +1071883021 +1329829440 +2010884787 +1365230420 +1543323666 +501118825 +1248973780 +516677433 +1309140932 +1750754194 +1400147907 +801953050 +1888051070 +782650810 +1164467980 +687440865 +1410250141 +1599347050 +872833644 +125291752 +1182898990 +1793703716 +772287614 +1570360641 +1129835656 +1302181689 +2113528578 +1491102789 +456975351 +596845990 +1679134081 +1528858372 +1926675430 +1542535221 +746605144 +1322515448 +2043654046 +1995578924 +1839192881 +1205311330 +1598849470 +1091857141 +2007264381 +1339416893 +1874507951 +1024248713 +2026857758 +1137274445 +476112115 +752207754 +1262566197 +1659011106 +398427822 +2034853811 +1081888099 +1528263479 +1189551853 +1047933029 +871882620 +1646527204 +1644779019 +403533054 +1027901928 +1423970802 +1946068275 +1774507073 +599002602 +1842238673 +1622602349 +290711836 +900066355 +1073968172 +1382568977 +759847088 +265901417 +1109593280 +1784095802 +145275527 +99384077 +112724269 +897483281 +1361950275 +1771735375 +1295911103 +1249320438 +706139826 +676690934 +291388643 +1754072855 +1548573555 +1937915847 +1251368227 +1952106609 +818334128 +527855381 +1750691236 +445357553 +1126857983 +1445446261 +2067959902 +1417569819 +198028968 +994444426 +652655148 +957876057 +1260345843 +1762248429 +594488211 +1405621370 +1861632506 +707212480 +155621003 +1076099133 +331464208 +1451532107 +177935924 +1037604034 +2128223041 +469324567 +644193242 +1529312948 +259756767 +1895561469 +1333935909 +1078090895 +275933202 +937143497 +1523448448 +1402791185 +235106110 +1443924702 +672877357 +433135079 +290885481 +1325532505 +1391011136 +1551231324 +940297286 +1985499347 +809369047 +654446145 +545228179 +964990050 +1730545278 +876692387 +269038509 +1908481202 +1914296422 +249777903 +230322122 +411006016 +1779090851 +490078889 +159083837 +965543113 +1568169784 +435017039 +1902686610 +944134584 +1837808224 +2137792721 +240575638 +363201933 +423444152 +531461119 +1688734439 +1814455288 +2082692444 +481548077 +1652470987 +744577843 +1135994222 +50215518 +1709567893 +719055853 +926907906 +1978606403 +480053407 +693720680 +80900658 +710375529 +1104726696 +1859991509 +1200454418 +1263810533 +678050974 +621140554 +1698827572 +433253937 +1565275138 +1389152148 +423563010 +1805850777 +1752354082 +847007162 +189828248 +1293604873 +513978802 +125037044 +1775152950 +18966141 +869614887 +763663525 +69181659 +431699133 +1482719378 +996089565 +262821888 +1962772785 +1689810245 +343722546 +525664667 +647053293 +56230407 +1726119085 +1910863826 +734281382 +199775992 +1462207750 +1167535319 +1765051130 +703876251 +1591098329 +1423418259 +308746685 +290621843 +1613246508 +1602351558 +804600645 +1738283552 +1230020860 +823566786 +460414792 +1993684385 +892748445 +892113925 +1328920115 +1888838011 +1154935813 +1144209253 +1431164608 +1498658359 +1669873920 +2078217902 +1554888766 +1248509357 +1841598080 +141686500 +1448285349 +1156322183 +1309221819 +1065852832 +1860198434 +752836500 +341787443 +21461471 +1043458343 +1955033951 +1623813029 +1848058988 +1545833856 +706350241 +524142126 +2006248648 +552550979 +1416890572 +750878925 +1881471094 +1158244935 +1905814738 +878196699 +441925895 +1256989449 +400586971 +372660149 +664394567 +1649096329 +66774582 +806081068 +949898030 +1223096765 +2115302887 +2015750862 +935811551 +720655740 +210054658 +957273022 +1764114083 +17604961 +433602403 +1464689424 +1563438817 +1139952644 +1988831550 +1422203817 +1692503623 +1258238474 +25599094 +1426491070 +268999761 +1931413832 +157204121 +710925657 +1040919633 +557791093 +1083585806 +1705314201 +59403774 +1150360388 +363911621 +1009301804 +225973505 +331730860 +877569019 +1161785056 +1052386600 +1087623677 +2119058078 +669017036 +1105228638 +405176833 +2133706460 +521183808 +1545129478 +1975054362 +1943387625 +1090149453 +1085809189 +1968986720 +369156875 +1354808950 +1752916904 +526360997 +2065734607 +646352890 +1084152090 +1001836766 +204183443 +1143555864 +4713506 +568095064 +5374020 +230687012 +899825924 +882943039 +1392472068 +1952212525 +1970566716 +1364046499 +473745913 +928311707 +1769223332 +459968725 +1449495515 +1166869162 +287539439 +1245399492 +109534968 +1373348628 +1066902564 +478691843 +580673931 +672335821 +1005052840 +498924890 +1318688711 +2089204930 +1500761656 +1522872154 +1085277146 +1505475163 +2090967218 +1090651167 +1736162175 +843309494 +1973594206 +981150595 +648038371 +1796677275 +197713446 +1121784284 +577505334 +1966936779 +1581753009 +2027000849 +986322293 +1869292449 +1124916693 +1095857261 +1095157429 +44335610 +1574549105 +1675831360 +716671431 +432118297 +27272603 +2035360142 +373839580 +1528034259 +1410748648 +1459116726 +886025774 +1354232218 +402284245 +474704301 +50058064 +228394804 +1455854897 +698096436 +2025072079 +1653568343 +1819880720 +455093765 +1473021474 +1254150082 +334610966 +311860120 +975958883 +1459527659 +1407717381 +2071116312 +1503863269 +834782838 +1599464025 +73051052 +1266901136 +1626736628 +2108411194 +1640740716 +1007287239 +1371676194 +952373794 +1893313014 +578424764 +1354658040 +220533667 +628482829 +1583052844 +1676388564 +1326579265 +1460641275 +1182473260 +998976337 +1915735040 +508011086 +105642771 +102862358 +819871206 +1081601654 +1562390017 +80104940 +1005234319 +918769639 +914887778 +457214696 +991820691 +34305266 +2083951324 +952748238 +1675045982 +943754915 +176940784 +479936129 +689584281 +755365549 +1834594169 +910117949 +1383848378 +1270163365 +439022865 +562943995 +583320992 +1621496125 +1561920332 +351572384 +2129507212 +1667563104 +454434742 +801894770 +601681110 +2016824759 +881999710 +1606915429 +788110750 +1796887489 +2064130125 +1779931442 +1831192755 +2000597801 +585196032 +1358755090 +796869069 +762136816 +1838691219 +1486453350 +1517502365 +1525801740 +249087651 +753867095 +648481457 +688110517 +1316811090 +1231802449 +162122994 +731247775 +1583374833 +144146558 +251327231 +2037809575 +946041329 +853008341 +1907150686 +1828041039 +312440123 +547777789 +1477444880 +229086600 +180225583 +1161153988 +82200754 +765421615 +372425430 +879069823 +1527558431 +63633001 +218039525 +897577149 +1589434741 +467127177 +1651444244 +90432550 +1155237694 +820771687 +1322234999 +1317360688 +1552019462 +758126184 +1461507247 +1803346693 +648452111 +260064928 +508871386 +408119149 +2088105967 +821311509 +955896938 +1418067200 +1050398110 +1136122521 +431737540 +1132598864 +1901544136 +804162970 +2011668687 +1281618920 +867795971 +82224564 +31712421 +309747064 +549351741 +1683156665 +400179614 +1704589435 +356444704 +1722414613 +874466476 +1908464166 +333057149 +188490075 +1564327211 +981509260 +448555003 +2073198598 +1389628409 +389177322 +747026459 +198041700 +1807244522 +1797424569 +1334164221 +91498414 +782539785 +1088224710 +895661384 +646724824 +222359982 +1763457355 +728949389 +254072403 +2073204419 +1278301130 +1937229068 +325900385 +835406918 +146190125 +2048314998 +1709873394 +2054654291 +233888499 +1898363469 +1471497855 +1215397759 +199434824 +1397212805 +457542521 +588612146 +2144239264 +655584221 +248373021 +1794180186 +1989748442 +339871435 +429236323 +930489504 +1235532820 +1075961148 +1152849486 +851506527 +1804910537 +1406921889 +777227299 +935728019 +1196667310 +1103127684 +1771134937 +1342857435 +1003959035 +1333524683 +1250028078 +1237847534 +1084404504 +574042285 +305761646 +1283839328 +1971255090 +763304167 +1872451475 +1968010707 +1418888388 +2120824496 +1614707245 +1261153182 +313212283 +2043943568 +44159039 +1548745103 +972421068 +1197008525 +252767983 +629847957 +456446767 +1029995282 +1565575977 +1653114077 +2133122966 +1189227266 +848487864 +989598353 +375268302 +2098515942 +79962240 +1459672806 +525074580 +385723886 +596028487 +348846022 +1149028053 +320996314 +169373081 +420432793 +294337162 +1784080326 +1681585975 +607549445 +1680540247 +1725745014 +8810901 +505477667 +775269892 +261578884 +1135325625 +1231716659 +1291574166 +553417954 +737347088 +1277213484 +1742645220 +1585834952 +119328190 +2117913522 +1536867246 +199290430 +1430102681 +2061941826 +585014316 +2026131168 +263304201 +1734042369 +199643834 +432677282 +6991514 +493980996 +69273961 +1688577489 +1101530441 +1749814208 +1266838856 +1110341342 +107808227 +2042108748 +1371920226 +1243133852 +1126341759 +516010744 +1796551806 +1863688847 +1793224229 +1391713379 +1302040151 +1912552419 +1362143253 +691423749 +2111842849 +644762286 +605881928 +549373517 +523409806 +869186129 +135932238 +723053640 +1301863411 +142923752 +1217034636 +1371137372 +1831501241 +171081430 +973467932 +950856449 +1281422772 +1081276160 +845481549 +505859351 +176926364 +1971823308 +1021870095 +1973478171 +1688028507 +667610676 +1217707902 +842585010 +432679447 +432367507 +1534008760 +397038648 +1077129794 +2139890688 +946412165 +1600539600 +861593169 +1082344403 +176109593 +15972932 +1225268155 +1393144229 +1387110305 +909285749 +1564225659 +213094589 +1860142198 +698164784 +1294370749 +558140100 +1204024135 +1471297114 +382479760 +78410582 +1297291637 +2070508268 +746021259 +367515891 +765609630 +1178700706 +799883398 +152134742 +1575739355 +1877013192 +144541782 +374667872 +1330069145 +1006134951 +1457012276 +1506178738 +1022107884 +534796783 +751839319 +261734541 +1444082532 +168581331 +474829130 +1156741083 +866746115 +1769199880 +1714881183 +2070770250 +1093013346 +2097360943 +1697184 +242821335 +2020385563 +747718443 +610337226 +638511546 +1926419150 +1410220624 +790646288 +1354674857 +1139750169 +935188071 +1729342729 +322335666 +1941323022 +1038871357 +1828514404 +815947258 +1573668141 +432870075 +1077681799 +870267025 +601451406 +1552510930 +2027008108 +1468197521 +1174227162 +1594405643 +1391484123 +119756860 +1544282939 +1393181308 +362578195 +1417184854 +2140899751 +972915421 +2055696400 +1919835253 +235652397 +698859041 +1127026462 +1375402566 +1634047112 +708885544 +1697738232 +1427886486 +1747756901 +1378768988 +96350097 +1173941394 +1811639064 +1174031896 +2044208420 +265606822 +579059178 +1923732880 +1733804344 +1753286340 +1370654876 +977804819 +1873043200 +767454167 +223502479 +88137747 +37155373 +216918583 +1061053168 +2092851774 +2136753836 +1296705566 +644227167 +1116296651 +524624484 +130790631 +1825182195 +74879069 +1558677117 +1425455448 +1453648057 +1655027214 +451913195 +1117803473 +681575463 +348637967 +1383410296 +1260634641 +124887199 +969730992 +866437334 +1495542075 +1947535811 +591996886 +115512594 +23554643 +680134634 +152667968 +240473226 +1741187802 +98036094 +229743414 +890409720 +742263261 +1346040065 +1415034205 +873053892 +1023738612 +1489913274 +284247361 +301710413 +796077683 +1939274576 +753623608 +1913881157 +473366391 +1102261575 +1149807805 +1734001032 +1227148774 +2119538797 +452954718 +575207202 +1919590960 +1044951605 +690719796 +1943145603 +1725086239 +843387764 +36135181 +1318790393 +941423858 +265878596 +61716466 +1683687119 +1611918661 +1476750671 +409257363 +488173626 +819180297 +693504725 +789884039 +1615257980 +485295653 +1543507647 +1381655489 +958662044 +498285574 +383979646 +545179428 +1725434348 +356034795 +998134147 +153157902 +128142108 +2043085752 +843877699 +2071287711 +1620688343 +1687265463 +2107422893 +791995088 +481205674 +225817841 +853711554 +17409145 +1837736502 +182978577 +426666509 +178426480 +1002158874 +1120171234 +968310519 +469933207 +1605466887 +364334518 +1851588696 +416645283 +862620092 +88084695 +961824711 +440570793 +444119490 +1959958858 +593728695 +572261598 +1855560962 +1437606394 +496065662 +1328765657 +977388210 +456004907 +2120760746 +1458593884 +681822748 +826988652 +1476003029 +372075602 +1009967230 +1902669538 +550502083 +2012126104 +875357124 +1518812602 +334575663 +333340363 +1883147121 +38680712 +749985646 +598283565 +126765407 +1711810358 +1038854358 +570884897 +1524285568 +1632583054 +1143146496 +1232362883 +922705800 +1639212158 +413644892 +1900094010 +2095217065 +386921990 +1211204246 +629556165 +1213910643 +539723628 +1001631767 +76394225 +294909518 +1552133850 +2088520329 +1170266643 +923462805 +275612345 +1503607006 +659126278 +314293057 +106109005 +1257409843 +441058464 +1817919363 +148780554 +1011943361 +1194721283 +1781363608 +7606209 +279600518 +556585760 +1646818367 +693245411 +309196123 +1594551784 +1080167401 +1520400369 +76624301 +146594396 +2060123997 +1078256069 +222988621 +207549868 +482906271 +164025303 +1377816511 +1406369076 +439637648 +733939869 +2065495354 +753930705 +840048874 +1175421550 +1194989169 +510484589 +1324202104 +59448882 +1705205873 +958082064 +67055092 +1984806391 +1514667824 +1713873459 +530568154 +1823863947 +1160941596 +1610735556 +1196780669 +1237565897 +1757329952 +1109421018 +168338318 +1980318574 +1316970886 +651244590 +2144343877 +547303749 +2057613666 +436497877 +1281243619 +1975625373 +1190428582 +2121292493 +1003563275 +237934103 +484293435 +180281731 +297382985 +42015660 +1138363795 +364438077 +2026822051 +505547971 +2078311537 +409906558 +181928271 +1091769485 +2020642114 +1378708940 +181851734 +1630488418 +340646310 +350190053 +1463323344 +1657617197 +1001434643 +1460183573 +57437298 +911564661 +1896681450 +1338680917 +739706386 +939626384 +1312489763 +1743269661 +1177560487 +1796783198 +1923551392 +1474943473 +1838798858 +914431539 +1839381550 +1718137261 +1419979511 +1770209439 +2128043819 +1601907782 +714495276 +2001202285 +833133074 +896347011 +1484207056 +1173779384 +1246537064 +800046752 +683912933 +100488059 +112746678 +741350232 +1012052720 +2009428128 +2080031149 +1751759107 +801570865 +1245037264 +1347545120 +1979131352 +894336814 +1123612865 +1306591177 +585652024 +2038044404 +998489080 +156305638 +1310540267 +621214871 +136865809 +764964401 +1335710148 +2138068095 +1598097475 +84573511 +1474791503 +624393212 +1331110575 +127354607 +1308306145 +1431598634 +240101285 +2049656377 +296167706 +102045766 +1982203879 +2047926813 +903616631 +1079757495 +1247988286 +735264335 +1974094310 +224117503 +2041855513 +412262686 +114678259 +892860945 +568568324 +1425218527 +1514075816 +705434134 +42699280 +702302316 +696018581 +1640796756 +786875827 +23326436 +117706320 +2117986402 +150681043 +1426012465 +1402101388 +390782329 +1328185195 +1698269095 +492828095 +1162905426 +1598712260 +1396444726 +95179273 +699216898 +2131709061 +2069273583 +923334401 +2026080926 +334052622 +1038012661 +771458223 +902620946 +315747540 +138050392 +1608055080 +358446820 +840352708 +156590013 +1999243576 +1627228536 +179916449 +2116949896 +1597731290 +330597493 +1395478714 +852349031 +721379822 +576180261 +403134478 +1214207917 +1739085687 +2001846738 +463168995 +1834264960 +553579989 +447394408 +1756054896 +1476914390 +325991687 +2090107518 +367443403 +1097449910 +845244816 +683190943 +1235500302 +305816249 +1041637764 +2075853011 +462406262 +893397692 +1555597899 +642322712 +862863941 +1005845541 +972920205 +110859007 +1858194572 +1694300027 +687039268 +113845402 +761024296 +278641307 +2115692141 +1224193291 +2112906267 +521788482 +1671587699 +1721477515 +1998702872 +1997579386 +1664101385 +218662628 +947545649 +361862554 +901853571 +35562303 +667678803 +1943491335 +2111415314 +1130085065 +689405380 +1519529565 +1772407777 +1552269321 +377891459 +597844334 +1663128328 +88602383 +144660713 +202683948 +202447786 +905685009 +481325255 +170656279 +2129878300 +446747874 +692444761 +1653982352 +20741742 +543663985 +1504078090 +1684843127 +762326613 +304140091 +2046705681 +1664180185 +339702395 +566900836 +1460187872 +303634061 +1696985902 +2109604 +1823163627 +1321910031 +1554378925 +53571438 +1919754366 +1070023605 +142173821 +2064415079 +1272707553 +344621607 +822616441 +1754032808 +515277886 +805011093 +53297035 +1207722647 +311509797 +74038777 +1751386633 +1815587888 +1758881904 +366229598 +2119727979 +1658103938 +2030409783 +311946726 +77521126 +1343114008 +615580788 +1774507028 +1345223612 +291260767 +948933412 +752118890 +344832205 +721204130 +1822142495 +487006026 +638135561 +947366401 +831627634 +1460752002 +553915561 +1346905520 +118279448 +607212596 +407144520 +429789245 +681251373 +11047505 +97893485 +292649630 +377277103 +70137817 +1950753568 +260203239 +382084543 +2028274694 +1603317247 +997665331 +1655298075 +801057211 +1288926098 +456747839 +1553176101 +1633758303 +1177951969 +1227834949 +2120764330 +1816087530 +27717702 +804908316 +1129355885 +581633263 +4330188 +1247635333 +1188845860 +411474708 +1677424578 +1870097233 +422522213 +1775318064 +15263215 +799799317 +1845455881 +1966016783 +1060002556 +80056776 +1846807830 +515836155 +1077722108 +1354622257 +1316893366 +219164558 +1811370096 +722585820 +1852922862 +841838417 +1950420769 +1826203544 +510442299 +1978138471 +483628212 +1639798184 +412288086 +487958400 +739949869 +1601133946 +899433109 +269890800 +1323747532 +1321955322 +2045208864 +1339010747 +2121754639 +1743181097 +1157543883 +1034273547 +1823237873 +856868065 +1550109702 +753476333 +64006674 +719519421 +972640892 +1875376770 +1442105241 +678080106 +569731539 +1245042362 +356800002 +1080173838 +1075697185 +840428214 +572488375 +1487985271 +1328386614 +1312438244 +941635570 +80336075 +1582329044 +117899454 +1402291398 +1480054260 +1456910201 +1376562389 +1075751709 +466970436 +263352289 +751505935 +1323838501 +1813461991 +1504982268 +1387845175 +385497764 +330139512 +1115738297 +1827603005 +1008219618 +1685469836 +925161719 +1365019620 +618160027 +2000858904 +57964186 +1190648402 +1341360528 +1386350801 +355602998 +135512450 +1466686876 +1937932043 +253411904 +721494626 +1270502655 +1710322105 +2098057016 +198770717 +29808894 +213925657 +950276652 +1353647395 +2027387648 +307775272 +594008923 +265401765 +637914785 +1709747220 +2093004770 +1646134403 +1247733409 +870682842 +863670376 +1865893436 +724058098 +921634562 +909058190 +2065418626 +160501715 +1264661188 +53447428 +1627188592 +1055109583 +306859332 +201199570 +178128591 +2017181438 +151772938 +376899308 +2046990332 +365698595 +1327175960 +1253154079 +245602596 +1634951232 +1847163002 +511004361 +125382369 +1409426575 +456525483 +1771516773 +509676336 +1327208325 +487703501 +228086124 +2051266424 +1409338063 +1137144314 +1969201402 +1569839779 +254321854 +2022648831 +1049544723 +1309431438 +182024515 +1250744293 +1487560029 +51722305 +1402517232 +1864459337 +2098712637 +1768215827 +1044151649 +1204383069 +2013818423 +531619233 +904062423 +377339136 +657001603 +166005350 +833864620 +281034728 +675681686 +13589297 +768738229 +903767810 +2064855721 +30592644 +2040912124 +1886573476 +1600432423 +147750331 +1761738659 +502493498 +1457181769 +1943763174 +1753237792 +797258150 +1995485480 +1008271376 +514233839 +1946714469 +629003555 +1558385488 +1003613890 +495338331 +2090004721 +1907676314 +872677467 +599522676 +2073681664 +1706542087 +880557404 +601879703 +1720131385 +1649295633 +1505647513 +1637503458 +1679888278 +1399075990 +1376593286 +1132837053 +1546826321 +990848297 +1635330552 +856524442 +787127824 +1241084696 +1653782592 +635129656 +101872424 +20532783 +434360477 +730875979 +1578918271 +1437974368 +1226214310 +1521439344 +1198167034 +2098891778 +2120962021 +1124365050 +1657950217 +854035777 +1726244753 +1230597954 +355847763 +1084408619 +720617765 +2035736041 +336000961 +2097211051 +1021089446 +1882827282 +940575701 +508936350 +591868076 +1727703525 +1750021046 +98167020 +215349533 +1851893470 +118699803 +649710010 +435285802 +1697618074 +2087684378 +1661500112 +1071573770 +1138367764 +1612908242 +1045052143 +115249167 +1123374812 +1899087921 +1841493920 +206489118 +107452036 +778418891 +927106883 +2143188077 +1114419852 +876834287 +1016793875 +849763486 +1817409988 +1525730226 +1441631562 +1397629865 +1128267624 +1539798582 +1612979398 +832677447 +1658498385 +115205760 +1267963249 +1208632811 +55406491 +781979713 +132722934 +1193774255 +247404308 +1177775077 +1309023422 +1370779120 +929379350 +1003033695 +1577268238 +1036831386 +1781452586 +356891474 +1032535815 +748388791 +1233725761 +2049329691 +1598152277 +903652101 +1427576269 +892300192 +153798318 +408360245 +284615126 +1766777716 +1241037692 +1943113512 +1881983476 +361517293 +1004262675 +1937389967 +1143497007 +1136985609 +983680575 +1390901315 +167277039 +145220349 +614196787 +1096656389 +1148254044 +43981377 +2133487776 +782222983 +400872851 +1018539943 +1530611774 +1634598612 +920385986 +981280403 +390767065 +200478607 +1873580595 +544565383 +608838853 +10712074 +163859451 +1849876545 +1953825586 +2045842928 +63910191 +810604613 +1835749247 +1207407198 +1947590223 +671946174 +450824865 +2114867262 +817166524 +1065021652 +1064040003 +1965420568 +1109003029 +1050044131 +600159903 +1509875881 +2068584075 +2130771677 +996990845 +841486413 +964568433 +1387757911 +1041965021 +690665380 +1932323294 +1650803874 +701377454 +2096182746 +1353196771 +507719392 +1994542026 +1417106962 +1318324006 +1682807625 +477030512 +1118430581 +207270152 +927855377 +1085814195 +1024436676 +1992877029 +2370550 +842373596 +954396411 +1052414682 +1442533500 +316788644 +973515109 +1425821529 +1313779489 +1815001522 +242906314 +554053752 +709482895 +933571695 +338893399 +212803121 +1634949149 +287592497 +1565999893 +2142668542 +134650875 +835623207 +1313508900 +1817458500 +1312653720 +284455833 +2024728652 +93025449 +1370270028 +901681680 +2085902479 +1372640578 +1744055277 +892815242 +277571612 +1039105129 +1209603886 +1251086721 +317443010 +375899727 +918604596 +560349325 +929953480 +1628087491 +1493921020 +1268846879 +1840890613 +981386521 +1556439376 +1259406858 +976571415 +1691090251 +2095030065 +142596667 +1361065103 +1260200137 +427052500 +1238310108 +1353225587 +1797322528 +2139991788 +1291644418 +1022479459 +1736563417 +36976012 +1300051071 +628184898 +1246579898 +403654145 +945627909 +1622479625 +1322258741 +1505977234 +404949457 +802862584 +852414606 +1673796336 +496269549 +1833801127 +1082752064 +1755676407 +662888895 +626358667 +1703222825 +805485562 +1987423771 +815939314 +1232538063 +1078250231 +21681253 +882376943 +1070758371 +1313325671 +1904856402 +659838141 +1350301683 +1057423826 +1288023039 +449397933 +1461077971 +86167300 +2071877559 +635853064 +1592144534 +329343368 +1438715648 +297075492 +2003139705 +1934985198 +2130876620 +938408121 +1543177957 +646281867 +1564766789 +1098917134 +1451767429 +1404706912 +1914856449 +536821844 +335473495 +1936537702 +1419198788 +1406231866 +1102379726 +1176571542 +2066070007 +305197761 +86511720 +1206609399 +754595695 +1547589691 +1292776699 +678989606 +35959107 +737437586 +1008332974 +1474674756 +1034513078 +863989031 +1262176306 +1017906050 +1802397153 +657870615 +1664187917 +1219680294 +1756787750 +968471699 +476903558 +1524160551 +1505293543 +812377053 +1313214605 +777008683 +71125271 +268110683 +1953580226 +2137195279 +573308445 +2040091946 +1196321030 +1327904140 +1440197990 +341614081 +2006893746 +1476157097 +1079051667 +867743072 +803348205 +2113564746 +1731732104 +2065524511 +983987148 +1386645609 +575911479 +500691418 +458842255 +185215581 +1469163117 +935745813 +1709376132 +826973012 +1748122866 +875107089 +1603981696 +1819248137 +1143217773 +1410078274 +1808959768 +1716526218 +1302686572 +857797150 +896946710 +595400914 +1199411232 +756356808 +2071558012 +130979251 +1624099880 +727422569 +97060349 +1208348336 +645463433 +1081047498 +447510297 +1221374912 +1581738916 +906352552 +1406590493 +903418385 +1842098365 +968482977 +1730391397 +1442737583 +1843590066 +1186889445 +1114502073 +839324191 +449484071 +775978193 +408366761 +1752170644 +1633775344 +1305313471 +200087910 +685702928 +2061670279 +124162274 +816682179 +1538286512 +851584844 +913742529 +599151200 +1497048277 +1994790027 +1046661498 +570939541 +1429045295 +1953014050 +1977530034 +184980032 +1647628768 +798529363 +1915371429 +942882703 +494635781 +954777227 +2057384776 +1333959973 +1404261298 +685879322 +1742326734 +1008948294 +172171018 +900156558 +1209036205 +857873946 +814343189 +1333198479 +1674556125 +205146053 +37299675 +440815006 +804297254 +1534347952 +288121385 +1850958752 +2105287493 +1717166680 +1656489154 +1935333879 +1902146712 +1156634274 +586379594 +1670034494 +2099516978 +1081015376 +477328073 +2009418106 +267491701 +1881589371 +547813780 +2009818435 +743054018 +719984798 +762491345 +1952090223 +1577858744 +1576834535 +1137805054 +1104931222 +1781980588 +1175104730 +1545746228 +438794194 +561969034 +1833867614 +142269298 +519772880 +1403550646 +1798758453 +307623111 +1158213711 +807909079 +894002706 +680764557 +759942409 +1975018082 +1158092630 +621876868 +95026135 +892198353 +1169690648 +2104844570 +1635252371 +1889675447 +719852268 +1439858946 +1320050543 +149203155 +430180353 +277498117 +1931183743 +1605285083 +1823244346 +222494290 +19770469 +1509628312 +364763588 +539543349 +765695310 +16038393 +847166461 +1923909021 +823947473 +1741169167 +457189930 +1583889882 +1568703601 +1615282560 +58283102 +1663729736 +359997266 +1227973751 +1621090658 +1995249637 +970165550 +193459278 +1287624936 +142732445 +342662433 +1717805289 +420230563 +126362529 +1175606724 +95991261 +348856819 +1195377193 +1605619573 +713620407 +1734920543 +223831235 +729658801 +434603356 +256609 +1553606274 +28288875 +457446539 +990012508 +1596992476 +2072729100 +1048295611 +1113238564 +285242718 +128785714 +586845574 +133008707 +1098951264 +780304853 +1420633643 +1241683709 +1122967286 +990955284 +1661914272 +1249329815 +19078360 +1757905533 +1598186634 +1214455554 +1216041458 +164323394 +801892449 +1439872694 +893982195 +1236495805 +1440129303 +300104821 +1264784680 +1897575842 +1290117329 +714293508 +1822821294 +190929292 +1827532072 +2108064012 +319715006 +266893998 +93589072 +1418666270 +1047198851 +1514222715 +512866332 +22682490 +357694352 +27296956 +1272012305 +376772712 +1785202490 +722715292 +1591228266 +853760300 +887038686 +245637067 +146149346 +1781020881 +1482132872 +1586278649 +2081125702 +599433904 +1336370844 +1223759383 +1313727412 +1011708490 +1414688676 +993775836 +972288855 +1734403682 +1260669835 +1065877927 +1005586305 +160385038 +432616994 +1518452637 +183067528 +790311346 +1545749593 +1455079834 +1167084059 +1183468435 +30311478 +610828677 +2037228736 +917350164 +856465745 +35894434 +550887397 +191114969 +1622173084 +484529451 +790548874 +811060280 +1708288834 +2104276286 +1822768770 +975493862 +950568475 +647573977 +562413897 +63754662 +1713451904 +1568000202 +224139700 +2146068899 +938969191 +407207229 +788896597 +337235136 +1862287063 +1955980656 +1520703572 +1892598541 +419325686 +1410448660 +662465057 +1275791431 +1446343094 +1213352454 +1466906400 +921032530 +1697881905 +109971626 +1732092810 +1258687091 +66764265 +1407377933 +86697306 +1017332740 +2054951910 +649111203 +1081087402 +1620920167 +69627757 +1305227102 +1619505418 +1008596948 +1712434331 +260918367 +1345832084 +1427237746 +69415376 +719052008 +1172352639 +488741062 +2129500668 +1834817696 +1764532493 +1428360115 +900686502 +1083955245 +201908997 +451084759 +1193926872 +1934001808 +1709771851 +1260691137 +1193896093 +1796469157 +130540229 +1101364355 +298096712 +1211627631 +574800874 +367724469 +369371085 +46822644 +1376321417 +2081805417 +307741012 +574669853 +1361559515 +377156388 +1293721862 +386428507 +865897450 +1275738882 +73762555 +482946295 +556615349 +974449058 +1566901540 +758524347 +1425533817 +613344764 +545042507 +987822020 +1874035901 +1738938600 +636807529 +2004576130 +692819307 +934904241 +1068720113 +1267620182 +1302628710 +1438091199 +1314442826 +531466479 +1372412968 +1622183838 +1106136333 +586488835 +1999340226 +252374547 +972917342 +717754028 +1528113429 +1046679898 +1200700323 +2084728779 +2021128956 +620118216 +695769478 +1299179125 +1233462980 +1240811985 +139517498 +960015234 +832266937 +776325027 +817107716 +1525086244 +1711229269 +1885827830 +645222778 +866374331 +1176435381 +1959665605 +1397840811 +401364701 +1434365795 +356493496 +987853536 +1286222374 +608868043 +1960770879 +2003976402 +2136981472 +859967129 +1057193078 +2074226603 +733612437 +1677311294 +622512433 +2032791562 +763290626 +1863324418 +24825412 +1723305860 +548107707 +801150440 +392929929 +2073193952 +364896061 +131274111 +570933082 +1231270392 +1307709492 +383115039 +481627555 +1709074193 +1817480835 +838121051 +549444081 +956219561 +1446989094 +362731312 +812712315 +1436486919 +1222698441 +1869905393 +1363229874 +1956310878 +1399733039 +1985742308 +1841618793 +15540018 +1701583078 +1866444205 +1738845878 +102207138 +520110997 +2131775807 +27917442 +885007058 +115566270 +598850524 +2116277451 +1423275762 +981965564 +450421358 +984866307 +651962751 +1288542410 +1534310389 +1608182312 +588047856 +1897041701 +273410979 +2024534775 +972256495 +2143316373 +1240281002 +781083725 +1395565764 +1078539662 +475218870 +1411105782 +632639092 +194179428 +1002468013 +734846230 +714290425 +986760172 +762763672 +1599297484 +1102326443 +1361614197 +1568091287 +378118557 +196096113 +2018512645 +1362984865 +848058864 +1159571407 +749811606 +308757528 +1747619264 +499369659 +582168507 +1624670391 +1471626154 +578001232 +717467745 +105226232 +1973566997 +1796007407 +580445102 +1237189131 +281162852 +774624530 +92173496 +1016009082 +1488914956 +1078933669 +1778772755 +940728792 +33776464 +992903304 +361336431 +411895021 +1188999417 +232365428 +1774879886 +2037058281 +1391936836 +377207844 +198332161 +992072452 +876577504 +780500668 +469259195 +200720010 +1358501901 +1186726941 +305946242 +1184585250 +835250700 +886391345 +274290733 +1116413552 +1661015875 +366464230 +2132422635 +1002447183 +1445397899 +1763711742 +1943175975 +1479174363 +609131398 +157028758 +1891069384 +1798130815 +389394187 +1518465623 +1687705448 +1781331023 +1895673467 +1886037609 +625919827 +624767323 +519054629 +1095179022 +825487334 +1877556530 +134422315 +1131433576 +914658132 +969673016 +2017824921 +1188948866 +2086086568 +1531357149 +1555413096 +2071025555 +386320684 +853327347 +1687253649 +182013012 +185018062 +148901399 +339041770 +2076087446 +1947032214 +728435957 +1447069421 +1487254014 +362283332 +1195259241 +1225807975 +988203159 +1820026564 +1744862605 +2083382182 +498030250 +1474935487 +70320849 +1629463827 +242109972 +1039993865 +1499805100 +1431058838 +978596786 +883678601 +838988286 +902138693 +1269999286 +1692315633 +441908695 +1452012298 +1877333695 +590810094 +1791054068 +1805937493 +390358661 +372006378 +1105523267 +1877612675 +734289710 +153298860 +955937003 +1722492870 +1973325424 +553315960 +1658391404 +323872027 +2028251447 +1728712253 +1953335854 +122877771 +621222471 +1305657306 +1553936609 +1599819257 +41852260 +245441247 +354474302 +1311851546 +1937756880 +796382997 +616380196 +1667606927 +1387193092 +259950616 +1326060773 +1777551753 +631956994 +284100392 +1507680780 +1366246705 +437399252 +316134135 +941255927 +263241028 +869450095 +452163683 +587113055 +750217895 +33392288 +392965261 +873095666 +654614759 +1698622568 +279548628 +106950368 +1740474828 +524989875 +461424671 +904842726 +315263108 +1257807668 +1521222922 +1982870035 +497517112 +1781173538 +1161447160 +127585217 +265646885 +1445547552 +1635265998 +1631893590 +1882946804 +1951400133 +425665869 +2146187833 +673366581 +877829552 +585817240 +1423584476 +911221840 +978782502 +149196494 +1565836600 +529921422 +428745122 +1672786968 +122912602 +953734998 +2134211639 +1027755328 +1268998106 +1244535660 +401494602 +1104384493 +1742052772 +35184492 +118348006 +1869637990 +300831377 +1563895558 +1357420340 +1932724967 +1299358715 +1161336825 +210907188 +1298062900 +1834703406 +1088736740 +1883880140 +1110804234 +1999958581 +715178994 +1260000729 +1418311533 +1245100416 +1688745851 +943614853 +1368013018 +494997201 +930342845 +248284698 +1763995307 +27394857 +649779300 +720896153 +1769447629 +684963793 +839244159 +1491601971 +985795170 +255656069 +701538663 +771036490 +1555014784 +1862875489 +981943678 +705594036 +1550095247 +2070680419 +441990529 +513415834 +1923155352 +1157169523 +1773416563 +1193983237 +254786292 +1314678766 +2137598090 +1622799310 +1809675968 +920457287 +1871084009 +1426187627 +947852144 +373379661 +2147083780 +569816126 +1058343454 +838844291 +2061418097 +2044138625 +1094500361 +615473113 +667691467 +502031497 +330864954 +1649635145 +1207625534 +1880960201 +1572831916 +1649616063 +246892387 +1348503620 +659301938 +2020308950 +395003209 +914088230 +1187504069 +385117652 +389403893 +849696389 +1305574939 +113004254 +128400368 +105943436 +486383915 +128000501 +675759562 +1544727370 +966844792 +589694011 +1441382347 +2061345153 +1205167124 +2109073814 +415893003 +1536032078 +1611225311 +1623518537 +1269508632 +1036573580 +1125650952 +1516401019 +237593552 +1784952890 +1389226322 +632596762 +551557473 +429246743 +1017714414 +940961366 +1278943132 +175805705 +1053965620 +1407343500 +281749141 +1540349535 +1535344001 +957508703 +937593257 +354705146 +1547202715 +231491956 +268566651 +604886191 +193082122 +684459654 +2140918270 +1804307434 +160494543 +1262943254 +693397366 +1286145495 +631860625 +930990918 +923614738 +2021086947 +1563587680 +1475172211 +302850042 +433818446 +268649929 +1581793174 +609624152 +1322615549 +841653027 +891373293 +715481436 +229513380 +1848881997 +1653074694 +584218526 +1248601064 +1884566650 +852785178 +1853487255 +2077648773 +1537244832 +1846921877 +1734472559 +1697739376 +962381483 +280386277 +836401223 +1594242109 +1211377195 +1760015961 +1467845408 +627481228 +1087704524 +1770695451 +1061299674 +1356354453 +1205004977 +1670923826 +531486354 +2046658004 +414813472 +1246967791 +128687737 +116211821 +752558837 +712906263 +1364812885 +489641839 +1565691441 +1070816492 +419806964 +955452626 +770254722 +6795875 +505708354 +1732636205 +287182152 +1342109577 +1179394666 +1498559348 +954641891 +499756427 +2126040576 +2042346415 +122968230 +1039856602 +1251217221 +1327973207 +563296781 +1782703575 +1227147564 +978110253 +882187718 +1355835301 +1094322074 +1634746555 +2068741564 +311651311 +2124388395 +1486949358 +1382467803 +396711711 +294918336 +5238877 +403507587 +800626690 +1737875083 +690689739 +2142736267 +769786101 +41765439 +949894510 +1269542528 +20322367 +844757278 +1392510758 +1060178970 +2095974499 +573000318 +1623475751 +1731194426 +1800147882 +454102356 +465898497 +1008499535 +1548424430 +2100645052 +929757451 +1860075741 +2077549799 +269223161 +1095059896 +326777863 +564141497 +1100298774 +730285450 +1364768187 +690690209 +1420975189 +1360020807 +1460476310 +1462740629 +162431669 +582535191 +1483062996 +1007188947 +1975045949 +395758318 +955679798 +400562619 +2019234069 +539390577 +53226853 +325852777 +1005289074 +1061726388 +1874277207 +958450478 +1991483840 +1586869300 +888516630 +113223353 +534445549 +1215294493 +677364851 +1634744323 +1945579943 +2042133038 +177950884 +1219071484 +1254670197 +1638427194 +534328465 +1417101867 +73478737 +2017391462 +276807166 +2048524687 +265666132 +1232486965 +301603658 +137416554 +1771877542 +354830512 +463269331 +629682968 +1416556900 +190062891 +1588133446 +1260557092 +1776932191 +329166428 +1373780446 +163894092 +1544460921 +2051145297 +1798638415 +1342557216 +1945794687 +1976589299 +414145053 +1052981237 +1467532846 +948473518 +322599456 +1541011583 +818381332 +599406622 +1442052622 +1084047465 +1831893587 +1743656281 +1221464019 +1456287481 +2098486793 +1684733350 +2085970449 +1367560045 +1874796241 +1526620248 +480633490 +1504244785 +1855786676 +1854413936 +1668138877 +1252763950 +1758075585 +1319293645 +447837518 +1556386624 +1148399296 +861982571 +461884213 +468448494 +1810456090 +784483669 +2009460078 +481353774 +1383890292 +1304029052 +1565401239 +1068300231 +900201685 +639381610 +377104065 +851204830 +176631313 +315590866 +71281228 +2051427554 +1842211114 +551914718 +1408188691 +1550514143 +258845006 +928843921 +655794445 +2016920591 +100653918 +1103631963 +1425823567 +1249053214 +1965614535 +1887707781 +1717501709 +1628586977 +524707802 +1579478139 +2109940751 +1908598094 +736023543 +1527858343 +829414678 +1636225229 +19756305 +1206518743 +339946411 +196387618 +1522109609 +411227639 +100331525 +1216837076 +963142357 +1508520216 +619867571 +1221987363 +289880489 +1275662016 +1091424306 +390534407 +231810331 +369764226 +1639587622 +49941218 +109988359 +1209605683 +1678528195 +634696161 +641600174 +1640985299 +395810608 +1377623717 +1021359994 +1225225286 +866365298 +1041116299 +284260381 +1206311710 +1237503918 +1806369990 +1617539349 +1337835443 +875723418 +433198059 +698872011 +1495590989 +1655185422 +988752501 +623769357 +599126081 +1379286908 +855579689 +968890307 +871390882 +905520907 +1078878666 +2080996565 +436565455 +1713574827 +575113091 +2077550754 +2109385435 +1952736809 +951427100 +1187127073 +671618459 +1992543399 +1471387454 +1877930169 +1082563669 +1130273797 +1347985871 +272915464 +2005997215 +1781183930 +971787476 +1354104557 +1288885704 +1960539977 +1977873914 +1888011785 +1192343237 +685969955 +709418444 +2063734120 +1591490863 +1788297110 +1997247037 +2028056318 +1354388290 +424876481 +1958123424 +1316290077 +230129642 +762066876 +355933503 +901748101 +607126627 +1827320957 +632194623 +1689690297 +810111106 +1980180494 +1962605761 +668624674 +1613880776 +786909589 +2022729231 +755282832 +599965918 +1853119497 +495810970 +1792309156 +391605805 +1205229414 +1708559628 +1983096668 +846042877 +1558323017 +1863669338 +52947519 +1983199498 +1674309114 +1369237596 +65845492 +288892342 +1725171099 +967593594 +896018969 +1405008409 +1599788217 +438225618 +67635867 +1432485063 +253347732 +736260541 +898882191 +1040257321 +611506124 +1654165023 +1640223240 +317141974 +2492345 +1285048748 +708747779 +1207721760 +846124728 +544360799 +2053764637 +256964097 +260546489 +2106712156 +92679948 +1934855603 +1328466104 +158525440 +76264297 +906153556 +1126119034 +972283266 +163678317 +578423603 +1410508885 +231314184 +2010908666 +1663856617 +967574726 +762307209 +556630290 +1579080850 +268988585 +49369882 +1896222824 +271480930 +1334418630 +457486955 +1479202690 +33059710 +1001847754 +1385483679 +290023808 +1262394243 +1344712187 +382703756 +1049766198 +525694644 +541229196 +1126030495 +1431848200 +1667348231 +2098313762 +1595526517 +98288186 +1361338999 +1826840701 +2109196853 +877711968 +646931779 +724020414 +1434342258 +78528982 +993008999 +1483712141 +1974751806 +1264489930 +670647123 +284755114 +596208972 +703706834 +1286602868 +1981692652 +993730642 +401513464 +1178921191 +1376434398 +1451279662 +1704615835 +1917663594 +429826510 +988980387 +1437528177 +380656624 +437023256 +1535816364 +1741995623 +116380310 +1497529569 +472223943 +763312089 +74066335 +1906566201 +841841071 +1067075335 +1242794694 +669109230 +184081617 +1913441818 +953864344 +780290589 +469665004 +92983564 +614499593 +1463395646 +494497028 +1793420785 +692346396 +1945776691 +1350552972 +462526342 +228119553 +192049712 +1900054520 +608776177 +629072968 +1288387236 +203288152 +745453278 +638433157 +675512095 +1508765368 +712499492 +434594648 +203122791 +1779574827 +1677389343 +872232021 +1963656444 +1443347513 +1826096365 +596463386 +1913012517 +1919079930 +1210962979 +1228924515 +266093310 +856900116 +1921270911 +64386353 +59969441 +236313605 +292505906 +252019153 +2136368125 +901282083 +881092121 +1277271713 +1104570235 +1626545400 +1915704870 +1780082330 +987827120 +480720715 +67193331 +1190949911 +112811894 +1744582674 +2063181933 +2076468339 +1040446539 +1741794650 +525448077 +805975408 +1513390932 +1736411056 +2034899923 +1779484243 +445827525 +1808687186 +1843870596 +505796966 +2045000791 +2136376503 +757816119 +2033885269 +890174938 +1638908240 +1163673334 +1994745174 +1117969992 +931894557 +1627343856 +2105797112 +1412615272 +1694537187 +1149263376 +1525427166 +1291636213 +1064961661 +1454411857 +184599104 +659272663 +1979859934 +990574512 +25179948 +1568787343 +877990787 +1804664191 +2014614868 +539194325 +1501051139 +372928186 +436711469 +1489943994 +1130744305 +323113090 +232635285 +622168897 +1486786424 +79896811 +1740138890 +271197333 +1707240667 +1698452354 +1683812605 +1254294207 +700232082 +1061756124 +398446772 +1765193743 +368684333 +583045877 +276982759 +201060620 +1573620389 +302162707 +1769847963 +304127529 +2106826898 +1636979183 +843321854 +1460394389 +2009907369 +1280033323 +802854736 +993168026 +1603146413 +1035490021 +1615336923 +942449190 +1115386832 +1207992165 +1213646523 +675143851 +758960872 +749975481 +1929438058 +1459192954 +1811731605 +180401183 +1076903050 +32932290 +763447060 +1353885809 +233992910 +189583801 +1656048516 +2003840873 +493711330 +1615391766 +1493336408 +1337033185 +928302507 +1355760129 +469582860 +1731157243 +201444507 +2072729274 +619163616 +1816781431 +867694816 +1734550448 +877289948 +2081341339 +262210652 +1636250820 +683833172 +44165062 +947960127 +348081129 +224566245 +2024863177 +381013420 +988013305 +1231265338 +615006330 +1177597107 +739830206 +471363556 +1671308437 +207738324 +1964699964 +860857974 +1136040831 +1172976446 +1330440835 +719714427 +1374420953 +1255686461 +1338878043 +1043718736 +2123381277 +925944844 +1921008685 +2057238968 +1188155496 +1409775857 +593588493 +1232320558 +210252336 +941669622 +1456886804 +87631865 +1322683042 +297416461 +1318897203 +1937689373 +1475013568 +2058727409 +261569281 +998838358 +118982085 +78785597 +1859696332 +1255022917 +1251762043 +1042653519 +1974737344 +478699349 +150856332 +1166131739 +1522418085 +126753961 +2092076583 +1295943122 +36509282 +1132748431 +558235332 +630097775 +217585342 +768487668 +1571767397 +1674472146 +856119534 +746966792 +1971888607 +27533089 +537172517 +1299418528 +2086260499 +798741798 +150773238 +57758936 +877527395 +2010469570 +1312781853 +2129289439 +905639442 +1140035549 +460505140 +1056495774 +158683641 +1982923225 +1183249736 +103276576 +1131382700 +1219759018 +1236025008 +1689618032 +1849856793 +1453610350 +310622052 +1274140542 +980598848 +1166741586 +2021107334 +805003807 +1194274676 +410796203 +2104422335 +1133051527 +1209538001 +107711925 +1190810463 +2087065397 +2118181496 +356108669 +2068871188 +876337290 +1496144218 +381892680 +1932833064 +1654827859 +217332257 +968599152 +1758104436 +1348714957 +40874522 +846645796 +890849341 +1890731315 +152772498 +1201471394 +1017388210 +1133371346 +220729332 +891011896 +1938375153 +1415004008 +1301808100 +1895313841 +400571887 +363862453 +2003025766 +1591382351 +303444202 +1973723614 +1947491020 +224831742 +702577256 +1296151590 +606724422 +487926673 +803495802 +824056680 +1456525825 +414116590 +25287989 +1497400348 +1260762386 +916137331 +1240648015 +1413534884 +2117608725 +110552577 +399422582 +190854409 +1001564474 +190314087 +1605858418 +155888926 +2085627928 +2006430305 +519751379 +1941170047 +1450329008 +823195582 +1767410013 +1250336380 +1048027324 +322503622 +399004323 +1654751747 +810430295 +1202500125 +331324779 +119472472 +1616616715 +356612768 +1616872820 +729895453 +1272750099 +710037188 +2143430337 +1242875176 +820589765 +395369271 +1433729586 +1822154239 +585683358 +892104356 +1978043165 +523827639 +751051013 +350310897 +317514038 +53896374 +1173506479 +2084924051 +1304232754 +74050155 +259944025 +1703237077 +1728801902 +1070374320 +758253554 +2060126681 +1189846793 +227386621 +269255802 +659235965 +957282074 +1542005901 +1369273153 +953228763 +637397430 +42379271 +1348598034 +2071127016 +1864533510 +1934281393 +815747724 +1695093028 +310625384 +1566798737 +2045403925 +628139422 +1620695111 +1071426756 +565579825 +777444218 +1145476911 +825523851 +333197647 +726795166 +1895898171 +1091451202 +639438199 +938261316 +1318837823 +908694001 +1597497282 +128636250 +303216255 +819286787 +1081865013 +940613685 +861666058 +282979400 +864257053 +578715921 +69777145 +1680004777 +126325301 +380402529 +1099319866 +24245578 +1008541951 +572531330 +1095672334 +1574121776 +1349975548 +93665597 +252161979 +1683173195 +820460763 +576503 +627140749 +1459898963 +938837819 +1945978573 +221109316 +388851453 +2074614823 +524325571 +1208138241 +1008996188 +1464939256 +2069804299 +1291975588 +181712661 +501036572 +1361752733 +1861717438 +627361873 +1742155262 +813553657 +651607451 +603213565 +1386084987 +1747279785 +29851694 +588576887 +1840945383 +282013673 +124266434 +513922498 +282590176 +751407184 +1973821461 +1221427996 +549902109 +47447130 +1610279449 +477033284 +571772701 +670934042 +1486029472 +2036711958 +593254694 +630521413 +70940971 +1094291266 +1992274146 +1932658410 +1721653140 +1586945761 +598728419 +225776943 +42675678 +1984813406 +1973056729 +72527372 +425906645 +1666518464 +354541046 +550173079 +32957314 +637131222 +1301580263 +2006778776 +1858559218 +1851482372 +2054225906 +1321355020 +181032008 +478514959 +1992289062 +1667061481 +367743269 +438060108 +150099246 +438684241 +1532351375 +2142373392 +223859003 +1106520867 +1581835505 +822587422 +1332297810 +1624511184 +659917180 +1157870891 +1697038556 +1085823825 +676905707 +2051579602 +1635996904 +709863022 +541227177 +790093520 +569158150 +252302747 +494092244 +475900408 +1573657767 +675124253 +954415367 +1418463182 +194702086 +1322158637 +1856523290 +344801332 +1760842878 +1241391017 +339691076 +1984701881 +200428236 +1921526582 +659805655 +1532726047 +1398554118 +1319722835 +543113290 +948109026 +258063012 +1220018998 +852204981 +1894059916 +1929882020 +1393432158 +536669788 +351556522 +1645734905 +1030762033 +827456930 +1071909025 +1705886286 +1781872297 +342888559 +1900588372 +956547286 +51928201 +97906056 +569906516 +1293319219 +437597132 +407124749 +1493747455 +211640066 +1066930404 +878989854 +1610194184 +239169591 +1422103145 +410819563 +497232603 +494638495 +1263024544 +243808872 +277036867 +508973054 +780478660 +628593389 +7224311 +1811240693 +1456050319 +1079133336 +1369643331 +1090438968 +1422021895 +1122748055 +2046986255 +1473950097 +1220654111 +469409123 +619785668 +1658251244 +876533873 +2113533123 +1869891310 +1943464277 +845039330 +1332601847 +35150221 +119658827 +1743421410 +532382824 +614297322 +858962306 +776191696 +891334189 +1367935360 +1556670357 +1519927578 +1375159671 +1220427402 +828494249 +306809360 +442587086 +1918933217 +1728831255 +1565335141 +1818435824 +1055297704 +638505605 +140361300 +1675083372 +149273201 +1016895173 +1641132848 +2019164511 +812875802 +338688530 +1204282710 +848026023 +458347357 +800220472 +1380408848 +1072644679 +1659182778 +9116896 +1963978868 +879634490 +1565787253 +1336422798 +107310514 +638731008 +17433399 +414119874 +1081318094 +1936366616 +2142951129 +499169587 +1607318793 +1050765186 +1137675192 +1747680093 +578364910 +1286948393 +617091618 +72014110 +1158629257 +1429967420 +410702640 +215428319 +130509796 +869049997 +1015648792 +1510918644 +1941694676 +527347922 +1520035540 +1758189896 +1406982413 +938339146 +947129046 +1514292927 +1577070154 +964562445 +1928412801 +510904600 +753445414 +1923880282 +1010074187 +213280559 +827161820 +265732 +1960960652 +1405526731 +1287214125 +430568622 +1477540841 +298359734 +1860536042 +1888243482 +513788054 +1991045838 +609809831 +1529436846 +1354480834 +404020860 +2056784768 +727032727 +14727108 +1316283533 +1665371873 +961856155 +683092812 +1094958379 +1926418600 +464021965 +1605862979 +532380366 +240418600 +468453518 +745660925 +1067580420 +468719250 +559137929 +325623503 +1755933376 +989706551 +1803164345 +2054293110 +702758946 +1543924179 +420597516 +546321136 +6250362 +1950034362 +1900801971 +410271222 +1859335483 +480351050 +424998331 +1028135368 +2145722923 +1386854486 +1711228181 +1093197654 +1165789438 +27766498 +551576985 +1698169805 +268185098 +1020030503 +296347082 +1335765519 +1488749754 +855485012 +1661389022 +1097199482 +1845191563 +1317069719 +1004008944 +400466861 +713510250 +1424606461 +946787998 +719760613 +1227157175 +700106321 +1130031835 +939009010 +1180457371 +1555030166 +1967144379 +1178696646 +794401004 +1530888912 +124410652 +1960190443 +1558655410 +675987637 +1510876600 +1826840509 +1696018140 +1807223682 +1015122380 +1037284246 +515225046 +529027754 +2134483728 +212932962 +1846097474 +991009025 +613399823 +412124076 +268131838 +1560187821 +1131884689 +1495289013 +112810494 +114432877 +286814376 +1293267865 +1669463043 +106475107 +324480863 +316380400 +1637364019 +448891515 +129087195 +1048535781 +1124879152 +1639963795 +727892642 +673413645 +1299703829 +1743015022 +1710697891 +1814928876 +124559129 +1697697972 +2027861838 +1970656603 +541223349 +493778013 +235297031 +809355187 +2053965835 +1367181721 +157160552 +19292681 +1481614598 +443974928 +1312560547 +1003593993 +550450035 +1637041410 +1319974393 +40330406 +2085932926 +1449061588 +1088866188 +1063328430 +941541735 +1816758830 +1736742075 +93761917 +1412290205 +1299956319 +1908690793 +1536849334 +850170643 +1789068983 +1360022289 +1391393992 +135363348 +1595319320 +53265531 +41845535 +815017393 +210426083 +61138217 +149148343 +654401012 +1373698764 +1152742337 +1204851047 +863256526 +325233082 +1245181454 +801705804 +1774294671 +186563994 +1865034235 +568352758 +2003322824 +1454292662 +662114675 +1268129381 +606765333 +423321820 +657495067 +1456935976 +64907155 +2017517356 +700846320 +200270504 +1465353029 +754111851 +242116039 +132886774 +964537935 +303254256 +282035118 +1618938947 +1676953020 +1434777455 +676306346 +392725899 +1760010537 +1921487800 +1194431703 +1386821560 +2108051794 +911982290 +1955174319 +1963890971 +218791305 +469805346 +1084536704 +825556638 +893127167 +1742031772 +135008967 +958034322 +1612065480 +835855287 +1158304826 +929934861 +1589967139 +1400420866 +1062821636 +407021426 +1703675122 +1344856754 +2025960373 +1233144495 +632150561 +554783071 +1625870394 +244677450 +328787224 +672818449 +1631499011 +289355370 +1584800740 +1439189682 +105762693 +1803592045 +1908995028 +1190299398 +481665035 +654638547 +784847522 +616674002 +1612672870 +249429354 +1452529290 +623494048 +1179364216 +895012781 +2023914914 +94702204 +1302034207 +1580106389 +1439558958 +1180510932 +665767236 +2071709519 +1735294003 +144153982 +168903321 +2064081227 +816972431 +1800402332 +205952950 +254289523 +1092108366 +311715643 +2057881568 +853619747 +1502015041 +392062956 +1508258294 +139378915 +1008736958 +973447516 +388808270 +313782600 +1596941565 +1568172486 +1208795381 +1473372831 +1662874690 +363345940 +905995572 +954950000 +1543856872 +1571762808 +879175871 +1131667228 +1715916790 +1048079192 +1048264807 +385405574 +700997877 +1254217757 +639695097 +1793106243 +1565933401 +550093018 +499242342 +920464794 +942155974 +2007500637 +1059843710 +1950892932 +833464505 +1448651980 +117191885 +282922422 +869340818 +1325987266 +1756295254 +384731860 +1689333207 +514807178 +1339681860 +1085706431 +2086569987 +71374083 +69890011 +1655003129 +1119453275 +1118154819 +2040408703 +1820451152 +224888928 +532620153 +1466073748 +1790822329 +1082713171 +1965316090 +563803476 +2024869145 +1825333079 +1623647186 +1828278429 +511313937 +924815518 +1945470314 +794236359 +1794156336 +1123973933 +403047965 +31404548 +665823492 +917855144 +1371086408 +1751529923 +856941483 +1442460491 +1821419935 +364460964 +414430118 +792091106 +257386020 +87397623 +1016980034 +790006173 +1553471371 +660318716 +1872719344 +1371303813 +1224122192 +1750104841 +1049153245 +700285730 +1430899622 +1560467182 +1625101248 +1228886289 +207219893 +1271773936 +205376574 +610267859 +1303178484 +871200066 +1528123003 +526781244 +475246341 +237580838 +1969241735 +149182628 +602041802 +236188205 +941273734 +859427822 +323585828 +1958253769 +1649433995 +1877057199 +471088837 +1374669691 +1100877365 +1695211029 +977290884 +2546962 +248013111 +260706859 +1563014144 +1873114359 +1489593148 +1770234037 +997404647 +1694969722 +233018248 +153099483 +418686140 +1761141251 +679880727 +893932481 +1998722089 +501638814 +1043115110 +453280244 +737827019 +1984388844 +1312708066 +1061412848 +1795158965 +814658414 +790986399 +118764154 +41844457 +1891863764 +1813975183 +1019135342 +1894410726 +2061988294 +1279842201 +1309941222 +1787619005 +621951701 +932691612 +637540004 +169437775 +1165709860 +790639487 +588123915 +779367464 +1470520214 +1482056396 +630605905 +1972159028 +377687858 +1083886149 +562502400 +214593055 +249110568 +1623915248 +2009752020 +1063768982 +267417999 +2128516175 +1105613439 +11798116 +1795007710 +2124748781 +1906208842 +1709512357 +1257107334 +1068666417 +1349647714 +1879059035 +2001358029 +1987187719 +2048496810 +1019584241 +630343558 +489137077 +1798951705 +2100863773 +1971193474 +282073963 +1925539153 +201397684 +1365960112 +340557905 +415990739 +1615070680 +1964473153 +278259112 +531356014 +84407505 +259291639 +1636969454 +96205621 +2054299349 +1614234587 +2002414463 +1616328058 +723858274 +923597232 +818492125 +455433661 +777471613 +658196196 +356446824 +1797055855 +1288539754 +845583901 +1448523912 +1241919879 +669293727 +1730597875 +1019975385 +870691412 +949074340 +1360533290 +1286682151 +416661372 +1177522796 +1564941263 +948017387 +1261930301 +1824232902 +437503193 +1358135922 +1731048604 +2051737780 +1213066737 +1199893014 +628112406 +2136663970 +2018385139 +1083546068 +766651935 +529097687 +1439992892 +416224142 +1817637442 +138093145 +1864748055 +912073673 +807386873 +1447862282 +1932049058 +1678078285 +249452974 +1145098701 +817276788 +666114347 +175137849 +234734404 +1614131734 +1437068150 +2058967306 +2051634927 +647720424 +1642532262 +1955889059 +1860787161 +694941629 +436517818 +1849967483 +565843120 +1520063886 +469135771 +1094940808 +812573130 +885359913 +765094602 +950666275 +602624320 +1677168275 +1758053148 +2050486603 +1461733686 +1288647785 +152455929 +459348739 +2105924574 +818570276 +634486588 +193175330 +285218362 +2071554738 +104658988 +189369641 +571791514 +1747191251 +2145258701 +285095027 +294649232 +434292871 +2135062511 +860492352 +1954356757 +456714634 +1955433160 +619446239 +1342074547 +573044114 +1570112514 +1944698868 +102728742 +1180682015 +1847701823 +1564462428 +321846152 +2000157752 +2023811167 +280287078 +671244381 +510814107 +473462408 +956462743 +434885197 +578121397 +1145832385 +1006676711 +177829000 +1143607438 +1291771738 +472478232 +1577900309 +1279350601 +1332970584 +1384773418 +1736065235 +1140920097 +2004219657 +930656135 +1713964211 +1426848523 +727871355 +1816692953 +460046890 +428089530 +1233671733 +781893043 +280763634 +1109999252 +1062180121 +952008015 +1620813359 +1535642530 +1908470759 +2055698556 +2113763927 +906819496 +914891619 +144109279 +2050426934 +59179710 +616587511 +1480843595 +1338530311 +1949558095 +718133365 +927111899 +942994544 +574869374 +1857768034 +509475108 +2001717897 +438155741 +178684413 +314281140 +866245271 +1412356147 +1096174183 +1147008905 +374871751 +10870656 +2099016921 +1995685111 +1546513186 +1860004032 +1903900019 +1512793465 +619339880 +671307991 +1656902744 +522283166 +730487701 +126006607 +2003126761 +2069018012 +2075564703 +573776478 +848646263 +871075599 +1148645852 +558930649 +1380550707 +1002880101 +997086390 +1559235121 +1317161241 +1863331661 +824107620 +265851776 +862856919 +1198979371 +276722433 +814390192 +1047180834 +1823235619 +526910576 +803597206 +1188545437 +1146250456 +1474905197 +697964533 +1668533622 +57909250 +823971141 +1524176735 +2126927262 +752052196 +2097953213 +828089878 +1623127795 +1099115417 +1387020527 +856194855 +2101995518 +236623270 +267946328 +1271673112 +2099954931 +1092053948 +1537524888 +815328202 +143549671 +1814247321 +1629718394 +1190730506 +1489999293 +9145322 +1994327712 +531061082 +1155395778 +1321749261 +1229025615 +676445752 +1379658511 +2052996756 +53138839 +1359102125 +657565304 +3608404 +39708355 +133209452 +1102723821 +1426728883 +989404307 +1057235692 +1663352153 +1257350635 +181425156 +1615823436 +201920935 +1718950044 +283667991 +345470606 +1385713718 +1913386385 +1536201112 +728229363 +1922531708 +1383045176 +1259290445 +930443838 +557310789 +340832412 +1606889591 +1936969300 +246345521 +1660028430 +1148587778 +903910825 +1663636835 +1188296133 +1037120277 +618877008 +467541368 +2026524584 +1676112700 +2130893521 +1136391571 +1857537856 +1599233310 +1338312506 +1429004253 +1882901301 +1683783113 +667234323 +1648804038 +1072500577 +1395463686 +1423852098 +308062106 +507270483 +206812289 +865372895 +848102895 +1813701880 +654858548 +1094448416 +1326246662 +1803446326 +1998359242 +842399849 +844258811 +887995871 +1461276858 +1311800180 +767036808 +989905910 +1295210053 +1903428379 +699960119 +746959715 +1094257238 +2128964372 +482377368 +630556703 +648715047 +2131181407 +1703057280 +2044178733 +1407549857 +2011119386 +403965568 +1614362146 +729008634 +1252068463 +1280580378 +1383867182 +199033232 +459343393 +1039829860 +49908826 +1301743242 +1884088671 +937904697 +615536452 +1048405203 +1704941505 +1605442363 +196131609 +1460886237 +157918834 +943091324 +407659827 +139399558 +1425468693 +1038216530 +788114605 +1409166452 +593790162 +684809690 +669232661 +457425901 +1088775258 +136111160 +1186434535 +193360073 +1416691538 +422818069 +392393305 +1876034931 +1462647929 +442302131 +1030294526 +1199252952 +1380206829 +1645830978 +100174508 +937664686 +1103789693 +296306117 +251067275 +1261708527 +1239397441 +658727102 +1401108085 +517382486 +1696943632 +41739042 +1926548938 +143250147 +726548732 +448297952 +600676048 +1815323990 +584409112 +1787110583 +2008684064 +2001100650 +62445004 +253593721 +1729651934 +1525092933 +695895853 +612462812 +576862237 +2076102682 +110810142 +677036745 +866283720 +1214599836 +973342862 +1117350996 +328824715 +65256656 +1776078098 +1729932801 +582639142 +1325538083 +1771671843 +361704433 +1468788230 +350736928 +810002385 +2069464278 +18577270 +1394411497 +1709091213 +2027261334 +1248028499 +1771536217 +133371408 +830196785 +1149145502 +829267261 +1442659597 +1726007739 +757886295 +1553469740 +255560837 +1624170015 +620585928 +1228903699 +594037363 +949410643 +1294160355 +222631814 +531859796 +1876799498 +1548169897 +156047992 +91020283 +869474479 +506784920 +901022668 +791455109 +525362190 +147950517 +353062674 +405139877 +1395979016 +2124598891 +538511285 +78692154 +1126260745 +1367778546 +1521351751 +704784836 +2125664841 +927337843 +960345673 +1602351208 +1547923771 +41765725 +48904924 +349850767 +1335926080 +271536738 +881710563 +1065241930 +1819706635 +1037758555 +1156262213 +541697466 +1544543475 +2057284881 +1333152575 +2069905666 +57751750 +1686215249 +327561895 +1453730767 +1663330492 +866073180 +1532422921 +642107589 +86368078 +906291024 +1346892425 +64549271 +1833628868 +159754451 +1666900479 +1234068991 +201520176 +1715805403 +1583919758 +1537446256 +1987342141 +318146674 +455204539 +1659565128 +1355905229 +1611466752 +53778946 +752965057 +1521267986 +1386931521 +675387075 +1579019736 +925663122 +1002948970 +885266855 +441509966 +1869022150 +270206128 +1083617555 +1955390228 +1176497153 +283026333 +2019939499 +862642373 +442780784 +1539356330 +2096711364 +644300960 +1107678086 +1533147475 +34263568 +947536579 +1851294149 +489468107 +459618060 +1059715730 +2100934860 +513397006 +1812680787 +1474719198 +1900328528 +340584214 +906255286 +678508002 +1343533184 +1791522142 +1120017969 +1065071686 +2061728270 +56151876 +872978266 +1090741775 +339178209 +745434117 +1953384148 +781958993 +137306800 +1902611865 +1426259953 +1244984886 +1288275692 +1460523522 +45037817 +992086193 +1949991629 +504655877 +2051801923 +1903442841 +1018052884 +1716999063 +1230678391 +770897764 +2057583277 +2136933678 +1449405766 +1253632814 +1780972172 +421940087 +171220852 +1695216794 +478091964 +1044199119 +638474922 +817270173 +1789633236 +444375422 +1599229167 +1926940036 +199503639 +878005472 +1024441274 +1487779331 +191045346 +1069479092 +332381876 +2141036976 +1574134969 +236700152 +1896996169 +444704205 +1953699215 +980190913 +1215601969 +1863798844 +969640943 +517524088 +969948010 +603129467 +939464175 +1141168863 +150862613 +1417556139 +37884334 +789337535 +87342665 +1827517570 +1233712958 +1686571832 +1606973959 +1433216597 +417093656 +483931585 +773512281 +608139003 +1553410677 +1105894157 +601692331 +980061999 +1342594309 +351204852 +1424766204 +1148809876 +1331395765 +492884526 +865125073 +153553060 +1010408614 +1835073083 +756682527 +1949872789 +828758298 +907545141 +1219945281 +866642632 +1696882676 +1307287946 +546676555 +783111986 +846376130 +6166866 +68844936 +1263469786 +490098451 +842357217 +1871608789 +2043509129 +1948251374 +325817472 +876087480 +1143362036 +677022325 +153370036 +144688264 +2008418090 +646254562 +1009813337 +14487503 +1656663176 +697402773 +771170030 +1459052318 +1526161071 +1678715171 +531513951 +245320056 +1228114200 +1838801897 +791996611 +2011226186 +537694379 +798163477 +2080071122 +1801164165 +1288261928 +774944691 +1525289307 +1184287409 +575712418 +1851106779 +2060374889 +1719074454 +380645456 +66261278 +1863762718 +241579899 +712515840 +726092408 +256067402 +221695369 +1423495181 +1027237432 +1680747687 +802172604 +558468956 +64777990 +1047492660 +1786583156 +1903579887 +1839489271 +1650325694 +293790618 +490169100 +1582913169 +2094954783 +1778431029 +210374212 +1472760442 +815234790 +786086630 +1176383574 +728126032 +357677436 +1557029030 +794387310 +73956507 +1798608929 +1506903150 +800048915 +2054676331 +1728598519 +76060448 +934430116 +1261862558 +878233052 +1492899072 +1326640548 +1925725713 +1131998580 +1082736787 +1617731336 +634840626 +1376527405 +2107900437 +70270147 +1323998541 +1738847818 +280644360 +649275335 +406598960 +1066730990 +1825658909 +1134724992 +1424408427 +1235204292 +1929112302 +1498364934 +886329573 +1288531805 +150930201 +793522257 +869646676 +226990649 +1727952373 +2131509235 +1105223701 +1073367797 +1310666135 +883465766 +57882729 +245919275 +353713455 +692723355 +1622446680 +314130244 +762993503 +798961573 +2052978062 +1043637863 +1448236909 +312093374 +2110368853 +1126412170 +1446818367 +1387293632 +214132814 +1228447021 +738174918 +1100462388 +369495178 +889105119 +1893984645 +1239141855 +1116095768 +1474453370 +1223167442 +73835822 +400337519 +386349929 +957301588 +458220248 +632269204 +1311015043 +1150943603 +107232237 +1625145287 +1913937106 +906193810 +1530639701 +810091321 +206947071 +1842733076 +772976527 +1333359242 +1142067795 +12786511 +1547492056 +223031168 +750961430 +500470796 +592526347 +1640066549 +246971793 +1831668202 +608678670 +1721425163 +907351996 +682514492 +2121762682 +1293701925 +1639816080 +432499282 +1925971130 +803347476 +1583442886 +2033203367 +281009115 +1349896344 +791913529 +1811648817 +12504018 +998860601 +1506898245 +785480545 +184736195 +501482392 +798267056 +1732228251 +724513560 +1549228486 +85215400 +1317039907 +1041811388 +332187193 +1001224461 +1650490058 +2053612357 +1908576457 +185520902 +2027891391 +1054794735 +1825336982 +312907026 +833282217 +481200810 +1896349912 +719001936 +762209926 +1098762608 +1510915465 +426375095 +1111266626 +362292418 +1933273340 +1896747171 +547028613 +287272084 +547530580 +131773217 +1011785644 +2096759066 +216988617 +181341904 +991086806 +549175810 +1182566365 +494093216 +455304519 +943659175 +679614118 +335712263 +1998453910 +357467453 +648619289 +684252479 +838668263 +397485553 +1403254415 +1600878189 +1496248161 +766686232 +2027253284 +460031140 +1128978651 +1813042976 +209294663 +1676007264 +2100315060 +756825243 +1807780481 +964617057 +706100662 +2024769098 +1145958961 +1697187468 +426461261 +181041678 +43797037 +881765780 +1124700853 +723411155 +1217478043 +975671115 +1080878608 +1866097332 +1659923594 +1919546872 +116099237 +915694361 +1372941413 +1612347399 +1682380594 +1252711050 +2072378539 +663875597 +918270378 +134189554 +192399213 +871101791 +891014798 +2000179695 +1835718848 +1597115460 +1877465145 +834194161 +1146819280 +156442758 +1015235839 +1190616317 +1038208539 +2139936693 +1914027473 +108202934 +968124160 +847422433 +1974300267 +480564107 +619485657 +2090399504 +1396258468 +1992427071 +1555263255 +931155414 +1097654473 +1480158146 +1595031011 +2015924851 +1614347701 +1787430225 +739542994 +357878851 +1640126272 +427778194 +1954994311 +1370107769 +1261972355 +954329943 +1526550528 +129724547 +2144946261 +417275419 +122177592 +1911490086 +525478353 +1090301752 +611428871 +352294972 +1570865859 +1230914529 +295210829 +819640680 +1075857952 +1850474084 +1750796094 +26028777 +1183148583 +1198343458 +2041953628 +650012636 +838290035 +634012975 +1007891487 +330932659 +1061791169 +815402150 +1701040428 +176279877 +1769732093 +1080107308 +306004424 +1767194706 +1497382727 +428182016 +1531201144 +2022861081 +1518483768 +2142630016 +227672405 +941865980 +1226060897 +522883234 +1761506660 +154435201 +225873671 +1364819106 +180463978 +1409022254 +415678916 +74933958 +2059034890 +1253968951 +708946933 +919442729 +1584901610 +1770738103 +1734844879 +1138458391 +1947017980 +1357093324 +71082051 +105538756 +976804383 +1568464779 +533720772 +360521879 +1443842212 +2052204540 +355668247 +1671514617 +846586872 +1581729144 +46914204 +460609884 +1736164345 +272787875 +1825428991 +1916628323 +1681810129 +93624259 +1991562282 +1593361371 +1347593211 +553025567 +365320452 +785011173 +176280022 +2100165331 +1923469564 +2123298002 +1309775007 +1994551616 +81353110 +139095742 +1415532747 +615073882 +499617622 +711891311 +519794775 +855285869 +235922280 +1366381647 +289531366 +282836484 +1826991532 +2025695711 +555624359 +1504936875 +1794840387 +89950840 +1598561134 +1638919021 +1683312211 +798670697 +44460940 +2048632663 +1583681871 +220740963 +2001314346 +1359667787 +196555317 +1163605706 +1206735755 +277908428 +1302701448 +474784854 +892982310 +1802319070 +1186676165 +1412777085 +510121292 +1422598446 +631675085 +799652658 +1705434930 +311182969 +677864721 +113575642 +1816119844 +325221460 +203526482 +1267197330 +1964140481 +1886838694 +2065868028 +2008601422 +1787987709 +1502066251 +81858737 +1641818408 +714250390 +278414054 +657940466 +1920986146 +556322482 +1960641914 +248287352 +1449304793 +1615477337 +1434963518 +714598230 +2125598629 +710078316 +1346273315 +777767639 +268029598 +1657456284 +1455632360 +381605240 +1326092480 +1780853821 +585131723 +445806163 +1597510654 +324486769 +364190543 +1458628428 +2112474478 +1866256794 +1540487165 +1606809238 +433023536 +1818901220 +117266056 +206526034 +227740054 +2077907971 +454813387 +1677044847 +1545901660 +1889776905 +244159430 +1524016641 +452371573 +1590432745 +154300632 +720401171 +1100405382 +1609932992 +1102006412 +279014214 +1243303165 +1687138135 +724820377 +693330172 +2011624904 +1089010920 +4474952 +1976615734 +807784066 +1544962118 +1435941325 +1240807603 +1216379690 +1553207381 +1447333637 +1444119744 +1483631704 +1902147024 +973680944 +882049716 +1644440281 +1217840374 +258582709 +2096811854 +660789471 +412883341 +669729378 +1761194853 +2022816334 +1771735790 +2040209068 +1118635851 +1311390277 +617545797 +1811966023 +1175531533 +1706556718 +1816440976 +1004663619 +366857136 +1213919446 +293121296 +1607664739 +282815488 +1846328678 +907514729 +1726935232 +1182476734 +662178105 +553132528 +2064526451 +159134739 +1770972902 +175625512 +108462945 +284278726 +588508854 +778192323 +2045473579 +463841540 +402444465 +1938198999 +1582477391 +1713834742 +408261149 +1246959767 +741882627 +2114817867 +915917095 +1746546247 +334191355 +2129836541 +2039667543 +1941856095 +265168381 +1738512573 +701887176 +1992103613 +773505660 +1364065281 +397752494 +690548463 +1523200020 +21241748 +866173975 +1631662966 +305520474 +1454682829 +262371641 +203510406 +1918524369 +664816107 +2141709405 +1353518113 +231167201 +402486906 +452994232 +973049829 +369821125 +1368911327 +572112428 +704012481 +1351264220 +464296323 +498384928 +1616432601 +55325249 +1200272104 +1461052566 +828830909 +416853737 +1858805060 +1519379372 +1940053758 +1880046809 +238069699 +1424233076 +38083635 +1692752529 +1686604717 +241594041 +1463793250 +203937176 +235819799 +669827715 +435104378 +638306705 +1122821947 +1408154207 +1008127831 +344249626 +1980266635 +1712140312 +1695513846 +297079310 +63041592 +1164462799 +352404559 +1263313696 +478031718 +1181235468 +1680167433 +189353130 +553131192 +1472737543 +2069399939 +791200892 +749486971 +2107483575 +336469773 +288608041 +201593968 +1800263023 +492545217 +437413767 +322607091 +927649595 +1075720473 +1445429038 +188320154 +2083848304 +1789678665 +21103141 +1648504968 +1337708863 +318182452 +1711546560 +354688015 +670587011 +827376608 +832719733 +1851822480 +360060393 +1022072863 +257470024 +1832797937 +943989155 +1048670916 +434801260 +903989082 +1385140689 +723409301 +1105583050 +1037920065 +1215954519 +1542996818 +1360527156 +2143604114 +471233643 +658472546 +184440621 +407598299 +300667563 +205543762 +2056103267 +1638376427 +523726214 +1620166179 +1993064442 +1194313226 +300059139 +678300527 +898652058 +660119532 +1700373390 +1156122082 +345433821 +496878897 +57309351 +780235082 +1400867979 +1442450040 +1503644383 +358967382 +332886457 +572115254 +1901964200 +1693413613 +568235721 +225714195 +204402512 +752676342 +633312494 +505070075 +958220104 +541932113 +2143446502 +1481946319 +14614644 +1989027296 +528775897 +314673783 +519844175 +1427427955 +974793315 +72733918 +436066389 +1320227137 +569612815 +493375740 +2100462219 +1970480795 +1935825781 +1456622954 +181964529 +121228590 +2028738209 +2083928729 +1814642204 +449490282 +162159276 +2019044716 +1202166624 +795471770 +376631143 +12903080 +1337403883 +372593998 +1494849399 +1352018527 +214137646 +2023625296 +1666692310 +733981822 +1303569603 +494001977 +806715740 +1739635993 +1814229114 +1376328555 +85528085 +1767207685 +1199325702 +2021353866 +1076346992 +1381290231 +2142582457 +957601553 +1317735312 +1809741013 +1407091835 +1479894588 +1681302081 +461774811 +127882710 +2057933224 +474677891 +1465286593 +283043574 +1969527291 +669821472 +497181221 +1845668939 +189030134 +1231163043 +1001754895 +683032112 +2037878783 +593907240 +349777578 +1266723690 +679435325 +2116985264 +318565745 +553305544 +1045848608 +1699855976 +548404353 +2003450161 +870107641 +210661718 +1263058348 +202518581 +1891963799 +1724833159 +330401292 +1802413375 +52027402 +1795687885 +2085456950 +2021554693 +318025710 +435154523 +1719739985 +507055844 +1666317566 +574011232 +1190087956 +1556712701 +1167918472 +1539865535 +675952743 +1847353797 +1509367151 +994518488 +253175693 +407732111 +546890817 +801580046 +263698624 +1416998458 +1012241764 +1526756972 +1619517039 +756721915 +1104106483 +1949918331 +411651643 +1156133885 +1598122569 +349624945 +1030204931 +1916148279 +784779468 +602461268 +275720475 +303613386 +1176472500 +1465808432 +1860326087 +196907324 +858190319 +388795182 +2044261121 +220073822 +1383313671 +149953167 +627805933 +1930204488 +951533213 +891504557 +1199719298 +1963774978 +270777881 +671752689 +573013245 +1374884364 +474187373 +984664888 +383534601 +2072309942 +1334289833 +1413739532 +1840974573 +2119069301 +2016200800 +2116695048 +275199039 +1045189652 +1435019832 +2135525126 +1242096976 +145726503 +376836661 +1138874450 +365800325 +1760150332 +1288827617 +993606258 +1542871172 +92877182 +1885110815 +595106822 +2056652160 +8405048 +1266859511 +482181758 +1383289412 +1741046884 +1466846646 +1766824014 +1665873178 +653652832 +1033079898 +1359364103 +625238485 +901797051 +1328575504 +900437525 +1946986703 +616111688 +888479003 +1041600032 +761838192 +1265315664 +32990834 +1127638517 +877982348 +1321818451 +2121244776 +273369872 +1414695633 +1858871943 +868476694 +1323864146 +1867276992 +2135336206 +1806045904 +1103082756 +1728899442 +1125408902 +722423122 +1247288973 +1779061734 +1755503021 +459169428 +256816572 +509816424 +1787744932 +1157254097 +309319479 +256372973 +2045733100 +1350919511 +1018211165 +1163565117 +1383910345 +2145849682 +2041547465 +558245148 +2119610810 +167433690 +1972940782 +1830999106 +1035910384 +1149321280 +1550792450 +1023762942 +807883536 +506391558 +605178737 +1933292438 +1228814681 +1852467710 +1564870525 +836834054 +164153490 +1821687097 +1346650478 +1951898423 +831457546 +1655969957 +60787748 +729706998 +859405821 +1078998913 +1893272115 +95832518 +1077364947 +1787335933 +654077667 +1049492110 +1954769623 +479534801 +733007568 +843196359 +1628856081 +136316370 +1866959302 +289255969 +642707928 +324654391 +75064759 +1871522609 +29638453 +1639935284 +560873015 +193791943 +1314138733 +1907523493 +2145690366 +2145596279 +1416009803 +58994466 +727819630 +127931976 +1137993379 +473608097 +223764494 +67874679 +113460382 +877842161 +1117366789 +2068230005 +1357376962 +1850374357 +763942717 +838749395 +1986690727 +483418371 +1128005364 +481915007 +808072762 +1203070124 +205953969 +837711215 +695521760 +766826984 +1031503158 +2009660494 +526866830 +1029709877 +2007773125 +1942876633 +1088704343 +588109107 +2070808609 +79214075 +1061717205 +147089455 +147088754 +1175177587 +1024931617 +1264455543 +1095923945 +234824931 +967346252 +1859866662 +1073574327 +806553331 +195801385 +54096043 +1288468338 +1003874147 +1257166167 +1494422307 +1841585362 +1952687928 +113765644 +725604872 +1814864774 +640632474 +1755314749 +1675154251 +436025459 +696535445 +115779711 +359350420 +775749520 +1177496916 +506439875 +922838274 +205190855 +1531371492 +39810169 +1301114800 +1766196424 +1007156421 +1013497814 +692287103 +1813709752 +1209299199 +746383146 +954694442 +65689698 +2003549314 +301633102 +1907275060 +1808753594 +415398746 +485396285 +1476134720 +1056031220 +93227386 +1003805323 +1492056679 +789762831 +1119585034 +1851407099 +1565512351 +149598302 +210363326 +340866977 +354789158 +1741734819 +380677146 +1655903958 +1360447595 +1387833567 +521918125 +2052734698 +1054059671 +1731217324 +651634196 +2008754114 +1796907023 +507699862 +162903568 +1556698435 +168969808 +578302314 +2042094720 +1645104528 +1634333534 +2135322107 +501426204 +978906565 +777601290 +1621011238 +682830016 +195629994 +1770609541 +893193342 +536496971 +2125398699 +487444513 +917174118 +1633819009 +1847892108 +157524037 +8253486 +1753143158 +1211583709 +1739470811 +257293707 +1072854175 +1388894186 +764993569 +1235757743 +798108973 +933963378 +1814060057 +692720046 +431584258 +1300909943 +680558505 +933010462 +132332860 +1458159795 +406538053 +815162876 +1653789789 +29663946 +1708356218 +42803113 +7578997 +48317084 +959977231 +1641398006 +1896209192 +1117501268 +1649651493 +1501868703 +181601329 +1241638656 +1759162410 +1254455504 +483049194 +376672331 +342729599 +1281158167 +1310635709 +9306008 +1973878213 +1742219968 +1310215951 +506953070 +527746782 +1442548811 +1965112866 +934284835 +110228039 +1471419007 +963948781 +1818584258 +1514222120 +971527778 +1866901342 +326715703 +465442137 +1615626886 +1444216972 +2115093630 +970011941 +1625818301 +1209248638 +581690703 +732790158 +1692297832 +958363035 +1075519757 +825972351 +121515096 +1084825766 +652366917 +1863735064 +247558069 +1159319987 +243998199 +1690106881 +976949205 +1178283034 +1800334920 +300884565 +2142231816 +1471435530 +1815106685 +966275946 +1190853224 +2141822389 +1431718083 +658996463 +1438555713 +1399328065 +1629008404 +916890366 +461093055 +63215460 +1649680524 +5907239 +1021578495 +577716634 +831879591 +1143093591 +1662542400 +1484246508 +859345008 +1910100469 +496082847 +1103343207 +1452723702 +1473032053 +134142593 +1105574975 +1773916618 +128890761 +429526857 +1441539655 +1095166708 +1620380082 +1435878396 +379401143 +131892897 +726950461 +1778729209 +1760901301 +1643840828 +92338616 +1824116761 +1146037704 +98245856 +698211608 +1723754338 +930125447 +1841305200 +1238813090 +266888307 +553166560 +1001429912 +762971154 +1656509767 +306669966 +88519559 +1790652360 +1412244941 +1862436177 +1919543122 +1841771799 +1156492185 +867226182 +1314668233 +444886933 +1246627325 +1446561130 +1171837395 +877872886 +1059978783 +668194575 +970211503 +736611897 +1814232279 +1068457359 +1434823505 +1390502970 +1998582806 +1128645057 +481832412 +117987465 +1681811617 +1483262324 +880958619 +1190837736 +1789932291 +969478179 +834006449 +1054693584 +684430708 +606065923 +748981735 +1840922893 +1473292105 +2063649968 +138326179 +572435782 +1362727450 +1310163574 +1450308669 +275222586 +1978358149 +273036524 +1011834483 +1645106780 +1341493883 +299174340 +888126102 +1192593041 +1427819398 +1369958515 +1310580506 +962147367 +705737191 +44055477 +5501456 +348185834 +1013533656 +839507905 +1402879419 +1697964365 +1445573828 +4377506 +1391403610 +771382285 +2068027475 +1529729789 +1343818067 +1283271277 +692409715 +646643088 +1558493863 +523284216 +919679612 +422844698 +20907349 +113689847 +722019039 +909033451 +1306282888 +2354789 +131508318 +469379746 +964502156 +837245510 +513435224 +970003612 +1185431344 +1526968880 +1809511517 +440827115 +1077449597 +1107601697 +445204622 +321369560 +1878983982 +365748449 +1851099349 +1075318402 +1649019726 +396025417 +1721961490 +1060029942 +919309633 +494157455 +1482874640 +940216982 +607847302 +57410031 +1849250434 +1914130191 +59764820 +1980758752 +236026289 +1024266977 +670520614 +749461513 +1994270589 +1855951959 +128946746 +1656298459 +149295426 +1206396343 +616416508 +594500048 +1527765903 +347916843 +960248497 +1231381605 +1423235245 +461784576 +1627407022 +997713087 +1521814518 +399233007 +1491870542 +857205510 +1339449990 +2099717845 +914615542 +1041216776 +1866364388 +974380362 +874491880 +2102390677 +1998647339 +1545012495 +704368543 +1845434281 +1253480806 +833315289 +1354249092 +1402776232 +2039711632 +1970665600 +1997276281 +1419993888 +171098795 +810041130 +503891845 +1594334040 +1271825706 +2131298867 +444563480 +646156576 +383048226 +1936434022 +1503362087 +1722498216 +1888668219 +270493981 +616231344 +1607548959 +1244874343 +1490723225 +1562455989 +1096038035 +888252072 +119340884 +793988668 +2141732878 +952656173 +754112 +1397025462 +844884157 +1971419712 +1246818095 +117394397 +2142518508 +2056859226 +621286242 +1589368900 +1181201284 +605101461 +2033932380 +1827357861 +988149688 +1822882755 +1183236300 +563164256 +1564067326 +1453730281 +1179395601 +1024132638 +551120976 +522635178 +439104979 +1647159011 +1410887250 +558445863 +293664031 +1405136480 +1511102036 +294418143 +654678294 +208502545 +118354208 +1901496390 +325896943 +113389068 +1810871968 +947183185 +1702757968 +844589604 +1552284647 +1589206701 +524463817 +392950687 +1264605808 +1707700117 +956114943 +681189486 +1013946750 +2135510544 +1705322124 +1565067727 +510662074 +2144427103 +1064743090 +1921549324 +555389318 +1358407122 +1179202156 +2066491354 +1652825265 +1833880451 +127510252 +1771179473 +1587893193 +453407195 +1884568541 +1251281513 +1400590380 +1439842862 +2095871117 +805391379 +881565915 +472851287 +1198342066 +2146171723 +33067756 +6973362 +679877561 +1047014507 +2142483906 +237716038 +464598586 +505662333 +234659493 +1529341676 +279728009 +790048812 +740265150 +1458930166 +709056518 +245606768 +1145326969 +836566770 +2016786241 +585736514 +1289973965 +1753871135 +1837018027 +543080698 +1046230349 +1785405496 +1348472077 +1927796264 +110773135 +399330496 +1926484339 +143840892 +406303858 +458878252 +1190855399 +401304116 +696594290 +1655453985 +906966449 +931253784 +1037312013 +1186694459 +1721302596 +1777577164 +498140977 +282875466 +2023183932 +1643467946 +1119442237 +1892486525 +81720812 +261932554 +1498874012 +1918738839 +805013252 +397620713 +1556660687 +6001682 +177933329 +1667433823 +405332178 +2104417668 +1811274715 +811636036 +415812273 +854646466 +1212940152 +1112406563 +362616803 +2119906602 +2043660347 +1399928816 +1159117413 +1617479295 +1030022332 +1657258390 +1900354762 +905722616 +1153242688 +872313351 +650725494 +1234963500 +1134245905 +2115858 +1006218691 +1939259158 +399736572 +415395730 +1945260840 +577669901 +2082829553 +203109370 +534603922 +1746620620 +1014745406 +950416195 +453783438 +80201910 +2062822758 +816400241 +52624864 +1958999458 +68845410 +1211742277 +1428995105 +1098867742 +721517019 +1181866219 +2004590359 +1874759707 +2054179570 +507832205 +962239559 +1040941828 +509948063 +1968458250 +832717338 +909684635 +236370333 +630494530 +1487354537 +171716238 +833603900 +2021958459 +1918336859 +1848349306 +824891006 +224636649 +1928551216 +740230116 +1041036891 +1981176081 +551745926 +1109882301 +1045434710 +1980741032 +61266395 +1766951730 +1015123603 +2065856754 +1494227789 +921819526 +426205311 +308983701 +1962761354 +936153375 +129958303 +647995044 +1845838010 +366328636 +1278489574 +1185708899 +538044875 +2112093474 +1060183710 +308898086 +1812959132 +1885074716 +533534735 +1594026700 +477821185 +1574571626 +1427719133 +1029567111 +536970279 +325670196 +862824495 +598236675 +2092621926 +1877948099 +516609781 +1439366067 +652283977 +942815093 +1748349768 +467561683 +1878968468 +1878308072 +1115556727 +1577322830 +97153060 +246562653 +615548082 +635197935 +211172479 +1675731792 +944096021 +2024131611 +1413322861 +1477630757 +1470674663 +1891144046 +904718735 +750910149 +773227509 +1441689015 +1076580345 +1636052005 +2039925690 +1021718623 +1366516456 +409051823 +313601042 +2018800433 +1351866916 +2061950811 +338878468 +1083351736 +1792775235 +1454435195 +513190919 +1889928295 +1700997848 +1128739001 +377642583 +1912170327 +656987145 +1321738604 +1788818290 +2070310006 +651885713 +1112009305 +1813970404 +1556604449 +1862919454 +439714266 +850809816 +792016151 +2075766271 +743251858 +1813734774 +1294799079 +1152303681 +2127335817 +1166115864 +356686950 +2041802980 +1504994332 +1440038686 +1687094567 +811945879 +1953229605 +1429539214 +365460079 +934484958 +1807181797 +130146758 +1591472104 +981436754 +1918965048 +1514298462 +1633322467 +883490705 +1180785219 +1042443268 +598926512 +1620499485 +1893253084 +1390942663 +1548782108 +489021294 +1057193790 +696097539 +1641324976 +1037045959 +1862213403 +1998011926 +931365291 +1219724087 +1290566964 +470976210 +2031669966 +1096312922 +1900515424 +249646397 +2030797880 +1560213574 +379793155 +1474786336 +394166680 +151274555 +841601151 +2027489147 +1034765260 +2022386370 +922448768 +1633691772 +1495402207 +668218204 +877150788 +896700667 +1157239499 +1934344578 +1592798206 +651080827 +823906889 +1307527961 +501609105 +1755272180 +379768400 +1792176069 +78764742 +263954718 +741005343 +1979280166 +513601115 +624319576 +1392010092 +893394270 +2099105912 +1786176772 +1044668825 +793223415 +1666182272 +2079434085 +668126137 +441147392 +1565642210 +16044696 +1109365596 +295309350 +912745363 +119121447 +82170280 +358059921 +770202274 +906077169 +1665587882 +1271811379 +513865701 +2045356282 +916503801 +592630443 +161827352 +1657509144 +424426961 +675428467 +134345072 +1816437054 +1568822737 +85967337 +1455130178 +466007914 +879190752 +973828802 +397958352 +1547316890 +1414976194 +1963600562 +1563361586 +376858143 +111426264 +328623302 +495979590 +193596544 +686683223 +1266181865 +1099673713 +204787458 +390509596 +1613539414 +102660092 +1307013397 +58686209 +264487445 +817038894 +483113170 +939915912 +951383966 +152066576 +361255002 +1037351303 +1607196755 +827262916 +1916542056 +433541909 +1225221268 +1316375298 +1848518104 +1041338182 +732253236 +77892599 +1152764446 +1060876538 +573872189 +1346360990 +1747559762 +1840054054 +298551055 +1952347220 +83080003 +1912090469 +2055007312 +1390093400 +1970776678 +172011109 +59648646 +306406201 +1111927022 +1011032613 +458472777 +1473182024 +2048383916 +2065669532 +152961292 +1817442324 +351727794 +1378182561 +986333974 +52762250 +272037095 +1718587211 +130654849 +1424801542 +631980101 +704527038 +623678884 +232056215 +397097445 +922229940 +36919787 +480177448 +686836761 +2091927100 +1870270848 +510129792 +116454561 +1929919495 +816535993 +1228381583 +793468460 +1275008770 +554079959 +694368728 +1193194655 +707041252 +364327405 +1544922449 +2085223813 +1350661379 +1597684699 +209777260 +921764942 +1728339548 +1634578802 +1553745044 +285382938 +110774039 +1785801259 +682480383 +1033003979 +1822721047 +1162657831 +1719840740 +1767164499 +885445032 +82486884 +1883619060 +667880879 +899022877 +964516996 +1461349339 +26548000 +1518596955 +8234419 +1219742655 +78154559 +372561824 +617181456 +15894724 +1723223204 +67382507 +225671985 +497504498 +1795722055 +1860250787 +2051249542 +2081104993 +1971024826 +1689567154 +616101729 +856545157 +1364804553 +1778759560 +428902250 +984485404 +516720944 +511389134 +720620816 +1184601823 +1410412012 +1685137812 +498467514 +1436960012 +1056251120 +506701934 +509219019 +1134405679 +879263758 +1126400475 +1150300404 +455003314 +1193782982 +1375972389 +952507813 +842021389 +1088739528 +856273707 +775642734 +912280707 +398357213 +1391744463 +1768825864 +1763161766 +1023020376 +50244466 +600163522 +1539741320 +561633601 +1320784339 +576859496 +1972045613 +858438503 +1075327010 +1261521977 +1914689623 +1582028944 +1770740996 +901611655 +313809055 +749657823 +2051912059 +768812369 +1943440805 +1280400800 +1721320182 +637978546 +221656680 +430110242 +1413621280 +1133937387 +828467455 +657882096 +755279604 +444145574 +1680902472 +805524070 +1044309096 +1073160144 +1367157671 +217609787 +1650019640 +1191719636 +1076048291 +577863003 +305757965 +843254266 +12408299 +2076498961 +1744865921 +326217354 +678673136 +1649294332 +1095029724 +474630293 +782211484 +668866258 +1112608839 +1003868165 +1098976500 +378746472 +2137805552 +1927443956 +1036628568 +745601508 +224105882 +570047392 +1551125579 +1268414978 +1643207536 +770799602 +1486024766 +1145743529 +1962519239 +414589409 +1723606532 +120793556 +1257843675 +1736014831 +49808870 +855225949 +2062232186 +728482006 +357036633 +1009778262 +1203112300 +1139248118 +1678644520 +168237491 +2143116283 +630137373 +546983963 +2133438187 +410097681 +1583612531 +731556048 +634203563 +6176275 +135197979 +1902618541 +1649383812 +905997581 +1241159659 +647643693 +721033172 +1655749068 +223766577 +841826729 +766109096 +1959781408 +891635599 +1621335045 +1874529946 +1620117605 +1978371678 +736824560 +675746257 +970136148 +267985433 +843983749 +965768783 +898122806 +1390967712 +951723323 +1308220487 +827096596 +1683279371 +1942424050 +833272871 +1818477350 +1697558943 +335173035 +576991283 +791234955 +982816728 +1298024456 +299500375 +1206583305 +2139851185 +1065609471 +1018881066 +884003136 +539460868 +745927364 +356637093 +370348899 +1482751925 +1032383351 +1340485047 +1750737358 +1876367100 +158770183 +501376516 +1119851164 +1110493506 +1809597003 +1946947760 +646289229 +1604537405 +632736984 +317282931 +1154612700 +967910019 +894274214 +1945847655 +1950726748 +44815022 +97864383 +1009826405 +37182559 +1163473854 +2028707471 +921185695 +1702934723 +627151188 +1277822789 +2073283622 +2109903113 +162722492 +1266285021 +1713156823 +2039089592 +1425055204 +67049691 +1011457108 +388065062 +1876646694 +810921221 +1034354291 +1333700451 +1443658205 +1351637222 +340829503 +264084576 +98427789 +139193511 +67327676 +143242811 +237057894 +1077154082 +180425371 +1400531748 +958377905 +1101611066 +955982823 +1585529093 +231950207 +881782797 +1547948558 +394672699 +584171 +1113621733 +286278643 +1425639375 +1180671424 +1297735752 +1813704438 +909834470 +2108656973 +700575081 +96051273 +1404831530 +2052212304 +436880777 +1668916106 +3156445 +576074288 +1736243783 +146399256 +813132182 +665914217 +326824627 +66180282 +1624292122 +1428435694 +1022163106 +1062337568 +1660385901 +1903945903 +462802478 +2055058601 +1904530074 +1576424212 +193853596 +1182685802 +609611988 +1491589348 +848906592 +1519446459 +1452762673 +1549481673 +1615497732 +710110555 +1454210329 +2052378509 +231543014 +1457366774 +480969149 +1967786797 +1603766031 +1294101331 +486217366 +1930590658 +1360281614 +2110509488 +1211542704 +234961072 +1025363408 +724444958 +2138906975 +1488165887 +632019911 +1895953402 +917106451 +825873507 +931155556 +1526718439 +169979208 +1780062148 +898681250 +1622741881 +1182060173 +366695335 +185368789 +488786855 +271590196 +416911803 +1946153629 +752559346 +237214952 +1402436012 +2046660677 +723432318 +1185543023 +1259458643 +686458158 +249602079 +1494419715 +1711821567 +974047037 +1485843043 +1052503806 +1606066948 +1234312797 +1969610257 +284456808 +17984705 +1348845048 +454436016 +1798046853 +100042651 +2077177897 +832623378 +466737986 +115063038 +1321410233 +738328182 +531974841 +1120080215 +1490887528 +769189793 +375032579 +1390064558 +1492622111 +1560575602 +502039553 +31596622 +1810177682 +1996459269 +1743418189 +636741071 +1334818664 +648438347 +95324372 +421647813 +470564956 +379781180 +439632518 +1819410004 +834217196 +90195723 +1919452655 +763911445 +922819101 +238706993 +878974484 +96745687 +977035176 +1410949325 +1216825902 +320439056 +32655471 +1591858481 +1710503614 +1525277582 +1004950436 +65059520 +1556874204 +667644470 +2061518789 +1152808745 +1304385541 +1248853805 +1801247092 +1399709913 +1670501618 +124328400 +1779491093 +2110134136 +1943738405 +466224641 +52846211 +1715707412 +1230136087 +975665312 +1954414406 +2109110571 +1072410999 +783965934 +1372576248 +141753253 +1104404990 +1405231719 +1733611735 +667424957 +783025654 +591078523 +732484477 +192416210 +1258722993 +646519618 +1345224956 +415624886 +1895373423 +998988400 +1815334800 +1418391393 +1123316801 +1447342245 +1381041881 +919571558 +1913566887 +1433888092 +487795322 +996219326 +262069756 +294726080 +957846249 +1334480756 +1078692014 +182938849 +1476234009 +35613357 +1588170569 +1062362096 +703038314 +223712575 +1653440619 +1435522791 +416128785 +764679964 +2082042409 +1761353741 +1180304851 +1829932184 +612858494 +848156003 +1100839929 +1736175295 +148014600 +334398162 +508263205 +2061581487 +1768286254 +996058527 +910317165 +2030356010 +1290784608 +1868163414 +1217353118 +221992974 +2051102264 +546103480 +257606331 +1491789185 +1608465576 +960644645 +1715501760 +1114422548 +248683788 +2131630545 +1879102512 +183242549 +1745500639 +911923715 +2013174733 +210875485 +1760079718 +966531014 +1947050780 +1908094319 +1300929176 +307830337 +1822192158 +921731782 +1303888864 +585025676 +804604145 +447189824 +305705442 +2021957263 +669182799 +209324058 +420577095 +926789130 +1701113243 +2029042672 +1887433776 +1269131355 +995981572 +2136117564 +1253278253 +727600436 +171876466 +851295244 +1639524152 +37567551 +1062170729 +1252120222 +1004098566 +861737861 +1012730893 +157544094 +1169568198 +687439404 +1079275877 +325973414 +1272465080 +1883880022 +773163239 +1578170522 +1758353637 +1442346038 +1787494581 +31447085 +221651520 +1341124176 +2060489757 +2109085296 +462771884 +908987681 +2097719213 +1716050137 +1636588117 +122112031 +419861733 +1128628621 +159679582 +1482032462 +233265196 +1163778148 +196286675 +1245996089 +1321322243 +1365854873 +1933435493 +253114472 +1691828287 +1058416925 +2136994494 +317507878 +489103800 +1747864483 +1759853916 +129114733 +1779311568 +1981505437 +1470238909 +1692317677 +1943107085 +1933010793 +453821710 +1893342650 +1501577282 +2090409828 +2015454681 +1921439015 +1071554801 +27650616 +1255987829 +1304819997 +1191428764 +1452274504 +403332439 +365267359 +670645729 +189284284 +618381831 +214990369 +1247701210 +607892677 +532498247 +1736805010 +208273513 +144868516 +1865919743 +1987585081 +2126373953 +1188675004 +1532419111 +1921997390 +974202150 +1986240821 +1667856393 +328295784 +1929167001 +1535827426 +102251152 +853238155 +1563478042 +1358238981 +10574504 +607423159 +663029838 +413906943 +972690518 +1333675567 +603191228 +1591072350 +1548665936 +1850892438 +51481379 +2081164184 +1440213800 +259754892 +78549052 +1158649895 +99856326 +57439357 +199841251 +1632275437 +1979436747 +1174043401 +1471032610 +1499809492 +1502339186 +1252715964 +888153271 +1604590338 +2105954119 +304147665 +815345671 +2116528623 +911570824 +1478375509 +382951919 +1884261343 +664567429 +986143147 +1327850045 +65749717 +689551937 +1379331424 +2146913901 +2129765737 +1639086317 +77979305 +1140931984 +1738942643 +135418662 +1340773235 +1223734432 +2114855410 +367332989 +547283394 +1467181254 +1869672175 +1799999358 +207850877 +1326778865 +1758469829 +511998543 +2142124536 +1727514805 +1423569367 +1473016398 +2110466724 +1160347062 +2137583827 +949126223 +340713459 +55849896 +1638678160 +1720044884 +55280150 +1620960249 +1211647553 +133259455 +614408585 +803106548 +268678118 +1955181820 +2026840980 +236049880 +175031161 +426640726 +1703231134 +2044703336 +79156437 +1911082012 +1223998553 +1837626266 +275596907 +1218639442 +1417657423 +1699166274 +544172192 +1380640499 +712029689 +534272371 +182283074 +1052743148 +590122267 +1820961234 +625304384 +645402417 +1294437835 +1836951937 +778661873 +1908846420 +492574837 +1047339991 +1716544593 +371932169 +1283389871 +1891575754 +798572896 +839137357 +1788795443 +877729333 +602735721 +865310348 +567871951 +878332628 +2083949790 +1985529375 +430015255 +480638334 +1218686226 +1142044944 +1014910705 +1400969301 +47304444 +1605032973 +1074446887 +672608829 +102951742 +221401075 +362077118 +881613615 +2130247495 +854651956 +1928953606 +1699308440 +1226584125 +1064859829 +1443400547 +2025157021 +1903997187 +1084712342 +755402706 +359249260 +1950022690 +1323274658 +1237581889 +1886488833 +1161320385 +1667597144 +219643519 +232522963 +662158440 +1234554225 +1633492264 +709462884 +692103550 +560455504 +1382071713 +795055292 +781856579 +1744148832 +1676668908 +764620426 +451317140 +1458138866 +316445219 +1677901265 +375515048 +1759845766 +1555574639 +132028587 +697074460 +163493697 +491277847 +499613502 +1486768355 +1728859736 +238618687 +500605092 +1248973232 +458262207 +733128056 +1911131672 +1692816432 +219136672 +473110909 +237436334 +779592176 +1855182622 +1032491626 +1561448755 +1451847806 +561676886 +178585534 +1903164946 +2019815753 +495030753 +1433582564 +247847153 +107392871 +841673555 +379875740 +804467331 +1005167252 +871153587 +1304080833 +344451960 +452529676 +1542699521 +845057052 +1701502908 +2000961728 +1578185108 +1465150933 +1546294512 +1797321781 +1938261842 +1783730846 +429430309 +1645960816 +668738824 +1990879065 +950324975 +1230415711 +21980951 +706006273 +1102747816 +517011704 +2139588837 +1350594969 +624404575 +833778744 +1730470709 +1428871906 +1838945997 +454140648 +585469091 +35914309 +906670324 +2128168612 +880971361 +460689585 +1981646692 +311672822 +1925840518 +1380457556 +2108994603 +1716618712 +1016704754 +390941264 +1215095880 +1685443579 +234336681 +17937207 +768375642 +256317632 +723943481 +1871123458 +773329336 +716048670 +1074234779 +1397733911 +1549827415 +657221840 +679122169 +1241289764 +1111362488 +1264591261 +1277204073 +2018032813 +1245276225 +10691786 +331238750 +1079439270 +322364608 +109595620 +312413178 +283875563 +1826214332 +1329117933 +674816828 +893826564 +867077864 +909153509 +911763772 +1635453506 +1165471142 +1635707253 +1359093316 +1938800478 +204272275 +285844447 +1189050742 +1754099690 +943066287 +1868172911 +847905806 +2054428775 +985280524 +2125109879 +1924977940 +83073102 +2135801666 +108733042 +1162512372 +310682626 +218328662 +1474925550 +594558190 +2044542994 +656559835 +1269375018 +790885911 +1523637699 +31044879 +1702649683 +1011607557 +1196516021 +1190873288 +223217225 +987832852 +1395145563 +509061672 +29399946 +1001761606 +1452127959 +1897572857 +1849667412 +1359073087 +735369734 +1827293644 +1136567379 +818442836 +1815611662 +1245300422 +1980955208 +2126294288 +1463629084 +1308397110 +573368830 +1360688431 +1964956946 +1842743848 +4090694 +1341110997 +1873788728 +1706740377 +205234907 +922821101 +750130017 +428452132 +1910653953 +2145275580 +937513805 +1940053899 +999553538 +242158116 +1690143109 +701737303 +1601231203 +278029195 +381547299 +590314935 +1096472031 +49675313 +1835615357 +929943591 +28485953 +1151760793 +90857053 +601854784 +364965576 +2055813999 +297114984 +369056270 +1249441349 +23420064 +2075796647 +1454676256 +946241166 +678443016 +1883128388 +709411471 +676234949 +673158545 +501981723 +1675788487 +915316662 +44641184 +230042142 +369064217 +322670379 +611589441 +959379152 +1419142410 +661264754 +647510861 +201602353 +689750708 +1799271655 +292459406 +1291605492 +16753583 +200789758 +1588720476 +385809854 +1450231107 +1612140541 +314122853 +757423715 +410898059 +992565870 +493068455 +1120309530 +1668800819 +1166227001 +1622291253 +1197105658 +2081543663 +1666932437 +1427147801 +303124232 +1989602816 +2038737242 +1262503385 +1261261578 +552518349 +1910014246 +1462863931 +1242269057 +1561802253 +1755323338 +386390901 +1578555837 +1956113096 +1975111377 +1964365691 +1258860555 +1439768270 +131004896 +2016284270 +1850666329 +1123570766 +361869077 +823492212 +644887937 +1528096078 +298299817 +1841993596 +1462156093 +1965232255 +1121657749 +1765280326 +1807351423 +1012911343 +880300063 +921129354 +1565429692 +642830661 +236509637 +660215101 +57149267 +1991832975 +1046606002 +1635705104 +1800462423 +874233732 +1452587147 +911839330 +166518354 +1583592043 +780639952 +2017184684 +559679162 +1142509030 +693193248 +1204567099 +523121460 +991493065 +899077047 +1985277554 +809241672 +2020734796 +1603074232 +469109448 +886162492 +335890647 +1390238802 +304108536 +978721308 +1626748439 +964323638 +1035870575 +1471097767 +2010929640 +524092031 +1124076542 +737679724 +1976679178 +2035915873 +904198079 +1412787574 +669072177 +773899115 +1972466736 +1811581207 +1467092363 +1029550187 +187219020 +311101780 +1928627235 +25012926 +1120343453 +1801878383 +1628087158 +1589452901 +540557227 +1963977805 +832208055 +844665764 +795215465 +311472846 +1808989402 +1831086041 +1782570613 +1672435394 +207694424 +759163508 +262631471 +36889955 +647595733 +1166829550 +1449677529 +1316667910 +1940728665 +1274660617 +980765470 +1260337380 +156727156 +1167984490 +1571439160 +2085354391 +1192997416 +544298965 +1739749127 +673600926 +2133751866 +132822706 +490095083 +818476273 +977488470 +1285310548 +1129949120 +638994224 +968912941 +765036085 +163945971 +1176607366 +1524199593 +426577442 +1213497321 +24311678 +1593406992 +515691202 +1340979589 +1386652009 +1790351819 +174261411 +499505741 +1947078975 +1342245901 +2070944901 +1884949719 +387759669 +467760219 +1477215198 +1061360595 +454028437 +1610037904 +1551455678 +1272504711 +440042727 +689282578 +254970183 +1079036951 +1658195520 +1020006268 +1242982922 +687319238 +396722214 +1669560364 +1900816559 +421033892 +1115483708 +269024113 +1762013481 +354652069 +2059375932 +1936274892 +854157810 +1858971259 +1131037145 +777619064 +1596437330 +1518796814 +1245379283 +926168880 +432673761 +1699407720 +388723137 +1984129439 +824428783 +828765864 +525928370 +1079398966 +1907802815 +36640242 +2099405235 +1003302090 +723959480 +348643801 +525378806 +477292391 +769677693 +1640862515 +746316504 +384207527 +1995514584 +658208788 +172998771 +702188747 +369696399 +1304035917 +1479807811 +1966133730 +675349083 +577703446 +744818962 +1108022845 +129627518 +1133542099 +944668636 +954056302 +1962307963 +1470597006 +2033455268 +1722627131 +1507237248 +1985376855 +578445573 +83713080 +186537008 +1103824379 +561005471 +956214702 +597203246 +1307321975 +1340422229 +445234183 +1965530763 +1513421000 +1147422930 +187743515 +669973269 +479747093 +6393597 +1345322353 +1057450539 +751212559 +305861550 +1187078057 +1884754659 +1250530186 +2141134359 +1699578974 +573643545 +2027105980 +1274722457 +2080880793 +1864999187 +1853168030 +17110226 +2051536196 +809508762 +578115697 +860267250 +1406712008 +1885437673 +53205831 +1851946191 +1703484788 +1566626831 +851885473 +1891228303 +89116453 +1331632566 +1897621900 +1434438806 +241599457 +501350812 +1740300356 +1428677515 +238621823 +843346894 +1422328226 +1938200797 +1416990439 +1301950558 +1065439607 +1350387585 +1019466098 +771123989 +1367497811 +923518646 +1580632751 +1945613508 +1783785896 +839861112 +1683567533 +1836991727 +544323655 +1239568674 +1256134910 +1396209129 +983313329 +1345251363 +580358047 +733451582 +632206521 +821957505 +1234802394 +225023229 +103151372 +1473424217 +1068370124 +1525479598 +1264141366 +337876915 +679946509 +182097325 +1688264500 +1699412607 +953221315 +908278663 +475447605 +386370418 +706408524 +111749853 +1226231530 +242492409 +1948741580 +1770555186 +1482061083 +1057392842 +1019280667 +317890765 +255160558 +1599638714 +1051342347 +887367079 +274112571 +138661093 +1112390309 +377263943 +1612085310 +33276785 +1902743542 +728743028 +371153700 +435206403 +910840354 +2059418201 +2134619010 +1864061669 +820213216 +462582967 +102948439 +1526621740 +574332820 +1329179970 +1769114150 +375590752 +952251508 +1103691585 +1432983594 +1971532175 +1421582350 +1688144152 +1423687241 +325441049 +428027584 +1697799813 +464102142 +1540417893 +2075063756 +2076187452 +1573694678 +1830323650 +657446833 +1944848378 +118046405 +1568287187 +1856782931 +105181767 +1284865208 +529512500 +567764734 +1387813647 +2056134240 +1142097554 +569509969 +1677764742 +1517688306 +1521761477 +633972680 +803188253 +1345810004 +2055555030 +343848757 +622013598 +233512432 +771876341 +172329763 +697614574 +164810586 +99909871 +626318379 +1738505264 +1930233522 +1283765212 +1535869995 +2048279927 +704568751 +1245169278 +5978047 +1989433959 +1774681778 +573742781 +1229763958 +1683332371 +1715840336 +1799273928 +1213613465 +1086044994 +1173551757 +1847586145 +1889233247 +371878114 +1755657528 +85598357 +993891712 +1989169960 +857474698 +1166221475 +539300886 +1022285285 +1266131346 +1165619265 +613306901 +1048881220 +301900829 +1693248 +949677500 +1006469580 +1246862527 +955655547 +848419891 +874060657 +1529398328 +2078183850 +409909380 +1097755016 +1729974130 +1623522846 +36316363 +756042239 +1323625343 +1925549610 +1127920353 +931799223 +2011147967 +2121812065 +773485535 +721139018 +1140549892 +1312786422 +1743424303 +259197591 +330922039 +209247556 +1308078811 +632822869 +210940805 +110272663 +1639292449 +1457803332 +1065928210 +340228693 +184380341 +447842891 +270928895 +594289722 +1545597907 +2000903025 +70328920 +1581914270 +609461616 +1393954263 +1359980233 +1737381970 +178269839 +1223644552 +1711710387 +951755374 +1944783570 +704776632 +117058148 +1540724225 +963974223 +447980188 +1749971782 +124569386 +1080803057 +1960912587 +234842050 +572611858 +1271232271 +1300770260 +912840551 +1455612612 +1748613151 +1183769446 +2049902334 +1146727411 +1037188823 +2120231254 +581158033 +1646650440 +1366701870 +1941138266 +1236548762 +1544971709 +1017299171 +800775501 +349243435 +814599093 +1505552133 +466301584 +207839671 +322042708 +914281772 +1957811453 +446612095 +1995084829 +1771240392 +681454145 +420213039 +894989015 +1982224405 +1333053591 +203117979 +1583353909 +369339389 +105536666 +582597672 +1406528213 +78284272 +1163755705 +905695005 +1444986142 +957410324 +2142243767 +842474203 +1974709495 +795535620 +1191717639 +641824940 +153604106 +1658019223 +849664611 +475646814 +424817347 +659992416 +922258909 +272418528 +283749160 +1603713054 +692631567 +1178738175 +1438453812 +2025685158 +1381856155 +874324073 +247540900 +1487392821 +1456921745 +1654069113 +1565677093 +473193802 +412280470 +863179588 +1430604126 +407040589 +1705653791 +1257829973 +1202576209 +749887782 +1899654914 +1356180315 +260423357 +601835877 +1831827130 +685240704 +1261828294 +606602391 +957659232 +1545577454 +62831798 +1650290800 +576831982 +1501285610 +1528492310 +1958688137 +228126035 +1776033210 +1298597310 +1685047780 +1282618675 +716790755 +10757934 +1694899145 +1579970343 +1441362061 +2101939734 +1138140487 +551708386 +1157032296 +1888028269 +303879652 +365728963 +967979 +905715530 +50072445 +686208683 +20060176 +656674837 +1643867916 +1565637630 +719506635 +1146675068 +2142469612 +73308597 +527683730 +1953674101 +301434632 +156233293 +1104787763 +1986482412 +1438851968 +1821578519 +1997240346 +986267466 +1254065214 +1291118759 +940723552 +244722053 +1842827146 +2097755848 +2132750323 +2146706798 +316001164 +2133718302 +904938680 +366073609 +672443337 +924998856 +1022748446 +168827605 +343152839 +1742255081 +1315502673 +338138803 +1815563678 +1843186404 +144329257 +2116998310 +1999419697 +1249117020 +1955997074 +1290788017 +923211891 +1805753773 +129571835 +29793458 +949388884 +1070295388 +274515511 +644732382 +1020567588 +259782186 +643955533 +1336568752 +246016840 +1548894213 +1702642362 +918460178 +326409422 +577907160 +1087287783 +669562261 +172678594 +255306809 +1007701064 +1988242272 +2098493213 +1152030321 +1957756935 +1950429262 +253663694 +1766270361 +1093733631 +1176875585 +1424540486 +1223305467 +1206669043 +226445723 +146117207 +1481184555 +871178105 +1166684795 +1740966741 +1515133638 +355769900 +1986983582 +916544204 +2058412262 +757960112 +1242953626 +488835774 +1845247895 +1912515887 +661514368 +2100554704 +772733303 +502272993 +2051564269 +1924763625 +312546280 +1854509883 +30943671 +2078816641 +800759867 +1207819256 +1355873480 +2024065334 +267004652 +1582319203 +22698893 +1748189207 +306013660 +1189383688 +1341672300 +1821147299 +1545153588 +1181172234 +590207855 +1456082202 +1939132346 +1833161481 +1944917977 +1636896594 +1598193720 +458948697 +1589967650 +223443375 +961221690 +1494048272 +723352 +1273767970 +1201074507 +31667023 +1205100964 +2001834374 +1239486280 +413490796 +1878416060 +1506490932 +1995809999 +1901114953 +1107196491 +154340011 +943014994 +301385143 +1975487310 +340684934 +1482557378 +418211517 +1796767137 +1274206076 +103889350 +1594201466 +763619022 +1702083070 +2053150163 +206103025 +1925526446 +866888206 +1700151297 +1926249798 +2140656176 +753742156 +1957916822 +1198273492 +608092883 +1049919454 +1611764288 +339025295 +408926738 +1460090639 +92656601 +1516123229 +1614430651 +1035671595 +1817508372 +1442434313 +1376356529 +1152582102 +1860645831 +1025640018 +279304531 +1964535181 +472357836 +1042923553 +1519134604 +378024352 +1249026578 +1297177402 +1244912558 +801694227 +1075943552 +1238085086 +1555436384 +886376726 +288874931 +16045619 +1936296180 +1900639219 +355070914 +197739270 +1213246211 +447727515 +1713862499 +680193214 +1483399110 +1383887224 +2122627527 +712271992 +388985678 +1835789710 +1737912010 +668290209 +1652841244 +62786199 +1711213763 +1024492200 +440810551 +812756693 +174185954 +1685723109 +1614450921 +1250129506 +776324547 +1022403657 +2136506233 +1065199478 +1038449276 +1925318765 +818355050 +1393520190 +2123058036 +2031601261 +1841247706 +1689436887 +564310827 +1177163168 +925840463 +539454706 +1889435160 +1314826142 +227760769 +1479863523 +1983116351 +1880602013 +1542649722 +1546846466 +757610565 +1983460273 +212119512 +931796519 +1521699734 +1826570433 +34442377 +150540633 +701490442 +23464962 +1215740112 +1739939718 +1948783728 +2034095162 +985976260 +1924358116 +1918212775 +679740318 +1466311355 +335039954 +1856903487 +244668171 +874494660 +1598854999 +1559494313 +1102255429 +931234874 +1395127016 +835373794 +326400948 +794489835 +1592984359 +162377573 +1006609347 +377297230 +1684077307 +685696132 +411739608 +1834617941 +1387186574 +435204570 +902874405 +979642644 +236504650 +789485919 +1965618904 +13379118 +560215046 +497875575 +1479690474 +895255000 +207295414 +1724358645 +1769749660 +1806150413 +1136369310 +724521442 +589901640 +384012678 +1559895236 +916302588 +1178502513 +1005395948 +1078680162 +37628212 +1382693178 +615273821 +723324344 +1794432786 +302408114 +2110510918 +82153709 +1205282519 +942669914 +318658359 +1994768438 +760805171 +332037478 +407499836 +1258680746 +1811727952 +1302754836 +1465976160 +1388602949 +925020849 +1124642925 +377488611 +1649542291 +1714544565 +761501289 +1061953879 +483363506 +1940003803 +2067349827 +1562043668 +1977632015 +1302559358 +29833841 +553472712 +949508496 +332241956 +516499982 +1031662205 +1537524475 +1459169897 +1350320565 +1384809266 +72491420 +1682358043 +1792309102 +1331172166 +1346602347 +947580291 +649664678 +587721648 +1872601140 +1774307603 +965210259 +1374659783 +1341368521 +1726711548 +289130014 +1824732027 +1519231703 +208996194 +1239292047 +1349380071 +1511555552 +1269125888 +1902852783 +313580400 +1601367844 +271869117 +1345242606 +991408672 +1731039014 +548079523 +228734290 +1803530434 +82953918 +2021043392 +987218952 +1429556265 +821140035 +1636883630 +2017277913 +546257527 +1263707586 +835004524 +1920917310 +457592459 +414232424 +62563677 +134840838 +1933464128 +271559871 +1374132885 +1135360551 +1783115423 +495775125 +890729686 +2096695823 +2097142970 +1162598803 +1294454781 +941067994 +746154170 +1842534304 +1169802284 +402200956 +1925488222 +1043362028 +1389419909 +1207560839 +1864502064 +878819891 +1077355104 +263275943 +2142527477 +1912359628 +36709606 +452636288 +179108405 +99273283 +587477126 +2112572533 +370833154 +1961610011 +1100449436 +6464929 +309901489 +1991179122 +2103160752 +259560811 +1006294277 +1250131886 +1200628805 +1752448447 +945182542 +222947441 +7165756 +723187117 +1266309469 +1396585665 +1930747956 +983327885 +127921908 +860619413 +1246603829 +122965738 +625495393 +1283313435 +575602026 +804603798 +1382586718 +1163079153 +769692683 +1753419872 +977205516 +1870142119 +1759884801 +1287107005 +1713837593 +1715561905 +1546667816 +572648223 +818210143 +599812973 +177613022 +1763392686 +822760414 +184778778 +339096155 +2089069884 +1581364443 +122360463 +924914121 +1709286352 +982979876 +24034302 +1832252090 +1608475270 +1307347737 +260370468 +265595420 +542450807 +1423449621 +1035288104 +148387031 +253171490 +757946575 +1908271832 +1540278495 +324300521 +1476350090 +939462664 +896948744 +147076585 +1539275637 +1074561766 +1910469271 +214552404 +1259340545 +102081778 +156138640 +693221340 +224442242 +1081052761 +255024044 +1207422118 +1105087064 +2087276134 +668413740 +264951153 +200162955 +934009161 +807401961 +1623612576 +1969297265 +955788992 +1876784066 +579760192 +716577177 +1269578914 +904060713 +45443619 +61557930 +1801009457 +192520204 +1600833567 +728087576 +2102989476 +1815385971 +1987428121 +57587606 +1971524611 +533165813 +282029848 +905093725 +788189858 +1489451967 +2010180789 +727982344 +10382059 +127648294 +928145299 +944391220 +935050255 +404274228 +766204837 +1890839248 +133574646 +1345965030 +459932777 +1403153560 +102542095 +505376396 +1464711490 +1903551553 +697896600 +918061410 +484155481 +653402428 +585963733 +324099954 +710990035 +410004697 +857265767 +993019883 +1315098422 +1645455625 +334988202 +1177795563 +225954322 +345370262 +1305443857 +1154099621 +1289761482 +93010465 +1558373849 +2055966320 +1983849713 +1691948496 +1254447702 +296298842 +947618408 +1356989797 +801675238 +264846251 +1113057702 +1499571838 +1182907661 +1597213183 +5490619 +1768871394 +1921313137 +716480654 +31392443 +631095257 +1709500537 +1346490865 +129067234 +2044488740 +376802780 +355021556 +242375354 +1682246638 +1509121178 +1532136836 +1775257103 +920011379 +1440619508 +1611623168 +464476227 +547583562 +1907922010 +1412094636 +1904573360 +562113600 +1676940887 +870147414 +2061685438 +712364900 +319876950 +2067176057 +333752646 +93706439 +636173063 +365145090 +724801696 +198189953 +1711635955 +853868931 +95195045 +2088438736 +1208890487 +337570399 +1623201726 +570528017 +1869707235 +1250975181 +1490539397 +1162843096 +715114701 +1955015624 +1710426658 +475553063 +1219626612 +1467516370 +1037666663 +749083851 +190180137 +951868453 +1461448751 +510057087 +871560863 +1795201398 +603763526 +1507733926 +12862840 +1328565223 +1705923879 +1724498795 +34950506 +1801118924 +1665453883 +1243840993 +2138689323 +1141171961 +1814369011 +1860912911 +244663494 +1157424760 +876272359 +959778195 +964956736 +439215369 +1435331258 +37099701 +1906731740 +325514273 +786183552 +2096911877 +1277382727 +100148656 +459485316 +1459942 +1895350054 +1063248842 +1509193868 +1908212894 +244330417 +1067634100 +1485228041 +279280923 +721269376 +1003198277 +1523121917 +712475052 +2144370238 +1190007280 +425904315 +241550085 +199948392 +1302176674 +1201328280 +1164905128 +1741392043 +489175891 +1202004829 +1500640135 +814690164 +1988188382 +1450068364 +2092072891 +2088337038 +1909553680 +2093532833 +1836203444 +825318875 +1455243054 +1596932690 +1069649292 +375393506 +934677083 +1348930216 +1096662882 +1937875360 +724568485 +1809137934 +1934761951 +1914575765 +87558601 +28828388 +2114524157 +1389735275 +1230156668 +1131945637 +983643671 +1719332559 +186466819 +336800158 +386539076 +27171553 +1786868523 +331128319 +2115508591 +1548938555 +277177505 +1804228387 +226773782 +1732420559 +1253677429 +1296423075 +2107814065 +40870864 +497869643 +1056993299 +1978746225 +1222438128 +718647586 +1766024528 +989530245 +806206187 +1794852916 +956570754 +48457815 +877525936 +2088516391 +1032101486 +449374848 +127499562 +1368901644 +835913924 +154671115 +1008286519 +1167042243 +122696058 +409741427 +1444219748 +1926924445 +636515209 +1029156659 +1033118226 +1932938284 +989487076 +1073989091 +283324279 +2046480376 +905251668 +1505762407 +617644314 +523792548 +347809004 +1423850501 +171161816 +1304379758 +1472308316 +1048687752 +1245412502 +356926154 +1498062600 +1372912064 +1725827799 +186492876 +1527583180 +586630670 +1353535120 +1650279238 +996372097 +650271220 +1429720036 +1632887307 +1679427880 +315354614 +1418341943 +521431308 +1389343705 +1701666223 +420428036 +147111725 +1059944982 +1038072350 +670904273 +1407753987 +314439204 +842066089 +564650097 +1786747520 +1890753842 +1810062599 +2143673675 +1241332794 +1035491016 +1722017826 +1427825671 +415590548 +161164848 +633877143 +2065869786 +1157536946 +1284148363 +1348106174 +642940605 +816092595 +1663460789 +2061282548 +1337523904 +905320846 +1615465123 +1757951940 +1052432572 +527926458 +648540643 +1723336845 +1935680445 +962979847 +417919287 +352846894 +602243719 +161189481 +15425846 +598433746 +1402522275 +1050916862 +172967924 +682864298 +1466507410 +334132773 +1316741441 +1384893548 +1491669719 +453406157 +585516075 +2134610324 +1269498752 +101493216 +2048409224 +459539008 +1006814062 +1516390700 +70007301 +2059246634 +2044317158 +718547944 +1635099832 +1832513955 +1681527791 +2053019119 +37877201 +136287862 +66724952 +53303047 +734721609 +1469247227 +1104219909 +907689533 +4627878 +423243671 +1241822306 +1321369319 +1808137220 +586008377 +1774775476 +246169647 +573135053 +896790581 +347662863 +474060630 +1356329589 +1354476925 +1990451330 +1426336890 +1266239912 +1887284840 +2144884834 +753856096 +1572315147 +1678928977 +659391567 +1610192348 +1815216840 +726116519 +1663495396 +402454801 +47880098 +620231657 +1310144334 +52507976 +1043475329 +404482993 +1373877296 +704128901 +990491370 +1001169124 +950298548 +1563626424 +1897959705 +1297961411 +2037687054 +1106805647 +504954688 +1880654736 +385658889 +1771194600 +1620455928 +383060076 +377567048 +1045287427 +2061989053 +1036958615 +507996127 +1729722245 +1763075134 +24007875 +2132177046 +1810955233 +644239533 +1294837733 +1863463209 +1687714862 +1699320726 +1089856857 +244360115 +542328448 +2091025982 +1194658663 +2105954872 +1841502039 +345136426 +1996158278 +800824038 +850091114 +1729329366 +1186482928 +473802067 +1202301646 +1569543004 +851369115 +100105425 +1484048409 +1888327731 +608101553 +1066287007 +1503919217 +632109428 +1050980405 +1167390802 +1276348961 +198334490 +883370364 +816580175 +1897655216 +1973227221 +1060940290 +292500017 +1916769555 +108115305 +250971241 +1610787947 +453251731 +99645872 +264128337 +1303342846 +1828975238 +1450611265 +1777144913 +883793237 +872670621 +481030380 +983898662 +209235383 +221874463 +1592000215 +1275522390 +1725793681 +76625996 +179019147 +745700835 +1352974957 +377353638 +1629071199 +22071485 +127525206 +1454814773 +1083011775 +420025223 +1224100680 +1191127081 +670996465 +687404979 +1644378812 +770642337 +951533317 +800238010 +452133927 +254660934 +429899275 +1335927164 +1127331556 +910929656 +172342179 +1336566939 +1132804119 +1764342394 +464605681 +711114152 +1840968390 +643624828 +1456814988 +1046459700 +1020978466 +938402539 +1068531185 +1148503673 +245733664 +4059312 +1568528896 +1469834345 +1195186393 +92041713 +9755676 +692081558 +862684050 +961288993 +1492319568 +1314817978 +1215949928 +1922218844 +503261494 +195797836 +685664852 +675603673 +1532364775 +1818468971 +292462420 +1996970456 +382099476 +2133430810 +493111636 +1838914464 +1032406862 +1514090103 +629833355 +2100938047 +515110128 +875567020 +2104997360 +2083639024 +197917717 +1152700105 +28197090 +207673393 +1844781663 +890881140 +1168962387 +1189617584 +58215470 +237428667 +964352780 +561476965 +433226503 +1650017632 +1237080638 +1965591278 +1321002955 +1529543058 +1815078086 +1703102431 +1515490221 +160706074 +1394533247 +400413435 +1674796177 +2024366603 +353867835 +42422657 +752449975 +311381547 +2126061682 +950367692 +1464081652 +6775124 +1158041085 +1161379668 +897656264 +179519824 +203513604 +955871735 +416948491 +1167866384 +1517348700 +850174994 +670400368 +606945690 +668282624 +1991403323 +2136488749 +335877062 +1547022107 +1504495322 +496583137 +794071706 +1904908757 +23895666 +670954661 +111292944 +66318324 +1423404636 +422674491 +44896358 +226288680 +1886756144 +51671482 +1384329766 +900652164 +949327746 +1563849590 +1104165768 +1905199481 +1980798082 +124548504 +1275064533 +683489428 +794948872 +1882010224 +1351772053 +638868547 +1871015325 +1687649115 +38407006 +1228026999 +36748604 +832478713 +985452108 +60644271 +1503433374 +1096745053 +126962595 +779354363 +1519419544 +171858953 +1005643043 +1258692040 +223530435 +242489161 +11860556 +1172858181 +1806338752 +1116026324 +930574015 +1639653186 +1240574828 +58154900 +175658966 +2035523700 +1940165124 +1527431019 +526908600 +1663696801 +1067596487 +565315606 +744240152 +1104345091 +1397794319 +1729692261 +1164989362 +753744046 +678953666 +1291951957 +1533098409 +50889562 +1463810910 +391257804 +1309581603 +1687341345 +633746966 +1321442159 +712715879 +292602070 +289984836 +1643289894 +1932255256 +1530559664 +1701444794 +2107914222 +1418599717 +1494126271 +1487861594 +1945508317 +1010339424 +407974433 +363340275 +1754579577 +1512319524 +1761134595 +1336788190 +529825239 +367394993 +2015741856 +1821777196 +1900493402 +2066631418 +1138104459 +144267558 +1228729373 +677962156 +778014524 +402687885 +1390678035 +1070616594 +692672721 +886484281 +855388202 +75748737 +440445428 +815818777 +1494348454 +1934571699 +156196723 +1292373123 +797427475 +564171156 +1655713399 +404523404 +2076490680 +1269364346 +1741311594 +458832271 +1636759339 +1609569802 +133125820 +1389769093 +1528717573 +1271230279 +1534036651 +609963298 +1949192435 +164567528 +1012651183 +1192386823 +1235184122 +1705323904 +2078871104 +2090572325 +1781072642 +371832884 +758907454 +1127937448 +158920935 +915104177 +272826924 +956348411 +1479275333 +1928540323 +1360871815 +1408282365 +1050421021 +954699762 +1867114637 +539696712 +416785916 +2000240457 +1929465805 +1945503489 +1123987088 +1316018808 +407983140 +925695875 +1480586336 +1420634323 +2118082698 +568286811 +978474580 +2049470155 +511375488 +612063574 +273819391 +1270282942 +1740001022 +432740327 +37903471 +2012827946 +1389088738 +1517178804 +1793884621 +602476905 +777977521 +696821994 +1557176667 +497608510 +1236518706 +1973962584 +350365319 +1018500863 +1771982425 +1474352407 +187036024 +32481917 +252564635 +1667622360 +1453116241 +223163685 +88425523 +284107173 +125150192 +599801011 +896170747 +398969584 +1870083953 +488688121 +831709911 +1907987424 +354032420 +73315001 +1277682580 +433393 +675791906 +2055660102 +697255388 +85484926 +405784964 +1933774094 +2059447510 +756150284 +804791310 +1683946287 +83019043 +991827334 +1716428205 +335583678 +511966046 +1022060798 +558747364 +600391570 +1306167971 +683897556 +1200192581 +54855070 +1082867140 +922792887 +543543191 +1914577051 +683296663 +897575611 +1987892052 +1960979244 +898009005 +516200311 +1869155698 +1595264393 +601685237 +127457014 +1381554839 +513649099 +883607298 +38862501 +50111738 +966626342 +1030689835 +1766539943 +1302210020 +1542655882 +641117093 +1860957384 +2143047452 +1947285064 +397371293 +1195756385 +2002140134 +1480238433 +2118549272 +398199678 +1247331837 +654362288 +1295775289 +1087740241 +467857884 +46300646 +1603940552 +189529934 +1641565039 +58142141 +316986948 +875636231 +571791240 +1200594247 +914498732 +621902979 +19736941 +1945188568 +240959274 +1321946961 +1340360802 +882076368 +1035420698 +1335924606 +681877784 +1432791991 +384197343 +536534271 +765546776 +355262968 +934733949 +2012878613 +1009625256 +83025590 +953135207 +1477483140 +129326237 +409592111 +1667013074 +1770891276 +467734253 +1984000022 +499043859 +1039525493 +1037110621 +1413542592 +1661428472 +1056847562 +1211247512 +1902387747 +231310876 +404124666 +636980467 +1266731574 +1740049272 +1318858251 +552039917 +2124246615 +1855392522 +1317586693 +332025935 +642642823 +1182981659 +1341651191 +725668414 +2136116866 +671650683 +854994651 +398225329 +191180109 +478402279 +865959582 +27696484 +977446139 +1905485076 +1064807105 +243505083 +1419429900 +2121654668 +1454752595 +1174333999 +205481896 +1858877261 +1811314466 +1472213470 +1451442885 +982689070 +2024253387 +1428205852 +690597944 +1194356432 +1760231788 +1333240768 +229854443 +954399331 +2058909182 +218487661 +1626050015 +766420185 +616712991 +1817230124 +1244822464 +1482672573 +1844926608 +74784955 +1240674001 +762250066 +318290038 +512620254 +736421086 +1773042633 +1686954253 +941902982 +1484436246 +1350785072 +266632804 +788395483 +185990494 +143402543 +69117688 +876588438 +1337758975 +1829349476 +62345558 +1567613419 +636265159 +2121254740 +1786101080 +114831526 +740191277 +255330423 +1932061651 +1985013742 +1738002997 +1629504611 +2059798697 +831193350 +244271029 +230605088 +1343813604 +980692115 +2003647721 +883284210 +1922595097 +1340600320 +86585634 +41744253 +2128995803 +272576128 +185146796 +50629843 +1149164566 +1522905772 +1879979319 +1211510125 +943035543 +368760831 +1185281217 +581652975 +483592357 +1925472495 +836983399 +268170360 +1763002589 +427502748 +1897674972 +1675317638 +1258696098 +2141946001 +1905922726 +455026055 +975154469 +1762086800 +1338310265 +750265918 +955203472 +1424895899 +792010172 +936715627 +1697472027 +977156968 +987345471 +699152945 +352579092 +719841142 +1910663070 +1295614635 +1088601973 +948460640 +1877267611 +1572194331 +726449487 +566767362 +1840364691 +341968428 +994270110 +1590556015 +2017286066 +105482560 +1585018369 +1775725145 +560508615 +412689190 +1390328297 +1898818880 +1162955108 +198048121 +1176231131 +1954965280 +1134763748 +726219510 +784638601 +2122109219 +1425372456 +1137217693 +694466714 +1188551878 +285348681 +1783068687 +2137012518 +15132644 +1207779370 +715978357 +581900006 +900660414 +1057946785 +1576170116 +343732781 +927749204 +1681652676 +1928751150 +555990701 +94677644 +193956692 +1946318998 +1993496524 +1356911801 +2144367119 +1022244008 +1164393433 +1131647219 +1748463518 +1949032034 +1106272791 +1026352326 +938766080 +1800739505 +67420557 +1224114761 +1436324544 +56949427 +1239247405 +496620267 +772927785 +1821147411 +1397280681 +1830874570 +1249833879 +1741013462 +611140126 +784002907 +1522280965 +1167130827 +878680551 +1716237657 +965966177 +724693428 +925665810 +962849648 +1746937436 +2090059244 +2094496868 +1347917306 +1891607630 +1053286011 +226785985 +682890062 +706541868 +294206542 +1907004823 +2142866412 +351155969 +998768580 +492003031 +1124083754 +672432343 +1889283712 +807474677 +1922266222 +1482813527 +1418614803 +558785482 +857610844 +438261983 +1437466033 +426364853 +1404228160 +14675813 +1352030664 +219594161 +1761613249 +1294606260 +166607381 +962046908 +1038730242 +1219893392 +1188832893 +1721620305 +1926435260 +1483039435 +1481141480 +1921818024 +1834195404 +332426413 +266337408 +810795511 +1004858756 +8137472 +1618270188 +779641331 +1490950999 +889401343 +1338426813 +201078195 +1327663326 +628409198 +627443049 +584407839 +643085012 +1979473713 +804002000 +257214613 +1126596325 +970609381 +1219261521 +17842919 +43019125 +260610766 +1739463224 +1969454385 +1743650201 +1073121057 +1743788761 +1430361958 +1405547470 +2010126169 +93673821 +262922578 +2018263642 +1711944009 +1042563909 +1361730993 +453861704 +233507074 +1562809189 +1781525031 +861916273 +42768590 +218449222 +1505001285 +2022242303 +1022451222 +1762215898 +1001354980 +1993060603 +833993772 +1019197899 +2036079728 +1094604538 +611177476 +1858050465 +690771092 +1684298533 +1454355578 +2121133050 +942362355 +1316998100 +67323223 +1205284933 +1187778094 +1779267232 +100365195 +402025439 +85645288 +333872269 +1964834628 +1867170319 +1195788542 +2007603218 +2085619541 +553306179 +1882361873 +960587115 +168038430 +736233205 +806164070 +1002032202 +1755431105 +694760150 +2096636740 +219124933 +405326967 +639924184 +1903423466 +1859682546 +613573586 +698302173 +1029196998 +680896809 +1903587106 +69491444 +312680393 +2003952301 +471516883 +398325682 +190340923 +288867864 +118012353 +1386129465 +148987434 +56148247 +1939435645 +2031349308 +1016735362 +2107474075 +620098865 +1822899433 +962022629 +228046322 +370175935 +911175721 +447171255 +775502903 +1551099906 +203111073 +487701801 +17189844 +901413246 +1516898799 +698086654 +657516705 +1586390243 +1010767047 +513985358 +2057907126 +1409092729 +704326281 +199291342 +1527105083 +2090455747 +348278777 +1583253330 +1882407744 +232144437 +452505044 +1842398171 +852243302 +127920829 +656937152 +1080289625 +498096765 +1568112873 +1527460880 +1273599668 +971729131 +1730571954 +1761301469 +988918976 +484501552 +1130716620 +1687005630 +1142018257 +569623215 +550289029 +1656003616 +480046693 +1959381759 +212846249 +679338036 +1339003194 +155818348 +1027616813 +774772876 +2038226092 +1259761250 +1227277920 +1733140615 +2112004552 +1355198750 +242594119 +1044810529 +1853295515 +1810706993 +424787762 +979411535 +634952476 +7876068 +593229356 +1623871452 +492377620 +1723945976 +1163393434 +1634395878 +146085543 +1713682464 +1142915846 +626132236 +1525580575 +1355762095 +1305470272 +717100121 +1511580444 +185603437 +1491872997 +1402322888 +1445364687 +571667269 +987979856 +1409885592 +1926866019 +1230573975 +307212473 +1632677886 +893797320 +732000235 +464605773 +1528749797 +739876303 +1057835129 +1005137601 +1232253924 +634297457 +21047388 +719166154 +780383000 +1734729852 +1862082000 +1406515237 +1112826779 +1070360447 +564501861 +1829926900 +434457243 +750105299 +1174316249 +1836780132 +47986338 +1745983518 +677276340 +1457871930 +1525365890 +1907850315 +1765084404 +1010560128 +654163988 +349600991 +1475165902 +35430137 +1089477295 +385517383 +1040567738 +174247571 +1019814841 +1061615126 +893413725 +1800197841 +648861330 +608012077 +1059229430 +1761688109 +1678372524 +1623731292 +1444131361 +2112829768 +226352943 +470963962 +1802126252 +274339281 +69463833 +331918944 +1732211212 +1594829723 +92285611 +1349811968 +457906203 +746449599 +1699412959 +1933072105 +781879736 +641406606 +171105841 +1822447475 +815654177 +1190920682 +736578953 +1709067902 +843634875 +1385440284 +169596331 +1902864306 +999644745 +1847968856 +1379111950 +296292459 +1813314976 +1605464893 +767256421 +1467957580 +1879804174 +836720254 +1799876524 +1464531738 +284066329 +1892162135 +666860058 +741972533 +491128087 +218789370 +527560990 +1273007823 +860195976 +698666831 +947971650 +1675850154 +1889587513 +1684550604 +1237434408 +585738741 +922507240 +1407030740 +341119399 +1922151985 +1107515948 +1720231349 +70960796 +773347276 +1178212594 +838217218 +93821208 +910533120 +1674937472 +1893697732 +227581211 +1959003802 +1638376219 +894441269 +553492687 +2129504306 +1113230639 +1081053677 +1255028482 +1973426616 +1779720509 +55516484 +1501793122 +1521824374 +1740067088 +591743882 +2107563115 +515090680 +1998774622 +301198866 +289759018 +958806922 +2021430215 +360719814 +1732154198 +1052159161 +1198937032 +1825975406 +1962692282 +726390857 +1572189490 +42789845 +537911011 +1063082062 +937231114 +1091403698 +1045102720 +2050461754 +24973727 +152647554 +1876404722 +1804694236 +208164039 +1230714196 +1179034963 +1948231127 +1822458078 +1139114430 +315838160 +1673749053 +1440313297 +605597178 +485072327 +1314259864 +966316992 +69742878 +218935378 +17770377 +1895718284 +34144012 +744161234 +1320424127 +76933857 +1282072245 +236022541 +1014164971 +225992295 +1281125261 +917143077 +250966022 +1433772816 +646064151 +2055660259 +1641936855 +1876778347 +1087211574 +1442684334 +1551752778 +78842356 +1758522494 +1078018183 +1519155653 +216636024 +1563090510 +685931870 +1182953017 +1632833388 +904867248 +1200723394 +1381068025 +939011260 +1944884628 +554008504 +1015945117 +1079473225 +790031045 +2030110088 +1305465520 +2071156306 +799769518 +1556431542 +1357445474 +1445833669 +1464608153 +851898681 +1175128369 +404336079 +147099368 +579397499 +483178436 +1905621862 +1657415682 +2002334089 +2122257887 +1073022544 +540782311 +1157727256 +558372285 +1445649559 +210967002 +1939440310 +237177171 +8367982 +345965166 +1253122288 +1087841207 +1135996211 +1135748729 +245823079 +1059668869 +1935518247 +1802254621 +269630696 +1233868268 +1119379127 +1121529377 +261512989 +1523715206 +1268628745 +840910488 +2006893642 +1026766960 +350842522 +1861744084 +1001541199 +1423865067 +255042747 +11784807 +1982237352 +1700692307 +222751809 +1774194014 +1937869478 +231119791 +2120159180 +1043508119 +1318960998 +1108671743 +31773200 +1564784077 +20856964 +1967291447 +1219555050 +290487660 +1053676067 +191450529 +1412017038 +1315189057 +1715165736 +533162135 +8615897 +1574575730 +1559929095 +359458420 +1288836166 +413986646 +1783323487 +1543878914 +425771453 +1618077191 +1097087573 +648523262 +1244787557 +887473403 +879643053 +1217463089 +1930981522 +51120403 +178651184 +1962754722 +1615904480 +199508148 +1782562521 +687975883 +489995809 +688754941 +879426412 +1902012847 +2003943998 +447108500 +287691334 +2012559895 +2021684231 +1847620430 +224534667 +1163036749 +114123428 +2007858154 +559432015 +539894882 +1478451697 +1656519588 +1188418144 +575755606 +396509344 +2068061198 +1793218695 +180007218 +2119181601 +1971869879 +2142761941 +1587602434 +23894380 +1777840814 +128094669 +513890189 +319112107 +1007521081 +268419388 +175572457 +1454629582 +556110722 +40648705 +1328830165 +256247504 +265183372 +344383266 +370370933 +125557879 +903815282 +910265815 +1604009576 +412851222 +2098683959 +32281535 +809360566 +2019261509 +1825500230 +989367785 +1990959463 +1649886462 +984646078 +1431078249 +1673780842 +615003244 +1559172918 +40187383 +934115352 +419210351 +308606771 +1109687809 +1873839933 +864717493 +1150336514 +1055186450 +1120964998 +1415519887 +1399569717 +1491335931 +1541077766 +155901351 +254118098 +997603694 +568752573 +205318409 +1029885229 +1378113140 +77096271 +707901812 +219997277 +2068055734 +210304626 +1204643355 +1351650335 +1884085468 +1819646599 +763339605 +1924272851 +606278303 +1182549956 +85395974 +1715966113 +908906242 +950113467 +718818979 +1964092692 +2071078465 +2134338866 +1216178761 +1414930748 +1527932984 +1372080112 +1669048846 +378053031 +1940832686 +1874367256 +1407938260 +1171462178 +1951463527 +2115840072 +1391459455 +1872035613 +178661050 +448619162 +1076202300 +2062746518 +120782113 +1839541905 +1839535721 +727060417 +874608213 +1924931695 +295542882 +1783514455 +727561515 +1014361861 +1600123500 +651156332 +1001217080 +668818613 +2066087081 +381666416 +2040898726 +1587652279 +759719447 +1834247764 +1314535887 +20174060 +858226294 +1118515766 +2136014132 +102202101 +843067731 +167191535 +550821263 +1919270031 +82454405 +671603376 +1611328288 +1921990127 +1398663793 +338452854 +1699438174 +1694206675 +2121967309 +279516041 +561084889 +1574607161 +930672374 +1562301969 +95942127 +849275807 +1943968385 +2136840853 +289444438 +556204185 +1823604969 +1603980326 +576378245 +534347615 +575012444 +564908729 +636549716 +1418080176 +732100264 +1187370979 +1189866559 +814554670 +1858974355 +653711200 +589061149 +1110154501 +992164054 +141015675 +656877528 +966647715 +420531717 +1217962417 +393771229 +1351204091 +632780738 +489713356 +52996250 +429265476 +479070561 +342440688 +985469661 +155191882 +1946421014 +1561847906 +689539497 +373949811 +2126756635 +1326089213 +1792029987 +711373252 +365976544 +834412898 +1525927922 +77467251 +1488124098 +2114989071 +1187621752 +332804504 +108521098 +1844499281 +1299452220 +529052815 +914978050 +1693223449 +1880256906 +1547758789 +35453157 +1933253156 +1977024265 +514523718 +128210197 +815010278 +669715600 +2074631211 +229374536 +1359255097 +301097374 +208647523 +537860662 +2093127361 +920020775 +903837206 +780056612 +298465049 +981304457 +120697062 +265970472 +21442562 +453501567 +374491571 +1865941843 +1752953787 +903544386 +633436245 +1298693588 +636317645 +33711386 +1334146745 +422087153 +2010735651 +1848670463 +550297350 +678262281 +370902415 +477444914 +907636817 +1730157512 +778542288 +1116284341 +120534526 +724186002 +2036305116 +1024371732 +1504242614 +187286518 +2005676189 +1624939676 +453256990 +2027118751 +2078441243 +827748561 +1745576946 +1683911382 +1731292948 +231529544 +835121322 +220126945 +265240930 +21784419 +642214098 +128492934 +1870454882 +1192511449 +806755215 +93873649 +1669956363 +1714392033 +1824031161 +301015003 +683192726 +1944565687 +1025201005 +572014194 +821453771 +381959971 +759300712 +679646313 +2006899648 +1212557703 +559281416 +1937857243 +2040306264 +157374715 +1474284978 +1624115564 +388904259 +161922652 +1844242509 +654145189 +183707072 +338972960 +782638123 +2054161954 +1531484409 +1589393339 +551956 +1053957124 +1156301724 +1824583117 +1354972127 +1839494450 +1621665157 +232689485 +264024996 +295635280 +614649456 +1023325709 +975281593 +474065456 +88399764 +1534563010 +264439052 +2128706028 +1691937725 +1738724030 +1605337945 +2080841984 +1900646682 +1302096806 +587503525 +2084353754 +1641069766 +1370141649 +1991032061 +1025070527 +812051340 +1991584017 +2079027651 +1968353064 +1668683486 +1286516131 +1660363866 +1142864995 +1519205616 +1924388862 +1438500276 +2133855072 +800230923 +266298221 +460436881 +888630687 +1800861231 +724875933 +869853068 +1345315308 +316116315 +327707365 +1278673644 +69279349 +1629804171 +1866177170 +6149456 +1123390290 +1088835171 +1997181517 +977169 +1900886511 +1841281886 +2080004821 +1721755927 +1362481724 +1219037304 +1234636145 +357863072 +590759272 +1011541359 +1796363348 +577130696 +1811772283 +2062661569 +1037567577 +552919322 +1716039153 +1762443510 +1422772390 +913870813 +2078559825 +1750479755 +45060810 +355527 +1232800279 +1911237980 +6504983 +208706921 +852589503 +2003686500 +209684090 +605992366 +1697484738 +142205263 +180264645 +912482814 +1361242567 +1414900790 +1270345886 +1952001839 +278958501 +919225586 +381648888 +2090730784 +834403508 +1419216465 +496166459 +402959013 +1034176328 +1918938849 +1316829826 +965252505 +1521934957 +1361890636 +965608032 +607251588 +1125644968 +972113015 +815958509 +1978234471 +828315867 +1025642599 +436743189 +378316957 +1167847863 +617007834 +1290799772 +381606782 +2031908624 +413662010 +186124974 +163383478 +1332887597 +567773862 +106630614 +19807457 +1986990327 +602797073 +422766470 +873683007 +374252275 +1739596296 +1838935513 +1896187232 +954003285 +657059897 +355955172 +2079648253 +1629172913 +1171913681 +1910399077 +310005132 +50072632 +199658618 +688322090 +1217920495 +816666453 +1979121862 +1599527278 +701091429 +245300224 +1785652252 +864474907 +1578187821 +205942466 +971105522 +1597995278 +45449145 +1573902595 +2020761748 +919132153 +1948154870 +1612874397 +610584018 +1696858454 +419394034 +1267643915 +2052813626 +351558639 +749333180 +1077243659 +114474068 +1059338313 +1127316292 +314132687 +1747660403 +197753139 +1130799140 +1579298617 +1797280417 +1831890569 +1824598841 +1435449021 +548881829 +1255303015 +1641391487 +1519987351 +705814645 +1686840633 +946406298 +579092746 +458489138 +747077521 +44483495 +1069073156 +296452327 +463877529 +189233423 +201782306 +815436168 +938566604 +1279025965 +929910237 +1997904917 +258858609 +1244042924 +1598081672 +456611749 +227358416 +1029896641 +106408518 +2059248985 +707011834 +1541857540 +460647166 +1962314849 +1035765379 +1980634517 +520645847 +575122364 +779557168 +1099738593 +1033611502 +1526634689 +1144222088 +2102684658 +1823087016 +1608099617 +144434434 +2024869322 +276052137 +1083001038 +1156411640 +1205962374 +933422307 +1415270249 +302521650 +384020331 +1871881998 +529880066 +1413916972 +1978290517 +441645404 +2120928806 +1372664409 +902292570 +1935760008 +260946140 +735443440 +308922207 +836068505 +1515000608 +1408660800 +1869680007 +894151649 +405399240 +1824881018 +569755017 +2013498857 +1969315452 +447140692 +142067346 +904832842 +1603552332 +1348029721 +1838255149 +871338933 +1650551371 +74791832 +595737284 +32947790 +1488708804 +426544153 +474593194 +1462153962 +1799208562 +1376885764 +1250430322 +2060154702 +2112329204 +1559352529 +748739559 +1479846164 +820529681 +470935919 +226514165 +1225928921 +148333289 +796269183 +1091944130 +2117648741 +1243409875 +1234011477 +874997935 +699478559 +434557550 +565769436 +1570817492 +2085108921 +640561268 +19071128 +2118056711 +2129270072 +445615281 +445166257 +1443940386 +97340195 +1822052022 +546887061 +10011250 +1786897578 +2106239590 +758750809 +1119260095 +779285624 +1229686728 +1345774260 +2005214545 +1378020017 +2142043443 +949675028 +1348185110 +1237969670 +36202857 +75699397 +1937448229 +470760407 +641468833 +1360782074 +408385680 +1282030101 +1379853202 +378958744 +1263816525 +1825468484 +824125001 +560273264 +1922808679 +498693375 +1107160325 +1932819929 +138107306 +1065916267 +544087091 +1257367401 +1845201891 +1773773819 +455658013 +1702932789 +1004310189 +450217809 +505124169 +205011651 +1688187479 +541327026 +280711049 +1478152061 +1012087433 +922179882 +691450487 +1420473113 +56726336 +2071303689 +1799431857 +1320542861 +1749288525 +476073211 +1880816125 +1524613557 +974766586 +840492802 +1309949838 +1112873892 +1906409070 +1854036929 +222757645 +1604127313 +1480327101 +678415659 +1159576454 +337153642 +1128633468 +1664700623 +542165293 +669337299 +58544001 +822876342 +5712 +1070631434 +1745056225 +691456199 +343620900 +1801782561 +615276241 +2143052757 +974841774 +217081118 +471642320 +708174252 +1741694675 +1446408907 +1548667054 +904160866 +411799151 +1307592476 +610714147 +634556797 +764236142 +2091041248 +1312972456 +1923812596 +280711242 +294122276 +1441029572 +822876536 +963459575 +1499573573 +1645752878 +963465288 +422721360 +1243325455 +1654921487 +766342260 +897624368 +122714080 +761911369 +1872466143 +339795199 +1233553690 +433156747 +2081489874 +532478949 +1981823801 +838167092 +944278100 +1141932630 +1448881240 +1578834897 +1906168772 +1392438840 +744323705 +1682497720 +1673150083 +1038445981 +976043644 +348542971 +2001905557 +328133570 +1994295849 +817887197 +750854930 +1090137657 +325325036 +1517197190 +1987762025 +448039117 +131624911 +1712744520 +787834316 +1365178601 +2145901267 +721840542 +1897657550 +1980241421 +1560007635 +694452003 +974690403 +861405227 +125803252 +733375527 +106360419 +870126958 +268389599 +1779510502 +1908572939 +1244433244 +2128053473 +1762994848 +1572566814 +1974865675 +433398397 +175938096 +917519684 +758723434 +1693135286 +757798061 +1206762551 +1824760197 +323058934 +1994596867 +1042455151 +321476553 +568953761 +792629053 +154234326 +2128961396 +1487081056 +1128924729 +842882975 +1612884309 +1862300256 +949243395 +335527619 +2130689856 +581270249 +96616910 +1227639452 +561840075 +1859611759 +652722618 +389222102 +145526508 +828660714 +1306741786 +904249942 +374312352 +2064539847 +2111012493 +51588901 +240115133 +1958125712 +1094044052 +561591687 +379595826 +1886673106 +715826013 +361073574 +1226270514 +1844750743 +1203956550 +691671175 +1559567351 +5716297 +1027198794 +1542773559 +586986546 +1123815705 +622929363 +1148826621 +835943816 +1275651981 +1538048723 +981470324 +2104312695 +697306861 +1885720267 +331141399 +614363061 +1849249112 +382730301 +854478194 +1659891177 +1476774353 +1416069881 +2039487003 +1215963811 +2131895895 +253076929 +294750678 +1829162990 +1457033479 +986421853 +1241246693 +1462749776 +2013620648 +636536605 +2049736323 +989952705 +1259465968 +1051079296 +1825896521 +387634302 +441644372 +659883197 +344463349 +1138951233 +398119816 +675604749 +1753314294 +99885281 +1058335050 +460308841 +1759776458 +387625755 +1876378722 +1651779813 +1603589567 +1860790969 +1904856742 +1898340245 +1542470311 +1214406574 +737278450 +636233357 +529672702 +603415450 +1272769962 +431925377 +1593368155 +384752282 +1483004674 +1271781028 +772386584 +1924649046 +1931664226 +1116849934 +916116631 +182300394 +1792454683 +521947278 +282185675 +703306085 +982256119 +2041962133 +1090931840 +711151193 +1546258298 +547037759 +424458515 +1303631393 +297894356 +1966928826 +370554319 +1035172807 +455678535 +900227021 +1638588257 +1728448497 +1332152399 +1084472765 +2113200780 +667673425 +208770145 +738103716 +444838823 +2140434371 +1854953650 +1360955454 +175251118 +1499924685 +1882902732 +457436793 +55747122 +717675203 +351915279 +1146678963 +1428826397 +1898173577 +1693716722 +1853284912 +1054321322 +1991611079 +1672730090 +1424875641 +879300238 +2128408626 +177619015 +370404847 +1709373475 +1509771414 +1454877612 +1675090607 +29961191 +1663647758 +265710676 +474800014 +1656598481 +2120664326 +1835755468 +1831849599 +1473105364 +1571174553 +141802745 +1528852486 +141366108 +493718024 +528047801 +1570192505 +244407953 +74280876 +1275993769 +1298729276 +2065891955 +801240212 +576121269 +797708545 +782165190 +753740284 +1168113392 +344055017 +116028050 +475507357 +2019145625 +145989241 +2139155115 +137372653 +620789255 +1648269948 +110553331 +309061076 +1332635900 +1583658695 +1880235629 +1474438645 +965027534 +2021601737 +1968156669 +1493075335 +1444310595 +65080974 +1567356211 +572820716 +1363810250 +1485764518 +1374060928 +1939931520 +135989415 +8742470 +546188156 +1304102808 +352797488 +662216207 +1779610165 +224459465 +808205448 +1771281632 +361832118 +1428994704 +1272067932 +472385449 +1738055780 +457220184 +2056044145 +1470807761 +1931658829 +873588031 +1344925850 +1752331850 +219179718 +641752797 +1817412825 +1786535930 +1214573514 +1033739427 +1124816800 +441150794 +826187299 +1260806216 +449893265 +1372375456 +417425376 +802690753 +2034591663 +49551893 +1027150218 +695313463 +1820833525 +1388982336 +2124308167 +945417809 +1861367785 +1714880299 +1402637994 +1769928282 +1038204412 +1186813175 +496032665 +235646615 +791661378 +715212384 +877399412 +461590555 +354264666 +2091972926 +1495329982 +1479081466 +385640073 +174033634 +592404034 +835533338 +1546409090 +1009829410 +1638224091 +1433517105 +1059381303 +517890661 +2128830568 +732731180 +1906872997 +2105655088 +1678148990 +1620757134 +1673051739 +933303336 +1243201769 +563772504 +2120116511 +1739234434 +799419119 +764294241 +306963170 +1676818531 +1225884796 +661227836 +1621307810 +573731131 +2140309303 +2006947883 +747764765 +585229689 +694997573 +146690207 +1595059100 +185738016 +1580207312 +506956755 +703628677 +1561554232 +1239687936 +463018026 +1519725672 +770353278 +2083775160 +1045293764 +1703656614 +1179493281 +1609066268 +1676289477 +771244068 +261001739 +293100071 +1078207238 +1937820270 +1518984867 +1739435075 +1411644432 +2092715998 +1732260730 +1271108667 +692997115 +170006771 +1966106240 +839687322 +1765065871 +4360608 +272410986 +124538979 +707989285 +1833965219 +1364226915 +1171007311 +1206207243 +2134580193 +1107298824 +104017359 +1690753159 +139308457 +1713083627 +1219558988 +910552525 +1974085366 +1512659059 +1988759764 +1764421989 +884160279 +1580711191 +1028582773 +829392629 +1165488273 +152207793 +1522389745 +1335495044 +2118314033 +214593419 +953077268 +2122674642 +487004406 +1077616247 +683180279 +173485977 +294359514 +1854187591 +1379693220 +281456059 +814002767 +1483710580 +1972209218 +953311224 +1049310559 +1044284558 +1863863750 +875912278 +409459970 +1705139866 +492850619 +1293620249 +1138367409 +1521433392 +2123012878 +156372034 +1673641185 +1497918975 +1491867078 +1644471571 +1712512395 +297460698 +1619662565 +52033153 +1375076945 +155359196 +225519130 +1669436459 +2009546787 +1605212350 +1950892518 +676065906 +941439282 +1775618088 +1629377131 +1990749842 +672418999 +1345757233 +719178472 +1081878969 +903413451 +1212029091 +228015570 +2041780860 +585978835 +203544800 +50669246 +112136373 +1701463776 +1542536324 +1756607944 +1266492523 +1839997023 +1228786861 +1318525676 +1067590320 +1384146057 +1544044806 +589543132 +1246209197 +1001773508 +392952002 +1922275103 +1943212791 +21086443 +1404168586 +1786478985 +693505442 +602442171 +358173809 +1775384411 +1505855622 +1570202900 +2003399981 +1400152834 +8698087 +59461133 +1450822080 +120834460 +1760924909 +845874757 +1877442404 +879933784 +538388132 +958745617 +50975812 +1605978452 +195408027 +1595020618 +48037936 +1441617224 +449310479 +440989939 +1216408679 +245039622 +462076382 +473093618 +2031518607 +1155581824 +1075535789 +242208768 +783482587 +433907764 +1812411668 +639398920 +1834060598 +1821109755 +698860053 +1137399031 +1941944216 +312301315 +1983273788 +1671902972 +1192235099 +374178272 +483164942 +1243210912 +1980156724 +678572969 +690747882 +2028194661 +2120190193 +1140058361 +321700952 +1189115224 +1385097983 +783777334 +1662208842 +1269132942 +1939359158 +590260984 +1511341710 +575358097 +1024168748 +1176269730 +1214757017 +710745698 +849895838 +1913617070 +1848144729 +644356406 +78434737 +1683934869 +168775730 +1270669837 +2058113141 +651940672 +366397101 +1890786218 +1330513641 +1057144983 +1771497231 +1303220186 +49719697 +2093198183 +344851763 +1434817680 +729491869 +2007060605 +556466975 +521367379 +449837941 +2067808685 +1096725476 +1474006689 +1096594768 +163998845 +37268740 +1946490606 +2077615915 +1885413469 +443363364 +8567005 +1421864691 +612139094 +1279236842 +1332494184 +1264079767 +1645633943 +1075796754 +447109760 +555295278 +699810337 +1750329947 +605014975 +645524872 +2095181710 +2039832656 +1375016741 +1954758667 +448815983 +1896384120 +257112961 +369141020 +845625948 +1731119650 +1465735788 +1009624793 +1768388390 +1264742746 +939757061 +1506318212 +1708106110 +948324066 +780699255 +172761557 +80077260 +2113193439 +1436841324 +1725711203 +1041506546 +1883951084 +133522833 +1741316883 +1486797383 +738537809 +239358108 +1434495445 +630886817 +1614374849 +1241770465 +1079702800 +1363275322 +1498883426 +1448843820 +61417622 +1082519428 +767095961 +1071042416 +703424171 +2031838707 +2010799477 +62258735 +1592461170 +811639895 +842957990 +1765222727 +891717155 +808667781 +1054580403 +469944710 +1850174327 +791047839 +603467543 +1444007563 +130361575 +1342005352 +1683365671 +1564857020 +1972892169 +1150256872 +659143837 +905111321 +366048546 +10543615 +206471494 +427466169 +1093063044 +973567455 +1498508585 +1796487215 +857922514 +1361824414 +1858745950 +302900036 +25980661 +554220292 +2068122763 +917697816 +1362888073 +975219518 +1387642526 +1065578753 +1766267358 +1991110069 +362102668 +1896628933 +1185631774 +2045468339 +1314002305 +1011040295 +1048241563 +1973146143 +1916151617 +1414290110 +1983689758 +2122623111 +1841756279 +929269154 +948706918 +1192781216 +578272721 +1806629432 +407121982 +289535023 +2109529469 +433102643 +843755315 +2030168584 +1350800459 +59159741 +857904455 +590959337 +1124738494 +476688165 +434585758 +1486841162 +225833450 +1620217532 +1384825853 +1539835755 +483774180 +285583768 +1365498250 +252442149 +1699873878 +1201704361 +227581612 +1394146509 +2130973515 +1176288530 +439444077 +561762589 +835434314 +846566059 +851297612 +797480135 +1279668702 +1695052928 +680165072 +482985513 +1754212669 +1538069527 +1073944850 +731467515 +2014757692 +1508530609 +70825029 +93107494 +981264493 +1455650882 +1632943249 +1465038673 +1741234650 +850957852 +1717480822 +1293624881 +2052662213 +1945062434 +540287742 +2036152080 +973867316 +979731820 +450431021 +1809301631 +1826297879 +1301728634 +459298118 +958482934 +849297914 +1139463190 +1441468447 +456026935 +530049069 +367929650 +1187494450 +397323113 +1876460259 +1258319479 +490430607 +710241104 +566486713 +2123373857 +27796130 +160237715 +826848061 +1745276952 +1453862596 +732026626 +1542855739 +1994150339 +620695058 +369239407 +826398511 +1071126080 +31057390 +505212742 +225371066 +490355509 +1463695676 +1074668980 +1629818699 +757680476 +1530695915 +12384121 +1125610126 +570706717 +409707234 +854586737 +1829026196 +900137842 +1564827841 +248029261 +876028051 +1592623971 +408266976 +1702876112 +1190417276 +1862129573 +287419090 +585789367 +1708796264 +908114148 +955028774 +387711127 +1979240228 +986086165 +892923869 +57127646 +1476441674 +209135898 +1131796626 +958776725 +966816374 +515008893 +971160846 +2092426500 +1085715610 +1380868081 +799529589 +767258158 +133522275 +216873782 +1015287419 +1009550326 +1809497754 +1423554396 +564942790 +852431382 +1138200321 +852361880 +1438220749 +699512937 +1760476028 +245765875 +1087224064 +1592232609 +1231852040 +1980147933 +1649360255 +560810066 +41800183 +633673234 +1519586792 +1008616557 +1148682127 +343263990 +953559409 +86914090 +1724132071 +1753088998 +854172248 +1857654346 +1969962781 +1869459668 +719721024 +1631976887 +1145530416 +1284663814 +336924621 +136247089 +2137025694 +1775145370 +835760026 +1750018075 +2020911245 +1922984090 +1194767036 +1105279638 +1755648375 +696643643 +1666089704 +1797448559 +1330316877 +1038192848 +658581468 +331515357 +1381456839 +1612140878 +418429447 +958105262 +1217746228 +1272601695 +668275961 +1040225361 +994577715 +1387996985 +524718600 +2140108131 +525177152 +861643221 +128871572 +514719198 +489304943 +964631598 +117253625 +362732541 +740132040 +1312020661 +1468012179 +348296768 +2008664305 +986618235 +2145745327 +1191497534 +2024811084 +656843147 +1523012891 +1258784275 +121500377 +1941442338 +69405889 +1339246606 +1066560386 +737681850 +231988319 +2061138101 +2125678836 +756706920 +2053762585 +503372340 +1618350141 +35150509 +1018091538 +2107655085 +999782108 +1135345164 +322903978 +1739914148 +299882177 +1790916157 +2088210916 +161062834 +630050744 +2086472595 +1352560369 +507378180 +595832095 +728089612 +1766162455 +717332472 +522048303 +1835568345 +2056579078 +1588608689 +425766547 +141083750 +1502263142 +403961735 +897790670 +1408542079 +907334075 +368657163 +1443692589 +1925425614 +328828600 +295991049 +913287130 +651732578 +2035905197 +1213169307 +295165087 +1976632466 +1374232142 +925215832 +1915621413 +579308863 +1432594012 +363969860 +1307398475 +1051272820 +1081302333 +1829446778 +739357517 +990397763 +1270571819 +1165124064 +1131481513 +625351314 +1569085800 +2029272183 +2033893393 +328936227 +250445699 +1330102334 +106878193 +579274299 +1626093383 +1020165323 +1231006878 +1514514933 +85850983 +1526171965 +1343663751 +1460083125 +303904149 +1111801516 +2039391988 +1736498162 +1475771377 +1199306815 +640287334 +409590062 +881269946 +1379644851 +1399987825 +4358117 +397285267 +383985691 +629709431 +1966371067 +265774226 +516119177 +147823647 +516219925 +1846221511 +254701840 +1095494225 +1324831247 +1274867164 +179017455 +691862532 +1360718147 +1705189420 +2035526283 +673317624 +2009093570 +999844151 +565225964 +1598108084 +328131880 +1764532779 +90911770 +737721942 +498319077 +1470556621 +2137709768 +502677195 +1867841888 +374211811 +1132386626 +1686729308 +639986037 +1648505803 +1834552955 +1156205963 +1347243667 +2089254795 +104216540 +524591266 +1216638311 +283233995 +1216453798 +429872810 +1988423415 +1104496433 +1103190434 +1850033337 +2104340584 +1668416398 +1300657773 +284988817 +1285465530 +1391569543 +1022710759 +1783784607 +714642516 +1012936879 +138978154 +435000757 +1387148690 +1271364781 +2121730065 +2027134728 +772386936 +1808799372 +1035857043 +2119630603 +1750570519 +1140073583 +496738221 +819725183 +1423307578 +1713192019 +1249597993 +1264247345 +670204804 +205304780 +966797035 +627061741 +1873721178 +119971160 +912050558 +1011703060 +1511540704 +1934761317 +648004020 +78699572 +800214549 +786982174 +513700329 +39879591 +2058346955 +487946746 +2067014319 +683250244 +149262470 +955387714 +655397199 +1899832990 +2095461297 +1152135421 +572074525 +1371285227 +717843792 +1821672518 +488048925 +1388048597 +2026977298 +1454845960 +2015110338 +1753214829 +1574817120 +779677248 +617434241 +938874176 +566954917 +1265438261 +1017573749 +1367169466 +2052420436 +1531274078 +1407049058 +1963283743 +2019220825 +1326579729 +499050339 +20999647 +134483796 +1154447539 +1920832637 +82461445 +159099312 +345423514 +1453746673 +876943104 +19612385 +1941795598 +117508053 +2046589683 +1249157910 +2132618391 +1652320864 +676491382 +764811991 +122271458 +1615365559 +1331766909 +1387709719 +485455660 +551452727 +1292646507 +2016729738 +1958501785 +1108446603 +1888466915 +1137597867 +1607496942 +1909466563 +1272081663 +614460833 +1682815552 +1354543108 +773560145 +2028239067 +660806133 +1650503250 +2047851452 +455118083 +1768011303 +1946957487 +1704275993 +1753146047 +1451794704 +233283728 +370474390 +1574066162 +1848649287 +1702241299 +814292233 +186621299 +106210379 +2106938741 +55867389 +2064712164 +1067901696 +1944334305 +1054826383 +527914990 +1706317220 +179424398 +1142375824 +1241649124 +1533967507 +1915935969 +1122404543 +47289992 +1418955571 +1022772347 +502408076 +1039483227 +822246187 +59200421 +645145626 +126557243 +292484149 +1015620016 +1700623405 +2141133436 +570377668 +367431990 +180271087 +676588047 +326887083 +236138477 +593816563 +1394788779 +32989134 +1648642947 +1922703770 +1739306354 +1828067345 +917595946 +833471830 +1214551204 +686048267 +1955876374 +1261841197 +2105003839 +831165073 +1764249273 +997003418 +1653411260 +1823449694 +1642149044 +1779968503 +2115933844 +510285412 +1333108260 +2109583632 +1080663080 +1700540251 +142371072 +1757251127 +2027427334 +378509549 +203584043 +1274732466 +411498683 +1852226990 +1049952588 +3321389 +1532810687 +1967548534 +836793219 +599878244 +506113153 +645185945 +1861719441 +463633344 +1476351019 +1478485066 +1460636762 +982278631 +1154451112 +955302158 +614763487 +1122901308 +1465587571 +1947871747 +1085001293 +398767003 +1500928350 +1227372365 +8534483 +1380872037 +1605881914 +212118526 +508120855 +2017380597 +2064345516 +1558073443 +2020701986 +1449672555 +1378138329 +710011557 +2049550799 +1884251482 +1355197503 +1763786592 +200401179 +684064874 +1094788010 +1661037941 +1666343505 +101755475 +468856452 +133623344 +1224656783 +1934444023 +2081495092 +162174428 +185727378 +1434939794 +1389546793 +194261861 +668328183 +847945059 +406380387 +1176449038 +717842008 +323242255 +587038833 +591060346 +1772914811 +1965177162 +1301071904 +1674981962 +1701944997 +508785759 +1291284907 +1902346176 +1192850633 +238589269 +1415900469 +711710490 +340344744 +1884756921 +845333835 +1565001528 +1671717296 +779345279 +1727175956 +1857444675 +66801425 +969239102 +2051706536 +735129609 +1817184161 +310603276 +1911578647 +387542522 +633845531 +351133833 +978602868 +259276694 +168827347 +132191124 +1934258657 +1870772344 +640976883 +1078059916 +1625634872 +1833827516 +1316649185 +894051694 +398054359 +1656993930 +631324967 +1243388194 +1074511810 +155558616 +2022733473 +654204118 +2013003291 +2089534898 +1623443220 +1917226179 +677180859 +1293143734 +80345807 +441275859 +1680686256 +714191339 +792409692 +511805476 +973468033 +961237039 +643996601 +760243042 +684525736 +1284973484 +1838302958 +162676960 +971317353 +1007468496 +1056728654 +1369371712 +516978778 +1688053622 +465276258 +1591490588 +1843612238 +340526083 +98211058 +1709131881 +282577333 +1721654279 +1478874412 +959758193 +867314365 +1559220220 +1401034052 +400516973 +125927911 +45960096 +912322449 +1099395944 +1007197135 +1556319050 +1859638987 +1691722871 +693808887 +1550458297 +1854399832 +1665126240 +410443145 +763644838 +887014304 +927421923 +304214812 +1352290562 +371428863 +343402 +1692816645 +469639922 +1709475283 +1975393978 +43810553 +1040866048 +787668523 +911124918 +452602620 +41218927 +1311641891 +578530531 +87179023 +76480692 +1677926475 +1094376159 +1632799743 +1390081814 +638615382 +179124982 +793056464 +345531566 +1844251222 +1203499609 +1109176405 +583781878 +2130921533 +1413391217 +1936072440 +354866748 +1413734620 +1481405437 +824506670 +975726255 +1309315767 +868317223 +2016592303 +2096984291 +1779442141 +321711275 +2138203218 +943600384 +900241806 +77898594 +1020081077 +430684634 +1172274753 +505397172 +1820766448 +1810890135 +684522154 +466339264 +8938054 +381289728 +1669838874 +1118114459 +965071606 +1653276759 +384022028 +753660398 +2008143507 +1797756648 +87582187 +685166530 +625999256 +1396897954 +1553483753 +495107911 +1346398597 +1185442247 +816819187 +1337118168 +2129042631 +1717060993 +1415016762 +1001640060 +261979 +439807867 +1507037232 +1821028428 +103214354 +44075738 +139884044 +112152408 +425365466 +1809722918 +1230266867 +1390437072 +1315516029 +1614288896 +2144097470 +1176175889 +1264561896 +84196009 +1861342419 +1890561152 +1481093964 +1267342524 +238185416 +680008913 +305301123 +1055004603 +2017127081 +286860107 +624581948 +1284660195 +1288500167 +624843928 +1724468062 +648053752 +298388708 +1827682417 +692129490 +438272752 +1939834825 +1117494957 +100512023 +1022618045 +360448381 +1416028052 +489423293 +357062204 +444720293 +1753985189 +441258213 +158579064 +1497062694 +1922352177 +1425921589 +1735248110 +454877443 +1731222712 +642769065 +324520876 +2018082819 +1267351013 +1609181072 +1159099339 +1892194941 +1186165486 +1807153091 +43100001 +866364255 +351798933 +481372754 +658715433 +1469293890 +581884777 +1681333478 +1829742272 +1997912829 +23273123 +39320828 +295149475 +1777258312 +480579041 +453728539 +1126837358 +255447571 +1879650128 +714601820 +710325014 +1463389193 +1357370885 +1034845890 +1333988364 +477238251 +496543314 +345604055 +221949544 +1682708801 +5273498 +265049546 +401589408 +357072432 +746422300 +1060304841 +1826366322 +1328307077 +594154671 +1508624946 +1178736258 +617427794 +1547945774 +1473885733 +247202459 +2028524816 +1927614273 +1374039817 +136488739 +1659780753 +2088641638 +846813753 +975686298 +1298528875 +1881659643 +162191015 +1775767126 +230719310 +507795070 +1997716671 +1913428111 +513068569 +115282569 +167533871 +870141001 +861704869 +1227838713 +549023675 +42528298 +1821993384 +2057648622 +1221264556 +291937531 +1458110748 +547666642 +539139990 +1339151916 +327797267 +1913179807 +1475640655 +1987578020 +1854337797 +174970760 +815780671 +1005383025 +2056630404 +977971686 +633666503 +139866066 +1485766756 +483899526 +2053294177 +1998835325 +599182095 +73344400 +721492678 +1460886964 +1301183113 +1270516354 +1503415262 +975692850 +1180681328 +577196171 +1267630381 +491308428 +1124862813 +1806770371 +1830460345 +1452660080 +1572466530 +1158617352 +1292754452 +1279320680 +1333588113 +2108535123 +137220057 +1242734869 +939023161 +770886560 +1382600935 +277306270 +1254786087 +1288411464 +128657947 +1853968182 +1361755864 +850150626 +1167371499 +515455330 +2120666980 +523303113 +1491148180 +1153864660 +1100499284 +611294913 +1645173088 +77878449 +270581636 +1328149785 +1530538529 +1843048166 +339283490 +675809334 +974885198 +1672871603 +636860809 +1112105255 +768122824 +1575883971 +1882991816 +3240111 +1853190241 +990294255 +1291651575 +1981848188 +696778789 +505923791 +684515166 +1864150288 +1021379121 +657698498 +239969754 +365043653 +1811563158 +1340469038 +976338566 +1309252599 +1418347488 +1246920202 +489918736 +801402369 +942484721 +829202226 +1477211703 +1917369919 +354590181 +2114072513 +881991527 +1122713005 +1542472836 +617499695 +1125953116 +1248179429 +1607793950 +270121043 +1082543969 +157089091 +776044835 +1767059136 +2021239380 +1797423956 +277273986 +113725486 +14983962 +2088837145 +1454194524 +991322528 +1250606096 +725058364 +90759083 +1740524832 +1526460734 +1033243804 +422243411 +856188789 +803130075 +776833592 +822777654 +1685121602 +1899546598 +217766842 +155137649 +878016066 +1465946271 +1762931599 +1148137110 +401006593 +1920020691 +1924181945 +20582081 +1793776423 +1574122253 +297856067 +1907501909 +1589106215 +239209564 +1214212785 +432945096 +1489815660 +1939271150 +523704179 +1082856845 +1318248236 +1556947983 +1505100256 +26953377 +212594410 +134450200 +849731032 +1897716013 +2033996798 +1067497874 +2052853662 +764529217 +385960498 +1668301614 +1912666327 +786967091 +1440838657 +1689364624 +807549172 +1087131432 +1116003229 +1105405239 +847149693 +557625797 +1344614804 +2061362478 +990570893 +686946816 +1853149980 +1514275072 +1769803661 +1023914568 +923739407 +1127420269 +1050867946 +1136333817 +1261870470 +1900598978 +886566182 +1148383620 +820613204 +791936197 +1912912837 +1206573702 +312754163 +1678095516 +1993540793 +1753592820 +1219976492 +653606317 +693240604 +188496074 +1759011557 +1540390297 +746121871 +956142713 +1454269127 +1736692764 +1643089529 +1159935460 +1103484188 +1265409543 +36366380 +2027223595 +245346164 +1087234326 +1016073764 +1507216634 +840349656 +1902639947 +508116607 +1660962861 +547092496 +273545796 +720052915 +859846659 +1951641313 +566110061 +465955831 +1024134157 +1219716378 +1159196435 +1212630231 +831244287 +552103084 +1958752102 +1787387000 +2006372211 +1547961218 +1282992882 +1018824023 +503961758 +400918777 +1055190404 +383701705 +646264941 +2142424730 +1399775470 +5997928 +835290739 +1154931769 +514114535 +348769952 +1702024265 +787660331 +1068822867 +414387276 +591817996 +1634932928 +880343107 +1615952154 +707165659 +2039539542 +681098737 +1538409946 +444158978 +492367192 +1178313299 +303047541 +2040328410 +313822533 +1321871565 +396806521 +714741310 +229578321 +780508226 +1361006251 +224519403 +32800048 +1367004179 +1059810142 +1187731817 +1881118714 +1408580094 +742272434 +521295398 +329919314 +1156659710 +1113113394 +1964852242 +2037002817 +581581900 +524534253 +1929058711 +1262680638 +2062944200 +225734041 +1755047830 +1093773851 +528781583 +1647892592 +1407596384 +1850653148 +2044699113 +2122337694 +2080231469 +677723692 +1335860297 +157267224 +710523740 +555380829 +1217077367 +1898255558 +289015895 +478173813 +493044344 +810311293 +808093127 +1649704055 +1923424688 +625461722 +1539223224 +357522940 +1149995975 +1320798288 +1620203578 +1065456527 +1546532329 +1227767760 +11746730 +2075313912 +728176705 +1419343114 +1778483412 +625392170 +1394197160 +1711231233 +1303115862 +582573810 +1868498458 +2013639603 +1137954639 +938092177 +1764411513 +1426970534 +1416265990 +109972209 +89798180 +76875470 +1759676264 +2013222868 +702337192 +1151415841 +223262160 +1852333167 +324730481 +1843465739 +770306047 +1871262810 +923749851 +782052777 +1799093075 +1651926556 +53912244 +1430092839 +129835079 +1448109404 +993840425 +1432950941 +2030683214 +714855235 +1299106896 +1021154205 +1652947412 +916034761 +300641092 +921729754 +1026006971 +390439272 +998605224 +638199587 +256178492 +1700942416 +1789615428 +479440652 +1405791936 +2114345909 +175422743 +28614335 +1838125072 +1099172595 +810667112 +1489734499 +603615503 +864579356 +772343690 +733450582 +165205113 +1766184115 +18917876 +48404679 +333555702 +1318024772 +1069558885 +1986503114 +86575886 +1370199977 +760749221 +1112582857 +1760639249 +1759354445 +1750782444 +2016817741 +1312813214 +1392914225 +348774745 +571121502 +1359776486 +524197489 +599735837 +1050417910 +1623370084 +1410402949 +392668761 +79501939 +127498658 +1165012452 +812952522 +292703771 +783712919 +831870398 +341108450 +1117268622 +2411522 +1410667335 +956288088 +88987408 +633383664 +1717037309 +1201570265 +246539265 +1328908107 +804869062 +115873358 +494237673 +50299639 +464648104 +1065359175 +1410076125 +988845593 +1665095012 +313010388 +464732029 +928014313 +705679149 +544233968 +1055512971 +1870691601 +1357186490 +1348216742 +506920873 +41573240 +1689325193 +1624189495 +43984763 +952508880 +432993935 +132972171 +1585892545 +2547597 +1334542437 +1832431810 +1331455704 +2139411499 +1948305169 +1825693377 +42227490 +265469625 +743568904 +1452303615 +1254315218 +261180268 +1765314003 +1719047247 +1189194581 +323509505 +115797567 +97223905 +46717458 +1472984058 +1445440647 +553638331 +1514557298 +987282192 +30344178 +1558542061 +1939791073 +463338114 +1691514233 +1378199970 +465885711 +878573022 +1063148132 +1797341415 +870500873 +863969653 +1475551144 +912728363 +1129439278 +71636400 +217548330 +236270848 +332816668 +1982862334 +1955318095 +1522011249 +158888191 +2071115663 +1619235154 +205605649 +1396616073 +917192154 +759243981 +763689723 +1904474346 +789588159 +174748137 +1696781771 +1252926273 +1866262370 +927498093 +1718811984 +597351744 +1990646226 +1368669751 +1467852617 +707132231 +696737247 +233097332 +1836571510 +768373647 +450645662 +2072842358 +1101190315 +286024348 +1880676806 +475717917 +444912539 +1804308821 +2094953071 +650518189 +1053441246 +864661577 +1409762170 +1817130969 +621652276 +51866681 +1991879106 +170950399 +1304792955 +1710657828 +1098448493 +876121291 +160525924 +941611071 +97307395 +1628378541 +1648743302 +794044642 +1861475873 +1337831164 +1562418290 +164637888 +1263189875 +516124957 +450662236 +996383033 +991842874 +895574776 +653208206 +939312298 +1546092965 +1706649452 +1803973875 +808371487 +1376296773 +278142503 +860238168 +1220692232 +449092903 +17547475 +783866412 +1547541396 +893668767 +944392337 +341668819 +990976162 +425287230 +1990412121 +1785020804 +139279456 +1180759638 +1199955446 +303917344 +296465865 +1716080404 +754579580 +1292848898 +560439630 +1650154356 +1946057104 +1499751928 +1048763673 +1505222908 +1156242156 +1857135160 +734036033 +1434384659 +569889681 +1954728265 +1883477562 +587437156 +591111030 +1283535310 +1481105923 +1535503367 +1625204129 +324598437 +1960790597 +1468132603 +2109619242 +2100070053 +501408593 +1162091040 +256503749 +797874458 +730687796 +1011083330 +2090723356 +1291127427 +513754038 +1889296812 +643395707 +1562517712 +1247036072 +1799637863 +1272169224 +1981072105 +1086538875 +1842058905 +1788316723 +822532789 +282012414 +231944105 +2106068100 +1763118337 +1767447472 +1583788581 +2087716775 +1580754421 +904437536 +2049852369 +1533340827 +1405846129 +1064459761 +1789844576 +56236939 +1795147558 +653444258 +2146960295 +938791337 +1167198297 +1888773459 +1582187044 +582232361 +988325883 +1234341260 +1854401585 +821914341 +173396487 +1548976843 +462747416 +995929276 +1830989257 +694691521 +954513728 +1446623946 +314655345 +390818662 +1386857073 +1895409766 +1295256198 +1289225794 +1281266945 +553618680 +206201908 +923627874 +609855619 +2001349466 +1577072132 +609332267 +792657155 +596786781 +350622078 +227360551 +1179019142 +1338947962 +1461701811 +885937080 +13378655 +1635098298 +287430275 +476126071 +483543927 +2118419532 +1170817592 +1438057655 +1417559830 +1485472937 +1828876317 +656933256 +1233399055 +976648868 +1946159050 +367182353 +1530267548 +4877310 +1290810227 +2140123167 +2006226776 +720398711 +601971786 +651400283 +1317185493 +952593865 +878760835 +348720987 +144058179 +192978998 +1234658067 +157436834 +1828077297 +1522088342 +633562905 +164137576 +1493024226 +1804380497 +1602195231 +763100409 +1142369786 +1283587901 +1420033665 +228285193 +112753121 +1218709067 +595467546 +1643020669 +1223586378 +1886277773 +1635660188 +1082329506 +459192837 +90148327 +1733729790 +1776378330 +1042742192 +465006977 +2125099317 +1186800371 +657985975 +1212273737 +1344237205 +338579624 +586878431 +1977800110 +502717200 +2079902658 +1634696959 +2104912432 +695519419 +629583097 +1241016685 +2115553084 +857868290 +1353769806 +1186778503 +1453335837 +849306827 +262881233 +1192129962 +337483367 +1345210740 +1651322799 +427631694 +931456882 +1280217481 +1470373886 +1396463859 +1257833151 +509690609 +2054449834 +322623240 +1853927814 +245545811 +909501671 +1684244276 +748263011 +841920681 +1171457587 +705691795 +1537440100 +1801040684 +1946708480 +1505509536 +511425327 +1152994638 +544804392 +1964761164 +2002301465 +807685625 +1009407478 +192301185 +5412717 +513246630 +619932879 +936869599 +1793464111 +2090306766 +185849810 +903813614 +452513727 +92815997 +1226436854 +158957894 +338361808 +2135938526 +1843202170 +1086624819 +830375559 +867176110 +1792316615 +220332012 +520733146 +1591541447 +1725841548 +1032158473 +597052438 +123162292 +849435989 +451870255 +930847918 +1858843468 +644171440 +936260635 +224606450 +1264104320 +1873130235 +2018070561 +1206927438 +2058980045 +774400528 +1659441165 +4312394 +2000837382 +1818399059 +342674202 +1989292260 +1514117582 +1429299022 +672184172 +233810044 +1074131989 +892516184 +754543190 +518189788 +470874084 +1786701664 +1115242226 +594036377 +488654005 +1567112482 +1524884295 +200013825 +63800274 +313661282 +424620275 +1327904594 +39307869 +295207189 +387348384 +2098287915 +1069607717 +2046789550 +2102600309 +922961451 +1717704961 +297790864 +764770064 +1084338895 +1727089886 +1436954236 +1318148939 +653738227 +181986772 +2072692130 +1171928015 +652860856 +1711910146 +139686594 +1246897233 +53080503 +1706799076 +624297880 +253094329 +1770599350 +937959163 +677714604 +951020297 +977267032 +972921793 +1338368681 +928071299 +2042529510 +1237674583 +883187961 +818007314 +807895897 +1180978825 +1582777378 +1892234792 +760585063 +872247966 +1062900084 +1414323290 +1054234738 +988108566 +438767657 +1707095594 +552535064 +578454251 +806509180 +605615567 +137769679 +1430807060 +858709896 +1908369030 +221282575 +1536424501 +711905679 +1198549608 +361862646 +2050274360 +2126620907 +256908509 +1140465296 +862325220 +1074915823 +1948361193 +2043304045 +510209553 +1693112337 +656405460 +1382457519 +608528773 +2070728750 +289208609 +1596637339 +362012760 +1996304203 +1688755 +940467011 +655329735 +607304323 +1078236691 +2086136796 +1466014219 +839122073 +159935723 +854955072 +1551027752 +1358485331 +1216817719 +1453818464 +1337622591 +1473726228 +446800112 +52464163 +401158403 +247677657 +2095768209 +911367956 +1940789995 +604690021 +146341827 +401835120 +527935124 +435550436 +1998472460 +889947884 +284370991 +2000161215 +1830414895 +939700727 +459981890 +761167938 +878353875 +1925996110 +1600290011 +1038289598 +633467534 +1003834115 +249291282 +1850285253 +310168932 +1586913873 +1176527833 +756969044 +1639378036 +1577686236 +1004646702 +1587662597 +341570544 +797953049 +44868971 +487912371 +1199788169 +572804095 +923462807 +1050776981 +1462751979 +1207833799 +903454549 +1145683226 +50878 +1363436439 +1906851165 +878404753 +1141948901 +1359657528 +1916694351 +1775416436 +216007996 +18501985 +1478218041 +526176928 +1605415858 +507262227 +1283145972 +1097310247 +2084948463 +140309026 +537489196 +279035360 +938262075 +582358167 +766947731 +2138050245 +1155162262 +1690410539 +1041343578 +470430593 +750760690 +1944798127 +1616113820 +750811568 +1160750919 +1375481337 +1629216321 +155216172 +587655217 +1398427024 +1930632608 +803663213 +1416929010 +1261367002 +1329840141 +874861220 +1768629229 +465502466 +1972171467 +1706094044 +605811492 +362177016 +1985129404 +1544073568 +944535183 +604593488 +1534640165 +2099697446 +147520379 +428500095 +422644391 +898281069 +225814575 +2038758211 +1649092637 +1386565494 +1266755900 +1130825310 +1541781666 +1854411118 +381768686 +1324930627 +510590683 +1798697696 +438813981 +1840430825 +526075269 +59959562 +158449643 +350763088 +1766053606 +764261135 +712940104 +1603699363 +160851055 +1657475288 +60809203 +1695491220 +1609689086 +208329582 +2123991316 +2032333477 +1106610651 +202322243 +1923608041 +608219640 +1588887737 +1042880293 +1739044950 +983185755 +749807763 +2120813636 +160632734 +1260398447 +1772027685 +599446715 +953345624 +150619306 +659406277 +1111795267 +501382394 +277976236 +1876056402 +1214322499 +1881675599 +2036907458 +724314139 +1942484802 +1584915030 +186519577 +3330736 +1561422698 +71369406 +1109941387 +1763744941 +1994977447 +1718161027 +1205149030 +890374093 +1309722329 +40851138 +1640181856 +1283052317 +201483872 +753096655 +907596354 +800930588 +1706442279 +1058215660 +1460336865 +670753898 +1559598055 +1738313101 +399326653 +626436906 +1472505052 +288750463 +1350751045 +1267506206 +1873665493 +1537270622 +1270836942 +1287604544 +1608640028 +233294681 +903865837 +1456133828 +1951455708 +2109014868 +199024273 +1113694389 +2382358 +1839206129 +249263059 +203866230 +444819137 +1156859413 +1004796818 +3777768 +67591426 +317650036 +674531667 +1627189481 +2055963137 +1073858320 +106142739 +1380984542 +1362608783 +1456893784 +501007100 +1088790628 +846680758 +1771844043 +228911524 +307837138 +2005138724 +1132777362 +1763970966 +1809110785 +1094308582 +1962995239 +775321526 +1096690940 +1654717721 +1024584585 +1300557170 +2099536858 +33960351 +157870341 +2103314626 +101551777 +475520377 +630362645 +1728741258 +383999866 +1704220965 +1834883997 +1764984408 +919346100 +1144294133 +118507861 +2008136729 +1990974891 +1890351904 +89564605 +151328381 +1748006980 +1222341967 +1915299348 +1409634117 +169166901 +1730810939 +37471996 +1265857841 +1238045012 +1062056581 +418931364 +1190098222 +1096016932 +576801705 +1145929201 +1197568709 +1052322082 +1776291846 +778826319 +1436321948 +1333029164 +466226668 +1053822709 +104891616 +1610520801 +1172330570 +2113028345 +1454012044 +915198826 +55109303 +1605340426 +515722158 +1277451270 +1373156126 +1925356276 +1446618172 +956483417 +1962828272 +564992365 +47044782 +877401205 +983923729 +1237143004 +1973418138 +1560725434 +235588557 +1023503199 +465563868 +2011880404 +1802329519 +1901885817 +1197425920 +121072539 +808224878 +1302317536 +1731593341 +1980555448 +1267862234 +1038121737 +748270626 +1322971537 +495978515 +1263992784 +452939159 +1869134641 +1041865412 +1899557331 +678134411 +857210036 +317066049 +725179193 +1734611242 +1300989778 +1962322197 +1560545732 +714231565 +50427107 +436565283 +1179795433 +2062307511 +91411154 +934197602 +1112249783 +212483694 +1742422480 +267083671 +1944077035 +1575494280 +1534945905 +834715124 +176281258 +710433794 +1330693640 +1440274043 +1163372954 +1052344633 +334655807 +915446637 +1730479044 +1191865844 +1232512686 +308174589 +778993438 +386018817 +123013139 +192055522 +1100250382 +173440246 +628620805 +132562167 +88264109 +720031960 +1066759770 +1200513892 +932515654 +661698602 +1467597563 +729109041 +89709235 +855059821 +1563824165 +265990493 +1565493615 +747034157 +1706264536 +581382921 +1799378791 +2040920344 +1496829559 +1382374187 +1085302540 +581858597 +1690548777 +1864295978 +967877414 +1813561916 +2056351500 +2068127796 +1987002162 +537488657 +53206316 +2075266271 +1257520617 +1119966086 +1128296515 +42552623 +1781664688 +448410430 +771661664 +1871373923 +1303470251 +188002182 +2137364417 +721480219 +935036339 +1696145305 +1302863140 +586931482 +1589582001 +652209051 +1969305670 +527400893 +1234067649 +1512370799 +244213223 +54461415 +1178449067 +153081075 +2122589212 +1017967581 +690569733 +28311880 +945750204 +1948090350 +1148277966 +2074046719 +1990642974 +782459006 +374973501 +614820990 +506349282 +1678443753 +802823172 +496230051 +252440324 +1737859512 +44891708 +1555303464 +177307346 +1634473710 +60028868 +2146613016 +14390955 +1294096517 +1511500167 +258604179 +1348557932 +542465586 +411685254 +1323663496 +1560433167 +1102254987 +1351975376 +358699723 +902861690 +352769694 +285262794 +746021016 +1135228701 +660236296 +1360842006 +1641577983 +191196401 +16181531 +2137808034 +443636725 +1754041043 +35216094 +1998940189 +1931348389 +1669689804 +2058969057 +1930477758 +1684080760 +1205581926 +1294494277 +1942684939 +406656211 +1836959864 +206886545 +1730319707 +1249909383 +1309141533 +934811436 +1608609107 +64519575 +1287581130 +1893871901 +810540591 +275326183 +406624549 +23898949 +1916904166 +597820950 +40080480 +1907228552 +1041457675 +1794121523 +1942444647 +892914217 +1577986265 +1464650803 +804399626 +1360980375 +1001247915 +2009981553 +507991004 +796449206 +269154116 +197467220 +1003335752 +1999473823 +1447376604 +164993637 +786801611 +908502063 +229513212 +2074382742 +654890316 +1040053803 +202225277 +1061514866 +1063952752 +2119129444 +1659335816 +1104033233 +1878874348 +553309844 +750671108 +1673835347 +1446224061 +181173725 +991002503 +103140039 +1542154100 +1992250418 +2113121592 +2050145105 +641215977 +234792060 +100128677 +1644551729 +86782236 +1547505281 +1809545366 +873583847 +308523696 +2039058578 +800482941 +963414013 +931628733 +1002708219 +2024928879 +1995581485 +974354015 +1536781047 +952131070 +705744715 +2090090891 +1702802179 +232096415 +1388831304 +1883975904 +1223098918 +1491971344 +1278646357 +1067865688 +1457609288 +1181307814 +1709081665 +1692401349 +1281436491 +1206149746 +1779183585 +681458125 +868211464 +505283784 +989981821 +759786394 +1305766726 +1953395834 +1691415127 +160991297 +1830841065 +1539512965 +1135345312 +1220138465 +344160387 +1841090027 +1162745708 +2046962566 +2073186442 +404093365 +1783454823 +1148801712 +1896064709 +914617532 +69183753 +1206190349 +2095925346 +1778265418 +751108050 +1229878189 +836931517 +382807987 +1911336314 +1705142981 +888091772 +753834488 +317445728 +46374850 +559746674 +2008860855 +207366147 +243104092 +1400890172 +1342711459 +1463242557 +1745050560 +1036317838 +478504617 +1644529478 +962020633 +882597982 +1280500653 +2110822345 +631179043 +47634537 +32522450 +1837369393 +2143559883 +1810787869 +440993795 +1225954425 +500235738 +823801783 +989807091 +57895071 +1711893555 +1743641579 +375340799 +1758268405 +155904606 +236718007 +1965634552 +399008698 +1637608179 +1160862363 +1862251255 +1235175091 +49696553 +193272224 +732220922 +1011717186 +1075870207 +2012721575 +975055884 +1707049250 +2060356113 +1007578334 +1396934995 +2056432348 +670882555 +1837928791 +1134903125 +1171118293 +514246926 +2124710217 +1229013365 +78656833 +1720868148 +1604354164 +1836925238 +1876772754 +1841072171 +1655076142 +128297804 +1331196703 +668454857 +1990549059 +418888146 +718151410 +36337636 +1151109068 +1729868597 +1112207843 +1016346996 +557440833 +671773445 +929219461 +1565019167 +2068708441 +838168161 +88418075 +1759153584 +1973071287 +1259536368 +125916862 +1950297856 +341066085 +204573695 +1523682356 +1945420250 +2041498933 +1252971463 +1639008773 +1549091427 +1381269267 +822721828 +70062636 +1224334679 +1241609975 +788214046 +1260672315 +245235395 +370598995 +225396510 +1261582391 +928039828 +897169955 +43318204 +345575348 +818394748 +881486366 +433993423 +430064684 +707074005 +1693529791 +555981546 +509888213 +2034595877 +760555241 +2033570569 +1832532479 +654570526 +1139058384 +1324057604 +56178305 +372844004 +2146779433 +126240941 +1597178683 +1240905760 +914454988 +710367350 +1486141155 +1285053983 +935763860 +600239899 +65610164 +1832933815 +643558103 +411185512 +503844916 +1525044469 +845178935 +933909600 +84634826 +391225078 +1489891147 +594523039 +278337307 +102962740 +480609961 +2110869786 +757533267 +1619668345 +1287443743 +813711572 +1992512349 +1286739528 +939952514 +1442207384 +380161640 +1854407502 +5091086 +1866302795 +991977837 +940854946 +319059046 +1057588001 +626305114 +962617150 +1468773513 +1130150030 +340177971 +166468800 +2064059630 +424812798 +557693879 +1406467129 +1019335837 +836031186 +1509429870 +1499945798 +799417325 +119479489 +972130496 +2086861068 +933191061 +817159197 +1226116948 +1873143575 +111882934 +1606278588 +1580067429 +116974020 +1325097735 +424561619 +1057828967 +1644156782 +1482149620 +1684134081 +459290284 +803439486 +666800463 +799468255 +969908286 +583376445 +1224281053 +1527602165 +1989843575 +96133243 +216149704 +1351789797 +1596079041 +1015567029 +1471269286 +420725889 +954944449 +256976699 +1237885087 +33577749 +2130120275 +1349768021 +1639856337 +1562704056 +1466742041 +817470424 +1987265675 +377087360 +314143558 +1321931648 +2061221441 +773433842 +2125371134 +580538256 +1572902098 +947795772 +1163914702 +649699503 +327914290 +1006274629 +745832746 +544063994 +210580778 +194428140 +1559631023 +1681850064 +615154029 +367091824 +1938826763 +1853039116 +400669573 +1921463390 +1055323489 +2040525910 +1336683799 +374581883 +710512686 +1176465826 +751669243 +1024656245 +350913826 +665407037 +1798090087 +328801312 +1245945293 +1223508537 +1276597085 +262376347 +1873208041 +1604511375 +1268650976 +471557139 +1091721 +1479231754 +665985279 +1560722744 +1013598170 +1281139309 +1927814568 +804941286 +986694777 +181000493 +578921028 +2042018267 +74042755 +1915604827 +269116502 +784555441 +944587006 +1020785745 +1809211686 +1295500832 +1686192782 +1459818126 +1624302145 +784654428 +535843015 +753415582 +1047030775 +261567408 +210443309 +168198104 +733124548 +211535030 +1647429858 +1399109827 +1772257774 +513544381 +532765488 +1552588694 +1318485667 +1519460266 +1733589187 +1897406695 +1413994885 +1807631942 +1665527875 +1683111387 +444703735 +462631233 +556413484 +106431774 +1758132065 +95122619 +1566249900 +1234950562 +879777047 +2102092915 +1988366144 +1926807822 +216176676 +51325805 +2095005926 +949301224 +262860835 +1594952137 +200927403 +2035118609 +2108496518 +733692892 +1440223655 +1279498537 +105669510 +1026329194 +1029421584 +1519664395 +686477488 +547465811 +1055292134 +1131181224 +1010097044 +1611705618 +1237612998 +620745462 +1706828237 +656379250 +1855696024 +439121636 +610988517 +1696578521 +218445811 +827165193 +1747904326 +165968089 +1776466417 +2010765162 +1760920226 +1977393821 +1898400123 +1721933096 +563603065 +1191140131 +853947985 +669272575 +69985677 +1883369570 +41453322 +756463166 +283351733 +1096745456 +1887644390 +1293448778 +560967426 +977773740 +1914194240 +120312016 +1634152990 +1622406616 +559433652 +97657859 +1171501489 +777879463 +924823053 +771922168 +943847553 +553805822 +635203682 +557284131 +383715995 +386120157 +131733580 +947319060 +1577260288 +985681565 +1616591635 +1647245966 +721567487 +1658044957 +256225484 +1004919221 +607306765 +2143869874 +150884351 +1168274192 +974159966 +2065078591 +1288586208 +460829308 +1540001559 +1848019860 +558487167 +564019401 +478415676 +1483310220 +1335941569 +1422263229 +2037116043 +1971145251 +1979547360 +273348390 +209781760 +2111280940 +1220667451 +1787042049 +949478858 +689775438 +1286804367 +1671046345 +200336748 +1543029851 +528481918 +807643513 +1539416077 +679366269 +1975917705 +366092395 +596961212 +1117020265 +826921703 +2136962772 +817556478 +1385408870 +553498525 +1295972154 +721235443 +1889440094 +570751735 +610867838 +1713101697 +402815447 +884216228 +1922883457 +366612740 +2104883679 +1562441858 +1316091598 +647175470 +701762577 +839654295 +847512218 +97308780 +1368136214 +1655155731 +1636724857 +2047502483 +1483589789 +2002817252 +496980048 +453126406 +682255307 +486459172 +1270682884 +2067664178 +1039957697 +419171390 +641415973 +781914143 +989923125 +1252283811 +347532192 +1392738573 +2136500039 +122932001 +1759351313 +2093900071 +1685373860 +927959263 +593591893 +239652789 +1767613558 +1441104111 +336961570 +988266124 +948776194 +1973686427 +888284960 +284882335 +1829020032 +1385265008 +738008742 +363791691 +1871724180 +2008691626 +283972221 +764198229 +280379369 +925388194 +1546112372 +1270302494 +30188357 +1893644564 +515557419 +19204749 +2016576565 +127425084 +2113104820 +1554466777 +1055384347 +559213065 +1794119567 +675514258 +2000317176 +2131081137 +1663780382 +801609722 +1957283916 +404581694 +1086492058 +1638820300 +1789846702 +1824500800 +2002611992 +1514087234 +1685708778 +139100565 +130801815 +1966088147 +1064488760 +1676914187 +1088906994 +1094677117 +1423075103 +1604464413 +1113881866 +1292168021 +1731889498 +1079503038 +699151150 +639790197 +1638716103 +345787069 +1315304455 +1491549631 +329384558 +831601190 +145675706 +139184827 +1236182884 +1232167764 +1778005127 +878545939 +909184916 +1633133471 +245149525 +447410046 +1772234037 +375951341 +266014546 +689239149 +2052865528 +1354921540 +1783916266 +1328456984 +811902305 +750314485 +473141357 +396308155 +1829817523 +1172292507 +1036098353 +1321049979 +1518079577 +203919160 +665115962 +1847464135 +1035520350 +810791668 +1986648962 +124219587 +2042959432 +1617170442 +1002765526 +804660700 +1102820265 +1247915051 +1252070747 +727570654 +1623866392 +1518085293 +1416809803 +1529248273 +725523185 +1053242422 +710221609 +1537425490 +1803556907 +1183362966 +1933733646 +1485890782 +208171825 +822348351 +659457113 +1726251402 +1026267511 +1324573076 +1426231890 +2061787862 +2135364744 +1265397204 +38523801 +2030840529 +735083998 +1041289327 +688017581 +1837904264 +141720730 +1940088328 +417991270 +1765587123 +1310689973 +1834801074 +1147351748 +2036213158 +740559848 +1857573357 +1426155001 +396633107 +893452675 +1212404999 +1882523889 +1101624500 +2034753350 +394497355 +680392255 +913537213 +1719070431 +2106624145 +827841427 +1706951527 +1224537701 +866365228 +1590308408 +1959621700 +1907654555 +130842342 +1650042316 +2049375286 +2070930670 +2068033586 +1667478761 +1234136996 +1755351012 +667346861 +1122866506 +348427212 +377436570 +401537859 +745060319 +1270889245 +1613942858 +480100561 +225030097 +1501212560 +874597916 +905422352 +267266126 +446184699 +864562849 +1095107553 +5652578 +2089100551 +1961472782 +1595960987 +1901238603 +1721643689 +1726803329 +1403797271 +1623535327 +1650250351 +1324347209 +1143530440 +736903699 +932214574 +1810877301 +1859770206 +1280641786 +40830223 +113824417 +2025702106 +1311719468 +1727767276 +358319019 +1536749566 +1081496188 +1232916935 +294688270 +1348762314 +1679101634 +1159251120 +296386220 +1684754212 +1100868023 +110375354 +1133231551 +854622978 +1832019043 +712551232 +110936601 +1308070723 +215317936 +1435283810 +304117515 +952221635 +220014736 +2114994817 +664508193 +1500656523 +8341392 +778332611 +1378874981 +1320060861 +358616239 +1737194000 +709326779 +1440112427 +822627287 +1004015049 +641391094 +354245273 +15782521 +937777314 +2038999485 +1116650544 +1048152668 +1024747389 +1971273522 +732688063 +1737298621 +2082210123 +2040758786 +1952616557 +1370010286 +197392654 +757354545 +1590025022 +164903823 +1421862738 +943197897 +173245215 +52711701 +174589230 +1493306076 +411327940 +1911783230 +55149207 +1851440368 +586926869 +1059164257 +345347814 +941172142 +1074946778 +1283125128 +832687980 +44113675 +183794148 +1857435369 +2015387197 +916482211 +1447250342 +1950113673 +809757350 +1252383252 +1172640311 +1007150004 +2009737797 +615181685 +1172053827 +1284116887 +1558379583 +1345299042 +1336828589 +1732968813 +691121471 +1748156529 +1497268396 +746270678 +1452113249 +2084195265 +1805434935 +1797461063 +877883760 +732898066 +933102543 +1710571740 +777011741 +1116896691 +1420523461 +644915290 +2033378903 +720290155 +447545315 +695652605 +1972673407 +1620185626 +1702802609 +1834927556 +87883664 +727372788 +971560796 +1646263247 +2072671830 +160905737 +1231748412 +616309653 +1909062266 +581533160 +1362580332 +1213691868 +518244778 +1020531619 +863669283 +1396128538 +1753429685 +1796771827 +959216630 +382957778 +766184870 +232256443 +1027873069 +652080125 +952546598 +1475418384 +1347732730 +777736358 +948120363 +903051691 +465180266 +1036004027 +1630424479 +1436741062 +534783626 +1555612662 +1597646799 +1766532038 +24438667 +1359225418 +200581551 +1387018999 +425433638 +718826329 +260066971 +1289102921 +2114954867 +2013496656 +938391100 +926687849 +248970787 +1704575971 +1158944292 +1276843856 +209172448 +2111490890 +604778592 +1556905179 +741743600 +1552898955 +312473222 +1206923867 +441419334 +1942897702 +496181281 +976202960 +1351026716 +2093828081 +595251351 +1375465383 +1305569851 +795832902 +615000735 +1731003489 +1514659231 +875067706 +872622762 +1482130450 +741080714 +1811013863 +261334651 +990051501 +1368106186 +1420278943 +119411709 +1577278634 +1384286185 +724190302 +986700165 +2126029786 +129605609 +1299173388 +1185470005 +571024944 +1094587442 +1681651286 +1547227904 +298130510 +1627995719 +2142479255 +1673595893 +786081922 +790828509 +141112980 +369601763 +158004092 +1016180686 +1242224526 +1640134542 +1757261401 +905754741 +1901469193 +599829254 +126377279 +1174264488 +719240964 +1703655913 +411067026 +1443431266 +542872431 +389613164 +1573036875 +1842045819 +1575083169 +2144061819 +789149613 +1109250807 +1543806076 +1087280123 +589762879 +1538801683 +613392368 +1375844801 +182146545 +754505349 +1745446565 +340150637 +1770686035 +840187443 +1980285180 +1380463788 +1745942184 +1734270725 +1980293043 +1872319463 +761051566 +552050359 +1428491728 +1172118592 +1995481625 +1971364159 +1561731756 +1421034852 +1665926330 +989331277 +1417613024 +307592295 +2098582084 +813935452 +1394872418 +540861315 +205253487 +2008264787 +1916706117 +387400032 +615286488 +1514669034 +727550670 +238488875 +207372829 +560352202 +1618952664 +1953315013 +147139279 +1451762059 +1678150828 +908190845 +2003812418 +959158908 +2080309437 +1851810395 +783039420 +1494557545 +1125361599 +301482102 +336405174 +395490975 +609074398 +287503611 +1209426427 +2003946816 +828364926 +1414679915 +1864727955 +597587395 +1802079947 +332530795 +2112256429 +382146969 +571019671 +172145610 +942499171 +42488687 +2125460623 +1089638451 +1494250746 +1656127803 +1997829296 +1350579516 +467803064 +1930655086 +1054906263 +1250842484 +1277728983 +32784214 +1552324586 +1614134158 +428275190 +13915336 +1901637769 +1637701617 +2017862153 +582519047 +904897884 +1735106460 +1180106443 +559494184 +2067637256 +1144879224 +941641153 +491173279 +1317024835 +1884140325 +533661966 +1295001810 +826295128 +2027912712 +803645966 +676640776 +1231008580 +1271449030 +459812214 +138431195 +374807866 +1737541198 +171215409 +1927132452 +1204191708 +599490599 +1941047789 +958345829 +89708569 +1811426294 +1540864876 +994606453 +1399049106 +573487671 +1554100637 +1319202714 +1718366896 +348258143 +1810375993 +887908083 +84914820 +196554311 +35426245 +911209948 +76983375 +839072211 +1587850724 +1307991955 +2110521241 +2047662939 +1446423150 +337845459 +1637720489 +1617638560 +117494264 +694428549 +69645511 +2058542053 +1652774378 +159354080 +1722484699 +1046155606 +1153960534 +974050157 +1619643278 +560577523 +145769224 +1190526526 +908835666 +1956145217 +2078434609 +993750486 +5215881 +2113860854 +1904960434 +82199256 +805449418 +1345327511 +1390191212 +768487011 +1245506802 +689130714 +1106332471 +735743643 +159285626 +1223826735 +1430172192 +228931138 +1134885140 +935462922 +388285218 +709886191 +1981618528 +1542245752 +1683936348 +1453778158 +2102823276 +1829705572 +496821036 +864175294 +1638367142 +427771997 +1857925781 +1643583023 +394149204 +1615402567 +1725782279 +1199598622 +813246430 +968489843 +1968085633 +2058753232 +1657620558 +926934456 +647013227 +1816906184 +3277543 +2077185419 +2045837322 +1138162683 +865164693 +286638893 +1848048874 +699299574 +1828884645 +1384501575 +5594084 +1784224273 +1066723499 +502415121 +500915920 +557606993 +930187118 +211358053 +53706368 +1324336322 +1826760620 +1779488648 +376451296 +492523403 +600494843 +197053282 +403792987 +110631753 +1123987738 +1050806215 +1927537938 +1127265282 +980507986 +1825891612 +117944317 +1845672680 +2112530505 +1965993192 +397488606 +1793931503 +1203011119 +403082690 +1430672128 +122250970 +905497811 +1931588048 +679857964 +1835684930 +2142946101 +733564332 +1012537604 +1822223074 +365569332 +1388988901 +167262829 +966064176 +1586042183 +571055816 +1076695929 +562546273 +1621862031 +856750219 +1689811555 +454886370 +535158184 +1807755873 +153075402 +500205041 +1626265417 +550564008 +146652896 +681792888 +953646698 +1577325025 +804043858 +1859144510 +1361429425 +1483901822 +1547345792 +1356891879 +69982507 +412399748 +1031631305 +435551839 +1801388649 +1198894134 +1401616015 +1239947184 +1769949950 +330828297 +1802493458 +1244328334 +1187578516 +1344821365 +1699214704 +1722736700 +1005093590 +1852290106 +75458094 +483875359 +255370466 +222110990 +1165668247 +1209017164 +1799436015 +1969712106 +920678026 +1013381793 +1306130280 +320540170 +222790024 +1376112787 +732939919 +1254421329 +1811664627 +386844920 +305831815 +1065796994 +1626792105 +2075781765 +1396625291 +1281801915 +1172626451 +436720160 +479139632 +724357507 +11973212 +1484233223 +429163965 +87431306 +1968108582 +684534431 +309542297 +986293182 +1893551596 +2108978312 +808521640 +666745974 +974876457 +2114651920 +987286145 +1197666481 +1343281060 +1720226064 +304604162 +1007462039 +2107070984 +610435977 +2073259033 +1586379441 +538734095 +1322400677 +720697708 +1711360546 +1759120837 +1199837341 +288234406 +1771094049 +536586916 +717398371 +1858525356 +357211850 +1401932803 +20584005 +1343505032 +1148000751 +2129562317 +4543024 +1814746725 +956955127 +2119194945 +654549222 +7137960 +1314992357 +227291638 +311742123 +174970748 +186878975 +922178100 +100746133 +1773258416 +1460912195 +1423146810 +346472477 +1024789094 +1034783999 +1546309818 +1313023500 +658394401 +2082896734 +2030421871 +369436109 +292624936 +1284871026 +390020114 +1636129969 +285388129 +372098783 +1640672993 +2100134855 +1329053910 +1612384290 +607200429 +1336191871 +779892999 +834492068 +1647933994 +954863747 +1021371043 +422628446 +1055609881 +647145811 +1883540642 +331273043 +993618288 +760846088 +1366057043 +392444458 +2073869588 +2024451444 +327857544 +1956807811 +246403905 +620482481 +1094195190 +636424019 +109128802 +1379583319 +1008522802 +1749801795 +1332234526 +190093065 +1214702438 +1939434956 +1526284936 +1994595437 +626443376 +1026735282 +801975537 +1647814419 +1449363728 +1857585418 +147476582 +1185420722 +41374813 +1141094871 +1946266810 +1407431856 +1533539329 +1872652750 +1284399652 +1861396874 +1681976914 +1530803557 +334395707 +628688456 +19743928 +443524509 +2008271775 +1028266731 +45842656 +1193022654 +1218359796 +1260545094 +984973962 +597161084 +1107656884 +1611417338 +1623896366 +1909632421 +1111748109 +925776446 +1619734191 +1259224691 +2111197169 +1661109004 +252835914 +1909980331 +921057213 +1786375244 +1635149434 +57973217 +1500288470 +1169642700 +1588776775 +1834684177 +1798331156 +1608520703 +130725038 +1659119283 +489303786 +176567694 +704658289 +1707663582 +1437112789 +1689632251 +157341018 +397286025 +1153565941 +1781237384 +159434798 +117830402 +559530183 +1779168989 +1377055094 +523243704 +1292794345 +1629891008 +285740387 +66367910 +1268782604 +1920889821 +124341128 +621587426 +943048873 +1713117903 +308787955 +593896381 +1174154958 +439512993 +105532017 +1663458745 +616080688 +810190306 +1223638679 +2053193477 +352338910 +1380979698 +302995854 +1505904851 +1014733434 +462430652 +1623735254 +1574263617 +94115993 +853306700 +2097507321 +1386910338 +335714060 +235764061 +1453278249 +1604496665 +9170234 +1577619377 +78600443 +952219108 +1143253632 +387388399 +1546115489 +169924942 +826901392 +1651647506 +1833383687 +1442982080 +314354165 +909538719 +1348691909 +666693075 +143034769 +1651687763 +25114278 +1157768203 +2114118415 +1648849532 +584548173 +60750760 +354672584 +534571846 +1447661099 +690386645 +770335907 +753455700 +147399662 +779506142 +183591429 +226000105 +1731725250 +1326845061 +613388504 +1130357091 +1496770003 +1440289897 +634520950 +1182670043 +735788329 +948875115 +2092208762 +2084480239 +1615568190 +87759883 +1588684354 +1640682468 +1245528086 +1555319122 +1142048353 +1830076259 +1616069882 +1496720937 +217164458 +916247333 +39623934 +987500365 +1669703033 +187023596 +1767006507 +1853294462 +413023702 +1351248109 +1032655875 +1026412206 +334121553 +381942231 +319218455 +968642503 +1564612274 +1055006785 +1917517618 +1509337388 +992003376 +1385602160 +1597097271 +433204082 +878800980 +695141709 +1988523204 +2020849333 +377734321 +1457109439 +1370086623 +594898779 +225873124 +1409710557 +1582399144 +1895576158 +1596734154 +1201922004 +1601386972 +2009757856 +405686465 +486559200 +888686414 +739808018 +868501431 +1207904870 +1708450521 +285630057 +115428007 +1478484491 +1794967445 +1107431383 +716603003 +1244581068 +1540635465 +1595403984 +1939722777 +1381675022 +1468769669 +169973450 +691300813 +691372644 +764872229 +917173937 +2101083202 +199787726 +665266447 +1550333708 +1401709730 +119169772 +1412607916 +1807396195 +605728972 +153810682 +399720566 +1474230403 +1361715552 +2108171087 +1759860460 +1477143559 +1439171931 +1407344257 +437091294 +8291286 +504441677 +1977726760 +1603695270 +296680806 +1211918134 +924981292 +466654257 +1903218947 +1616353936 +1231526486 +672909236 +1569953490 +1431314212 +1338175684 +972803550 +685540294 +1457345456 +237927818 +345452842 +2063074428 +391738501 +745173408 +1389821183 +1753454053 +705860847 +1002197995 +1083113965 +2145032778 +262058604 +1520205259 +5840417 +766500281 +1350448371 +1609535687 +1063181087 +414882857 +387033331 +1529835344 +170618156 +2003387268 +613878183 +843527393 +1425857110 +2045192395 +34219429 +251177013 +583249042 +1491564885 +489104831 +928701884 +1407155665 +880843332 +1673875292 +649493200 +486813738 +232252491 +1651691195 +1569927703 +229801622 +1913749799 +942649314 +235642039 +532766432 +145614038 +1845177726 +1595947519 +560496895 +84727410 +978299216 +731115052 +2088114678 +1592177399 +1574642445 +1366488140 +1489886146 +1608861874 +1617665153 +2073135188 +952943111 +2106769985 +854353424 +212615128 +840129669 +380745068 +862108328 +1326943407 +612997560 +366315875 +749387462 +842799182 +132582026 +1692036777 +1078441221 +665348458 +1837650815 +776135299 +113812329 +250664062 +860862709 +1092111545 +981779114 +801493739 +536805296 +408937911 +20498232 +2026691443 +2017799785 +1638163385 +1952342983 +823259248 +1597449722 +659212760 +1035874376 +290095744 +1039957828 +1897982704 +1617039151 +1652955388 +116814931 +218942966 +348270922 +249396957 +1910979743 +1426712143 +914745415 +1601146910 +55363795 +1028557745 +1851810972 +916226504 +2120669290 +686106439 +1717720244 +509990939 +1095044350 +1738218476 +389198734 +965360488 +1228898213 +194058069 +1788619736 +678864288 +853270829 +677010465 +968960032 +1893228658 +427509521 +438515535 +1398700398 +544324453 +657458501 +1746971321 +793721410 +420954596 +1026199816 +1708466826 +2022101506 +1081563611 +589540923 +1726428831 +1997790116 +562726565 +265051622 +1568026712 +1072717504 +1360095972 +1158761540 +1461916238 +177972812 +240176105 +1655974308 +1966592549 +919040393 +361761489 +496119366 +1888000425 +107506499 +923628887 +179032313 +1506206898 +1467953340 +836490814 +1105694571 +114191103 +1257445411 +2131894387 +1822657929 +1132063269 +1065974351 +264715204 +711008452 +916280819 +827441769 +976060074 +336823883 +1900159274 +188672399 +1495585423 +1214591864 +366645211 +1735761528 +723082524 +185754112 +507318274 +1084844014 +681873478 +247835051 +1192350513 +1605502366 +426867364 +551073763 +925972058 +1263358179 +1656768334 +1040163161 +373319942 +1641179074 +715337442 +1505383211 +559669777 +980052646 +68908016 +1475950596 +1807494416 +1044968090 +1812774479 +1560170042 +1233640489 +1160876254 +627278258 +1600285701 +749154134 +1350360783 +1786039813 +1256472408 +287721149 +320429644 +1504307460 +1480071662 +1925932010 +1931174824 +2031145426 +704420420 +1047049355 +1540430112 +1744583582 +1420369297 +1034125538 +312437376 +778268861 +1593795315 +1292490023 +847176877 +922262263 +952500791 +1892144967 +587553094 +365187185 +978301809 +1748429348 +992465443 +431103862 +350099835 +195342578 +69660027 +1606572243 +483063727 +390089671 +963396055 +1963135390 +168538033 +747087232 +1846797168 +872958454 +1794136587 +1239743632 +470058388 +1067022237 +126385523 +782495764 +1845291098 +1720180838 +2074985787 +544984327 +494959454 +880002930 +289645646 +1082512548 +1245190115 +1267947455 +683458249 +90171911 +1699051317 +1033558084 +285514489 +1768711345 +492646679 +768578217 +11317368 +1456042735 +584229959 +179855402 +55646319 +283543479 +1052813856 +1849782906 +1523287111 +1522872244 +769321495 +1649672634 +157884360 +467128945 +1222369825 +85386500 +1012113272 +1717329279 +965389430 +1301758919 +652358179 +63095898 +422222726 +1335816428 +153267809 +2121274044 +221890864 +438782298 +1742501741 +714537544 +1207360515 +1753819109 +23096631 +1791590474 +1933674511 +78742950 +2075133953 +839004719 +1928525856 +1450937417 +214393315 +550363704 +953126403 +372277676 +1017492649 +28012580 +457664176 +2029605922 +1745341859 +1423053606 +1183881193 +250216391 +1486149504 +1606103919 +1586032819 +1639417313 +1579894315 +1807923684 +2078199612 +1174912408 +374977580 +1138076479 +781247870 +398074211 +782183306 +567438733 +476817161 +709833611 +1406443453 +257859369 +13287380 +1620836768 +808223073 +966413784 +1993114444 +1825715723 +994426364 +303294972 +1707837997 +592284576 +1726348579 +744235542 +842500967 +1065014435 +202855813 +281050138 +556948101 +1782750129 +2088973822 +487664065 +810178889 +316467754 +1625740544 +1591426759 +714541965 +260440202 +11381845 +1191359126 +970273814 +1417825298 +1449218496 +983561194 +891178418 +109957921 +1949974978 +736809215 +1935673644 +796917695 +1040104187 +1496027993 +1389202271 +618969118 +92779887 +84219590 +1683983554 +295635701 +365269728 +93448007 +2078385830 +306759903 +581112072 +741081071 +623227657 +59368968 +185024183 +1337769623 +319809171 +196406028 +381645101 +1290082985 +1614231326 +1830863597 +126160531 +357926096 +1940821519 +2076135510 +1094735311 +1729011515 +725569557 +2134839499 +1077555861 +2114771828 +606324969 +1170335748 +51507770 +142824875 +1465971449 +416777498 +236272882 +1396873631 +723537401 +817384954 +2137954703 +1346765059 +876753923 +175495238 +537051034 +1196563094 +371901266 +918696135 +339162431 +1986132592 +602076085 +465322962 +196575040 +395413956 +393974824 +1291310352 +2124425471 +1119544381 +1278666203 +1054497684 +1086832561 +1884991172 +77349785 +1138340331 +2027816048 +1543321234 +1555117830 +116605282 +792711218 +131171583 +933990237 +783182273 +1477936642 +1810744160 +958677511 +2014987676 +859823606 +1330578777 +786200164 +1198986037 +1169227721 +1388276249 +1664308999 +1365802761 +1783690205 +2058283824 +509629465 +1760632028 +1030344557 +1788295668 +667646065 +2117177119 +1525803193 +744995850 +1108033802 +1406135593 +140833436 +515667984 +1522740875 +933544654 +646839568 +309247464 +1716726927 +2124776210 +2119991624 +527920790 +1992280239 +832331582 +1858499567 +630996755 +2031317619 +880243640 +2019273004 +1548142971 +98562754 +1655479561 +1458943147 +608192219 +1268627941 +341804056 +249004240 +1936274006 +311497527 +1774807433 +533786208 +1419531330 +1033459378 +674619645 +1935199314 +408716605 +1608164299 +434555234 +717964070 +1177407579 +411847797 +690472046 +1705328369 +256644388 +1522803629 +1416344289 +887641143 +1406637600 +149104281 +759430499 +807296923 +247667035 +267426412 +118756422 +855859255 +1536054353 +460560479 +1104863495 +1324844712 +772058006 +732187280 +1858630920 +44105688 +1765646658 +385766917 +1979305003 +26879615 +1993931217 +266376589 +744843685 +1023855148 +678224386 +1435315732 +581699869 +934868774 +810635713 +1998044158 +1822509917 +69789665 +2147148440 +434456768 +877086589 +247331827 +701883180 +995843011 +1103191082 +90453886 +1456403490 +60570929 +1415298598 +80977849 +792758209 +1126445870 +125083537 +410921219 +1512212788 +2104388540 +437800835 +1358660357 +223281482 +1182644520 +235031857 +901505868 +470476604 +816731726 +1836374643 +1281112317 +667292237 +1511400912 +1350901983 +666957029 +1945857681 +80504924 +914288856 +500257213 +1076347935 +2017479939 +590711099 +385267778 +2078050868 +2006009697 +466245627 +723325430 +984971920 +591329164 +1134246649 +349701060 +548234057 +1572047484 +1708361417 +771515539 +607208357 +1943393274 +1673021407 +1077684961 +612641352 +1361912402 +211313631 +1279933589 +725829667 +1562215614 +1946890618 +524203700 +1642720538 +713695827 +1024460913 +571584825 +583692118 +1615172013 +956852603 +514259338 +1473698062 +1423098230 +1237584768 +311186334 +2014427395 +224347770 +660887394 +415177804 +1796395254 +221765163 +1186693343 +256119963 +17674789 +712231102 +1333804925 +630316142 +2074143505 +1545118556 +1910249731 +652489524 +959850522 +1709656702 +1176693224 +455087412 +275868881 +53670489 +1026672237 +859560999 +1668842502 +1983524841 +1373820337 +995056917 +1259139423 +463921458 +1306243251 +1126083170 +688269228 +1967130646 +1541260974 +337180834 +41412161 +580470669 +593300798 +59086951 +1292701772 +1927105723 +689403093 +1219361629 +1324740631 +452169176 +1871851153 +137107505 +14342230 +901060729 +592194917 +290211111 +954731218 +1618867154 +1149772110 +476090073 +1454908347 +376108800 +1471146990 +566564123 +840030258 +629906593 +1692647293 +1528299486 +449553591 +1086424620 +1865480320 +490965753 +1666895289 +311297470 +550052704 +812113413 +90919545 +1239455797 +2031475042 +1415660176 +1691624973 +1755842547 +1552767681 +1705967204 +509419628 +2144962598 +1996178315 +1464150847 +1616346105 +998466778 +1940240920 +923770804 +1374575578 +1263904262 +1490334927 +67122188 +1893810855 +1035498573 +1595421674 +195880799 +2121923193 +1313418346 +686846552 +1641334834 +1624715817 +1236899256 +305964600 +1715635362 +328871405 +189955994 +983811891 +2020496378 +1945798542 +389095924 +1578979934 +307734522 +386574875 +1427674602 +1771885369 +2002920980 +278657732 +1564642641 +779208136 +1653233310 +681063255 +122059416 +1720355498 +427390463 +1157557989 +1168293524 +623271262 +1131997534 +334228222 +1310117814 +625848720 +1958944039 +399533422 +931813320 +1527095754 +728404827 +1121769315 +363423997 +601417557 +920084209 +752519921 +32913844 +1227818731 +1139094796 +1460588446 +852220453 +994532128 +1739246178 +269379446 +1773740265 +1244995840 +950442702 +1895799681 +817867690 +1377833165 +905874022 +1986161214 +2001104427 +2037871556 +172905788 +1163738593 +516236628 +2131849828 +1563272015 +1448049949 +1511461934 +144193194 +422335616 +1874885931 +745610751 +1342419825 +479922204 +778524595 +422754908 +1619017001 +91629393 +1274975361 +466065481 +1830875571 +1544354808 +92322098 +928387763 +347313862 +1988121779 +1746255453 +1725147027 +746512153 +1584933019 +1578767806 +636900061 +1757838808 +595022751 +1153136690 +1742204988 +10811118 +453702991 +1106183274 +155004312 +876038607 +833585557 +900615063 +70974784 +1313507761 +1679139659 +493729692 +785041114 +1770769052 +1768705054 +1251106596 +1454160976 +1165576214 +1343428694 +235065091 +1512890076 +1184066826 +1981320545 +1090553455 +1930578979 +1418769916 +521837613 +419995393 +1029125076 +1116860364 +1573132083 +623846416 +1127671482 +2026835074 +1730029690 +1282675794 +755390033 +416131599 +35807209 +826364817 +1729639361 +1714946868 +1320094509 +367196827 +1338232273 +941315915 +1618303423 +644909601 +2106892129 +814248470 +879974692 +1472298557 +1998315296 +713811589 +415368364 +1781410627 +2132581506 +937205977 +53922372 +1014222934 +2054066341 +1627054455 +1638069351 +1034254175 +1506405881 +1220615393 +169446321 +114312266 +1636746993 +205253531 +940677083 +1218902706 +1920200399 +113287945 +1586099533 +1110949024 +1054603860 +1056919309 +1755858625 +1014012342 +1871167779 +488349670 +338827251 +1721999427 +1202161259 +754195616 +1355926406 +1187259117 +1691401593 +1409848779 +53998404 +1597984287 +889419586 +1692067755 +484754814 +248341820 +765199500 +654201136 +362654086 +254462845 +859454667 +1303331170 +1473365551 +632171418 +1416619115 +911981437 +1743120443 +323739327 +1968900746 +1351495420 +1337751669 +1692584877 +1839845090 +1676578921 +1267100656 +894522702 +283290889 +475543414 +2081781819 +1974692482 +1885392193 +2135780223 +1425193121 +627328132 +1680364330 +1909947936 +875669952 +298080183 +416665424 +1238324038 +552543028 +1276120091 +394171560 +2025908580 +1908291509 +1810790675 +790406369 +1503928304 +2134530003 +611823467 +707940077 +1324798024 +156924696 +400301519 +853893297 +1424025352 +1294824221 +1137184186 +1899568766 +1229122393 +964393021 +1637477312 +1217418968 +242102494 +117321796 +750299651 +4566782 +992991748 +1048379834 +421232206 +83832138 +1600922862 +1697352297 +478003699 +1479347794 +1458160159 +141310726 +122270515 +814604815 +128357081 +734093982 +1522544892 +1453155106 +891018678 +1922846412 +159564755 +167560382 +1070186985 +1296748942 +2067129149 +151825730 +113658315 +1557122813 +1369244699 +355760809 +1674444609 +2119544350 +360327592 +519952709 +1020440536 +781559798 +603784847 +473879750 +331428448 +1081788546 +1953227545 +1789588607 +1223099273 +2075498060 +456709774 +1351456354 +662108395 +1979254667 +657127812 +1553127073 +1754617431 +816692568 +1720687456 +677320768 +2113441510 +1640332957 +829146499 +79616177 +1049972122 +50907550 +435376986 +576933083 +22968252 +795704578 +1096885792 +1043408788 +1577264377 +1700670639 +1517288538 +1908692825 +634975538 +1323032435 +1550797784 +1858074811 +1251046848 +2007507558 +1062047517 +1913155243 +1839278577 +1719175330 +1318798668 +1446412360 +388384250 +892002476 +2123733129 +354342112 +384851785 +805395980 +433958289 +1434823907 +856303530 +869335275 +2011756990 +879271782 +1665039854 +961159134 +1922680570 +1094820583 +514346126 +1292485460 +856029760 +1149321664 +468034248 +259343896 +859912827 +1719081096 +119367806 +1921960344 +1484752691 +1958646384 +1493652026 +656067711 +1257575096 +1882036276 +1548070188 +1233824577 +88894740 +1932921973 +2039220557 +522853029 +1220262233 +748040439 +1392188305 +1084535575 +1627312221 +909744511 +2045694710 +1402509143 +2004565094 +412557188 +547510956 +713111206 +1561878852 +1015545204 +972455102 +274308031 +587142652 +1091822908 +48784727 +2071895343 +902985644 +1542436754 +580479406 +13077093 +1276989382 +2128549594 +1246901670 +1365884123 +1913987920 +1138638580 +1888737152 +986766505 +1886679019 +1133441809 +2071302080 +1366507593 +2043186320 +1969513142 +621533088 +1900267766 +234586682 +1169044044 +465895324 +1796465534 +37105600 +1438350426 +2070773565 +624248252 +382689687 +2119558293 +548659947 +1285675331 +1514511399 +1129139354 +1298752424 +644017133 +1110205300 +398170447 +2009901256 +876709572 +1536809027 +1751154761 +1863476077 +1276004398 +737112922 +1787294510 +495028343 +632815595 +1609324004 +1116561432 +385599713 +1843910687 +138121828 +851495038 +1492892573 +175227429 +142361816 +1416182491 +799475681 +525051503 +1388257136 +1348135629 +1810726835 +755284887 +329791335 +961995611 +1399302020 +1439996635 +1360166058 +1261719629 +169222560 +749491437 +865390742 +2032698637 +2025495836 +1602503664 +1672509499 +373040531 +87835611 +1134349856 +1489601963 +473435325 +830776895 +1627723792 +1324930363 +176185820 +1802951221 +1467292179 +1592368311 +454943254 +1992343683 +833141799 +1803078883 +1655586870 +1588426686 +2132870218 +470098833 +840245059 +1425383206 +1830264892 +2101964688 +1594605766 +432272681 +819871782 +1479820755 +310284869 +274891798 +1004846607 +683325401 +362727410 +2139196463 +25443716 +836162735 +822489710 +1653167508 +13609450 +998675530 +1308635081 +1480901629 +443560194 +1763578336 +1325761664 +1276701993 +1419173571 +833864886 +717645032 +1404560142 +1303963720 +1557890091 +682459700 +986744964 +1512371131 +129581818 +1419017645 +184759265 +1609402573 +1729302515 +459651063 +466765532 +265144268 +822378473 +458478347 +290587984 +1658541208 +1280968057 +1943755493 +1672150658 +132159940 +1104906926 +1005568640 +575720134 +721001614 +183846656 +1852422127 +2140175186 +1017711543 +422583511 +1397251680 +174191615 +1980473602 +2079711380 +1160936579 +1345361085 +61809550 +432470576 +1530120350 +1671212123 +14289443 +1989771414 +2137977656 +279433711 +664666239 +448972355 +570021696 +175723800 +1729940413 +366293541 +1847874458 +1862100353 +1471200467 +705959450 +290336839 +44718434 +889806107 +2142758966 +37409972 +1907517650 +417858830 +1434661652 +2081709265 +250848784 +1366889384 +1095162196 +1596209870 +1428698934 +1527632772 +978846572 +952427409 +1541922216 +821134338 +942921417 +1821355927 +1485800578 +1391893773 +243893975 +1661524378 +974350538 +610187516 +1361915188 +688967243 +2081387984 +2067874639 +979304082 +2126106418 +810197098 +974579400 +16032742 +570231100 +1392438230 +1450694394 +504456717 +1643287015 +670100130 +1599618913 +1092013237 +2098799064 +979768037 +2070859809 +903742825 +374206605 +744510500 +1846664243 +48078885 +82827430 +1091074368 +291972860 +1744351808 +2065424906 +902160377 +958783348 +606908501 +836064713 +879174339 +1586212583 +814687483 +1689371437 +413308335 +830720225 +112118889 +1805746566 +133930971 +616575606 +1301549933 +804031101 +68710871 +246079522 +755346517 +1048478909 +169455683 +1659089342 +1422685514 +913966183 +1358269937 +1470764399 +996793613 +301860657 +1762737260 +593661773 +219801915 +517413989 +1552445122 +826710416 +1353478702 +284135813 +265439351 +20682537 +1973507251 +678747687 +851402762 +2085626140 +337010605 +985333733 +554718099 +1638560538 +1789364834 +623428970 +1884640060 +397227703 +1671907879 +2054095743 +2056317045 +947109746 +820578279 +1267103335 +270390497 +1817371892 +1568963992 +2033127757 +263550018 +1788765908 +403058098 +1815995140 +467992676 +1756536800 +2100130953 +733432028 +1777219337 +1926154556 +1412179715 +481138451 +1864297049 +1749190320 +1466472184 +271531500 +1240267210 +1108353370 +894960470 +977423622 +1505581073 +419384702 +884035717 +1414414471 +1366494448 +1704613996 +534034158 +1636884945 +1374502241 +2102998150 +1522529055 +1638052259 +1744280410 +1925587153 +1306563751 +64789439 +1534640306 +1259211056 +798221467 +1164375995 +1037881965 +62917534 +1645514447 +754695366 +1812107854 +964502983 +1026226866 +904891416 +2072856354 +1921187336 +1882315038 +1430953779 +193088390 +618867107 +697884602 +1559582838 +175997456 +1231918760 +1048984136 +1550499697 +1187433263 +424029543 +1041068308 +784230025 +202133048 +200148411 +849019464 +1736773354 +1459359467 +1647240931 +753665702 +349757784 +1710158465 +251696501 +1104453150 +1374782671 +1216199484 +2130680016 +132190439 +1141572190 +1904383705 +2014505477 +425042322 +2097472095 +485888937 +1122926924 +1509571286 +661886393 +207362037 +411071774 +64902442 +1394795300 +835101317 +1105970750 +31541677 +1037234365 +1306119161 +880561142 +626524072 +617994980 +380318425 +1380189774 +967752765 +2090476891 +1631886275 +2072205915 +1317775914 +700602111 +2055402284 +1449966354 +1842174302 +1812302341 +1316988183 +119732976 +1762290788 +1802877120 +1242659900 +1124378426 +317279865 +1450021937 +1535450200 +382182307 +697333589 +223067869 +1488153057 +728875267 +1260302235 +646788570 +1609436409 +1886826307 +1264783551 +1989754834 +1119532433 +85052668 +1932748077 +603935060 +9774935 +1103040344 +1304537171 +2065177219 +405523050 +999227825 +1729995912 +1722511233 +1118960801 +1344803053 +1377904706 +214137054 +321697831 +1695184571 +1664158991 +1857148032 +2077366879 +214008933 +2080215901 +1418036288 +942884200 +1193034488 +2064824859 +404836961 +932377147 +1182124762 +247108147 +2051909580 +1267177430 +32372577 +508360992 +1276952365 +1135412921 +1812898164 +1194645937 +1540935971 +664642341 +777158201 +1115963556 +1783603143 +2121961254 +346384614 +1997740197 +296175438 +2041569186 +1514415540 +5839822 +1971452417 +1728424473 +2086055723 +1242005057 +523825025 +1131606564 +1159346268 +928661986 +2063983711 +193987382 +1175770134 +1968409644 +1461164812 +1208142711 +329286988 +590633530 +196071984 +2142185152 +1785279467 +1737007955 +659343846 +414954020 +705487863 +295463341 +389431627 +1051872478 +145719890 +685607065 +945958016 +1660135430 +691446887 +769926785 +1241076256 +630018962 +2011931842 +1764901281 +1761625526 +1023794463 +546079620 +1678125590 +1217781845 +1721849754 +1499051586 +531463010 +782508817 +1828338574 +1122096540 +978580801 +1823040079 +759892359 +568105108 +334900277 +1174846379 +1273592971 +630363618 +1564278006 +177981801 +776083508 +102401423 +1123939817 +288735290 +793848310 +1893866602 +1529811546 +1423867273 +1758314797 +1147229180 +1038009151 +634625612 +1693308800 +568651093 +1852407457 +1267674906 +2067702679 +236386819 +2050183723 +1748557606 +1358483359 +881280876 +1424114037 +2118375718 +1449385984 +1759014314 +1145738450 +575495307 +241894284 +562532808 +753477109 +1017977792 +664934232 +1877416926 +1306713082 +1458782542 +1623799881 +689040981 +735166167 +1234631030 +1836270161 +1773175319 +1869256642 +1382095313 +194342764 +1574180451 +502286571 +114561796 +1810567271 +404986646 +1863119402 +1021566982 +1286267522 +1139749791 +992459053 +588169858 +751280457 +2138197503 +1163665165 +993174741 +553246663 +1917142274 +2011152533 +1218180895 +1647075553 +1170381967 +529479790 +1123391786 +1859422948 +1264645957 +210539168 +1548209461 +890337628 +2079795810 +782821126 +1084680393 +1506492613 +1285107697 +1199242189 +1169576236 +1690094343 +914877943 +43659571 +828878217 +2054627734 +1036118624 +1417048075 +658424543 +1026832479 +433229593 +1651599284 +1580079142 +202888219 +1515268169 +650776390 +1849963772 +538166488 +1180256180 +825871910 +250105789 +297418489 +1036411078 +1798315250 +1187756118 +968723240 +433652729 +124952863 +327732206 +1718760426 +1324195052 +1497308442 +1261371122 +91589347 +1540968013 +2090249339 +2146217081 +429602989 +1359813767 +657157976 +1456435468 +1793043360 +161273612 +889030963 +1995931579 +1676541781 +1539807353 +1698411704 +67224621 +572579885 +376799966 +317330410 +869998374 +1413211045 +2115645661 +2057754492 +234450637 +401814742 +35223707 +562182843 +2120575168 +1359418759 +2059491286 +1234462642 +1451008106 +1452975651 +1177228334 +1449741539 +1882578641 +389558453 +2106899515 +1191530461 +35118165 +120689479 +2080561424 +2031049744 +1797231260 +1472885129 +1581977800 +1864455882 +2045465014 +1958777767 +34302644 +767979741 +1224505164 +2464657 +678250585 +1458955801 +404279399 +713474293 +2021138645 +377370920 +2072893052 +1933146283 +1611833562 +1376417511 +1238638286 +641578248 +678675402 +973733279 +1031136701 +638091270 +17780093 +1066254866 +758780749 +2098341517 +949820963 +408528362 +1423742999 +384315115 +125500596 +1321724365 +195609234 +159803240 +2089704106 +1420114398 +162267898 +620471044 +731586552 +566547297 +1333945337 +605241549 +943918217 +1259354741 +390904184 +408268132 +488288604 +1629542470 +1049846380 +1166964007 +455792102 +2080983082 +1805055277 +473572195 +999754300 +416352378 +424430064 +1949575263 +824880740 +1848173063 +186406731 +950381336 +1022413781 +382015965 +1110184577 +964634239 +1802130364 +1272452475 +1585105283 +386233268 +1838999772 +771566972 +991474817 +635434342 +2030921714 +1382379001 +1043702474 +371726670 +864437823 +2093548854 +1538690677 +1320229925 +2027048288 +1196262306 +1793802120 +879318941 +1612614685 +70748537 +681410556 +290011777 +1918921600 +867817287 +1240393114 +793851733 +1249833253 +203094043 +1758485973 +904479969 +1475546518 +1196107608 +1290713237 +1167062642 +1967674581 +134704406 +1802496984 +1851112647 +1517083407 +698715810 +75355669 +234037582 +644781017 +1614046347 +1554267508 +524345657 +662825005 +1200585980 +1403664598 +127956042 +1271334517 +2085075155 +417967820 +1042772470 +805408794 +1658360934 +1836624203 +2055242047 +1861454977 +1447626528 +812238368 +1189517847 +496250489 +2102951605 +209096841 +316441422 +90172363 +2011593826 +20070421 +1607255770 +562825988 +95426090 +1841293353 +1207607005 +1709472437 +1248077213 +1731952663 +224813795 +301179545 +988133613 +352769837 +1572514063 +925725120 +770737657 +467802885 +1731133915 +281614943 +156943440 +1638892314 +2143069920 +1604569969 +303647035 +1185104119 +2100820458 +259114992 +1394200961 +269778232 +349287356 +1258311139 +289848653 +1956543126 +1821137127 +385274743 +1650352831 +881260485 +2094747181 +750946396 +465729500 +172077328 +1052125942 +1453863113 +524847165 +477156357 +232104586 +1295584823 +944959242 +1963238501 +1577199766 +1101902682 +1454647167 +1572786039 +558989003 +1758294202 +610406510 +512325813 +2017409195 +2004607471 +782104045 +219212903 +1115434962 +1071952698 +28272381 +789088442 +1457227442 +1678625213 +1670348927 +1404490975 +282087961 +2136078427 +1576568303 +1334213903 +1442457892 +2101415468 +1811370260 +1674562478 +1249516643 +608845854 +1490317331 +679232762 +1710748537 +797480851 +104535153 +122253892 +408291405 +714941663 +634579706 +278216952 +572065487 +1416683751 +497429855 +1687500449 +341152802 +525702237 +329105243 +1798380244 +56843802 +1999454170 +1055387571 +338931763 +1988048949 +484472226 +1673145667 +1283023194 +438404046 +1337032279 +810102024 +1687920690 +1945878134 +152935708 +219669804 +1509143023 +950416559 +324204957 +1631396915 +1358707964 +1039146620 +118492973 +1636924917 +1611212107 +1535176725 +2134354772 +1151228909 +1876329527 +512573361 +1480334152 +1527226123 +569417163 +1332304675 +435130046 +908348927 +1172869976 +919602272 +434010946 +308409522 +1358006318 +1771043225 +1118511547 +898443360 +1569437711 +1271447255 +1118113164 +931097086 +74380166 +1442318121 +415010354 +1433088130 +333981094 +533503327 +922529399 +1945193201 +2068680052 +909400524 +948938462 +1797525931 +1421973885 +281788967 +1177268406 +1991391049 +1614093642 +1612398452 +752256328 +639479970 +384517076 +1186267274 +947889493 +1742523395 +809826851 +2066401040 +493483107 +231780915 +1190364647 +1611596272 +1162878001 +1264744813 +906430745 +1577888355 +550349295 +1240411839 +2111391683 +1472878695 +1038121393 +2032588087 +234795571 +1987059855 +1682630371 +1656769456 +121365174 +712415129 +1500676857 +1735458816 +177329934 +105449537 +227455139 +561847010 +1291716811 +1175344632 +156886757 +2101543663 +1094262024 +650369865 +185840930 +137143023 +114482489 +1348718931 +1401887836 +1020913234 +779123639 +1952237131 +113841426 +743031674 +1277632178 +1151962819 +628136113 +1512427749 +991539026 +163282836 +1021713558 +1112904201 +875697966 +374906767 +700879369 +1053027900 +480356305 +928334508 +1614874910 +1772073116 +2103679140 +1771761668 +1726133131 +1050457516 +274647885 +1911974061 +1187600539 +389130374 +1113209345 +442004727 +1410043608 +1892332984 +246758211 +1523885034 +487881010 +1524390389 +528364205 +1116017123 +889334491 +1519903232 +1279299960 +1911048049 +485323785 +7514278 +138471168 +1186203154 +1060542178 +618827473 +2114537663 +527933440 +243416942 +2070733155 +152211460 +1969550073 +973707024 +426859345 +1734040487 +13823915 +815989719 +699766184 +455828643 +78549680 +444615520 +702586854 +1602434714 +932496530 +79493595 +2130798920 +2048513653 +968828086 +1503218504 +1180329965 +732392487 +1988542289 +1187844243 +870863656 +1027261795 +100902773 +1489691129 +994315810 +628836214 +1733108071 +917565318 +781047674 +1555174497 +1891272342 +1207907020 +1141731336 +1905096257 +2023896739 +1841497520 +213441252 +2102446419 +138629392 +916028106 +1557397486 +1071125922 +995521702 +1540712758 +972155927 +1964349788 +896447614 +5002245 +549258628 +737506255 +1192846488 +1420122284 +1764768050 +1293749262 +762329765 +611600213 +1922585476 +347954189 +1529165531 +556149502 +1903128686 +1272954225 +1764056522 +897376374 +1030566834 +1640469614 +591390246 +1244008087 +1595432385 +730019638 +12552545 +1005346223 +1801145560 +1008074247 +398575333 +625817839 +824940388 +1295022947 +630820084 +1374199016 +2032529202 +1823666573 +646837652 +1649813605 +969932187 +1409167417 +113930170 +745034015 +1757121606 +1643095701 +1301183517 +1512766644 +768566278 +917756392 +262659370 +1799133112 +410742358 +854049616 +895657551 +2006174743 +1584069254 +908210097 +864037319 +1237731166 +1916284344 +1262612652 +1863549006 +593741084 +410151952 +346885442 +1967940100 +295197506 +23068367 +467294104 +1945011111 +993000554 +1876461522 +2058941281 +1738034569 +1486099480 +1554553334 +891734439 +851382477 +175635964 +1809490831 +1114041847 +1974769077 +72749541 +1968091464 +722942980 +2078924284 +1404677070 +1631153077 +795477955 +494924589 +1399953774 +2058090608 +210989947 +1993694858 +320758912 +557875389 +1814151311 +615956418 +580943757 +133961767 +413483882 +1573944311 +2010423289 +324941515 +1164495233 +1349039122 +1879494850 +2056229672 +52937951 +2055130814 +1718236855 +1166979798 +1882416243 +1790986396 +987587614 +457875576 +1722427032 +244781037 +2089028653 +370421340 +739705626 +1341498779 +281028300 +950695573 +1187709990 +601787212 +1508570962 +854377653 +1217743630 +2089514719 +988339420 +1631227512 +1515975383 +851279062 +1956169028 +532986968 +52834536 +1688180230 +441732992 +105772487 +1595827396 +12486199 +1272752285 +1330759992 +1803472595 +112856252 +1788635568 +1378415979 +357637289 +1730180573 +1748837319 +1097342915 +924195705 +2029865619 +2048038488 +2111905695 +484169183 +1409125802 +818799700 +1701912814 +1351156874 +1807139120 +1185656678 +719648609 +510934534 +994342058 +1252635577 +563769070 +535038640 +1694368569 +669541557 +2130866037 +1706854768 +1942293843 +1314142381 +1362843715 +2055150095 +955294301 +593776046 +265303736 +537991226 +195129718 +1362646651 +1462186931 +77511689 +1263201491 +1426608978 +561680873 +524843645 +97925030 +116110039 +1876000519 +1905064151 +1301766717 +448165480 +268515037 +148625128 +1700801057 +832284108 +683663768 +1247685978 +1501825665 +667046157 +807057098 +1296635860 +1981188538 +22417165 +1204302307 +788999191 +616193212 +1469606043 +1326990418 +811322930 +684769046 +641693701 +888834619 +1947970537 +2068302680 +1450515492 +325330535 +18744062 +1566625531 +53847406 +1923808213 +720908601 +502012887 +44839603 +869533729 +55330296 +877123711 +1553197497 +1303016275 +231465728 +72760007 +2110073373 +1528101589 +2053948545 +2132490539 +584920248 +695464089 +601200103 +2054526292 +2022454507 +1412523033 +591811690 +516664560 +153874004 +392298580 +437483592 +1604389497 +717629115 +456227655 +1023531380 +771476521 +232552220 +1744439981 +1273489408 +277391823 +466490062 +1328819705 +1154515534 +2019687560 +484352332 +1385981263 +2092447567 +446942057 +766599204 +1998912464 +431948948 +1351519452 +546892905 +1033149051 +1258562096 +421863764 +298188436 +1850373787 +938528325 +452062441 +95188719 +1376011917 +2056451938 +812817834 +1832239572 +932499670 +1584294355 +2064791793 +529456004 +710300116 +194699968 +995946066 +2039119821 +1349215503 +868149978 +375988505 +587713118 +813113897 +822930562 +1354312322 +664542714 +1254879511 +558348126 +1211435619 +140544914 +1816910223 +1633299384 +438733351 +1519800362 +424344061 +890795792 +1614989081 +1800355978 +799764082 +280323267 +1485111903 +1732263752 +1864617622 +1402420048 +114236108 +427434090 +1597120016 +1110182175 +319070263 +798851871 +1978332153 +695058768 +1386564989 +643962403 +1517989331 +593393663 +1308505117 +625385194 +1151741790 +372457088 +765930108 +821168365 +2005756472 +1204663459 +193485079 +282616885 +2095459251 +1808474160 +2082972864 +747739685 +2088797427 +1420601119 +332519790 +1805931401 +675537519 +446755898 +85881844 +125173887 +1556938073 +404952107 +924025759 +1387786579 +1100010876 +163107100 +2031748982 +470516559 +756500764 +1192770451 +1095901753 +1908242554 +1565227539 +1861831861 +581927271 +1423500364 +919011673 +775412350 +1706117249 +866987276 +436402862 +1641606465 +1614726962 +377716641 +914723936 +1947246752 +36164394 +1590261455 +246519002 +122046238 +1715435343 +1803457076 +526998346 +491977454 +1043760007 +1627009222 +655084554 +928025341 +2097525781 +1411585318 +2120795792 +1045943886 +1172344224 +1538539683 +760292099 +1754271495 +814556399 +1679303772 +382200197 +373190001 +398807401 +818603059 +2014796466 +2013534363 +1196319700 +782036755 +1813297467 +1232484095 +224814562 +2059816469 +1354530333 +1940249905 +1715789897 +1881528679 +284743711 +612066256 +1361054253 +939828266 +1540091597 +1311096386 +203929936 +1513403741 +209556624 +1376274161 +904459777 +969848724 +983062008 +1719016176 +501668848 +1365262206 +2092206177 +900476249 +36381617 +1959518996 +766526964 +1232701318 +594072103 +432340783 +317701765 +818886665 +344673605 +1672232098 +611652923 +2060463502 +1406277130 +896396634 +525046111 +619847735 +1836224900 +2065137708 +1930944122 +2040154837 +1431057802 +2140500746 +1268945350 +188033931 +962865822 +104523710 +1907050107 +1464534671 +1469785916 +1851772637 +217527272 +1506167534 +1663807985 +984054237 +591385204 +110396440 +1416395020 +909086969 +929283105 +1761068625 +433835419 +1540936028 +1674048480 +1840112549 +289849015 +51610943 +312476637 +2126073915 +2116748651 +95937111 +2018745104 +1400322805 +88954209 +1140206806 +1588356736 +1051820032 +1244730517 +1347923196 +368871055 +567032785 +1052212185 +586398327 +2073200319 +568536522 +1570452564 +517101875 +678932962 +839363937 +1426188844 +1608216067 +452948914 +1860024264 +1001668448 +2126997394 +1552653165 +1291517463 +31124689 +1865129802 +1270107730 +389693 +1961066913 +1141369187 +1400712498 +2050021123 +134092345 +841585587 +954357507 +1378822862 +42025135 +1323228562 +1945855648 +1094237320 +1909626889 +1871572319 +1662773842 +1332595806 +241190547 +194223156 +24476095 +1667379391 +1802439223 +477425009 +1379920007 +656624023 +456938756 +785089525 +1948141486 +488063445 +502735679 +1070765569 +488453138 +316318945 +64651108 +1889165637 +218856420 +198743453 +583267576 +1173213927 +1577566316 +625292711 +348958841 +1375938316 +1719530031 +111102082 +1100026987 +1234820225 +1443697888 +1341217534 +1429043381 +1468173983 +861113278 +1083998956 +1945598993 +93549637 +1740622980 +255054101 +878639162 +1541280818 +743117546 +1381374842 +464562739 +1231570685 +1697693787 +529213847 +973252674 +1916550207 +727957301 +1556520250 +942280486 +158039969 +34329313 +1291239327 +1533978285 +1753859344 +1402341409 +486521624 +841195921 +698555650 +1827739159 +122755654 +19245985 +541368789 +1206754610 +1964844978 +634918426 +799893942 +72415431 +1513557589 +193691113 +815532978 +747448783 +658253852 +2047103663 +297658922 +1187467700 +872872689 +66725481 +1915425001 +281909291 +1009005967 +2073464970 +316238604 +152761646 +1459959607 +2070097948 +1555103055 +1946481231 +763810221 +106175057 +1626736742 +886565875 +125421043 +20621883 +2093320485 +2090266021 +655540310 +745730780 +15197805 +21614251 +939421893 +830730783 +769063034 +1597675745 +730350798 +1066721956 +637659797 +1603223487 +1133447437 +405601150 +1885132778 +2142453404 +331582472 +53887734 +147731402 +1791542079 +2123985682 +1702834457 +1590539663 +740312255 +1809009515 +1069792757 +1626878130 +1934430558 +1090414641 +1572714967 +1877212931 +1745954951 +170962099 +1892410736 +1767569202 +1110383992 +575657871 +389148588 +560576090 +1306008669 +1455870544 +1198235887 +761748508 +441834333 +1603837038 +499397638 +436804089 +1935419510 +553285372 +584535491 +1579477942 +529787406 +139886300 +1022533957 +1270099661 +1948895815 +2092326714 +749494143 +1735842725 +1035257707 +174725463 +1465572009 +633729010 +345687562 +1210499097 +253814564 +1456071555 +1786156969 +642963152 +2016647645 +944681990 +2098833696 +1067399884 +1706430499 +393184381 +523753274 +58344489 +829988470 +311689137 +611629862 +1414523961 +1891167079 +1141417268 +1554410262 +766217388 +264033282 +1355822429 +711060454 +1013527425 +944181507 +1746318162 +1188252888 +262269868 +232563524 +1533940451 +1472768965 +486378089 +842528358 +1111442286 +1129341241 +711692355 +2056124277 +1080691290 +1779092239 +1615071128 +1473875671 +155361866 +1673415617 +156380494 +467051003 +137561831 +1570904455 +210734434 +1278979100 +977831069 +976951822 +1543012382 +186169851 +1688012276 +409056159 +1130351358 +1286846790 +1597309048 +1392621226 +1519410315 +983765851 +717906543 +2005788404 +1826294209 +1829348830 +987645997 +390502916 +1737989459 +2068337287 +22111507 +1205576939 +1394729311 +177473373 +731508908 +1551109805 +644524376 +869070740 +974530612 +855258810 +566192 +1952361682 +1832210632 +1543578574 +2138531533 +1372739261 +1952634733 +1121399243 +512102403 +1402460133 +366536821 +2031512718 +238742336 +1084443364 +1889817474 +2065036545 +766308546 +729979824 +308055813 +356814357 +650833463 +330167321 +1562391296 +2045562774 +507640694 +146416557 +1449188931 +1152165071 +1015487297 +276235896 +2007423881 +1016053489 +81113930 +1692150866 +412148415 +72161815 +917406479 +217299500 +1193561058 +1429508882 +1619759634 +1560097879 +1313537953 +1858501970 +497057595 +1055871779 +1776054868 +1263366142 +1785851603 +2084110681 +1620180499 +289201419 +266794354 +1035088148 +187280545 +774435049 +1181504705 +1636469477 +1926600120 +49508354 +1912705373 +1786540353 +1065561843 +1993819303 +1331207571 +1477710258 +2065981118 +101130402 +1695009758 +1112058528 +1530639285 +1167285744 +524672759 +696693590 +878304067 +1021730354 +1752565369 +506875287 +137612848 +1390933325 +443502320 +1757793348 +1680134744 +710296675 +645397848 +1867415289 +1484731724 +1826902553 +1356401118 +1263848196 +1876410907 +1121622843 +902904901 +794489102 +967958498 +86628825 +124715712 +886455968 +187759227 +1819725470 +1998514496 +1718398512 +839527567 +375703607 +267608454 +1717831634 +1397433962 +2020173824 +77223273 +1535046810 +1263623501 +520725593 +1145356510 +796274597 +1231022268 +1790754358 +516206238 +568270344 +1470173263 +1872607357 +1832118540 +1199100522 +846746552 +587539794 +1993589624 +1814705051 +674168619 +2118305336 +553677371 +861927846 +1790547159 +404708220 +432842711 +482591078 +780411827 +700451165 +52939064 +30362141 +573141341 +130162337 +1565408952 +1836764842 +650887930 +563281814 +485555791 +1881910199 +206552525 +1001762030 +302696895 +1676725788 +726885739 +2134815436 +728342663 +1573632291 +574871582 +574448639 +1240853694 +1249040201 +545270328 +1794531066 +2110968047 +188333839 +51755638 +396327110 +670924917 +832167465 +1096778276 +723863981 +862529607 +1669919617 +854026318 +280454911 +1359200812 +1504914248 +843736725 +1844756603 +1239340799 +1050289250 +699034985 +1542037695 +579531391 +1425920724 +1529369483 +1307874054 +852069368 +2104241065 +1882322693 +2092923062 +1205797618 +280109373 +1739970480 +1169282017 +468443212 +1791726118 +1565609128 +1139368129 +476409936 +514903756 +1863232110 +1338939543 +37339725 +569774780 +1619394454 +1396540537 +2074689029 +315647531 +1093813493 +1166546180 +1365936782 +1792848478 +561100227 +1945468173 +1071285555 +2090469710 +1105858579 +1923354923 +2047227127 +840697624 +1868794337 +1105541097 +1120806998 +1461281170 +127339467 +1589250210 +1105523640 +1692948595 +581134692 +1581933576 +60368703 +296883154 +773389471 +97708428 +866657935 +245300277 +1494248966 +793863316 +560947809 +440578811 +1960409496 +1926884591 +85943641 +374026076 +1724869116 +1157229196 +317012138 +683244047 +933100471 +216755618 +1523941671 +654411161 +1322296715 +497265021 +2115692331 +1449636182 +2086515232 +1073732323 +995101129 +520166276 +508182252 +1055469832 +817049430 +1281571723 +1153178261 +1683707365 +1526872001 +499943579 +330087033 +2087819810 +940522390 +143012882 +1867220753 +1026466031 +517038958 +1444606221 +36211580 +834051096 +2127850268 +969312051 +1050806714 +1504308291 +1623723212 +225619782 +2001573313 +1591931895 +1675255964 +1940604897 +518180571 +522873446 +313287525 +1026362823 +1578343278 +1130336955 +160450898 +584037891 +666560673 +1687322899 +1083981470 +996647706 +1627659061 +2024503860 +1139660588 +1347396166 +903486244 +1656699546 +644518739 +939697824 +343266995 +624885359 +1909009875 +1394073709 +2129193651 +1385249440 +1619693491 +1983283316 +829697687 +1147465808 +1776404565 +1347878258 +1670339254 +2089692090 +226757433 +1101198884 +1072545397 +387208332 +1685236776 +1739106070 +2074531231 +621734598 +588270129 +1554706645 +498754811 +1727930717 +754619163 +1402241055 +1237146616 +1399137903 +194455231 +1580413611 +2024023262 +2103465106 +827003672 +2005733265 +1341230898 +299213516 +1841532933 +23444938 +1446679324 +1470453850 +1371323196 +969534930 +1412662292 +1598080630 +2070733814 +337724042 +1985288962 +1608486942 +2076830112 +1912336545 +82737893 +517616593 +1319559542 +581492704 +98063663 +2074178706 +1983733759 +1335210279 +1325832961 +30705342 +768140242 +1202372575 +2134170448 +1595143914 +1060622193 +1327917699 +1894357430 +754671478 +1351362637 +1193553106 +77641681 +575202185 +15604388 +1490303973 +25799167 +2086338203 +1828028015 +2011088129 +1547341497 +1757374480 +1775941027 +1630079390 +127507425 +948016921 +64088446 +225571088 +874711979 +2047822205 +1560781367 +53061292 +2078527547 +181437961 +1255433868 +2065214348 +1776581876 +168572413 +1245648399 +1523455658 +923243891 +449527388 +569525117 +1000885572 +1024729573 +585129505 +343705898 +1050528741 +523984060 +24250265 +914133222 +2071325558 +1781624745 +542590601 +1553921300 +1909132171 +1490607523 +1618009747 +2134703259 +217835854 +1518348304 +1548000979 +270897147 +1449392204 +1729438940 +1526331015 +1367122904 +1358537168 +1694903428 +465287655 +734509179 +470663671 +914815043 +1304034296 +1471549244 +1939544616 +1889163801 +1815255142 +842589709 +265664214 +1839505407 +1756722932 +189506124 +1473646505 +151829885 +1743427424 +1235295028 +1642437408 +1213953523 +1222514639 +1860273263 +584818180 +623031970 +2131170410 +2034210384 +204987263 +1510017777 +1253849640 +1563524431 +1057437557 +1719137295 +150549962 +1528101228 +486468690 +1454584258 +852166824 +278529658 +1196264412 +519938318 +1121119368 +1461928626 +211960078 +730358652 +1651434750 +1685606583 +882188537 +1247378526 +773417963 +377142298 +313848402 +1995932602 +89931913 +898666582 +471480925 +73618675 +785393318 +676468188 +1583636452 +2039242958 +92508971 +493590361 +1610896605 +243058934 +2021691589 +2097365295 +1697643192 +726374766 +228411305 +746423956 +1246313084 +1349530673 +60868934 +1458273162 +2079889325 +1712303684 +996396097 +814594215 +812198563 +1769814060 +1191736513 +1126046965 +1618263015 +1281668426 +2024713547 +2089743940 +1355287101 +662623217 +618728480 +791439905 +554382527 +711237451 +1285030266 +17795484 +954296385 +1159238207 +2115160779 +504455930 +1885612973 +196088436 +1250879886 +984442410 +1545619110 +1311748821 +295231924 +1478024787 +876568857 +1291628022 +145135354 +1688767420 +913958434 +1336871867 +667330737 +384737801 +471056645 +544560636 +326998093 +1826343746 +1207183853 +945726573 +470300003 +1761566380 +1656964025 +1755330269 +1779361864 +463776762 +767084829 +1747038995 +968232692 +505214154 +1943127432 +71628931 +1489656564 +1341262894 +1383377752 +1784888489 +671804033 +112462961 +929032863 +816939388 +1801230382 +1842991297 +6327607 +321077471 +80245451 +477384253 +865638108 +407243544 +156244351 +2072821961 +1352970118 +626544355 +1686904694 +862450495 +234390976 +1318782910 +1326227257 +1001475805 +918338258 +146976302 +1506689960 +713982042 +218605233 +848862876 +2055244936 +1601982985 +486267717 +579565321 +1714445946 +1415300580 +1396504709 +1368192680 +1110808230 +1402832317 +1689270152 +1191053681 +1880216570 +407424612 +1598297225 +2036460921 +332762925 +803783695 +515521628 +2019667619 +1666234190 +749912605 +1190966882 +844977800 +1751388410 +2109305140 +991954102 +1110594722 +675803534 +1210559335 +1959457599 +583564822 +665058672 +298241668 +1163130143 +232020970 +1713542249 +412151205 +1600213651 +676866831 +1814983522 +1142000155 +1867920512 +1547716444 +1549424767 +1318734089 +1436693717 +1882187692 +2122517785 +1952215346 +1754371664 +1641268327 +554644303 +797854898 +338762479 +158549065 +759676390 +1330716581 +1269143788 +1435479924 +393792268 +1081117739 +2019044746 +1058850940 +1379359407 +1034691241 +1290871911 +945418008 +1446842446 +743601914 +1622284839 +1114342320 +1885602069 +1342721703 +514575116 +1287543188 +513972145 +1951268834 +1022247232 +489006282 +1756000532 +629135248 +2130274609 +163161187 +1426990146 +321553441 +321710252 +39182888 +1652270022 +1590854040 +1474662812 +2046062291 +524488131 +1346223910 +957429583 +1903847539 +233431504 +100817846 +701781899 +1680273950 +844419760 +176583091 +647132623 +582538181 +1519304794 +1161707739 +1870081369 +2033276939 +965492925 +744844954 +374799573 +574009809 +1373980202 +357590535 +737170996 +653486701 +679143976 +1058881249 +692669589 +183930350 +502251641 +19848754 +82508993 +1026739773 +1366072664 +1039938577 +783103664 +1599504168 +1140756423 +1484885563 +1132294471 +1985176184 +1661468654 +1779427094 +420230717 +1033289801 +793651185 +142828439 +919083092 +1759144111 +887673393 +1293882666 +185670272 +114169947 +1651473201 +922841269 +767656648 +183133529 +1981722518 +1460326238 +367063879 +336490511 +1480174992 +449572873 +1363230284 +698764008 +1489511450 +2146333948 +150784529 +482784225 +1483735864 +1283079000 +320476761 +997720870 +915022446 +740707479 +2031010671 +1708673631 +883535918 +802610116 +1320334094 +1771209311 +2096492782 +1506004367 +1885379258 +1600482335 +281361988 +505552259 +1783615864 +115600858 +1965878497 +3196095 +452091369 +1298569841 +452768968 +1815321654 +1997333849 +1942280418 +1814171954 +634730 +277580996 +1150424170 +1283713730 +598057757 +661393 +51252528 +1338765236 +2031672064 +1759926160 +74817506 +686798532 +932776606 +1846026817 +635807666 +291297325 +1583922428 +88806353 +572659313 +2089474687 +1872422217 +688260171 +1907869536 +1875618313 +1140351541 +1058955729 +180903633 +808189547 +908805930 +2123184052 +474877853 +909440661 +253281400 +1625302024 +45670743 +851339157 +1625963417 +96923272 +42620746 +1510151833 +1856849432 +117438252 +49466718 +642142390 +1963465070 +685274384 +933439716 +1399903850 +774080738 +1506099029 +1341894889 +499019307 +46875553 +1102280777 +227153972 +1187227094 +13752858 +408057606 +1995416641 +922558788 +383758010 +322810846 +1831999449 +637039410 +1948112870 +1877670193 +1488378567 +1426592639 +1974593465 +1530999313 +789260825 +1683959249 +1648437566 +838727543 +178617991 +1464418988 +1524001927 +1112057707 +716839190 +150599017 +470673089 +2058734079 +649618325 +517548642 +1013531208 +876772297 +1704775736 +1027284066 +1284829903 +1552708729 +1949842854 +1668587913 +1875519575 +1634358656 +158143675 +1676148798 +1364545201 +1646522243 +955257789 +1191655018 +1030037908 +1744518614 +728130619 +530991826 +435762509 +906748610 +1995410814 +1959764437 +2018806318 +564766356 +2110363454 +341995759 +476016787 +612498131 +859544401 +1489547995 +1489270429 +416836489 +369348413 +626616684 +1969545218 +171707620 +147720950 +1697581145 +1806066276 +305864625 +1226246295 +1023127829 +1952386868 +34020437 +67299199 +834941129 +1778539051 +795429818 +1365932955 +66817913 +1702178428 +1213860122 +2026582350 +1573501098 +1778626478 +1989462156 +1915496857 +107159618 +454476640 +627557610 +1596707613 +1943747069 +1044394099 +1966056027 +422880105 +866455669 +2137763647 +570601055 +416553167 +1796346275 +876465681 +1642799462 +671990456 +681368901 +1676819899 +739289655 +1516310030 +1307875303 +1534719473 +734759338 +1374693216 +1089414253 +1948619460 +1253791918 +515431704 +1579762290 +1095770426 +283444913 +1686921908 +1550247066 +911002524 +1136145874 +1346510487 +1955396623 +954718253 +1769390593 +674368645 +944998252 +192508000 +1090921812 +593860879 +1068973681 +586237626 +1265851335 +1750342583 +115573878 +2005140990 +1119168965 +1423449181 +1392376815 +1853928303 +650658749 +334307420 +1655064115 +1904450667 +849739124 +1087342758 +852737445 +1133184038 +626781018 +255500864 +2044186562 +1762926892 +1602011351 +1852099537 +570161497 +1223918296 +378984534 +1515159749 +1416426297 +1469906346 +2109020628 +337916330 +2056143973 +1227388315 +2088258913 +24234203 +1085045657 +1059944231 +1447683384 +329938824 +766388886 +2098342133 +664246245 +273969354 +1855309152 +1513985369 +1361312112 +560562949 +499685759 +1988093130 +816063813 +396388673 +1603536375 +270591517 +101004563 +26214224 +1494509813 +479989097 +1541373974 +763452462 +1949895444 +1502910954 +1101368793 +1858555769 +582815622 +1042144058 +1882789972 +1667861279 +2102088289 +1182989708 +1997800104 +720993528 +1133848193 +514562701 +994962882 +841673697 +2028548070 +208791346 +1402236646 +380750182 +49400828 +70816812 +777138855 +1652937203 +341408329 +878143418 +1679151428 +1835918142 +1358132516 +1073041754 +451886957 +1160544312 +428469060 +1553255750 +871616433 +1011284682 +447916160 +606922757 +531662314 +402520802 +1789912465 +381978770 +1123514330 +776277010 +896541471 +2118477212 +1617950707 +777605893 +179784910 +872703705 +1158356075 +229185738 +943520517 +1935494931 +1882122942 +1284928846 +666154701 +1413790722 +973363341 +2024287217 +339348828 +1425250298 +1037347881 +767817888 +831022400 +1908964314 +1779102571 +1278938560 +368403423 +163281237 +1681459362 +10832240 +545260007 +657490044 +787109250 +1441801478 +628483608 +257576309 +71923723 +808268518 +1130280015 +1230279799 +1037454257 +2073800532 +1018291082 +772093551 +1211245731 +1684445783 +38400625 +37125424 +1561249353 +377749453 +1462375722 +451113586 +1145567341 +145914474 +212594253 +777186264 +1424853034 +580997676 +940467501 +958828749 +591829917 +1485727508 +1616318793 +1378939167 +780045338 +97318754 +1636515477 +851969062 +905587272 +619311844 +2082248861 +1943041529 +545628728 +953056295 +567651432 +1756874459 +490018430 +606052057 +1793999883 +2051267783 +983801510 +1108891957 +354897722 +2129368852 +1254806431 +567491975 +759071468 +532175818 +1148489651 +1699538970 +1491004567 +1740319568 +1037782830 +959839712 +971775088 +1817828169 +1057158466 +460806917 +522313583 +1962745739 +1080118761 +457078796 +1758303620 +1625747489 +1410135091 +178471405 +1235138301 +1900153521 +784523462 +881654536 +1803937657 +1768324973 +1990546494 +11351731 +1750210177 +1097869277 +578843706 +361797997 +1630045095 +1727333357 +2061336967 +973566014 +1320169278 +951636150 +1933405727 +144460718 +621980671 +843080545 +605267635 +1144294254 +658342636 +1685386396 +1601373050 +269162609 +1163650237 +864024493 +447634014 +251304890 +616694366 +1232157476 +1132959427 +273148375 +852998801 +976022273 +284500106 +455725330 +2073891550 +863343812 +817523328 +1556452998 +443193522 +731376647 +382535364 +1763362800 +1683012797 +168457443 +1907823518 +157509820 +1011537989 +365607505 +1301804074 +1669880625 +2050993901 +755693476 +1939043234 +1067160490 +1619717969 +239193600 +1318465381 +88928688 +1471351077 +303941160 +362077063 +176866230 +1279963433 +646577170 +632591561 +1206371335 +1509920982 +1450114889 +615340685 +1953114504 +34007888 +997876050 +1568993656 +1717020686 +1166333493 +1329333526 +1874530506 +30387834 +1694941031 +1028850933 +1700268460 +1598451284 +1784544409 +1491828046 +518128127 +1256778731 +1731021647 +1836593508 +1345707419 +1054889076 +2140534668 +1707784482 +1231755306 +1273014453 +206878004 +1864346867 +331902140 +1716798987 +1166978108 +947242826 +1522429843 +1200985997 +1945118876 +943939852 +770523035 +963968721 +125789730 +497569893 +994356556 +1820730762 +1526420826 +547141368 +1271698398 +1163481588 +2038969414 +1789826525 +272776671 +1622507413 +1478936385 +1618484090 +529912841 +1471987405 +1178784924 +1761668148 +597518210 +1385662929 +1478531367 +929420351 +954978268 +498025828 +1876663177 +329924463 +1699011825 +1674298405 +1273864315 +322051212 +490783478 +1399654046 +819621105 +1485140034 +1072901160 +198558284 +2032281402 +197115910 +1362039872 +1923767169 +1986942436 +1634816543 +1398790934 +1318395173 +1105816985 +1928703776 +642898931 +137118261 +1542888276 +1240417141 +1522781190 +873935995 +22353844 +330275810 +1371961823 +1899017021 +660200274 +923490000 +1425831778 +1934064589 +1245541212 +1916615257 +1186234987 +2065162318 +1254271643 +111652499 +116236954 +1139069398 +308768410 +1478276826 +915352919 +148227198 +965609721 +166660205 +1466622371 +2071426706 +2095363981 +2109521302 +61061319 +1490768609 +1202454796 +1583842510 +217220957 +1224808640 +1914118320 +1589182780 +976342014 +426834946 +365189133 +254690144 +213415888 +1610730345 +23821753 +1399650875 +1528409015 +1278093397 +1511303375 +1644645969 +269679147 +1820071785 +975439147 +1185032066 +1968298983 +1941048868 +1351692271 +1287437706 +1864991926 +1299572605 +1249475361 +1926053246 +642857566 +304446509 +1362412108 +860078523 +1529255149 +1129046780 +301777656 +358113515 +1555881727 +666966789 +612803660 +1769297615 +130213486 +636625413 +1021464842 +1658622502 +1914718810 +385284569 +1155784823 +36914309 +57872706 +2131223971 +1221946375 +2026171689 +1924789191 +426154999 +1166125748 +1642297470 +1725727604 +268117461 +1420867068 +221101522 +572563970 +635795528 +1081180046 +2101819119 +1764842308 +1382957702 +312448987 +1173240387 +2049924491 +925252647 +795054354 +32654329 +1561878060 +1816519197 +1691276831 +1329113223 +54320118 +699578007 +1366027532 +112192825 +683318330 +440490260 +2138364514 +460623873 +866645259 +1157006614 +2102921343 +444889215 +1425124075 +1376304763 +665990737 +1997688045 +2012100291 +1747170783 +1952023517 +1629458952 +982644837 +116988856 +655215691 +885085680 +1042241503 +1450270046 +917740010 +456635915 +1119305595 +461533193 +1785749138 +1173625713 +1161111200 +1004293023 +1285818538 +1844429530 +1444783283 +1276699405 +157569756 +163944894 +286222371 +113007451 +608834109 +1711346447 +1489312215 +1274824846 +1561550844 +1353928858 +874511982 +1366090713 +835904162 +1857156819 +1483079569 +1491119854 +594758852 +377837424 +793906252 +1512498862 +834473340 +1913211847 +1974032055 +472738830 +939353912 +987659608 +1477031853 +77688803 +684605490 +774331488 +1354388208 +842175246 +938276382 +1640610579 +955182698 +1547110491 +1204473378 +297011265 +674451690 +618540575 +1650940123 +1548963672 +1984631288 +339360638 +1258636843 +1320227210 +1830480492 +1853395695 +1698064634 +476903096 +1218410909 +385054326 +242631295 +1044959317 +857793157 +1181985207 +2032618925 +187341362 +1259674010 +569740767 +961672851 +466578570 +1411916014 +1899949233 +2107189150 +219615064 +1299576077 +1164178880 +516626329 +1974027767 +1782719455 +20082804 +1375507791 +1619867096 +359443442 +486660986 +792610658 +42440286 +192573034 +343191644 +519343382 +1410983943 +728245971 +761974677 +308459612 +1586039128 +1943959885 +193594889 +1773380490 +1056150247 +763335657 +587569693 +1522728818 +27768023 +340035279 +1482434320 +247383087 +1639611356 +499129552 +764009416 +1466155475 +134365360 +784092220 +694179618 +1754232456 +1143535663 +1180840604 +399359466 +1185975949 +1373413638 +742551110 +1705319332 +636913934 +1470797081 +319810361 +945373546 +909352561 +116286598 +1138968436 +535249404 +1172436846 +1902304093 +1122819097 +547682016 +1930072116 +1462854376 +2030116336 +29971555 +954982084 +381762240 +793980971 +273653911 +516127600 +1578073191 +967833529 +122876408 +574125206 +1190486 +522235874 +1760101156 +1374604124 +1264786985 +1317936840 +2011518058 +588100418 +1637747201 +809407957 +1497452980 +1754033800 +1948376393 +2032702384 +778986998 +1703196838 +1008037833 +1326669014 +1485785306 +323408562 +1209301702 +1515756861 +1278390646 +1591063942 +162254184 +1552044558 +2107191543 +1740327375 +372394439 +82584303 +166968934 +373584925 +604820178 +1927070090 +1748189050 +1869607163 +1097523282 +1612223460 +310223933 +587786835 +274147769 +1807676913 +194336987 +75040514 +1692895649 +973323985 +1778237352 +553449835 +152509351 +1116539010 +876858397 +1361811053 +484812223 +7765395 +805391348 +647066407 +1559809953 +765099243 +239910135 +1932204393 +847683546 +406879069 +158305670 +1452503724 +186465511 +1906494720 +1174627239 +1283988793 +1371234533 +1484851173 +1871775628 +1645382302 +1145044438 +2066112616 +1720422817 +690456440 +891952953 +1351176521 +1243906275 +1044462305 +320231884 +2120764672 +258789710 +805044107 +2128530067 +1064181058 +1452110515 +1540856373 +1829280301 +1692020650 +1325577118 +529480200 +2098899719 +1483882788 +1981983924 +137881582 +1242893861 +1009127516 +1421870375 +466644746 +346495041 +1146162355 +2112027048 +1491539479 +1064791323 +1684966217 +34512271 +1956744277 +888659091 +1278418546 +853722934 +1208890975 +1251699570 +1112512644 +2013935082 +1232745990 +29210055 +1318561949 +626118715 +1858490356 +863098951 +1951695833 +240486908 +814515022 +1288094973 +74987185 +952396604 +383505186 +1084114701 +226783331 +850149932 +1430609742 +1372945687 +814693333 +774665573 +290253362 +352175902 +809177845 +99513991 +1240834993 +2087596391 +953236925 +302242320 +1191812314 +2065749570 +168693755 +277074656 +2094959625 +1487255704 +903193371 +1805966333 +202871008 +707405556 +2046453242 +1017386030 +1995500529 +2121440427 +1969782635 +231522068 +1058071480 +49082318 +1081672000 +341197574 +1422028005 +1896365333 +1115863147 +1712281368 +101057588 +1925040992 +1811795359 +1341892581 +1865153736 +617548637 +1644134902 +909482402 +535814559 +1812828657 +1186557058 +483290536 +1152600713 +2089750429 +141773221 +1355471721 +649672337 +40742815 +225374104 +497689218 +14699594 +47673091 +729211286 +1072771074 +96755409 +1810883287 +1413968648 +1518783415 +1559764972 +382348148 +1083581135 +1660822560 +159905492 +747892846 +855231494 +2025059228 +1365441483 +351882748 +787057982 +1901256042 +17227757 +1973615040 +237062930 +1169828470 +1915881821 +378836152 +377816544 +418070510 +419578967 +603190648 +915759729 +434278562 +650863739 +1644971015 +1507049636 +747619148 +1308370654 +773534637 +118918915 +720651979 +1155882785 +1202500050 +233990891 +1315788277 +1950392897 +1089222385 +1193363858 +1168350732 +1441105133 +1980421840 +922123127 +1458332890 +1806553233 +1159186057 +480677713 +1574951406 +1538022209 +858494257 +1993021917 +1957601177 +1461684905 +761297998 +244396091 +2112548644 +258785365 +1751445727 +712684144 +1567156020 +377496716 +831603060 +140324351 +1533379501 +2034103110 +374315242 +701684131 +1837012359 +1463537628 +1895047989 +857879444 +757159113 +1727986181 +1780002571 +68008356 +1387055766 +791704980 +548686069 +814523525 +182243542 +1407180326 +660061794 +2139844719 +721381583 +1421359792 +236757162 +686446579 +1680145157 +1988202889 +1399130723 +1099817529 +218215958 +83250135 +1240141880 +1751595459 +2117353246 +1614457123 +305795942 +1806881957 +930511103 +53360283 +517277753 +1687670216 +1781346465 +149796676 +1755678572 +1020918583 +941501657 +156880993 +1835442108 +1123745199 +1564061319 +348020254 +1116106270 +137959254 +1769380046 +1352863432 +824405833 +1302041556 +1193582673 +76052909 +254375437 +1411798631 +159303044 +1494517318 +1015910443 +129172642 +961490793 +1321706385 +1936054600 +1892001896 +1375066669 +305848705 +1432188464 +1008929486 +455645382 +1040383389 +2029848069 +1397147039 +1197264382 +1717806530 +373408590 +613842054 +2065826784 +1489514860 +751801308 +1687723183 +694894644 +1576207142 +842281091 +1888477317 +1652260051 +1096656528 +1152792301 +1811563095 +443690198 +21219096 +1940735738 +1405180991 +1342925481 +1729306690 +1149699239 +570508502 +2035155395 +434404056 +1579437988 +343317129 +1474787445 +1461802410 +1740464168 +524568179 +1032125292 +2113872758 +1138410233 +950468428 +1455903970 +1890211542 +490707963 +3314966 +1318935036 +1332989054 +1891792284 +823711439 +282161935 +897100937 +487790886 +725852133 +918320033 +281042976 +2131033125 +113761866 +2010349666 +1133248716 +684270369 +1898021414 +1567652772 +116224709 +93854895 +894956569 +1578027119 +1834319064 +1419524749 +462668763 +1800708174 +410451334 +1413137192 +1109128497 +153179228 +1903845155 +1112443463 +1472114264 +1089350562 +856752099 +148342055 +1371512497 +1753853036 +636132942 +2097364630 +524689421 +917175918 +2080914107 +638451288 +780041937 +1066679176 +1322721657 +530579703 +486848300 +1438946366 +624434598 +1381804870 +869489838 +311270014 +653845971 +1332158601 +2111978189 +1064297305 +597812145 +1073623038 +1217476534 +354173653 +38582853 +542107150 +1443524215 +895334953 +690449206 +667553064 +501704341 +1326582148 +617434046 +1026393763 +96274418 +550864506 +1664845051 +876316355 +1617543682 +840083060 +1406896058 +2104391982 +131545778 +2031330657 +1338713204 +1001035616 +195117023 +1992559175 +185710570 +159611564 +909372833 +783522715 +1233234602 +2126849367 +1137696368 +1271817456 +521472869 +433736935 +19668761 +1211922075 +1101289999 +521373102 +391020575 +1718724046 +1547766865 +487294994 +122104904 +1065128268 +1363611349 +1739648586 +1905211328 +623023760 +1696556920 +2036757107 +506870769 +887786477 +890309075 +701987792 +732862004 +1076019645 +861599357 +1642234837 +1859542361 +2094833959 +1621600556 +849755081 +1219167767 +2143073426 +1283492017 +1238836528 +1207511853 +237298368 +1760209631 +1598532429 +1956022414 +1160492848 +2085827423 +2078127318 +78137469 +1301955124 +1670292256 +1983348797 +1924978884 +1219365529 +1872622256 +284366005 +2107152006 +615447684 +986353798 +692530362 +1691467329 +1847953155 +187281552 +1403526042 +1795303466 +1808882108 +105797476 +866987586 +1804471886 +1389289493 +2105824114 +864500092 +1626587861 +1718550097 +315548873 +1435126628 +731559298 +253892648 +1365770298 +809696767 +1555847772 +888578907 +645561916 +1333343009 +2107944436 +370700525 +1617709014 +2067612794 +986148209 +456579164 +612659508 +530131890 +157048671 +799941060 +1933657933 +1952352138 +461339521 +2039455409 +671856076 +118327759 +1281261254 +630196542 +982827851 +760365467 +201262992 +1298376724 +48008447 +932822290 +1552269372 +1413778746 +1742519057 +960633497 +154874005 +240597325 +146492858 +115334793 +611297850 +1764201872 +35463939 +1597446059 +73297389 +648123447 +2127577950 +230346060 +1448064508 +1913752235 +35214550 +1909404029 +1805723996 +707070626 +2027731788 +939501602 +1337267169 +863075992 +1699867069 +1538530161 +13969068 +1747875517 +323868803 +1566238441 +1014170615 +2066387860 +379388290 +1169044620 +159501537 +525881148 +1284379413 +770799388 +142599372 +1319843352 +220761799 +215896761 +1967966799 +200856101 +446242822 +1268547659 +2114608336 +481457372 +1030468040 +1772848684 +1188527999 +910716181 +564866638 +378311520 +1773792173 +117250060 +1916841681 +1787761241 +1865125577 +93226836 +1206516034 +731812544 +12131048 +1585904324 +1900857164 +171632585 +2111785472 +1037752929 +942431973 +106901197 +210112633 +1163193773 +322797958 +30595784 +1364049874 +769040780 +1299143444 +1331174563 +1250498153 +182127836 +956539599 +291542504 +1092844017 +1521406238 +669854024 +719152542 +1638656298 +439212057 +359430136 +1356298227 +532438893 +1565946170 +2088110771 +544569941 +1004366847 +1841484287 +716202526 +968668671 +731753568 +1658634500 +1075569868 +941866201 +674344625 +1398367827 +972461985 +2038394499 +19924959 +124121781 +1222085414 +1270423112 +306249618 +31141366 +1561965616 +1399093635 +1552547604 +84335992 +2118246178 +1043720254 +523548049 +330192666 +252534833 +1055986942 +1896138836 +193161956 +1600556883 +753022035 +2034646243 +169275762 +1721690707 +618916163 +1827910262 +649776927 +1560782364 +354771239 +2048144754 +385760701 +245682090 +2068069714 +509882483 +1467767505 +1191009178 +816132101 +1498908871 +605491147 +67742088 +903972827 +689827139 +38504618 +1947693081 +1213375189 +368697284 +52744266 +121878483 +117352473 +245906222 +1722435367 +870374508 +133068817 +1891711129 +444581567 +751984980 +1572137743 +1094358495 +165283696 +1926908982 +995019601 +551044397 +25107424 +915605667 +1060926880 +1492874929 +2106614846 +1877058981 +844300152 +564622345 +1944801070 +1748272979 +1254449484 +1983305688 +1548482412 +320341025 +204519325 +1601226678 +442219509 +321871798 +1847132900 +17171228 +1192246306 +1980201717 +1908882357 +1636827874 +584703049 +1333536452 +583702721 +749986745 +1112961786 +1578722322 +1301031143 +1138069210 +346844342 +214474375 +483460492 +305975540 +2091533357 +1327760644 +870597885 +1888850779 +928549976 +2125047369 +1724672819 +329548740 +297904747 +1929192144 +1930775419 +740124256 +103580294 +1630424671 +757295484 +1295826601 +1463142741 +518694193 +785170827 +2047845790 +1852230645 +1368873548 +650348888 +817708783 +800112222 +1951380031 +1955777993 +1146956564 +18370758 +291754837 +1452932104 +2109904115 +1619515482 +176046341 +1851271246 +400581810 +153610063 +1428460418 +730130550 +451514810 +1210168914 +513422321 +1191639066 +1313749209 +2143846993 +1948934550 +462092162 +1459506086 +320145095 +1247262989 +1359868228 +24892092 +468652889 +2010217116 +842600875 +1268765111 +1814113499 +650895220 +268238028 +1832484258 +942650058 +1721170132 +1794904725 +414681892 +1897216474 +1498692324 +815263702 +2050826537 +779669094 +1545394252 +354857699 +1989838008 +2058816574 +1546496765 +1156103569 +2055179919 +1347947667 +1618195731 +1367202357 +1668092762 +717975072 +579586937 +1692984854 +1186627961 +442320406 +388102081 +307909425 +108950257 +1038997301 +576147453 +1941434515 +1981647359 +149833937 +1588855593 +248845603 +2047050411 +940064269 +1064109305 +1950393300 +1719733363 +462019910 +157767351 +1562087723 +373352836 +1704264116 +570707645 +281049107 +904728135 +41419728 +1648251464 +425337249 +759394801 +80354753 +2118322103 +1946022762 +522675159 +358940536 +106448539 +631625417 +1397937838 +682595992 +425576284 +1232101549 +832429930 +2014431877 +1480947153 +731996693 +807012498 +397572810 +534906346 +379262213 +859592720 +692673697 +1941349937 +1232945556 +249454166 +364573934 +1513994663 +1154182301 +405993662 +1014762479 +1579519551 +1165388463 +1095117233 +1550358006 +963927578 +1617792392 +1909298543 +1070376117 +101934161 +1159752733 +1752972110 +527510446 +244370634 +437918392 +394458675 +1725317787 +1169915085 +1201471174 +2122890598 +1704821431 +1580733387 +834999670 +250011481 +1374599676 +2067945227 +499465647 +1739173610 +1434456242 +1653647948 +2145167273 +301735074 +1085683851 +1163072088 +1396852307 +488558210 +2126999666 +867161051 +250373105 +1049892136 +969095213 +1410125838 +655380598 +1496605659 +1654496472 +1093298990 +1891064334 +1232330612 +115730427 +945051860 +1207737562 +1820551859 +378301600 +2042737232 +2070563340 +1752901276 +1963198811 +422545339 +1344591239 +1250171406 +2076193287 +1342274864 +1551906480 +1014393491 +357863304 +801275139 +1502951701 +337379323 +1668436190 +1753324806 +1387271459 +490047755 +1015966996 +2042652057 +1986653414 +522979820 +988467399 +1730234101 +1755310432 +1104197826 +527802313 +815564346 +777266037 +906103913 +710817931 +700345729 +511521542 +526533094 +1122891068 +1856112781 +1776704500 +1051600708 +1050903997 +1181127332 +2065994199 +1408767301 +1982402471 +1421462252 +1746146624 +1503355014 +1027303410 +985934435 +1993402769 +2043270406 +881102844 +1832572536 +418766578 +1869570243 +1415322989 +26593363 +826284422 +1943125302 +842157709 +1603550459 +701745568 +1552975640 +156412541 +1213267110 +2079508735 +1279303609 +921896243 +1708729587 +183420669 +1972800240 +742373272 +101931220 +1234083893 +577292095 +1523393472 +832746870 +2080647109 +403213234 +1818681305 +1926566231 +298999992 +552300502 +1611655119 +717766571 +274387097 +879494460 +744359934 +1100671519 +675136114 +1586517643 +556738331 +1376881682 +992009636 +713150872 +442665144 +924034723 +1992454481 +1364561387 +485280662 +28391503 +1189877979 +1227653934 +130322723 +276478225 +1804946030 +1653716196 +1109225095 +1738109491 +2056929430 +780422752 +1517192074 +208445775 +1332723254 +981363545 +926212346 +1607110352 +1860858005 +1670572280 +560298223 +388510472 +1109606275 +1117036554 +1765392154 +2101615911 +1830187426 +60573651 +878166986 +1675158260 +1425135038 +1363447649 +1703549763 +467529370 +443617935 +1833872486 +744007595 +101080317 +1340105034 +1853232690 +1839189809 +1249550817 +486171794 +1208898235 +1457996592 +1818895049 +42778133 +236725290 +1278521753 +1903636138 +1907297570 +1838819976 +144662962 +869420197 +808372883 +1910055117 +823552461 +491076661 +1970628768 +1701719447 +18751273 +1248280158 +917683448 +1722301036 +1715809528 +1361301384 +1408689875 +312333475 +1462381701 +601311261 +18082517 +1154087862 +1850862078 +504254312 +215502450 +1161375022 +175665713 +258280583 +1398100312 +1454187466 +14433073 +1157914234 +1145523794 +159096036 +2027334432 +1953896677 +2069151153 +703403245 +297489691 +1892296273 +257639044 +316240964 +993092783 +1175322493 +2038542001 +561418664 +389140229 +1299748228 +873752139 +1851521930 +1901059489 +891834657 +858126145 +1604437920 +1396088969 +1073628595 +618329294 +1571754682 +1331909178 +2016429607 +878458500 +1346342251 +1026860193 +2023982294 +1505438287 +906710977 +1830395324 +1427105792 +1610114222 +2127885015 +1171918417 +1867753267 +296642331 +17527553 +895592112 +187700684 +578946217 +1284732341 +1487448912 +1452698356 +988770623 +1241024754 +197049365 +1846896768 +697979026 +1593138334 +773041715 +1316308320 +1017409368 +2104950893 +1185254279 +1895867868 +1303809497 +64630825 +1772366515 +661764136 +971341802 +1455278191 +2088869929 +433972377 +1435679558 +1113304698 +154241996 +1732321889 +1130832251 +1049834108 +1920022574 +1709778468 +187082801 +1259987838 +1014993177 +1175853424 +353528944 +1212042542 +875266545 +1051507970 +657697229 +1648308260 +220332643 +1675106597 +1605775506 +1405586922 +1423490818 +762101355 +1470217747 +1048373685 +1423865491 +294075902 +356168228 +1365251772 +728048279 +1791847786 +331072823 +882290275 +1376686027 +1461905074 +1932124383 +1149224953 +1024199895 +2119207184 +261729144 +2039193072 +1147576960 +615258088 +1103751966 +2022843505 +1666766059 +1761449195 +1523668118 +1887098702 +1289072145 +981959976 +1145201976 +565079315 +1744061331 +467936076 +1613453000 +1020443174 +762011978 +1969621228 +238211299 +1490060257 +1613985366 +569284122 +224866884 +843187745 +2031189196 +9507619 +1992412699 +907905443 +2128714803 +106658195 +799614867 +1128808115 +721916283 +1903366834 +1004167973 +241198694 +1517332381 +380352443 +2128297396 +658920878 +1362312419 +1126015725 +1224000193 +958890102 +1593951801 +689969545 +1979333276 +208480131 +512107125 +70060927 +1698540388 +2126092491 +639345049 +1923407272 +821796589 +523050598 +1932914891 +666725640 +1430956041 +1914146046 +773383835 +83087261 +895470513 +1495300118 +1986454095 +1899638486 +1736498813 +1356302828 +132507281 +1717312561 +2015223707 +1494819700 +695844638 +1091740252 +306226154 +142312791 +1781709798 +138075783 +350792922 +146333275 +208136710 +2049333310 +124942119 +847481760 +1825256934 +946738708 +1370532358 +1610688177 +1613464348 +654004751 +1377350575 +239364535 +737092012 +125337441 +1734664653 +576062459 +2024975927 +1323679818 +1932365288 +9999561 +893508732 +1800105347 +1504819261 +1589353370 +744361951 +1811045416 +1731666162 +378588101 +1949121199 +2082459084 +524921377 +9774261 +1984308747 +649863496 +857256021 +1662082033 +1596602204 +80304731 +1125286563 +1062582904 +734309483 +355153490 +1301947439 +1471401495 +480490931 +889128444 +2047463955 +357983211 +65324615 +1832345595 +367982772 +958833347 +1484967294 +1872802033 +400703069 +81845597 +1536363801 +2132369231 +460433699 +1338001352 +2067344668 +985355076 +1347775614 +1904169767 +1635218572 +57547987 +1418768152 +1084337128 +137852719 +396571067 +2146920032 +872162202 +751724558 +1301383823 +196080049 +1232215489 +43028619 +96060356 +1590198700 +108353234 +1928405951 +1958181472 +1067186581 +1265889597 +1683499858 +1467889651 +1347735195 +1072380011 +1452775234 +1808168894 +262897716 +1372636254 +646040322 +1610673330 +1129322373 +133775246 +1668221317 +400606878 +1218112374 +1806074036 +797177945 +1217548758 +530752590 +1548902503 +371448933 +726832640 +633634345 +414477552 +822892996 +76349397 +522830787 +603815300 +2034530870 +1590017368 +1869704897 +1570547080 +910423371 +1069956444 +495443443 +215714958 +730641690 +758341159 +1588351212 +1376682012 +221530841 +570189938 +1510457258 +1889752159 +970796816 +581085984 +1548342547 +1767974761 +1798634742 +2079095138 +1169393617 +22600027 +658444130 +1803027962 +437077580 +1481337126 +1879377359 +959908367 +2085152426 +1766424581 +402442087 +1807373676 +1189488013 +1312865459 +729846472 +1684931457 +1528580417 +1460488163 +295788968 +969447981 +689686527 +517319810 +1539637919 +52660138 +259588321 +362951087 +633746122 +1807930868 +2130925849 +284897217 +1739542358 +1152835818 +307497244 +250502840 +808380132 +744574824 +1731839967 +540273843 +1704483191 +1669508745 +159214777 +2106925279 +1329398773 +1348702790 +1272307090 +2059245246 +886150599 +653403859 +1372249761 +1181939568 +1622851840 +2061936288 +1699259378 +1015006112 +2114596426 +1958847699 +1377957199 +600858901 +1619294919 +1361399400 +885756118 +1211353630 +366751570 +1193253362 +1461856470 +1175131702 +1937828187 +1046212789 +1715405546 +1494827730 +568237887 +1874620323 +1454269361 +1897636660 +1075839465 +579092803 +1809398258 +1961990065 +1232496662 +1034164371 +996445985 +707864855 +948617012 +548221715 +1722870967 +915729790 +359585766 +953344518 +1516588691 +1978880685 +167260271 +254861161 +1042750667 +534011841 +1448114524 +357123490 +1709143544 +1238459063 +1403336279 +1277065442 +585803145 +1971574166 +1004202117 +2040072507 +1721727179 +2080041582 +471681662 +1383641789 +1894547999 +1704178325 +270322513 +743510336 +264559532 +1218939525 +1291732051 +1987430499 +2134669315 +1651317817 +793291369 +1503774359 +1482714855 +960551640 +1758635520 +377981874 +1494563482 +1059266396 +735105364 +1056223378 +150241811 +2138441644 +185805172 +736044957 +1962532162 +1190007289 +628633816 +1536775693 +1122565223 +1100315478 +772933835 +869629575 +657010155 +1043256348 +1613139911 +921569687 +114712225 +757388315 +761516538 +101897892 +261222484 +1554807908 +1605672251 +1743937339 +367875900 +1216824124 +2121919214 +1862439382 +128606872 +709540930 +771179112 +278848684 +700498926 +956984284 +1014893641 +515547441 +2146991573 +1643527457 +2052323134 +1122073149 +596359287 +677773321 +1991702724 +1253369443 +1721029669 +1457358987 +27455482 +1835741894 +67263654 +788972021 +1937639787 +328486139 +196296281 +1395828390 +2072423478 +564172181 +465168866 +2046859044 +279127916 +593775739 +608916327 +1050307028 +872624423 +1309415253 +2007291313 +1887518064 +1824962694 +2006799238 +1383561873 +1729802181 +981388739 +1979921160 +260091854 +825607815 +1085806955 +1981121524 +135483155 +1113262438 +1669379770 +202746809 +1902234459 +1459535909 +531232948 +2098530740 +707880652 +456172779 +515219273 +1173049518 +355548175 +794347189 +1766825257 +964464502 +1844654218 +491966032 +126396108 +1704461883 +232000448 +1951358802 +1563777473 +1615562321 +1533677335 +397682565 +1447999834 +1793769190 +1223290380 +386323141 +1627407066 +1358773535 +1499585579 +1149303188 +1561520345 +1254336390 +461355450 +2092753293 +1205383482 +1169236102 +401442424 +1720602756 +194801972 +756990600 +367466297 +1961627230 +1721455102 +64636867 +306109614 +1847851210 +1769098750 +538110063 +1651726365 +1185392576 +6188736 +1037920052 +1583075141 +1454188570 +684205594 +658881873 +1840511712 +164129012 +2017655409 +1192613643 +1313432201 +1431692106 +299466386 +1774787651 +1376961751 +1504849868 +796540105 +1778404176 +1077968976 +991342077 +387911128 +1445435274 +805485659 +2109366230 +1510072141 +1111595274 +1809733793 +1131687244 +1649705337 +1313976510 +169596172 +1655894073 +204412914 +1752671313 +962598996 +888618509 +264069538 +655627060 +1052747521 +134241299 +1848240703 +218696074 +1565933405 +223441 +1993483725 +795411509 +1505073310 +642540182 +426332037 +435558638 +1633882260 +814243165 +1880993912 +291884271 +776125747 +1243582406 +1403479545 +438375892 +227786002 +905701234 +1752352402 +397382174 +414111660 +1956765317 +2569839 +1376710656 +697900178 +266639377 +2032337716 +1750647699 +400880677 +1733094771 +1969343774 +1966814082 +1733318213 +1815343851 +614741943 +1090907875 +310400386 +1041073980 +1526466513 +1944282646 +1855317145 +1259976778 +88683269 +483959245 +356075536 +1492162815 +922335137 +583861538 +250380401 +527203892 +981243712 +664492061 +336485561 +983813551 +2041202717 +1034385739 +1250452928 +1926056785 +637549790 +1651333605 +1511667909 +459409916 +1470664040 +1097502474 +127270120 +2085405983 +40926701 +437670506 +978996316 +1567393214 +234469504 +686829813 +679886344 +323152773 +1170789058 +1035961880 +1815315588 +2093124196 +1619823418 +2065695990 +472844440 +453583482 +582704403 +809330001 +1437397033 +476423473 +1843715740 +540366314 +254996610 +333781882 +44216271 +1766664519 +793191799 +1514880311 +716683345 +920461919 +1452802647 +757610046 +1358132425 +284315315 +177519613 +1592601929 +971145128 +857405957 +1915754702 +2141934187 +1893367838 +1583586643 +2087574735 +1365707608 +1501798985 +412935527 +1819291091 +2084503388 +1222265528 +1109204476 +413443213 +918497620 +1649570790 +668439824 +1252279502 +1693787062 +287620695 +2045471301 +1061183725 +1004304041 +818449572 +366502724 +1761914087 +29098349 +650818039 +1939433700 +1621700278 +1621963168 +649356010 +1389971333 +1616413707 +395240200 +826074328 +1556504794 +1760947808 +180389665 +1969440321 +1432755251 +117409405 +1044222201 +394476080 +530852619 +1962719821 +2044046870 +1199292443 +1067515675 +1590350284 +1486913138 +965503329 +504050362 +343733531 +1783952901 +870553086 +2105647619 +1813051251 +1521371126 +1897597671 +1287267881 +995850646 +399470033 +529755566 +464780705 +794710233 +1355829894 +2021285499 +408174394 +1536219559 +1843242172 +1840929645 +1653628965 +739980725 +87922077 +36997936 +555216898 +2131968948 +1236290379 +1622732573 +1574835584 +575719869 +440752254 +2078885946 +919453401 +77221508 +801955385 +877617372 +1890272759 +175842863 +627731395 +1030056992 +1171693509 +1027201429 +1559812559 +1636474214 +1821911662 +768158805 +1510276065 +82602408 +156894717 +1206034589 +1923532054 +1810523682 +1946015314 +2011454131 +1847521618 +353748564 +1995939431 +936328349 +1976481137 +1423291368 +1512048218 +269749744 +1354693666 +284017971 +346971252 +9165403 +1161635343 +89760363 +185008266 +1789366739 +1119817355 +1356701775 +669084520 +532146266 +845692341 +343512534 +1300305072 +208484758 +426114943 +1457199789 +1414519347 +202163349 +1120239823 +1213051013 +66133832 +820277793 +1566799577 +2062073264 +1756606142 +1395797067 +1337880984 +1121170712 +1665546811 +545091002 +1405188684 +2012518063 +554256406 +419340379 +2102278426 +739264672 +61223470 +1074612133 +2095966448 +730307990 +1606758400 +794175141 +1073820525 +759579824 +1002659900 +1499935468 +69295965 +269695599 +1702098817 +1189535788 +1482746613 +1768232649 +2009813581 +902062542 +1682822265 +1618936075 +150375961 +873219601 +592623139 +1815922772 +1418310604 +1997811823 +1680957187 +1972567010 +269668555 +1635751965 +564348034 +330892025 +562880451 +512830834 +1061200016 +22155203 +1307005976 +2135020541 +781735027 +162182228 +1487472361 +851030992 +431877827 +1042087530 +2040566780 +1914624440 +662836531 +1902896713 +669203335 +198175149 +1374349140 +819579296 +1071394750 +1966972279 +488018421 +342221706 +1817300455 +21491960 +167305068 +2086969010 +1657243926 +731653103 +270377387 +72640729 +1244483937 +1331577403 +94795932 +404006265 +1319114296 +876530959 +566188493 +659103009 +1727561951 +998066321 +1701190539 +1620645083 +765207113 +216543423 +1376058148 +1434410448 +414718572 +602923640 +106506097 +1486113322 +422412271 +594524518 +1828335029 +92229078 +616016478 +1995640097 +31714440 +125776756 +579809552 +302091828 +198417485 +1824293490 +1633669231 +293213417 +80816107 +805299880 +1169744376 +647004601 +1464402889 +749822679 +1645070922 +1018109781 +222984114 +262794387 +1234653204 +1599042262 +1697204836 +1649371776 +54482254 +1803710933 +988001450 +476894526 +250751803 +668852831 +569123604 +866768281 +517009281 +600838045 +992545038 +1096818833 +902929873 +1190962523 +773628675 +389115456 +1484175941 +854444783 +1194415336 +506436669 +1501449384 +511334578 +1256259349 +999036658 +1529444359 +1479243463 +1261831045 +616613915 +930802078 +811552233 +118502043 +985284332 +467779518 +1106503493 +1462178858 +718531321 +1775356325 +2031302463 +1585299603 +144881958 +484656860 +430360993 +1241700791 +1387586733 +1621323516 +2015329467 +1776702189 +958015809 +722290602 +823633878 +1464452479 +76256338 +1334968456 +573228180 +1075292996 +716929167 +2052471643 +189640393 +1333543082 +835790073 +1001192627 +1452045125 +1821074406 +1468972145 +411064970 +1135769616 +40019819 +38937647 +1019588431 +1625319422 +183819605 +1504245291 +2055680415 +1425520397 +744348376 +1529520283 +1293366216 +373566918 +340052445 +2015656818 +1197200796 +1804504924 +2091913156 +384685604 +230249456 +1019722504 +1101614771 +135237451 +1209362897 +287674205 +971027525 +63071876 +1739719330 +644618283 +1532044022 +3300652 +1780387899 +1572063841 +42238300 +652492683 +1049899615 +226057905 +9254326 +958096382 +1651578302 +753602703 +340133017 +797460870 +1127169621 +680185462 +665634040 +176886769 +337206738 +610063548 +561572373 +567456194 +1629786052 +1663187144 +702693646 +691665302 +1950861349 +1673721171 +754737178 +1543097031 +170855806 +139297552 +1546397683 +1951243705 +1711361393 +1588635983 +456252740 +613777360 +1814693889 +465507067 +1571873742 +1318788543 +1219109770 +1912006760 +2116249414 +198795743 +444708574 +634399806 +375682512 +781915313 +1244463355 +937254885 +1349371507 +726765759 +452958381 +2052065153 +1418431061 +256336082 +1578302676 +25684592 +1799433113 +1749158482 +164982144 +1198347148 +1552918540 +1876343538 +639499484 +2009171280 +342637250 +306709725 +327194699 +1914510993 +1625498268 +1546304469 +1679034105 +1594264034 +1745100212 +2123742679 +81180193 +2120782724 +758174344 +1325643548 +910553961 +2107545852 +2052409307 +1363512342 +2012127357 +1323356721 +1619848424 +1442946386 +1349041313 +1271797889 +1044621220 +1514023457 +322661390 +450056112 +1242883347 +962160874 +311743745 +1585520598 +1268870599 +638938444 +1352547943 +746885219 +37759266 +884098400 +193665606 +1782859478 +860357431 +274845799 +1756158555 +1618531776 +1600489347 +519228868 +1578593980 +1505415006 +1882741211 +1443237689 +681288079 +1355105987 +738700427 +2030329392 +479420229 +1783321648 +1396869202 +802081619 +85894112 +492268901 +1764242493 +397637857 +2077789499 +885629444 +1036576302 +1282853794 +1632514663 +1074335568 +19468546 +1826180269 +709711398 +879825978 +2101026068 +318386305 +350874106 +1554031767 +837615174 +1929468086 +911963126 +572872737 +1225222127 +1593251205 +1927978724 +1963922555 +1476096950 +259915305 +1599760555 +725482504 +1061996924 +1685654667 +1217751405 +678755769 +2083292525 +1148057257 +1564385213 +972385179 +283427403 +1049416229 +2046720747 +302895950 +728112850 +608948497 +1182721928 +681655271 +927334803 +1533596034 +88203390 +1764949977 +1315580472 +1000166516 +190339066 +393318951 +445934074 +2118317790 +209757858 +1922031024 +230749448 +1809518413 +500029880 +1292746372 +1347689433 +1717781285 +1971502142 +1283498310 +718354894 +1388403707 +108399841 +1001782298 +290336288 +7636940 +1304678248 +1018449139 +616585437 +339916528 +1700104410 +1543920240 +1873512562 +1788307800 +1161386569 +1041609386 +640990669 +1351725635 +1434928337 +1086924743 +1322559778 +1644686196 +861472119 +1553309226 +1306720961 +1361501999 +698571950 +506926746 +931799636 +522590444 +1790425056 +1650154531 +1910994152 +1898824897 +504453181 +53846792 +1906461837 +1809131429 +1072295931 +375563627 +1564309 +624916693 +1919483867 +1875076871 +265740846 +933386789 +769202609 +906731515 +137628776 +56647298 +1993656258 +1460188554 +1701333494 +707644729 +866014132 +860570808 +2069146728 +1564586083 +1367497554 +853462716 +2087176527 +1010438963 +356133599 +1850687031 +761780212 +860586780 +1904533824 +520758402 +522234561 +829346107 +896322029 +523798870 +1454262801 +668322248 +251392093 +1720003647 +1601709037 +1020594702 +479251514 +1739337814 +1077242001 +325424124 +1052042720 +631091847 +1033068853 +1918056853 +1491662655 +954731933 +1335159288 +711676562 +1808194649 +1274852167 +1722115525 +16844601 +978055551 +336412089 +877431381 +735105727 +857170491 +1399665943 +1564451834 +1753492520 +1923464813 +871230987 +274331121 +27373259 +443750986 +1876040158 +1047967961 +923002500 +1467894324 +2125209962 +1248426624 +372453397 +608818162 +134011829 +143026602 +2100480817 +1088743762 +1478185890 +664673731 +749454764 +605554409 +239305608 +766299365 +1583609960 +575717698 +1643730746 +171232039 +1432888189 +895913041 +1735683874 +1038897062 +671894207 +459431213 +1313228183 +699267466 +903182200 +1041784693 +1747235427 +1826184700 +362195370 +1724961742 +927127677 +734648767 +186296256 +1061139506 +877675369 +139293425 +2399621 +208377611 +803967157 +751854385 +813932020 +1043272765 +1518153750 +250058333 +1618990463 +1014400848 +421290372 +904395005 +1910313890 +9490598 +1943292067 +434724449 +468921812 +1109036602 +1133991915 +1372104012 +3337647 +733743694 +1050805064 +365533017 +311221788 +1977932741 +1100181784 +497518044 +891588600 +1977857153 +636811470 +893988221 +38751116 +1440778627 +1645842606 +852683137 +336567744 +1016512708 +1102741470 +1955558208 +2030913556 +1524031842 +712469565 +1793743798 +1533522441 +508277984 +80984599 +2002444253 +1617314586 +1214976514 +1227064617 +1620652233 +1948720209 +130386033 +1986185251 +112458349 +2108318775 +938883387 +609976394 +852423727 +769256893 +1246787864 +1746411948 +808008009 +540082843 +1244770906 +1660691146 +876650587 +113799966 +615948968 +684725147 +2144713522 +2139980811 +1397194712 +1790973673 +1526019604 +1905472696 +1871958272 +1380980209 +1375303634 +939451139 +460561178 +848472220 +740687700 +590947211 +687173823 +853146049 +551782338 +1626057210 +1463122443 +1404206065 +247830455 +562426659 +1003134365 +1055838465 +1102509502 +100421623 +569045963 +1979160090 +214221589 +1184994932 +516401589 +211451464 +1177492095 +1913596302 +2002425137 +556028051 +1671585350 +1726899761 +1937008260 +899405337 +518867252 +250085790 +1747877557 +1259554952 +841033001 +287567732 +2112701002 +1392815340 +1913624942 +1428339797 +649537757 +13971750 +1990766457 +1652672123 +1069810215 +945792311 +1753093746 +1638856178 +777468753 +1967315336 +676367462 +1293870343 +31283152 +1853859557 +1059982997 +2033708289 +262403960 +584084699 +1613124402 +51928572 +1483490036 +2131991655 +302014362 +1083883945 +1244062959 +1143047364 +1371451677 +1209280313 +388379056 +1137592972 +490136463 +1037916813 +1151564722 +333419272 +543105288 +73891289 +1279211583 +148715387 +1712747467 +2056680337 +2116030723 +241631282 +1203067032 +2147313875 +2095490839 +115566381 +2033538516 +210411152 +699651080 +1499179270 +262339724 +35657469 +1483687277 +564354087 +1119541414 +580266589 +1707401451 +343509444 +1789546902 +2095780507 +1481102416 +132199717 +986213672 +485183490 +465618989 +1529318961 +559074779 +1744830573 +1678034348 +124338598 +1654027262 +1646581423 +365969880 +709610646 +1646411650 +313977072 +825177027 +1532466518 +524388224 +1524828107 +884162140 +786727948 +1560485576 +220365770 +1351082035 +532543343 +800632359 +910999838 +876052787 +442695613 +859296697 +209671555 +574895331 +1845510370 +694855045 +1040514320 +1227345683 +1253929824 +637861245 +757896383 +1378268422 +144404859 +256994158 +1744238303 +854015505 +1903405808 +2058215375 +1679192532 +1288388678 +435119951 +1056536992 +25067170 +1221847899 +469538920 +245432940 +425446287 +1002082263 +1046065299 +1336446125 +1878135050 +1488760913 +48259175 +2087806605 +2063656244 +1893769545 +635178002 +956686916 +973631580 +1889107826 +1594548162 +1731527963 +1119892601 +1738953021 +1988522121 +716647256 +445484879 +1744444281 +627378983 +2124677411 +885349311 +1062498934 +1033730755 +910416481 +136863185 +1503269676 +1155849422 +562309472 +357868291 +54431073 +1898755598 +88519694 +1543191986 +1947014773 +28842651 +1459364582 +1693300670 +664020654 +268567851 +519448602 +405644832 +1863116013 +103492917 +1525537433 +1454585386 +2092015038 +94701041 +1900070265 +1688975671 +722080024 +1877264029 +426841334 +1784578958 +763511136 +1337257815 +1921442144 +119297164 +345623589 +336267968 +477165456 +400054663 +87539918 +565685150 +1943246649 +2034554691 +594527801 +1255127584 +1580371713 +1258548455 +1523695435 +2099820315 +1664193288 +1239327800 +55829584 +1042247073 +546429538 +360974 +1136948115 +299016156 +1689336645 +1859028139 +28796537 +2116177979 +1496123450 +792307673 +1305952147 +1270081946 +911604838 +1651575736 +1606349914 +1388770294 +2051630399 +1693889833 +1954455444 +1847393401 +1580960876 +401499597 +955037337 +1013848942 +1660048053 +331249124 +966185609 +1176757693 +1570576924 +1022015194 +71521118 +2117006462 +1022376168 +1208469233 +268538970 +564229166 +920013725 +297335507 +532923497 +268653527 +1089643181 +1838875644 +1538735473 +2001248019 +1342967733 +997601739 +1242534665 +1247114484 +544007924 +1049506461 +947024237 +2124968801 +1451006058 +1902061574 +991334095 +963570463 +85827050 +1957519704 +2140328156 +1656403974 +832051250 +64365627 +1625926789 +1854427419 +1272834860 +1894465759 +271172937 +45364937 +44317619 +804096434 +314018464 +1133960800 +495488431 +1852753937 +987725171 +1838456164 +702872029 +82776188 +938087000 +1246879953 +1132282649 +1885111238 +1224365106 +435805059 +1639689164 +68215553 +1399375523 +1725516215 +2025735258 +1392220031 +1234436541 +710302860 +1456585658 +712879682 +417246631 +581936871 +459861794 +688419568 +627301808 +504179413 +1492516003 +941320273 +1638140213 +1988004434 +646590562 +478381736 +1678976950 +1349462591 +561157924 +469580302 +448858897 +1693440573 +207207892 +1673224003 +2129245632 +1846897057 +1741439557 +1381137507 +1424929624 +1619691167 +625873891 +511882517 +182510379 +2082459549 +1224762200 +599757011 +516912772 +1684623994 +1288176579 +1144214581 +41319759 +633208934 +2085534854 +1679459972 +473729720 +584641768 +10358060 +5223022 +1934104360 +571515984 +474803325 +235479609 +117472909 +682011217 +1908703612 +99234893 +381424626 +1502659521 +1480372401 +1806354250 +974867040 +2106246292 +170753120 +1157377420 +2041222193 +1395515320 +1757134431 +410651318 +932655666 +897827362 +1554865899 +973975425 +1531036297 +1492917105 +505951749 +2004766017 +2077558873 +516309809 +2009989040 +1864179585 +1087825793 +337308717 +2099659194 +1205298702 +1019319934 +1860879159 +1304533595 +1400744561 +1216055032 +637422348 +1059615163 +43438425 +596184992 +1230368283 +1200815845 +489923538 +478399955 +810466628 +900574856 +1411055621 +1708293990 +307957107 +237547398 +1091846639 +1800874212 +743499147 +949129009 +1730949437 +1259808956 +811634401 +1447645375 +200151101 +1148943118 +1399820921 +1405449803 +20779404 +1113216432 +562499751 +1421523965 +181787817 +1199922099 +333655481 +225226242 +1796107092 +1564023764 +1426042087 +138546982 +2042423720 +89025067 +1039121838 +1305995693 +1797319057 +1347078945 +1543543092 +741682049 +1000469509 +139558591 +1690811058 +583935298 +1399367548 +354961811 +2031580673 +1599518649 +1503904929 +1283917947 +857484805 +1524684333 +249650731 +1419984556 +798724651 +431438548 +472423007 +1132380132 +656664790 +121046451 +548920248 +2082706877 +259593433 +443860320 +24248296 +1298715271 +1749856014 +1821567354 +498310568 +1145915458 +415765755 +1498780077 +1285474049 +2106576813 +2082715376 +537357949 +314054976 +1966812401 +2136876599 +1817959905 +1103246700 +846877756 +1195160590 +1352897432 +119378664 +1993885241 +1784335980 +591801671 +978781725 +293517123 +712848123 +1527701974 +228740352 +972441556 +1971562294 +252988649 +123673180 +1573934660 +2074556003 +621983748 +572366470 +342838110 +2120763826 +1857840520 +301931275 +2055995554 +247714821 +615986251 +1875324307 +237107772 +286462508 +831087360 +1083985528 +1481623098 +36501144 +1203364192 +1328024692 +1820837124 +1795165864 +159322769 +2114354247 +360530339 +1687024743 +195610952 +1332971895 +1511103390 +448599601 +1456645075 +937554402 +375671956 +2078628824 +1509920873 +718510066 +2051909002 +1220277745 +1020441341 +1960420908 +1467992566 +1636427592 +1688261567 +1705100339 +1922890100 +371865279 +641602219 +1257029550 +408366423 +1844966412 +437570594 +81719900 +1492648628 +596893364 +48590499 +1853178967 +136434459 +244201451 +1038667214 +1647537849 +692801052 +347828642 +437608604 +1068473008 +278973818 +1947529477 +1786983074 +183399172 +1020323574 +659940767 +2143820080 +340832492 +148884711 +1684597999 +2045932831 +2071774811 +2056463279 +540051403 +1181320714 +317346054 +237534167 +1618891308 +399065954 +1730182795 +68301024 +447656454 +1435878114 +204735484 +691857905 +327061680 +1852273333 +1384658958 +674890322 +142398289 +305648318 +953864140 +2089927766 +2092631393 +1137263312 +962767692 +605088512 +1133599744 +1303600185 +753973224 +670714096 +1202049368 +678264387 +579693727 +1742100771 +1859585101 +897039781 +1979634938 +1330992762 +1296105736 +1562334085 +1399293786 +1743762190 +850728551 +1604029270 +288136447 +1177790232 +1308818956 +1672795405 +1852680554 +1451217245 +1978443724 +659061047 +1393661364 +1923591469 +1796324359 +208945408 +381196333 +782440456 +1512545593 +1135169557 +1453154552 +567111314 +1813433945 +2032848279 +161728437 +1525535398 +782404412 +2141363376 +709044512 +2078510148 +1556213813 +2108338299 +1674788690 +259458717 +1564883921 +1962925138 +1437248949 +726219229 +1488236895 +1142445855 +29952827 +1319196971 +1801506902 +1423614191 +1095304792 +1450347614 +1632559599 +1476501126 +85304422 +997621545 +464187035 +1538458974 +1564732859 +130137332 +1423823605 +1726461296 +1655672731 +58744369 +1720341024 +217233595 +2137254518 +1129071190 +178088246 +1664559560 +1388529907 +1742972168 +1480001050 +678295208 +321707749 +820754298 +1820741063 +351660576 +2139951269 +1474764318 +1775274767 +1087772414 +777628284 +1260350719 +416789892 +862932706 +110488616 +880976927 +253908032 +1675221475 +1011114260 +1677731637 +1254199123 +519303343 +1736476006 +827056500 +736536938 +1726246876 +1956127690 +914625185 +1243322789 +1197173949 +510113705 +575840191 +1875469157 +831821454 +1396594489 +1548726572 +1183482031 +1389062111 +876007242 +811273150 +329350877 +1653635526 +2071623869 +746140769 +369084584 +34628837 +1627117696 +622992616 +1709850312 +490748308 +153240605 +816565788 +1010051651 +1889716612 +1643622288 +1746588590 +1468479840 +1452266330 +513730127 +564318981 +501956631 +1023843832 +1140159173 +229942140 +1855665286 +389270014 +1778668712 +891663669 +1778332125 +507192307 +1702936820 +2107683002 +13344185 +1627077041 +706340123 +382428770 +1661705879 +185974172 +1005421386 +1224072543 +676722480 +1158661992 +2040638331 +1686774132 +900894956 +1536776971 +1285879074 +221891148 +841559653 +1799609201 +786210130 +1343516284 +675969385 +1926369303 +1573458424 +384151023 +168155669 +1204643489 +1275814693 +1946487795 +1711835796 +831267865 +1906687149 +1725179981 +310861258 +465543625 +2107608751 +1972567137 +651517797 +965546490 +1049156033 +1328240277 +2124208482 +942310716 +867530761 +877619790 +331604040 +5926187 +1099510938 +1173163693 +1805535388 +1885721068 +369196330 +334021125 +1664606723 +1942654754 +718172149 +1832762393 +999814595 +1993986842 +1631766540 +564166743 +677771059 +1390970041 +141863077 +988632317 +1856513666 +101988180 +813715807 +360547815 +1067534670 +1862871840 +1688788093 +1044259504 +657698908 +408835206 +1921879294 +989302948 +414761394 +873906585 +14982994 +72813134 +612144005 +384179324 +406834260 +129267081 +179350430 +1125006409 +1962029474 +1179165026 +971509603 +1446312366 +1743331769 +1649280662 +689798759 +1885194846 +490429331 +398828778 +1987183027 +1304145138 +759376593 +907234049 +1019533330 +300681038 +1951493554 +1677232239 +709516245 +1725889200 +519051539 +1124277639 +452312137 +534034533 +1197090773 +1064456143 +918213857 +1603925033 +1193723224 +1097564288 +581447794 +1008269050 +129245666 +1552957397 +307097768 +1872577435 +1054754411 +996896527 +1610288634 +1545183743 +1395725305 +1449988013 +701845233 +7618251 +209738414 +1721378564 +308299289 +13748320 +1251127155 +1017815534 +1739637521 +1770178694 +2142093173 +44466010 +156729580 +1191700299 +1108922153 +1074943437 +648141684 +155161729 +25024077 +1229589479 +1163430779 +154269743 +635063228 +1470528547 +2026847179 +1689817640 +319941427 +1489652165 +1087517735 +1715666732 +792156530 +1789362968 +1723284983 +1001894944 +1363257884 +2031584273 +1015643265 +466901391 +901916159 +607797138 +89596438 +896525685 +652263148 +246326018 +2088225984 +1761185302 +1321269455 +588884020 +1916347031 +1346293533 +1818473499 +932294163 +1500563276 +306053080 +255339062 +1379926807 +1995870720 +575280489 +722095324 +935904807 +143463574 +1514251854 +577784127 +1866748557 +368663151 +1941042012 +1750849182 +1384306416 +260459755 +505281694 +1992103554 +350056193 +1401807379 +496883054 +596382211 +1342549715 +110584708 +1917651667 +1931433735 +2026931740 +1116461552 +1602423587 +811742255 +469541180 +1908476667 +1067081317 +1849467988 +1756863739 +1642361807 +424079664 +545284898 +1785825381 +1938331519 +1123069025 +1505090290 +159511022 +916627389 +1108455825 +1543817438 +1177087145 +1613737519 +1388437344 +1527143338 +868061250 +1885320398 +2123525550 +63127317 +1995905107 +1893693569 +1994561052 +1875353199 +862671473 +1449500991 +539611806 +1332212653 +1210494010 +1606693123 +1034196993 +819874101 +1101571282 +1458276658 +1365158999 +739913015 +1249124529 +340744377 +97519658 +1408635551 +1257371766 +1205975483 +804969341 +286975263 +672229354 +45923037 +1814118602 +1540290604 +1931243435 +1790160504 +1603417921 +1779664894 +1536370425 +1450495325 +1507534445 +251558250 +752512669 +2047146251 +1583770903 +1963006679 +1506355727 +470484249 +635397133 +460443361 +1928760907 +2000556132 +1200356377 +1030401788 +193816861 +1297876035 +291553691 +1451188628 +356367870 +1096523032 +1738163891 +1028597224 +1142446069 +1404798845 +421404180 +926205856 +1047475701 +2024822101 +558387103 +436362478 +1327833778 +2065921548 +687920728 +2080346447 +1965584152 +124207984 +1895869479 +1324456231 +594692233 +383782964 +1784899592 +375969492 +236855448 +837772321 +1406371280 +430672310 +2135648356 +1697924971 +1881860938 +344532578 +646964355 +1472541181 +1373129802 +1789410424 +729856379 +1794533982 +568132632 +1777332080 +1671872435 +1126519735 +66210911 +852222566 +1044957636 +754131639 +785085365 +863058140 +878339623 +533471196 +40030723 +1473031856 +917254160 +1824930315 +1849001348 +1154109609 +515218989 +1107888980 +1584781919 +503383697 +658330303 +1319159209 +847916276 +1305294658 +644216742 +73562430 +947221434 +1374073121 +1868096413 +1515354067 +1003921554 +1392485200 +494390154 +1070132465 +97224118 +1539347790 +1824264104 +882309484 +254922282 +555120080 +1415780680 +294953005 +2028151936 +185551193 +2119883321 +1729669637 +1339660802 +487618662 +690074969 +776959073 +991002359 +1348405273 +2096118282 +1838918635 +506216283 +592851376 +1912481066 +1453437718 +1966924498 +1633093831 +821308137 +823362404 +878095383 +1315698291 +1893494869 +975319502 +707562434 +1570275325 +1857628986 +962484716 +2125395405 +1125926018 +1257437722 +2006063694 +1311477211 +1229837395 +1588249683 +503654365 +1717456057 +130841004 +1280613438 +560974768 +1479246277 +1229248072 +252409756 +1985462561 +1822099449 +17407174 +1291416631 +1641540299 +1650501005 +2112724768 +317419055 +381112740 +1280939411 +63430276 +1356432242 +1988501845 +1633705601 +1066577580 +803502914 +1611617359 +45019951 +2060940636 +1470197405 +1356497162 +1143294383 +910963440 +1860151528 +713266792 +1041804444 +993281318 +1274241560 +373567074 +75045743 +1526651316 +211545987 +1897145192 +1544058490 +1502962618 +1391201843 +1047075847 +1468203738 +1708620898 +1428188588 +601659501 +1772051174 +637137182 +442677699 +1258273127 +1703714763 +1246180613 +722406838 +1748734714 +1159637601 +45120595 +957748228 +155448336 +956084035 +670416108 +868715128 +1997888480 +1663697427 +2142956688 +223971906 +1738743170 +1522124357 +435517893 +1488404714 +918699199 +1938480511 +732122909 +1965775047 +1259200601 +293260159 +1246479987 +1860860102 +2065311333 +1883617169 +156054153 +1176100812 +1439848284 +1402234766 +1898507651 +1041099350 +414388719 +1943628246 +1998847579 +569837055 +752228634 +521780039 +1438552183 +602633466 +37993818 +1434025224 +826605372 +1776736988 +808665933 +1262123265 +1117658054 +1727365132 +1053120128 +1849780963 +1545656531 +164837081 +2143041122 +644652870 +2025697183 +2060868807 +380786392 +34267689 +1089485972 +1820634676 +1436502455 +840509975 +714250379 +1850891175 +636654573 +565614310 +273244582 +1388883207 +1087394349 +1711796766 +1991516673 +1125388168 +998338342 +670638397 +754641508 +1807004275 +1932761662 +1872299563 +1386885759 +838398142 +1574596878 +785058643 +1003235223 +1570154353 +1429711513 +881448759 +1483539512 +1810497905 +915716448 +425541836 +1483648934 +204735255 +1266051811 +50415665 +2055626430 +1902706385 +616029975 +181387365 +1144105944 +1703424324 +1893184131 +988138970 +681328844 +744038825 +1658777367 +1435970353 +403559452 +1444055382 +1160786268 +1790445211 +134969876 +587899498 +428020206 +1138205100 +10570203 +1857731720 +2019653859 +1494109716 +1520745977 +787886659 +1919651552 +856911263 +992621914 +1038219716 +907326928 +900764697 +793442453 +1523356903 +1082152062 +1937548397 +1079297580 +827852545 +778203719 +1760626424 +1571891370 +289497439 +1049113129 +1975450822 +1733552821 +62415749 +1618412385 +1868522697 +650315248 +2046432592 +859244149 +660885451 +1756680664 +731414360 +7511519 +1129942993 +1519301019 +1927163072 +1986854257 +364439286 +817899140 +746697537 +1265203983 +1611341593 +122570793 +199872397 +1401406342 +1201868373 +1027724942 +32126414 +815011149 +452132664 +321623853 +1864124279 +280099838 +2055176674 +1926540028 +1898512223 +1776215723 +429371628 +1797461167 +487976225 +1090257080 +1406658183 +1219390585 +1097768599 +389117529 +591207957 +877448023 +228488138 +955647243 +1695347163 +975185675 +73367578 +1159205108 +1097756468 +273239975 +413127803 +152141193 +1300964917 +445254217 +967152343 +1753097581 +766878070 +683792974 +2033197419 +674571096 +462849354 +1784225994 +303303171 +892220983 +1434203514 +791279396 +1982478063 +693378049 +2010669982 +932763014 +1082495578 +454394291 +1810211038 +1310983716 +1410041534 +1358074553 +138685744 +1483409112 +369796014 +1236442212 +1756649087 +782923817 +1388583406 +910130356 +1228178034 +208252101 +515744289 +1995056104 +892045075 +401458060 +522143552 +1354894429 +38200406 +825446723 +99631764 +1472403920 +1616726120 +2082109827 +18298322 +1479912454 +867389194 +1100793900 +1934306745 +530116584 +264293969 +1196864631 +1888191137 +402979713 +532790095 +110503503 +1639421925 +141955534 +893427320 +880521683 +1052085890 +2121605354 +1088773784 +1567830179 +1969177810 +1980818859 +1969288239 +343837714 +1188229641 +2007488645 +1169284438 +1287861405 +1332408918 +638526910 +1222487585 +1350707240 +2118439364 +2089876779 +304017492 +1905262461 +472509715 +568311461 +954643444 +213217204 +971291174 +1487433539 +323720708 +463229452 +1629389073 +1217148028 +1343751135 +533991315 +1191269735 +285041272 +2101821494 +1012963897 +118376483 +1923626085 +1356801612 +1306606124 +1783631082 +378602402 +446983882 +968556352 +1017129312 +1669471467 +171779944 +988085028 +1611864598 +475797437 +745863841 +2084374313 +1044108898 +1700507285 +150107869 +2015400073 +1040457176 +473828577 +331145877 +522362601 +1690976606 +1674897012 +1056353916 +734762693 +1959938284 +1010691762 +1747726590 +2078314768 +786834199 +957044554 +1237437244 +422981633 +1335646956 +1684421126 +1391537986 +205292620 +1206408945 +1563317930 +1193377648 +670789895 +2039115367 +1939241489 +607680560 +935740618 +1492265126 +757788430 +803657043 +385238654 +1231617007 +1134802920 +907601255 +775109965 +662216284 +1963955171 +1509872658 +474670921 +827163285 +1110115601 +405502041 +1613997484 +2067160155 +1642939285 +2036979118 +1255323464 +1179876764 +1281033456 +1460616084 +238802061 +696867738 +506510085 +909591957 +588499458 +298267926 +1517272517 +1524240076 +1790533053 +127577299 +180413471 +28288059 +1359194307 +1315216391 +935889315 +2134304272 +1977432675 +752360838 +1496693283 +304619948 +1579524124 +459325236 +710121989 +1046037960 +379001743 +205577627 +935533430 +1634325207 +1385454391 +69083238 +947457644 +1624256452 +765950977 +1453967729 +386364761 +1354450435 +1752235655 +1903637279 +731206863 +1395285060 +2031214578 +911620334 +1423573120 +1242925237 +79353077 +211978787 +1229745862 +2056785752 +964339625 +578955497 +213922053 +396380101 +1038280733 +924044042 +1442418062 +1417282476 +1129621669 +230467844 +904124036 +367592412 +299551083 +1851581680 +1991848865 +1065502060 +1158065761 +230729978 +272468847 +762817768 +2134367257 +1003675710 +10619181 +2018098188 +1915296044 +1434192301 +1113539777 +1994649121 +1646171088 +195801991 +1903951225 +463027065 +774757488 +2117873278 +859407167 +1813038221 +894433673 +154341581 +1082837050 +2024055342 +384809425 +1986961086 +244164107 +684360508 +1691059118 +88529324 +1749862568 +701641231 +319259302 +2022331415 +1464458999 +306142912 +878523477 +1475078180 +176757452 +646335873 +761786833 +1290297229 +493501346 +260474273 +1486099221 +249968924 +723501339 +113373061 +220358554 +1582908506 +1926411283 +1114792227 +1737250087 +861764685 +991363922 +2122059512 +701242123 +1235528029 +658936373 +244817593 +1324057353 +261315293 +946458824 +1643316655 +136163061 +263434175 +1949459567 +1014686538 +1738512356 +2126217019 +1661022412 +352815541 +1269030601 +7040110 +613289815 +607646174 +257009034 +1336791154 +721019235 +477367589 +772216012 +499946870 +1592159816 +361982451 +1361711555 +436040090 +336558315 +2062953678 +1671568119 +995494688 +160287623 +848141824 +1256809982 +1106746447 +343974832 +1392973043 +1370180623 +145950751 +260175933 +961209331 +124684123 +1921198345 +1314024872 +1393714724 +1928238456 +1927314687 +2001360898 +37763842 +1116622193 +574896485 +515131431 +1888838205 +1074843356 +2107291248 +103337008 +289071263 +395847690 +439895324 +204541294 +2067415810 +1435390012 +364828917 +768073986 +544716346 +1471575365 +1112048818 +1937689389 +694272340 +1257999570 +50381675 +1655481671 +1382683693 +1971580020 +822022895 +628914769 +1752334828 +601853935 +482792019 +1790098671 +1718476128 +1057688504 +157746454 +1459830686 +2132531860 +117554054 +1563167694 +274119476 +513401745 +2003063018 +478660770 +433333907 +1290969383 +843489687 +1201407893 +1835685729 +167581404 +165973064 +1625891471 +861853744 +1423972634 +1676273146 +369851767 +659172679 +1500369518 +1191874663 +1288087448 +1105220699 +1793728598 +1770879467 +747835722 +1364721078 +681084323 +905582176 +677068116 +666132536 +1023136231 +92752163 +940252012 +1536537976 +2095815181 +1418912782 +1969871883 +1239300916 +114918821 +1023796128 +927502998 +282500226 +1189769192 +405910821 +1144353970 +466258178 +2082183967 +1514205738 +1125430857 +1435069837 +558596753 +266034657 +392806888 +204841703 +2036914124 +1140642610 +1569562781 +570514800 +2046224787 +99147250 +1236647336 +921877370 +191899413 +29415700 +310931698 +140230946 +1448328482 +133319933 +1379531863 +1563247303 +1157116061 +159551213 +1845747529 +199401606 +565462034 +842617852 +665659784 +500162353 +209339942 +1791090642 +1935232190 +767936695 +2057125299 +180555431 +972778398 +1946555776 +1321198041 +394857531 +369586928 +1219939180 +494004781 +1606234264 +2141816550 +685904194 +1635649964 +305264600 +826135141 +936494798 +438584533 +58183356 +352258453 +1595700595 +217734569 +50522335 +1795102201 +783196603 +893140187 +313278337 +1283358956 +1102480129 +2104368979 +1071107498 +1870416824 +2014010631 +1251662929 +695711574 +1813082759 +425377323 +1090569105 +35186039 +1645316503 +1584573887 +1641420303 +1639649406 +122994433 +1129586619 +1944914006 +949129574 +2066081417 +236014892 +1007312930 +270856222 +1831715487 +1225047499 +321378557 +1479334040 +2008244102 +1214518744 +1792612377 +1144119410 +169515225 +1749497709 +67743261 +2039932049 +1616024692 +1319406190 +588159975 +1281623803 +1744783513 +1678729081 +1316809842 +1242616369 +1115819320 +810746497 +734782127 +1238813753 +1940333116 +532212485 +40459680 +1858930885 +768227377 +1047772610 +2129787107 +452459216 +125336462 +303682017 +1931793256 +2133580564 +1518200761 +1576921986 +1130216327 +1687715987 +1178936047 +1197959588 +1580164388 +647477091 +369882130 +20840716 +1929100894 +2114665644 +1699569797 +1098427088 +1209798365 +667905469 +1909173585 +1944580492 +1906719222 +1702023053 +329309329 +1947178902 +1413470290 +1097536707 +847467865 +1395773749 +1549995923 +972804327 +1699455766 +1334305532 +958901243 +1070172880 +763743870 +2089117570 +610405219 +1942679917 +1139593510 +43085959 +442673360 +1509475641 +63926675 +224290606 +1476657637 +1763496472 +1322717694 +538972354 +283918293 +1084407631 +336069198 +43153868 +638947036 +665378527 +1990332770 +2052417326 +1762915234 +690316987 +1300707427 +1165427510 +1663121314 +852679546 +352249394 +474538910 +1922852426 +1115993264 +416172832 +385773997 +911189533 +1555766343 +428859956 +1353862893 +917758336 +492786632 +1578153499 +246932325 +108799456 +753387545 +785904679 +392717750 +1837795176 +1121973877 +435871618 +329258564 +1787352404 +278720740 +234192242 +1402783991 +969037728 +1534899669 +420727853 +484675394 +240095567 +772977247 +959214304 +15464345 +1888970511 +1375387137 +401238342 +652676396 +783669832 +830098299 +2006539289 +1701428168 +1322884931 +1437209140 +1948360493 +1431684387 +43113037 +586781524 +1824402137 +1880908213 +1708755401 +112790107 +62683129 +1348624157 +391510848 +296875371 +603924500 +1360548576 +1831775040 +1024652353 +1845223970 +2071870608 +1797629600 +656954627 +2087334953 +1539116463 +2032341764 +341089648 +44309211 +668527948 +1171187947 +2050848500 +222472468 +346589230 +1340573992 +23349313 +1778273617 +1383687029 +610130837 +1455192107 +1117111594 +171402590 +1567982214 +1179794723 +1520026747 +1959493062 +1476670094 +2123951248 +1172557990 +1160961487 +1001119953 +870298313 +1085348447 +651265906 +1527252940 +1025199752 +42898721 +1412111056 +1366289400 +87207933 +2080639004 +389993699 +2138056433 +155627824 +736582929 +1331146778 +178977137 +367372899 +567350159 +789107974 +1822565006 +1684461754 +960510564 +1243063572 +716772829 +333053663 +1055072987 +45959276 +309521263 +80147329 +1206920763 +1310641217 +950445642 +144785562 +1961907123 +330214934 +1169985314 +2004805844 +1742325990 +388791067 +2092013777 +1675481346 +778784766 +2082586563 +1831109170 +1515367696 +1266249693 +2010086307 +1882740595 +1833599852 +651710633 +1557821953 +1370577958 +1612221197 +653401877 +2087350788 +1945274861 +1708474864 +2133310064 +107312476 +1788622194 +1192747179 +1417953693 +591584188 +1337532741 +1232377168 +921799123 +360034407 +1089699365 +516641465 +748825474 +1034229494 +44639164 +1527610241 +969332409 +1875748334 +895494289 +88098454 +1738350994 +630751236 +1921698307 +242577979 +41089541 +1144792617 +1854799177 +694491418 +1084659757 +1652590390 +255482635 +1070486173 +1759902866 +2044104829 +115749704 +1030372912 +488205369 +1453282445 +115266432 +1410004492 +1813316853 +1204965797 +1926645958 +414658679 +91711644 +1971285122 +1942268920 +1061044053 +1699549808 +690279561 +1149142508 +1290417154 +1321030797 +923357167 +1532995134 +1362120338 +2068149784 +1240310663 +2056611757 +1005325894 +745417405 +164610744 +2075812067 +357836623 +61231925 +44078124 +1388209535 +549437294 +1497360569 +1503475968 +1959441787 +1163193774 +560958117 +1738604097 +1577852454 +652669761 +1562405571 +1372637726 +1713713815 +1114471731 +2062917288 +715372675 +257405238 +1236464437 +1638729842 +1790400372 +451101128 +1559395978 +883227387 +360229237 +417238224 +1628644792 +524839981 +345566644 +1986481415 +586071906 +389644768 +1227207303 +1135509200 +1887005337 +583199623 +947467339 +902715464 +1144157740 +538587788 +333084270 +1796827502 +2100993359 +1705721996 +1363057669 +1067981443 +1621155636 +2078430344 +1325386681 +710136426 +1569676538 +968303405 +1161237554 +981588868 +1851530792 +1521466791 +1398827093 +1332691936 +2046306772 +1744393737 +1171689703 +484895030 +2134038505 +251413358 +1620404230 +1873560194 +834612981 +420387922 +628792010 +1978770722 +958975710 +961876280 +1628114576 +912485422 +520114629 +843688597 +1980466865 +2141270265 +774635293 +1158369898 +703923043 +196828183 +2126673303 +1865160597 +1178417051 +1830720447 +1239143740 +429760496 +1015928735 +1137966864 +26670585 +40134790 +1622861894 +13225442 +291548149 +1095782477 +1886785637 +1126161130 +1516170399 +368093999 +957448204 +327662461 +1329970280 +438079132 +1240147883 +1850084909 +1281767729 +1073131100 +1843871526 +2056403022 +84017350 +400310922 +105747557 +63207005 +117987871 +1284164609 +1893927452 +1357131612 +1713925105 +762372539 +347614828 +1740595691 +802507330 +1970476723 +1753821133 +1094055479 +918775552 +1493123122 +72732961 +287462303 +1861217122 +1030181166 +615124764 +1043703754 +1468260298 +1855272648 +746305015 +602544380 +780920100 +442692893 +511463754 +864937451 +843003815 +617211312 +928144456 +960991687 +1901375921 +674588261 +170639651 +1467817378 +1436960800 +518254479 +1060929421 +91984482 +341247554 +667266907 +1186039961 +1260023106 +12906381 +1258772923 +1547485409 +1874123503 +141470441 +15126526 +770343609 +1609730739 +1870399174 +1516648624 +64791471 +503835626 +1959341518 +576255226 +1368773077 +654861685 +1193466538 +149433886 +1615853372 +947358811 +824022147 +1786493023 +267692541 +113499299 +157263855 +1328621963 +205483782 +498511409 +1995888870 +1391523743 +1758534516 +2008795251 +502813018 +1158536277 +1735435107 +644283459 +1173662803 +358295068 +106530551 +896578329 +1874943693 +171322022 +1400413956 +1686801563 +747577248 +621703385 +194179600 +1941043786 +771137271 +1810032973 +740918949 +1595159418 +1449042348 +1008611491 +1708658718 +1606306203 +189749806 +1914142500 +2104817613 +38155028 +1158182595 +1715868481 +2046950279 +1660995614 +726921110 +1634901738 +157795425 +1900583914 +1993196807 +264325976 +649678595 +1720656852 +435647999 +2050092551 +1259974767 +1183225247 +524312289 +1454154367 +976785386 +1295449560 +1116703692 +1717704335 +743125331 +418262393 +578832178 +304300401 +2024568596 +768581984 +70959253 +1981902561 +806737012 +1229141848 +1550287394 +706203644 +742653814 +129724857 +193621734 +900449240 +2030308771 +39334893 +1164775216 +532503718 +1759991745 +1600423215 +435112622 +872482864 +636164815 +959424911 +179153584 +1612950201 +107390823 +1295857276 +1183170888 +850516154 +1714119669 +1762003067 +1154816555 +1591204618 +383101403 +1225775808 +1425623531 +1189838416 +307434009 +828427278 +1896042060 +1050087823 +958152135 +2089663794 +1950537063 +840977258 +2128998688 +967828632 +1373480976 +1741506785 +420768199 +1808593598 +466506002 +1056933014 +620534861 +645659586 +522399567 +727925685 +1941516862 +1705570456 +1578441839 +1508152884 +1320089875 +585774747 +951873854 +1703191278 +1811550555 +230013737 +745546046 +2118984564 +1058441015 +494104458 +1021588740 +2016593150 +436284605 +824642155 +710086760 +417799645 +1792470787 +2083567737 +11822782 +65755339 +1744677687 +478328784 +1122688353 +217728901 +1123988370 +1645087921 +945654586 +918021585 +1203174729 +376612777 +278690821 +375780956 +962387524 +1230564675 +2078972234 +626454432 +1460578412 +677034633 +597955348 +371535780 +1171139091 +1619544088 +240645282 +1607423696 +296702596 +950732043 +2025223341 +2089173383 +886816132 +2037046124 +7445074 +484010171 +367891260 +1130133428 +701739072 +1491879631 +627737701 +1647393658 +262417568 +1830912430 +2024006436 +541108389 +59209738 +838910312 +1771673064 +2138181972 +1465364744 +1084767828 +667732957 +2063320093 +1456303608 +1838872049 +1535380533 +1696948891 +1298812097 +1832083129 +500197286 +1176551791 +1773772865 +1387013418 +1066114267 +1781217939 +1871023589 +1434005527 +763867719 +425279014 +778401510 +1391605420 +2072672672 +1040819078 +1075034202 +1949195460 +1581927467 +1134243940 +640622125 +1206116883 +1124942265 +2105986869 +143401064 +1792675222 +2021823314 +1599704672 +1484063623 +1409720200 +1149169915 +635392073 +1094319681 +1649367201 +1811943864 +720608898 +888896971 +730574483 +354343190 +612436913 +17096362 +1118210909 +1037715927 +795497873 +362332682 +962904951 +1836316951 +1437366884 +764616764 +1270760771 +424127177 +1405238889 +329394006 +1549069442 +1363742110 +472795070 +1194261016 +1238081777 +2072499743 +530840992 +500318329 +1074186010 +1166233065 +1594638010 +576069564 +830693281 +167763261 +1464966535 +1561267764 +522106451 +2077403448 +1578364126 +1640317360 +967635727 +226378351 +2002650042 +1930540679 +2062695303 +1292533279 +547673795 +1185972426 +1716660456 +1952912684 +1515366432 +1118246250 +1169171146 +1988161503 +165023618 +259769275 +1913177598 +695864610 +760087604 +839879960 +1862097675 +207241967 +1415949524 +545307308 +375005228 +733432412 +2106575072 +897111679 +663352212 +1537455551 +389945391 +1630987940 +1763833902 +245111786 +1414044971 +1679045557 +1537645065 +1961718766 +717534335 +1106821873 +1767147802 +85417120 +77584475 +788835300 +2073578623 +242608093 +1048604576 +1839272573 +938472704 +1808692180 +531668885 +653086731 +2015934147 +1947618410 +1198394040 +243455727 +533567174 +1157485464 +1140567406 +1196919386 +547457367 +1530512798 +680423678 +163807622 +1775624584 +2094468649 +1842853179 +1165786001 +1908703767 +412903867 +125124226 +1528367921 +498320987 +202708701 +169719574 +424415962 +445316794 +1218324150 +116204887 +1383789498 +879532682 +647873772 +2036876230 +747983182 +448008534 +1087786622 +991438909 +981575708 +97788438 +2132006316 +31011447 +645245806 +1515035466 +711435125 +809053428 +1143176402 +658420127 +504422959 +161478755 +419640246 +917326826 +286602981 +1948008168 +1415647813 +489311682 +2117727742 +1840063775 +934628476 +1188568244 +1956268662 +170934327 +2068100926 +456658787 +60326909 +668600460 +904667321 +1148113531 +1660039370 +1886243030 +1245901969 +1644562038 +1917254477 +1891147775 +1012113856 +481205954 +552717555 +7806610 +1139626081 +1057140515 +169285365 +1559266328 +1974467341 +455888346 +1359790848 +1242631507 +945200028 +1330034942 +935211634 +1879828504 +371119538 +743996649 +2050762831 +291736816 +1200655436 +2111089740 +960337277 +2105322757 +1111719623 +472892999 +1844082139 +210137945 +2117455037 +1613852968 +2101285720 +982085245 +2095058923 +506519628 +989891855 +1087201356 +1563660143 +1159177220 +498984036 +1390643836 +1615065566 +1858774884 +485791695 +412781946 +1041326178 +1421003330 +145126802 +1412445716 +17516331 +48405986 +1704182533 +1218171767 +12012078 +517036162 +1176010876 +1123731702 +989929161 +872609368 +1333869647 +959900550 +338978688 +1287671719 +1941985795 +286553963 +1794191347 +784394002 +1373755320 +1210367842 +1943571222 +1872739356 +453528031 +1411153140 +1584030593 +939319726 +1823935086 +477873123 +212839408 +1969061888 +1890318840 +230355739 +2017467874 +1447017725 +1448527506 +2029479953 +1964053887 +477054735 +1005728007 +806499400 +1349664103 +192114006 +1766399950 +1688642791 +1479785725 +1560902097 +1975196755 +1126493425 +197812451 +1201468427 +189377619 +2141383673 +926724135 +642905650 +1405053165 +363271080 +1582225377 +1081504603 +841144204 +1795064785 +903082843 +583979396 +2025420525 +773067070 +2030997121 +1326464383 +655063375 +1847567360 +1803519118 +1660791382 +506583112 +1005699573 +1852905388 +125499414 +546858717 +1185207465 +1686401511 +374571824 +164217242 +1884213962 +1576040251 +353594862 +1878113987 +355280738 +996500512 +1135683504 +718551819 +431242241 +69704459 +1559696023 +78823379 +972787302 +2143675419 +2104243904 +1745854372 +2027188892 +1283224639 +253434099 +1727272604 +939260110 +1914225481 +86372068 +1944959683 +1619647221 +211871482 +344334752 +657371039 +1898272993 +718906576 +821588281 +1635003307 +147463179 +1175183143 +1365633646 +502743918 +24200008 +353833502 +1221295737 +455442249 +423537961 +633508112 +534265628 +1396325263 +629699883 +491025884 +994695988 +509405127 +1774250524 +1248130087 +89194083 +566026986 +1014871921 +175566151 +363503021 +487035494 +387437633 +707837774 +1144406533 +138226978 +1426744350 +1965994815 +1773230285 +1574207530 +993694310 +991380283 +2076951448 +1017894318 +1345213785 +1150763537 +1473336568 +1768751746 +1784271649 +2007602196 +1017593361 +266487884 +351144433 +2012289349 +775893011 +2125394957 +1112935789 +865087094 +543938295 +2127807710 +1040653245 +907441316 +467359556 +1428090878 +1615279090 +1611766090 +1566317856 +894539793 +1430277257 +1192064493 +321263675 +276487919 +35961128 +250731475 +1294382238 +1381174913 +1401495012 +620235158 +1002443011 +1038283013 +480353706 +2020036372 +1304770897 +831498139 +1884842074 +2080663908 +809409448 +850294215 +798267354 +1353347743 +830618277 +1838920599 +113305412 +1297977833 +1119527829 +1728584502 +762260275 +538362037 +475640647 +45053884 +1730426530 +796904322 +321541804 +1766387658 +1047635797 +1615924042 +1000078923 +301647161 +88675552 +2002521934 +1339930174 +569029258 +1875074658 +497217423 +1400527398 +1612433084 +430397683 +62453198 +315243651 +1228665037 +1415800942 +1145861928 +920101988 +1529106354 +296356114 +2039629817 +1110207208 +1058616389 +430508206 +1585847856 +1103670274 +13451088 +235268530 +1425212078 +1779838746 +1282904328 +893652472 +632434021 +1584551489 +982328024 +487472307 +776998016 +1551357282 +215063318 +1274215439 +804401032 +1827496402 +1704613123 +866854231 +2142740054 +785794512 +135171525 +1141118334 +1705896501 +1664277879 +1437474448 +1598042670 +627001439 +348607190 +2028550877 +65365647 +1452277464 +2042001965 +300634178 +730005894 +1674357064 +1583538506 +1623658366 +159307437 +1020606347 +458502742 +646779745 +1797604363 +2009860024 +861843063 +924336155 +666777409 +541855817 +481465630 +1533631640 +537112223 +1267260142 +1668803165 +1678230558 +825672995 +1185597396 +968221358 +276232018 +1812598835 +1316828548 +157299247 +1877964483 +621622364 +51817564 +31115013 +1351628258 +1726174628 +1614653519 +827802976 +1885482066 +487776218 +1286305718 +384778163 +137896934 +1148682095 +1246621226 +1062233089 +1815459504 +1788477043 +1543698719 +1201607496 +178105619 +663475213 +722927013 +1856336177 +1489148209 +1908524409 +677073887 +1765380227 +1573639596 +1993902436 +1922679474 +1304120431 +468041152 +1974497038 +1335235444 +1819669411 +1553188019 +802405315 +499988739 +1291186437 +1290181534 +1786294458 +1675964600 +1428078468 +787492905 +775102178 +342827909 +455468761 +416095573 +1886526628 +1657076257 +594201192 +402518193 +232519622 +303053721 +1891666402 +2141044031 +980127609 +1509562981 +1567199979 +826546397 +1284758807 +723836763 +1294587549 +1111772198 +2059072207 +966773312 +517476569 +713993875 +1466762052 +1808663006 +2004175409 +1105572862 +1337143958 +1284770229 +1893065767 +2112246136 +1627598138 +201050880 +380858061 +1366641118 +1858127137 +975059254 +1769159311 +2090646759 +1278112975 +1513342066 +2084207142 +110756936 +875421399 +1503923473 +937303333 +12696559 +80276588 +84407235 +1124468757 +2139348796 +1051180547 +1641945326 +705859023 +370458951 +1303124684 +562550784 +1476031813 +492784994 +1847321013 +1221613932 +457547482 +1327435503 +1422664812 +838405543 +546592973 +1133308301 +1813464797 +168268636 +1076471412 +944094125 +1681610702 +1013194906 +1054851061 +409548454 +369634732 +1992154395 +422245013 +449911320 +2076561630 +1546713770 +441776468 +980258529 +1041175448 +1147635491 +1350717481 +196816484 +1710186275 +679265646 +689601478 +1410023640 +1900879579 +1147148960 +589975495 +1176060743 +1985554503 +1136568468 +161885397 +1651535653 +1304837105 +1238356809 +448146130 +838964159 +104068068 +1502997191 +1248512613 +473702800 +1347667938 +1670757626 +923614120 +1276745920 +1069987748 +1365390589 +109520802 +2111163196 +365542432 +1460238283 +160496032 +2075728708 +2139503929 +850097510 +1338268700 +1892899860 +1997246470 +1928244196 +921476956 +1835317326 +917329016 +1083362353 +1339369331 +74682473 +174235514 +1787515461 +913646633 +278303582 +1143029004 +14675598 +752006382 +343213295 +1685433225 +1675620503 +1619959215 +607937325 +893527444 +1729480017 +571616874 +1259069876 +1042234652 +732112906 +1187314936 +1034254934 +1582210417 +378099989 +779671146 +1431973239 +158860537 +1701148102 +1119806917 +1076189553 +637026807 +311692600 +1150872027 +811262322 +2099208061 +2064518660 +1089565904 +1094753418 +2079194258 +1841572287 +1437966713 +1617143835 +1369709142 +910442280 +77597513 +115752938 +492438650 +649214387 +1374822814 +1534673302 +1381327293 +414654103 +421444588 +816054062 +792754092 +1201115735 +100543654 +951614629 +754780189 +1220350571 +2027804182 +1391806997 +1532043172 +1031192561 +55585671 +1483767585 +948227573 +1145151575 +431037355 +879938184 +839240214 +1869004068 +349598371 +61465708 +631962701 +427195884 +177218646 +1124401351 +1076410271 +1552041461 +511591005 +310253917 +1966695564 +933035594 +1126307979 +611966008 +2134151329 +1226851633 +1563580637 +741447870 +299718557 +1443901171 +2133254867 +1831761729 +327610085 +41356890 +1168045666 +1275837658 +1186508466 +1599083022 +8292194 +2025748680 +1320603442 +357890566 +2087214389 +1952566143 +785086450 +116949387 +929483846 +1861496722 +1668990848 +1441074852 +24266991 +1488202764 +226626798 +1150574970 +2100168772 +213294479 +229942956 +1516265761 +954742349 +529661513 +812683285 +940513569 +213939594 +1140293370 +981870459 +1381985260 +268647380 +20895277 +833584634 +276939575 +2046643958 +6704429 +634830141 +1986374699 +1959270572 +1419916591 +2103324086 +741270771 +1133929665 +1624831287 +34861975 +1158196656 +965550403 +261488773 +161287979 +918235528 +474783252 +391230935 +287017641 +1429525601 +920892448 +1099700926 +222555522 +1134832042 +92510648 +1204425982 +369333654 +361158029 +1225321259 +1202918289 +638097604 +1124481569 +1209622718 +1272927745 +963372620 +1021409642 +545360688 +919213059 +1762680413 +1679290354 +396560698 +1797542388 +690003362 +1362111101 +2059031161 +851291341 +132862981 +386330765 +1242522276 +419880623 +1815856367 +15931076 +1519581549 +2038411889 +1150763118 +1612092198 +1095354223 +1520096773 +1973250227 +173191835 +575531414 +463864183 +1297673404 +1785154132 +1736791928 +113562377 +659080126 +134668968 +1032775436 +274276892 +1813959322 +1429336134 +2071819280 +356479037 +643963587 +1983366794 +1207770378 +776826569 +222213911 +302809007 +1196707192 +2038070278 +318740083 +568805093 +1928998520 +1469503202 +33413643 +876869095 +842116327 +2006663870 +1050060930 +1417647741 +323044405 +200250687 +1055318225 +2059836333 +313813064 +1714398351 +47021654 +1346588500 +1988675243 +1860980976 +628440986 +1913010876 +69976365 +1272404573 +1748894022 +1277746744 +2049231142 +1971107933 +1580555751 +1098454686 +1861694564 +1899295834 +1667259780 +1643209436 +1221315388 +1700673423 +372594883 +2063431715 +1559853646 +1422655814 +1333595808 +1882898051 +1622906501 +241430385 +1795250737 +1936719565 +1955828737 +1842272391 +1135824417 +1797020332 +1555769719 +1764265403 +1562547560 +1625746085 +889186328 +1163957934 +756009181 +790933823 +987582220 +189081284 +1889388509 +701793136 +2088377118 +1409164641 +197518924 +1162208859 +962354417 +570113807 +1078156926 +374724415 +1992769621 +264269087 +110138818 +1468192474 +505699472 +1905389555 +1257428391 +314044561 +1600178298 +245769160 +2111064894 +1008464370 +2010034563 +1526128806 +486726807 +751737244 +542603093 +1242735988 +1542671067 +1530185313 +1431817272 +1284575928 +84494801 +1372710742 +546256922 +282013725 +387435953 +1508611339 +852127532 +1465592880 +1883335754 +697413506 +1729861967 +1993474572 +18122332 +88077791 +1751380480 +1275550724 +402122353 +1204075130 +1521319884 +365703599 +65055852 +1383870800 +1891832405 +551782659 +2135608044 +286951850 +1794518647 +1530795463 +1817137163 +1078852271 +667887743 +1901631964 +304079366 +1214144665 +36162041 +691515319 +575272356 +888289574 +9624551 +311124462 +1585703080 +1739486518 +157115387 +1603825412 +1827564310 +1908495867 +731892488 +82203015 +965087349 +105728725 +447906614 +1030143202 +1489599525 +192255371 +1581925861 +1477723921 +479207222 +1228960861 +861035736 +148860737 +160329484 +1528923479 +2050492702 +464408850 +595584497 +2086654743 +1155924170 +1170856853 +827460669 +1165548721 +1481981316 +265680101 +757551592 +1639096703 +1869505514 +437632254 +1400108922 +453914354 +519835269 +217712623 +559643079 +967741883 +1247855825 +2049242604 +1159997254 +682298039 +1379482877 +1639204476 +1911258900 +93034965 +1788065214 +2071588384 +1621958445 +1691074268 +388513587 +70059294 +1630245363 +1544437757 +1240916147 +310222385 +562502830 +575413815 +575902486 +1320054422 +67026870 +297924352 +1757686676 +1467135792 +751838707 +130038297 +1684848416 +1311481786 +1097780180 +785220593 +1213240743 +110293787 +1467518632 +445239972 +1749498263 +1231293884 +538274938 +1390079829 +1155398621 +12749735 +933670449 +1543912208 +82809029 +416432165 +940866317 +1323725176 +726654550 +1503369147 +1899138992 +1302557036 +675939922 +1966165862 +1600481389 +286142950 +1285818007 +204836448 +416181248 +823182775 +1516318234 +1513961428 +1608403368 +582075329 +1624255215 +928438353 +1027315302 +1226269831 +12248589 +1565590240 +468866012 +1167647210 +1578339975 +1402536462 +564075770 +1661149004 +1818968627 +1504942087 +837390532 +398139529 +860827587 +589045876 +1700696565 +1536767509 +407728091 +1153694306 +1822910459 +1693546098 +1358530754 +91608059 +369245225 +727365341 +1605569488 +1977648593 +1309440670 +1082341055 +758603298 +189272324 +161127238 +770851888 +1754862564 +629993251 +1938499098 +1185718891 +2032529713 +355091221 +699384247 +1704014692 +1860033308 +1536774780 +2102154221 +573377247 +2125820656 +1655367138 +2110144756 +386065099 +661577797 +1785571568 +2079611197 +2020108551 +1877179627 +301372774 +599990244 +1335265467 +131537720 +1909430915 +270122875 +890141018 +2098703239 +431250113 +1660992906 +1706082156 +1061243364 +1452008357 +744317399 +946289429 +1807099578 +1443701647 +502820473 +1519649238 +832992779 +457491046 +2093026486 +811329787 +2112858185 +2055687594 +1197394887 +626952334 +1693775514 +1129522436 +499577237 +1423471494 +1430895211 +1099567482 +611253313 +1562432931 +861514749 +881376188 +305090301 +812734340 +1312626302 +1966083208 +371332848 +226386018 +1270607917 +1115650248 +1172675448 +930223847 +411868247 +1675495921 +302389437 +1244861026 +2132986968 +247932275 +2056190813 +2098361505 +156136222 +1106102052 +577830191 +1849911736 +88140841 +1077407428 +1125899582 +1519036052 +29491262 +1737152896 +933985335 +891006011 +471045436 +1239075636 +1703740352 +1783671738 +1057675196 +2075073200 +2010057757 +180799465 +1043239800 +1035249557 +1111023312 +1455108047 +563261830 +1413412750 +552485425 +548765150 +1661345025 +461192591 +499643007 +1817481247 +1567294643 +1077473198 +1519909336 +1655435484 +7396979 +498325270 +1026987888 +36888241 +87994518 +1960973223 +927894253 +559039955 +1052565212 +484150957 +195228045 +2110240408 +411740509 +57802154 +143556226 +1454980310 +1093051711 +1254579538 +762604709 +1656313542 +520508640 +1315090135 +57595044 +34370018 +1776282726 +557238052 +1851851265 +1196093721 +1634711250 +1224276953 +704045558 +1642108229 +1722602224 +1731033446 +1678996471 +1810596742 +1544523022 +459407076 +222153049 +449604586 +943558033 +417381095 +412361346 +1355298542 +475183249 +555917572 +662795204 +1568234961 +1810497111 +1425399914 +1077064855 +183522103 +593006401 +1134659899 +217892121 +221805479 +1691897951 +2069743387 +1417899200 +1179125554 +1146536692 +2121944758 +673750135 +721655268 +1705494557 +205262958 +384768363 +1102533931 +664670034 +606921412 +1552138517 +1608228067 +1024302507 +1964499863 +816042962 +1499485757 +372933788 +1478838166 +920237070 +35947251 +756754432 +1997301925 +219469354 +1349760833 +984478176 +437361476 +1571566312 +528892480 +359621215 +841981865 +1708018034 +1506157907 +816442975 +234284521 +80329528 +374453884 +439547480 +465097891 +1476987815 +1104217514 +1072019303 +881642684 +564961934 +2096321811 +698658900 +1381004896 +1448323920 +1071592688 +712359414 +221077342 +1107539939 +1469113847 +70895619 +1327009293 +671391032 +1055373795 +1764370769 +95473697 +1584266275 +2123991984 +937455562 +1144800661 +1482666244 +1753898537 +1379085183 +1562995772 +2128352422 +1818632663 +2028093663 +1457856589 +775366529 +952629318 +192015626 +1340328463 +901467481 +890674526 +573849711 +202307753 +1962267214 +1286209126 +423385095 +922323505 +607839325 +494280714 +101849150 +1279230357 +1549654510 +1866219920 +1374704054 +986437137 +1842728256 +164675968 +2131237799 +1177910852 +1918574506 +1362839334 +593422976 +1899443280 +1033988349 +474032991 +1209816221 +1809354878 +1426662310 +1401831847 +1002199694 +180646143 +145022725 +1576049405 +382953897 +2107289939 +714774883 +806338992 +882129796 +1322614208 +1300619707 +983978947 +454360918 +702790569 +702715219 +1829064972 +1689227706 +397959827 +1993740941 +1672981857 +1575870680 +1764831799 +888337543 +21810008 +1516791431 +1922325892 +495843000 +579124004 +1584197123 +1922505310 +1980955852 +438913169 +2103151453 +2125978577 +2014962574 +338621702 +2085784869 +582253810 +1144960695 +820431017 +1904868018 +298096754 +1804409964 +211745288 +1000887323 +359641535 +2040810261 +542631381 +757601363 +1887067554 +68129591 +185988395 +1504415705 +956467134 +207798403 +873723488 +731309379 +703641403 +1452847492 +168022854 +478663065 +1286319696 +606936023 +434330871 +1264814626 +474414949 +772952573 +1203115847 +1056668759 +1917913268 +2023546864 +814053130 +68526374 +1680473181 +1025798418 +1069413697 +2040114716 +919125031 +1612045079 +650232431 +658708937 +1680174670 +836220826 +15640994 +489158156 +1044019230 +889364482 +1220467535 +1747660633 +194728327 +1388490389 +78840051 +1481048023 +1995426412 +513170922 +598379001 +322357714 +1286123495 +1801494848 +1379026473 +1056553116 +1677558065 +45595955 +1125079490 +1210547598 +1071394374 +47009540 +1103178666 +1990519405 +1659054619 +1753411098 +501744695 +1191745641 +442148276 +517385689 +1680903797 +1486167506 +1406750172 +753887685 +1086344492 +1601478499 +2142378074 +1165184543 +935042874 +1990320839 +1678355465 +1533421876 +165194905 +816995312 +1187433076 +1544221378 +1873548428 +717507493 +1589817334 +851144271 +1928055091 +513728060 +898153811 +883750110 +356763817 +409724782 +489677560 +858508512 +1601470423 +931825836 +1375894202 +1134890572 +270509695 +635160726 +1888778257 +1356854187 +89155577 +1883672684 +374555082 +1024198451 +1726509875 +2052910547 +410136679 +1891704780 +722422211 +1597569756 +1288442510 +448486992 +167593601 +730776196 +1299631263 +2095648693 +1244504256 +50301426 +831915155 +1601268074 +460026208 +1321592715 +312292938 +2061496631 +105934903 +1688187140 +1048903555 +376444598 +175864218 +790198165 +1733298785 +265019795 +526387201 +2107853867 +1289218247 +105413428 +2013280766 +1699354926 +1997118208 +588219330 +1149441034 +1138077070 +1036706322 +1317034636 +1868853267 +188853937 +1265199681 +965873875 +239155363 +2097114836 +419658301 +699181571 +1271223903 +731951240 +613194554 +1377158806 +272654732 +1662098109 +1753603405 +448518951 +304812626 +1339418542 +713538746 +831199827 +1299788762 +2002756993 +936613255 +1165585880 +1554628272 +786247815 +1753805210 +556585658 +1924324886 +643027884 +1873620294 +1645694505 +831881821 +991336327 +464084732 +1071037184 +940967515 +883743034 +1770218755 +64707770 +1615694274 +235929661 +1441866577 +1888349006 +1898027771 +1047986334 +189384309 +55356749 +239921228 +902923056 +886556577 +1539709990 +758196401 +1823169832 +557812223 +165341025 +461934000 +164133785 +721926684 +238775238 +807161670 +448063330 +1884469743 +1639043491 +1439399658 +201070827 +562597028 +232883525 +1084813861 +185332135 +297591296 +553024487 +421261797 +1739457873 +293889846 +171805920 +639960559 +483274155 +227162669 +879881787 +1386197211 +1113719246 +272108130 +2144393613 +789405431 +829920353 +162250990 +1251339431 +994054138 +884177674 +1490114669 +1801215808 +1332241005 +1227100764 +1292775652 +624157015 +1428171591 +1855372680 +857040540 +365501805 +2040704815 +1154631836 +918526292 +314482964 +746606061 +1212416138 +486288884 +1386566620 +1695690294 +713451554 +118964760 +934403857 +1827170800 +391072890 +931313822 +469092583 +1220993243 +1093564813 +1720432014 +67563733 +1977742487 +1063063035 +1868779542 +1162499844 +142680151 +1014071546 +1786656859 +1570851743 +721960578 +496213752 +1936353548 +615181745 +1650845588 +707396192 +929664710 +249968002 +1919812331 +1415953594 +1636534622 +1468018977 +2129405148 +1755499382 +254939186 +1809092301 +2146572272 +1186253009 +130701236 +1220081867 +132334174 +1851133251 +1287645601 +2110076661 +766712638 +1008941495 +1125092858 +909392790 +2023013041 +764266069 +332760885 +597489971 +1260479821 +121630785 +1212671716 +763841762 +829026977 +2142336426 +1013809764 +601355660 +1410806373 +502860738 +2069374637 +1392727873 +110876473 +176830176 +1054336526 +109965097 +1363083185 +1185037763 +1330046965 +1495417359 +888687366 +470208918 +1458010372 +1655400004 +1479150413 +435619582 +417309146 +1354679806 +1199885652 +750070031 +1952169777 +312881825 +871700816 +1017357845 +1076723587 +1700727794 +1012210624 +2090533351 +154599806 +275533349 +445910442 +76490796 +1668261222 +556786915 +253320972 +575114101 +666752012 +1616404157 +1760151864 +1996798977 +964337868 +501355582 +319524247 +274864592 +9271938 +1798674660 +710484175 +426581085 +1005870818 +1910369827 +1176651116 +810556947 +75768004 +2048351933 +1827914793 +1152491592 +1601596079 +692641769 +1095541295 +1756195885 +968175118 +1541451737 +1832686681 +488952692 +2098238652 +2086007653 +1064066793 +617507017 +1554928162 +676735009 +466822346 +371782382 +1178090591 +786346594 +646646975 +1187362530 +437537606 +1357131150 +1613943615 +1443408425 +1120017329 +643111083 +106481724 +1195785333 +543979368 +1934396517 +200793277 +2145575447 +479554638 +1296334573 +1754287685 +1447729756 +690302662 +1439490718 +1936682449 +641057667 +1378014724 +853265594 +1258564684 +785459238 +1530000604 +1725387030 +1157241621 +560607547 +364249976 +1803888596 +1747970077 +801787583 +1013536098 +1214430044 +97712360 +2133553427 +1857541128 +204194084 +1181855112 +254036848 +2138590602 +1382648390 +252128648 +470661592 +531499315 +2006416333 +1918391349 +1221801977 +1298423403 +1707590150 +1862859644 +528954479 +413372096 +973940680 +1314413718 +1943372700 +551844063 +324171691 +356496600 +916094039 +2128060287 +2104466677 +1717881622 +994112737 +1171413074 +1815593982 +980182516 +881470554 +2019788067 +14553980 +1135507402 +2010895021 +1397202370 +1387636050 +334072965 +1928701685 +1246568735 +104980666 +1003020015 +397508491 +1812570816 +718396011 +926462970 +78459265 +1692336692 +93393040 +2021831965 +96697107 +417564731 +230844917 +1012791146 +398141370 +187827947 +583189121 +1392254107 +1359241021 +251299455 +224952975 +93227927 +123603874 +239506956 +1228735329 +2134498895 +1636709326 +468887732 +321088213 +1417927364 +1715456467 +426068879 +273463731 +2112964958 +91156048 +991859742 +891944281 +169615313 +536712786 +985337321 +43963630 +633409893 +1402902053 +274808548 +1646201040 +1801043423 +462636495 +81906513 +1045813883 +1821877516 +333205968 +1270766858 +1915105443 +456809843 +1510273814 +996357124 +443825090 +999499493 +1465244856 +764913303 +269943209 +1033217676 +1190982183 +543406940 +998698986 +1282138231 +1535266682 +1890643267 +1451753544 +2071979469 +728496941 +1495717174 +557905714 +2131398994 +1770525722 +56623106 +1784958769 +85678569 +138529619 +683289004 +1907556085 +471735588 +1954055863 +1675177880 +928545431 +1316846029 +524051357 +1372370521 +168861874 +1989296213 +2137283825 +438805083 +875030241 +1180782360 +982212023 +1873729228 +315436943 +369995058 +1616888847 +1767190487 +294490879 +197902140 +1115424013 +852396593 +181817486 +738466088 +909019700 +1966776256 +824144657 +1047549319 +502581612 +584217095 +1519284907 +309153827 +111911327 +300346690 +1625999857 +635962684 +1672717212 +1794861731 +477775250 +1662517389 +86183167 +1352805491 +695816101 +1068395190 +1079051071 +1011253044 +1438390248 +548456271 +630959883 +1732881127 +746358411 +1746383896 +437794073 +928175898 +337366336 +1346813773 +747468506 +1161510994 +246879444 +1250050118 +1745728089 +1766164352 +1559203946 +1857639416 +2066511042 +1037720155 +346118453 +1591744606 +685098238 +823893703 +1106778347 +771281405 +29215546 +1802594448 +1839676596 +1108266618 +666363844 +1130583196 +1656722889 +1297323727 +715980676 +255597652 +896223976 +1153774749 +1183773550 +1233590312 +353104874 +1931242056 +247617658 +599984318 +1033808527 +1993345747 +218665022 +445528825 +1703501516 +137692417 +1483248980 +2049619969 +1729437023 +20863570 +726030024 +688731723 +792144976 +755245570 +343842523 +484337924 +1863512188 +1010206368 +1614921120 +1372751429 +160046447 +183418148 +1628349082 +1056270423 +1337192897 +664638984 +142377088 +1690297771 +448397393 +389994746 +142798442 +1482205920 +235856846 +361463464 +1927734745 +1939358362 +499155881 +1263500077 +1841494683 +81109257 +1284363647 +420041059 +769840980 +2076508623 +1175286629 +1113683503 +413362899 +891315170 +2123889871 +2028284020 +116582951 +136452671 +64218520 +1744932033 +1192723094 +1401411418 +262087370 +1335100182 +944225541 +710484763 +1725094929 +1087023983 +45207035 +1960951775 +1448487448 +1972941780 +1752826489 +1947643329 +1088958209 +1446837524 +2028752586 +225838208 +1866878583 +651109918 +154863184 +894681564 +1764793422 +568226083 +1785996734 +1741199645 +449026455 +1902579686 +1877652316 +513244976 +1500028071 +922891763 +1914656394 +1762115441 +110508297 +711398287 +325116556 +1835603226 +1798422271 +370323591 +1649071353 +1099426071 +195781723 +1254414194 +899585752 +1284739932 +553768070 +780854691 +1510578141 +273163005 +1431964609 +1665441325 +1167844570 +1049274383 +86183760 +806357656 +642990381 +535210216 +561453694 +373159049 +1048455192 +2061481766 +1296050812 +815627938 +1676113559 +1406559110 +1527026225 +2001230116 +1094678688 +1177964848 +224070059 +596266394 +129907271 +419851783 +1850680588 +1029493024 +1704591715 +256965011 +1810347715 +1067686208 +530128016 +1094828676 +585643885 +1697972586 +2144103060 +671827646 +356846595 +639609793 +1207037862 +918300289 +1012768842 +108009406 +832298407 +161336007 +923637344 +360928319 +1567895117 +303179921 +214674787 +515090157 +1481144770 +438744846 +1111356551 +1611052041 +858596629 +814553492 +493061417 +415704697 +1071518503 +155925484 +1483390905 +1601646519 +1250754161 +2069034791 +1152135458 +1247373573 +593378789 +1508982053 +1886983366 +1800416651 +279798694 +752268560 +1908426057 +1112097102 +913604567 +684579753 +1473025421 +334016036 +987759674 +1687700208 +849106194 +321420796 +2126445054 +1960462745 +1932472838 +837558036 +627532589 +278050607 +1253262733 +1699051092 +433976092 +589169990 +1153213964 +1684730253 +510721133 +157865774 +784620178 +1104099922 +1666847827 +524119896 +757032925 +1946646521 +1276388456 +517975334 +911259975 +42509376 +1202555087 +236801748 +376525412 +42831114 +1924501956 +1225631606 +364251910 +1903463363 +1038610704 +149241100 +593537751 +1666143293 +427291708 +1846800484 +1217710738 +861267800 +288486826 +223441054 +398514405 +799207960 +381306828 +1183134583 +1903307882 +2048154655 +1707254479 +512857160 +1847317528 +836159287 +1030832494 +611093856 +878668663 +85903934 +847895604 +1255194076 +128735048 +624913913 +333342034 +492986958 +380893628 +1371952738 +642228059 +974431379 +890612384 +1069519767 +673748215 +2108323122 +1930787567 +962235041 +184280528 +181818324 +1761443001 +565587356 +1364952907 +1517267236 +466258363 +924723738 +2030124396 +166092243 +1760883025 +913473242 +777186099 +492068041 +999377176 +1625081704 +1747262117 +1128112224 +102511969 +2080604151 +1621099183 +483405597 +1305073242 +115843594 +1457836976 +48201978 +1185363361 +2131585191 +9041452 +968667280 +946336584 +193321980 +1150485604 +560295938 +758909336 +367954863 +2077563174 +1225167699 +1292678601 +1960203922 +1391259942 +906077978 +726193516 +20962394 +1398146019 +1725570693 +1646044098 +997924488 +706199269 +1748556067 +931044992 +179814804 +84478016 +88634586 +295658398 +1542314992 +136836564 +1481021759 +1526416535 +145878016 +302205391 +325269471 +339199996 +1452690995 +885565409 +1098109332 +1820645858 +815644935 +175793383 +965840811 +628365209 +1567053325 +1871918790 +1354558726 +1588015719 +1122581161 +932645771 +1086576169 +2120505650 +1638845040 +687648588 +904066994 +1818659845 +772126604 +992701580 +2114318243 +166957948 +1129538144 +1447856355 +1693374483 +1275416160 +1750061746 +2018643955 +1614616156 +1055269094 +756725716 +565241840 +728431304 +1572370652 +741035223 +1694272116 +53252213 +160604900 +1418707258 +1407810939 +1748620620 +393804771 +192973062 +687713141 +366826773 +1831818103 +1375361730 +1270893767 +1502994300 +4686 +116111699 +1469828895 +166962635 +1245649843 +770201602 +1860337118 +373582355 +372779701 +1731497425 +1988198511 +1428048795 +340739494 +405956703 +8996451 +1913110146 +1146991926 +1703268567 +1966362359 +1307596827 +974492177 +1226689651 +908733799 +1368296949 +1419662713 +1596446940 +1735123722 +1103997168 +824325022 +858533842 +459507820 +824329709 +974645541 +1929336716 +991292344 +72811737 +552054670 +704145814 +446394092 +924834371 +288159592 +287108956 +205399518 +628899086 +693065659 +214395970 +394525584 +1840057586 +1917664537 +213404295 +1000170765 +744673067 +1440093946 +1908904564 +2112970016 +712273012 +1357867856 +1700610090 +1816270180 +34709231 +411660284 +128294353 +859038940 +1386305826 +2057631069 +1850331284 +1459117563 +462202091 +406993450 +1905511655 +1387036463 +695153042 +45136963 +1592435981 +1324052128 +738202623 +1806831951 +1718577712 +430776561 +1577012841 +1931982008 +1430947326 +174202260 +1224592306 +1192368242 +139688628 +1936865318 +402752450 +1840298718 +1605651851 +437461681 +104475355 +1733946204 +1296500621 +1490781181 +1644093625 +999348257 +802415096 +2106295716 +1406341708 +560443103 +1345848531 +2101494750 +605580067 +790800865 +1278063231 +1343782690 +450149168 +849157295 +1774559251 +2027162009 +633655655 +1058022929 +53880621 +1858247962 +102907523 +193569249 +1647629632 +505659973 +2033867968 +1105797835 +943121655 +2138343323 +692260391 +92138628 +1481640856 +188870368 +1091486886 +136572304 +147682437 +350344946 +697015407 +1493530968 +304356048 +1302595474 +136848185 +1582419279 +498894516 +586997354 +284092927 +125970119 +466675715 +917748582 +1183993048 +520556337 +628512896 +1286900571 +714125586 +128658881 +1792560545 +600509906 +1234456716 +588198552 +591369581 +1926717108 +680337180 +2073010437 +2115587476 +1771824066 +62099093 +115786265 +2122169012 +759114501 +1609317234 +279041413 +2061709975 +1746165419 +1861460692 +413120844 +185679125 +2145553619 +539090963 +652354841 +915818554 +1723084012 +1172911178 +1544331450 +862500935 +1887036764 +1672990331 +507577832 +340063023 +759963400 +1095776384 +931432604 +539196860 +1776113565 +856959394 +507300688 +1400453983 +919058487 +623086954 +1375139348 +1678172988 +84920540 +1654180761 +1592399316 +1831085959 +1368157805 +2005520160 +2016765085 +1366227777 +397127475 +521636278 +134562683 +2120211487 +1694547456 +1678894133 +835228775 +1434100572 +1204400817 +1342806607 +1774163595 +1964364217 +291099344 +558112552 +356077429 +2067212909 +1415071946 +863378117 +1320183244 +186646785 +1486465071 +547838944 +1864819774 +1571385611 +54536057 +1309735442 +1254987923 +1422693863 +1167771954 +1124269360 +641437992 +1564899429 +1645905638 +776000675 +1537627269 +1192969446 +307411160 +225372396 +479586370 +1511811977 +1568179003 +106266318 +1328692546 +1859278347 +664378870 +1684769975 +1779007608 +2079450816 +400664445 +951707205 +118613953 +1887129516 +1499546149 +1983433727 +1311031480 +1554082207 +1145685521 +418535755 +829292422 +165973827 +1542805115 +1470730414 +1730873257 +1041227105 +99247441 +1121016878 +86712903 +406658601 +1346389274 +566299273 +1918470579 +767084629 +672565591 +1099679477 +478879329 +1336944461 +636965805 +110403289 +1268911629 +1037630250 +1062110494 +1387525583 +777276118 +414172996 +1223475662 +2088307598 +1968255203 +221677536 +359359705 +650063977 +387651363 +1902164820 +2120794391 +2118524620 +795908277 +72558184 +1092057850 +882621180 +479216785 +290963476 +1448920454 +250203716 +1058048106 +2121486045 +1349883194 +1536927435 +1310946859 +1986848999 +1647330724 +432374840 +876995601 +561957571 +1819900423 +1654271719 +976130567 +895892438 +1595095670 +796902122 +1117569974 +1954455375 +1446966099 +1505221337 +1709136548 +1420276842 +1476262310 +357561177 +1492835026 +420836512 +1240182358 +1972051811 +711799989 +541619164 +74771880 +1769848095 +515621561 +1424655074 +1159291882 +1826568420 +1264020425 +659138958 +111459613 +2141016026 +1221096529 +1931360036 +1647804097 +49743448 +679768826 +1095416119 +846645570 +1797338800 +902387847 +146128021 +1155076490 +464040747 +1566404863 +483855152 +821601924 +911756241 +904691664 +2061784282 +736324405 +1616491653 +455919798 +811096285 +1238856100 +971541360 +88267711 +250664334 +650626132 +1352288136 +909803293 +762085745 +1345820514 +2130899822 +545962134 +846140963 +33159623 +1225730960 +1941557083 +879805193 +875586113 +696461282 +1025933215 +2030662603 +1160502029 +444854430 +367034107 +1982103953 +1356610672 +1271725771 +1896404588 +2092935077 +740733777 +204840738 +756547714 +1979589877 +1176382098 +844815425 +82770564 +1827008231 +49619913 +992573857 +441610328 +1395440427 +975990031 +987572462 +94097742 +1009149654 +65819775 +2035654825 +1888954848 +941405888 +584632459 +767404415 +824584843 +1745134488 +1212258845 +1191618950 +1579754794 +421385869 +315861073 +1328675734 +366837298 +1056594850 +1533516472 +1123385012 +888701080 +562414923 +1968200437 +971471644 +241939506 +2017820350 +1964045501 +683549834 +1265777129 +792551884 +1671122297 +1359874872 +1801701539 +1736942072 +1248046049 +1543172739 +530864312 +1832678509 +163093506 +1355449155 +1430329349 +1375352351 +399584457 +862600495 +1796738221 +715445530 +43792581 +16091871 +1772040381 +1577309054 +1139476884 +513257813 +2139723977 +960193673 +1484729457 +234179835 +830530376 +1301291310 +917729669 +2096307505 +2093843194 +441368318 +1308698729 +1748061085 +30826742 +409261131 +1143750176 +561691054 +94455992 +1306843682 +1917140209 +1524785341 +534712386 +169241018 +239902189 +183966959 +884686549 +283694770 +200058830 +509243282 +1861003824 +1339535714 +1022501095 +1853244153 +152245740 +359746904 +2087423988 +982776116 +1661038214 +857670010 +931599973 +1607397760 +1299038328 +92815055 +1207975198 +1329865071 +502076186 +204241726 +1891556125 +596532178 +1511085409 +1661212687 +2121317519 +2045797795 +1830453705 +213736060 +82281106 +567656606 +497430831 +282339936 +1076899888 +210951007 +1621875651 +2099400983 +2064195161 +1774121391 +311664239 +2004135501 +609413859 +1972702453 +714321863 +1541013832 +1432616566 +2013360192 +1633828887 +493108116 +1195741615 +2135905073 +697349842 +939814092 +584953603 +60951603 +453543131 +558787475 +2106749398 +136513189 +772523535 +41546856 +704169795 +1269954366 +323886793 +1781069684 +1480905374 +1945762444 +1732987019 +1397616887 +1572400187 +2044651259 +1254268740 +34330398 +1869870064 +1968590604 +1575344230 +1155002982 +1834467148 +1061689470 +1648111098 +882725115 +1050110895 +197977293 +1822539207 +1635064499 +258928896 +128598691 +46368326 +218194647 +265111880 +818891861 +259741503 +969281675 +2088846228 +583628296 +602867711 +1422267954 +381907092 +188371083 +672401193 +1954307279 +85538694 +1926669933 +1988637677 +1955408758 +1747776889 +1416498260 +962928093 +1434760389 +330704082 +463555543 +170001856 +1380814977 +661532836 +1992541064 +868395828 +920461733 +2121139755 +914764154 +1138656380 +238767987 +1733656016 +1398397883 +1208049662 +1675018596 +1982026180 +1810917374 +949802902 +216449624 +1999288457 +1622204095 +23273256 +2084827151 +1401390380 +2011910933 +1892752261 +1001683622 +1280925545 +708196706 +288960363 +1611629627 +1171752250 +458962220 +844960957 +1833285086 +304019636 +1713356785 +606263171 +277675743 +480637292 +1744919551 +516443730 +66809660 +995833787 +1724493392 +1741828256 +830376319 +1387927118 +544147510 +1046825943 +1239731927 +18867957 +1070099199 +1177075430 +1420258337 +934526485 +922344044 +274458311 +67968382 +1630540750 +563418675 +1679598010 +654809352 +1022380895 +377075319 +340610791 +1326400531 +2090432104 +946873962 +1604076274 +423585748 +544309866 +2120520004 +490395408 +1540143653 +1697529748 +84740016 +223036324 +937973219 +628887526 +1269862267 +30221498 +647755483 +192477819 +1207296929 +2068013821 +1127004304 +2129640973 +194988484 +1194972686 +1612698075 +758407159 +727087048 +120023780 +1780788054 +1104162367 +460634571 +959704937 +1047110824 +1407508533 +416297563 +1470696572 +1951818399 +389333919 +1961091981 +1344478404 +2086863668 +2045831997 +1567514728 +877353239 +527235876 +689893348 +907574737 +1174991359 +882371167 +2114871666 +1095521532 +2009375471 +2097028991 +1290510017 +1056864509 +1562243419 +2048917176 +1783951558 +1682267199 +1682221583 +740630277 +2142901770 +494442872 +1787741101 +1402926655 +910740436 +1110954026 +1207261407 +1300074355 +924562359 +404256163 +1239454375 +822910708 +1971770892 +2116807614 +1350146584 +514180592 +876898704 +377654296 +1396551759 +844286722 +1473175828 +1258443582 +793832066 +616202197 +167824443 +208591837 +517635726 +1951776001 +1890859036 +52373661 +544922631 +1886277158 +546816533 +185180084 +1141720165 +1457556969 +1296134110 +201497924 +610147677 +73212821 +605754088 +1849602052 +896123530 +430041332 +1818926019 +98786466 +944221924 +548341075 +476440762 +193290035 +1392627797 +1949616591 +1451733617 +38976215 +418335140 +1619558060 +247568052 +935970866 +1423850414 +2138427088 +988344527 +1968773045 +1877220598 +1535161061 +6469481 +871457116 +845234382 +1302603592 +1072955040 +1455382059 +1375816413 +1678709128 +1157500464 +124456295 +2108750460 +828942835 +223242762 +905488736 +1377283910 +699683524 +1098778771 +622428059 +501816467 +403028740 +661404275 +920151608 +2022586801 +908972327 +1856122474 +1298953567 +899915768 +696983354 +1120242964 +629652718 +84660767 +1126712445 +1501109834 +929895149 +281832389 +426581227 +237793561 +1657648803 +2105290355 +1395294025 +1782105098 +2066557168 +76753212 +2005347860 +824562256 +1454037122 +557547737 +1923341028 +2076465181 +1059364204 +178886120 +590385808 +1979515812 +53989273 +1499358136 +1688154639 +1352942840 +251790256 +237654345 +325702156 +881442974 +322315112 +1452414602 +235069161 +1252210261 +1734246991 +661650388 +1490003822 +1244412146 +619457095 +737814199 +879033597 +538530615 +814567411 +736897809 +1363092872 +121120885 +1294445546 +1138950252 +50102419 +206326103 +1317836372 +640488227 +38358267 +1371825646 +2139846363 +1726512906 +577284838 +244152971 +1964167251 +902986995 +1125595946 +138998715 +207917949 +1360665107 +1391208977 +1942164940 +2022315495 +733729151 +1039093439 +494288942 +1471543351 +1918127036 +1032819558 +138627114 +507541197 +248428782 +259748000 +1801986744 +1387379034 +309850419 +2008312847 +557731758 +950338646 +2046671114 +1929557404 +942701362 +1625700373 +359358595 +1186854333 +1442383976 +1262345590 +164966631 +1581382692 +1470263539 +1525631738 +825108021 +1264944831 +1400463585 +1558837172 +156554622 +1894752528 +882896875 +2074681658 +780088438 +1021523990 +434739208 +1028517220 +1281271990 +89242304 +268412606 +1591122409 +2097555151 +826144364 +393977407 +1996742617 +608218121 +1336678769 +1474959342 +967576716 +376049455 +769859671 +82438658 +541016086 +203758715 +1552702197 +2066647825 +1028866736 +670163380 +1319627762 +440220260 +826718003 +1066896642 +1323117136 +753916013 +1846985080 +197157478 +1188655221 +728018652 +1478429468 +1277897525 +996431258 +922068229 +1227969028 +1822575623 +1316045636 +1077227998 +283310096 +505240758 +404703692 +1250886812 +881290213 +1174563363 +1333325470 +1422306299 +1378322078 +738544019 +1341470476 +259705166 +1408707399 +513614591 +699925427 +87941754 +1580511233 +2023042563 +841857768 +1280012666 +72716393 +2030512989 +2008031318 +1551145861 +1160926867 +856978929 +325730442 +241412247 +532070904 +1641776078 +1318640245 +815381000 +2147016836 +1723343938 +2066267812 +880823401 +750423653 +1252109634 +155646053 +2128745732 +1990653653 +1497116529 +240967250 +1251877404 +2010731120 +940892677 +1339819159 +1443758706 +816451592 +34193279 +576287724 +889167985 +2064706268 +436835394 +292830198 +1078149487 +1293814323 +618560640 +1319561735 +1825885227 +112853071 +490718332 +493782579 +112386259 +66578622 +412566743 +993209661 +817002276 +1664676377 +1148855714 +798264360 +1507846382 +498488595 +1039231610 +612240139 +361736068 +1980124288 +1952059298 +1805494774 +649092232 +1986252577 +234298850 +1538260218 +1903475197 +671134244 +1831090416 +834141037 +1964948568 +302167409 +6219124 +1643350147 +415020480 +496937456 +2137132727 +527406739 +563516079 +402215822 +1520616400 +1380518355 +2066892200 +521988466 +31299067 +1427254934 +1020477062 +1070530677 +2039495073 +1382213130 +903171317 +1844070723 +1040224256 +1552263550 +1682839652 +1274523106 +943040120 +1438831202 +1945657350 +626646888 +125488591 +1763122270 +928814297 +131707715 +1258988770 +1343834777 +628645171 +1248637849 +1871241517 +1192161250 +1650853671 +1244374269 +425195957 +1570262223 +1766362736 +456495024 +850033510 +639356150 +1527025702 +742044935 +2021569280 +282713371 +438632011 +914309888 +1834976921 +2121471663 +41349346 +630533393 +1412819217 +1987006696 +1257180282 +1538307808 +1602645319 +38510931 +1670015523 +714150441 +1382345709 +151177047 +1962788290 +1106103578 +1343338297 +1466158313 +202994199 +1768534255 +888936889 +1969356935 +77545631 +1738970399 +461229437 +1604571333 +333531686 +335315069 +1887284705 +772163697 +1249624957 +1574777978 +746151713 +1290974303 +57827724 +11487282 +1130497352 +1315008006 +1549795091 +585659023 +1353518937 +1072326966 +1299809464 +588380998 +1223504013 +1115114106 +1694484576 +419358663 +433788771 +1897478776 +40409270 +1322725660 +1719352063 +117954901 +914212411 +33097853 +1722526235 +1247744098 +368412922 +1462327292 +2019907795 +1618037880 +889621622 +618575860 +761528535 +947449346 +630063143 +1892025887 +114973704 +32374586 +330201262 +1468492642 +1104701552 +1630010726 +2056873640 +180721918 +597641184 +1603874569 +600080581 +1031429956 +1353869697 +640489851 +206671968 +925738112 +758444752 +1120884380 +958835965 +333487339 +221144830 +1327248888 +1795814631 +93568977 +797803120 +537952606 +712144838 +1559331655 +1485401952 +1342207981 +1303873895 +1600375657 +1374582567 +1634075157 +921384651 +331800471 +1116602236 +830774643 +512522389 +1714243420 +287165564 +1112602970 +598189728 +1641035261 +1753092821 +804861697 +419289726 +364053926 +1925746077 +1378125691 +697541265 +2146890907 +557890931 +345872249 +92976236 +1355694051 +883824855 +805121074 +767542059 +221743159 +2147329055 +2071415954 +1822118816 +1374427974 +1558007463 +596019819 +1706228446 +527126051 +1426794463 +71267187 +93885824 +1713960027 +1183870158 +692075552 +1207511641 +789479331 +1496937249 +1626801367 +1153533257 +1275199678 +857443410 +1851074523 +1274606937 +1415334342 +49463124 +1367583174 +623544745 +933287979 +25220600 +1391086804 +1155031138 +25066008 +1315019110 +829666307 +1399493982 +725542926 +1425686126 +958238780 +1252668977 +704996941 +1029505968 +1346554801 +271473321 +65892478 +2038630354 +1478984962 +855371809 +1388083955 +958302681 +2008905067 +515799986 +1815746091 +1712495942 +1790406923 +1083596785 +1761959066 +1010506449 +1707141531 +547763397 +1035727050 +950744687 +1702794535 +1060793058 +118280150 +384977194 +312803392 +843823076 +1810663321 +1271042173 +2096492053 +368176614 +153064493 +1295563207 +639649935 +218956971 +1186709913 +2118634897 +1074328780 +427310220 +929453930 +935750199 +943110206 +597716374 +500762493 +586033482 +1681313159 +115237911 +1596539931 +1240971042 +663001308 +484783333 +44232082 +218312196 +1545576391 +162512232 +603289390 +1858379784 +1006335308 +266469063 +981938309 +955343713 +634645678 +1135002802 +103423272 +1274295613 +1353959773 +1290133185 +1245446863 +280804905 +1717443406 +27417145 +1216555105 +513069964 +625133519 +1717317598 +1099103446 +158963031 +1832555510 +548159730 +1399934073 +348073170 +1032943063 +1444166155 +566385366 +431035807 +1606678387 +1169674757 +141931943 +465530047 +1436143820 +1123870252 +1420873761 +2070789498 +111389406 +1524297033 +1197601464 +1465349179 +666946571 +295564679 +1746154084 +236906329 +322981824 +815225541 +749976293 +948115344 +385059492 +1849079740 +1107078375 +70131354 +249755822 +359528800 +418204524 +1282698885 +1803694956 +984589891 +1713734692 +1262889695 +6781000 +1855666635 +1728419743 +1442924820 +832053239 +1001809856 +1366230671 +943442645 +378623241 +416348487 +261308176 +1045569812 +711913166 +2007462261 +1282476141 +1034894990 +675204154 +2032452435 +1983010334 +1060263646 +1734048527 +942605061 +1130395000 +1983804349 +1302133862 +1548599525 +1119019586 +958345170 +385705768 +685270631 +73751217 +392486768 +393453618 +1802170960 +1835411588 +1225506858 +656497168 +1054158611 +21465855 +1035120410 +1470507098 +282774032 +2080690222 +34936616 +142752645 +1215682716 +1069831607 +817956799 +1100651503 +905358293 +1878220446 +687216382 +1847963355 +861131798 +523537083 +1002613569 +262247675 +1642556669 +1960958739 +647953443 +180343652 +2034709956 +1040440211 +573797271 +1689397269 +728368152 +1799304129 +198410789 +1782526763 +1820769984 +1233531199 +1105550214 +2103544016 +1166737774 +1140486830 +98813013 +234936842 +62834789 +916769813 +1335588345 +968193083 +647506611 +2022804727 +668672790 +1508638409 +398858162 +1671286359 +1770886085 +2041414831 +1484761450 +271355880 +74274836 +1371987758 +1311796092 +648072107 +913901379 +2040164244 +299892588 +1112312169 +1675207359 +2120662572 +198359720 +633273925 +2076722941 +1365097494 +1773760756 +28052306 +1600034336 +1836595545 +944822119 +788139033 +657304980 +1592328730 +663460112 +1325977770 +953483492 +1062318274 +849780481 +576885929 +956249458 +187058283 +848241809 +1030524294 +1559046042 +12554253 +1678596401 +325463773 +2052718497 +1978488989 +1437775942 +1580442209 +1951667913 +1636135663 +66232486 +1880907206 +853749509 +1839993242 +1908959513 +306300198 +1529105140 +706297984 +1094439231 +38926472 +151143067 +1757899344 +1364904243 +1104626559 +672733970 +67201076 +1681512488 +1628983428 +254259360 +382270649 +512024074 +1813305402 +394824903 +43136827 +2138769175 +300059752 +2021625816 +1429061470 +1880501961 +1825810082 +917713485 +1946734448 +1559233640 +1771462994 +1639244042 +1320709505 +2077763192 +1020865534 +2027007490 +1024718776 +1059792007 +30666909 +635134472 +277212602 +1135293468 +1307868442 +344413678 +669322308 +789368223 +598673038 +1051592957 +1301392297 +264494792 +1446417860 +1344529125 +255780320 +1746477613 +1218671293 +1684841790 +1479495926 +896997727 +455071627 +1278746726 +308747720 +79050973 +770507121 +1629457225 +9330518 +1791372655 +1508981067 +1034049294 +703681014 +1539647976 +1669183766 +980893616 +527457796 +829568560 +1325307295 +1196780104 +1618936783 +1923980333 +100889414 +772845433 +40991478 +1547307274 +2117374558 +296771798 +1146301239 +1188562203 +1981613588 +478313518 +2085559931 +289201567 +1757060244 +246824003 +368252540 +380083717 +1876281228 +377583058 +23972725 +1237778648 +1411632352 +727653739 +629942976 +933332470 +1708547356 +1157400773 +1762901031 +886371003 +206697229 +1234354166 +662867688 +307586643 +2007199599 +703859166 +1854893918 +1977090509 +1000630964 +853711509 +1018169065 +834760904 +1332025027 +956245348 +1123962471 +941601624 +1203069351 +1492215012 +1321685341 +931866931 +1869798070 +1345658066 +22161931 +1133946775 +2073311806 +652104908 +2067279245 +1634375514 +1809505681 +1682696628 +373262869 +2016202910 +769567147 +1036130557 +176305906 +629283098 +1739989724 +2031199824 +458889960 +593137040 +737427685 +1477059025 +1427897945 +2069452713 +285820725 +404376768 +863570689 +1488890076 +1896591780 +37772382 +273273359 +1618906203 +1383430449 +295435291 +605369330 +1309258607 +947540199 +525164927 +796150473 +609562232 +60377908 +1169413342 +478281494 +829945055 +58060251 +654587400 +1459228153 +1798049975 +538303576 +1918118113 +243703368 +1275731262 +1247693490 +1671601313 +1197700327 +1533514215 +2075978081 +2061271016 +874920643 +1825086214 +2099043398 +1148194003 +1296508769 +1334990199 +1443629294 +1901878099 +496765158 +243685845 +279559378 +1292915631 +853248077 +339937286 +314845325 +1331529571 +1169882341 +372905577 +1986116972 +481626847 +23471904 +376936900 +252261312 +267175272 +1652668162 +1499954803 +1938776585 +702884841 +885985370 +1867271019 +616672209 +1760906014 +1544873585 +568231960 +761616369 +693898706 +1903222159 +57762015 +448293157 +252503670 +301447860 +727852535 +1545419301 +1154695937 +1067789822 +1860264627 +338741860 +90188515 +85686556 +177375184 +571815362 +109158460 +554312085 +824076675 +376333733 +59496599 +176547830 +167626670 +762381441 +1062533200 +2034897689 +1379053650 +675955566 +1432287626 +1947285610 +1437571935 +2126186332 +1703024122 +1495333950 +426995841 +1955527792 +1796781810 +1154848377 +1353463445 +803994099 +75154551 +1066244424 +1142735960 +165343066 +1151930980 +1320111144 +737158429 +1261089441 +1874423229 +1561235104 +1637423174 +1933919829 +1737782934 +1805049844 +548817622 +652832486 +1692463886 +1927871272 +1328788053 +977267864 +1727673235 +618876340 +955970549 +1283213709 +2114210291 +1382966390 +1091257853 +1763508453 +390331119 +297237650 +420018905 +465485670 +1363482075 +1562754865 +630828737 +367929407 +735382361 +1367987166 +1629018848 +462321943 +781738622 +1118958374 +248758124 +372037908 +776524571 +797575746 +1024870394 +321504809 +577963370 +206174799 +1298772673 +158152957 +825051140 +107259574 +1441366666 +791777783 +1490225965 +385140871 +407802588 +1880557084 +682378522 +827821493 +198559107 +2045860597 +243092710 +829387844 +266306356 +978475072 +49891362 +1895325205 +1440797015 +831629984 +866799931 +1689555139 +1203667892 +1643324502 +339647237 +81054638 +1964829311 +917610607 +287229438 +1116118337 +1075763565 +1112280578 +1223377911 +369646583 +1904058361 +566120228 +754787455 +164377301 +299193665 +1437165977 +992198795 +497752772 +1335542926 +1235291505 +1327140616 +1601849282 +66282929 +1377031978 +1349690839 +1507079944 +61178314 +69007123 +1049151435 +1264846206 +1712331625 +1388798672 +1345900844 +1529677289 +158925632 +1633130282 +498311978 +1234689197 +597927212 +1721689889 +1604335780 +354501925 +140326470 +211639587 +518879227 +439520135 +1648805564 +1511078022 +937272907 +836864842 +598885879 +116929875 +291230477 +665168809 +1493961853 +1640921316 +24765105 +1555140167 +1709928439 +1073916541 +672502725 +1274776417 +315231565 +2018403569 +656970058 +474157197 +1504050204 +1155282036 +1708846394 +2101977416 +729488277 +1165698527 +308995694 +869814747 +1377338114 +827874921 +1309334882 +878660031 +191469295 +99124141 +1715524873 +790355174 +216054016 +2006755350 +1455523983 +1710015869 +1500193019 +1480289089 +1117672388 +1062637810 +406721982 +1790175113 +189930579 +721953547 +1661095035 +846900637 +1196110745 +1017661591 +2002182673 +757473491 +972155359 +584187303 +1923172018 +1281151053 +1454002050 +1153026485 +2109025974 +615853285 +2031686516 +153011621 +714977426 +1599727741 +943366796 +931031443 +1458999444 +251407131 +493563664 +811708815 +1731696220 +1611236053 +1874346625 +2138418202 +1253927518 +2064277205 +712888102 +767538905 +763694194 +1908998847 +1785200496 +618393220 +518988690 +609872208 +1202580523 +294677061 +1891023261 +509098925 +1447703546 +1852565588 +1124952210 +1331906414 +2005577209 +1839929637 +784150507 +801460357 +623477432 +95666303 +1052867489 +1117041096 +907375118 +637080061 +580793501 +634238096 +628014616 +1834721020 +551031653 +1340902718 +454776277 +1314725847 +1102417917 +92493126 +1933119067 +1621406607 +702365334 +988215942 +1916083668 +445904947 +1497314868 +1216303566 +150986887 +474783430 +400726332 +9080449 +167229419 +1184876840 +810540806 +790706851 +1280543143 +1863408295 +1907747948 +40434614 +353004709 +341057801 +674672710 +981019325 +28295173 +1225704363 +174438395 +483071451 +392946562 +1276856312 +575564577 +178581982 +750779271 +1277929911 +1166797924 +519379292 +1723834858 +516629144 +1735682858 +1874821746 +991412575 +2136409191 +1883902195 +1158641994 +1173802383 +546959353 +1949348846 +306861878 +262884001 +1709613146 +347296492 +615888710 +2050670947 +1021969202 +1596908035 +2078966121 +100189917 +1771346430 +414553924 +493136480 +900719094 +990118501 +671718462 +1651498365 +120564764 +1838516386 +23394009 +1844399622 +207661883 +1759076868 +1571737720 +1199074458 +1748002411 +1308156267 +210232804 +774321146 +1855115621 +12098002 +1081183024 +2117999622 +1721711148 +1428479517 +586404684 +1624898448 +302965071 +35829071 +1556380921 +403154989 +1807175501 +1970934845 +896291469 +560410947 +813569698 +1568009931 +64425664 +934134462 +1259042669 +87819674 +631050436 +1466704552 +1846896542 +55304509 +518295362 +1447415305 +1363460776 +728528167 +74252803 +1071092749 +740626169 +1155435827 +1041608723 +314853670 +436431696 +1628013407 +1939752118 +739396768 +1663842478 +1348649391 +1142551757 +1323534331 +1172100588 +2038843226 +1883945278 +1985670286 +1459369509 +1948370943 +772321100 +570928530 +2036190617 +1403371536 +2037633083 +1735603511 +1458676045 +408444797 +1035535168 +674653174 +1136972964 +1109787971 +1745745923 +1877599134 +117740150 +639870999 +44969156 +554171847 +120400758 +1984721274 +1293568615 +1784243237 +1185887017 +288636724 +960293920 +210503957 +179996302 +696755551 +48690595 +1639365811 +497642846 +821011695 +62810693 +386349815 +76899583 +2100443776 +2121953326 +1535575629 +361404926 +1010004846 +62745155 +1498377890 +2119792817 +1808491078 +1228493376 +90049319 +300878429 +1273462532 +644221166 +421279188 +1110700158 +1937789781 +58038777 +149103527 +78942857 +1018332697 +359607484 +258939159 +1715088248 +408298079 +1898304970 +65247446 +1229309774 +1961115664 +451597261 +1306209358 +1914075792 +426066939 +694301339 +127997070 +1436071785 +757046494 +1626374961 +1408380954 +418053924 +707384689 +1498430274 +718932354 +1980847222 +2142651440 +1140211542 +944063732 +1932957574 +1198250319 +1093167260 +2011900431 +69099368 +1452774744 +123355943 +1784187617 +1861072824 +2021660913 +1849435063 +942898950 +1835292929 +153548677 +101624660 +1601885074 +579615616 +795925999 +1729882144 +2015687402 +1552972493 +1208773457 +1276584708 +1971026418 +1916158147 +627531334 +542475124 +1749521721 +622699127 +1682686666 +546101805 +408173053 +733453337 +1639269065 +272589836 +802552705 +944560162 +395945779 +439256674 +658149338 +270123045 +141208090 +1601048288 +2105415974 +294756767 +1702672949 +1559817400 +874372383 +351115300 +1142215897 +742576137 +1904087794 +203505706 +2019160846 +1727630564 +2119663853 +499208532 +122622040 +1721701926 +1121907659 +1805308706 +120320084 +1530080712 +391278395 +1759589149 +1802670549 +1193831100 +556665663 +51132680 +1633087775 +1214815001 +321255725 +1774295865 +668379642 +279188052 +2069052632 +223568943 +1839005452 +795941367 +574684243 +833737701 +1538517505 +331288389 +1037243408 +1410194703 +2058918953 +1009423613 +1909403235 +34057345 +583641892 +883827247 +1839366051 +703961976 +266424311 +83160798 +316067477 +2069094860 +1276991899 +872733141 +2120227541 +762596026 +2087548142 +293999618 +389408243 +608444136 +573187670 +310977227 +832013079 +264709475 +1106918594 +1406697323 +1098447176 +497952451 +1737985712 +2135690584 +1908147154 +1649421018 +997630550 +1670066742 +1683478363 +1581272442 +406410341 +1375360767 +137750770 +672834652 +1458521565 +453818247 +594445865 +588029816 +1326551388 +567189758 +1350625842 +1266615883 +861189376 +1740034085 +1875060019 +1434377047 +2051011312 +559589451 +1699086522 +1010446259 +1966286774 +650050050 +1508398710 +1556788838 +638256987 +1269062217 +1058726208 +1635887537 +791645311 +594720924 +1069676331 +1198055652 +1970081691 +1207427101 +1870890304 +1281119608 +1661245348 +317852521 +1869149425 +840313089 +885042279 +1072291619 +2106928972 +1746231656 +664842057 +1834505343 +1033125055 +568369721 +246611146 +584727929 +1578815980 +65414272 +1234777979 +939731043 +1622203111 +1873034966 +61309612 +533445671 +1361438855 +852954923 +1128166595 +283631538 +2051010575 +950764638 +1491058639 +1774417231 +84400599 +1004820340 +2092269753 +1953550024 +1845133429 +829828384 +878357995 +1804578753 +428576392 +1543200052 +1491600448 +1461701447 +2111569774 +1738211595 +2046429376 +1542902106 +1803625867 +1133723708 +335149501 +1278345330 +859275026 +396459113 +1811791002 +73230234 +1249414036 +792473949 +356861772 +1152940963 +1743238588 +1847920412 +779874547 +1827639187 +705257104 +724660652 +1633705563 +402906885 +1554489036 +364579910 +60001990 +1983065429 +1907779963 +1551602438 +1297283228 +1871866089 +1142330385 +1196228957 +1267284547 +798472605 +182469017 +1602434049 +2076817935 +1041744043 +1998893162 +1741125289 +1114974277 +1100823551 +386115591 +1471836050 +106280866 +2129354179 +1172272814 +886155413 +1809509718 +1877529918 +1610816065 +1295731633 +132953155 +1017821454 +1660311543 +192955145 +853403235 +1420607858 +1744557583 +3202815 +1144990299 +739404321 +1199431772 +264791199 +1537876926 +1381900789 +1867225248 +1467211213 +276161185 +1718634762 +1060852855 +1391135462 +671974665 +1446968446 +715487864 +778255532 +1428838977 +1887760678 +1664410945 +1090865047 +1617806948 +1127743363 +239113032 +1750760103 +2145564817 +1899424575 +1943715248 +851484404 +1172548786 +1540789184 +854687219 +170055437 +132709857 +2054118992 +434846636 +1670586783 +1288536133 +154588236 +990314348 +1564697318 +1873222999 +2051167203 +808349133 +397714016 +1350652001 +1523836997 +1175969548 +632007330 +1264114028 +692896846 +1722872377 +734437328 +1820640209 +1961985409 +337713784 +1818721378 +1713926337 +133945384 +522722134 +738991475 +1674734568 +1377409353 +909046912 +1807444425 +1284044697 +1343893549 +1330547560 +425097183 +1498481785 +173378261 +1989794501 +1224221136 +77061816 +650659986 +1621935153 +1427713818 +27013336 +650421053 +2059721148 +1291127364 +1343317899 +1635109878 +2025564692 +1016474460 +1449611639 +215794828 +687712190 +1016054328 +349740213 +1210434324 +1755045803 +2024474781 +440360030 +516609068 +1684435559 +1724404727 +1860502617 +867499471 +2018262 +1211500754 +1040877732 +1991812764 +288238243 +1117939549 +494989102 +1910173396 +398169719 +522002438 +413110801 +310407219 +1813129802 +1756428701 +1945517097 +1691210847 +625419513 +1247645089 +1907005675 +1313131704 +116215769 +109262240 +376082380 +1871261573 +2133737022 +816442410 +240386993 +1670688933 +393363490 +2100889610 +390704756 +395381752 +1164906716 +1431582489 +239710868 +1453144959 +402038390 +734699971 +1215834707 +800208109 +1256702409 +1628945509 +1110615328 +922348564 +1237890562 +908648778 +466075763 +1863310075 +8810219 +225597790 +1028958131 +125025988 +334860031 +1405040512 +1996287561 +321113405 +73999274 +89190906 +1991802338 +467362764 +42596868 +235023446 +862744517 +1207503585 +1666605935 +1102455385 +513164896 +2068644325 +1837155356 +1728999604 +721368786 +946374118 +1210461465 +1831984115 +1868722682 +300868379 +593149245 +187314797 +16694806 +601959464 +412912587 +1045652938 +726985452 +747772618 +303209802 +575789366 +1068886023 +377209076 +664980272 +913204713 +844571841 +707577141 +1148228160 +1707316358 +1915080726 +667350447 +662288095 +280761974 +588511125 +351959804 +2009761578 +1309879911 +1298333922 +1072739395 +994380378 +1019572956 +1373607774 +1587529623 +1206887753 +1390302581 +42005439 +1619800340 +288471871 +768990892 +220089311 +591681673 +1344780258 +1288975334 +968890749 +2009760530 +54696400 +1813462590 +569854023 +1202924560 +1373295300 +337451101 +1870275007 +2035583396 +618213076 +311302484 +240059552 +480491006 +1621182396 +1538393474 +1553230402 +468079126 +410482782 +779354528 +2055608750 +1617370535 +22173461 +2097614189 +1089687227 +310645332 +719121433 +1309776538 +902327005 +2063901691 +451268225 +1871217755 +1926178574 +505964625 +1537196697 +348548949 +1708889185 +763008350 +686000051 +1431680544 +651108098 +1304213127 +1742983029 +891167650 +1784704133 +1216681777 +282077476 +1190450887 +1684760903 +692560258 +1969805416 +1592886005 +162447145 +1991978877 +1543016547 +1252134372 +155140562 +114654332 +414427263 +1057467567 +31072376 +865695488 +781201674 +1957250950 +1371660113 +170914724 +158316251 +933065650 +933923074 +844316302 +217262546 +1585031172 +1045781 +1960245575 +328715174 +1785749915 +1029443704 +610792650 +828717154 +566720960 +1303352908 +651038922 +12123317 +1465800053 +495534152 +1555139864 +570450777 +650674714 +1669794197 +984878040 +1708142281 +1700866573 +1850573528 +341860308 +1510633875 +1074749993 +512775032 +1668950126 +2007815643 +1446698106 +365782781 +77594542 +884245630 +366828562 +2037840117 +1212960804 +5094829 +919800174 +1823753454 +833811984 +1486521134 +979622714 +1484850906 +1498644451 +297939119 +1980385058 +906300668 +868389896 +483576124 +428611217 +1853267937 +44234758 +2129477790 +1556357817 +386095066 +1492628017 +483624163 +898870098 +1014094495 +343956158 +198084556 +1379877276 +421550700 +1082330186 +1746705839 +311907170 +147807342 +1751800668 +1231707344 +1971560796 +438129004 +570744830 +803699862 +1922979911 +2069389281 +1101638981 +1755881321 +828206301 +1970028877 +91973798 +1256817518 +1675813166 +136208556 +1238811660 +1084687336 +522303622 +583956029 +1568311499 +1421173720 +1598050525 +1912267657 +1619258276 +830444153 +186334710 +554104814 +429666344 +498241880 +701912156 +33983365 +1729949224 +525989304 +472112369 +153210406 +1329689166 +247608632 +75116039 +283844499 +2003489954 +903322341 +106389728 +2095463752 +12656211 +1782202895 +84188660 +1251467872 +719406583 +606492282 +1835423901 +140234434 +2027666002 +1285990778 +2052502091 +1499440630 +2116434932 +91353153 +2053545444 +398617628 +589595033 +607973952 +432600993 +172060609 +1133963256 +904713363 +325271015 +316168774 +1152321995 +400387055 +600013273 +1008328301 +1303709396 +706403001 +956308405 +1316365607 +341122248 +1040497065 +420349831 +1060528831 +1646989347 +108290085 +1200763265 +1527171701 +1394280863 +1105781709 +879128683 +1363232147 +1197134862 +785190479 +1761849776 +1786729896 +1393164431 +46967121 +1958790505 +379644039 +951680484 +136577873 +695812813 +2104002480 +536964928 +1295826086 +964847133 +1840674324 +2002229088 +1921155539 +1009556283 +195867688 +814168956 +1429906115 +1256396520 +313674656 +1538196200 +309676137 +1840846357 +784993415 +1415457846 +572491393 +741915 +465109061 +1357681872 +1762591691 +104355309 +603362656 +1809558812 +2063145814 +983006695 +613755649 +52240039 +1678819509 +570274481 +589204967 +827161947 +1535121614 +282395643 +681907387 +1308793505 +1291951927 +877775076 +2122962462 +574374394 +2134171596 +289153470 +2112570594 +296364085 +2129999827 +750080361 +1711821932 +555007572 +750822276 +29447345 +1912689445 +365930319 +133802654 +368568453 +28005484 +49464820 +1351575148 +641761133 +101704860 +882911009 +1212035614 +690909827 +1710072957 +599673580 +973305471 +244496696 +1908467086 +117773750 +1122271772 +1883945900 +692148144 +1108959720 +25615722 +657235090 +1405323806 +8131901 +1407315451 +969662090 +563139474 +10654080 +999109435 +328345271 +376584399 +1132912089 +696913724 +404589883 +1182376909 +2048488872 +1046351016 +1284081769 +783916234 +110902982 +1974991597 +346505543 +710576563 +800813420 +591002239 +471560001 +918587170 +1713274012 +208022253 +1610735314 +674750084 +233637975 +120486756 +2080073890 +241769876 +1527802207 +902252332 +804909350 +1538456287 +1901361767 +1133254621 +1915040687 +886790208 +1830168345 +172146922 +2069167118 +1731173570 +1218497939 +1205765239 +367606156 +1329400921 +1033273188 +714111699 +2039977484 +1834086608 +1305113938 +364053837 +605190130 +870904302 +572076090 +68441796 +1545654387 +805714065 +188928552 +1478244629 +1047483942 +1716730760 +233013314 +1852393292 +1107703399 +2134375081 +838164266 +875260438 +873681642 +520848963 +1047407361 +795365112 +104538885 +118421652 +2001130351 +472145041 +1447822573 +886919892 +1186256740 +1340316410 +573522852 +343887031 +1704370247 +1178712983 +1214791333 +128962690 +1247154779 +612962072 +934676755 +1436083332 +2091206702 +1982160697 +1005330444 +176736368 +1687070342 +2113033843 +163627801 +377750960 +840810634 +1037309443 +898599923 +1888217995 +1832674555 +1003138809 +2006639647 +1686321259 +1475283850 +1306978572 +425757503 +514056943 +499811334 +999280355 +857943974 +56697934 +30509690 +2072735307 +185660624 +1277664470 +538213732 +1120337379 +566264154 +481936786 +955014429 +1571594598 +658673154 +494601123 +1537144793 +822300955 +872352083 +230471779 +1859610399 +1770952006 +2118689774 +1544801306 +626607167 +1977845773 +1083638917 +2101891018 +1137340698 +1509396420 +468464313 +1637152032 +361193128 +1326408287 +1693849966 +391702818 +1251659946 +1879510590 +1669367288 +1789873678 +852364322 +88147794 +124326816 +1807378751 +1659742392 +782999970 +154496226 +1049403538 +1605300926 +1026848309 +1279875317 +1317427677 +650316667 +1251081444 +714745335 +1276923835 +1081443569 +1798384253 +1231331205 +71300619 +1160297025 +1699795518 +1708452652 +1521490153 +878720157 +1254818970 +1913192972 +2130380103 +986845913 +1435076612 +1772770134 +1839210235 +1523224407 +1897096950 +1499105338 +1035483151 +532613273 +1653601564 +2084886689 +2137914199 +532966225 +1217278359 +1307858228 +1183282892 +320876155 +2022603563 +312723079 +1402319724 +1673504168 +1544054284 +1473620344 +686317546 +1096366154 +1034589348 +60324051 +1975086311 +141924670 +1973517023 +1957982767 +1128770583 +1261109988 +1583269253 +820497170 +636850747 +1332882555 +172118860 +1672333898 +1865495828 +1825720424 +1609736940 +1855926379 +211203001 +679531651 +1016300959 +1394485894 +1000407806 +891420875 +1707208973 +255243882 +417441395 +1103779610 +1728864226 +1103758941 +52662116 +615969926 +1164082993 +2027748428 +757894597 +990116368 +1838247547 +1886665180 +103742708 +1274033152 +559678703 +740593455 +459432059 +731797563 +265443706 +177444240 +410034340 +1875180646 +2033370619 +621237341 +407228649 +902187931 +2015723235 +1407636455 +1793608806 +1575448561 +1662880337 +63566553 +531744523 +1244260916 +1167325495 +584406639 +1860230842 +183924840 +464671419 +470641791 +1174041208 +155435318 +209823324 +1277783917 +1429468470 +769502027 +2018377372 +1888900530 +1501299590 +136337430 +2066344770 +1911333930 +2011518076 +1952231741 +385087624 +271263077 +706936024 +253327211 +1678899532 +353061182 +1828775772 +1194296222 +416627736 +213036647 +291073490 +1583953231 +797443287 +3820684 +1767878071 +1262114706 +474462476 +794435631 +1417550025 +684285800 +2072219548 +699534847 +1453787827 +1943113273 +440951729 +807603769 +2079450703 +359812851 +571454052 +1943485132 +164560945 +956541676 +67264561 +871496969 +1209868887 +1746164094 +1224558152 +891161012 +792976668 +1641185888 +1104197659 +1084050158 +1077655471 +1901640946 +1087870842 +698049894 +1016272005 +1562333318 +1492485525 +286338382 +99135470 +1417221426 +985873229 +1552923297 +1212851051 +1426824959 +213043419 +1144818106 +1786637810 +784497471 +940819590 +1951198755 +1741039147 +1008084152 +675212077 +803424386 +606764598 +1899770229 +1694585398 +1399741266 +1393472469 +651299410 +336307776 +323644292 +405456708 +1424178618 +1021694186 +1421728713 +839028289 +366696063 +1708067095 +938163759 +1783917489 +546456677 +343603409 +849284892 +1973281636 +556646828 +1994102999 +1612435798 +1341144299 +787438941 +1416150906 +934699798 +1795523093 +2091362983 +1738124184 +254804043 +1843649564 +1285225935 +1654545309 +1089638385 +1936525345 +1990853085 +1413282677 +194498405 +1267548056 +287493215 +1616227119 +2106576345 +654189278 +1176810566 +897256456 +290623120 +1723267243 +1240859865 +1139908012 +1549065231 +1797506693 +986527363 +1014017382 +991167344 +1773966305 +282684640 +1925867142 +1422005750 +226563975 +1516507679 +1676809794 +2070213539 +654249966 +1183871455 +1012368276 +443291663 +1027240893 +278167305 +637790068 +147305301 +565660520 +106533539 +106397998 +1219849798 +1283344106 +1003654454 +1510472918 +859127701 +97030672 +502897283 +260709285 +1894537365 +1489424646 +1274726667 +738221062 +1115907303 +1557411307 +516604556 +390429406 +1783975282 +2033112235 +2067239200 +1706705173 +539878553 +1103627007 +571589801 +983170216 +2130867900 +849757106 +1620960285 +130689553 +1415417626 +1727493824 +237087551 +487783776 +863354282 +1240742006 +1998256695 +1722481984 +1337772678 +353670330 +1983191269 +1084826395 +1843094976 +1110434288 +1823047457 +811518632 +520361947 +192168366 +1201948038 +156853581 +77796953 +1121703590 +1863558754 +617675507 +77846949 +287664907 +1600845723 +61231202 +1137422013 +1074322360 +191920755 +405355991 +654332537 +429008307 +893139767 +1517686819 +1669750313 +743912814 +1092685155 +860039343 +1097583144 +928392776 +1944865738 +793194473 +2038827064 +1620429548 +1604713105 +411705363 +1812597914 +659177495 +568558944 +1890394867 +1780881085 +284634050 +360586726 +1858728034 +572298957 +1961432450 +1919959236 +1709720970 +888271162 +2111879992 +2115076961 +1542603699 +393404651 +860733081 +912806871 +2063154964 +1604645895 +2005492026 +775710659 +554745392 +786401155 +573092749 +1347939865 +677744571 +46038649 +805169322 +1089449935 +1858636563 +1464346817 +1658008879 +1601547783 +1097744254 +1942642930 +1962134509 +808988640 +367458239 +1776083311 +581464229 +2077179210 +516870826 +545860573 +2044772523 +2059474525 +939265224 +758021956 +824797748 +854936540 +215184204 +682806127 +1630647199 +769929596 +1469207282 +56256300 +2117869461 +2146951853 +102294950 +775555135 +1088918140 +1960931513 +92418304 +599443372 +1414995648 +1190162558 +394602654 +1229646510 +1999151198 +762060893 +858246173 +433131779 +691756455 +1375116999 +978992352 +589045331 +1287107877 +1918257576 +1347067287 +2111905625 +625710468 +1562251491 +647228104 +108874019 +184697439 +2116435386 +165130320 +155083252 +2115903592 +267425270 +930638387 +1057338084 +80873135 +1023056691 +1656781456 +1495868784 +65735601 +2051384110 +578031646 +2064886800 +665961356 +1436277819 +350534931 +1357717811 +663911171 +1329527284 +1946763142 +1951019048 +1100301212 +1146346782 +1915441025 +1726011681 +561114625 +415185482 +1834885700 +745812065 +384137220 +2000016020 +900895317 +352557164 +119957642 +1831533705 +1409895249 +200830778 +707106748 +919193057 +1696699562 +772842350 +823093520 +127247560 +690245502 +1489054876 +1563525379 +1040780433 +699289039 +79952902 +222824069 +498568534 +2030971950 +1323125282 +1644915316 +1798929328 +901653315 +58546293 +66631162 +589055367 +804358358 +450768382 +441587740 +1705253676 +803325547 +561545382 +1389303733 +65737148 +762376160 +2096410481 +984930205 +311592074 +721769183 +1808023725 +438839634 +1412014685 +1149594953 +2002365014 +305311471 +1848883993 +2082317916 +528135540 +199968879 +1965806219 +1851260822 +1844884195 +1617251899 +605430489 +1903430488 +1683883061 +1194485857 +560305199 +2134651443 +1636073597 +118075227 +790493342 +50135331 +1507378960 +856230490 +812511492 +1456305793 +1841160696 +1124103566 +30591329 +1501700773 +1562943201 +1442606014 +503812079 +1417824567 +1747917485 +205212424 +1352658835 +128569378 +405181303 +1170981406 +1979830200 +102581850 +640749657 +437777042 +2006012338 +177149070 +1632262899 +418833889 +164316866 +1120852848 +536909116 +954810208 +1170988179 +2044288076 +1811040699 +1983499671 +1353110222 +1504717747 +960119590 +1383701551 +858934872 +375579143 +678823917 +1362746951 +1793403710 +279257755 +1567959375 +998578897 +407827133 +1973140678 +22076656 +240173685 +2075722528 +662826313 +677950727 +1934251219 +839975384 +162729978 +205601460 +1004292250 +1283582826 +742510577 +1959102458 +307087358 +639315005 +1622659509 +143103381 +1992425227 +979893608 +1103222971 +1228643130 +1838828481 +1478802114 +1907467048 +1054091784 +1124722176 +39241155 +474567512 +2123301074 +447068288 +300224542 +2145377730 +687241973 +228463423 +660720395 +1365192701 +15230994 +1500695779 +1527922679 +220832454 +357504381 +664021858 +963343031 +169123192 +971109216 +1602658037 +1791782701 +1114212597 +1447599616 +624192662 +69951921 +528759099 +315537495 +1548754035 +288742499 +1369629279 +525992564 +327983654 +1844196791 +501809990 +775051942 +2144421334 +499704072 +1462293915 +225401109 +1160424467 +680002968 +240632103 +513636599 +60442000 +461464557 +871140980 +724463858 +1424807589 +1040264172 +1695573074 +879981978 +684563226 +662302023 +180097946 +1308755888 +732253944 +708857045 +1624293383 +133524332 +997599544 +846439014 +659516896 +1325583198 +543152158 +1161326886 +2100635140 +540089844 +1661030958 +1415445408 +765490953 +673971777 +2095448376 +1006123056 +1187608376 +8406728 +1467587613 +2058749357 +732870586 +744911554 +951529881 +280960012 +1624893532 +1636093107 +943262036 +1804991479 +797365347 +1675515980 +366364876 +274175082 +1809040312 +1363964421 +1120614097 +321073560 +542063971 +1663766255 +1482400446 +495215464 +56372451 +995947756 +1910660872 +821863404 +1669919534 +1858625600 +1827986460 +710044262 +1867032329 +1148090425 +621309971 +452419267 +1893001980 +1572839853 +733379280 +1370411864 +1061449312 +1676641316 +1027919695 +1858814660 +1204673648 +1394284572 +2132989742 +866230313 +610765345 +1106120191 +1187303873 +1152829316 +622402798 +522220672 +1648044780 +678775249 +1518168428 +1411222004 +1500638653 +1040604314 +1122363957 +1181141465 +1750648577 +841912638 +181748243 +224474900 +1294331905 +2074750223 +1797314753 +2027711185 +1297678439 +711280418 +1556868853 +178114487 +422611430 +614058854 +1572399059 +408117524 +1480289167 +35680756 +1514237716 +520109392 +1188510072 +2136640514 +1042330064 +689071205 +667932116 +413014845 +2100293209 +21087121 +1453619159 +1075173518 +1202228587 +1056784088 +1917086156 +1383976830 +1281258989 +1063934414 +1311243405 +931090094 +944161951 +461438196 +1642370512 +353547157 +639552683 +2064981942 +967606011 +64468094 +325615819 +300411530 +100148850 +1839853535 +820520922 +1288658923 +1829010401 +1862850987 +1977730128 +349458869 +128382184 +1930539689 +370545991 +1582001343 +858229560 +1572774578 +491301784 +627832068 +809267760 +1772560773 +1691766482 +2120511165 +556167219 +488444786 +434465713 +51054084 +841991943 +1074018397 +2116036026 +1809597954 +1138486491 +294168197 +2110009484 +1238635342 +2134021732 +783046758 +379810617 +1815548486 +498414097 +210057097 +17523707 +626796281 +2140596786 +388069698 +61313977 +851342698 +1960844276 +552615761 +1479174767 +622628388 +177692886 +1023457601 +595655905 +733860105 +1511902387 +1030121619 +784914189 +206410682 +2104140016 +753466568 +2016008636 +1095142859 +1047634765 +1978534472 +186294553 +1034172850 +614097583 +566105170 +702237688 +1112511680 +776162267 +719761395 +1739307962 +769275406 +1107831094 +1800621939 +1620618104 +921191722 +205754052 +952309223 +1543820111 +383446938 +1975766825 +2139476016 +1117307043 +1340185564 +1022113987 +1902221233 +1546596247 +978770355 +508204153 +1415121235 +2073913215 +1555838918 +1246172060 +112724120 +442528120 +1860269643 +678829291 +1144765808 +825297675 +1454991558 +1864527204 +417121989 +76783316 +824874650 +70260280 +1697401421 +1746066372 +276014332 +502226996 +1142402835 +659461270 +330510173 +1134395204 +1776768314 +1670695738 +9025543 +1531505899 +1069808337 +987795899 +2039710052 +337445924 +914225466 +1448065322 +1583617984 +1026949586 +1890593443 +1296403979 +1705778877 +887875603 +2121701655 +1013286788 +604919159 +391339996 +1090070104 +1429793809 +461600277 +639987877 +1028376534 +737614609 +1142214874 +23295721 +1397075880 +1472725047 +1157690925 +1026360546 +995937137 +1166716469 +410382797 +2065745474 +7028720 +302609201 +255707751 +921254186 +1750674523 +1839325735 +1948203772 +1493784318 +988246067 +1506499002 +234176274 +962464074 +372302142 +839095433 +1353804070 +1462372246 +121405595 +1815404347 +2102360124 +1149782129 +405535309 +1097091350 +1173077850 +1802611189 +422332749 +183285128 +681488087 +1418269887 +1350001597 +1091870884 +1336531713 +1357030317 +1394480085 +1592239464 +130800855 +997670960 +1284081552 +2079004627 +343971631 +124843971 +1438019981 +578147905 +1087308045 +1810322123 +1417243338 +293628467 +1125210722 +1538648933 +2109032815 +1080087198 +540947414 +367084476 +29694900 +1714025265 +22212017 +452027649 +1897310393 +703700104 +1870297536 +1099828342 +1795570988 +1059345602 +309375011 +1042567425 +504101418 +440175866 +2040238385 +1788182970 +371696845 +236726368 +1913026941 +1809716827 +814874273 +852851338 +1472555302 +84633964 +1146479806 +450282376 +1623282897 +1108028973 +1530369574 +16746664 +1475113449 +1560064474 +1730771929 +1497325466 +2012092124 +1480598674 +53541922 +1734906012 +432943368 +1849112910 +646767966 +742318379 +744196687 +1150869385 +1182494245 +636951424 +791568707 +1554191090 +873677793 +557112001 +1216424269 +1688552066 +1409963339 +541495924 +1773186030 +408959497 +991778300 +1248985280 +1516988470 +374664227 +1265731944 +844618271 +1934728701 +849020225 +194460089 +1799337177 +182135251 +248002011 +1386759542 +615078619 +2097114921 +2033527508 +1357396998 +693827960 +1036913245 +392407595 +1330779385 +1828481953 +1946598685 +56973530 +238110306 +1015539307 +1745525596 +1648073645 +1557035231 +1371227979 +2057033143 +401329883 +472729611 +1426537965 +775994110 +1738461555 +123672589 +563239164 +439998132 +318132678 +215092693 +622133383 +566134690 +1601852235 +1237212002 +515765963 +1487896096 +447125352 +1209593924 +377325693 +839532947 +392889661 +58323998 +638647984 +449863191 +296434304 +1654187291 +47905139 +1944507950 +1063738874 +1419133118 +1854057445 +1465068758 +1891862729 +1133111762 +93579220 +1482840636 +1256784351 +656818384 +1922838768 +1574917030 +871911078 +397488503 +2141051720 +326279665 +1634700505 +509334035 +1814175761 +2081825857 +1718927959 +44017807 +773875156 +2111817620 +102341805 +1412523141 +414197163 +398776110 +919226784 +462102303 +195800412 +1982965659 +1881235421 +2049857857 +1300550769 +1625614503 +1035485971 +1394129989 +960971491 +144786675 +2050948374 +736326612 +1719703705 +775375804 +1133815115 +1713271777 +1101655469 +621031973 +75122164 +768347583 +555374182 +1794050124 +812365390 +1329249339 +1758384096 +914707195 +594288832 +25097612 +1313483305 +1513515616 +487199915 +1509283717 +1348997627 +220951688 +1411657926 +502064748 +1846566191 +299660250 +1896194738 +660054035 +444446925 +1799659464 +1396380647 +16666982 +427551620 +382712114 +1729938759 +1529207089 +1003744087 +1805060923 +150071024 +1559118270 +1451627399 +962436414 +740883961 +1062527848 +1877143610 +1335172793 +1087625460 +1043143267 +701204761 +1574825375 +404943337 +2050202389 +1795777063 +1816601263 +404783489 +1494859607 +2116261513 +153494579 +7429994 +413224790 +1953154043 +1403810641 +429891772 +233222015 +1786522755 +12346883 +1762429105 +642783195 +1817407807 +1912500129 +54417817 +1121551558 +727452896 +795301778 +36595758 +457112858 +2130474571 +1124221218 +1500256125 +684195684 +551562945 +1905199462 +586914425 +199856361 +1574317078 +991697915 +1694715968 +1543094943 +1145192494 +1702145962 +1956319734 +950862890 +958472955 +238727858 +1184084905 +597512062 +251074742 +799030362 +1240295257 +2068482549 +564046844 +1294713074 +1042550459 +1291499740 +2090014852 +1079146218 +1748612598 +2073005775 +55883788 +1101385075 +609717812 +607446734 +859100890 +1196632237 +807303095 +285934320 +40846504 +354535415 +1829029263 +1186038999 +2056681377 +1637865349 +2136901889 +867670684 +1876593208 +1173503146 +1465182746 +2127667950 +1972533509 +557994356 +2048666851 +389096705 +1852707430 +943733662 +1680596445 +1795238635 +2022879880 +1281725395 +1720760762 +2078763669 +235626822 +182994926 +538726755 +1094727712 +1379627164 +1346029850 +1380662032 +1420473668 +1700565265 +1062207648 +459029019 +1609762994 +552589349 +448447260 +329950030 +281698909 +1621950407 +1795132776 +261883211 +1447000268 +205643484 +163066414 +1836096973 +2058350915 +1106800077 +1369209770 +1706105902 +982196309 +503451517 +1279383016 +913476330 +739078339 +1462377943 +1452203085 +1833806052 +694521459 +650749287 +1066984436 +2114995127 +203830904 +2129192084 +426540499 +1813593898 +534297786 +874987759 +2143543928 +815996695 +349454518 +1791193057 +1077879907 +1796454786 +1996836541 +1240946321 +1485068111 +1907703808 +200262750 +706794233 +1466326062 +1182459060 +1210245750 +598225431 +2095935390 +1949324090 +2060603374 +1400654828 +1635646494 +607641185 +2051404115 +555147282 +575152664 +107751372 +536855719 +1001693163 +1921345270 +1071153505 +1876680923 +1917405551 +1887150200 +78651793 +1561114960 +817546459 +1875106580 +1410467853 +2058492781 +1212691043 +1170688014 +111271883 +1919485277 +489530428 +1293730943 +982247379 +1087755859 +1242182686 +784087821 +1000875585 +495353866 +272250667 +1608516770 +399274333 +827397950 +36185787 +507025705 +1364253669 +1037878950 +280887328 +287923526 +767076225 +50809231 +27590078 +845728019 +1611924191 +845136538 +573350951 +874908396 +756145671 +1786041994 +2045596410 +867417554 +1558043623 +387643191 +13664850 +392807355 +1475399050 +1255847536 +1176895176 +328790988 +1751201402 +1449145844 +1937307758 +2992087 +129060146 +1973493545 +510017793 +1493313815 +863888848 +790905121 +1781237341 +1630965073 +841714352 +1808827419 +329209444 +306154895 +506480309 +902560395 +1181063291 +1262625980 +541118742 +1079176054 +2130043535 +2099162365 +1466819245 +2143708385 +344486072 +794734647 +1252072273 +1521381249 +1123525635 +855790027 +823043445 +913349746 +858782114 +952103591 +739359643 +1368799907 +297933758 +1603248491 +12221380 +2079171099 +1086729917 +853935732 +1740514870 +1415939361 +1160090627 +99511532 +171016109 +193670271 +1362137512 +712134851 +1272846325 +1344697399 +663813568 +592181922 +1340922136 +1008299641 +1386916569 +445510761 +382197242 +362958557 +1301300788 +1205240687 +1276308303 +12599255 +9860630 +2015667946 +1381399162 +307794388 +1471432790 +1393620543 +239481839 +410679059 +100072627 +1979996709 +1826618420 +1260163255 +2079508241 +1997634529 +1453833526 +1294162106 +562285732 +579196203 +491375857 +1226099301 +1171378125 +1832297994 +86915294 +410811046 +130325107 +469112536 +773769603 +1431625896 +1674353223 +2050077906 +1444225151 +1684213853 +1918262205 +678140665 +1992008241 +1242211347 +2071761208 +84006432 +1652890406 +24350188 +2064003141 +1332025178 +1284513443 +1996027735 +1182176060 +590863321 +1142706193 +1744461792 +1170059524 +1634082050 +823077445 +193954001 +1318896396 +909992739 +604765047 +1449221504 +1379105275 +1378534651 +733363752 +905974850 +1281128909 +30105255 +442705055 +1051907466 +708245920 +287229648 +146635165 +632523481 +371236080 +1799525571 +656873669 +287755574 +984067102 +1941387112 +136299661 +18759514 +384766785 +1279005854 +1763221306 +1554826309 +765604256 +438815104 +1748780310 +2084500653 +1348807843 +206061709 +1386238509 +580429471 +1584596360 +2119602261 +1486404321 +718241622 +2223868 +1929109377 +1770149088 +710469788 +68855377 +1916784254 +1342993269 +440091458 +1568826177 +1999866938 +727847032 +405409631 +1793770402 +864146693 +424169145 +31053539 +2143152547 +39906804 +1585879848 +761273155 +478721908 +1187176510 +698290160 +1827529751 +1393238220 +2084528669 +260475574 +830350932 +2056647282 +1746879896 +1548592554 +2058871150 +1528505625 +1171257995 +621857291 +1597361002 +940558601 +1964850560 +2037452460 +361901130 +1817233851 +617815844 +767310762 +1463520605 +1481962537 +1191479907 +1494574145 +1477631436 +1231386711 +932970345 +91420944 +1710108619 +2120146856 +789711104 +1390154723 +1365901428 +726756126 +1650630297 +48768712 +635919760 +1250026545 +1597361267 +547307263 +631048522 +621135614 +1169164554 +80925877 +1561694215 +986531466 +2118378337 +1923595345 +656281669 +588710534 +543422459 +2119802275 +2070673071 +1734902367 +1466892772 +1400820860 +818805430 +252379469 +1492241804 +381430402 +225042677 +134469260 +1771585125 +1590944105 +861225386 +1274731774 +1639712818 +1497145147 +377274672 +1089590437 +2044452410 +1008323194 +1710726051 +1066133316 +1089249071 +1124936618 +2052664782 +1060143761 +901048315 +561462804 +1648854295 +1444470775 +533781431 +1572043718 +1031889494 +2000674203 +825380930 +1850694924 +105570024 +170139086 +84641678 +330612702 +304608347 +1856226803 +1921556807 +1165833733 +983474930 +1413785977 +515495232 +1360749602 +355892766 +412463994 +221589148 +2066618817 +1478597310 +1310838220 +1044071787 +1383778445 +223498333 +1945120103 +1945241249 +1872352628 +1242107230 +331539032 +1296912698 +126513076 +184729587 +2122293629 +1977208000 +290299611 +144949067 +2061849679 +620912313 +449557414 +1770592834 +394985473 +1615391148 +606584116 +1808771450 +2130886380 +1967333718 +17180569 +395866727 +41439219 +2083799386 +1874464037 +1352277439 +980387526 +1110758834 +1575775772 +778023981 +908516435 +1300644752 +2020131211 +1240055467 +450073802 +2146644287 +1424785054 +424883783 +1976368639 +1715084666 +569832851 +1890734670 +188513331 +1019390265 +1513843857 +583498804 +487297765 +2120427973 +244786607 +470700498 +1940278044 +261967176 +866567225 +1981717263 +198282914 +593547614 +1186511054 +1178670440 +1704306449 +614803178 +1956694421 +465339236 +1915447930 +1829341984 +1705394704 +218038084 +1828502623 +982696110 +642921868 +1657387615 +550297128 +1212754719 +1400638637 +738810460 +84661336 +766998846 +1322309264 +571959102 +739943172 +1567095871 +1042659600 +532737568 +1829063047 +1909226825 +366971183 +2027345962 +355290791 +1553482237 +1058532754 +2059597240 +20801767 +867743528 +377452829 +1936249697 +549601864 +2082847533 +6804133 +230620840 +918059995 +649726001 +1888008455 +1468357124 +1862480720 +1141163444 +59683936 +1947142057 +1908162291 +1381993200 +371617511 +500621815 +801605424 +1414277111 +1033359383 +483184823 +1176020288 +1400330566 +363047137 +1531311079 +806329155 +1421579892 +1443424672 +827130922 +141839772 +1820877501 +615896971 +691441636 +1756241386 +622701104 +922062476 +526817733 +1272427106 +662587283 +1995174857 +987424178 +1803750728 +2054858793 +787082587 +1564429371 +1289368346 +1158700098 +2065051186 +2090973770 +425493561 +950926921 +426674945 +1601513849 +203773839 +789722083 +985341281 +1010102994 +63818327 +281282305 +1837233916 +205658099 +2102159806 +305647239 +897099735 +1710917544 +928348343 +1819162212 +90251629 +53291801 +334265847 +2085426487 +1040715980 +2138016575 +1992801632 +1827798567 +1554962298 +1134686330 +839015018 +1472529836 +1078176452 +1264508579 +275973109 +1504851398 +718538781 +479746948 +147089833 +1703880062 +1489849942 +210908160 +1985162367 +1179600210 +416566259 +1939838525 +1485247449 +1313665994 +1503272421 +266112145 +985344558 +1593524050 +319403946 +1319610406 +1531466889 +1360119926 +1310143333 +1376784874 +1040434846 +717621984 +363987556 +1879449864 +42668172 +1442164009 +996474795 +318641282 +799531759 +1715013576 +798388230 +946621592 +1271409990 +140754525 +1157529752 +1109088709 +1320354735 +1574096011 +901443586 +658118537 +740278357 +257232359 +924230682 +1725622916 +1850756410 +1243634628 +897749674 +1234739651 +456270907 +60409359 +464040877 +1496705753 +778031343 +828028434 +1228671969 +820699516 +122708795 +77663116 +1139340798 +922240554 +1792676693 +1937729028 +1868862146 +916603035 +2078483553 +878908250 +2025691745 +1251354641 +305520613 +779651683 +1909473178 +1045798970 +1036884043 +686220212 +623938238 +740156805 +1929854840 +1521687912 +1974896456 +238642099 +1582097272 +291453686 +1735347852 +212644967 +1119482120 +816536173 +1033344483 +1242190915 +894199290 +25201633 +16947821 +539392335 +1962930662 +1885809967 +1455995370 +1893930567 +617234569 +1334203467 +997801560 +922755182 +2113855151 +759791090 +1968554152 +1003255546 +1446011302 +445008743 +1743412351 +1228382495 +1966696655 +1570825159 +1467024594 +1401310279 +1862278845 +1054888799 +1613955247 +834277317 +1871424972 +499816082 +2076468232 +618140614 +525017716 +2093416053 +1157532949 +340464730 +1831742372 +466044672 +86911649 +301493293 +1800248139 +1084713210 +1224248475 +1766619642 +1844504300 +1045318980 +622391540 +1143031955 +1490327723 +218320243 +223930802 +1309540730 +1789145403 +1690955396 +563367362 +1503940600 +598360547 +29838961 +190734270 +322301872 +529655043 +119718854 +940442486 +1054672759 +65651260 +2097975436 +1395137489 +1897393632 +416536460 +1482049139 +51403278 +69300951 +419278701 +1275651753 +1835920594 +116299353 +173487085 +310828486 +1259331308 +1663814808 +529148730 +1483262110 +825871891 +170810485 +1026733859 +1389239253 +1674751085 +1625094406 +1419078214 +1865485355 +1947396278 +1948733257 +1985204210 +740355117 +855922369 +2050855470 +690846905 +103576210 +1800765454 +1107383365 +1585625349 +1852168732 +1176684316 +2004904050 +980336838 +865121262 +2121203404 +1153823923 +1175949749 +1233051064 +670155084 +1705098479 +568829527 +1496026975 +1875908964 +1595563386 +737782580 +1403176401 +1073174144 +9377146 +1121178109 +873086775 +1958110403 +958898671 +1613441892 +666549124 +862270493 +156805149 +770125335 +515552299 +1264188514 +208267036 +220237384 +293389182 +65687439 +1200574222 +1158510445 +39407195 +206914497 +186976546 +1272458259 +877069581 +1892075025 +1841287786 +225612908 +1620500341 +1289367524 +963395488 +876193094 +215058021 +972772634 +1997371203 +1088144796 +783399390 +808786226 +554103040 +1449948514 +1671056719 +710908189 +72590201 +39125371 +1975096703 +280857238 +259362755 +121002237 +346544677 +1459936977 +1279512682 +385951872 +1666851474 +1466489228 +1658410131 +396437408 +1211080605 +1352214270 +622050316 +684097298 +494098146 +1585445805 +1560290393 +709156167 +410734791 +1410177948 +1797300963 +1194134181 +71480527 +203920355 +496599048 +1742537246 +914828544 +569189249 +1781662617 +742441599 +850046487 +2041025372 +863443837 +1196591164 +1353478701 +2142956519 +1582543036 +872846528 +1461962100 +1093469520 +1269283936 +525559057 +298200142 +1891334252 +1209656356 +792298288 +1329296409 +622463101 +1501454456 +1740031201 +2032641049 +1151271771 +786681734 +2104121576 +1355192127 +1283280782 +1699175175 +122537023 +1852470032 +1333354144 +864978623 +555032871 +1226895869 +1728422460 +1751624036 +432890922 +1723895331 +1186683424 +1305737450 +1038373783 +132669296 +427537738 +1563932841 +430869438 +171388343 +626105549 +1223167727 +1500684752 +1248568650 +577138535 +1093232305 +1133726051 +1728410306 +1879914040 +1090363980 +936118785 +1015711174 +642055507 +1058655809 +720697558 +1975409651 +1923634432 +1275730430 +1054821872 +1504573244 +879870818 +1487712795 +1080984927 +2066554242 +645966597 +2119358711 +51739891 +1073504336 +1535807904 +482609329 +1244892679 +14429805 +1705777056 +598093783 +1262998455 +135431943 +1691326089 +249240858 +1863842250 +1423756481 +1339604838 +652477387 +291984007 +1981660345 +1711133196 +1012681566 +1809586349 +1487283980 +140928348 +716924573 +844373576 +1020799166 +57153720 +1925358504 +939869760 +703120318 +1897233567 +991609651 +1776624654 +1285557823 +1474218981 +874033685 +1299987628 +1032512389 +1472127468 +415502435 +1167944333 +1015969909 +664743293 +884302935 +292242742 +2004348132 +1536780322 +584226750 +1838524829 +1100429871 +1596908316 +1500627530 +440230203 +1737836664 +70068456 +1284603780 +611152182 +127222176 +1062478636 +1551021942 +830342494 +812228555 +395147946 +459483500 +2097786378 +1869366927 +1333517185 +1250290358 +754395668 +658161006 +1665792793 +1922340001 +1674130915 +183052438 +659159288 +1966373658 +39916922 +48455963 +403116760 +1878441752 +1148885834 +2000025076 +1231585634 +1589116037 +1590378092 +1301654090 +726236169 +54046626 +1428876267 +1788714805 +1605068568 +111735113 +453459712 +2000216514 +571218614 +403762442 +1722099793 +1904735799 +1654052800 +329011814 +415413157 +1172361945 +103868167 +2089544073 +1355414384 +763027456 +1908434083 +1395331306 +811483419 +164067195 +1126289410 +1960369253 +16608623 +210391397 +1402001642 +1606986715 +1512045487 +2128237812 +1661033341 +793438106 +1769468969 +1118618261 +905173220 +75445034 +971351128 +1476391834 +479207476 +545967273 +1233643985 +2133260277 +874979087 +1649057143 +1158138574 +978847255 +1591117568 +366069310 +1741874711 +1352068003 +1761400617 +405874482 +1516135198 +740206379 +218760087 +1532743821 +950597776 +1620761729 +992246888 +315159616 +1601515893 +505796581 +1108597722 +1223501215 +1624414842 +2013770942 +1298946249 +448282322 +1342679128 +1778153725 +994249596 +428839466 +1763930354 +1869228683 +2077896609 +774585281 +700592290 +1521530529 +1140654591 +294983353 +726114884 +754571560 +700857835 +94766434 +1494777940 +919617922 +1627510255 +297892068 +392896004 +472273495 +613051684 +1994411897 +978070076 +1721649407 +1070429464 +455001270 +1587936701 +221892065 +903283593 +783132182 +2000045791 +1897533189 +1211971648 +1616492497 +1619278224 +1142384609 +243594130 +172386867 +516431490 +1384248722 +467370220 +1242546374 +2138820282 +1168228056 +1337312808 +1486114574 +2087845978 +817339415 +1784006643 +333258334 +1289612910 +249574679 +180186584 +120199338 +1971224086 +1250616048 +575200608 +1411677140 +1472508114 +1478484201 +47325674 +1325070257 +1228533742 +1259297322 +794079106 +700328319 +254198283 +1037673237 +872715186 +770629773 +274438311 +1340085406 +2013176147 +265774945 +360829814 +1203005307 +1751889520 +301192145 +2020344722 +1388412515 +634450479 +1162473984 +1637987194 +814637063 +1282673322 +1461727633 +2065253112 +1857873930 +725921125 +1390277578 +1188874484 +773246799 +567864187 +269924578 +2032544121 +1361943293 +970252897 +139258756 +252132882 +1842968083 +909888529 +526571193 +1035569842 +775581028 +792346139 +1396399656 +1978586335 +396752011 +1697591801 +1851447409 +1785164526 +184558633 +866437745 +1275668072 +999195696 +1627419 +589912057 +916965160 +1859501349 +1315833182 +159759090 +900892185 +2089079981 +727623277 +1170816764 +1974140454 +2089566571 +2141069661 +2113399210 +194215805 +1836554097 +875804091 +720786999 +724640291 +1651385119 +1513133138 +2121039947 +1482487806 +1909885149 +1671148101 +1186451567 +1547566027 +1855706734 +2052889312 +675750451 +707418782 +2054516731 +1265662509 +1624383943 +1766534433 +434012043 +1784143033 +519942970 +375608377 +364282663 +1690759734 +202265183 +306365586 +1684345748 +168180746 +500581391 +1373416197 +1043984837 +1221368390 +2098056488 +547886309 +587017880 +2071612787 +2030374115 +349419381 +1595277240 +1069342035 +1896985408 +1303500326 +974747699 +425252212 +2010919109 +881780783 +1690914721 +1487819404 +500831568 +2124926764 +1124478789 +1020774538 +353051493 +1488761452 +564050625 +555316677 +1795127038 +100912725 +723497423 +148224782 +1474328922 +1767482260 +1369593172 +1424901762 +167884921 +1956611053 +1349030901 +50775389 +158546786 +796824494 +1120117424 +2055532195 +2100324820 +2094865123 +333300759 +1963760281 +829162258 +2024215480 +1304096037 +1329993826 +2001658596 +281091179 +203284717 +207226442 +1769852631 +767335342 +762543119 +1417496022 +868248067 +1486040542 +1565720804 +195093341 +1106039154 +787830328 +1619995103 +1273924076 +596957733 +821542356 +1324699465 +755504520 +1618366850 +297333241 +663553067 +1571208023 +244714716 +996853826 +1387484656 +1073876975 +873585658 +544097046 +256387153 +727760606 +825188225 +459671870 +934987048 +447557208 +1227007212 +1697530167 +1865053230 +2095255279 +1036087061 +1283290386 +142864972 +2142126216 +2071120715 +1762860075 +1268566644 +520594800 +436918784 +445782461 +1276099320 +2055285634 +743115702 +1939652387 +1479010009 +987830418 +789022565 +719011018 +2061707393 +1662608223 +1263108064 +170610899 +242885182 +2088296289 +630282769 +1177872230 +388369849 +1857289982 +727918750 +105939432 +1805061613 +1764005811 +1389229818 +1947926586 +1758648379 +1312866885 +1563303013 +879731375 +1833461686 +2000221797 +1325513836 +962077358 +1908023784 +2068629538 +754246098 +1239550145 +908976309 +1543268663 +1958561163 +823200054 +1058393239 +1074185579 +993810953 +1301278421 +1014998220 +1624093723 +331667003 +1403368070 +1333900057 +1059585753 +1509307502 +991478022 +676107917 +751053672 +791920960 +287272648 +2063920558 +207740326 +1167004024 +1749898596 +60478475 +345034212 +564492306 +1968502259 +266180103 +1318738404 +1060568757 +1175156412 +714523420 +871646272 +1998356466 +1772916659 +1945831852 +844683772 +926711432 +813346424 +321293847 +1258378435 +69230846 +1655193904 +170480541 +1578538348 +499188278 +846588458 +182108373 +1291109239 +1133861106 +98545283 +1498849565 +153381482 +1848443879 +1559328040 +498415695 +265452537 +1380346652 +764595798 +1584190942 +293431761 +1939752210 +151230714 +1165078033 +1790625028 +1924147373 +963426237 +487825152 +703375157 +1776772662 +809118999 +1961753592 +1846003508 +316829255 +2132234133 +1277058209 +816017534 +831338943 +1459166582 +2107126773 +1965200050 +1557711865 +1458492690 +2118581532 +1258672096 +870337082 +469513579 +1524124633 +103200086 +1234109377 +960831927 +396631847 +1026377939 +1112062641 +1561709881 +669519320 +888726366 +377652470 +1157344472 +1592101523 +6941484 +1966463472 +1406371468 +1852944993 +135809079 +1391121953 +982519554 +951826613 +74977249 +294202488 +911469738 +2040177299 +1851914353 +222478780 +2011275183 +963102801 +1092815863 +333305115 +339743786 +1196015949 +1567414492 +1300575714 +1592647797 +446308784 +265154707 +1006874030 +1115828104 +1153881074 +1384526500 +125688928 +598498949 +1391467985 +2092152400 +2004870417 +1096929330 +80477832 +1248508723 +2079448884 +1032304445 +1323485972 +226167724 +1943774184 +1216179623 +2078082077 +18769316 +1079971158 +893701230 +1111585179 +1413276273 +1233445016 +160117481 +833207118 +386537082 +1752765278 +1279515902 +651691790 +612155660 +247860358 +1805572864 +1996682160 +373549286 +256588165 +1240666497 +318218039 +113974935 +190112179 +398695871 +1362483658 +122077415 +1431000316 +538485982 +348245139 +1227290852 +1754665605 +278843568 +1246060169 +687153115 +1172544798 +210161700 +2100429389 +258506167 +370279181 +786152859 +645043249 +2123044459 +2065668761 +1296735039 +587716471 +166045471 +954824255 +436914984 +539594757 +1211412421 +1677581481 +857812796 +1325387356 +1867693661 +1256508667 +540387366 +1989771076 +540025336 +1078873348 +190532568 +1767316188 +686055305 +469376136 +865892709 +1373208420 +1641920935 +1076054410 +1326154161 +1900427102 +1446333591 +2112307020 +397986703 +1421894403 +2030492133 +1694721743 +2009610874 +49053956 +502062350 +299042210 +588648714 +1713474771 +1976623692 +1446461510 +891378479 +1696833705 +555486530 +1431765845 +1539121133 +1095511866 +363155545 +1729653701 +715344406 +1049210850 +51546190 +1581237116 +274935623 +1693467125 +509807878 +1601089784 +1446410579 +1956141469 +1565913157 +1844397282 +1230552224 +1448921642 +1391635377 +1092679451 +1497975599 +1893697728 +1391721661 +2086624313 +1459688851 +1220861705 +1385602175 +203583683 +770211762 +1941088705 +1635349528 +161849248 +889116923 +1998505074 +1891502949 +1604461330 +900232276 +1943049139 +1038214798 +1175167899 +1489032616 +1548022676 +628774036 +787959547 +1356680497 +47203545 +484873182 +439749074 +1496125187 +1876508559 +1532428525 +846617138 +1622722639 +776666538 +785757803 +934927843 +1997528244 +23876331 +1138511526 +620256358 +1964965036 +626377406 +782105606 +706598312 +477398832 +526124908 +163575994 +1377631109 +321690399 +1201790792 +405315360 +1810723016 +602329820 +1034089396 +451198915 +1959010317 +1081292941 +936072097 +251275743 +429934481 +665097009 +1783704268 +1276551619 +140336000 +412887159 +2062309423 +1075263843 +262931755 +2086185754 +66291721 +883188113 +1903667142 +692669128 +1665293720 +462781806 +1170067960 +43934980 +626357800 +400215421 +365625379 +1828148592 +805530782 +28864747 +282994764 +1839620178 +480063663 +94521434 +773429472 +1416135760 +345797177 +1203363953 +2081232769 +2129501446 +332431924 +74085122 +394904957 +247257699 +1149348965 +657836712 +185959805 +1215640687 +1541024825 +2089626948 +1908309815 +1058834897 +404925106 +930894127 +1102769877 +1031282907 +1331109549 +1468395257 +711947851 +2136640331 +1497260004 +994942616 +1828776861 +1977323667 +1089464050 +454722685 +1245975780 +1435261227 +1658086638 +1179724901 +1417279025 +1990518563 +1253810023 +1812183982 +90292614 +255675341 +322537046 +276252420 +1471316028 +1863561872 +218395720 +1232142195 +774913121 +623320826 +15552674 +1877682999 +1654603733 +1346662223 +1198594608 +219067937 +1335818906 +548370964 +1214010553 +1017112120 +378210984 +155990955 +1471834805 +1624186764 +1591252182 +982437796 +656428017 +861047560 +825472711 +1910238041 +525747894 +915765325 +18429734 +848284941 +1192017745 +1489745762 +564363165 +1410413465 +574404309 +1339276286 +2033734292 +589956983 +1069475637 +1540854377 +1936619207 +120586597 +1759922314 +1124954465 +668957562 +826449219 +2142066585 +1047168546 +982440174 +1466417743 +523871662 +426208709 +301371891 +1180299679 +1287256269 +1126844602 +943054072 +1813004163 +2042609927 +961483806 +513805456 +1087144025 +303745920 +1078168621 +350073842 +878150229 +269961260 +236324486 +1468107213 +1339436897 +1777178864 +1257242772 +1460023495 +1389617530 +234713589 +2128981057 +68583102 +229296527 +1028665955 +1051023276 +1695714270 +1552537617 +1477231985 +1997086161 +585353648 +617004606 +976447115 +1528407721 +282525122 +871573394 +342407879 +796330578 +1958717419 +646153800 +1874499200 +161307614 +1524304029 +2144460460 +397632100 +844927594 +1336413709 +27327316 +2102170366 +648953556 +1416944847 +189400308 +630450965 +1485527949 +418696835 +1659116920 +389067577 +2114411105 +1064170889 +1866299563 +1964013618 +1649524538 +335820521 +792977085 +1030448611 +618345643 +1664550479 +1372856490 +1414676222 +1475784251 +2019010290 +1141691774 +1637091865 +1395830672 +1138668586 +2034723965 +93274618 +327598647 +2062051282 +47961337 +976552204 +1331512481 +237361645 +1607003169 +669556782 +656058480 +1118636442 +1058624359 +622985937 +35323683 +777440274 +439515907 +1684848221 +1113260796 +1232492992 +567813184 +1731606439 +749559823 +1940669675 +998799013 +77860426 +1812196317 +2140490787 +1714952291 +1060543341 +1131675725 +1602192609 +1153817960 +1459274373 +1516760243 +1201779297 +288342929 +700789076 +1439140942 +1895346098 +1370345858 +2095199422 +866498892 +281486569 +570701711 +901822576 +1058926844 +1010217618 +439187149 +24703992 +95226962 +1007000334 +1756310431 +844786785 +800186361 +607625797 +922647212 +464899030 +600632936 +490115855 +1525442372 +1732308662 +2092308464 +531776684 +1044099387 +1461585059 +1733555981 +1332442316 +14890487 +1025213275 +1080304766 +1385236345 +972929049 +1946803659 +1666722915 +1543630760 +701142587 +578166111 +406364730 +1140329736 +602870103 +501591692 +2147330070 +211696886 +1346378477 +800032783 +819322683 +121542041 +1264931814 +1419955620 +611657897 +642890538 +1004780634 +556482713 +1174667222 +2048880021 +2018067773 +760739555 +1233838689 +2032958260 +1785952830 +166659807 +1270710958 +611398231 +2113463466 +789950225 +7545343 +667122405 +1368116336 +413910073 +1807452142 +1970986439 +915501765 +1807298564 +35199677 +114396594 +459847700 +854522361 +235938636 +1724779514 +126994333 +847596533 +220186404 +1131774967 +1404079246 +1394853626 +1033171340 +1274663371 +8109533 +119526381 +1160137984 +1794062363 +286186188 +283365294 +257976946 +252166007 +1073315519 +265522289 +919288412 +293948207 +679432362 +579256906 +117450998 +1594934127 +239071823 +152650675 +1709330721 +698919523 +1007173036 +1945269357 +276215389 +1134167369 +645382242 +496401793 +118458688 +2049461489 +1891255419 +1151630028 +1176641212 +1899364952 +1271156409 +189295548 +1545943667 +1557342598 +472660842 +1803920613 +1809508605 +1545976361 +2069442902 +581313369 +1839924568 +601391616 +1160570276 +1957375566 +48842095 +1399642099 +2110026242 +1758172816 +2098561622 +969715630 +1555958526 +227293363 +2103883000 +53857120 +723695156 +74858040 +2103318609 +467466927 +1226488069 +1132476174 +219348231 +350160830 +1321771722 +1765291898 +1907503428 +1794432565 +1421728863 +1569528385 +1192925278 +1343688117 +3358107 +885366199 +1945079733 +1163928383 +695258117 +1993921828 +416086834 +657800711 +1604610996 +367164808 +1627516342 +1013085874 +594458171 +1583915694 +1066942995 +1318153327 +1658773734 +1022777956 +1785620254 +737778155 +7770482 +2004968485 +1087938986 +1329542205 +1622776735 +847958766 +976491122 +897021950 +270003504 +21932752 +93226419 +273361611 +907298951 +2038306152 +1437289994 +1602557069 +1884744332 +1853376828 +112874132 +1341871680 +73057988 +1740390474 +207473907 +667516159 +1176822520 +1274416902 +1985669486 +688112607 +149711210 +1623806092 +1425890762 +157481693 +1481290929 +366346100 +1487023898 +956584016 +1214304867 +316031372 +1853605966 +1484308371 +337964124 +1946832385 +1757669982 +1245263076 +1837654889 +1047476328 +700336497 +1574915573 +753369508 +813210629 +769303605 +826427496 +406117456 +976777512 +1493943655 +1582939976 +103710766 +1332129493 +123568935 +253421977 +808451937 +1549459698 +410903670 +142259218 +1915805798 +1897927568 +1098843234 +982627017 +66475292 +804965552 +319451740 +404439416 +604314289 +2077121722 +1649702492 +294485530 +977114402 +202555341 +1869401103 +1730483910 +1015765971 +491221060 +409427758 +1421883427 +1467998573 +1903371413 +857339755 +1571709339 +1088017258 +980908691 +1825131316 +1896469195 +382884741 +88551338 +2038728413 +151206891 +1986478906 +990087999 +1133833909 +2052954198 +1795053551 +1453285649 +309909967 +251884192 +1382923724 +1959612459 +546369722 +212554478 +14684153 +268287177 +1943038389 +1030450124 +759508238 +204982499 +304849903 +80023163 +2108353913 +1162189658 +1651732502 +1048887523 +2143098349 +1329380171 +797873071 +378499442 +1417931509 +689117836 +529706334 +1256926768 +1679205836 +1663540243 +1162397318 +1326775739 +969342244 +1472307285 +1578659932 +204782320 +1284436097 +2125029654 +417336799 +1299120250 +245833184 +212891540 +182086726 +1005341422 +417874039 +486936629 +1085364585 +378744304 +1649126287 +589613439 +1427631828 +1644740989 +1918993610 +78021251 +2023240431 +1189441472 +767139087 +405463117 +298884592 +298861275 +2069003360 +1461281910 +1625637015 +890861957 +786105548 +1056813299 +1095644277 +2070541645 +1034359305 +1512981076 +1222178247 +1280192489 +1725872616 +1404264973 +138050263 +2143746656 +1891201602 +1223414848 +375007312 +1392844241 +1813028288 +1802639140 +890101582 +1584538250 +1880660391 +765858366 +626496074 +500315831 +1171321483 +925380666 +799177106 +1092841196 +239178929 +277330473 +1983703153 +1025284477 +1334143772 +931863782 +948342474 +221019430 +297361211 +23037073 +1501211919 +2023233827 +1427302046 +1639262183 +2019496835 +1171020000 +715193383 +247020500 +416380593 +380738023 +2049659640 +1306482176 +1965276274 +1782836384 +2072340542 +444288700 +135668567 +1096178377 +1369669367 +934845673 +41535925 +1608848296 +1212176147 +2025239078 +486649125 +398836271 +809619213 +1434991599 +619855701 +1106980424 +1458028672 +2121067621 +982730603 +737847070 +1612846156 +854743791 +1908867070 +180555891 +1101764291 +177764015 +561293915 +1003940283 +1484246191 +379086541 +639293019 +1409103085 +823375241 +774961586 +357797815 +45560960 +1709807260 +399333740 +1654409256 +774499759 +277089171 +2141058381 +1173336030 +1086708384 +1428566332 +1793191732 +46205160 +739111356 +1766775705 +1028935763 +1476958426 +1232138213 +1883679554 +1238341848 +1412694104 +837960197 +1416105864 +1973988019 +1841900481 +752868407 +205590912 +333709852 +14487845 +1028966154 +1108671439 +372285660 +1074527114 +670995051 +771619400 +581452723 +1445494810 +1048708571 +575027456 +471347192 +2135416955 +2003593789 +117055276 +34138467 +595221497 +1883830981 +1063074231 +2072179924 +968485546 +799270137 +1163038124 +233696003 +1637230335 +431660340 +60200374 +1331647168 +1184528748 +265791287 +1665357020 +1199016593 +1294757441 +626544811 +1571302253 +221800907 +1297539862 +195438005 +803253630 +595551024 +1244146577 +1378281087 +1066898217 +1232079884 +1234391228 +1183953493 +1266218352 +1829612725 +920300827 +181808935 +1754309001 +1888786373 +981079072 +769863478 +2122482376 +470825759 +1201523818 +35199103 +1802472927 +238568918 +300990390 +1320346300 +1437585511 +1595747831 +1946891111 +861404116 +1817548738 +1096947326 +1056842122 +473318721 +1692498350 +153505051 +1851599808 +611912919 +1385584935 +938507388 +1795866413 +504319639 +620636465 +568683592 +686128574 +227461819 +309986317 +1667207647 +997325297 +284985046 +2138033406 +51365467 +320184149 +1793022686 +289934386 +621174539 +965885338 +1727519897 +69438722 +765292801 +441440366 +1886987460 +1862240127 +1498282488 +212822533 +1407254830 +1651787539 +2064422341 +2019167749 +889888826 +855446081 +1667550514 +1394208466 +1476082547 +88750458 +2080337040 +1703544366 +398736776 +1600061039 +553386015 +683721822 +1590610798 +604751482 +1003905971 +1236149836 +894685868 +1625080510 +54551526 +474722118 +1694519232 +819844327 +916162484 +1434023044 +534600807 +266961324 +1646845578 +1941855637 +1918748863 +1563784271 +1813539738 +661154041 +271746705 +1333606605 +2055362507 +1747829252 +1422357063 +1988215900 +1303889970 +1821093839 +1440793291 +1857275985 +357332013 +883920441 +314543819 +1361237984 +2120070277 +1209229688 +838834846 +27138155 +1683951806 +385870430 +846982483 +452630642 +1819893475 +1381583290 +719591966 +1319255405 +1175955279 +490857181 +735556028 +842011369 +1152011222 +1007302733 +28134326 +1059890082 +607648337 +1450491390 +900622334 +1911538307 +1124101581 +193931977 +1621330644 +1481433595 +1077852419 +1935874464 +695187931 +1050439048 +997620504 +1534022778 +1077577204 +534088662 +1919893208 +1924559687 +986719304 +1592303035 +1158659329 +1706311270 +764074792 +187130960 +49684803 +1499630821 +1029142329 +1201696025 +359449906 +1057276656 +114102459 +967098244 +360284398 +1014724793 +731152903 +1484385979 +1208656771 +204999900 +818335926 +139025542 +2140874364 +1513523858 +1189464590 +991011220 +900062988 +119558146 +1525099882 +672472548 +2044117833 +364335538 +117291936 +1055293514 +2070646808 +881366728 +1242424474 +2120331611 +233513901 +124083156 +1174543988 +592963808 +1181359812 +1288646448 +1560062052 +1541644210 +155887593 +143731307 +878546541 +1364544364 +348731207 +1696882468 +1503569906 +342121923 +1062922678 +545550849 +1333133143 +1962985666 +665108995 +710749377 +487974566 +561743181 +1075084915 +605266502 +1617036695 +998248075 +1486633231 +711977522 +971096038 +1720147132 +836060678 +2145640027 +165627292 +2017420490 +1286802827 +1725689344 +1411581052 +1442690420 +1869420652 +142643945 +659751137 +70668211 +1839526413 +15837395 +412790135 +754965443 +561388244 +1745923278 +570467461 +1226497240 +309189008 +1058442028 +1788240421 +1384273923 +1663708530 +1257793468 +235038351 +1002858113 +1969770990 +1206134389 +575521598 +658348020 +1204290768 +741148890 +528284862 +343609947 +319354587 +1939865914 +1786300368 +41291591 +2082509860 +298567857 +111959802 +1774552625 +314405252 +524749937 +382034421 +875793497 +123189568 +952501882 +2102290737 +432378576 +2010943910 +1743047510 +1816652499 +1527168793 +853357330 +2051690850 +382543258 +675644673 +1110341592 +958064856 +1333992693 +167148712 +1699213747 +1862277556 +510758660 +2018568334 +1654659822 +149575380 +2059859925 +1589686034 +448143237 +24336079 +1216755012 +762548489 +549086017 +1598789433 +1638341986 +672275585 +403807667 +1593149075 +1104654161 +267267930 +1188712937 +773823012 +1794436723 +2042070268 +678030215 +29496333 +570231293 +1788371807 +987561190 +1904223986 +1955520519 +539291289 +1619017894 +318795531 +410375975 +1126194069 +468370911 +322752252 +568396455 +916514148 +347088331 +1785151467 +1679062638 +896174348 +1236457252 +1169920976 +1568449933 +1640264920 +615586404 +525620446 +1907532850 +1804299341 +1299443459 +1554485925 +1698885961 +1977473674 +1583982258 +121633606 +1618361833 +424059800 +2025857593 +1426398704 +963351089 +1497391839 +1745194236 +1373727064 +476102260 +66081499 +1696479316 +1044498716 +982595648 +2043567648 +682166535 +514174638 +792258348 +1918623788 +1684095614 +213224634 +1411405060 +152198370 +738845080 +1171454262 +1956497712 +2038288539 +578456539 +1507900025 +1868278565 +14955149 +1629533632 +1339156750 +439014950 +1507907577 +618071807 +1402366039 +857815768 +215782395 +628609456 +1333918029 +281863894 +177605124 +230933097 +1264459542 +73689124 +913099632 +1778634180 +865947473 +684239772 +1315246147 +1079172107 +2095644832 +1467444517 +1818017187 +1119615446 +1276458581 +1708822079 +1698071985 +636874959 +1429616996 +1713027135 +118924943 +621290099 +4558437 +1626832520 +1239361906 +1406924476 +337164640 +1455144301 +2035533932 +1671082669 +1737008195 +65655409 +1902015766 +853984090 +139344533 +667631751 +485134622 +1005292006 +1351871523 +1800380769 +2084464113 +1300032708 +1120341639 +1754997653 +272164506 +249316572 +1316336084 +1970236492 +886191531 +598469432 +1535779979 +1005116474 +1219759531 +1540338416 +484465346 +311637789 +799779244 +821629987 +1766782090 +687829529 +345229008 +1356306638 +753484938 +99761127 +62807080 +892829471 +767392878 +547941702 +1898121478 +2119264401 +200838824 +1835101943 +1271813461 +1321180463 +1442615948 +1543977968 +1570497035 +611468384 +1366730812 +309204919 +1209937817 +755027143 +1314321393 +282213700 +147881911 +1798786740 +593851490 +947661155 +472933079 +213149932 +1635490684 +818162087 +1569456570 +241491974 +917923214 +1632263650 +1134321446 +1685316092 +32721705 +884959276 +1657096846 +233560529 +572577571 +781426659 +1554740992 +2015193520 +177920979 +977754379 +479178256 +1544651791 +1286959298 +1689116073 +152195286 +453797044 +1971329774 +300077197 +105100136 +417697616 +1247738353 +578033215 +630847548 +735745389 +1396195302 +52820471 +977237364 +166634869 +1685084121 +2111558810 +1851950961 +1717805826 +849034438 +1361564159 +1951366355 +1421612009 +2142990819 +1358623699 +1289321881 +173428150 +188894431 +1768500138 +1718079942 +1475853729 +1310132563 +1870275228 +1929650773 +1133978689 +22868778 +2034750909 +1551676305 +1270607131 +465300476 +35040206 +2006352520 +1861495779 +87860677 +836106236 +2028130648 +1772944798 +800181398 +1732597961 +1343266977 +1649215836 +946678473 +1147149684 +923344198 +942185644 +358289736 +65182431 +1115613794 +547184167 +1833682569 +686210088 +2023037896 +996331485 +409001669 +1805205022 +2130310174 +431870447 +1692472283 +1534502832 +1702477578 +10289112 +1569543038 +1561346450 +1871784891 +1657403715 +249969039 +1752431891 +1282864865 +1050150437 +1337546204 +478648194 +551882626 +136741029 +1625797879 +1475226824 +1078926673 +1984087615 +1540409255 +47056820 +383788134 +1226608177 +733266908 +259342382 +75456014 +1142268577 +2064547404 +58282540 +1574139024 +1609536040 +1592785372 +1129132954 +1619825152 +1014844762 +542995757 +1344126395 +524764829 +792964796 +949074638 +1807629695 +1843115233 +139137194 +138794241 +247514211 +275878224 +1764592120 +1722741035 +1354804897 +1601196087 +1115666643 +1401861717 +1984984221 +194791172 +2135128626 +96842956 +270247186 +1129913555 +13906712 +328529726 +556568932 +1623442752 +1921315099 +1685701886 +1095784256 +788676213 +81213995 +292427003 +1313441043 +874178791 +1241501641 +973587090 +569810377 +1380638836 +1112381331 +817324588 +1656517060 +729489804 +392581976 +863838309 +183202243 +1508248619 +118216379 +20702817 +1703039791 +105861357 +117545773 +1973286977 +1235774912 +131452485 +154333055 +1792343844 +1754895238 +2075648154 +1330562083 +703195846 +716840720 +1411776078 +995622850 +2030281763 +138471222 +89640843 +856385205 +708281599 +1470279679 +1968766536 +1525606187 +979313091 +550772692 +1918188163 +1843151401 +733974936 +1278953134 +1961367780 +754677753 +834509277 +2067229137 +872223526 +660312606 +1155520401 +1003676011 +814645662 +800380598 +611087601 +742810168 +2130942681 +1314283448 +1459650888 +1395235111 +162422650 +1342449003 +1533706333 +252063493 +51350560 +94504284 +1722343173 +2020117097 +1620110472 +554172616 +423406141 +1390814987 +249840369 +1157381077 +522284474 +63724501 +1912058830 +1356793751 +2130953638 +636798708 +2017106358 +1138990392 +1640474720 +684268372 +1939370990 +104078673 +1427078540 +1922830023 +1418362121 +739245781 +1170581486 +1580784771 +2081694784 +556804172 +1832848265 +2133045345 +651308456 +1407707790 +2005678794 +123935280 +1961880406 +281601287 +1514750268 +64237128 +1438982365 +2037034742 +127961629 +1203557547 +1246344845 +111431620 +1840356256 +1115967555 +1250422012 +1333347328 +1800235927 +1042309354 +1437426001 +1079830820 +817655729 +708304475 +1819076601 +1988237215 +141605598 +1753287737 +397557739 +1974453863 +1738849434 +1048866196 +1234678005 +1597044580 +1172801476 +1049074764 +1878645868 +540068096 +1113311892 +1170144585 +429619190 +1241273521 +226218484 +1675964036 +1352705141 +2066574740 +644447943 +455643505 +1252438420 +297200223 +1497952859 +542380774 +1377031043 +168124940 +1250685249 +1048623996 +8878508 +1392290847 +654428085 +406436247 +1219261063 +245793872 +1455302443 +306455420 +1842838452 +480620272 +1355530184 +1574000672 +1020688368 +321358428 +596661609 +1450307559 +1562631950 +822880094 +978787947 +767853443 +741971186 +1623235890 +1223496949 +1994409607 +1920436113 +573966160 +389306733 +1149983508 +742091101 +1639991982 +51123856 +750969609 +884799181 +705551942 +1157405856 +2104060244 +951345814 +465224652 +263032017 +646700618 +945844924 +1618562201 +73217643 +1966533292 +1939920630 +669879252 +1269357203 +1355068932 +1492759346 +100661502 +2122922375 +87246885 +1723897393 +1198935676 +2081656492 +1496849858 +1772901837 +323479577 +499349719 +367509290 +1963471559 +550473575 +1118478899 +700787092 +1256025517 +128401107 +657363689 +59887683 +593625759 +920395706 +706588302 +1539470683 +391474259 +779805945 +1358520328 +183911241 +1449685197 +480393883 +1538980173 +794960896 +581055386 +1514418901 +882207781 +157469131 +565870929 +816380625 +1654318989 +191289118 +1139860202 +6185060 +558798408 +955848113 +556658636 +1677277307 +1656635205 +1812684153 +1805678415 +166515246 +1872571837 +251820526 +1086910952 +431676491 +1791291210 +1478385212 +1211482436 +1002327890 +1662296453 +513683985 +1482721773 +1053792979 +1308644881 +2063777159 +420728232 +43369014 +73762642 +986599161 +859749639 +1728081632 +1177888280 +1999609841 +1734266692 +1736686688 +807974306 +143441680 +1266480348 +317125864 +1956125834 +924675115 +483641110 +1681214023 +1176495641 +1570552063 +2112890514 +820303203 +901453627 +1176889302 +1822631093 +416266432 +1690573287 +1157869219 +1470059411 +851734521 +1074162730 +1890787643 +895103535 +1147925373 +729903157 +1754853175 +728523357 +1907791437 +1606979368 +315306401 +1496994477 +267470027 +458748082 +615991177 +584595891 +267390268 +1540666292 +1068237001 +1948604291 +569678286 +491305416 +1914011157 +1389981489 +1392759043 +943416811 +1065128935 +1809025476 +486506450 +75514506 +1131601239 +1338240971 +1149677236 +874905235 +85860859 +150118961 +1604808392 +1840714034 +878642318 +1365116181 +1300209754 +1193948720 +714627010 +1567679781 +1652696802 +1330618188 +4792024 +1920087070 +723800832 +1073029026 +1721207713 +1293479118 +1564334442 +1487735222 +535976960 +809609838 +283668385 +1601105895 +471151666 +770174835 +1676620401 +1602752905 +2108415807 +678813989 +330174492 +46793018 +828932951 +1934982884 +1887507052 +1707575269 +1152615417 +1040233158 +754040341 +1867242428 +460429292 +259253495 +1050376968 +465221316 +31856917 +1774177800 +1538250342 +1753064630 +920173271 +955101137 +1093316204 +1456150231 +1764710975 +1376984589 +909772478 +88378993 +2147159425 +438909231 +1691131898 +2108091584 +1117723220 +2021306391 +7400954 +1946656171 +1808805627 +1894908006 +1506747793 +813937397 +787657516 +113304486 +533696177 +1248086808 +372557982 +1584073145 +1713308125 +404414899 +1210767297 +1104074819 +9995882 +2130940568 +2059175956 +1103312086 +1439607151 +1676403283 +332813028 +201895981 +1764782276 +332488805 +640805212 +1308430527 +293096741 +1758528433 +1182253270 +300497695 +1557700956 +843575249 +47922053 +916965101 +1657512646 +835579569 +1030269588 +43725175 +2083666378 +1402827570 +1627798320 +1649490855 +1807242469 +691081970 +606082026 +1817238351 +674538890 +517774335 +773066790 +2114146042 +46693970 +1105879818 +168558375 +1811476247 +1438368623 +809363588 +972423126 +1731465364 +420408373 +7192748 +2031963059 +1978109329 +850767997 +2079885112 +747590783 +360796996 +767981033 +1777860371 +404522171 +704163763 +1033204293 +2032320492 +206170970 +692963114 +575918814 +812252997 +362717818 +1250457704 +1330027332 +1135784608 +1217120098 +1376721302 +94180778 +1385678474 +1040713901 +1532549401 +47558414 +2013137027 +1116531117 +467966787 +2020329775 +1001010528 +298592468 +723614125 +933411992 +1046183251 +1084411121 +1701393025 +676559974 +1488933292 +258073141 +1709764267 +1373770136 +464244111 +255243734 +1949688950 +1276497108 +617961552 +1052663007 +459040792 +1753746160 +122299457 +1835762095 +1847926938 +1507977931 +728992348 +1232992691 +1555536345 +594645728 +202040160 +2023503132 +467491855 +1203050688 +174611953 +1191105980 +2136462680 +1220795204 +128033453 +1690372057 +1897355179 +1616966746 +1948445198 +1459635798 +843253234 +265205662 +1714879532 +645458537 +1541702770 +185357436 +1698121544 +2000743563 +1939103596 +1820421001 +1689022010 +1639546886 +1180915285 +270530710 +725055929 +588967982 +865176438 +927096089 +464987467 +1332668294 +2130146777 +639599420 +376290626 +2119125809 +1860394624 +504324080 +1662014219 +1610266155 +2121290826 +1462975769 +922418306 +817060412 +1728181431 +489814190 +1462518949 +1122400554 +675171627 +1013156845 +975660469 +466791575 +686094199 +517198831 +2106338462 +1867009484 +787729541 +683910743 +308493818 +1652905980 +1611006833 +773481285 +838090626 +1593669962 +1413080705 +1214381252 +1565312124 +1125991682 +1718705332 +1079842695 +588774189 +1692512510 +395334816 +1511192495 +362089275 +2123516248 +2001006686 +1824608224 +1098433154 +528694665 +690281422 +2074093623 +995486240 +1376375621 +443808806 +954341054 +1095901457 +1231538347 +1638251798 +1404395275 +736960679 +1101774983 +30392913 +1575051305 +547961297 +1443473618 +641948910 +2113273421 +421981652 +213170594 +1045632468 +1010755842 +1905683105 +1440967285 +374464689 +120288732 +1416999885 +227987727 +1944896956 +367949391 +756682392 +487694730 +294559366 +1752168633 +1864070351 +738368172 +559026039 +812488160 +1969906519 +49794189 +69399788 +559383551 +1151569172 +99792701 +2134434856 +1699530470 +1543266319 +628900118 +1665320243 +1965247972 +842070713 +563469064 +828520166 +600270170 +2004436349 +1202984855 +720558902 +1273952586 +1430972583 +517972210 +1641901977 +40171327 +1005666941 +1936461343 +1792339960 +722253644 +527345867 +203882352 +1534741805 +349768738 +253676541 +1604141593 +909152289 +1405245714 +1703934294 +896103498 +957292536 +1099716965 +1525003616 +475129131 +917481289 +219590681 +1038598195 +1746001455 +819860851 +895550896 +801502663 +1540419753 +22019834 +84991598 +2058391964 +1663921811 +125162925 +916575257 +1452899506 +1917502886 +1638828901 +1980245373 +2121385238 +1026087058 +182530464 +227578131 +482745003 +1091682753 +1632823845 +39195649 +1987786251 +442632733 +1138912615 +1365306220 +917761865 +2056393904 +1584896901 +1956360060 +1654911712 +257274105 +704427309 +308930727 +1797693858 +726447143 +393922325 +1708602174 +242885307 +519085250 +477693783 +1695784813 +289104488 +2116522685 +1528546539 +263006078 +995126095 +1711077003 +490584210 +1477871099 +655276108 +2123408055 +1517066748 +495578712 +418557141 +508495715 +1860884932 +1336319006 +417405972 +1298298185 +1145195418 +2072317684 +1555572290 +1849622727 +233764763 +1205782501 +428586223 +627687088 +766901027 +671471530 +1146772338 +1244594811 +219772695 +1435876827 +1213633848 +1748319234 +1698882905 +61276295 +1311912589 +41983467 +1539147394 +1967188698 +17907875 +908730495 +315283762 +436465016 +1417226210 +28685046 +1772784022 +1834632182 +1326983231 +770495792 +1759466218 +735071874 +472634872 +1993230981 +1940854375 +901221095 +473434421 +560271754 +1572692625 +1620206760 +1804866565 +1792465320 +908599939 +871016765 +1393300907 +459999196 +932293061 +557729848 +501982664 +323956807 +377434898 +519890539 +1232687302 +692718660 +956355555 +502429865 +721403706 +581655929 +189578399 +2048386938 +1352151721 +1949044618 +635975164 +1824786593 +1794791951 +429345891 +578524040 +120742725 +989617645 +3733017 +1740949485 +647000563 +1796198338 +502065776 +1518017328 +1042015597 +962064972 +302826741 +1599745445 +1464047636 +626783549 +1977180344 +1983938175 +1859470851 +522415356 +792810082 +214417068 +1243819063 +1374466011 +403995468 +1144722353 +579134085 +205556438 +1780697517 +256437030 +2000348389 +62559760 +834961071 +2121091114 +1052177405 +838694088 +1714556951 +1699177968 +487408778 +69139079 +1069711649 +1529424375 +1031204052 +1372538390 +981686173 +347768040 +1999321939 +811382869 +184222568 +1711309143 +1333798225 +977032650 +1925726211 +430133640 +204015014 +182238031 +1574855993 +783149099 +387794469 +1208069862 +1039586129 +240659211 +1270629622 +1874547200 +214266677 +175323380 +565757641 +1928823629 +1874501348 +1053166419 +1997962708 +796729349 +435107147 +881683112 +21784092 +1416793320 +1229451153 +2021106031 +80692541 +1413673721 +1584931526 +1414490766 +243222723 +1363174090 +1844624407 +447237737 +1545412121 +1271996752 +1230386836 +1933206591 +332582967 +122489318 +26382154 +1603212589 +1997036518 +240648831 +1778535969 +415310511 +21988812 +1505553670 +1468476931 +2019951521 +154799371 +1903584078 +754150985 +176583463 +1172893750 +1983602138 +50205847 +1253586291 +1249792211 +1635137373 +520593409 +1493014935 +850827815 +217734168 +1940252672 +248756289 +1489730921 +1023155861 +34479232 +1822313888 +1145645179 +60861386 +1278042829 +995198049 +301510217 +909095151 +1410508561 +323499030 +267165173 +731501844 +195966903 +421964544 +487602274 +950117888 +598548008 +1660496024 +786236379 +648753855 +766598667 +2036028590 +136407580 +1287192076 +1381559877 +987235396 +1504926245 +1174328902 +1235991685 +847173518 +50001115 +1270470917 +522003758 +1195646294 +1331332303 +1800046587 +43360695 +1632842520 +561658090 +1453869256 +1956341550 +828823263 +37887452 +4824805 +1250787808 +525489726 +954942694 +1849335816 +38502102 +1741179073 +350606023 +805100769 +1629724015 +487013603 +2092292846 +863800245 +1474248999 +1449735443 +2038129147 +562757036 +149425313 +2088130262 +1833227953 +671429071 +1136292908 +1017076608 +323992010 +1179653603 +502435481 +885650101 +486039212 +311293383 +1714473364 +523926664 +316118189 +817777524 +1049416391 +1271060883 +519629692 +1087918493 +864756308 +870235715 +1893019263 +346996675 +1357249319 +1837828461 +1210796920 +684014670 +1140080256 +1101442419 +1246771707 +1289505569 +1042089033 +932516012 +1960934640 +30898293 +1949592621 +137443002 +1210551897 +304544454 +1023093103 +1696591109 +615837837 +590082820 +73034125 +931956026 +1407860344 +1122450516 +55533261 +1927490037 +62885362 +920289569 +650242104 +1955904625 +1267286245 +2007491423 +1646249438 +330599517 +544022446 +638846046 +1432041937 +1790794153 +1928351615 +326647322 +575826517 +1741802607 +357545616 +377935490 +1879245609 +1568097513 +682479944 +754855065 +1117204974 +1298317782 +1344937885 +1190239099 +82790160 +605314581 +165205968 +138323422 +385320970 +228091330 +1058612991 +1035563075 +36512307 +178415588 +895570850 +1682761745 +509015106 +1439593296 +174124143 +1941057043 +1082903801 +2102475758 +120220717 +1658730319 +1696794717 +477766333 +2036665809 +1428556678 +2045863846 +571662106 +35928095 +1015585172 +1869979888 +1380865980 +58340624 +1952770048 +1986180562 +223546592 +2091093470 +224017884 +451637922 +1002222814 +1259580959 +488150229 +1180638402 +7668162 +23428326 +1689653508 +1447261458 +197552469 +1483226903 +382681612 +152544579 +1603447621 +2041411931 +1849339296 +2081213954 +1930594092 +1130412326 +1979594153 +354772550 +1166340422 +847695677 +77268790 +399722754 +906036301 +2030038839 +238419668 +1129582893 +1973648661 +462437553 +1581220815 +828387827 +1722018512 +2069371044 +2009026230 +1729686674 +2092799370 +1551196090 +1029464485 +142868191 +886939346 +1412146097 +295412770 +342903319 +1306074380 +2144752066 +276633625 +1089184824 +1127680745 +108744130 +1443957375 +146537519 +956439808 +1521226165 +546260273 +1862476109 +1403781356 +784679942 +844575355 +1229946370 +1247117495 +278312522 +2058334197 +821652359 +200199919 +1919876779 +403855386 +145515641 +1323589222 +1433319871 +288383833 +63044920 +697982320 +583796603 +405948239 +2004056700 +581065022 +682581864 +945757876 +1708745767 +791325995 +242231603 +1855283286 +1747765803 +1763457769 +254059911 +1462758264 +1019755477 +1038739853 +159849971 +102218199 +138373700 +438162494 +13068749 +960026060 +638362413 +1932945528 +1363881446 +783878054 +1109051102 +649717669 +1072261887 +1172096022 +1347699989 +1656058491 +1578044261 +1204273041 +89639865 +113142478 +2547269 +1798385632 +904468473 +244778873 +1506185270 +504750628 +2008236642 +1760245181 +1967508892 +880508471 +651501387 +2127358864 +982726671 +789875087 +418037710 +995795420 +1749901147 +1056400123 +781257300 +966298945 +1840278177 +1890308403 +1616016614 +765056417 +914920777 +816232955 +273631260 +345481391 +2020505996 +363271125 +458623869 +2023053266 +14173109 +1363092342 +120348491 +1520358379 +1867842970 +2128585133 +1133119912 +1687868214 +861609956 +1784621299 +1667743430 +1844336627 +427012739 +2085781140 +692648399 +29430238 +994697615 +1473905700 +995729184 +687492145 +1216730455 +464262150 +1452548562 +2131651232 +1280495106 +1726179822 +329648975 +1153517454 +2089450947 +788272844 +1029087072 +2103624056 +3881538 +1149435563 +1476498787 +1871724508 +1130537048 +462135051 +1412109075 +1992147005 +99272703 +932368857 +1688999984 +526285442 +870666350 +234164736 +555715680 +1865363965 +1708070436 +1551444864 +405372462 +777317243 +2015707015 +1857921024 +761484827 +1148718473 +1436617198 +1091133803 +154752279 +1378584497 +1879406647 +1183839352 +1334724905 +1883288186 +185791267 +663740044 +1607529046 +1316328316 +1125875096 +872154473 +1160991673 +1225147799 +1804523331 +702508009 +1751433241 +527706033 +936672745 +159665273 +245586350 +497259533 +1711110138 +650958813 +1274576776 +1579333505 +361396189 +2036061604 +580568330 +1798013388 +979711759 +735320609 +1029114237 +711634758 +1919159961 +216355495 +447439296 +2104951229 +880095539 +2054968343 +1273795897 +2005970635 +779639168 +287303922 +1083634786 +436678851 +989811931 +687584379 +964384884 +1926484677 +847249653 +1209971235 +276260562 +410876143 +1860930048 +1550837339 +1990209648 +74842589 +1439415295 +423294330 +1872855977 +271643406 +1158614939 +754486567 +983278164 +930291253 +970842062 +1430717461 +887758834 +1850937601 +1338202156 +14071083 +1709424589 +2117841324 +301375005 +645575727 +407036528 +1291186936 +1333160107 +1371421412 +1070187965 +32926112 +433908999 +1346448528 +443802255 +147355399 +749802219 +286528255 +222197989 +41733866 +709822585 +2095053966 +313377272 +1868437524 +702056885 +1296655436 +651245129 +1672898947 +579889249 +1539003963 +1376352901 +1918091405 +1553075046 +938293842 +1888449082 +1854450051 +1583869569 +148001962 +998153340 +769546028 +1519423374 +2068341305 +802472140 +1953332374 +1267306185 +1246274395 +2100687773 +2017108404 +1532802650 +175402114 +2058842270 +95141587 +122972433 +224735894 +1963579112 +825029318 +1521391331 +467340593 +350444618 +2101280580 +2006344557 +1726797519 +1871888338 +1411935955 +517607713 +1612853772 +1118902359 +2101477282 +1760855734 +2117055699 +723539663 +1132795460 +2037913356 +1526011803 +938644186 +1157735894 +624802551 +891848312 +1027360650 +10121553 +1067250426 +938719273 +105263141 +1190222859 +1163455167 +2068842253 +2015252178 +537362850 +388699198 +218213148 +491159783 +247560107 +1945010667 +215564473 +1659496063 +315134732 +1828418245 +630914774 +269128366 +1441790331 +600486825 +992668029 +427102143 +490916533 +371196185 +1365746330 +1648652427 +995998736 +110110994 +528529430 +1006120289 +1177361420 +1467248703 +1111383430 +220100632 +483220222 +1032742035 +87869162 +1020583073 +1421441234 +306082310 +1511742856 +1669001341 +103609329 +1727307329 +1181013756 +418744061 +1408241926 +1811928530 +687872427 +702548609 +264931707 +1680540457 +1129650752 +755848241 +2051736642 +347913434 +257017020 +900251730 +458024428 +785546450 +1906372019 +1635385849 +105311505 +870271802 +1855486481 +588531728 +1903013837 +1943355643 +1609114801 +1176971423 +101954305 +973374009 +698489117 +205563634 +553197690 +1879502873 +624307695 +1961439616 +1543947756 +1312180122 +516504577 +1808879463 +845236931 +1646155329 +417244056 +749489925 +1994068764 +674261077 +1649741655 +304609544 +1459807527 +1408630027 +1939995393 +1565119033 +131418181 +1647998226 +6167113 +2034432018 +1443870221 +1615281914 +1063919794 +1545824526 +441172275 +1762408911 +1751388160 +994369965 +1494428136 +228212207 +808325933 +890892244 +1540392330 +1324830510 +552288060 +238145613 +823502191 +969532116 +987635539 +670087307 +1643793193 +489893546 +974696852 +956117073 +1898523573 +767208597 +373752458 +2029941754 +267723176 +379919571 +1916890125 +1711593397 +1995201485 +833326271 +1109934276 +288890112 +448251534 +713838788 +1283260077 +1942679670 +942050996 +2091586010 +686088267 +334959678 +1268932872 +1238376327 +573105291 +2092435063 +60424795 +1560740830 +615038723 +1704217989 +2050634377 +1589735575 +512851414 +1801674302 +209460524 +886603872 +1684132409 +477183700 +1266523443 +1453538886 +41293450 +1114241280 +139381509 +1151227726 +1403131392 +587633043 +1865066514 +538907821 +382829065 +659633862 +483010183 +1068917332 +994593540 +1751943055 +159810011 +1567698832 +1696894470 +220234807 +980956014 +164449545 +1924452796 +884106743 +1754185120 +289820562 +538297398 +1963645645 +1176424434 +74946159 +293345697 +295464229 +1528485045 +334639147 +1409705509 +1667866554 +1485866873 +665353253 +108015949 +1203449740 +1204261074 +490845014 +1863083602 +1687271257 +1559762347 +710193495 +1291730664 +1719572358 +130408679 +841141486 +1939807165 +1111364693 +1005591032 +1716776313 +1995471437 +612292504 +2006596875 +386285187 +428454501 +1035537661 +461231346 +721800199 +1331001890 +1989716391 +1056439346 +593223751 +1510099297 +394822572 +1258577004 +1618115246 +1598272312 +315354430 +2108960260 +1313872266 +2002625687 +1521238959 +2024065761 +1146872703 +1093327670 +6990792 +1988014190 +885651187 +1118355486 +846121574 +454943853 +966343275 +1458414078 +314057080 +1352628462 +1886868580 +1349594742 +1813859808 +461185131 +533112984 +1656092551 +1517624477 +1126336736 +1018708200 +1912447049 +237430092 +489339798 +1363235713 +552784523 +450816410 +529624332 +407926562 +1972055370 +406206445 +1554799266 +917899392 +413197238 +1395329808 +1803550579 +1531552724 +93967734 +111010784 +350412351 +1552381812 +425067865 +1703040813 +1291766744 +1774662607 +1369416973 +1752951875 +160291943 +878025876 +1123092705 +1286628679 +1896734076 +888056106 +1524058772 +238590226 +103808172 +2076843295 +689406636 +633432504 +337286209 +513978358 +1039638949 +1892085475 +1431877750 +1452836187 +1139931635 +1087944682 +836905263 +1233899369 +1198955466 +1187317614 +638797534 +1624023331 +742874779 +1930564278 +1251202290 +2112291752 +1536032506 +1411494234 +842833980 +511641563 +550639265 +592084408 +1399697669 +2074698037 +830674634 +1503505841 +2004057684 +1520081271 +2136938345 +193860246 +2034059629 +1029093647 +2085945721 +1318453732 +334446186 +1078393709 +258914766 +1171351450 +164809430 +1457870232 +211185416 +803606964 +934409916 +954060196 +586687595 +38128558 +918868300 +2122720101 +1449622792 +1761702281 +486878016 +2000262058 +206303041 +1886575685 +1927476447 +1036977676 +1242597879 +1784050484 +409575299 +1232052576 +1977910730 +296151280 +113662575 +1916372803 +1614605012 +448108762 +847282864 +1873519778 +1619460212 +1012092295 +1183906363 +1830645628 +1815699259 +2118316279 +637222176 +254903206 +8961189 +1556090477 +230139659 +1458583982 +1170309110 +717017675 +1311362392 +1376612151 +456109713 +1091355191 +266106179 +1698707592 +727922027 +675681478 +783276520 +558349109 +971832759 +896939096 +327238265 +438954123 +1345047858 +1174521129 +164990254 +817024422 +39129776 +1348896617 +500186402 +1854829036 +1319729248 +1137408579 +2109732242 +1328690437 +546015408 +192388254 +639790771 +1716324518 +909405929 +1951153163 +945453021 +1365515642 +895024707 +1211559201 +916739586 +1622946734 +1887240679 +1700016107 +33812196 +711589790 +449471555 +361050461 +1150543914 +1794519413 +1535571590 +1315534168 +464060187 +1574701367 +516947137 +964246589 +1282046755 +1836676385 +2101655168 +1244295349 +1017883174 +500186928 +1436683603 +1657673946 +69027798 +198605885 +1461343461 +1014480820 +1564121527 +208884520 +78556373 +333377466 +1831831255 +1965797052 +2033393573 +1865643451 +529903195 +335381480 +79210264 +1680447109 +2129900893 +1614781854 +848497629 +446477432 +1041999573 +1365444766 +1410724021 +176562680 +1054637503 +1364895542 +1420858030 +2072520677 +1865082470 +710057985 +1582710975 +1934110269 +908663870 +896570789 +801107441 +325301750 +1105455309 +879663814 +658679216 +789802916 +697977218 +544589141 +507962719 +1227880413 +879970621 +587172983 +760843874 +862387866 +54471190 +1609341503 +1308865298 +1096470763 +827302621 +572105671 +1273033444 +1881940124 +1937001213 +546407826 +1806977154 +1654600036 +1256465811 +1242204481 +1441226657 +17646034 +2138775270 +94850450 +342947784 +1096746932 +974514264 +1001627000 +1886549848 +1672491482 +1546216141 +247028920 +752888248 +278703114 +834201903 +1513732122 +1141090980 +888673093 +975589978 +302472630 +1985143857 +1802892599 +874578301 +1110693653 +1537349076 +664095867 +1657101479 +1196842582 +171212255 +766083642 +291563415 +1612438912 +783729676 +282855038 +1707289362 +1126677460 +1379601970 +534319978 +2128304460 +1118668170 +59327812 +1527036953 +1365697090 +812216060 +1805740067 +52415346 +178464535 +799347399 +941088439 +1154054513 +1101820029 +778748648 +809463464 +1976398331 +1889442301 +199328892 +493010550 +1399060132 +1396171474 +664222805 +17660127 +1687734890 +129178069 +801389803 +1970589928 +1836467431 +1928067264 +1202708250 +223303761 +1908888076 +173892772 +282631573 +1288441382 +1539589863 +1094847634 +946697801 +1592005209 +1273312169 +1746045201 +385610000 +279883034 +700381582 +1164358649 +1089346498 +529296265 +906317302 +1288675391 +1022306815 +157893787 +537363217 +1686529620 +175553914 +77614459 +1815707689 +976943717 +2048204387 +1504691472 +757527333 +1103428989 +1727995233 +518931762 +1277321762 +2010626807 +1807373144 +669427977 +957990793 +606587297 +113949538 +83819314 +205148850 +499559538 +363702348 +905530433 +1663918187 +1453048846 +1434826698 +422751842 +594240589 +309649866 +580645629 +1131603807 +1996179486 +756199543 +1209218266 +1664403528 +1733143260 +1109939006 +1021611352 +343186946 +65884347 +602122938 +862118708 +1343206109 +465266097 +522008204 +2012634086 +1423256890 +1128595501 +2126583624 +1507076204 +1333744352 +478659515 +1870778552 +91791137 +2142577702 +1176343750 +1526617835 +417845896 +1770584340 +1836267701 +998491525 +754704499 +1684963540 +1754691068 +1963922765 +1201883420 +1340350681 +926378123 +76011124 +1683537627 +992262471 +678134062 +398172687 +187984932 +1143400159 +920180891 +53135371 +419173401 +2048776392 +32235347 +1926249605 +1235037096 +510894862 +1649544509 +1326828233 +505988917 +678404612 +705962421 +923834813 +301505304 +394746474 +1922326339 +1056209803 +2079710014 +1529533759 +872648920 +1134109786 +722400792 +1799027044 +1210120911 +258454771 +643805867 +1888254973 +656627458 +831790799 +884171485 +1576808349 +884926170 +1303344886 +1478101094 +917161518 +1082110844 +565654542 +1428056380 +584171705 +1892482776 +1934045297 +1262576317 +450961549 +710396463 +1564081621 +845708023 +485239154 +472807776 +777934390 +2014772913 +1345456697 +1912044176 +589690058 +997000093 +974681439 +848144829 +1640805960 +715452765 +1504772288 +325113111 +1599624250 +934096989 +1210039282 +755485488 +264714435 +2127200800 +1837596332 +830368978 +1407773532 +274284390 +575368106 +1194335182 +1536860707 +1026329655 +1904731645 +953458681 +1872037678 +242487151 +1426266457 +502488420 +109776416 +624239506 +267048949 +699466474 +1621239599 +1241730388 +1547611304 +1114561911 +1957183153 +904899944 +1439675023 +1409323755 +1838996933 +502230657 +17325596 +2103711369 +481947809 +1854921928 +786596699 +1889721341 +2129206318 +1361964805 +936572875 +1518583378 +240810812 +693820872 +324558411 +2112848490 +936308023 +1750824868 +467853263 +1046084440 +227580727 +734902212 +1745550914 +1848820326 +1976632600 +1145678570 +815898590 +1786332106 +2050578514 +108089965 +1048172213 +1742091800 +610320622 +1065497809 +1698319521 +1092268431 +772936090 +337432572 +834506124 +754658760 +1699397377 +1771079000 +125758490 +1940208189 +317416224 +450316901 +1905573031 +1253724248 +53658122 +225942646 +152325040 +281238849 +960844858 +1897875954 +2130059175 +789993811 +896070877 +798474117 +428842269 +799165743 +906564082 +1477014482 +393773895 +1516884704 +395028644 +2092093416 +461669487 +1167964734 +282042340 +1296175612 +1922623494 +1981439717 +919770964 +2048381985 +1774164258 +1237187188 +351215238 +1532253642 +343427788 +404873360 +1758196288 +495752828 +686112209 +571557499 +246145135 +668687737 +1361551310 +1142216012 +1467161854 +1790393579 +1941381755 +226242289 +1119924413 +187672003 +1743126993 +1514953057 +132281771 +57312833 +535434143 +414324112 +1353488445 +310573990 +248280181 +125775761 +211472327 +2022444440 +1362962949 +562687565 +1407214434 +1706390738 +967560926 +1017927074 +54659918 +1653673135 +1589484573 +300805053 +174877224 +803552235 +1443021065 +1642039079 +446462166 +1236919173 +1868281368 +1566386580 +1424591176 +1463924713 +933855989 +1556872947 +1521237546 +1469290133 +1971197059 +727242343 +1779864123 +71993593 +853018104 +1991336450 +2094438033 +68497406 +406540367 +1354168819 +1774888144 +1374101293 +224612245 +1829548062 +880290781 +1814096819 +2130353116 +1055168005 +470165406 +1425890533 +549723436 +916627573 +515326058 +270521156 +335530505 +1939917234 +1734445870 +1269386494 +1349306534 +1108199768 +591192979 +1173019945 +1835442112 +223573454 +1245013538 +540976568 +67426256 +1191967923 +609473974 +473966624 +398653094 +236878470 +1848067917 +623265340 +2066426533 +580875050 +289878511 +2049296001 +1636043056 +760043917 +1327702886 +38282844 +1676671490 +1843028945 +308804001 +2012201995 +1635462531 +2043249871 +1134104842 +837285417 +1003965991 +1725297821 +2010305363 +691924455 +1948871276 +1107835253 +1232901024 +2016297532 +152319529 +1842374998 +342780508 +550972623 +2079253469 +43364778 +1174237963 +1998196354 +624239828 +1464116474 +1900008707 +112799236 +76676744 +1080227945 +151082081 +1753348234 +775773242 +459886082 +1618066582 +263752126 +355652305 +604687776 +1101037543 +1359618296 +182501949 +963859258 +2051542752 +2131373225 +2071694512 +1136960128 +2000187110 +76530393 +831851478 +195483970 +627503016 +763621299 +238848748 +1801740980 +614334005 +863088577 +1118373806 +366859064 +975887813 +1195050550 +1447087010 +1126969894 +800915137 +75376604 +1586855976 +271498071 +339128730 +1942508281 +876185847 +1440166274 +1154642930 +1058687796 +256541884 +1058702034 +1042577374 +180752748 +48178514 +895280836 +257283141 +880029992 +1090764806 +884786158 +1643651292 +1329613555 +539043490 +110501649 +45218484 +1657417296 +477360714 +1021106297 +704984199 +1924447724 +592544 +1505899336 +1999824328 +1587448520 +1777397407 +191469411 +1382473154 +506099606 +1631635685 +389632436 +1564787402 +1888177569 +1448334470 +459881128 +2068930318 +1496512984 +1355161964 +178729811 +229059328 +298443123 +1063515969 +1872710620 +1628056678 +1602559459 +1983212270 +1673275162 +1112493108 +313089336 +546897811 +1817477307 +90053412 +547490355 +1175892995 +2089877740 +2134938876 +805806754 +133863503 +1369928382 +1311906360 +1765499188 +1759560818 +729210114 +1506193110 +1060411640 +1189091243 +1427639780 +409440976 +396769559 +1606369591 +638500304 +695212682 +522401913 +363727277 +175785712 +2124961372 +199455899 +1849060874 +1089970832 +512545235 +248475038 +759964491 +602598647 +795965393 +1935857486 +544992739 +783420621 +594180592 +678856243 +5865355 +1906086952 +296871783 +1765426173 +487813419 +1803064893 +678354165 +1676904662 +1083221025 +1087795141 +2073674221 +542106969 +1726295446 +621403256 +1064508882 +2090022723 +797188968 +1041986606 +141994974 +498766195 +2131957439 +654540209 +747241233 +744438282 +1257138856 +1543206626 +532812121 +1802131595 +179143600 +1126992713 +333504190 +185008955 +885596018 +630375974 +1950435129 +1373409437 +285957219 +481305646 +902830451 +1369178245 +1569100788 +829021024 +1911285214 +1147912586 +1450424280 +828310448 +1090451661 +100129601 +1870297054 +1232446635 +598895796 +1854770845 +1886986844 +1346137029 +451725480 +996642052 +741860007 +984537601 +651289999 +921003607 +2111530314 +984794190 +1106012563 +849642684 +1615170164 +908964044 +75568473 +1901127383 +1390269690 +978398924 +1122821980 +811886830 +1807419949 +886623546 +1959799416 +1110360581 +1714933994 +902767429 +1210490182 +1437747401 +2135214064 +1809385978 +1145034598 +1874717260 +1008039359 +1596760078 +723875664 +1749899367 +433814031 +1375165664 +523419326 +397860698 +212476206 +1629431889 +1247503382 +1827646370 +390912285 +1323071856 +1581290105 +1781181976 +153987132 +556628438 +445585158 +1961407081 +1443251984 +257900927 +924284015 +1010702331 +1160668356 +2134774197 +300966084 +1148398773 +1796676528 +1446000682 +875632385 +657232239 +895277113 +1599508050 +259647958 +1329091144 +827190066 +783067285 +1726951842 +1039666272 +265015526 +826971577 +719828994 +655927812 +2559785 +153635451 +289626140 +156546917 +710263889 +735211298 +2117953999 +6032226 +993112225 +894754366 +1016734557 +6296934 +882044915 +1317700641 +1154695707 +531237795 +616217675 +2030328092 +1188470035 +1511494788 +1482352494 +1448117993 +693102285 +162058912 +83701630 +272570479 +1201725184 +348717157 +1099542056 +1921554178 +1004644969 +1102101841 +2075189630 +1294271109 +1258648759 +637969871 +2029482407 +1229119110 +644002097 +875110985 +2123873476 +1660736654 +881407919 +858434743 +830953647 +2036103626 +1389672539 +1447171323 +1918948070 +430658926 +811182463 +1253816917 +1878776919 +1504284748 +1415875829 +1962478550 +1776855228 +470117366 +163712059 +728913636 +244187896 +1168357028 +1831015478 +171893878 +315144489 +942180589 +809863750 +197143248 +23816051 +1453865847 +1072254233 +205879 +967118854 +1953662152 +858640622 +1798072501 +1842282130 +100829513 +1097760176 +1613746553 +531488439 +1908942640 +720079822 +262781711 +1265743740 +2135955651 +77776613 +895115320 +458589369 +241488672 +1624028957 +702777266 +1409845700 +1307560787 +874671144 +1724990189 +102257728 +1684534894 +1922133437 +126073779 +990917094 +846904023 +126279658 +1958035948 +653082527 +984920280 +1608624801 +347881010 +1085749794 +558901330 +1961627563 +1617238233 +320360322 +534223737 +1880019944 +1586104062 +522695740 +1957796557 +333735735 +981285110 +51801581 +1957764692 +1684062376 +1461647281 +1117841831 +411249872 +1039153822 +1220099559 +2095784767 +813803612 +1346173338 +939218213 +1660707635 +1472452996 +749770513 +166306514 +309889628 +210911666 +514187524 +1395639422 +769812996 +328331439 +865394008 +1090173318 +862555176 +597930304 +528793733 +1385250917 +408243214 +862529468 +219052379 +460044795 +672810512 +1903114755 +1921692077 +1790652343 +166880979 +813362251 +863268254 +115182098 +1627165863 +61957944 +1054400311 +1140389850 +1534410940 +1804170824 +1306696365 +1844300568 +2015082491 +1820883889 +1092456343 +637411839 +1731681 +1957850351 +1727585158 +864286857 +408297007 +108895243 +102054126 +816540221 +971424711 +321106505 +1276585017 +1644235223 +76737612 +1050793446 +1287403918 +243618592 +1864155697 +3188524 +358800690 +1343837913 +65146468 +1413201002 +336744115 +1599557408 +1069888178 +1643440480 +1296374328 +937487021 +1316840722 +241347023 +1574898861 +1318572403 +51713726 +1155000371 +35375612 +460010734 +1263895614 +137429739 +1276550955 +87836677 +458536244 +405652324 +1732071900 +535273857 +1456445770 +871992170 +778892449 +1173117820 +875180694 +1137693139 +369472085 +940327162 +403410493 +706216200 +392400922 +1473298672 +202173033 +1688775250 +263302045 +1519013755 +1930122274 +1838200906 +690102510 +1981836000 +845717629 +725478122 +294363086 +2109613243 +862907861 +1570914042 +49966272 +1321444106 +1976566366 +1782038172 +1856717963 +1285528489 +506546694 +488126764 +311162661 +1381727388 +1625819903 +680634746 +174570902 +2029230397 +1386850946 +566971824 +1355045421 +1589023979 +108263427 +1618347466 +960554086 +2038385701 +1309064725 +1650656596 +1872738053 +7298706 +228651071 +19617492 +2116911950 +1091558932 +1590531534 +19394574 +265519390 +1419614252 +1801432747 +2122237353 +557659093 +160495793 +462880469 +868821754 +1542223182 +2088700373 +1549456500 +1716794084 +1970447122 +788823799 +136282261 +1178008895 +230364130 +244545688 +648872713 +1190918217 +135447741 +1957937438 +694091165 +2008185794 +1965236145 +922742236 +2027803286 +1934664447 +2014301169 +1470851172 +1954059021 +132336911 +742981777 +1608008120 +107090617 +1300640870 +1768503914 +569971086 +21978977 +1163243448 +511187811 +1571435477 +732553884 +334151285 +212775628 +868836145 +1512160180 +443139759 +1113381833 +13549246 +1634057976 +1248829574 +1971486684 +180665493 +1109531721 +1789239181 +1103407730 +989851359 +1576419980 +970225251 +313218884 +1382995354 +1102562162 +1056200661 +843519826 +1209652779 +209357883 +464540092 +1779623866 +231336860 +1627783540 +143328029 +1802772338 +212853777 +477479315 +2015547966 +1081689922 +1989639495 +311204077 +47588108 +2003188741 +1945262053 +1296417682 +1827191778 +2125927547 +258465755 +1468947311 +1081851629 +1248317115 +897883644 +2052076880 +1561535999 +133395350 +1007155394 +470253012 +976915176 +69324526 +679610895 +1441455269 +1848948392 +910947756 +921755161 +1992276421 +566236446 +1134608938 +322272088 +434300764 +68815213 +164427936 +745504842 +116403321 +20133029 +543283247 +1412821003 +1847324807 +521727146 +1671286759 +1168788471 +1603578775 +772120226 +2066672115 +1508172007 +186172577 +52583817 +367843754 +656425589 +1029498993 +437168280 +1336036484 +323470614 +138633024 +99500592 +1245225776 +2130909445 +665737038 +232351066 +305697886 +1100037803 +301166279 +470125822 +1845542645 +417569600 +490258851 +241342244 +1830390604 +190100011 +763069391 +1354193715 +1358888482 +219164518 +2126313941 +1278076949 +1727336526 +165002870 +1330660766 +2095180280 +821428459 +212676111 +384864912 +9981295 +536146726 +523497936 +109481888 +1781372502 +506923733 +775218926 +2013723568 +812621619 +1875256729 +167406200 +1282747441 +1573315726 +584975800 +1773006293 +1814657971 +267882756 +1963106304 +430243714 +1622076471 +1174511138 +649408232 +1600906764 +305104439 +229261110 +1765909634 +1635765205 +176957742 +439854445 +1848441316 +561822654 +449835741 +237104394 +1085320590 +559317629 +2018476896 +1592244324 +1334536555 +1884716817 +257382295 +1062309637 +2052123017 +1540129737 +488141715 +489615169 +1165652382 +155316038 +757497926 +981275038 +585559752 +232090749 +8302528 +1234967985 +1832997514 +313406967 +1464229095 +1451423500 +1949172172 +1641186838 +1891277946 +1650129840 +55525844 +193630039 +1887234235 +1140846435 +752947668 +1758227483 +585607111 +2087484223 +1495460652 +842989406 +1002310212 +1400100021 +235635495 +1490451928 +1889715191 +1401287877 +1645767966 +499729469 +235079267 +83844071 +731820218 +243381795 +1318812056 +417334084 +556788762 +635557503 +1868757585 +358477286 +129260693 +1612551883 +2008607127 +184786538 +1806181922 +1748357714 +1325632973 +411645942 +1359101549 +1911240084 +351646517 +707078554 +606745842 +1353956730 +2107178575 +842381338 +696925010 +1849410118 +96185567 +195209328 +201655939 +331264835 +279053399 +933476158 +574646630 +1597865455 +1350810242 +1131435393 +85939311 +1072084179 +1489912679 +215200004 +537152414 +1351036158 +399986542 +195850688 +951910224 +1725619515 +607496630 +163528126 +1489375951 +959143148 +870606680 +2096121794 +165616230 +830301607 +791019484 +862541240 +532228078 +887205051 +1057750568 +733884017 +1218469886 +1336803968 +1667360175 +1793116517 +787185775 +870686770 +777068262 +873125086 +1942770949 +119497293 +1088325091 +332439716 +1470533452 +1488311633 +528290404 +274960028 +1066447501 +1135787035 +438488154 +408339804 +2094930183 +1309094834 +356977950 +113062765 +2139396442 +1147997434 +975604005 +524140872 +2035202486 +2033354573 +1258024889 +1106188724 +1222674893 +777901417 +751821593 +2009860669 +1648588187 +1528889855 +735502107 +1443875488 +1648387149 +1823827198 +1776315204 +971436953 +1164655184 +157121961 +1246396981 +83619037 +1292908996 +1684885136 +491958841 +1240355531 +846496322 +848936792 +1353418296 +838409116 +1996934226 +181538653 +1362549988 +1884653064 +67409578 +473091230 +843358141 +1290084472 +1250992647 +1595179734 +1152461493 +752097186 +976585942 +1887963600 +48489026 +477489443 +1564307151 +1824804231 +1448926396 +581478687 +1981926192 +547839729 +665097724 +1127351540 +85241217 +1157056565 +220223423 +931737540 +2005993357 +1573641719 +1770146656 +1855443936 +1755180372 +985212997 +1592613352 +1822589950 +1458304227 +288487845 +965190774 +561813226 +1883667580 +2117652267 +1313910412 +712769874 +1858132220 +1362399438 +1190259317 +1274955723 +1039720021 +491702065 +1856434410 +874162565 +1039541794 +374048486 +2001514105 +1124783012 +1531105051 +74253880 +2056520552 +1389614761 +1647895599 +1679183560 +1097575049 +1255592323 +516912909 +542704753 +930698626 +1975217136 +831192599 +1895889400 +389546714 +567376531 +1866058020 +1703457126 +1280146405 +1576706592 +918372917 +322922074 +704178667 +1958092938 +814624139 +413129429 +684771856 +1854165933 +787177915 +538802313 +831465297 +170799318 +613056194 +740502201 +1560414079 +113468145 +272202114 +510505480 +1369060469 +789115023 +1053210234 +152275447 +616848512 +1884402833 +2048164847 +1006395226 +304295716 +1766739219 +562368705 +1584442121 +1195962163 +1480741622 +1907364195 +1900140830 +1291350912 +574504686 +165786611 +1976122768 +281186971 +952964526 +367441434 +1112652269 +1123763845 +980497628 +1853154470 +536694276 +1093965773 +2125356584 +1047199757 +315542594 +766987960 +2100409991 +467818041 +1383836472 +1837329176 +368499241 +242748050 +2141624892 +2135238460 +805116755 +1578583365 +1183716976 +138374729 +1338463912 +936374158 +1429725642 +1912968598 +1102160770 +1258364762 +46671921 +2055125296 +1625806196 +1159324190 +1031405493 +458820176 +864995013 +1568099770 +1552785950 +842867949 +467815879 +1868328544 +1609855909 +420742222 +188662938 +846208733 +110587750 +557162179 +1088956784 +104728994 +544916991 +1894073539 +1683312359 +1728633967 +2032448269 +874292623 +517524478 +1314690263 +639777573 +1619685248 +425571377 +686449494 +1527326896 +2051377574 +1845773685 +411248742 +362714102 +563285050 +1979348512 +1915500052 +1406152999 +299680743 +1636344949 +868525261 +720422965 +1825007887 +1714733994 +831010715 +234686418 +656207130 +935739709 +779603409 +402797022 +471568420 +360753729 +287761643 +1345861043 +878278207 +1602451906 +1985638616 +350479807 +2028023283 +524604462 +1877806703 +1931917209 +222894499 +141571797 +147147664 +786179549 +2120920309 +2062647716 +44848901 +273117404 +1551509017 +913374162 +993540369 +1229033256 +480624508 +1824551084 +1463719674 +1136831639 +612807145 +95839436 +1539628661 +1084375565 +456593165 +1827390304 +282752960 +1334871372 +1282358562 +120907928 +1685351179 +1162898197 +645512391 +1415674234 +947331759 +868406890 +1557246032 +1094479423 +1654586440 +1530682693 +1009643491 +1699435341 +1803800098 +413668861 +465325855 +649856819 +1642702117 +945950363 +326924256 +958938144 +2082782002 +939731401 +1054777580 +1474927015 +2024106967 +1511370745 +1154833671 +159376279 +698758469 +289708585 +280284208 +236626000 +1452606783 +925796599 +1652300234 +252454894 +1794203489 +1062062618 +1346934317 +1301306281 +445261664 +209094160 +853257974 +101578114 +622763021 +1318583829 +751434933 +117981491 +117050545 +1078359189 +1076919635 +52348899 +2018090591 +2131697215 +1527275915 +1894713910 +1495584312 +534625938 +2054090189 +46859133 +824334524 +186890749 +283485133 +129457659 +1112687348 +1935785367 +381912553 +759407190 +850364338 +1728846870 +2060713471 +1295626002 +1937941030 +766487798 +1397204116 +413220404 +2085071627 +1155401 +531201895 +54638524 +1079514591 +1608121530 +106987424 +950121534 +1592335097 +1634263339 +697351796 +940435761 +21405629 +603958337 +987294894 +845740153 +790849087 +1270780027 +975197812 +1903536435 +1059081746 +1357110365 +515459977 +1909446084 +938473587 +428689801 +1057588438 +728930970 +1195177599 +307308906 +1142151374 +1132765578 +308464308 +1673353269 +1187404103 +1387978899 +1133991151 +1294391527 +190616785 +578842600 +781171218 +887968581 +1519278361 +802576847 +1491926918 +359089607 +1648317001 +135292357 +1629869634 +476031165 +2038828793 +541467732 +1833141531 +406805122 +303430169 +624131470 +835494923 +1361018607 +1353062440 +2030672522 +1668327514 +347730166 +1015954453 +1976791822 +2021083435 +55874908 +1217287073 +1007590938 +1350266435 +1407903858 +1586433538 +2131437653 +148388791 +958228251 +786530852 +1640315709 +1317317858 +287364205 +1775608067 +799703844 +763395371 +1666953212 +1341171577 +449053254 +2073758334 +1644601746 +1073184724 +761769610 +858136705 +278763517 +644958484 +378980571 +626493683 +1660912937 +208288745 +500093471 +1716787845 +1425575818 +1507684409 +919570632 +685996028 +946634300 +903524637 +834384819 +1904862551 +1690055490 +327216881 +1074696762 +1977419695 +2102824948 +1874400606 +593331418 +1622294512 +1068088535 +1042384672 +1548569198 +565206633 +2115569397 +162855160 +1423343339 +246849266 +807813645 +1802323910 +873342949 +321242934 +2010612656 +1373436420 +2038030780 +1288704826 +733637182 +810117764 +1974700855 +1680271482 +1713642402 +661602026 +1437650385 +1256214244 +988818907 +364863499 +1086150291 +944160207 +91780458 +1679481710 +418971071 +1159868993 +574382734 +1967540270 +1725075627 +542468483 +2130395430 +1000935318 +789317749 +790725427 +655775580 +1662660699 +1111968362 +518904588 +888613471 +1002515494 +1807609415 +1622250653 +1812633258 +1634826622 +1155038487 +1378792012 +148945000 +445205225 +487522608 +1137763908 +810068724 +1573672900 +2081924115 +901849182 +1105670962 +353411539 +2061718176 +1680053696 +173468161 +1639310155 +75038532 +156379943 +492761825 +864356281 +947105371 +1148537405 +379533332 +2059073733 +1667441994 +1268146804 +914105579 +1327567761 +742913809 +579255189 +814910735 +1897952297 +1958047202 +963855735 +195673874 +298086162 +2101619643 +1005742598 +1871759062 +2036060111 +1907591781 +829946376 +241988002 +1821826309 +362516425 +415456163 +1313652816 +437554957 +571836106 +1806414641 +1301911238 +1518941477 +807468398 +1681444571 +1430531562 +327426744 +802107727 +197153493 +1654994505 +1545021536 +776408683 +322421592 +1295490185 +586972237 +1286277328 +1491164059 +885058399 +1240413323 +349423010 +609333814 +1128989786 +109531143 +1439280190 +1370977788 +1931357452 +1801796615 +1786433951 +1097526620 +91867924 +210786410 +756457613 +1393779163 +1729727887 +1563926011 +927740086 +1012775802 +1891352756 +1729847813 +1209929295 +1398863613 +1127385701 +1986337978 +1721285206 +275392239 +425826567 +860078886 +1766556298 +1310884967 +2100492209 +2115979308 +1920218781 +1081998348 +78026803 +1212015323 +305492488 +2009384255 +866328291 +2091926440 +959427227 +958196215 +155229202 +1715884840 +204491730 +1884957089 +1132327204 +1132231816 +750249243 +876196312 +714595981 +1960178539 +127576277 +1841981683 +1799032869 +1848861483 +2117373922 +77375789 +561456721 +1736446572 +1388260756 +514465283 +1704942233 +1160995889 +1596463631 +1782969036 +225527564 +1901956119 +1644869644 +1091855855 +1846398911 +456813223 +2050052071 +2001628113 +25214416 +107060153 +1739101555 +1157541620 +1239291970 +341867150 +2033737932 +1953887951 +154562041 +13830561 +1648385986 +1953594911 +1862692045 +1618276260 +2030970700 +276665118 +1207239185 +1271747808 +791130401 +764697770 +285260049 +240110384 +400183158 +510787613 +2142066504 +2045052802 +1602643469 +1840981767 +354382378 +1505211892 +1695126233 +379596794 +1612272045 +1286744140 +1537138414 +704080367 +1628611290 +1423392698 +510484671 +1783173332 +1437223259 +11387009 +1589284595 +1152431656 +1629663270 +1472771647 +1429096775 +689418807 +597035807 +72743528 +1454116577 +882295856 +312853913 +1854299735 +1393083469 +307436769 +1751868890 +848243290 +934888 +2106251268 +205971534 +1696061121 +338364414 +1818243580 +835321613 +1875502828 +374840299 +316449256 +1151411878 +885324970 +2099622588 +441151489 +896711980 +1541423535 +1593583146 +378891602 +866711534 +875196273 +1068310409 +1463747341 +947939801 +374943338 +198559549 +1260793714 +81759425 +1591643018 +1568230483 +1833628315 +292402661 +1569165372 +1792395935 +498374195 +1117742845 +2130760349 +169134127 +1953064459 +1858779529 +543974427 +122030067 +862707759 +1429299397 +74169007 +1303859249 +178527729 +1615592542 +749958747 +557419331 +334820428 +1625155020 +1625729740 +1798567769 +425611173 +2000673078 +1997127318 +1686404888 +2082432504 +1441286688 +1107151723 +1768577171 +1733689349 +528833447 +1413489459 +84579897 +1646576293 +1396766160 +253714024 +1452157104 +1108062042 +797688451 +1574187171 +1970769801 +79504201 +1648356178 +1127145402 +258031930 +1116465072 +1877104149 +815451262 +1451285500 +1354775521 +293697354 +1102369621 +1780386695 +146886785 +952013291 +1319307935 +81835641 +245816331 +278976010 +1850412812 +1979505681 +807809458 +1116418623 +2064085578 +306902103 +365701136 +170315954 +1759059207 +1473763178 +968004406 +1185762730 +1297049331 +1047508607 +686635260 +276711086 +1305540537 +1803100332 +6331587 +2120991799 +1106902184 +1361107109 +267205506 +61788157 +994010156 +414092291 +1013801448 +165834443 +495927932 +1259617779 +444810453 +198857096 +1091639812 +1252619911 +1315275720 +1008241742 +1559522014 +1680976856 +1178557697 +1171097573 +1007256386 +2146562103 +209376655 +156822069 +1046587062 +896011915 +433533155 +204643951 +551628599 +439864743 +178152103 +1658530783 +1800971852 +445357609 +1720318940 +647498360 +859449900 +586636740 +813332803 +1355377832 +1846254520 +1258143256 +1554234928 +790410684 +363279520 +722027000 +1798652427 +1922801534 +255520208 +829726476 +946415460 +1262776594 +828804931 +1155792115 +1419598664 +1875391993 +2051804031 +1853131819 +2080035944 +455948982 +145512914 +110704399 +2114479766 +1946484766 +556062008 +1687315058 +446499478 +1415511908 +126468151 +1259832281 +623406092 +1972722671 +370491890 +30157373 +615649707 +733771410 +752184373 +266818486 +509089296 +1007704582 +1096544962 +1455504756 +122997528 +1925349893 +463813224 +1542596192 +1653258238 +368133607 +1248244364 +1585810535 +824082589 +1393757278 +1696514934 +791078707 +1192758397 +105093295 +330910118 +1639257875 +1520605203 +457378269 +751606509 +2144011296 +282617292 +1122098399 +26685021 +898266999 +1855869809 +778869394 +1165085486 +217475457 +1786573976 +114146800 +1672980214 +1909571505 +2039496694 +2136793438 +1304684049 +1545271284 +357443397 +405444765 +983598171 +1181525986 +1799202044 +532629458 +1972604694 +844476793 +637722753 +156031164 +336251020 +10844308 +613409433 +1087857529 +7371956 +896026725 +62472280 +34056977 +1794293724 +1918342089 +812926372 +811895562 +2135817547 +452016700 +926042363 +1661314113 +214104557 +818055409 +1650623903 +1518788607 +215843045 +2008067300 +1924233372 +1199441217 +1042109638 +1575951768 +1732070675 +867230684 +272944913 +222309780 +1023261848 +609195934 +233154088 +1636671281 +1697053463 +240526045 +385214358 +1759525744 +274583022 +32024435 +1530384185 +1087509394 +843919997 +1518718084 +1539526095 +1769962360 +1032548549 +1753630652 +440534121 +535688804 +1124935611 +656377167 +396272456 +901685336 +1855818384 +1438382095 +330153456 +1440405411 +158129131 +603098370 +1662715191 +1181390980 +1212294304 +1895869279 +670578613 +761864119 +2136395324 +1055792972 +373906215 +263494699 +1087817407 +1904290401 +1351004093 +1931737404 +1275524837 +743046540 +1554216117 +160589739 +349193545 +1994750238 +696278543 +1474129156 +503643757 +1092551000 +228330844 +211978493 +383449447 +558484301 +1652383904 +541578578 +1161582671 +1167615447 +1722969558 +226393327 +916001079 +246064524 +988257446 +904912755 +1301857496 +1362163662 +1168407454 +242191255 +1118970415 +371927900 +26445011 +247011604 +1114974440 +1580661128 +407601343 +1464167985 +1427927719 +1103879887 +790813494 +1931571476 +48947239 +1019144338 +2143549970 +432396686 +1577628639 +1648450226 +973975264 +591727662 +668582026 +549461175 +818120989 +1584583105 +795525699 +1806378436 +342012212 +2097383195 +1021058450 +1510419667 +192090802 +2140028865 +1882347567 +218535813 +239556821 +849838359 +1799196942 +647158165 +166522697 +1079641013 +1751038052 +957336191 +863728841 +1799985291 +1976480529 +859795163 +84898329 +1406625521 +360761742 +1058873593 +1998353183 +1029343768 +1608334768 +668990525 +466443225 +256376819 +327885313 +808455437 +206276366 +1348943763 +171391456 +398367168 +1341488980 +2053739023 +616902982 +1581045801 +756093735 +268616276 +80720318 +922616432 +1348257289 +1831758370 +1879952623 +64502482 +1484260013 +1708949504 +924297646 +1569158342 +968091377 +1285059388 +480548288 +818960913 +166919508 +2088883056 +1487951438 +633362733 +197776228 +1815836751 +1441818170 +404052594 +1017296866 +1613209627 +802419763 +211302198 +1519465002 +1419322745 +1792347999 +128075089 +1687939021 +1873068318 +1050691521 +888712662 +1557343040 +783160496 +953215144 +894119406 +344626353 +1877512790 +315794100 +1312717730 +1015088530 +796342388 +2131678643 +1182008038 +737741797 +1472146433 +1815370771 +935518025 +1140499536 +1109705294 +1339570619 +10312754 +575431273 +2141990382 +221614952 +2094896275 +1413829479 +2013962952 +75487717 +954284852 +1739547622 +1126179238 +1842997514 +1149407014 +1909339735 +648729011 +2043526420 +106482440 +378758153 +211836873 +1419200170 +1393846684 +1008179261 +1403395166 +428371074 +1745921058 +728057951 +96258198 +533955435 +1868557488 +1205963492 +1873526055 +1878870242 +1781394765 +1868032789 +2100485195 +1728807392 +1134378621 +1966964499 +1804295109 +2088663473 +1559028473 +782990700 +1784177340 +560951839 +544846787 +285422703 +456994612 +651329227 +664180856 +668831485 +2070529397 +2058027540 +1677010746 +1326440915 +338914967 +1275448157 +2054498867 +435173165 +1809403592 +1775572707 +1641136657 +1535445999 +1506959301 +1275047774 +1255995141 +1459960848 +856371518 +242890114 +1279441699 +513182980 +184069939 +690986524 +1296173680 +1968247279 +1251938364 +1841020467 +106186334 +1708932976 +344866046 +770367191 +230280813 +267911795 +680911083 +1907291559 +1594352711 +1019826050 +1035256068 +1501367930 +1454999215 +697176013 +1129456989 +948652224 +85138364 +488932642 +76216350 +1341133505 +1948893491 +932587869 +1584023619 +1080851542 +1445770849 +1768093559 +1771838067 +594460881 +1588857190 +876292783 +287997700 +1695043525 +437742111 +632863746 +317927068 +668022924 +900775541 +998838151 +427830835 +347644604 +2018664202 +1463086904 +1849012534 +1326179769 +12779269 +830985875 +127348346 +97917633 +1319918518 +203564696 +1439051139 +1121328361 +1136152565 +875591110 +54696255 +434439766 +496201021 +1826534322 +1028900647 +2085058212 +555343457 +1316898347 +1632618089 +993085568 +1949762093 +1950545157 +1661108492 +703053987 +801899660 +2088939328 +1050698591 +673080214 +1404542584 +752227478 +1999259984 +1417321853 +1583213353 +2126608330 +1515239486 +755648223 +182689378 +806806977 +1876976584 +1318841944 +1682398088 +1931672840 +1753281710 +31115461 +1610723514 +634698710 +2116173673 +18583324 +1951597057 +1601308114 +1011668892 +1753875503 +1404369623 +525293737 +309445842 +58785636 +466749417 +1360144433 +731865850 +1871292001 +2112371911 +583642186 +1141130206 +1548101617 +562766868 +508886044 +156266192 +745456247 +1315693022 +2033242777 +2064298191 +850607462 +1817431969 +1670096253 +881722923 +1280671835 +157311315 +850412949 +1299255159 +2108908373 +304237415 +163440404 +1715300228 +1708607039 +688734141 +2024746070 +1767392675 +1155483558 +1237406855 +351774877 +879291911 +1202295119 +935417064 +2020422117 +602913088 +1498183932 +381824513 +759179280 +96156531 +1697517535 +644938409 +12971074 +400641349 +314886730 +1683067328 +1282364273 +1595558566 +1840378643 +2132777222 +747330077 +1801803368 +289530989 +910770481 +1369619948 +1998138028 +1599504622 +1246882370 +1618047055 +607504532 +336805578 +1969821933 +1486796443 +1539100697 +757755349 +1359734912 +2142013785 +108455633 +1741559426 +753709417 +204612165 +1291593313 +1398647827 +217583239 +1692234663 +1713534557 +1900650567 +827115288 +1161609475 +1593545563 +812408862 +1908939553 +1247865283 +1101939851 +672226386 +470001584 +952594232 +124247361 +1716883954 +423157639 +731751893 +2053689532 +245495924 +71064689 +1445306581 +1003251273 +1430799601 +1439836718 +1111706907 +1024875379 +46062488 +1316319072 +168985045 +1444710315 +1533902311 +1861219708 +1010761224 +1287069231 +540851348 +24887052 +733131146 +1353260210 +1933826605 +1980996429 +307716413 +458569343 +303514365 +1260310645 +582816704 +2020398320 +1683468285 +1314568598 +1926604204 +1928964209 +1385633287 +1224427138 +784731835 +668949240 +516780208 +1896438742 +1693824620 +562842696 +1065274166 +1862809665 +2007553011 +451692829 +1576545725 +870830588 +1738762060 +2117397073 +895717640 +324409558 +1323173635 +682060597 +157922340 +1630890048 +1140629940 +461436705 +743717046 +1723446645 +334351377 +279701683 +890531595 +113471934 +61182244 +128681234 +1337899072 +845914079 +797630474 +1854679280 +594869173 +343971446 +270038329 +1660143339 +59297463 +130107692 +2111836169 +1635843188 +1000938280 +1703114581 +1605756613 +1896655920 +2027524140 +781446600 +431232869 +37962832 +264853001 +1571862810 +499399537 +1008570047 +1147825807 +833750915 +1288271730 +2038357402 +947222849 +1349453974 +19554988 +137638273 +47884406 +817185462 +1992317553 +642753579 +1161156909 +114872234 +155413271 +1220454372 +244979927 +119765792 +708813913 +1245918207 +1822880373 +167086878 +995090480 +1702920865 +948533479 +1426323349 +1740883697 +1213386480 +850702511 +92799587 +74472879 +1998528318 +926550502 +1362744609 +1889402072 +1873773351 +564714935 +1908957060 +2011411624 +612599341 +578658875 +1856245529 +1255352921 +1739815784 +1971117764 +1410766192 +812786508 +68614043 +1530531984 +1521600421 +1314532250 +1205928709 +1688687300 +162139082 +761365927 +489737131 +1588462432 +354765976 +1703123611 +291681295 +447565563 +1777596490 +142725966 +1374116065 +992857451 +2032128038 +1100405768 +1557572386 +1793601451 +964333744 +22688080 +224776678 +673095626 +1278041001 +1964592462 +496729742 +541323545 +629895322 +565343785 +2071855529 +4012096 +1879876035 +1130300590 +1692699396 +2042015118 +1891666517 +34952879 +1482993902 +98948846 +1738076490 +1774675197 +546514409 +1368189332 +1917401163 +1920630475 +213563135 +1802045554 +873552595 +1771135521 +1448163357 +1837886340 +1793823601 +1672940035 +363498318 +924380954 +1490048849 +860228060 +1465704499 +2119944171 +1425571845 +1390076380 +2123956267 +1157964232 +372893323 +1669172015 +1052495702 +117076192 +1704124894 +388005956 +216025038 +1294717736 +15197506 +762539448 +515423420 +1932598669 +535686275 +728986555 +1587160575 +1409238870 +352638429 +887840284 +1099641562 +2146462030 +413296671 +1463139880 +923359337 +1903345520 +175884292 +241580188 +1875806044 +1601456137 +1631656569 +1852278663 +611936722 +2004549892 +1373967031 +1664432424 +2121626084 +930608277 +2052438381 +190167475 +77842366 +2067635887 +952706923 +593265786 +1852750908 +1488393198 +1322252342 +1292427836 +750148420 +1674890771 +32784472 +1849789983 +1673869153 +446081144 +1165446215 +449744842 +201943016 +1341330508 +691325031 +2077749060 +795302997 +175497952 +1782544076 +1407239719 +32564196 +1009027459 +924188496 +6706632 +1939635736 +829143229 +196874107 +2017478102 +749295468 +1149581030 +463260241 +454562728 +490490580 +1785512583 +1746990564 +1240639001 +1312919706 +1779775037 +942945336 +839305211 +78372533 +2108391551 +1289050054 +280315549 +1302238411 +1980375085 +210580962 +2097541409 +8389389 +1993125038 +1357297480 +40953585 +854668849 +134002328 +47660217 +646820937 +963145557 +244534325 +516815392 +1712441025 +1394115355 +980075633 +19520106 +1884605936 +618104568 +1766510670 +977761289 +1931024274 +1398802059 +1920706625 +622845837 +1477174592 +1881614528 +1911895891 +1757490142 +1036369292 +1744787328 +1968071104 +986427053 +1753176717 +1813712494 +196240885 +1794130302 +520897695 +330243214 +1841790520 +1167718632 +1293388771 +2086324845 +1684534024 +858346149 +1332956552 +517126009 +877866255 +1070078840 +1135230577 +496893277 +2047840129 +918771203 +1895695337 +1821063106 +1541617041 +1225386281 +1555193987 +1306029284 +835392775 +444079631 +903332965 +655980231 +1430506684 +509026034 +322209077 +1626747569 +155672689 +843106772 +1956990783 +1997463209 +2010825405 +1102895907 +1936304406 +1547875781 +1961242056 +1121777310 +2065001791 +691624663 +44372503 +1052748720 +1188517940 +2092212632 +1971519924 +936729629 +1765792091 +1365653317 +14632263 +1173502430 +524198953 +850025038 +1617582061 +1427531918 +1506005270 +900605097 +1936557953 +1828214347 +379869018 +2092230642 +523837472 +189376154 +1942210203 +387179229 +1292272061 +1731030961 +1935055010 +1106030469 +705324623 +1852573153 +1797655132 +749697126 +757838226 +838689424 +694426111 +581874502 +1775419054 +312734554 +1947527819 +1790051317 +1486236984 +324243124 +492592707 +956335397 +1751775043 +1998597977 +1856940494 +1540849348 +1679328677 +89325864 +1485596342 +55682501 +278702018 +1280322897 +442861730 +1570974079 +863870210 +230433092 +529520900 +1569194833 +2083006246 +179692384 +171408312 +693360824 +1018381809 +865834423 +1275235326 +646317215 +1178568977 +1075279497 +288884884 +517322313 +1399522621 +781477591 +1473657710 +1003814016 +632591921 +1183114556 +397179716 +164436950 +1272440420 +1882776058 +220119451 +1551142439 +1015615307 +662981181 +974632870 +1879485517 +893414273 +1504153771 +1301196703 +828936871 +1683846155 +1472605015 +1522297695 +554744316 +190955790 +650049373 +1201061531 +1369524767 +1725328870 +1489946415 +1886847080 +977367844 +123940359 +1213021142 +1981181860 +756532280 +248652050 +230877929 +920969230 +1521092470 +2113653987 +1141088681 +924751261 +981785647 +1804069862 +1899384132 +713787516 +550000487 +1256054255 +2014984219 +1378937359 +792416762 +1340105586 +753751406 +1347161079 +1531061376 +1403800780 +400738962 +753102495 +981646002 +1890685378 +492465927 +1959013846 +2014625737 +1705487069 +1792712059 +623674369 +1954139119 +2023589988 +1544643599 +1327747942 +1989760327 +538248632 +105015555 +824062326 +194834846 +2004399687 +1537849843 +744835333 +1112970294 +1405350414 +2123772692 +1905387057 +597972353 +730040451 +1105064488 +2129033729 +2133841231 +1505803450 +734652577 +968003585 +1249005180 +1227118504 +779533784 +1116147269 +785121926 +424762195 +1739821638 +591777397 +300868535 +1136981589 +1919525339 +143145214 +1675230221 +2024540895 +967207541 +1870065067 +1881456934 +357573736 +467416753 +846943581 +1762924150 +443705797 +604846990 +213412855 +1173746248 +1709911478 +194962937 +1160103831 +1068231280 +929615514 +2128107417 +169752813 +9250370 +760157553 +1285900082 +794372296 +1184919748 +878238073 +1386149694 +1485788283 +2015219662 +1158191385 +1628933497 +1542966236 +1035248632 +448657390 +1265547655 +769221919 +806231126 +1732964408 +1616165500 +421671629 +29186558 +73528842 +635084484 +1202932806 +1783440320 +830047421 +215552990 +704187952 +1759662935 +196176759 +873940765 +1768913306 +956334312 +12357200 +415801954 +2141254060 +890595273 +1801951648 +1479558695 +758331287 +812659386 +961008544 +153813875 +1847908018 +1409665935 +1419361531 +469646289 +68413413 +1004842291 +2085811789 +490085042 +1034028849 +11856983 +1125169527 +89478008 +1795297303 +1955216948 +305030998 +352001608 +1567396236 +501207757 +1225942373 +1188825894 +1457542069 +1238299573 +1604627848 +1451312481 +2128894846 +1259095849 +783387528 +739742486 +2071755235 +1744396072 +893556361 +1772179605 +1006578359 +165434244 +94342247 +1074991773 +1170276536 +32670388 +1565076815 +56821737 +44527372 +542762694 +146299745 +1839824675 +350495995 +451330743 +44342635 +1917892231 +952538500 +1270285009 +959234477 +262596921 +361100934 +416378677 +1713909402 +342512133 +1675474526 +349813282 +1082254619 +1599746113 +2094209355 +1975810980 +1224442071 +953304066 +2141245225 +1318784318 +2028295839 +1164038113 +1351454706 +1445889007 +1220859850 +1395982078 +1988651701 +1367159596 +1088323106 +191664048 +1818490339 +1132665741 +2109556279 +623545192 +255467102 +921307108 +886142113 +616568037 +1337685786 +452567868 +959080170 +865676664 +802381150 +2041334789 +317939130 +749106857 +1869662121 +1542381201 +1702410924 +1863423698 +713681871 +1583223115 +879978163 +2065136577 +881628474 +2100838014 +1313635008 +722796528 +1320513962 +254474466 +914460576 +991520653 +1387140207 +876533208 +1615065845 +1642607310 +1797840316 +353724311 +111691699 +988042454 +806292179 +1070771869 +1853719119 +1608673329 +964623010 +24174601 +210296539 +686801483 +1566555802 +1912707463 +402741534 +132754025 +1348446930 +1282719697 +50406954 +82591757 +1236074063 +1364041962 +805388285 +409104377 +1618516428 +1719848861 +1400625031 +858172988 +448898421 +868207228 +353296650 +99255090 +1221931539 +464988349 +1087297544 +2028223718 +1535760218 +793533015 +1489413400 +352899580 +817707616 +1699709939 +1039701063 +236779770 +1464933754 +1442442597 +369533795 +665897036 +577678647 +419940750 +748488793 +1813752710 +1783982712 +1553877078 +75373440 +1255015493 +1126242292 +1475998471 +2113188481 +1575140713 +196722051 +319001483 +1674395803 +1418653591 +783989832 +614209700 +1299393661 +172266402 +1407742715 +641323413 +525165982 +77966684 +193549704 +1564867045 +314746454 +1658483458 +859825995 +684280250 +176896847 +1437504642 +1104221000 +925385640 +1103773704 +740720064 +331779071 +1179147144 +1995735557 +1458021363 +507661967 +1961440390 +885678428 +704384019 +132958225 +412590584 +2123037610 +916948057 +1026800284 +1274947623 +1089214459 +287059351 +1916271037 +1614380441 +365026035 +2109820741 +1031763839 +679772490 +1620820552 +1891589834 +1364052740 +1797717399 +1181610828 +320790092 +575619391 +137900884 +1061510156 +907398462 +1317048029 +909762066 +217936177 +1824709996 +723718808 +1103614606 +381610367 +856677034 +1516205190 +357164329 +1773625091 +395521826 +1632111953 +715355903 +682581177 +1400899342 +182252696 +1047607213 +1363236435 +1214016535 +1727379703 +836573339 +958122721 +943948795 +486807090 +2139733549 +1264738887 +1062426482 +130150786 +178765395 +1969824944 +1447198815 +1088527461 +40277474 +1124425163 +1812246270 +1143892080 +1506035531 +521439656 +512613622 +1863199860 +147581099 +908135448 +1347828165 +862937002 +1590716625 +601243859 +1045189699 +490840190 +1964480295 +111722586 +70736245 +653569986 +1069845308 +1014685040 +1140377077 +1062095209 +131940279 +55319911 +1192245995 +310705675 +2025144855 +491961162 +1399233136 +2065422329 +1616386326 +1063995758 +1061830761 +974938209 +1585435414 +1574444383 +690654421 +1733016514 +335096183 +2038482587 +448469868 +1925812809 +492242798 +1493659567 +269169351 +309239445 +1605382154 +339905597 +962809432 +527743814 +1354590637 +2103186509 +1589839023 +1486530917 +11022772 +634601371 +1797236592 +2036167627 +1126562533 +1048986080 +1954106309 +595465211 +2112981839 +868453422 +1570403420 +1550933605 +295414158 +113574194 +1136466471 +630510341 +4573133 +1584936340 +408839502 +496815931 +931112259 +678008854 +806055377 +389010765 +1017914451 +1768864809 +916754579 +225021440 +1724567670 +359109955 +1711552357 +1735590442 +993711326 +1361305301 +1624274421 +2120273859 +262807734 +1430897082 +568255423 +228305925 +151866857 +2138658843 +1779239530 +447281015 +104749389 +768222354 +1077791356 +109322522 +205675046 +1486630859 +606138454 +1136787305 +17156065 +1412193831 +1525798071 +1035070516 +1033574992 +295069002 +1260091956 +610659014 +654178957 +824160666 +198765808 +1647890283 +37982319 +1823040229 +1620680495 +300790053 +1106453664 +41452270 +529095978 +1258320521 +32627465 +160851861 +1705601536 +137376855 +929074215 +635909244 +246699377 +1134749261 +2122540103 +852837831 +124052918 +2139696168 +117548014 +1649850989 +1027283036 +1151123006 +1944919992 +139891345 +1761782020 +451615301 +964052011 +1960547828 +2099505585 +1002034330 +1636104410 +1572702432 +1302824384 +595074426 +1614154702 +1831920362 +1853394947 +1646782167 +1992772223 +1411512835 +1784159022 +774362790 +2047422079 +2030858400 +1909112051 +2022478535 +736212583 +2033164970 +2014691055 +853760598 +1535532311 +894490444 +2004883604 +1332968655 +1034381789 +1619181977 +1784583957 +1998433800 +1432246157 +1736605894 +852984482 +920866919 +1161824678 +8325218 +1515941345 +628495732 +1840245581 +1221852644 +127794251 +1685534156 +485881831 +1911953274 +312413299 +385820263 +1795328026 +74041702 +260815150 +384056961 +2107206672 +128022557 +1237817559 +1495255336 +1022513001 +1095217516 +680740343 +2056894790 +566915845 +317840652 +1907844942 +1999162002 +2054446546 +613345777 +772545274 +1068787576 +621670995 +141002971 +1697283308 +314432928 +1362855616 +1825077560 +1999967085 +1848737447 +1589547186 +164896736 +87074062 +1237391564 +238938438 +347889212 +1621448525 +198661463 +475911770 +711782437 +1693916799 +1498424771 +1806999953 +227173494 +1407835914 +226432150 +545014147 +1168197208 +78110504 +451977045 +1781542985 +850655778 +1520764622 +255730333 +991658750 +1070564282 +570163261 +207030718 +748158194 +422646698 +2055768165 +190221732 +587543434 +2142842228 +1427613296 +826481873 +343247792 +901578174 +1025143336 +819159562 +1613360611 +571576487 +170100686 +1272876916 +798749981 +1577936600 +1499309066 +1343764128 +598650160 +1577419570 +1795741174 +232709498 +280591701 +1169022148 +488439831 +1272250451 +92102782 +1058603092 +1479281169 +840260977 +1481249791 +1387565686 +1030482709 +2068793225 +1382924266 +310612358 +747791450 +1726172059 +1212190532 +1772934786 +397847973 +678067495 +197027625 +567948659 +1950944411 +995777607 +2145885259 +1302769829 +192058087 +597051772 +732705751 +1987799261 +829761270 +1013297452 +1009337761 +1318201101 +138064255 +1101440544 +229320545 +1617345424 +1941701521 +1710570336 +857427463 +824700582 +1631879914 +92868081 +1135312940 +232187716 +1819040140 +200019824 +2005122503 +69404466 +878087319 +54666480 +637353125 +681548082 +1050444087 +635754737 +1984317911 +1242502175 +1232806509 +569540015 +1082817788 +2062567779 +1582837467 +2092155550 +1233285232 +1720901723 +1046112446 +1462605777 +1190763499 +840330319 +1025692466 +2048190962 +1665030901 +510088732 +2141059044 +652860194 +742276448 +1812615536 +852880018 +599915303 +1882020002 +1730967338 +654581784 +371889480 +265031772 +1705025871 +1007644217 +101866036 +800044398 +92967078 +671406051 +1882862187 +8051209 +106759870 +1827534089 +1241336441 +1827661593 +726162887 +556458570 +870941445 +1566493206 +1582151036 +771648759 +1084040459 +2092239768 +765224155 +1736900653 +687032569 +430356044 +442297024 +1286947872 +164892398 +25780714 +1941529656 +536781878 +290812486 +1499071880 +1544426095 +392678522 +151632630 +1637393173 +1064084573 +2034494817 +1645444382 +1170844444 +1714545258 +739297175 +851022389 +293224497 +1295755746 +1721963834 +1859717703 +730423134 +346128946 +796274515 +675179255 +1111353101 +385691520 +1362211824 +1541709145 +827988544 +501676048 +1706601544 +853769258 +295722057 +95899774 +1144581745 +1794793937 +1640325870 +1537260267 +1946426567 +1130235395 +453861193 +1833437737 +628196130 +1624705637 +1400499347 +1367493305 +328244378 +1693723845 +515765403 +2050208213 +1405957900 +1246188538 +248853511 +54748767 +1921367793 +1360206612 +440440288 +1136095969 +754432110 +1268428832 +1637772017 +313550006 +2122198091 +1933494074 +409449780 +1119296188 +1580804363 +2049775650 +509072807 +1379747283 +1032527398 +962934000 +1065701372 +1660723528 +440155989 +318717071 +880733185 +768400368 +2012440916 +1396498589 +671124933 +1270915169 +495203479 +919978444 +1325663936 +269087624 +132701408 +1766104224 +1405183593 +887133518 +887049409 +895471962 +1200683524 +861763852 +681482389 +1610133305 +1981060040 +114803104 +1512425307 +342649199 +1494550387 +397469057 +1305583200 +412768111 +2058192585 +1745739189 +731485183 +791442123 +366655909 +596442451 +40457064 +1037780842 +1867357620 +535660543 +1957759286 +1045537909 +804748167 +2090460695 +664158485 +62448112 +830110565 +1551207894 +957920074 +2030794090 +265488098 +1639402463 +1493443747 +99064490 +1754205568 +858385406 +441713690 +1101272307 +1255854464 +1747296890 +1514040419 +1166563401 +1345552431 +98041954 +1958005524 +1712208341 +694484405 +1998462588 +602505535 +414358378 +386639483 +412781174 +1459896287 +1191387650 +355758221 +2124054772 +1253835762 +1185868786 +1527779019 +64272189 +1069179228 +1793267117 +1703674652 +415139327 +1892331608 +1310396572 +1273524734 +186561650 +264185232 +381895550 +1933858540 +1778225651 +1548458951 +1131927323 +1876267605 +1358980828 +696652016 +423268362 +1209959768 +1299157552 +837626740 +1596599252 +1711938726 +150039379 +640503254 +2067696947 +126610504 +1894339017 +1106082085 +1654389523 +1958611206 +27777666 +1300172992 +1514802210 +442916993 +1045020952 +677715135 +1716441727 +1231582602 +941900367 +2098337277 +1017957494 +572642370 +1499312581 +2401170 +301426327 +710809761 +699053186 +724694689 +1920769529 +1998210738 +1562321430 +1369885133 +1562665816 +1712360809 +2010388388 +1482879115 +1838971313 +1757243757 +441477553 +1345877188 +1568371315 +469255219 +498566533 +935689877 +912172212 +1543587485 +1613405012 +481130292 +627686440 +407821731 +431983921 +1645643934 +980464101 +1931296502 +1648045104 +1281890428 +494622615 +199614643 +2006585118 +267908497 +50341733 +1421422900 +1637793630 +1613007550 +986300061 +1500698370 +948403017 +677787727 +1110458479 +1389880570 +2023664915 +531346146 +1859135789 +374747800 +1467036024 +623824354 +1918335286 +932957388 +1104954646 +398538078 +1340779120 +1536938567 +2044182012 +173759573 +1320751422 +1544743469 +1455650002 +1815374037 +1744358112 +1314751472 +2083282534 +1794699845 +588690724 +1573592517 +1260223747 +1574990785 +926807239 +61143117 +105294864 +2037265719 +1451023687 +2128959780 +421128217 +1162675829 +356223932 +1888164241 +1786500183 +127075570 +673637982 +743971181 +525613648 +2014417102 +133426100 +422312013 +40693027 +1454177522 +1967055482 +1496343029 +1122067912 +1563929946 +663610853 +1057866798 +1211146143 +1252301577 +483975667 +323886243 +679808715 +1410782907 +385029360 +785103579 +1300564978 +1836053047 +766579711 +1721693195 +851245228 +1122803644 +1462373789 +490261763 +1249879214 +2136011771 +1234232944 +1775492863 +2002945225 +1367659045 +50321228 +2043638252 +674352919 +2017376710 +1392497634 +1796420831 +1433823008 +2056108487 +706803982 +497485503 +1160926417 +1190779649 +821371746 +1840735132 +454078908 +1206401106 +478355063 +1754643886 +894970506 +1244934775 +1328853434 +1746215734 +220254771 +643743575 +88993850 +1470133985 +632271698 +1323226794 +1098143200 +487733275 +543402191 +1148464428 +383887879 +1217755111 +1018357490 +1776385513 +866692294 +304696850 +1685010353 +1573496276 +802182354 +698453122 +616792278 +1623554100 +391704606 +1070871186 +682471559 +870059669 +678031425 +1577442065 +2114994444 +2006884859 +1176174151 +187765567 +503144786 +1265168001 +1657899553 +1135416484 +440911148 +608559105 +1623149759 +984313339 +1757023534 +2007037638 +54584802 +627897376 +1635939504 +921277097 +932594227 +1173466209 +347289725 +1734776581 +1871919331 +964082003 +1210847033 +116140289 +2034953190 +1893318592 +986199958 +565500967 +1323277009 +953710755 +424902178 +351967513 +1141476322 +928046964 +1617135514 +651892227 +2063463448 +2058046662 +1260451333 +1539129559 +894876354 +869991219 +1398683549 +949461156 +1497888595 +887139405 +1870738253 +282999174 +2060605614 +70544331 +2017775755 +1785041297 +1034626334 +1081139141 +1901181586 +922095876 +826974085 +739897897 +1487596843 +2767447 +1693608652 +1912499021 +354734960 +687601326 +693062337 +1971870474 +1339493554 +609042137 +1882433489 +452461239 +688048 +629826195 +1322452458 +1399371598 +1579287351 +672857405 +139027355 +1302541957 +955856580 +52149322 +1373086288 +826148687 +1837190619 +260228974 +1907287828 +1590888558 +1182324851 +586778266 +183302807 +522438046 +589545713 +1876911459 +287453420 +944280673 +417029137 +980515757 +768667499 +1756522691 +1589557895 +503617340 +61500282 +1590245943 +1133443535 +1383952740 +842133893 +565247239 +2056810146 +981161249 +1867789196 +865183078 +1033310571 +1093391836 +1691331765 +723017542 +1353620810 +1451135946 +166422452 +388462013 +2037914212 +349725259 +910900060 +479976277 +79153070 +1198353480 +1424256950 +496182208 +31385589 +45440801 +105221251 +1620943484 +549058142 +166721534 +1063705780 +1682501677 +1550674274 +1905839673 +100265268 +1460000772 +739517274 +1968054464 +177700202 +1772827845 +913962652 +1869031968 +348361740 +120099815 +1172684266 +514784192 +508561828 +1063114830 +864509452 +1419461888 +1543091107 +943662522 +470331720 +819864409 +1439844730 +501717310 +865305210 +1545065982 +2122660794 +1414363352 +1711787516 +1038882926 +949381382 +1114978142 +797238952 +1049646650 +427495267 +1536756226 +870217467 +605195469 +1162100424 +1784180119 +326743789 +1510462164 +1904279934 +1499428055 +2025246356 +265358115 +415059237 +742272160 +1684820003 +1958150344 +1685934683 +7668076 +630531105 +978295765 +509385386 +1495836316 +375878099 +484562532 +762716020 +2087665615 +1523445459 +1712097402 +1055160110 +173200763 +614260405 +1482655377 +1709956989 +1484477872 +2087850846 +724573765 +1121174343 +267110988 +87552281 +877970630 +1766539043 +2112798638 +1143328745 +34114633 +707587150 +680665100 +1992264977 +246038185 +688333176 +475312435 +1224333951 +1197718562 +1971148751 +1600212050 +1682281095 +586381123 +1540394018 +1058242906 +150994878 +448070480 +1231443669 +765255283 +1930725857 +793917010 +102249507 +1871093055 +1518490776 +1223423850 +2138204043 +1606043057 +2101394480 +1757259439 +1571358047 +1097239577 +1791374072 +131461550 +1777904678 +1636155401 +377499735 +318754206 +2111467836 +1601833686 +1516472769 +1935132939 +1054562089 +1051270216 +374030415 +447472459 +2109513122 +525025293 +895542939 +1193473143 +1290280576 +678785148 +1987390153 +1392530083 +402394555 +1358397281 +468470285 +393114951 +816956691 +422381118 +2890742 +240831090 +1519620695 +1794264814 +372292640 +1150041725 +1282936567 +749792376 +1468795932 +1246920756 +204142414 +837785053 +1034570047 +1258704503 +1889055269 +1408600462 +1706176962 +1851084743 +1933625755 +454236253 +897074238 +1076422683 +1133021401 +736980743 +321469118 +1535415957 +2095378025 +789939404 +1928530908 +764851068 +1212320522 +1931421650 +1005682158 +584457569 +1578202816 +1377974799 +1734499295 +713655735 +2127767175 +1055811579 +1960576491 +184425941 +1893596632 +847662891 +1443130445 +1635168253 +108779705 +1001823759 +1338769348 +2042405461 +1456060013 +88359938 +971344496 +441597766 +825340681 +1292813615 +1977013723 +773235058 +2082753019 +1758060983 +1538086126 +1147589893 +1541998985 +396284637 +1732047462 +972718153 +1774259436 +1319063109 +1686373889 +1754542963 +227391040 +1499466732 +1938968904 +2120987672 +199645975 +1234615701 +1608672277 +308425681 +88955813 +799957977 +203347494 +1545015826 +888317915 +1174691990 +1986613592 +1713658597 +320021957 +1816143668 +339410007 +255291328 +1426721003 +1877496134 +1402881221 +821236341 +126297123 +987445036 +1793954494 +1900556559 +159024497 +1332844735 +1507615874 +386415538 +684827820 +1299101130 +359919562 +884473795 +386233184 +1968591840 +1192899476 +475188997 +621066169 +1396246970 +2020204823 +1509384085 +423455313 +1859334767 +1075559034 +743477270 +1527994787 +1414969041 +998768599 +807232143 +1144981527 +254166172 +1628468484 +1271278650 +1241611208 +1274939330 +1024351561 +1400635706 +460300418 +384483787 +1787051244 +1145128238 +1683584918 +2146970806 +2029602033 +2069818102 +1968078998 +1075017862 +397523451 +441661520 +323781184 +270244626 +1951045605 +747236497 +2129579393 +879120991 +1490713768 +1510090533 +146606384 +341998719 +169839028 +1291587912 +596164891 +1798307512 +415382914 +1837776100 +925763194 +1439734476 +1090928158 +1386063612 +1824218263 +730495754 +383708202 +1360319533 +729982912 +265826588 +1282653987 +550578263 +1340844450 +1680177438 +992239783 +1664625634 +1950422064 +795801740 +264378484 +1932517810 +1674922731 +1755092252 +1295124695 +1821529115 +2097090971 +1464963723 +965633379 +545772214 +1115787587 +1381016294 +236064666 +2041550781 +673267122 +1326992824 +1280130746 +350001737 +2057488578 +1663838948 +1710321271 +639987843 +1929665536 +845491610 +1190566106 +1123026338 +378185401 +35322241 +640168325 +181123817 +831123981 +904546809 +2113641627 +358563064 +512155413 +1261282674 +32608531 +461762736 +578762749 +998241911 +1007534950 +1694550336 +231774557 +1243599617 +1588617470 +905041679 +423108793 +721264568 +1255043416 +333113724 +237619868 +817881039 +973101567 +19801757 +1663372650 +16184025 +1142828095 +2041558051 +51506266 +1782996420 +75198220 +882630247 +540059581 +41356200 +1241193311 +1052214994 +1302638874 +1273801842 +1513977730 +1881401624 +124560105 +374029033 +1428468312 +356334662 +1617628650 +869602134 +1261376341 +2040737443 +1590866702 +368936110 +226367519 +1828486571 +1186817149 +1199469086 +1848288328 +702706151 +1215653111 +843632775 +596780554 +1267159377 +479145548 +671978775 +2305976 +1019205129 +713334975 +1243499287 +2071420124 +2015973849 +369817482 +1437914206 +1749891825 +494377587 +1811943239 +1030876490 +850712250 +1282088241 +1900478624 +2112088591 +1175342037 +1343861679 +333541053 +1401709556 +1024864602 +1520358203 +453694995 +725669282 +75580706 +1669348106 +1569302057 +672361261 +789023836 +2048447605 +1344340036 +791329812 +920169087 +2057675011 +2034829100 +844105563 +1926165212 +257162934 +134536121 +1528573390 +751540521 +1946479361 +411966232 +1602252771 +1081083954 +164961208 +1566857715 +108942343 +1508822887 +1900398768 +1510651900 +386203841 +1273273323 +1964346895 +1111873123 +1348854030 +1486211353 +533691533 +2021215291 +127751541 +434655490 +1218071679 +919081354 +1354824577 +1128263042 +806426806 +51446492 +906944606 +1063589740 +185982614 +288034348 +1815130261 +2132461975 +700000580 +1269899385 +1066062281 +864961789 +689273452 +1175004625 +226301028 +442188572 +538172877 +612504870 +1715461896 +355036124 +1724377993 +916832278 +1841247477 +110585878 +790563921 +1968999019 +545241369 +2008635600 +740596725 +1900065946 +989414994 +1547023531 +1951512439 +1896359600 +463129623 +2137495053 +36910301 +130776236 +2122473380 +736910881 +1400675621 +1041052013 +1601872670 +2089949073 +68572990 +1828173699 +384653998 +606745867 +293194921 +2100115894 +961781991 +2017572914 +869464524 +655545821 +2128158793 +1660028445 +477061192 +525916514 +1521180397 +1217657917 +278498812 +363111743 +617197800 +82527603 +111987695 +1080327423 +72539008 +148897996 +1211103659 +47528740 +885808878 +464295633 +1088580754 +340197900 +406761058 +1157153744 +20887951 +791415056 +1763899612 +314082872 +744047302 +578197955 +184172139 +1613511826 +1233743776 +164847284 +1126056623 +1710804968 +690763798 +499753372 +780979237 +969262610 +862865115 +1398177037 +1051790214 +974852811 +331020812 +1124329222 +1123750807 +1542124472 +1171857963 +2009559685 +2006420105 +112955069 +202273938 +265697515 +1270108813 +223161889 +1057112572 +886524777 +537244762 +1801159874 +1464722733 +721416901 +1267188053 +550982861 +886264185 +245761028 +114304182 +1577027983 +745514401 +895283419 +398806945 +1608379516 +145976809 +1450597159 +435748679 +476997621 +427442734 +1559499487 +2019122093 +1599300697 +1421575524 +1878058550 +1712255766 +1623849462 +2143756066 +834880931 +1847011352 +1053384990 +1721405709 +236772466 +707061216 +1038644794 +958189367 +1974249269 +1589627655 +1844453552 +72526650 +1703931837 +1273997887 +818041051 +451731609 +1672804832 +278936919 +597708418 +975918344 +714685599 +1074706039 +1403361078 +126701438 +946344485 +855178127 +1548276962 +676919387 +419950245 +1024642777 +673191805 +1254831176 +724170481 +1726576795 +828753237 +960942947 +286154364 +1867398031 +1919132314 +112919985 +1309542039 +1616102218 +185446635 +865990228 +742616457 +1003487686 +1317721837 +267937641 +1282424606 +1915430255 +1243855985 +1997110205 +842652647 +499733415 +2123811643 +1788997132 +1354911542 +1524604957 +318432871 +1774861787 +401764086 +991624677 +882209316 +1125934567 +570717824 +1710962553 +2086877514 +856872188 +1430876937 +1858526180 +969792174 +592935328 +1327144750 +1155238809 +1458925556 +2069761207 +11242848 +629163746 +190215201 +1293667454 +397110353 +1434071186 +1143294011 +1239763000 +1933804602 +1119622006 +881276484 +1141232496 +496743315 +1199709356 +768610636 +898507402 +43850385 +1650819952 +2024441969 +614568209 +1214298857 +1963835836 +1471440398 +497692146 +1674878368 +293748924 +1090627474 +854539471 +1448987733 +402069383 +776817030 +1460230581 +1031233129 +967032231 +606414387 +1428343482 +253619770 +1749708398 +520622835 +39940724 +721846756 +1401899319 +1181173220 +1218590072 +454125027 +1949783856 +2117097474 +497975412 +1453120160 +1994055795 +1112543622 +519935370 +1810407983 +436500372 +1017627516 +1337802704 +730249296 +2108254991 +44858527 +31753381 +362840726 +821675557 +1491983963 +1394073855 +1788707789 +2098398350 +674933689 +2042327559 +1700623101 +1195556524 +2082268283 +274986209 +449972196 +1115957855 +1493576281 +904097223 +918258064 +1463190107 +1402072636 +223894576 +1309762255 +367132610 +743829946 +972686590 +803632982 +1761457463 +163005646 +1533882278 +1722228806 +207864173 +1565635659 +2085069532 +1029539731 +910135974 +1331659739 +670763872 +861050677 +2006593428 +565607783 +414190130 +1054666305 +500392418 +689176339 +1504638501 +1616350273 +35268973 +261252076 +387124689 +1498459080 +1663324712 +611019266 +660737687 +2030457322 +1354849212 +1633424278 +686606656 +968823027 +1796429924 +73005286 +543568185 +2004294098 +1638640946 +481154069 +886350181 +401293272 +1812813808 +1557114053 +1262343949 +1671923589 +2122721836 +1676534079 +579106246 +475630606 +218226771 +2083744747 +2091980879 +253495744 +197513175 +331621921 +1751954824 +1860837888 +942641187 +265208864 +1743811562 +150006751 +1898633142 +282934571 +1118829779 +1547579418 +355939857 +1662397964 +1404389868 +1994580803 +2143552034 +143256401 +248390428 +1808882194 +1700370454 +1510734377 +1333322135 +1675608642 +1039784809 +1912428381 +3755600 +1258011580 +1848689480 +2095736480 +1511507324 +2046202656 +279874753 +1115978500 +1759556896 +1222515940 +1381187364 +1355884810 +1372522691 +1132336858 +1638819381 +343868822 +532432629 +1994759239 +2006266787 +1936822497 +1841856394 +2002335173 +2080078899 +2090246822 +1663733719 +1632965705 +1453497552 +849572207 +1161090700 +345798713 +614516940 +1164846300 +1603810293 +315722773 +1113099132 +967833969 +214441781 +1392973885 +2083812469 +1973998677 +468006177 +1317516186 +1182399839 +1840528869 +302369396 +673735573 +36914043 +834802025 +521011164 +2043180830 +624140875 +215383910 +1898032355 +556736126 +158147085 +1414282427 +42218183 +1611644637 +116370986 +1203308883 +1957443350 +730887926 +220671536 +1413769995 +1046610699 +1333770668 +234120316 +1261052480 +579260906 +170449137 +1087567509 +1047267083 +1487965323 +122483701 +740312304 +1790334720 +796219274 +777226348 +477653097 +1317230438 +672923530 +1101793972 +1532614348 +423472238 +1658530098 +1690761433 +1837754665 +1700748282 +1154922422 +1954125651 +756573517 +964882124 +537529929 +977245053 +231168471 +1584140629 +163532074 +465288787 +697709461 +742792980 +635737925 +1785276971 +1790060063 +2123703248 +1907760672 +382888720 +1766554320 +556496298 +1160115068 +96723770 +1873726736 +1833038598 +1198517742 +1258857436 +109027188 +709564193 +802135222 +1946781853 +262828827 +1957057644 +1753423856 +1019402344 +774456121 +143470138 +1996647398 +1005624592 +1727610767 +12695824 +1470913380 +277836580 +755488804 +2106651305 +2063113551 +398065219 +2082870905 +1823390575 +780953939 +1701941578 +232403225 +1941069007 +1798665348 +2106129961 +1626623958 +849699442 +1217503750 +1735651146 +1559263635 +2019638972 +1534949352 +1822092462 +1829212968 +1140889560 +694011159 +456185441 +1284359698 +543174909 +1461810034 +864486817 +555870733 +785239766 +1142323398 +1311359537 +744407423 +1057953301 +1709424756 +679794680 +733860229 +342895048 +234252610 +966263454 +136480407 +2032917958 +924909768 +1763104365 +735133753 +2142413518 +1351271864 +146913740 +2014568842 +738737568 +1969006203 +1696298162 +1879627128 +515533714 +4999956 +1016503179 +1058708623 +1466809990 +1880989996 +1614579356 +104566108 +875829746 +778455245 +848973531 +1933783048 +340396353 +1528768211 +520159629 +683291401 +1763020822 +1486423083 +819771809 +1648455132 +263849203 +435392526 +236105237 +258779073 +1786664390 +383018978 +125864267 +377918310 +204541533 +1822162430 +110061791 +720075247 +1827162386 +1126564970 +1778783870 +1146488728 +860071318 +1245879578 +1251054836 +1735901065 +2024334823 +2100028367 +1522200465 +217247528 +1481312930 +2042360094 +900538930 +1096850104 +1381299529 +1720310739 +597821589 +1645148733 +8219617 +833926826 +1903927806 +1794884008 +1216945804 +2029792074 +25318670 +1421487337 +1704470856 +135380461 +2141562584 +1384149594 +1261945431 +1772862806 +383154674 +2122016750 +871258736 +1634209510 +1710434167 +748109911 +1586754229 +1085150984 +965357440 +920583511 +980027430 +1865896370 +2017433616 +213843311 +1438723461 +467771557 +1858992044 +1446943078 +1301698383 +1615436203 +1094343438 +371160540 +1497744629 +1119662109 +1792647877 +1054731837 +1255042570 +1786726814 +291397783 +369504354 +1412105972 +674552457 +344037456 +135881061 +161278319 +2054471623 +883990972 +1748032548 +992138959 +1849348412 +521132411 +1972166389 +1567761134 +391082379 +38526052 +859000947 +858853936 +1897518097 +158460378 +13068672 +1365470652 +1252803816 +384229212 +715731633 +224982277 +29393441 +1770463470 +1480024848 +1816120255 +2061861253 +1849529202 +1080742580 +588930062 +46083010 +1216623641 +750208381 +2100554633 +2100614613 +350757281 +945209944 +1802479378 +871889692 +769892685 +1222756864 +1262972072 +808418737 +2081757812 +2121826008 +558453186 +92734542 +2134894680 +1923923838 +1345538358 +371640244 +492171823 +1570520636 +401033686 +115151645 +903061836 +69670293 +29529250 +605107390 +1150412873 +618459312 +651190400 +219552866 +1368667693 +604261385 +172683832 +1719424974 +1549471329 +1975163210 +443831019 +171880366 +1050436426 +1706803091 +980299103 +984710590 +1681145451 +1538752290 +1077445132 +1668556484 +1315192480 +275499843 +2040196728 +1807364304 +1846020479 +293746766 +1922515949 +601598667 +363417060 +1952045200 +1206706057 +1513829933 +423020864 +1857896457 +1733382800 +1791688558 +314674194 +1906066632 +1363629884 +1864145523 +1733746194 +1807460903 +2036025889 +636698972 +1366780346 +868841344 +1621409563 +900442150 +260109986 +551371047 +421514986 +1575302467 +826870890 +314228066 +1235183123 +525407721 +607974833 +1010215424 +1127006388 +971391893 +814776976 +186228797 +337738178 +1237797841 +2044125254 +2071120978 +882002751 +211315800 +1829703962 +98148987 +2075461323 +1415966508 +1905609891 +1964003564 +2052665481 +1124906589 +685361261 +1526591396 +2025348739 +945471247 +2077962443 +299380077 +373290066 +757349686 +613608144 +1608473189 +1282757407 +1221582977 +471204966 +262280148 +45491222 +1285981942 +448508945 +383229400 +376296135 +345150552 +306866731 +1258298886 +556466352 +2136570693 +1356447874 +484444028 +1405053554 +1114574117 +300963944 +1310235387 +91997058 +986325205 +689343135 +2117345798 +1931796453 +619821930 +269242227 +157602871 +1377171616 +882850371 +1766076061 +512445376 +2104433348 +89797379 +774725524 +2440922 +1375779321 +1223234469 +385670323 +1752075457 +1568385021 +692537054 +862890695 +2124851374 +681624099 +71854921 +461811754 +2086677653 +1186429038 +762775698 +1249429392 +1278426097 +1749100904 +1938772527 +1248288247 +1533413709 +411110810 +1517530474 +1691016580 +1788282426 +252897198 +1309608993 +153244154 +209846898 +1399406372 +927969678 +212287821 +627702046 +3720500 +597958144 +232293855 +1572105521 +1290495198 +1095184550 +1549473247 +1972119297 +1167039472 +2011285001 +1911313303 +205984862 +626577052 +1013259047 +1484410959 +228194308 +804547927 +585215558 +1761608017 +1215658737 +2102746033 +1305140949 +856457515 +208159583 +467266295 +1009701670 +418006481 +1866672667 +1937671348 +630294302 +346891065 +1941391848 +1228252446 +579184920 +1366013722 +371263996 +1674369471 +768003321 +195899646 +693925295 +631804675 +2107212949 +899910157 +1258381727 +972988348 +236837469 +1486576035 +1777536275 +822053027 +1100700404 +845711364 +777315412 +258357705 +1702168880 +985474995 +725624000 +564386902 +1403481477 +444813020 +354574602 +2033775779 +791704085 +148482803 +1114544578 +1370889006 +1514496525 +1485808574 +897774829 +135016198 +1681708220 +1591700124 +766820873 +1641437521 +344126633 +2025202600 +466942222 +580964102 +1364294987 +96994849 +1403017130 +317511743 +942706214 +32848894 +575869449 +497391446 +1018323890 +1301493449 +1061778348 +274321719 +1746306469 +1416352950 +160613850 +390526907 +1564835753 +1275158428 +1761415913 +931848630 +613483355 +511707094 +1066864829 +147707927 +2103407218 +1833685702 +1789145449 +300050203 +1711404655 +108604023 +881014306 +928215994 +205598872 +136547788 +1245727738 +1148305086 +169396682 +1821597187 +1645696532 +1187720572 +975606988 +559991232 +1462042291 +574429810 +1976344183 +1622656142 +964956717 +1393696288 +750330922 +578888982 +178061271 +1363814277 +1090596076 +1244926100 +1511522205 +1046519646 +931128154 +1153184006 +1346569849 +495049161 +1261788029 +80100507 +1423265156 +1467386901 +216648295 +521509246 +468208340 +386044978 +195622785 +2113904872 +1573765550 +1171229773 +526412457 +888324194 +1745659583 +355272992 +363496688 +563132652 +1748969280 +1113827610 +1142021634 +1927030551 +330158240 +85134062 +1024473003 +1841680445 +1131653708 +1955601158 +847380803 +330739910 +303166671 +2109168832 +410840417 +1726431827 +1429072085 +627488713 +100457425 +1897280425 +1013533691 +296080210 +1863701650 +439815593 +1467309984 +242630459 +1328139787 +1065485919 +597903451 +1691636475 +1628618572 +199389083 +657980438 +623156558 +2126419635 +988138678 +708290621 +1003408990 +682335475 +1839944329 +811526500 +1529716278 +23200591 +1114693172 +1491401462 +434041009 +693641351 +772989899 +1061529722 +794098777 +522786677 +2075063413 +1090178987 +239004679 +367395358 +410005323 +481635138 +1695535146 +1475491243 +1079538589 +1239687973 +956626167 +1278927672 +1897668411 +1579782725 +1257863659 +738323441 +140589698 +113789002 +1420658916 +1980534028 +925315502 +802891546 +2003734619 +2040008674 +146809360 +290291980 +586166378 +919799260 +1351821702 +1380265155 +1442585937 +1279401467 +322960494 +1681590616 +1646796826 +732965818 +15742106 +1194848324 +60973413 +1095280695 +287052649 +1017599580 +226724719 +37237413 +449898657 +1484588379 +775560854 +590488356 +1598377381 +48736123 +423538736 +376209235 +851627669 +279789707 +268734262 +998437030 +570081688 +854900640 +1918236290 +1921903390 +87682147 +1213338579 +1053821210 +410642641 +747445547 +553134388 +1143608459 +763187653 +1747982712 +1204581872 +1858468348 +2035035361 +74697804 +2085193067 +2072272774 +524596462 +1422297798 +700349981 +1115084818 +873191531 +749086104 +1538623554 +1249400767 +1600713773 +1818413261 +1518135029 +451667155 +241011301 +225552021 +222419797 +15431044 +313234168 +1435758376 +1069252254 +723876809 +35720275 +1622386642 +1867485269 +798907928 +1222885706 +924583493 +509892628 +1110437419 +999281298 +447602048 +1035226546 +1523877760 +1869899846 +1735576527 +491478930 +595607730 +337178983 +2030102484 +1845008497 +1937892756 +1701032097 +1215659878 +242076264 +1942043399 +1441211899 +464496061 +1957474443 +1754446067 +1900254438 +879243049 +330839228 +1935974713 +354146043 +50840849 +587398994 +1577031749 +975424343 +1097291622 +539985520 +1974705641 +1544893670 +1575212066 +1351099753 +1267309869 +1163304945 +1842578683 +1862917599 +1500483928 +1725197519 +1560442448 +1290893037 +1278745968 +628618678 +1532969301 +1073305719 +2069830577 +1997465362 +883296514 +1676792996 +1750236152 +1762539563 +2007632224 +1538727218 +2116685606 +2058473074 +2126126212 +1546233707 +886413769 +1075934186 +2086219228 +713635762 +473344209 +1513947646 +2064735515 +1740654078 +529768944 +1759830550 +1456088029 +2030252872 +1337544421 +869046829 +1173662261 +468806741 +1497665507 +559147914 +1542112461 +1420012436 +409129629 +277925327 +949321784 +11882133 +2040464891 +809470360 +1550609351 +2009666849 +720459786 +1529251915 +1408416909 +1606873555 +457702454 +1347152489 +173025669 +931046663 +713616487 +90277536 +524217093 +1243385431 +1850108086 +1980305122 +1126154656 +1040168859 +701868303 +152333269 +1508975601 +52050162 +711481184 +903604414 +1472062598 +1120610813 +1181529741 +273900734 +1132492946 +1074510984 +1083371094 +535618650 +936694186 +1803830881 +2064870565 +197627447 +1263220788 +375089371 +1544779936 +1436246458 +1306136034 +110912775 +1526523994 +1830353127 +1354298207 +1229148433 +1663174601 +332969215 +121833644 +217559256 +485302484 +1630809245 +269609418 +1196783668 +386930011 +1741672016 +169910833 +1568459753 +2015572750 +1302403780 +495487089 +951460197 +1838022430 +1432181275 +607807430 +1755409347 +1629808722 +1871028218 +2130498719 +1027105010 +1159791028 +1289151105 +1138017786 +538831375 +972020585 +344832345 +1767979808 +487711538 +677801560 +1889813452 +705270795 +1163104044 +1373139050 +974880213 +212404065 +1760069061 +569068582 +382314898 +1181045166 +437157684 +1684718678 +1676532256 +1388617881 +1375257460 +961229883 +1996425311 +983183160 +443554958 +1719969882 +966198231 +1470659968 +732277262 +107865688 +461194106 +1271108637 +1079886273 +806026451 +891604797 +1567597812 +1483828011 +633934602 +125384959 +499448408 +2007073652 +1100265172 +711852473 +1619659065 +1669333754 +1094167371 +653220584 +2106491439 +631402402 +182269192 +1347625672 +2006659862 +1143499075 +1196567336 +842359374 +1587054033 +769053570 +1808557605 +910230354 +1501330832 +1916423294 +1371424460 +624955822 +848825919 +29967264 +1516560619 +268940083 +1513795275 +3011573 +394325042 +2013243683 +2010085225 +1494590215 +577612508 +1482260643 +1016440321 +1671779880 +2135481227 +975448112 +155698634 +170266771 +175590137 +14874848 +1313765846 +1372157473 +857234223 +753336232 +2141211043 +518308180 +1663566586 +1495058227 +287247826 +887507398 +2120014049 +1136073746 +917474662 +1489091021 +1405013829 +283786290 +1492102594 +1799338872 +149546325 +1354704172 +1146445439 +727158834 +689481167 +15402112 +251455066 +677478746 +990850225 +407153700 +847745517 +1166440362 +422028548 +14027715 +391114187 +1279262771 +767363947 +384841582 +1797570952 +283446885 +1879899809 +2084818778 +1170954284 +1852430211 +1073408876 +2088428946 +1194037584 +330939058 +224731588 +538656530 +2130277930 +374277914 +1893360702 +1129239721 +1101436748 +435358221 +1144641833 +1352891814 +1112836967 +2135492058 +1760045514 +1960582484 +1154448772 +34590414 +1974610200 +1545562959 +1313853186 +594490499 +1930404541 +963940490 +877937385 +1662820703 +901275620 +2048891669 +1367767266 +1974684497 +1989836967 +414321202 +158139907 +67084908 +952977732 +140934189 +441362822 +698854787 +1270173910 +1542799570 +1134213008 +267332095 +748207736 +99566328 +255340506 +360769602 +2060148812 +1409789278 +395360016 +1887275364 +807868590 +1709213202 +334282216 +590789483 +525670044 +1212219601 +106126538 +1426945665 +1113627622 +1473893804 +1254146514 +955980941 +1888215006 +1412286421 +1023065849 +693709091 +1553220610 +1464428671 +1392563878 +675910872 +859744593 +379293238 +943242967 +1607952329 +478859566 +1198583473 +1968721931 +391524731 +460889104 +216598300 +131316447 +1268757694 +1925811502 +465598663 +1859547177 +303997899 +1677818264 +1965673716 +1730943564 +643962238 +1292083872 +837606430 +1599943180 +1032815231 +102409203 +475525381 +1726524322 +1655629813 +1939954053 +971604552 +184057037 +652214998 +1350897790 +1127300004 +112683680 +1829757357 +178399830 +2081405611 +73798440 +639288934 +150520263 +205114887 +1908046628 +2076331766 +670713551 +1620110157 +232846017 +201048167 +1438300225 +1963789581 +845010406 +582900450 +653912363 +297469938 +1615715681 +756321566 +772995319 +1194756355 +264467731 +565465724 +18877259 +448524768 +1217680723 +1369775049 +1575824772 +1330364403 +1052048758 +1754224602 +1264286366 +1125847198 +246029888 +1414806630 +1330962086 +6592868 +1343654748 +2001675637 +1626703026 +1576500765 +55240156 +917519603 +1392806698 +900250562 +1500420053 +2046719061 +1197720500 +968652086 +655556979 +1970715820 +15924793 +920024710 +388697896 +34802052 +1368549478 +1606378619 +1404577102 +796890602 +789259374 +309142212 +403631557 +2053545741 +1434989411 +649661445 +1320868723 +618467849 +656254314 +517039823 +472659838 +135473692 +2093540588 +527899994 +1052993295 +1338863638 +1428150557 +405929701 +1238099051 +478387409 +1374581787 +1893656030 +301619581 +1390506581 +666197092 +690317478 +1425308633 +2034746570 +149212449 +682402087 +684153524 +938471824 +991544300 +1087785081 +844533917 +279050063 +1737446527 +17918992 +897517912 +246217193 +534958815 +1370177750 +381690885 +481015755 +1898077744 +1434684180 +1819879393 +1178744653 +1840613881 +910494796 +1657132063 +1067712021 +656667178 +1958751644 +310734954 +1322864270 +501585474 +1736043587 +1210127192 +650797924 +270962027 +1894280716 +1589269748 +1262506327 +834582150 +286320017 +1541556390 +424545029 +304239009 +291590654 +670762222 +839197824 +1661768404 +1052453107 +1320213579 +1412362500 +339653639 +992609324 +443623506 +32783873 +1903104120 +2100755569 +1100495894 +412287650 +1912023565 +1411230848 +1735151920 +266125392 +999790787 +797795464 +916923316 +1270752814 +544592532 +358709416 +385775493 +1379174682 +645029433 +1927331883 +1803719711 +949268442 +71438889 +326998285 +1788466266 +1733207293 +1379451392 +961196197 +998086146 +1719105032 +1953805521 +1441709652 +1751888905 +1709425993 +1394981573 +704901151 +2121713643 +1159521490 +2116131999 +1709381915 +1425646882 +968439138 +359693731 +195086550 +91708305 +904286263 +553795966 +477483798 +135977298 +1198825399 +257332034 +1939697009 +610193 +328770923 +119211647 +1789076459 +2061978217 +1498663039 +602789008 +912580715 +1070284423 +409110881 +206806719 +674689680 +2118536874 +1601788292 +1379590831 +2092766869 +613826134 +1348239182 +1654665136 +2039473017 +169194673 +2014358867 +87075919 +260902978 +771161483 +640871886 +738386776 +907138781 +1839697285 +995718810 +699352142 +1840307479 +1324489734 +818563789 +1481900290 +1238984303 +169743181 +2084689299 +4081370 +1240027604 +346316532 +210888089 +1914717285 +317369759 +1812676381 +1146824468 +262652980 +279018867 +347580003 +1917318117 +171008236 +516774676 +1784193336 +258084156 +777677654 +407871171 +898956042 +1516064430 +1315009952 +591169679 +364299593 +2014362095 +283993510 +1688789327 +685442236 +1765893801 +780289982 +855185417 +1703099452 +784371352 +2095213022 +2049415984 +995259441 +1862446659 +219302095 +660452174 +861787479 +481955076 +939471041 +1209367482 +251789545 +1110479278 +1726142158 +2035982881 +1368563434 +356336164 +296370405 +120035828 +1872400595 +1611380357 +711205507 +89216540 +1478258804 +995199018 +1778005867 +16217393 +613609171 +410812201 +871402810 +169224975 +1195183553 +819132184 +71157311 +42959346 +534095195 +290459407 +703411520 +1395882675 +772414483 +1642882561 +457766509 +1024204028 +605878191 +36425020 +912703261 +1974441625 +392761184 +1209073666 +2094477453 +117678131 +672970376 +658199313 +206894671 +3745532 +1653398331 +1984900538 +19962925 +119523854 +248229091 +891365736 +288748829 +1443412644 +1710497920 +359906140 +1486371990 +97109468 +650365547 +42299862 +1492992143 +1422780030 +1685182424 +1950758652 +299500410 +143576967 +1987183672 +1212203672 +2118018593 +232461209 +273793690 +2065012398 +350139340 +946764066 +575728063 +557034012 +950509599 +81642746 +394450902 +970472524 +201166600 +642679994 +1861838260 +489915429 +2086092638 +1424852533 +849821570 +1424980981 +1521962001 +1500187117 +1467280843 +867470496 +775483500 +1004979619 +670745500 +1074983910 +1148556587 +510445525 +139703934 +1119091532 +742906734 +413497625 +1036620282 +1093046074 +1360261691 +1612348346 +1650080086 +163287642 +1693991092 +2044530989 +1133760167 +1895157693 +539727335 +848114779 +237589474 +478336325 +125483664 +1087411044 +1903317306 +1647445665 +440114514 +1223114502 +367432513 +1215598014 +80610473 +1038178014 +143098276 +1229167060 +1548623539 +282802211 +200774944 +144046625 +696299836 +1237395227 +1237092699 +2056561527 +702259925 +739689138 +72365522 +248767369 +636736479 +1206125689 +2143925062 +1176463814 +2054240468 +234030889 +1654800139 +32240485 +1321441933 +1410633798 +1679686150 +1761556447 +486264652 +2047118664 +829670813 +566875125 +937813030 +972769090 +1796042186 +338952921 +1255571301 +1996817130 +482999546 +1951871137 +1086728709 +1720092245 +1860949016 +1788988634 +312297735 +1933314538 +2037756004 +949034214 +991956579 +2034197418 +2125498028 +898713400 +120744659 +1632814520 +930953885 +1442186593 +895964670 +463156387 +1056259392 +1382229322 +362791403 +1885930206 +1949104447 +1300604433 +711215648 +1597662985 +1639557354 +1966786949 +1446996468 +2122556900 +1771174438 +386241529 +1695165498 +1484639806 +27746516 +2007463233 +1270470697 +2065502520 +809013800 +114943628 +1952216290 +787028180 +1013657028 +2072960950 +272359052 +1944610913 +1367663895 +1168323722 +260283653 +276439639 +403069396 +623075056 +14886197 +204690196 +1923679490 +726101845 +1802353181 +1415753196 +545405146 +1101866001 +1390826449 +169095936 +1488107531 +938508299 +1653735743 +1515854047 +798487884 +776722792 +1433872919 +1607501684 +891666420 +1238605561 +247046217 +1905323449 +1164082863 +519405269 +1702450714 +384263110 +1687728992 +1962734367 +660702750 +2090798388 +438325776 +675588947 +148004936 +214521618 +1401690793 +1950358118 +1630274814 +1947095939 +904740471 +873617615 +2116191876 +245364354 +1812125914 +1622443971 +1761218401 +463130151 +251683115 +1047607672 +2070631835 +1143349535 +138729586 +170194404 +901189336 +1302812449 +689599674 +456156403 +1687075560 +229845018 +271407122 +200294662 +173159758 +709732898 +875883609 +321164695 +924254516 +130090754 +124039165 +407045683 +2077186694 +1028779636 +1280663298 +2045894922 +1274143991 +945305565 +1520855245 +887878744 +1408435716 +1772538360 +1935486417 +1331583903 +768404247 +2074216003 +1501778308 +1669593584 +1229544804 +43894334 +2125749987 +769136716 +273739352 +249673461 +969431378 +446899110 +959406360 +1845314988 +768063805 +1883660876 +1975405742 +892102970 +143222911 +1905108788 +1920882607 +1423886210 +1803520062 +1047542950 +221708127 +1176891659 +1935421694 +1630143843 +801946371 +1723424463 +814244098 +1570350619 +1650156818 +168538758 +1092460555 +732217975 +212433092 +1070726894 +1501354691 +486172444 +1320400355 +323302422 +933071555 +132323067 +21133762 +1701135360 +2015983944 +1996539504 +445754683 +11723207 +1754164645 +219153642 +1435609417 +1410201059 +1266696592 +1657317544 +439609071 +1054634638 +1139977739 +1241555442 +630575454 +1954221838 +664422413 +133248624 +2122760596 +1756882968 +865466599 +187710041 +680126214 +219337643 +673882485 +2000526570 +542640065 +1606954040 +2132849637 +563773827 +1160605753 +2001349933 +412829683 +1606360436 +2013073141 +19510680 +1825514078 +1301198910 +1429711740 +944727022 +811032807 +1869320811 +1999361660 +1951010546 +963392605 +482453466 +1757748736 +1627815019 +615702091 +1733025685 +1237214339 +1481168690 +1920735726 +1917340554 +1700506333 +447134563 +1770383476 +95662750 +2054088604 +1755749465 +659436577 +1067210709 +1609615751 +1072266261 +526087497 +1475205244 +1091776941 +204117927 +628920506 +374005033 +1148844949 +1439953313 +95842196 +1000722961 +1243480212 +1059234802 +1483176428 +853745300 +539566173 +2098878519 +439287337 +1776780512 +1432563561 +212539415 +1546637418 +985586247 +659673979 +1169537246 +1081248997 +566278935 +777803064 +1740685575 +1633489644 +239935167 +665468188 +12093493 +1715140411 +1757245129 +216211420 +196577269 +2131250163 +1365056369 +1636530583 +79608711 +218295682 +732527147 +1138843513 +1701472110 +1586272447 +1678409686 +1652866981 +2025559785 +1307706551 +937946895 +90615552 +706860321 +1923533142 +750289531 +1876397568 +857298491 +1316568466 +506716984 +450500418 +802574462 +746652151 +1115968606 +814667955 +314308914 +725730088 +1030879375 +510886183 +709496603 +248452096 +2147416766 +789105314 +466747779 +732460265 +1927948828 +20736241 +171249065 +1458874866 +1673603223 +49325202 +619097769 +464066470 +139940754 +1325958091 +240115964 +890230286 +1054872011 +1097414455 +59315104 +1561588995 +1547914874 +861889567 +160757498 +516399832 +1676557522 +475066412 +1242129920 +559953250 +985952595 +1951626523 +808405346 +985885714 +593248190 +1275153125 +1718345979 +373713370 +1295889367 +1889595044 +1832588236 +822008942 +1938920246 +304202358 +1286075412 +2078861001 +1630160449 +1526191376 +821607639 +537548812 +476122183 +880922743 +2099137807 +2024037057 +1742812310 +112411657 +392953242 +1271886185 +587478069 +1635083162 +1831839435 +1573430664 +1439226038 +492761133 +411832730 +2032474228 +1767914259 +2130178710 +258703950 +916319978 +1872290106 +2091292186 +1738328920 +1663726705 +248010896 +876920684 +1595104058 +1878171345 +255628412 +269228049 +268236509 +731750595 +1150150792 +219890668 +608304005 +745479455 +332302325 +1001257247 +2017365640 +919780394 +488856761 +1701721427 +345727411 +1928082799 +46998912 +757560141 +1813073379 +1814913171 +740255203 +2071777329 +583749501 +465061662 +2015585868 +174594773 +2128788367 +116113116 +1051515457 +1576408777 +1994284462 +1307143869 +1845636826 +115037323 +2038894465 +848303970 +334927992 +499714822 +1593783425 +667230317 +1500972069 +1463665417 +1587010712 +1989828830 +1017903196 +1932738123 +1770427982 +1064902109 +542814616 +1436017713 +732331632 +1283069820 +1360311395 +1316081134 +1748131482 +1228413615 +1490675907 +1729436201 +1344526731 +394707717 +1158361330 +1191327545 +1701851586 +856514508 +1306364869 +1593262403 +1704818478 +1641292861 +2092977225 +1151118256 +161039530 +1446465646 +467300025 +1748050242 +1288810829 +1485203222 +1533304717 +911755163 +402621683 +2076119334 +200289228 +1134953315 +1211705506 +1560600623 +303550801 +812353340 +641530590 +1794226709 +394305893 +1986057322 +41450778 +1552667223 +1029901219 +1743302364 +261698083 +188782440 +1189081120 +1966516561 +1830075301 +1134574697 +970151169 +1991114832 +433556696 +1437451195 +1591681426 +1722367525 +775170769 +977502496 +486639040 +1177792452 +906138182 +686928268 +165262119 +2117843688 +100045244 +468812921 +782713380 +741575834 +115555982 +1177019273 +580149508 +157006760 +582202848 +1610050728 +1900309124 +843900931 +1798833168 +941906596 +662933844 +1481424822 +2076481294 +1633085014 +1325056006 +362554342 +923052561 +769253784 +2084921867 +1698223330 +1746756280 +424077259 +728532134 +505410814 +1111005527 +893794253 +475770854 +1211050771 +1362607174 +1258484234 +1952626606 +1478163156 +288019859 +385292466 +1635169916 +870222707 +1995343194 +1387995393 +1714123638 +1646692715 +182418341 +229573835 +980633889 +111415987 +1862658849 +158206247 +473970329 +638227762 +927460031 +411408548 +188967444 +526732664 +835485807 +917499578 +1032143478 +1946491335 +1811293831 +1507914333 +1010058458 +1026417358 +618914919 +815201416 +357096866 +906934779 +1200493883 +1992266783 +1777157486 +1048353429 +1232778528 +1343797477 +547562496 +1415196869 +1573371312 +1528196385 +1526612857 +1288546513 +1686402632 +2000583186 +1926774275 +466379016 +264508087 +2115741719 +993111680 +1099993894 +885757649 +2025255158 +899001581 +549567832 +1385685843 +1909060040 +1575985190 +2004600763 +576777808 +1933082057 +764051894 +1777271691 +1777865192 +393725732 +678141473 +863160072 +1737523209 +1225703969 +130873293 +1163410873 +606416707 +1657486150 +304473738 +145335691 +1510585689 +83764365 +611714707 +1775093776 +52022436 +1604826387 +727604022 +937780085 +1482597898 +1626605604 +1487347918 +720800093 +1388181996 +915849460 +577917208 +1964959804 +701447869 +1341969102 +1594747848 +331829413 +1735694835 +125405673 +1194989485 +1325734396 +1351109642 +1325862779 +341661622 +1957526349 +835865281 +646135360 +2102862041 +198967322 +729899726 +567093100 +1974061098 +781922162 +24435840 +554181473 +1719702248 +1507033738 +33303429 +1059566518 +80350183 +1421485425 +1975415978 +658267392 +1238961581 +529380200 +2000236494 +686225781 +861209613 +1588447681 +811631454 +2056199099 +766698430 +15257449 +1234578230 +1108360052 +1972783798 +2070443511 +1754495412 +1928162191 +121927186 +336911490 +347771644 +2095988284 +1118833653 +372207484 +502686109 +691052253 +1879241222 +535989538 +1750618771 +1959591405 +1957474963 +1578551101 +470375149 +1048952897 +2107931301 +323127996 +1735178678 +821657267 +1911575677 +399326485 +730372718 +530790459 +414583934 +1964950948 +1639150511 +239884084 +1887910811 +1246162276 +20562628 +2009837997 +1583073766 +368334272 +1958342634 +554423771 +740541756 +313545095 +1245476024 +472299330 +849534634 +848611147 +284407087 +659525949 +279678601 +754782237 +1708478846 +240126254 +1077910233 +1296173877 +1061783521 +842002262 +1695500362 +1792156239 +1372792722 +2110084296 +1609623539 +864459585 +202484732 +1350050703 +2110621861 +223047360 +1212405052 +1546211980 +591381632 +1023264038 +2100635751 +1331923388 +1336809134 +1198628128 +1804222718 +38860120 +2047239275 +2088629806 +698386069 +179434228 +695928395 +259381268 +419560483 +1773838628 +1555555145 +1481344004 +468357242 +1103571859 +1126016596 +1841149964 +1066172507 +588156487 +558125902 +1268657239 +1938207190 +521264115 +1491704600 +1003128595 +2067476095 +2083086232 +2026392633 +2020628199 +1267525973 +1215718119 +1071772679 +924265043 +1254578239 +971528306 +865411201 +1952964309 +1150962535 +1561339596 +64861929 +1570523018 +1187694576 +1620417074 +904383374 +1656051819 +576505285 +2030399970 +1349718135 +1642677792 +471072810 +1907844037 +763851383 +261796352 +281624505 +108072335 +1264924947 +201616952 +43674920 +1143833933 +74761503 +1311200893 +212068404 +1146534182 +87982288 +1466646644 +2118062489 +953393490 +1272127305 +1121541376 +367249438 +1336989234 +544580746 +1554944015 +809922660 +1448964120 +1063512186 +1386427945 +1331880443 +265746673 +881622089 +1802953253 +26107063 +1645473472 +2064749605 +307731568 +1753545808 +1182190905 +509348520 +1797220728 +178541190 +584110024 +960937973 +390609594 +1730644206 +1048920261 +1857256238 +1701223047 +2002313751 +981899895 +675280775 +222079542 +171405481 +1219861521 +1777023557 +981328141 +521341994 +693052095 +220272438 +1853222437 +958798768 +1101894527 +1508692042 +984905831 +599884352 +1425957999 +1292637399 +205946512 +460665256 +1801985920 +2003167240 +639206446 +238612296 +816621565 +1029816041 +1969256502 +1865541826 +739588631 +1522995902 +1720371930 +1721488527 +50793029 +1942451472 +1892894008 +1270654551 +1571991381 +726738502 +1791996545 +117559828 +947010940 +1497735334 +1076358596 +2048905468 +858943728 +2061264428 +501306172 +137418079 +1206418179 +707252684 +598083336 +860920451 +562936276 +1237289782 +1099532747 +1379557841 +119622175 +921305602 +1097616019 +859210807 +296817856 +670504301 +433215686 +347610885 +465472125 +178626046 +1618265436 +2037463506 +905364548 +1262778333 +7539686 +1852375489 +613030019 +1083898283 +1753797309 +1471973747 +997679063 +107619833 +1609391827 +56613594 +814872517 +59991515 +917534046 +1377808793 +1297281297 +2017066793 +609882986 +1416903473 +790888747 +1707499005 +128630632 +1087706603 +230519659 +561846318 +1435317489 +695991784 +740472364 +906099277 +585971643 +1645836913 +21393963 +593511329 +1350728754 +634423982 +1677409612 +957042415 +2106397730 +527605027 +1064662248 +1568305909 +584218622 +1879534765 +1628297424 +1501752668 +1109859910 +778095073 +1371335813 +1719742896 +47514898 +14740913 +1279758253 +176145530 +1102447516 +1510277912 +737991848 +390281357 +58786049 +1478464213 +1296380635 +644757692 +976817478 +1317774598 +1238269021 +180062584 +1952198580 +768194986 +1137104999 +1911112662 +1295800013 +54283599 +1331934923 +1880018635 +1933818364 +812748699 +1234287655 +896194626 +1590843773 +458139821 +468453874 +1638358671 +472880734 +1748212127 +1814504202 +1575328250 +1111006392 +405012402 +1965609608 +1169792441 +1883476615 +1114506595 +1814550133 +712810445 +284797545 +905335506 +892873029 +89512477 +1673530492 +2029978028 +2000625140 +821846858 +2084261627 +1185076415 +554381845 +1870596343 +1997825115 +1788669501 +619307321 +1441185240 +99325674 +1087761195 +932060263 +572206408 +688489675 +599080817 +51010 +1799496067 +1004093220 +1965660618 +821804860 +740086187 +932683565 +488871345 +1452896633 +1217481110 +1394206851 +198286014 +1306993588 +920253696 +80780395 +1160135080 +1742100554 +17558374 +197727847 +148998751 +1888154718 +48069314 +1937668252 +359978391 +1489254554 +2036993926 +1447739587 +273831170 +461716686 +2136229262 +872911987 +461767697 +1788241681 +1877005207 +279944667 +462562893 +469607747 +1212628233 +951434238 +1922504380 +282625695 +198157441 +2120790394 +1589619283 +1118411137 +54087141 +602270715 +713028043 +71645516 +799998563 +862026795 +1959800234 +848067877 +652211399 +172294977 +189838784 +541721678 +1620034564 +463669954 +1003438364 +1608780178 +1336581941 +1465206061 +1249538211 +1066103501 +1745150729 +1712101104 +1535711248 +810295314 +516051694 +1310731980 +1092921009 +714209136 +1284038726 +535056645 +1832620273 +1338125868 +1137327360 +398164669 +1409771384 +1937325923 +1260191464 +1222087970 +637910153 +1912402863 +1394382947 +827748937 +306640893 +866933864 +1291418891 +1310079258 +328230394 +480517184 +627801671 +1577768606 +1546620685 +225468752 +1142386062 +934848285 +1035764066 +1658437757 +98096617 +2128685076 +225163245 +1382135344 +516258073 +2057783518 +572777564 +1653585433 +308464539 +1982548948 +1443427709 +1568656003 +1057153270 +2081337862 +1333575219 +304052569 +761603151 +1640216112 +1170986433 +2053022042 +802811722 +1499216828 +386055578 +1430613394 +929501786 +1932676264 +1656082146 +2071887848 +720040901 +544362565 +1582841957 +818137519 +525563993 +1808005202 +52789215 +1041822066 +1718305073 +625566779 +547923851 +2026769612 +460632079 +1991351560 +1447941968 +1517785349 +1925205774 +634033539 +1821837918 +539325277 +126766003 +845340704 +444863671 +929577726 +197073884 +830919250 +212707472 +1126575670 +616111866 +1868789618 +1050979870 +1336152767 +265668535 +486338180 +6806638 +791232528 +146859734 +59595853 +1833054594 +1865164807 +685162632 +233494798 +1744450772 +1145794711 +77362710 +1044909092 +516096412 +2002568485 +1678942631 +190450683 +394410114 +1805708634 +1035791387 +839273786 +587802712 +1232865271 +1670193036 +800510184 +211957293 +138821254 +521816155 +1262937163 +1474974021 +787484690 +1749275343 +1481780660 +1578717219 +1896135078 +1541376513 +1264288165 +1613816237 +79055498 +1497782963 +1210783361 +1224850209 +1575145674 +108208805 +1740946622 +1430230511 +1787151436 +1931397305 +1824640625 +1445376423 +819705044 +516430763 +2033179135 +2052570315 +39140151 +686205672 +117043960 +177961405 +1208021827 +1379981123 +1652935427 +1995506517 +981772819 +987232439 +1426740088 +730424249 +381125304 +543544606 +196756838 +460180802 +2041327569 +1407540200 +1685031012 +1468989595 +1515749005 +1278493986 +751736458 +1155416794 +1062407643 +428893436 +453309569 +1882112687 +945324199 +339005056 +1787199354 +984464351 +1025210728 +1904243314 +1162425756 +85748907 +1136740789 +667877535 +2081255425 +2118513608 +1655109974 +1360511865 +701454209 +2036235279 +1904056471 +898211048 +348932433 +1797900393 +158267600 +2033963445 +1119406340 +1674016605 +1164973783 +1871142799 +681949751 +79897778 +152552587 +1135259320 +1962010465 +1097876786 +1474264377 +1601726171 +2082341137 +351991457 +1358485837 +1097283246 +437740365 +347742979 +1765160781 +371512142 +318772939 +1272787108 +1732024007 +1020227149 +1161538739 +1488596831 +1918438197 +1510471172 +1139013576 +2076705797 +1396950970 +110936268 +1603238754 +414441105 +1982079067 +137704858 +494338884 +2134631654 +1272964178 +308865701 +1085024793 +599744907 +1910591873 +1019882282 +951736365 +1121594062 +2117165528 +1389476730 +1469337041 +1734842662 +1760988872 +1788109981 +860146122 +1345529231 +660853482 +2021684861 +686642414 +431808031 +1384672385 +1825655990 +361030180 +634139707 +1936592259 +1964268934 +1048580813 +1771187678 +2101973792 +1542919697 +1758335685 +1227454323 +1851785398 +695876830 +1827199230 +1614893623 +1715759112 +631451947 +589004038 +1685440993 +2020928677 +2058341079 +1272800007 +1634433901 +1698967412 +2132946129 +832479485 +212337246 +2007147342 +1519121899 +644145277 +1244336079 +1197294242 +1005175457 +1878475787 +986402853 +821960744 +779572952 +610106883 +776450888 +175009001 +220958920 +2003905211 +2026794399 +916835750 +1683620794 +1494204375 +485111215 +167589093 +2083208413 +23068560 +41034123 +1994065844 +1295868567 +1675468024 +1545549609 +1281331048 +360463861 +1757886855 +1140994742 +1879585761 +254548485 +237847173 +929396355 +1259723942 +2116322960 +1915799208 +2081684686 +748412264 +378422443 +710651927 +923421265 +599381364 +567073490 +802732017 +1516217114 +103210636 +149452744 +2001328329 +270799730 +85177509 +2024396889 +311833853 +2079243353 +1172781808 +1987301877 +1477309314 +306629208 +200282091 +1087712522 +1447623950 +2079867852 +1342261007 +1685471124 +861780559 +454501301 +1654310436 +630096119 +388702340 +255239053 +1008518562 +1099354267 +1178660318 +1607899926 +1666427757 +1981392335 +976633393 +1769638394 +2130845079 +830478074 +2040438124 +68538940 +707391316 +204788329 +298646 +1880173124 +44606558 +1477607960 +39318685 +244888649 +417836834 +1486942635 +177272853 +1760097841 +1024930111 +1039053412 +67115495 +531756900 +1669149531 +455817835 +786995953 +530184446 +1555172102 +1965656271 +2138084372 +1074116211 +1799564959 +967234117 +696270957 +1782926390 +1797712192 +589225433 +1851465331 +357619860 +794013762 +1851763977 +90309336 +838620321 +1181888289 +129628021 +1083508970 +1599725124 +1616570657 +1260781824 +1212339317 +494017120 +152351588 +1279454812 +1025774020 +1821501120 +1735272647 +1812769973 +204201918 +1142961101 +1630942597 +194802642 +69593665 +1283023908 +1162036760 +765864622 +918466650 +812265304 +1355090056 +622448333 +1169885164 +1620170 +326728662 +1260194500 +840240491 +1508616952 +1389822522 +1923749462 +960858428 +858909531 +1037047638 +25714097 +1352926651 +1189399226 +1305168910 +231217024 +863416698 +892957909 +2043986997 +1067618616 +2035919011 +1527445946 +1262421259 +2105512676 +662986206 +276974371 +723893650 +1581452857 +1089239675 +2078983706 +56417542 +111641191 +2080603877 +383146205 +1371835691 +773360720 +1891763157 +614174565 +549626534 +705137937 +1473084096 +1586674172 +730852034 +678527100 +628589751 +2036020944 +909744124 +1492006449 +781495206 +806247473 +412141418 +669930569 +186209772 +1674562677 +627959597 +849195978 +1951537048 +1351853247 +283165187 +893293075 +1283353306 +339582730 +1004934266 +1216473535 +722728935 +229286309 +1989834255 +467008444 +843460875 +391977142 +1172146381 +169061323 +1978651314 +1902998415 +847588423 +459757417 +1791535712 +1757332547 +1951763867 +425547270 +416096373 +216421637 +1095477839 +602306145 +1890984314 +1723437436 +1451502123 +1695037714 +927807035 +1734667311 +440847141 +63676693 +2074250041 +1445781407 +1280150228 +649495328 +1675067716 +1122500836 +1116503772 +371044943 +1514477978 +141166505 +540106267 +1345645644 +2044164920 +1387694690 +1805403062 +1688216984 +997543590 +1609683281 +2113764254 +1413639963 +1826104918 +1061758445 +2015946108 +1569605584 +637712233 +1319964583 +1117159650 +1565519269 +907148246 +1558006791 +1629195962 +833914639 +856304550 +761862543 +1483409967 +383888618 +1884363379 +452430091 +754933562 +1251357709 +593596596 +1295039829 +449519705 +490277869 +535250871 +107439119 +31011205 +1532794461 +1717122400 +2144775460 +798950776 +1395743670 +1059050257 +667413236 +817865606 +1696762491 +1987377820 +1935025256 +1114798112 +747042418 +1345548399 +596510426 +1580957058 +54369301 +1358372969 +916883377 +438257920 +1095252700 +1369313469 +1193191482 +199126761 +1962910065 +340747663 +648646467 +305704286 +875998534 +756085586 +336715492 +261309348 +325724339 +334007304 +1060260124 +1721468009 +1393057561 +1727673361 +391849968 +942336404 +1567567533 +179391576 +2057134516 +167126303 +1524939976 +506161295 +1748083361 +1579309277 +1864534264 +517483091 +2017567197 +812303317 +1886796560 +1063275031 +1011430078 +1702222977 +1404022694 +1660076545 +2007927264 +132537581 +268678484 +197159108 +393846929 +594402823 +531166412 +1454107053 +168387184 +1924223973 +1034296766 +560237152 +719076730 +454380651 +739628729 +628727598 +621506955 +117085057 +1134888893 +222106668 +1696394334 +851939510 +739589759 +1566477884 +1664242827 +478902671 +482269267 +528189257 +33642001 +1886291962 +40782155 +2041569265 +2018829543 +309460639 +91244725 +265192824 +903863462 +622411137 +1719299877 +1072250646 +399151462 +606112996 +1632487799 +1118228192 +1060493647 +224632880 +1746955791 +1682000602 +341717937 +734361036 +1904107271 +2038112271 +1586300546 +496213382 +1457106507 +1103059725 +975116054 +1939375775 +1631248983 +1008758055 +1678184089 +1672031138 +902843672 +1549529984 +1981491777 +994088397 +1814722808 +737871591 +1616499534 +1386539037 +1810122237 +2015650996 +1992652033 +1295126388 +986395541 +905662033 +1519759268 +585867684 +440178987 +1861477205 +1320228720 +196802610 +1752105829 +759045619 +693015993 +1061728688 +1862105344 +1668132047 +853620815 +1345870679 +529406454 +384321256 +870418169 +1432250126 +1933851240 +704426298 +278854875 +1601090400 +1442297889 +1895354409 +840145790 +1104936479 +1763521757 +685314175 +252579219 +602433650 +1590976208 +1772338488 +1188301334 +2031155196 +1486332045 +361046407 +80474158 +1090954226 +1120092026 +773490151 +5199267 +834713722 +294138550 +858820082 +33100754 +823545004 +1243141339 +903518923 +108311482 +1029508931 +1607945222 +387166357 +483115684 +902759463 +135037118 +1323261474 +2007695942 +1898558876 +2008575649 +112791514 +353508878 +1452068210 +1885130002 +1541810213 +1335739758 +1223978399 +1902856620 +1416213916 +167448978 +875464998 +42220420 +172648245 +1710178720 +336358970 +1031468327 +1743279474 +1159903975 +127126018 +499314750 +1268215457 +1156634950 +2107259972 +1655381815 +1639750634 +862535787 +1790418933 +815528460 +722748082 +1541494161 +676620461 +835539596 +1895003040 +2128688671 +573185950 +1289329605 +1316944781 +1797164349 +1044702577 +585675050 +1964613327 +1920167575 +627895470 +2137261572 +1482862647 +964254440 +1021246252 +1078658474 +2124158415 +1148372270 +1577973224 +1244890225 +157523572 +1537749548 +752788392 +1797274206 +252801687 +395723677 +465319018 +975549769 +1937217839 +1141939480 +1811089365 +1684737231 +1123144503 +236791667 +826583188 +292605637 +2033956017 +1871285765 +878280687 +1851085696 +1643969692 +1506176157 +1840863621 +979348691 +322946949 +714626225 +2058007165 +299621717 +1862998495 +1488496741 +1544511942 +2020522068 +878762641 +149816686 +1670312626 +1131564329 +545540363 +2135631645 +2107114098 +335274554 +1130087477 +1770719816 +2020011785 +105748332 +2007511483 +699111325 +398353969 +1893983852 +422913442 +1276634656 +1597585901 +2066883134 +635327165 +1290965874 +898748178 +958274115 +2005592099 +809271695 +1257895832 +1721106946 +150284789 +654924126 +1594145366 +1029047430 +804740812 +1116974345 +13128111 +1350281175 +1105122342 +2120242210 +1685555730 +87726171 +1743478378 +1558083867 +193474503 +1603506213 +109711545 +591828473 +1350006418 +532624987 +1868463129 +800108671 +452024474 +356306647 +2091074545 +1350772652 +1314580762 +1949182996 +12560699 +424992946 +1522806294 +162845488 +1079917072 +969468013 +1191892919 +1884657884 +2086442358 +1205021030 +1087455411 +1044081052 +1177779592 +625527493 +1131807223 +773774322 +36127713 +1325281726 +229796888 +145839258 +1917110199 +1579803306 +678464245 +1638089681 +232428329 +1130488719 +1994396328 +176019226 +333777723 +1161493442 +2125202222 +346338423 +1586486388 +1500524868 +509183911 +518919812 +322509233 +1701076830 +256094048 +261467943 +758614213 +1343549459 +1305548995 +1936393805 +1969076953 +289872570 +562684480 +2005204666 +1615154297 +792481368 +3560276 +1384780848 +224801026 +682024521 +875386881 +457229355 +1812513241 +722299561 +633248581 +2146290964 +1883793003 +610967155 +345145739 +1322795743 +2111492023 +854329651 +1841715555 +286517609 +407922833 +2097809603 +547985552 +1166537046 +1293875415 +1853534548 +955447204 +1115468720 +2143407118 +1518131684 +973189738 +1611077767 +163129404 +976750014 +848374968 +387930430 +1658774535 +1723761849 +845159785 +1323804128 +298577763 +1478408366 +1322611445 +34887118 +2089375521 +1667757184 +1357682862 +2053383896 +374603187 +1051914769 +192417857 +782526021 +1002240725 +740403410 +1949063067 +148632492 +446454310 +757026623 +1264101212 +442377780 +127674659 +89807302 +2053455548 +290804063 +1066557316 +754346868 +678734493 +577848203 +330625069 +1523894278 +1901652332 +629202832 +854818996 +1076780129 +664089951 +796710869 +597053665 +2021772813 +702611118 +971656853 +926203934 +895028975 +1754182874 +1928444659 +1635432385 +1555762293 +2077077151 +2081886695 +165305269 +1193694715 +376780828 +292979928 +1283502017 +282752728 +583783992 +202575685 +1037099596 +1262518485 +780423889 +1367724665 +638929116 +534592573 +1996927498 +1493748112 +1611372702 +513533801 +142975334 +60942719 +387822966 +845586452 +1032599572 +1314026900 +1740615427 +639298798 +1094987912 +1228564165 +47577444 +1024581415 +1162967212 +212882713 +70792483 +1539748040 +505862641 +1354294500 +1822500768 +1089646633 +1556870186 +712116716 +204681471 +189810427 +2079841382 +843610587 +724403000 +1929285232 +189875051 +188292054 +295335385 +332850385 +249234773 +683158351 +1178436837 +1281834346 +1997185251 +771568617 +1921133144 +944689515 +2000132782 +1968710588 +1969270931 +1015616346 +34109653 +2040063414 +407880739 +539972295 +1246874266 +82897859 +1629618928 +656260804 +795014576 +1834300399 +846071231 +727372310 +530427338 +1570474231 +509173894 +720302390 +1758766285 +804509279 +1053152775 +2008001059 +1487667630 +84105965 +1142351757 +1337369233 +855674582 +916001253 +134575101 +708323716 +737228194 +2103846032 +1723940062 +771337847 +1996425798 +2131820801 +1311310142 +1095816416 +67235013 +793445423 +1752077221 +862249589 +480262174 +450664804 +1589621899 +1010689513 +2021139036 +2098795793 +1730991903 +1632421673 +755821424 +636661030 +1492939084 +96005406 +720766995 +487807193 +1433374639 +1576441577 +1403808447 +1567949740 +137281645 +2141036641 +1524312124 +1861221708 +764890840 +1373254274 +1845558861 +2076200983 +321587043 +1912793874 +722162758 +2073664264 +627559815 +1202424932 +376845420 +69698066 +65630797 +250500808 +21010211 +1796622700 +1882922482 +776831635 +285800083 +1228377918 +872837041 +1006567078 +1716185112 +158728033 +435525008 +972509911 +1726677773 +572806653 +966062904 +1103506250 +286544713 +1730953744 +329276876 +2132103575 +1659671079 +650863919 +1897413801 +234350189 +577044535 +377489969 +1436775122 +953889956 +447188035 +1502405919 +1204390764 +468198247 +1151544972 +939829598 +1245029882 +1437345055 +20723869 +2117866924 +296428485 +1736908981 +129111309 +731953493 +561935244 +1855789082 +1304760147 +1527998148 +811811684 +1591304860 +1111468244 +1141088561 +1575924787 +623655676 +1791952480 +1325854941 +858005865 +221513368 +1703344910 +147297339 +1175403324 +3049297 +1649703259 +232310440 +471247544 +653764583 +1172140039 +1716277427 +2091109638 +1192863908 +1686660703 +240054475 +782289241 +1815772012 +972007969 +1344224485 +1524077446 +129284468 +724738985 +188405483 +1720589328 +1836207229 +1329494044 +1149030468 +312379257 +973962876 +327401761 +1170385123 +1195476244 +2030746671 +1317682462 +223395920 +2033795968 +819902073 +455706361 +357559865 +1473666656 +1627846400 +2073837292 +1417292646 +673226660 +1613014347 +1657347122 +1455515901 +1281302711 +481871443 +652256738 +657896509 +611155911 +1376995723 +846301992 +184261591 +1065719304 +28312388 +1333292059 +1378098562 +1002275265 +1660693820 +401000037 +50267861 +1543956843 +1718682499 +273663782 +1430269164 +391100925 +729370143 +1787829029 +1864767581 +209732895 +1714182673 +1134576580 +882959555 +1179713372 +644440054 +190991808 +313532435 +1126311497 +843248546 +971428944 +1737467408 +72760621 +1817730937 +1921728999 +1138479925 +1846043325 +1107537411 +369094839 +700834942 +620747583 +770094876 +751102804 +17220779 +341293728 +1024766586 +1447489943 +732394653 +1754136729 +1087835324 +449678586 +1963869624 +654534349 +1584255166 +699345531 +1834247721 +81211572 +890337339 +296508 +1207523069 +1733585885 +971725452 +797506829 +1806346506 +641972741 +571752181 +797342783 +340532419 +1679289592 +1166437623 +1041367361 +152553527 +1936532499 +1792470165 +169774306 +130342579 +669753103 +1617264249 +862737232 +276406184 +557615925 +1312415819 +92792160 +1212150274 +749187337 +792137691 +898914347 +830398910 +1682475030 +899210855 +2037921979 +1268577267 +1870936308 +687945161 +927440125 +365425401 +1259697342 +1724782909 +705957820 +791503286 +743736884 +1747325182 +944056813 +532785735 +1392311699 +1113831120 +663128315 +2062064803 +583611721 +1525865547 +190987339 +1141227647 +690797718 +283779500 +205894273 +1439985056 +1075917191 +1104808621 +122900318 +610908574 +2004019476 +13338649 +1879485841 +1727472136 +701283810 +659442319 +2092897538 +1960981152 +236741580 +651371710 +605000790 +980478464 +251213244 +1549057604 +1513264199 +1643524944 +515405076 +28908866 +1558106099 +1099016797 +1554774414 +1749093438 +92760796 +98088484 +2032872938 +298655070 +1538073540 +961306482 +1403463691 +1660973858 +1572215056 +1259999519 +1674312508 +1304217249 +839988008 +228112670 +1963659568 +785401898 +41610175 +52917500 +1436773608 +646610965 +1033395964 +1687986853 +48184921 +399176516 +1184028149 +563589997 +428085382 +594650600 +1662606795 +1982859796 +196260390 +1755367591 +2080948281 +81649681 +2054022661 +1471538173 +1042956163 +1310002704 +985028384 +467687571 +422518576 +511857244 +1771904820 +1262506584 +739969914 +1588080741 +2047908482 +781580089 +1640998241 +1337198442 +1428191055 +526910558 +877701647 +1476375976 +926087074 +2061729796 +2039965974 +1354172456 +508896748 +1555089121 +1189548605 +705157139 +1162973064 +1123013238 +786806820 +1069512078 +447067763 +1829762983 +232031134 +1432096147 +149966906 +654549710 +1943953391 +1921871726 +1917056294 +536439658 +1362468819 +1817481128 +1318019747 +855983413 +1007195923 +598727154 +1382893971 +1884897570 +2075103131 +161497397 +1799143719 +1967585457 +1515669853 +160556819 +1375190930 +557734810 +865713958 +390680346 +1680748048 +1652520778 +1460192424 +2127815812 +1334800113 +1692223559 +1412428311 +1484767019 +199289621 +1208898055 +1259155098 +2116345916 +1745337713 +474140269 +1786343396 +915873812 +1330123682 +646055671 +1514600967 +565534005 +383469594 +1442220450 +727031402 +35129665 +1262322259 +95217608 +195686484 +490029541 +652952418 +1061400443 +880709887 +186216819 +566437573 +193418664 +166548983 +1901237687 +1885642223 +1578977294 +1238521058 +2084931844 +640391701 +350192508 +2053794112 +238245766 +824332778 +1692653861 +1154119579 +6972812 +191225884 +521236898 +572506818 +574695478 +1963457348 +1299538220 +609825143 +1078295959 +1394755828 +805511628 +1568325500 +2047708247 +1866912071 +301551739 +86441418 +285865996 +494970403 +252990401 +39620035 +233128978 +1831967695 +1278141094 +170577175 +324875749 +1628333602 +76887639 +563121515 +305182732 +1769541500 +1717241094 +312155545 +1960767385 +90994344 +884662363 +387979215 +2054451692 +36716935 +997804359 +985264003 +1431472764 +1803315987 +406105855 +1331697363 +1522744410 +707657595 +1418138781 +1808610406 +1202627998 +1671129182 +1848230442 +1435756977 +1355613229 +978887888 +1606334152 +1680488978 +459737842 +1683221791 +96126846 +764920575 +1305279644 +1813367940 +1077076120 +1118563381 +1904362285 +1961738483 +1506542596 +1811330329 +1998455418 +356863307 +649110685 +1282444534 +12695646 +1055216540 +466658249 +1535440056 +1762874135 +1884797030 +1196566815 +818018486 +1408442564 +897313609 +106291815 +616572146 +1876201497 +1712625967 +149577476 +188455691 +1248364110 +245704322 +953376266 +406160106 +2059072263 +2030452386 +1524723487 +1815950900 +1844707221 +883782436 +1479797581 +1695678992 +1240645743 +2128908266 +830639878 +1253341390 +1036641159 +1297298128 +641297798 +652031646 +1034611510 +1837864613 +1470050132 +295570427 +587694574 +1576341947 +912142573 +316412423 +1141484266 +1061720049 +504868115 +242364729 +1307424372 +1458244381 +648524835 +1219012987 +1341213120 +25764675 +887480239 +1038436693 +909547111 +219794172 +586632037 +2709206 +201218791 +1417271916 +1256050596 +1237859950 +567086396 +1897348395 +1889891596 +1601697906 +1587729360 +1212458081 +1897268333 +27940287 +641316380 +661927258 +344352710 +1782800647 +1723647308 +849220825 +2025165376 +883588032 +159981559 +526206563 +2102601019 +1501194679 +551971238 +842597610 +392147724 +1461518349 +1062391782 +978779762 +1464227556 +1263610573 +248568030 +572794504 +353986875 +815654426 +322659251 +96394824 +269868684 +1910388612 +1308852905 +19653370 +1938328899 +1950169285 +681580628 +135197961 +1585486284 +257744288 +984418787 +1463168012 +1141332320 +1144400346 +1989374576 +1096449691 +498111377 +393862166 +1939047301 +890259101 +1855380516 +853955436 +1869038863 +1172124424 +2117566009 +2117606893 +1744918928 +324069237 +785777671 +2067578180 +420464061 +1055646356 +1830483144 +1729316966 +1075299726 +1621328395 +1532002603 +1756880354 +1756526356 +970005240 +2014624643 +593461495 +285689604 +1008473315 +1737861841 +127580532 +2104923007 +88489570 +521442699 +1896486660 +978748672 +229339567 +602958448 +700303887 +1401463991 +573040810 +670427133 +998899271 +897110047 +1456204804 +918993803 +1317574108 +364367512 +601993299 +899407426 +1439667238 +75838046 +283926381 +1049063945 +1832364403 +1253931621 +916204940 +278342250 +1539621226 +1924678255 +2016204092 +1667201758 +1882117614 +2104693662 +41160809 +1631120627 +935958686 +270500376 +86595427 +1636262574 +1671964367 +659636237 +159206059 +523379991 +1556746284 +1615410863 +1442373794 +726836744 +1979778376 +2044367094 +1626244170 +1271961966 +2120205140 +1910170552 +173542263 +1805085895 +1016618525 +1089747203 +2083428146 +408756103 +866941811 +1952148590 +2075957862 +601575777 +1909358604 +2117118671 +85212756 +697833643 +240135400 +171808184 +186612569 +1912099767 +831444421 +345818628 +287996110 +240707058 +1961229491 +1730369905 +967543802 +1793524219 +1627253351 +446304325 +918002538 +1599974843 +208991229 +1091544801 +1257577091 +1225609754 +33808357 +1193521589 +1634365858 +900750168 +998186531 +1562840072 +1502325945 +760061487 +1532475095 +1587538702 +1457895130 +1772610495 +1759346886 +1644507699 +1537226615 +443307659 +1990326327 +1825222725 +684014717 +1804072171 +1408108982 +1651558520 +1450112742 +887878685 +2097862845 +220631632 +340369881 +159370426 +1312176434 +1597946972 +1384980180 +1345984791 +643984913 +871862390 +99251311 +1642171444 +287218814 +1601577256 +254749283 +1819693910 +1041632310 +1712644414 +1444820757 +653495548 +1209668465 +834563724 +1096803208 +1052511145 +512302802 +1780817925 +709099668 +1920411784 +1284892797 +11728762 +660806822 +1235271994 +232360395 +1001176703 +1394642420 +1544536829 +451640027 +632138953 +743037972 +1095624940 +1504001343 +842289283 +590312736 +1791220158 +296382891 +845062019 +1463430420 +1338015202 +410222785 +760767529 +1991510750 +1619891251 +1595331254 +940830310 +524918748 +2107634056 +574164588 +1234018416 +1880562192 +1859057385 +1245747178 +393885366 +946845732 +1478107573 +1395062069 +194004504 +875160754 +1846702096 +826143457 +1618198726 +794843388 +182661153 +313004361 +1385156124 +1973881311 +609387253 +82734496 +1289828083 +1947402455 +492957281 +2050595612 +1791429557 +2112848532 +1498443218 +584776220 +490283632 +1458593626 +1158940808 +1724302048 +1191672171 +870514545 +822565579 +1585557537 +1817360277 +153189504 +833135959 +2011364782 +1028350259 +532354407 +690024591 +499065337 +1327197796 +872685744 +812069699 +564870272 +699083407 +1421456952 +647604768 +1988911490 +1221375759 +1140562050 +1892023455 +865321668 +1105926934 +1242983025 +1450097888 +1596210567 +554093004 +461555048 +1173028967 +1745765175 +1332069594 +1995594546 +1183839064 +1001946223 +1300403 +2016975023 +865827357 +1029650662 +401845783 +1555851949 +1528715999 +1729043579 +281054045 +193302050 +146430203 +980137453 +1614759002 +794034972 +821565295 +688651113 +1934597022 +566105102 +1553972782 +893040308 +1809088128 +856587022 +341767227 +215697484 +1318142071 +1514796195 +1961462659 +502728017 +1362907093 +997818075 +1504674240 +1364207496 +867309451 +223017950 +246374510 +1269155234 +1778869899 +1775090510 +850715165 +2059923944 +1968392560 +997145368 +892577749 +1435667915 +1791180340 +1714143045 +2124319028 +1578293714 +132764499 +1530808162 +323850375 +1941852627 +239911537 +665617602 +10066463 +1558053608 +32930149 +1971529122 +2060781625 +1395837243 +821863550 +1417972217 +612561091 +1689173001 +1640990167 +858935602 +810844587 +1272376418 +486542464 +1661559752 +1184816715 +307451376 +511221472 +2077394464 +1743119291 +154918165 +1644053861 +1719954672 +1733211879 +1776818361 +1103279186 +2057062254 +1571187340 +1343190723 +575196209 +1581253804 +753760683 +608126358 +1405299278 +667058660 +2003963601 +79679180 +2085030878 +469041045 +1768852181 +1578537397 +1327976647 +432213120 +703430168 +1814519111 +2093772872 +1888246883 +2121970487 +457510697 +1818157699 +1717606131 +612428862 +1314727913 +1290077155 +198157093 +944062626 +245872693 +107735700 +367766318 +1589063417 +682931909 +1949020122 +195340452 +1291058267 +1206835753 +862399113 +1147538221 +1286514933 +799946343 +1616579266 +907883467 +231000092 +797072265 +1340096587 +934430260 +464107728 +1286385812 +675193495 +438594567 +1743896509 +345867547 +8717050 +208841723 +1660595460 +1298794205 +406998816 +457174438 +1544666899 +514734516 +824940756 +986246668 +1197666425 +626477231 +1181587120 +341241045 +1833312984 +2043986233 +1488779266 +972344269 +696448928 +957874884 +1880227736 +927449021 +1754947149 +1072840676 +1861879281 +71571229 +211742840 +389589129 +510165796 +1955639349 +735456676 +518882847 +16997424 +248568488 +1817677052 +423996240 +705742926 +1214860303 +938730757 +1530683682 +53623323 +2136397182 +9677265 +1235210444 +330154579 +1842990249 +1131713029 +1818933845 +667850871 +1828161958 +629325081 +400594959 +608127331 +236788582 +1473435635 +322522964 +308359811 +1685178475 +712112093 +818525608 +1493334176 +1447568769 +1337408455 +1510331600 +1696137257 +1007601859 +1934327841 +254396535 +74978515 +725574950 +1785080218 +128601838 +714488484 +1794757483 +1363812282 +1044643064 +1490264085 +348041664 +716093261 +10631308 +28719974 +1345418343 +411226267 +636847305 +1582206925 +1884661903 +959370269 +1890566737 +1422356730 +1671482363 +561608697 +768207259 +971567484 +1899017152 +131055211 +520221094 +759135363 +2065383052 +774617629 +834113878 +643474354 +412214199 +962715717 +1357962839 +59488035 +179044351 +255122255 +1549752120 +527086015 +971215516 +1560383428 +555805989 +169150211 +1971609695 +1192653294 +1751357137 +1708787950 +4539916 +1494440226 +983661033 +1676022279 +2056048923 +1751868292 +500106115 +1807582427 +1882923503 +1020327209 +419234142 +1800822908 +1794944839 +1253348021 +296813614 +59675390 +68580090 +1654776453 +119163425 +247624441 +1909898708 +1668915545 +774710457 +733630577 +1081815325 +1330516446 +902780788 +905941373 +375686093 +506654277 +467245675 +380226009 +2001094503 +1450906708 +2056248288 +1909659778 +1055291352 +408870755 +1569758557 +790731208 +1429197965 +1988992700 +444070468 +1076659156 +1094857073 +740884082 +1136334546 +1163437163 +248176888 +1255497972 +1411061604 +10591948 +776929869 +38288413 +744222525 +1858745195 +1368804860 +1647003314 +617202920 +1744490953 +6173943 +1084448595 +2124716962 +2007268447 +387871656 +2033481602 +1769444577 +1443163008 +294868709 +1191719487 +86410568 +1724066674 +1033228539 +530481036 +653242182 +2128085612 +1271365119 +1789576729 +1144039127 +1519542007 +897591053 +407617083 +1530133955 +1674520922 +445905497 +126872833 +1385782469 +1814710357 +1773876147 +2002985389 +1411717662 +1780050090 +939950337 +1388950976 +1639834889 +1327821993 +1274948930 +1261795819 +623501353 +1569817639 +306031658 +709911922 +1146400666 +1339260197 +1240392958 +1799642848 +1319862161 +364274429 +1441735929 +316417640 +1883816436 +191843334 +724034723 +1266466744 +1866364257 +1169940220 +1393339577 +1104663078 +837166929 +1019732076 +960164820 +101400943 +652298518 +1900115157 +1490351919 +144649760 +1080453502 +617817201 +1406445579 +1703954855 +40151193 +1712477237 +266383129 +1186551859 +904253786 +1506776088 +838711059 +76632299 +1871050517 +132963341 +393049939 +1607383306 +324806675 +1117084662 +726366402 +43687284 +139541235 +2119705979 +1148350363 +976708164 +991954407 +2108515183 +1078109108 +1644252925 +1861146692 +420977379 +1788902685 +794116546 +1038794581 +1047864616 +350587753 +1078945774 +612858205 +616970883 +118013985 +1517111991 +2123746971 +956725044 +1593744290 +1847313840 +1089688385 +1986794229 +1307213498 +1414495061 +956395244 +2033579900 +1458182345 +1095936479 +2005802231 +459049060 +2072644643 +850272990 +420080595 +1003270103 +347042268 +133743639 +1424247483 +2135944953 +927860185 +315558416 +1036325922 +1278447939 +1394504190 +1649184127 +1895418822 +1512518175 +1018812471 +1871682145 +321759571 +465073113 +1571512337 +1411447957 +304383695 +731242188 +678459370 +1260778939 +617338440 +2136641715 +209231770 +475657024 +448207128 +134392765 +1325930014 +868287723 +1137662869 +1672972282 +1002031363 +414426704 +1661433588 +1929891548 +729985120 +550275862 +1060855839 +2124489310 +51976341 +808791013 +1489523837 +1070788812 +532989510 +1811283408 +1535861926 +2104501848 +1075247717 +1840245621 +688260388 +1753707087 +953540912 +1305598828 +1742865155 +1162772682 +1781255852 +43588635 +1297165447 +959702219 +911876358 +287344668 +485190853 +1913907721 +701771372 +2146624441 +1696315622 +1431756492 +549416655 +609687813 +1408762154 +601392997 +1418478827 +750802343 +1672181809 +1951468337 +414602104 +1060560087 +1908486537 +1489849821 +753322060 +449263277 +1096073261 +1706862972 +1754862106 +691454768 +722152006 +1388634310 +735043403 +2019317454 +200852881 +1646919761 +159178474 +686043735 +1413343835 +860949847 +685184528 +962175809 +145222691 +1234601184 +1571863622 +1553984846 +1835994181 +842858801 +157303541 +1360692342 +646843491 +571905645 +273768782 +407846380 +2061755467 +1027090842 +857109658 +1010345080 +586470167 +464488116 +1701799848 +1308622173 +1853122426 +289359603 +1180455979 +2053975308 +1936279364 +1339634454 +592535395 +1202139551 +53100653 +1277719923 +16831712 +198323344 +364837459 +1588695335 +1752308190 +53347992 +284070488 +1909611732 +1414040335 +930913979 +334033729 +1687809117 +1338760360 +248305548 +567416311 +48386370 +1258650628 +1153886478 +512874486 +812966828 +315025004 +218513264 +1102326431 +1495480983 +125004924 +891122148 +687631789 +717540319 +2093261699 +740732442 +1995260243 +2110093412 +939055787 +212614054 +1551305099 +543880329 +265962047 +1835375587 +306008413 +1680002382 +618805919 +640042143 +1220327851 +1957566279 +888347691 +1787744162 +2005952649 +2146998320 +794146993 +371343487 +812481500 +1109171997 +589856751 +1914807932 +457169332 +714861676 +658446432 +1144801122 +1432401995 +604224483 +1885533564 +1280178590 +566834247 +677105703 +1492792645 +2118139346 +1220986033 +1758754692 +1806031286 +1526994446 +1291273426 +277353557 +19552941 +364117629 +87436188 +907900633 +4378143 +2093388837 +907415305 +798525136 +317248676 +1719896805 +1907697133 +907105427 +1487221089 +217382818 +1621967103 +2145667521 +1362183940 +906885451 +602408357 +1100233856 +39580393 +1169242604 +1777339560 +1532373038 +1139898303 +850841945 +1143644082 +798445941 +230352743 +287433860 +1075799498 +249905685 +651551489 +1163235686 +1157806318 +655929633 +1109140875 +2065221623 +1454454769 +1426389551 +1637634780 +1214668255 +186011330 +977372222 +1432051073 +1807978434 +975556095 +646751365 +567380237 +1577964452 +1746985221 +606960630 +599723409 +1376841133 +2139333669 +1739621712 +80199430 +1135494103 +390584005 +310552174 +1422927964 +1466383503 +560457859 +2074479453 +482135541 +1718264177 +582925438 +1591276416 +1636002152 +2037380208 +870182319 +1126153284 +1104564815 +1056193649 +2103525506 +389132240 +716688435 +931597954 +1035883605 +1284068672 +362078758 +635385178 +1891029303 +961802167 +2012226312 +1882879324 +553940231 +2092425742 +870889779 +944524236 +255494268 +146334095 +263424091 +815952127 +73329901 +745559632 +386732656 +656255339 +189352400 +2022734808 +546151899 +1059534719 +1001404445 +1650716714 +2115728369 +957446303 +2039848954 +684933156 +1889044257 +928248911 +1969001829 +103639368 +1563634090 +1712547484 +1065441535 +1428376754 +1447943160 +1619381767 +1373318848 +171349291 +416422355 +1628813117 +317683387 +679846447 +297281596 +391013288 +1425406079 +684014253 +1047268627 +1614758480 +559265413 +1593420527 +526809551 +1560669858 +1096653593 +495054272 +370632514 +989018900 +1179987429 +112193123 +1917267811 +1001505610 +215832491 +1333418253 +566569446 +1281274027 +614311359 +2014512606 +753172146 +1987630208 +38378249 +1169594501 +1468959677 +356061636 +1849440948 +1766241273 +747074924 +1127363380 +302771878 +1794343552 +594638212 +862037292 +1240280431 +1121447763 +275223502 +189450376 +1616502036 +645856016 +1178469276 +649005817 +758049140 +948253440 +1650511427 +973881631 +134188045 +69597225 +107672010 +748499405 +2084109831 +860844156 +588645965 +2122488080 +2030438658 +2057605642 +331066069 +1732395958 +1676363267 +1078140993 +712275690 +1979135146 +725000897 +1306913902 +693688790 +1965281328 +280878018 +968912292 +7248057 +1897380054 +1614768309 +1185717333 +398902223 +225333801 +2133970773 +2049413650 +1199215432 +120675171 +2119010875 +1306887443 +869174576 +2055637058 +20247951 +1457820541 +2030641490 +2050686609 +1367942535 +214223911 +1635598920 +896822154 +1292364905 +200390962 +728473652 +2017365802 +1507304865 +1422162442 +1835163483 +1788182883 +243591087 +1842411540 +1538079289 +1858359396 +880645225 +1936981512 +2083693197 +867132351 +1838911514 +1135424981 +987807522 +1810438741 +294828776 +1856982098 +1718592151 +315076728 +1167318991 +1601749993 +218279689 +387777878 +1815973905 +1853878609 +1284600032 +960855162 +2054269572 +2013073685 +830737316 +1414090789 +1287752479 +518417151 +1054790024 +1531343566 +213345043 +445385665 +1242219314 +1093990269 +234883529 +1178428863 +1961122620 +2073795043 +166370197 +801446494 +1736750136 +461198973 +510944944 +1307858639 +776275701 +1678263935 +762124984 +994555391 +2066041813 +430615241 +700950352 +1203158197 +1391470403 +607736276 +1068748234 +74724072 +2021827065 +209017066 +593141223 +929133441 +1740360632 +806486267 +1374519106 +835096299 +1900476536 +1609402635 +2013525162 +1714115508 +1535714030 +32411711 +368078354 +1124980518 +493610685 +879023298 +285355509 +1269886386 +409803585 +1047480494 +116958129 +328361750 +1478095735 +817908482 +1531519947 +722082491 +1425644758 +452784534 +796806563 +1299988176 +661801600 +1389947786 +81637969 +254678584 +48950405 +1456157076 +1089774883 +1949426941 +918076063 +955816398 +1516058801 +306306446 +988228109 +1884137155 +1431286964 +1481838794 +615676805 +1716642474 +604241533 +1025480390 +616639320 +721199662 +1353842140 +2094735055 +1539108144 +737878440 +669333898 +817269255 +1190662974 +1466140461 +2117257431 +1852464574 +708604600 +51411752 +2107143158 +757555005 +1507568828 +1049434394 +559498299 +278161244 +2005250792 +2075557100 +584467690 +845995253 +1812210608 +2015754654 +180350400 +280403765 +1584913480 +784591933 +1305884156 +54069152 +1505791595 +512242648 +1320560 +897416092 +1250121088 +670654458 +1714685347 +293300414 +2136794920 +1684459130 +2145764988 +697915872 +1735870882 +2105424499 +1455470877 +1095956063 +1007375245 +2014969176 +1374117307 +865142389 +1943042629 +1958584997 +1711137642 +1607769589 +1826856003 +1891488042 +1888173354 +1264285836 +528596327 +1046573862 +1318354988 +2034387923 +1558816511 +1319675548 +784320367 +661453951 +1990330007 +351522066 +954754366 +1979641279 +2035981196 +953035706 +530073503 +1624368430 +910976557 +1985544380 +572840845 +1918351802 +1853029909 +1946958152 +636010543 +1648588890 +1758059501 +199664538 +1108874831 +1437431857 +2091152580 +849564537 +554234045 +472265260 +1896138400 +1872589033 +359169535 +1307471263 +1044780934 +1143489902 +1968925214 +887627293 +1495011968 +776195932 +719784924 +1383509516 +1729231639 +1249858427 +860394298 +492724548 +1087919159 +1433235144 +263592703 +793465420 +1232709648 +899603246 +294570662 +843285502 +1099267784 +1403445493 +133233711 +1042936717 +105526383 +687467756 +1515201977 +2001664783 +412573141 +1874371512 +1161652398 +1457354075 +870377766 +983093964 +197497720 +217906086 +1759289897 +917282644 +1601415602 +1341037888 +19657423 +314326252 +1833762436 +1107576583 +1747561396 +2097355139 +1901042003 +832787397 +849474738 +48129018 +1676072899 +1948742522 +1451574511 +1809306610 +844195591 +1557100894 +349290718 +211913920 +1411282029 +761863859 +2086285432 +425450779 +71734287 +809179550 +1408544744 +269232007 +1027085636 +1020350993 +1186514652 +481017590 +213905233 +1206172075 +795343843 +2047667669 +166265010 +395421591 +1997539161 +2067307014 +1228208988 +699530251 +2115436032 +756798239 +500789125 +1419526895 +418621201 +1344984717 +829144142 +767911919 +1556898637 +92942523 +1529775779 +1495700422 +518393303 +1601510066 +157396324 +1926938047 +1870742073 +1184481961 +799805392 +909773077 +1665499551 +1013710625 +2115945153 +313359746 +913894646 +134726515 +708781338 +763950159 +54549881 +1936990326 +1463480410 +22502265 +546304918 +1964269536 +1442029161 +964926119 +1161770605 +123689655 +1732838039 +571185594 +216632178 +1115130170 +2066886016 +735025481 +569156588 +76798693 +514479880 +292415013 +1261280654 +1314285272 +1202188091 +779296557 +180512249 +1170649596 +1092656304 +1094406896 +1305376111 +1801437642 +1858357055 +1359925993 +1590944320 +1174353818 +1382428258 +2137249238 +991139706 +676973771 +954691710 +5426663 +800663426 +540046101 +576612257 +1017295605 +1655176271 +496014626 +1752321086 +76849211 +572813319 +119317319 +369264224 +1834093973 +1433602591 +1571452315 +465906882 +1614114841 +594618263 +1558563186 +561038089 +1899994375 +1212517180 +271911496 +1112436720 +655977853 +1446265314 +347381330 +645743443 +289921372 +1024355102 +1600435153 +295348035 +1825018528 +2140481254 +871960293 +694830485 +1648173877 +1367974919 +299667924 +1725023088 +1940788238 +418985243 +2094287313 +1627398563 +1852587834 +1518255980 +2093305445 +1319219027 +2112874244 +1504384984 +1880257116 +1865384971 +569418516 +4684965 +830338043 +1225396369 +1450950279 +1177719373 +1871139813 +1740871652 +54590827 +1324091318 +2036219687 +1879609356 +1317088925 +760696332 +426956193 +817779154 +2128671251 +726624117 +395318595 +1921975841 +1145609360 +342122260 +1401890756 +850713547 +1860378240 +1347712554 +22448926 +1825768836 +704613890 +1902706043 +1543670159 +1274032406 +1907391008 +226524554 +351945128 +1210857639 +1404243928 +75601293 +804245643 +1458834755 +1399692611 +692981683 +1190960463 +569297888 +1453678015 +1617916657 +1387077043 +1434865619 +197057126 +1782395638 +1209357812 +1342666487 +2124517898 +463764921 +45896386 +1837412490 +1811477475 +68345312 +1515697679 +368607717 +1971051355 +911884190 +1642640123 +1730958715 +1138408745 +1994585251 +794332707 +395169025 +2070186544 +1598578350 +1854003780 +1322395508 +144076385 +897480596 +1891693396 +1597754401 +367913605 +1131286791 +885136372 +564970731 +766198781 +2094494184 +1907637218 +743233031 +410775457 +1953533604 +433161874 +74769284 +2021878917 +1948859553 +443377001 +1845446624 +713260095 +2086017125 +1428921692 +1851668840 +1933118728 +75770751 +99354217 +1855821625 +1674349101 +1953357998 +1030733485 +1818425487 +703354946 +774943233 +1268696240 +1071268551 +1906230025 +6348964 +1636239282 +524945158 +2100843148 +1396392853 +1268178190 +364134958 +1202442809 +1701340064 +438904242 +1076838078 +1502715969 +882281244 +774801055 +68492416 +820814721 +56239099 +1920161257 +606449801 +132009850 +2019515474 +314787778 +1806358951 +1825389824 +1345521263 +1477300790 +381261122 +2120464497 +598513382 +1452529673 +1879210874 +604862346 +941285308 +256672384 +558221847 +190194513 +1524850574 +922356805 +1392637322 +1078706990 +1361261047 +321991753 +433939311 +96058643 +1096792808 +502431728 +916873364 +1153031907 +275109337 +1523323166 +1285041757 +147141163 +1838110944 +943917060 +1972530988 +1036148560 +273734203 +206308462 +1009129409 +872247585 +1658838136 +740856635 +1477109932 +452639796 +997529019 +2035331779 +642834309 +374895946 +810204936 +2035471631 +1453602936 +23982335 +209979736 +1887542248 +120040979 +1306772544 +242490328 +1036914343 +312320803 +517599665 +412753861 +1597362560 +664740828 +103381158 +393795973 +489788168 +1139529718 +667530176 +696096631 +1175479 +1539777761 +207451119 +742032114 +869404045 +660090915 +1739561133 +757252176 +1302925224 +2114457079 +1567457112 +1190913207 +1420576368 +1591439448 +1400892944 +1160634968 +1711480427 +560181840 +1403125296 +600911122 +872502644 +1920724961 +1013664984 +322381556 +437982141 +1117046142 +716177529 +927770310 +109092212 +1383707705 +1623866941 +110267691 +776001819 +1831318060 +852299805 +1645405864 +343925327 +444377290 +255174393 +1646850551 +411350722 +1822631505 +690280110 +1831927090 +1266587305 +2091173054 +845078410 +830584084 +503871247 +100720058 +1431495207 +1376373891 +2021445019 +297676543 +1698755447 +311943512 +1414722685 +267449329 +1239713822 +1523814897 +1651157034 +716097115 +1634082588 +279675205 +399931527 +338898745 +1925081070 +743856854 +783276035 +32771815 +243223757 +1194626757 +1855403320 +933503868 +879070199 +974506978 +877193274 +1724148609 +1805091062 +1381064521 +1824868667 +1089102621 +609954764 +1698830038 +1386779164 +161226564 +2010773551 +654018201 +428675893 +1103003725 +30349450 +2079832927 +1819100841 +1664432038 +212024485 +71548720 +2003330783 +2137105555 +815405575 +639123171 +22393722 +1058629332 +1833749928 +1877797042 +1992133200 +565336480 +704820372 +721842827 +142001441 +362427787 +2102907348 +1966870109 +1451530408 +565378465 +1518216499 +690825925 +726605029 +1381506402 +1344844126 +1155280922 +337026480 +1375193577 +1087630201 +8643673 +892141967 +1299654686 +80192393 +747989103 +1289276593 +895597968 +1387112274 +1311670315 +1954227301 +1073378554 +1041983710 +1798876853 +1638715034 +1746804082 +373236032 +1780716476 +2109231869 +328659733 +1600102937 +1413278630 +894038198 +970835788 +2104104555 +1620643227 +204858543 +1301465033 +628440501 +541885023 +529174962 +1716070702 +550528696 +1421316930 +868241741 +630721089 +21822385 +10034686 +1526319058 +1408934659 +1321705002 +1333062711 +334829565 +216205064 +984455916 +1973544600 +1963009146 +1357691949 +1606777428 +1924757368 +1686351682 +1059396717 +1190552350 +432906232 +2030232505 +1147173257 +2053549459 +87607400 +301154642 +534506312 +629492423 +830329605 +103093366 +1180021119 +104162887 +971335107 +1810742209 +125985272 +981369794 +1189577619 +1534919931 +155591148 +375156682 +1869749496 +371796212 +1359612598 +1695810448 +187321710 +569820899 +1155104228 +2112079078 +108688933 +67017297 +1155147780 +541595165 +2097249803 +154837389 +447660976 +37373555 +455992032 +982167288 +666865979 +1286321637 +1085260655 +1846887098 +1390484524 +2056595762 +1510145659 +1516469796 +890481908 +552239630 +903906079 +1046073056 +927396312 +626171927 +1417869268 +139525263 +174498728 +1605190979 +709346162 +1329602956 +1569786409 +818035096 +1396620254 +577450542 +1359630261 +1346386409 +732287931 +1807291238 +1383759964 +1188279963 +641974878 +2050625943 +327117952 +1727235533 +1750029394 +1717602476 +1636347648 +1112691405 +1086588624 +379345908 +1664931036 +1990494703 +1425418965 +444843700 +469182983 +695804585 +584368963 +643681711 +153511916 +1293715126 +1973284667 +1723298326 +2111750222 +1222421273 +153265220 +1323896835 +421324034 +885553151 +983704425 +1805083999 +2073833115 +1625679304 +1708226294 +253467419 +1205431189 +1310772040 +1971069896 +694295189 +275979798 +910174872 +1073641098 +1940910834 +753185928 +351576415 +238270886 +1222368911 +1047381000 +822639850 +1866050622 +1200892917 +2116354976 +1691851641 +776707595 +2080621550 +766789267 +929972815 +1257034737 +1188113301 +1815525966 +93255515 +845713652 +1741875433 +1718934819 +406456299 +1995342853 +776882360 +1717228339 +1818929101 +1471177550 +1993208137 +581620325 +397335000 +1786635323 +1334806253 +748911415 +2024906210 +409691516 +1796292415 +700062412 +128258490 +849701684 +668933740 +1820110132 +1626409279 +602071642 +439415751 +408898446 +1859106379 +1627529052 +76940765 +1952361894 +325759057 +1818816198 +1523813065 +732215356 +1666675403 +153211778 +301960047 +1338120856 +1624389328 +147684537 +1919741182 +2021724328 +1934319860 +1107063787 +623152095 +1811742422 +1516755304 +271960862 +364321186 +1645013794 +1121662547 +1033254926 +1317640278 +600588178 +1635326568 +1757056029 +1009486625 +1346949300 +1237101434 +1086427390 +1151827546 +1562860491 +757759940 +528156964 +147592199 +276951696 +681368742 +449552246 +1615072552 +158274422 +597236783 +1387330086 +32515102 +384072996 +346910226 +655667197 +48331770 +1863665530 +927628059 +412652957 +1361195676 +2049290606 +1445907883 +531352307 +502395137 +933750804 +140924688 +1511881762 +133216456 +1378026122 +450825504 +1285044002 +793402965 +1208585444 +1813200966 +940995164 +1485537140 +347086060 +1390547411 +953126045 +505360482 +1987784194 +192972483 +537875584 +224373542 +539882709 +1193542781 +272705313 +256064591 +2121170841 +685358270 +1617260268 +2022977799 +2131266153 +1128927 +377889288 +917533309 +142053615 +1889771050 +1050749765 +1520079738 +193112906 +188310120 +165999055 +1401698351 +2001511086 +1106994220 +739751843 +201113499 +350057983 +1692877888 +706473981 +190358529 +1885850372 +1244349566 +414732072 +278249433 +290408699 +687437385 +534314025 +264095892 +1372795655 +4090645 +139590044 +1356578160 +5219572 +517479332 +126627822 +147273187 +259766735 +1177377587 +1667352925 +452879641 +1365687707 +1833351981 +1854577992 +1219715146 +792862553 +446846188 +1420828645 +1142920536 +2139724076 +2127302626 +1333279065 +1878090800 +1224168544 +1748011137 +8856586 +1514577244 +287964874 +543170611 +1778673136 +1660760529 +547261256 +1918263180 +869855042 +552480828 +288258865 +996482864 +699754015 +548025600 +26376803 +219623293 +1000905241 +1392064511 +2052975274 +707999586 +464296009 +698354179 +1154845774 +1885124654 +1841274715 +1147086202 +1864943632 +1027070132 +877693355 +941628529 +627597622 +886549941 +308722125 +915562496 +1429720552 +2087395261 +428839378 +1976981808 +1858174794 +1298694420 +381978988 +2146433659 +147693636 +1081733003 +546975611 +174070439 +1301356296 +1547880852 +1566134950 +1206847922 +108396790 +2030430959 +1905202101 +1263242564 +1768071965 +1598993168 +262845119 +1485531950 +478579653 +1140538474 +279676831 +1106177275 +2027088415 +588398956 +2021739771 +1309325319 +528310569 +303095501 +1138823479 +239001715 +1601789921 +1520802467 +237951726 +1749483557 +455051822 +784927337 +1923553997 +1756408119 +185324542 +1342205299 +815772393 +293721332 +1225152611 +573490847 +1556963897 +845740928 +25000367 +1819809016 +183789230 +503580020 +812863842 +463466061 +1609757295 +692468609 +1051865017 +1484013419 +2001793928 +1580175587 +1787108920 +993133759 +1819177302 +1241415194 +366452578 +2057129029 +843415103 +821504400 +694572718 +619485452 +430428871 +879897260 +1961690752 +1246201265 +1173618593 +1039359715 +1819692112 +583098842 +1885100643 +1844692479 +255424210 +2068889874 +200788852 +1068288052 +384872287 +1810546147 +1760756661 +1436737305 +1147075918 +1615066941 +869429244 +786701191 +460717052 +541122898 +2028116385 +827169630 +450768279 +724047840 +1648674030 +1145340998 +1343533293 +2079102902 +2025238258 +1157740397 +1177820519 +1051373203 +49616464 +850028983 +1634472045 +1934717107 +547237814 +1889896255 +1856123333 +748026666 +810700659 +93511973 +411089166 +423973672 +1530249278 +1558165084 +2039040613 +252194874 +197382627 +352274017 +793317772 +78015364 +1179443647 +1244086052 +802063205 +680634030 +241943402 +2145596498 +612253284 +119698012 +1155853247 +1790073803 +1171071216 +1205469711 +492619138 +658059613 +992703170 +1039856952 +400472221 +701342856 +1787883619 +1211172880 +794854829 +51489137 +1635146553 +177620459 +1609654221 +1526703518 +429815333 +1807036849 +1878977536 +1223133105 +1885052213 +910937535 +319735509 +539631770 +1591571565 +561678911 +537744620 +56341201 +681376924 +1693597867 +1846415004 +1852448140 +751583930 +191550494 +363024105 +1744287101 +1231407447 +763496326 +298146309 +871807418 +1974669207 +1093001138 +923296555 +1462332112 +1270621597 +385467128 +841551982 +1700436930 +45020329 +573045870 +776086387 +1930072543 +1483983406 +1095821897 +322220665 +928071323 +1657500808 +859965286 +984412525 +191394084 +406079505 +683343881 +2043842224 +1157663436 +874894376 +259382682 +754466889 +2106301823 +1022879008 +1052613198 +830625593 +850064567 +2145614336 +1753922148 +164913031 +1268752285 +2139389276 +1006465014 +821705567 +36925958 +1579510884 +1597791954 +1966998501 +916010642 +546130203 +141735518 +1844081966 +56147364 +1001700804 +681010843 +247541448 +1407780310 +1364354724 +143900025 +417960098 +91765452 +403282707 +1172426987 +50583627 +1426161715 +77556537 +881209220 +128742635 +75687225 +487647720 +293655666 +1344439510 +479553349 +1300120680 +18661429 +516479307 +732147917 +1616453383 +335994160 +1648158559 +15099939 +477729678 +1344756877 +71247303 +1479430483 +2025767720 +318788751 +739727145 +1242638797 +462688776 +1157687243 +1334404249 +865971483 +182630582 +1384987877 +144649551 +260187119 +118713449 +273392186 +335874344 +606361170 +567047852 +1680313854 +1085914519 +1867168533 +1698975283 +1602393826 +451832802 +1167945018 +1938387986 +2099991361 +1183044957 +268634016 +1297264591 +1254292260 +1748064499 +1175548663 +1573081012 +340307996 +270703812 +2035769788 +1497995239 +1605108062 +754257624 +1680625821 +842612291 +898907175 +1940812940 +961325740 +1172299361 +129203636 +1567686910 +1739347213 +1809517490 +506117781 +1459032098 +1361009125 +2108511607 +1910864900 +381470496 +1899415945 +1863372614 +1564515453 +20566314 +1013153557 +671324066 +1768630813 +41218572 +96921430 +2108938810 +311922385 +2132691218 +1459450401 +1917030447 +739465194 +992592575 +612159090 +1638372369 +785921867 +1573484830 +663188082 +915125504 +993688093 +255051648 +577159346 +1499805874 +1714083746 +1938168472 +1460833834 +1477464999 +172155320 +1212766131 +1193353965 +1736670773 +1233332445 +59023874 +260511191 +854479611 +100242446 +357432621 +815934773 +412164831 +342640192 +127901526 +181711630 +1082105386 +1120494101 +793870720 +572994108 +1906415969 +219871903 +1236182190 +674057825 +1213559996 +1491233838 +1251217171 +565882222 +1057833937 +1041901995 +2026716056 +387815288 +1214057315 +1091998540 +1581169253 +803244441 +177847337 +1640193127 +1063755632 +1032326948 +1740435573 +1421188254 +1848261721 +5116757 +1763828446 +1976163248 +186828387 +698450184 +949173701 +980699108 +1271444292 +708106022 +1200571011 +360142835 +1382163847 +266647359 +1851376673 +485897371 +832529581 +761726962 +1527799366 +711761990 +1149542250 +594373034 +1803760530 +583227855 +1397617475 +1981607867 +75937334 +313889459 +866451168 +1816372908 +1735077713 +567229241 +1821489665 +1351422511 +395908841 +2008318052 +2049872696 +1345082543 +841533512 +1173833340 +2053188565 +2042104523 +1533976175 +1287868765 +161268234 +1237869201 +1773766136 +993797816 +1999596163 +1154081854 +1705559806 +1001654766 +1748454888 +1361836688 +1584882621 +998588715 +1195960907 +1660819956 +1312478175 +2062412075 +1329709216 +900072240 +482157669 +1003715233 +104011104 +878066510 +864549637 +6400152 +75665405 +1706083150 +1180233492 +2128853971 +1600704025 +566726020 +1269239088 +1761972260 +1804595221 +895521576 +608286428 +1656707736 +2049603430 +166362586 +510878854 +1650574671 +1528199274 +2095761476 +501679738 +576676533 +1609097784 +1814157913 +491604961 +791323352 +566746506 +973762630 +1795038585 +670757610 +1851829140 +512104574 +677157762 +1927494546 +70704076 +1857391254 +1908864869 +1671408102 +276633626 +1030620309 +1285896714 +2081228847 +1926141885 +1894183142 +1590452936 +1828261667 +2060545728 +2101331790 +1331352690 +1441261354 +2049609618 +1833032429 +2017937887 +1511223754 +1499706694 +362059200 +155063458 +2066453200 +1335821830 +1950102043 +589727162 +1040167323 +314722970 +1266884924 +820178221 +385427046 +976792531 +581559442 +2056835148 +1253426157 +1612179751 +1195248214 +1187171357 +1390837988 +941947708 +630140645 +1071616007 +855009788 +583988787 +255485050 +148787494 +486114758 +2088517479 +19241734 +1997338512 +1440740525 +381300934 +4918323 +1359710078 +1717122765 +1955020366 +1949437240 +609806440 +122259688 +1068838517 +1429984661 +507686735 +2045631048 +2011544103 +417038235 +1151573557 +1476240206 +1612286450 +191261266 +719594546 +406750510 +821401911 +1791210553 +1261760299 +1405390699 +2046695603 +1410547793 +1891505457 +1987729434 +1429789527 +1741360321 +1280986312 +1811090462 +1746278644 +493212742 +1380729579 +1553815363 +295166334 +1990536019 +1676075051 +1364004851 +1273037032 +36278138 +1262152251 +1137097487 +453316374 +266242161 +465854045 +2065602824 +457503427 +1185448591 +324869686 +1278905339 +829175496 +1586629985 +536812390 +728387452 +849694131 +280834199 +568633238 +132000010 +2022194520 +1849619550 +1943090472 +1620989517 +195348644 +1176336403 +1027321232 +490514979 +1019388774 +555912635 +1854519830 +144942158 +592190774 +969188434 +1282039645 +1045507148 +1235430595 +1747893690 +963626324 +1692934022 +785858633 +1288496010 +824355713 +1615034130 +727642348 +1361168103 +195937934 +1577336479 +1642002302 +764571172 +1709336489 +1516713175 +466707075 +1504943314 +990219044 +662055719 +533796069 +2017540276 +1152570698 +1553184844 +425969263 +859606881 +1698127002 +1018160037 +1828795315 +832683000 +2063667185 +916742262 +433093042 +879809861 +462192636 +1218951676 +20822224 +1286548350 +686502158 +748464572 +500232805 +882440092 +178317403 +2142235108 +1647011264 +1887653892 +1511464635 +2113718339 +1245113558 +354200031 +628290411 +1778909628 +224256659 +1780861109 +1184610824 +650225922 +492984342 +735254178 +1668385960 +174296009 +1567937178 +1584569497 +1091038271 +2001030221 +316895711 +1553230908 +1072498249 +337717935 +692295610 +1759000407 +1086182507 +1192528415 +493956851 +1264499910 +1187279875 +2140968115 +1004670154 +551260862 +2107202807 +102300065 +905460893 +588009570 +1881209693 +1129717552 +221387031 +918336869 +1779943475 +714371374 +1653591047 +1300845787 +888667383 +1074044578 +737931636 +1979705655 +927591151 +1054827347 +1385452915 +2000089400 +1392545282 +2077748525 +1611606159 +331244141 +1122793292 +2105563010 +1595744051 +162589520 +2099047477 +452930558 +713850382 +2058766636 +555230623 +1619311276 +499292558 +288956668 +601545180 +720679590 +1207293537 +234005007 +1435050964 +713400936 +1534850794 +176234699 +1787445514 +125298783 +8456706 +567553017 +1180126130 +1393909621 +420158769 +425187765 +1324174498 +2031764928 +756431906 +299484143 +1989844290 +204692310 +462073663 +1941408120 +657622868 +1175924045 +1852691108 +1212853491 +647751673 +204500019 +1501810159 +1249296854 +925179609 +561620048 +1483301861 +212746925 +1275020984 +870669008 +388981624 +914982851 +995967791 +397438331 +1482535868 +28610273 +1791347952 +1902694638 +453798038 +968038803 +1786975918 +1210229945 +1267522946 +1629336561 +1414922255 +1729596609 +1423261033 +2072545123 +758037006 +1128468493 +1137914966 +1405788680 +1332968512 +492241477 +507601886 +110664473 +1053861525 +1990903747 +323411398 +181398861 +714089107 +712393023 +1096381712 +1710056898 +1109831354 +431433933 +1738667172 +753695658 +186644923 +44981562 +1721734461 +1973620841 +1255211507 +841773759 +1455473754 +522650114 +423886720 +731251139 +447711589 +1181923727 +1859719633 +1585626555 +440228759 +1045204497 +2077868032 +947830645 +1155868971 +984245909 +791250744 +1479280369 +1165644771 +1505339852 +44189744 +114542835 +1067913102 +1154021098 +545976768 +659096626 +1907716757 +732621691 +704078189 +1481967570 +558758885 +1959289696 +176257682 +2014232639 +334456163 +600144402 +598000131 +782167752 +1782068129 +310236116 +220310660 +74813240 +1355440613 +150695044 +1022643885 +363825936 +1134940954 +1813894630 +1843106306 +153102077 +1171750834 +1887296050 +267644912 +92180288 +893833501 +813621681 +751276915 +654066610 +1546243372 +1455355104 +2136034180 +2105002257 +1267161152 +164808214 +1971751249 +1601617315 +764952617 +422267732 +236301420 +399537098 +732503848 +456612080 +474350339 +2087944461 +607307124 +1496994224 +304286750 +1742248078 +1163405206 +2147393056 +1895350155 +187672392 +1887205458 +15511420 +279852681 +633555311 +829133101 +1031129596 +1287621921 +227892825 +339001052 +1276172454 +185411435 +1606162204 +1440980668 +9679036 +1060295872 +58449637 +431946768 +1296597292 +457986736 +1164450616 +1753209372 +932337075 +1104911429 +213032848 +281847651 +1409198179 +1955280927 +1445252858 +1409107587 +1703147434 +1632925250 +1148829398 +1718658854 +1912777931 +1782384709 +400308307 +796423879 +922522983 +628201133 +1135424931 +51211789 +813612568 +594103488 +1492192457 +823291604 +1654399360 +1550642095 +1255238372 +803513004 +2008628831 +272205340 +409238728 +793482258 +1377116769 +622271576 +1075329909 +638831301 +430068855 +373099119 +2047938888 +2133216290 +2006024370 +1049284638 +1704391496 +1771318653 +684185700 +2104699804 +420258885 +1606708683 +585417289 +1555683816 +1657920472 +1399029857 +2303656 +1002629281 +74837813 +1656703016 +405787728 +1330076185 +312732372 +266932911 +1602281525 +721971100 +1060415169 +831914646 +1344242677 +2135745079 +1470745947 +1774311532 +361360550 +1371201188 +1760044174 +219901272 +273002178 +1316952023 +1991219926 +957187878 +1274168179 +263995163 +416412913 +1859585468 +1819678979 +2074333385 +1111131677 +1821982636 +929479019 +1185969490 +1331202004 +1335266747 +368562027 +1643934377 +1602199659 +1970843552 +218421829 +515131180 +655274550 +1562664506 +503392611 +2126020498 +1189492391 +864753162 +1349738038 +802052917 +1084654434 +1622740216 +2119004940 +928390712 +432444447 +1245689471 +1192385875 +848857360 +957791291 +864581207 +775707098 +2068922968 +539080195 +1705186117 +1107408810 +1870282199 +892969216 +1475970837 +1366732928 +347685227 +1299330741 +1585154758 +862816408 +1954605292 +1000335616 +1366209019 +1933142142 +42344359 +83478533 +1135396532 +844397277 +1168132968 +610653100 +815918569 +2096523680 +1043097547 +2061608041 +1141425908 +1891954908 +871915684 +2006007115 +520178358 +793355005 +397603662 +77880827 +1900763815 +120402213 +970850043 +1229251005 +1487135142 +1318535271 +381098098 +924806252 +33868031 +188219742 +1925141868 +1400077050 +2121361884 +1967486228 +1483555584 +1109274768 +664399857 +504204904 +1719927869 +1480318426 +453244936 +615541768 +1394442819 +1594670844 +360013028 +118874856 +1453194311 +880191386 +912229861 +1850797973 +958072213 +665510028 +1971200187 +1928922257 +1894761033 +1310851681 +1099973880 +128375484 +88174285 +1133841911 +316595226 +2013316153 +386435313 +290473463 +1833318733 +1869990897 +1399748231 +350234942 +226712153 +972192452 +1830553369 +679957090 +1587734221 +1077512540 +127144286 +1947747249 +1196387396 +1580338598 +680454988 +2108617257 +1283652923 +1638527201 +626643638 +1107369462 +1419965810 +373921023 +270737495 +372456042 +502296507 +358911780 +1506297953 +818891734 +224744286 +1892733267 +1109365197 +2058063019 +1615240516 +361629780 +260814314 +1841952670 +1333822233 +2091367683 +374426112 +774072806 +1021396575 +501570398 +574336407 +70300324 +2081908996 +1254791395 +31433933 +1218078272 +745834949 +658077571 +177964086 +18317111 +1031998595 +448701582 +390773154 +1534295102 +807613362 +1897071107 +205703188 +1032357648 +1642320726 +1315068385 +942937020 +1110077595 +1676698166 +1203751334 +804546617 +863036751 +1147635369 +1178972729 +1637109557 +21548296 +1680543127 +63962316 +91848620 +1614968476 +1318753712 +123282554 +685563100 +2064588661 +781360125 +863527186 +2082905772 +1813358720 +1312228768 +326195278 +1200170175 +2119842131 +75782738 +1405873363 +1004716131 +1718103464 +573458101 +1947653151 +680697411 +102672619 +1003920837 +1485244028 +965709370 +4072558 +516733109 +455335279 +25620855 +49792589 +519297595 +117469475 +1664761065 +1838051307 +240752029 +202840517 +1755156320 +1022112155 +1066367703 +1690578445 +687987227 +231112824 +2016773723 +1888157402 +203471307 +2092556461 +1146547118 +1208187438 +1663176278 +1720005219 +1008356942 +196390041 +1822677838 +2012277779 +1681634070 +640903560 +2016350338 +50883531 +1096238839 +2041971193 +100676120 +1615536434 +11957020 +1765437185 +1306104094 +252709050 +1968277702 +913776766 +1274821205 +887161758 +456871563 +1962808432 +1118274582 +326161639 +1703482187 +1321745889 +271234452 +702545657 +382449679 +1934410730 +275067228 +1390806621 +2130800772 +2097745066 +1255600753 +1664951194 +591164978 +1124467443 +1715834725 +1687403817 +1018954988 +1816510846 +1155456603 +1030912008 +1434464383 +314077049 +1283621058 +1255258438 +1227853816 +410958615 +2142420196 +1684725379 +226283400 +1113211130 +2010887018 +1929765587 +287473371 +134637823 +484827596 +669923050 +2069048553 +759894824 +2060729672 +2052365677 +710156242 +1168846777 +1569833223 +1301321220 +145830572 +1138184301 +841241389 +1164785560 +807211499 +1996697992 +48213920 +94192234 +163291394 +1331834979 +1349450672 +1391145210 +1742793594 +1344387220 +928386941 +1969076994 +310114702 +791790312 +1751358933 +597588073 +926428135 +88702881 +1267511124 +847993040 +848597705 +1180757148 +752875070 +1558753947 +202120277 +175224645 +712591519 +347950849 +1313408946 +1553832908 +1512736409 +2120620445 +1403047253 +1560950329 +67329032 +1566338647 +745301660 +1416779704 +810000209 +340611607 +613683277 +1738387150 +162204953 +923797979 +382693814 +1913563887 +1521386053 +1309121949 +2002266768 +641413529 +9631342 +703380826 +1822170677 +762506412 +114651125 +2024290954 +937731057 +827242645 +224758155 +103656356 +233591905 +1737494564 +76793153 +1636639158 +1150961245 +144122185 +1055494157 +1896262906 +1560901890 +1865494366 +89390865 +27101519 +1456397869 +251595818 +950899498 +1839091683 +17676057 +324801903 +1000729985 +2019942826 +966215432 +1010361327 +575840004 +640902461 +1772867739 +690491129 +517709767 +563115148 +1517733774 +742467922 +666771504 +1751325680 +332478838 +743564658 +1240481190 +1483440084 +887686843 +148491700 +1232219342 +301105085 +2013986066 +1321610207 +328206604 +1322900287 +1573206025 +1279106103 +1014508323 +1590882083 +1603908006 +2015238308 +1463341261 +422639791 +878115987 +2039181265 +1063542252 +503500078 +582188746 +1581252020 +1066615226 +2099922521 +176236294 +1733386731 +1703764553 +508715133 +329467741 +796762095 +1992155217 +1217154584 +945253795 +1076890911 +1518259670 +811756214 +251017470 +1846466274 +2134656501 +1824223495 +978088729 +1001681176 +1267621930 +434513088 +869435836 +583479543 +857152879 +1747551823 +475177160 +1920695131 +103568253 +1057365907 +1354463503 +1170183480 +1009804780 +1530699798 +756086563 +566085685 +2039414931 +1085554304 +1362847780 +1884086500 +155225240 +160617928 +813493763 +1673484910 +972374142 +1064511233 +1372467537 +959546995 +741251080 +203072618 +1961228172 +2008873011 +637585706 +683180360 +444868906 +1494738585 +283248536 +920046067 +1267950069 +386816789 +1977411974 +474929924 +1557000269 +839733106 +2005629722 +165603184 +1405818791 +1897561005 +1251157488 +621182923 +1634163857 +1406382729 +781800851 +300173972 +932383991 +1754174993 +1364685205 +157367880 +566238341 +2105936286 +360440499 +379982865 +1967325649 +998026205 +1063163225 +264710907 +345281143 +1346411761 +1184756974 +1613231212 +1733228551 +1014685300 +2088161136 +1142745172 +1854418406 +1946307211 +1308348357 +1112753549 +1696384568 +412022197 +1733936473 +1183064778 +1818404926 +368253676 +1483238750 +603305270 +2122428670 +700440308 +760673150 +541183363 +658892946 +1121113649 +921166228 +478734947 +2119139855 +1984329453 +743445854 +316937350 +1183257567 +1928202829 +1930168562 +769002470 +795404481 +1870846050 +1911747642 +502339240 +1669669613 +1072612351 +1615092789 +1218570534 +1484634549 +1201545614 +254151664 +1155555827 +1569799291 +1737390414 +1758861097 +1544744313 +290347074 +372050600 +2085927676 +949240020 +1493164249 +859610256 +1427974967 +1464820456 +696456061 +23937174 +1781757806 +1879713628 +1952140003 +1564442720 +501232450 +600060836 +1287805123 +265496445 +1102400076 +809991088 +1338108796 +570009218 +2028561622 +675259697 +1771554832 +135229638 +1830815525 +1193870475 +1872620053 +1442192974 +591131140 +15483479 +1814243574 +529575168 +964723500 +1159924176 +1389185424 +245214819 +477260984 +2085641486 +269151993 +111535143 +1817871466 +73808348 +1675977863 +171620269 +673869185 +816299338 +437116714 +1776269261 +1626290427 +1775225510 +198794831 +1507368401 +303001560 +1970349664 +1642598040 +2133817085 +1016736491 +1367734445 +1428526411 +1607867632 +1383217924 +1095286338 +2137442800 +200457776 +107726866 +1379144577 +445672596 +584987850 +1317302415 +714824589 +696522993 +987690233 +788632938 +225017209 +1159310502 +1462502123 +1041316547 +1596427216 +1091287736 +520123326 +1224169079 +1290082568 +2027491728 +1527170639 +1112948584 +1522606120 +1513504076 +2129685075 +742856917 +794546839 +1590069059 +2126074841 +1889833177 +1580028212 +179048970 +1997560043 +811689141 +624721566 +435064246 +2128991556 +1339546155 +1131587239 +969198141 +2128179093 +1356604448 +2128508644 +1443197568 +250437348 +1577452212 +387001657 +770560674 +654137643 +1677084225 +650568754 +33824634 +642549161 +25691226 +1547328710 +624750588 +768548143 +194391902 +67336000 +747139337 +2084225079 +1647364212 +926188307 +1934301475 +311569705 +1550909873 +221882073 +293077613 +742972380 +1353469312 +1262275754 +723667826 +562590113 +1243300750 +19381746 +813027461 +673269315 +406383403 +1583588135 +1327406958 +2083467628 +86673242 +1361231593 +578533141 +112364468 +761076655 +1203283730 +880912612 +955468557 +1270619730 +1628051949 +892209989 +770500294 +406756608 +679027816 +1082069999 +1957666481 +900909889 +1375147612 +553155213 +106895553 +489939718 +1276823039 +669485666 +1733240469 +1296204786 +1482513127 +259026136 +1702588189 +918617615 +1586433094 +1638572170 +1005290857 +800181039 +69621663 +1117655325 +1561257695 +1272905393 +1998567937 +369242604 +396041475 +1479136238 +1261452593 +1166541769 +1885892846 +1940480409 +101128120 +1696075679 +693906650 +1476275732 +101747245 +800802204 +1966215451 +1378570284 +1470287870 +1551972272 +527291422 +805317350 +1810998408 +82395964 +1723934965 +1249947854 +1720968134 +581742174 +2050128894 +1790589797 +1699397499 +1463902941 +916011543 +1550481789 +1833145545 +1312053018 +882134379 +947114491 +331111140 +620543578 +740111252 +432239260 +169135609 +1434017903 +1908514993 +270882854 +87336459 +1727246796 +1649453139 +1557624329 +1131735420 +29260913 +215458031 +795250180 +111656877 +1939392996 +2045198034 +1832625011 +373651522 +1947843280 +1475731161 +2073049022 +1264262573 +244259056 +1476047163 +949924471 +1556312074 +210697894 +1897038962 +1887423214 +831241472 +489666566 +172178827 +1000377082 +1923684469 +2080693820 +1271259936 +2011020928 +1660456968 +773229427 +1421161610 +644708740 +802490341 +1636619641 +1439958920 +914147218 +1428528990 +1337673306 +599288582 +1802180512 +1138032939 +2075019743 +1727745886 +254811864 +171795151 +1056309401 +1204736335 +1728107225 +1267007296 +954291649 +1468046792 +2098248768 +1443958216 +1640225619 +951142202 +1220159037 +1573435791 +74918491 +1083696318 +1086409111 +848147918 +357374280 +1731117851 +1650638259 +1993993921 +1023593123 +417301830 +1275039263 +213782781 +1016590412 +929736128 +1351815720 +944126507 +509998366 +1606627585 +1115921658 +1566307768 +663880272 +696545235 +685831416 +1618171922 +17108379 +636596536 +914646490 +1657333998 +1587738739 +2134805527 +1083286141 +1662657230 +1071018197 +22211604 +363321500 +1428392477 +1753329455 +2013959760 +1274902751 +629438930 +283777942 +402458366 +843221712 +1300368354 +1332194494 +47553784 +97011213 +1842192861 +1654181369 +1212932871 +1261016981 +170577994 +1909478106 +1946848397 +1788749916 +1926586486 +435961285 +555912758 +1436436836 +2023700024 +543234637 +372239330 +1538873606 +1614252835 +394450934 +1902195107 +895161664 +296742 +1768671219 +22580767 +629735672 +2052449161 +425039134 +1472957384 +1205333867 +1757233628 +1520511169 +1302345080 +1451942841 +1027208890 +367794303 +565476174 +1197786884 +129788761 +364840923 +839053152 +2056375247 +800802209 +1394965910 +1345328436 +677018585 +1938200548 +1717567766 +68408544 +1404969735 +2112018700 +1970603651 +152647751 +2112315442 +1591791222 +175228519 +594567467 +1496756735 +600267653 +2067524851 +554606954 +210017633 +1440552372 +1856952034 +1661960475 +320277615 +77262689 +79953001 +1518064499 +207051450 +444793925 +209634004 +115943050 +1245596134 +1604599914 +1461271486 +1922614719 +1395316814 +1031355604 +1991023263 +652802901 +995890656 +1814143266 +805450653 +960722451 +1258450840 +980679172 +1555289918 +607723927 +1580946825 +1475331121 +1162330881 +1790964458 +768399846 +871799267 +1305441285 +1088677461 +949061956 +1385394287 +459258312 +1156113407 +1830188212 +668892316 +1272056457 +928300698 +126008583 +585844295 +703431769 +1521325397 +1617199899 +546971385 +26644651 +465606907 +213631003 +832095304 +1426329358 +1472081844 +1812774476 +834135628 +2079805771 +1246237653 +161983102 +1094653005 +889718463 +930382948 +1966452272 +47676101 +2019060409 +768030581 +1433070388 +330835073 +1924143988 +1115774952 +999727390 +1048716797 +2044075650 +1125735973 +1634561092 +600023771 +499577722 +1104277343 +1146995156 +526222373 +1569884250 +1360626160 +1358317677 +848729961 +685224356 +1023608505 +1682865589 +617546479 +122362510 +1844848691 +1712199484 +1012080974 +627747991 +1531168109 +1059757075 +499324752 +151715042 +345343815 +830159826 +2075859030 +1461118767 +1829887216 +977092179 +1357710769 +808139541 +464169623 +1957734540 +1307717263 +1568446966 +957246049 +1833939637 +990847568 +170388561 +1044773666 +1839577529 +855612917 +2068382172 +1374959471 +1473159396 +43261034 +1072324514 +1037875233 +1055342008 +1700072506 +421559694 +2115099083 +51913610 +573274736 +312959250 +882073436 +501650118 +1774078017 +564477004 +1478742297 +984305138 +1372616545 +1942911920 +794556031 +532850161 +1363875238 +1751802080 +219306150 +207239158 +1922190641 +1264079816 +2046816688 +630319910 +1184978340 +1274292511 +2103479306 +1228239375 +199133377 +993870891 +136097735 +1899205883 +1415430585 +103713171 +1951119494 +1988705321 +416672421 +685709282 +342871791 +43266791 +1250186287 +1821614088 +1027571929 +475319184 +1617042360 +1822127960 +1008169345 +833433950 +1426446392 +1227475495 +1040673109 +1201153385 +344071664 +940006149 +1831473295 +1529050004 +66815012 +1787468954 +609805731 +265948389 +633856197 +745903467 +17670625 +2049286783 +849616638 +1968790119 +1890508456 +1266289059 +507015753 +85896600 +1309555850 +1757202040 +1907510688 +189644132 +85037577 +1377069401 +2011772092 +1093206922 +63019703 +1290734837 +173198770 +1103692812 +344404574 +517270434 +2043698961 +28394222 +2046320438 +2110513973 +1815863176 +508642522 +228978715 +302235725 +1254545989 +246649340 +204038860 +2104162627 +67955811 +2094547317 +1222968038 +574971564 +32960269 +385040241 +184689957 +1940470957 +574684373 +269727534 +1170056710 +438972817 +1362934456 +1233076414 +1729707654 +1536133226 +189285578 +2074112229 +2053403660 +85500892 +2102506451 +1952240451 +48531217 +1770885979 +313399325 +277509932 +2073121704 +1567945314 +524159272 +129676917 +1524624293 +592115083 +76740586 +600108683 +1167086648 +109700855 +985148924 +1351776605 +2050171812 +1559833297 +1621504139 +1072744875 +1998806115 +836954947 +158337641 +1581030121 +225604526 +347623219 +1507658702 +131524538 +433124111 +1462681505 +2083764989 +481655329 +1086083836 +249680666 +759165261 +1011721893 +1817625980 +1283324534 +1141398810 +1194766625 +1875439617 +1218139396 +1794875309 +895042617 +1327840251 +632540585 +99335574 +1230528415 +44890235 +1720839713 +155789642 +2043696350 +410311013 +314127283 +1477242823 +635915539 +661750503 +837417878 +767440077 +1094874614 +152615735 +703721419 +1576529943 +1238699572 +953402085 +188211557 +102937817 +623544418 +1471536091 +1244336627 +1818311043 +1199492060 +314992375 +1465702704 +2094534678 +1642832626 +2098243290 +46386604 +725877393 +2143133525 +1767226318 +881667036 +2039346227 +30053683 +1195794319 +1369105402 +665969222 +1857544822 +59039632 +1433409299 +804935789 +211655368 +2137130718 +233982084 +1450354940 +943049156 +422193641 +1553292757 +1566593574 +1893729732 +650145736 +1237420969 +945738145 +965138111 +555640026 +892789175 +460487089 +506399668 +939175779 +1186364482 +502049545 +558918449 +2068031518 +393912124 +588972132 +1116342190 +1763017526 +1254941354 +826403364 +1822057159 +540867006 +1631339153 +2033712527 +530514076 +1865321238 +1336583819 +1473563232 +140031231 +742392928 +892673158 +2033760964 +1392538664 +2130094128 +832015461 +210193127 +538250506 +1724804636 +670680216 +1044650174 +516496767 +1857044698 +1546699719 +1075415217 +1777592569 +1940611843 +1664387349 +746451111 +1556145721 +771845056 +1572854475 +1230719232 +1312712062 +1056709981 +1116948111 +1843226138 +774547571 +306048282 +1169305723 +914578802 +1048441210 +2061978881 +800856118 +293496226 +2044589361 +1632871579 +503689353 +435356219 +1210192567 +1174369569 +1480006393 +1726689335 +883930620 +879222464 +654620904 +514039541 +672350659 +171524605 +1260490652 +81012733 +943369661 +685861479 +1311731965 +108598075 +1742571460 +281196429 +1951824214 +369635383 +587244711 +973646289 +1284214186 +1635685922 +888141522 +2085070304 +1929182148 +785247236 +1570458236 +285387854 +1220603455 +633167155 +1459757423 +553126201 +212372842 +196204395 +1432348665 +866993746 +710243936 +2104699325 +1038518352 +1970734588 +38228410 +1981888013 +509112420 +1349960375 +2090486089 +104200232 +1631156804 +1894826655 +473835616 +70917868 +720989296 +1758049802 +1706603790 +1609130818 +1695636458 +1488302290 +246894406 +1118611046 +1773690144 +1467497862 +1751778202 +1085963920 +2020624063 +1964151044 +1282168315 +1305489080 +683661143 +1992412252 +1262704757 +1722179495 +1815663192 +1300933167 +1556583860 +177291964 +503409895 +1499586301 +281492197 +2134566699 +1246929308 +755327813 +58000919 +1967918604 +365893967 +1764604709 +1429565775 +2061530425 +1105423352 +1676460181 +1032657824 +731629848 +996474395 +636952378 +1817593768 +869614810 +453619774 +952278436 +27620243 +1137280917 +797207040 +1290325000 +711976764 +465386584 +443774520 +121076977 +642678549 +947184415 +1620663278 +924170746 +934267466 +720108939 +1679498559 +992268386 +540543895 +2045392526 +609389447 +1970109670 +1959439303 +1714812799 +1499086204 +844613479 +298959000 +348076951 +1481565857 +2116552768 +1217691762 +1935185632 +921347556 +1245312005 +924982901 +1718554596 +388153357 +1636959666 +36457533 +831927877 +1758036643 +679136082 +1779112292 +1231216273 +1603306828 +565896111 +1951325212 +1135321739 +1558164497 +344385460 +1033230617 +20070296 +167011482 +845186272 +1734883096 +1666097686 +1689799752 +2033842096 +2014174638 +1023881961 +2002911216 +1084382752 +811583945 +776775125 +182211109 +1736566847 +347846073 +570364466 +1226042865 +384303606 +1402292344 +836595860 +1063439688 +1033920988 +2067812133 +519262868 +1599817099 +1871653698 +1654584607 +1010497948 +68555510 +540331576 +1030568245 +235566992 +1385517849 +617967693 +1901664679 +927833953 +504326141 +1768355669 +1951715914 +359753709 +705254773 +615816212 +1136528834 +887465882 +204899411 +1484374908 +1457830348 +1430942276 +1868678514 +712639044 +120054488 +784634555 +1746560033 +40382973 +1303897423 +1198893484 +1912036671 +810998383 +61907785 +1980592181 +1351329959 +1092476030 +68675526 +589364160 +1710443723 +1970340205 +1517198113 +67286216 +1591212226 +1321430380 +427039925 +148983351 +1937246592 +1563568760 +1036449233 +2142146003 +900460020 +346795933 +1425604631 +621654886 +1059434978 +1545659119 +1406289441 +658511363 +1586042092 +562703217 +1857404847 +1350595116 +1373701600 +1919312632 +1183703649 +577547911 +864305014 +1252379175 +1166912072 +427265089 +1075235732 +536626537 +494551305 +518964310 +1858056917 +921591231 +667947661 +1647819861 +337676343 +1704396894 +1642482216 +1238136363 +2051192828 +920603199 +1859791249 +963144158 +318778670 +1118597043 +1621655521 +1904820763 +1681300260 +1331576720 +1107932231 +907518212 +1103405705 +144152232 +1485066123 +1967710719 +1396531408 +504494547 +247492161 +324283492 +1041121085 +742043466 +843247803 +751694354 +1663634697 +1511195464 +252030568 +2001311040 +1068108711 +1894512784 +1091963755 +971817891 +667632336 +804271357 +1934962049 +986411006 +1922868400 +1409133922 +743748121 +1456685012 +593226994 +1851680352 +216719576 +1696632699 +1995832585 +1701785699 +1516859771 +1244880345 +58796599 +1764351932 +1569163837 +1099917684 +358911750 +264927992 +1851612038 +2022546448 +1776123457 +2103642606 +1876373840 +696748520 +1850671743 +820853948 +1668566411 +370820431 +1625125305 +1456044812 +1357231437 +1400510057 +717695086 +2100979559 +709711421 +1310922080 +1805176263 +926430997 +860071132 +1653525200 +480733048 +229447255 +750921897 +539529647 +1993799187 +172602087 +1639447331 +205227289 +437530079 +1343575722 +80290089 +66169888 +1299734680 +1956663930 +762918408 +1002922775 +630034230 +284001171 +1373743206 +107675887 +1740045983 +583490996 +1508185944 +310257421 +536986907 +70413717 +1621179502 +194679522 +996844714 +333766986 +1848204723 +1477577762 +563214241 +451642972 +2017107410 +409529780 +624245059 +1509071093 +614757069 +1061775139 +705163167 +695047159 +1127945027 +2004897848 +504227441 +1890863436 +860336975 +1134261671 +27380959 +86596534 +1241937558 +1767426943 +670087530 +602639854 +2077684364 +1207074437 +673053571 +1551380218 +1401753959 +1669898285 +1885147204 +1102475034 +999992399 +300877797 +1554118007 +869616161 +710407577 +30879418 +231203607 +1325164647 +1092654557 +936366774 +2020211806 +73115937 +793780974 +376955599 +1963979373 +1654117950 +1511217270 +1991360332 +1740714484 +605671180 +1611303627 +263318366 +1208311034 +1541504344 +1470392803 +1881364605 +945400914 +724663114 +1403779242 +683064471 +1827138149 +256287993 +983942268 +1233772508 +1125904155 +1694349846 +1264651926 +1357107762 +872030845 +209822836 +145990888 +744759003 +282938773 +939771863 +1121714602 +99434498 +446406165 +485448224 +2090794830 +39637001 +1091119404 +1554614810 +302955367 +151946790 +948635506 +1773348170 +2033311395 +1894036420 +350527636 +1289606989 +429617243 +30182137 +1545894982 +1413559512 +1263954645 +524315489 +960425710 +381122924 +1881423251 +1832456555 +590945760 +2027414140 +429731910 +873884533 +819702355 +1551446512 +973319031 +1266108520 +2036894736 +916630213 +1305745521 +980530492 +323761375 +1608700888 +1132477282 +1272396881 +1234565410 +1018305029 +1018949654 +1585093046 +160428370 +1448566897 +1615275184 +1706323352 +714642761 +731746181 +83155194 +1675068471 +1112869105 +1964578445 +1360041378 +1703814865 +1844508937 +1789773288 +430215750 +516727644 +1193736152 +1403534781 +1782836164 +1083147240 +172681347 +941098037 +2063677732 +496442722 +402315277 +1048671366 +1768839604 +1636880687 +2066976395 +640305610 +1074490086 +79921117 +2088872507 +542281622 +1786244470 +656031621 +1274027803 +1869399664 +183616444 +239413261 +1686494461 +1543657823 +1943228126 +1383519751 +1185947463 +225960229 +1900247395 +232199968 +1629495010 +1535599912 +1315347208 +1802176357 +329214301 +1231541293 +151135432 +731529579 +132729011 +1919975036 +220926618 +52221759 +412796998 +1295416704 +132142876 +354185857 +1837698326 +1918387346 +1010217478 +964242482 +1640303362 +1193833923 +1203655743 +1179314176 +590008098 +999400221 +415350279 +1775955561 +1225360450 +168114026 +2008155529 +707371813 +1703713938 +1176019090 +362064522 +2032928240 +260076735 +513199954 +616974171 +392805746 +285691342 +837900789 +445027505 +698488340 +2133317494 +577170382 +1052674198 +1823532172 +348074080 +2062891676 +640291006 +1988377443 +1109241951 +1843946749 +1020207971 +1699250049 +695863323 +1435558250 +1327721963 +1921223773 +1603672276 +1188393844 +481111938 +1159902567 +216929286 +843176461 +1045347159 +477006021 +1356376415 +1662321330 +869811768 +1642067758 +352738471 +1314839273 +193072450 +338572317 +1892009655 +1245746648 +14620842 +92600088 +1161154677 +654911848 +2080977531 +122912980 +351374950 +953701854 +1822163030 +1047238273 +241776456 +1002401345 +820978398 +1845448732 +43311541 +1302090337 +857867651 +260240828 +2145266798 +1903214810 +737246849 +1354159565 +1418052492 +1607058617 +848743675 +1770790964 +774414243 +1041816126 +2109363281 +518940250 +140079126 +2123984123 +611540338 +1301233803 +631412324 +545034221 +1424146784 +982787274 +1498736075 +1098826166 +2030025547 +1740512531 +2101227511 +703520297 +1438477616 +2144539052 +2005610634 +148861619 +257296232 +2003393784 +2052076430 +994543082 +1210069702 +1322645274 +454118051 +2058813377 +945952590 +1228532294 +953145855 +907832224 +1747472545 +1093224982 +884332699 +211529235 +246975137 +1515745023 +756563457 +1671121921 +351048649 +107815884 +622464439 +233590548 +1848328416 +576208302 +937110846 +1139322384 +573263707 +795237832 +1288184003 +830559939 +651147969 +1192776785 +1825103021 +1861217671 +367938412 +131737425 +1772547400 +1313891002 +1360269719 +578209608 +74239578 +960258616 +1671434590 +958572278 +1171787852 +1918409727 +326833653 +1928351309 +1442048001 +677882303 +2036167193 +2064512440 +911472851 +1737011961 +493237095 +1848583697 +728850697 +1066500802 +496337882 +2017034701 +1897060741 +1147485851 +1062327838 +1574680115 +861219874 +1430266250 +1706417540 +486283626 +596673605 +919203611 +1064493234 +670913183 +1879462228 +588444176 +1629485461 +903766432 +359370256 +1956319115 +684634093 +1801418257 +486717770 +573317638 +1718447049 +1398190621 +162845952 +64200496 +1099290671 +891696649 +1130701298 +1595628553 +761247702 +880278392 +595630756 +1823575541 +307474859 +1456850630 +1106358143 +2013892399 +1943134256 +1703031748 +785612362 +860143843 +226461284 +517590942 +1448588019 +1855946745 +1421357374 +1807958275 +1664782212 +2105991467 +1461892884 +4016334 +531825458 +1032856286 +1402206956 +694671410 +1097056782 +354013979 +1586368059 +80274433 +1949642532 +200132114 +960552825 +397789640 +2023707655 +1268027684 +1854640270 +982582150 +1134436435 +1650290878 +538130251 +1920048797 +362951073 +764591535 +290156092 +1811539093 +473054632 +1711513466 +1472013720 +2137836845 +1670021286 +786422957 +2141853179 +54363096 +1819279243 +1396576487 +749034506 +768852377 +1750590466 +187918917 +849126810 +1552749350 +388051031 +1809679635 +1950538990 +264275038 +930223671 +1657695612 +1246857189 +2064660106 +1160502843 +1784987440 +1837225256 +1523453916 +402095327 +2127381348 +1187509361 +875149959 +1691411166 +512039434 +865503156 +1213948804 +1298462391 +859872688 +1268311900 +970257986 +108965527 +2017346406 +1739110363 +1859555994 +57781676 +440753526 +1264821696 +445832707 +102949513 +1067877039 +710107746 +1033173185 +578089003 +1956964935 +950349643 +1738591846 +1594468727 +640091251 +1114562115 +1996564054 +619988951 +154587828 +724230365 +163916470 +666627262 +1589733522 +1377865274 +1965089653 +302122562 +498693527 +787863991 +411088089 +368556285 +379490707 +123160435 +426337961 +820244233 +1387982132 +872170669 +923193746 +308375523 +1582278415 +1956366931 +886464526 +1391759702 +759232927 +477572725 +838744781 +1399324178 +1592134840 +687825187 +2019313130 +1746722668 +1412055552 +35745952 +265866283 +854305426 +1413611226 +83472288 +1156427988 +1912304753 +871336280 +1567516078 +133377391 +1250826987 +1690676513 +559715352 +2071071220 +931174997 +1431886021 +846781318 +1239550520 +866680788 +655664602 +2126015047 +110956842 +1414897529 +456104124 +949701623 +666738059 +2048238964 +1637526810 +538567541 +1647477984 +902098715 +574313493 +1913344267 +1756404141 +1987924720 +1996816556 +765348482 +1752745825 +720669188 +185380912 +1886123216 +1971496175 +1876057425 +298354921 +1895083747 +659748775 +1730240942 +594381417 +1899299295 +449438083 +1250046019 +1877830694 +560394925 +517459900 +186451170 +1510096549 +1184197960 +87206486 +1000139711 +1722765501 +1734684471 +1902238426 +149595347 +1500545090 +1511158920 +2137520067 +1349877998 +129023754 +1742782244 +2070547186 +314404666 +1481421813 +1894559713 +42978443 +1779776734 +1642159812 +702727218 +1362534028 +89057582 +454542866 +1811972111 +1339103601 +184889912 +224883389 +1856563502 +371341083 +1734979938 +893277814 +458547569 +587636001 +468559667 +45748392 +342390780 +618155014 +1546293483 +1853549700 +608191433 +748687833 +1982573454 +203490030 +671751372 +149494472 +1684911843 +418827437 +192472915 +1317204929 +2060987250 +895200134 +532255309 +2561184 +1349743000 +196743773 +1341664785 +1534632912 +421627162 +1050744639 +1905973995 +9123452 +1944022453 +217037917 +596759453 +265098473 +262786309 +939150233 +883253487 +1809079792 +645216285 +1491444921 +410283978 +480306091 +1694934951 +1082035350 +629800563 +1232363146 +1500862787 +822273479 +402084427 +1414366389 +1717473613 +934339736 +1416927573 +919732965 +1131083509 +611108711 +306882229 +1552710671 +1661853350 +65372577 +1561834123 +1458392156 +282410494 +11109929 +1723490629 +545196803 +950260162 +459260468 +206792948 +1595476448 +1950705389 +617076926 +2075782539 +1498156692 +1699112276 +558099455 +583036190 +1052491415 +1380372934 +985120617 +319374157 +950362899 +1919460354 +1736301730 +1870095864 +903060215 +199926793 +29494445 +308287239 +1861780144 +94867022 +1870121362 +1172688652 +377277516 +1881231291 +748695633 +922474320 +684007806 +1207956101 +1129267268 +132000606 +1011177843 +1746344194 +60299497 +361850887 +1297972822 +618398952 +944887078 +202980589 +1998771886 +1930007695 +522354746 +801651137 +1701984401 +111172829 +524263353 +457560969 +311099622 +553757799 +765848208 +25396118 +648624821 +488485922 +1198084770 +1025902338 +222233566 +1946780403 +1948376658 +906241372 +1007252857 +930160278 +1038241978 +2018430700 +529020824 +1098541475 +232797939 +1826993646 +1716940428 +1177685017 +2029974235 +1568228666 +960209065 +404845334 +222396156 +514709818 +516018163 +746659509 +972270787 +827117785 +1300417308 +1738118995 +852513904 +1949042130 +79121270 +2050598674 +827460820 +301354836 +1849895430 +628353830 +1207596208 +709664639 +1558514108 +98354538 +580611691 +2087534932 +1196896013 +813409630 +1767044930 +766352793 +1991094648 +1649535517 +187097812 +803820065 +2054380851 +409493968 +1318529883 +422915366 +1156153477 +143317023 +1250033152 +309087138 +1881436018 +2102547056 +110645620 +1960557288 +2005662082 +938106440 +114428476 +1708073864 +1566460270 +1322024684 +270254855 +977490730 +1420379222 +850866546 +917542014 +469791588 +1664276177 +537103296 +1236144381 +1507887177 +39155165 +1423242193 +164223594 +2093536017 +1832736161 +1482753477 +368967735 +841405991 +1626070500 +1619000887 +1150493129 +1360022871 +1574064295 +1261138749 +1173096511 +1432242730 +51761541 +1287524988 +992832946 +1618221811 +462066024 +1263087802 +448228893 +1882445247 +2113954348 +1365770907 +204753187 +1630746877 +1902874203 +1440897568 +991150406 +1942029368 +716656114 +1155374000 +1888081737 +401908627 +490643830 +109565825 +1243314618 +2116714330 +1728566712 +246324099 +1329253553 +1155147360 +1507462848 +354866417 +439906442 +1559224389 +1642391405 +1432739388 +1029962552 +2104457429 +548343542 +1478191445 +1839419028 +514814243 +696478704 +2044172215 +2145561120 +451869259 +1337586136 +989227879 +246414980 +2054242250 +2144601879 +2134496717 +308667229 +487762061 +96578894 +1551981848 +456992744 +1825145607 +1798305947 +1786246297 +832809319 +1158285148 +2141112714 +1272715761 +570025889 +1636020471 +557971501 +1599988442 +1592994253 +1106315044 +930696239 +1284929633 +1621129287 +1627174944 +1181618201 +1619206759 +2079044203 +371720689 +460950990 +177975535 +278479291 +458069222 +164988605 +587146520 +945831283 +261567499 +2139128368 +1402824027 +2086713106 +1789950668 +1041586677 +772038777 +800752168 +1035215743 +2044754538 +1370778057 +523752567 +455242392 +823282851 +2116746820 +1561557436 +1753979091 +1254192805 +1035203075 +1233670387 +288327358 +506926186 +1165230942 +660048047 +967877177 +1343206478 +938527338 +1425946399 +1508195083 +1525673859 +224294034 +1769762582 +1517318579 +1627118062 +1708992041 +1159785599 +521221091 +333547170 +1960537767 +1556436834 +230818061 +1183832177 +2080189401 +686060453 +2007115028 +2049452573 +100134241 +1613610471 +1156161731 +1135337316 +699797210 +1444489089 +1642263502 +1865028153 +2104537137 +462657031 +1060750983 +895580827 +1888603430 +421462418 +273771038 +2112897465 +43741352 +1791089618 +1592531879 +1752733393 +803391569 +2113752970 +2086280564 +616445689 +1522706156 +169614977 +1800277866 +1455411910 +855675430 +1659909246 +1357380835 +955809671 +1126036070 +366058918 +2091146987 +1825833280 +1810548008 +1585926841 +1543377785 +1767601497 +2048583873 +456645120 +515698676 +1789703655 +878107538 +789469715 +1755117472 +921848891 +433075685 +1200165703 +527098636 +1236467254 +1166435025 +465895552 +1852912943 +541657534 +635510529 +1505707161 +1997069444 +1491185959 +1018132760 +1206966631 +299511982 +2144168830 +1573025550 +243175321 +1822518462 +1236089910 +1829102163 +1218412600 +856207759 +1730202388 +1675057720 +1371906435 +1372422395 +405681611 +13892502 +980056220 +1327530502 +446968187 +32738275 +1854629138 +1683435442 +1199173301 +173041043 +1388864737 +1740830835 +808551572 +747088251 +1590416631 +152253884 +1765221011 +649899614 +451765866 +1761906193 +75441516 +694941188 +1436941007 +1311531426 +376559703 +507869959 +20255537 +2106762091 +35444032 +1392161973 +1331700838 +441125643 +1406054475 +164273410 +1768656145 +1853022663 +197011686 +1475801635 +1388974457 +1396184987 +1648842678 +630355546 +989532174 +309910603 +1377443797 +432465157 +462164487 +995181160 +1082364771 +913930353 +609603705 +1157806288 +1608871541 +2046544713 +321854066 +1985431244 +406931024 +342109604 +1944709687 +442375056 +1734271577 +1128926878 +883500699 +992842404 +1293200288 +504673196 +698381419 +1490211974 +1980474832 +2087355876 +738913313 +1481833862 +570227775 +1728445487 +1791744465 +1947671572 +13426996 +106425304 +795369085 +1095791768 +1020355658 +1404972790 +106114408 +481743551 +1304033855 +427968474 +319691148 +1710964880 +770078078 +116917187 +5856288 +356866007 +1245844065 +889356988 +1349708412 +391560706 +1394030184 +2048089831 +1881772680 +1227021368 +1987962060 +473202346 +561371583 +410706187 +54164185 +205632400 +210894111 +67591182 +312057705 +1006263196 +1163382950 +1332413363 +263752339 +1269497358 +1814156914 +1567786194 +1697465832 +2133848062 +1131267426 +320060263 +103281602 +1137123715 +676926270 +1349125667 +2026480703 +2026634682 +1740686373 +1273027239 +1927240866 +1474975406 +352564960 +1767719278 +1948177752 +913936543 +30941817 +2002341937 +1119568943 +241835928 +2069933119 +1431626648 +1248099125 +1085832421 +616556363 +1511851464 +207846131 +283229630 +932154010 +1905311964 +269594044 +2063421437 +77888579 +372875646 +1053061504 +754814849 +1722001314 +932058559 +633965884 +1315204039 +57602150 +413723102 +642695797 +410167110 +33958732 +443389901 +1324103653 +64900549 +298248191 +296188949 +306736477 +220697662 +1727815597 +1554835602 +1306530084 +196888313 +919203418 +1514376215 +480117943 +1851357429 +1272204531 +749711987 +1767295218 +1350093110 +1122587634 +672873074 +2104907960 +697105300 +1604931633 +591390196 +2012309339 +1662533783 +1005113298 +507521489 +2072700894 +1039072030 +950911390 +1249320899 +1103972579 +1249159581 +1545509848 +1410709056 +1469857244 +1125841798 +818061011 +628903680 +1322730111 +1737264429 +2143279895 +1802848054 +1441138210 +1268000779 +405076393 +1060949780 +470610241 +1527664027 +1733822854 +428034553 +77285679 +1191270839 +1019424749 +2089595019 +706320975 +2024538047 +449632860 +631538221 +916126429 +1400544250 +1880859120 +2020099008 +502220184 +1278885321 +1283324417 +1972077428 +257243471 +2101385428 +453497460 +1579973582 +1691166209 +449293707 +1235337988 +984820772 +1717294486 +1640414381 +2045770552 +40421080 +1020594761 +1632109759 +468455633 +1097880440 +675896950 +1487880383 +1039991811 +1382217925 +1364934782 +1489624671 +2013756146 +133577564 +742685274 +1747131619 +6192924 +1244905458 +878533292 +1289517341 +1069499238 +1135776763 +1243419121 +1522996698 +568266697 +787101683 +1972290405 +1803604685 +1771922455 +1542101244 +1296535418 +1670209359 +1582522324 +169646531 +1154835470 +2050977957 +1267526972 +1830732421 +1391374692 +160035135 +1065466698 +608825827 +1649659807 +931739197 +742403391 +244861433 +531387168 +748596315 +1489766891 +1409920460 +2038113657 +411782481 +398213575 +1134049130 +1934779179 +966480272 +1921150813 +1759585936 +622601309 +1545589620 +1154203532 +1919136727 +1068315332 +589242208 +2088783259 +75667154 +492736518 +1208826583 +1906399575 +1884111210 +1368861718 +824382626 +345453389 +871037877 +1756121823 +1087856780 +1115899310 +140025343 +1836453096 +458182553 +1549945803 +1727083105 +869965034 +1948159378 +713648587 +657260565 +767156002 +487315753 +269362854 +1389757311 +2032905373 +1423566386 +1161410390 +953737057 +2012808595 +1102710001 +1029404212 +358061465 +164052936 +788320139 +94689027 +1532914655 +1612702765 +440142417 +256468884 +1221340940 +1527999197 +1372368195 +1361366283 +1216968645 +1830550748 +763828438 +796568102 +553032135 +564504168 +1510216690 +1210292700 +1331660170 +1997532443 +1479655554 +573933833 +1882954168 +755738293 +1735344224 +689207578 +621063240 +690570577 +1718611790 +979124705 +854623514 +359448281 +1073813732 +240054521 +1972151047 +1513956149 +496523405 +1046008339 +894471699 +1868891600 +259890975 +2111440344 +1551958701 +1023719413 +760524799 +2104990836 +1588223582 +123257841 +1167799888 +772400104 +2120790284 +499971795 +1346333938 +1856260804 +1255710088 +934194514 +397984734 +1876773328 +1624765091 +2116596524 +708414385 +331904957 +328561158 +1782228117 +571959478 +153228557 +1148700619 +1068482884 +1199236896 +2043172318 +789890836 +1459127871 +2007129014 +194365889 +335363637 +620170165 +151873077 +1923587219 +743428006 +1319672966 +548503675 +716734642 +1819644761 +1894837613 +425511799 +927871201 +681548479 +823496533 +657160881 +158829923 +792609410 +1365575266 +490734880 +1121170568 +1000319735 +1062694359 +1274399125 +1536706 +2131177243 +326152373 +2044709024 +773584431 +1785280245 +1904354391 +967950321 +2120643882 +377040908 +1119823398 +1896747453 +1120468915 +292012716 +297767480 +1837203557 +2111657477 +45121446 +115231708 +892045030 +726669925 +938728242 +1549205911 +885499848 +1731337652 +767297529 +1376234729 +705024572 +1767617265 +291445440 +1979423697 +1769153971 +275139035 +158092422 +1666379348 +1048723466 +1943372667 +1423250091 +2016673787 +1916532901 +1800290999 +989013538 +1665796706 +773276266 +1281026254 +1963564187 +462996176 +1245200084 +2008685633 +578227884 +2137245114 +587871910 +1516956126 +1538967378 +1473371759 +1100810130 +158781259 +702122840 +1805834702 +1926398524 +993568280 +1637774751 +1548068848 +1268707315 +1795867174 +1066964548 +169947133 +1591756193 +342730991 +39137273 +1360805447 +2143021990 +1028150811 +879118505 +768814609 +161693417 +695199044 +1231810785 +1406893501 +556401029 +1810038669 +1396654968 +1144272940 +1179511148 +788138698 +470161051 +132837630 +946919957 +1172283891 +1938672333 +725834834 +18368523 +1428963436 +126420034 +1287075838 +1077346962 +1193384582 +1457022971 +521619508 +1536115573 +1496160244 +1882424955 +1531653915 +376827407 +614059812 +152984876 +538520825 +1309258857 +1384795661 +1945414326 +1865659886 +1047350683 +1194585646 +862449178 +79378183 +1982724344 +1332610229 +212215813 +782160654 +357410472 +3404498 +1507995488 +375778995 +1432367935 +1634415522 +1662854833 +362231249 +680316456 +972394157 +883850757 +68948381 +321070753 +618792064 +1600602296 +697898161 +1232851877 +1753587173 +1236418986 +394627086 +990899186 +1034349664 +112803324 +2038249869 +81451663 +975252503 +2117628052 +2064176007 +160379084 +182360218 +698853013 +517789557 +185764716 +59364853 +893568552 +1618132651 +1693780375 +408939738 +1980363901 +226613183 +1381333895 +716731010 +295561564 +1702404648 +1335523075 +1896163861 +252819161 +420891304 +1502267386 +1489238147 +815518390 +345682924 +376104164 +928321714 +236449146 +457555827 +1903574217 +206593550 +374248186 +2063953302 +388953768 +1073101200 +434259211 +574718485 +1132466053 +1327827763 +45367488 +678762781 +1736767501 +2025731389 +905375964 +970617748 +594978752 +1200937529 +525538749 +1930501827 +949617742 +778357910 +203909483 +304401480 +120112410 +1019427873 +650084404 +496216574 +1947749587 +886533550 +953772401 +1703840157 +1093127101 +1328020587 +1620309811 +1482080869 +253638139 +2054569022 +2056799354 +1386104193 +1234913137 +2102166843 +2064866974 +824196991 +1980414584 +822759290 +1794814739 +427909688 +2023696819 +172869840 +210927867 +825830913 +951227751 +414837350 +1130232393 +1071340161 +1434265223 +1780316798 +1567556735 +1234531163 +519366700 +373845488 +790887672 +1612493801 +1701866075 +263713835 +947091023 +1955504215 +170799209 +856406729 +1194124760 +1405712346 +811089924 +1111508086 +82425689 +644020861 +1934267376 +1877240429 +1071930549 +1810480548 +2050110269 +1282858417 +488827813 +853854372 +1697695767 +1619060207 +1925194533 +984477343 +1251893357 +1345267620 +71524858 +1771260057 +1719113108 +862412530 +1236270211 +1273495536 +1126126365 +35877586 +1081516103 +1296925574 +892284315 +128157215 +555154272 +1703374240 +1239665301 +637579962 +199911453 +1026449029 +367336743 +1271842002 +689445929 +269963364 +407216771 +1178273743 +1123817737 +2104912539 +649850302 +901528622 +941906234 +1901743659 +99312595 +1013431092 +1525520068 +1818425703 +1875843622 +614306631 +944437591 +854486339 +650184217 +2025953694 +3928265 +1542468533 +6627261 +559082537 +1098359125 +1246292562 +1196662499 +1298270578 +125257944 +1563999242 +422628932 +814703873 +1833962607 +829845704 +1992977616 +810296696 +787274595 +495344270 +1711825318 +1729180829 +249604281 +1811137913 +595128273 +1775124350 +1482079969 +323488247 +241947333 +279033912 +1177974586 +892131551 +157503959 +1181902851 +287116436 +164131220 +1740985388 +1385475561 +1410423783 +790164240 +536262491 +1535681727 +206679834 +958891423 +202901952 +2040642441 +1788737127 +48395921 +703455489 +428528074 +543740191 +267797160 +10225255 +793344473 +2078935073 +605353528 +420985175 +1413531394 +928841775 +662932508 +1692565307 +2106816361 +1555064059 +1850069266 +1141235564 +1842180495 +2014200486 +734737305 +1080172408 +1277140621 +1524901545 +1616434899 +665338700 +1731581379 +427842675 +868240653 +1624740173 +69096154 +916636574 +180712014 +497624229 +1460376765 +448509174 +507849484 +106237590 +379960600 +1113203013 +527222765 +1793491994 +2042044788 +1190155274 +1338573653 +2001377502 +597735685 +1041159271 +995129418 +292432533 +907876110 +1729866723 +1372604941 +37533083 +1107284620 +841556193 +702871784 +691382352 +1269398868 +1571112437 +168638877 +1338495022 +340265363 +349350891 +1836119251 +1800642128 +797860066 +196485088 +1906879719 +1177820666 +1309688101 +286618836 +823829012 +1204249241 +1476774110 +14919018 +1058143095 +2074509796 +1056078289 +2053272514 +219458681 +1963954399 +1635655589 +1592063622 +2001487483 +595456562 +286136167 +556875619 +1286838914 +1555535035 +2127988056 +1455477791 +746546410 +320769771 +1804828682 +435182013 +2121411899 +455205100 +631667101 +1880807970 +1633025766 +1941355202 +19943159 +309371131 +998120796 +1496717269 +324290149 +2056263891 +1423743417 +1380368438 +1962052757 +1643202098 +1196839190 +1450224699 +1087782073 +1050843025 +2045681261 +1373918240 +1607718644 +1185036527 +781969628 +1588223052 +493030670 +1528516038 +1908992823 +150375704 +1963698051 +1882921074 +605580805 +447881505 +1616245397 +91122923 +241753059 +1636188556 +400494054 +1239873855 +985422177 +724784203 +1148654099 +261681947 +2105152642 +963223208 +1904884045 +1154508184 +265964259 +845182470 +57867561 +164161872 +71617063 +1665586205 +1349198399 +853586691 +1106325609 +1842229069 +234619081 +867834784 +1992604774 +50833484 +603272210 +450701931 +498714989 +72033959 +541824854 +740468049 +1708222515 +942318909 +1980341904 +546161045 +1667103112 +981512355 +807842992 +1624772106 +1944735564 +565243389 +631796642 +63216175 +1410425860 +689664203 +227378048 +1482042923 +207766760 +1576576447 +188145966 +1314092369 +1271321869 +422765047 +34443505 +1116442995 +473598531 +637715716 +1567144926 +972313521 +709749675 +2108969780 +1712781570 +270488543 +903805041 +1545639826 +816649588 +423424506 +379668534 +1624492580 +2048196612 +176920450 +42252321 +532509607 +240136625 +1452678181 +1222173810 +467514673 +787237456 +1429940571 +2044091121 +975383422 +596549292 +1167929342 +1398148469 +630992798 +136888689 +1871747001 +1268708514 +1704033615 +696576874 +1978458189 +1665519747 +261874796 +101463084 +421841141 +1807514622 +918112672 +845265647 +39699508 +395121604 +745978611 +216619958 +437373926 +1278488218 +456756584 +1890052107 +353178381 +924271257 +529805916 +1783118952 +820878730 +1505189338 +232184596 +1988808072 +755854160 +863177394 +2125696761 +480117513 +2131885908 +1682246728 +1176694387 +1962860450 +1200282828 +1438569183 +2064323534 +1622123969 +1098600157 +834952559 +319905968 +1138299666 +1230074163 +1065884579 +1354919624 +1667448089 +196889150 +1811676208 +1410016549 +550067531 +588463818 +1939822465 +185702835 +1409342548 +1297528155 +417887431 +1250666973 +2053382315 +1281064826 +1228880086 +386016180 +1265467086 +763643167 +1562710567 +1080843888 +1963925995 +853796102 +997683775 +1438566316 +1952396260 +1832636334 +1758472284 +943212278 +915226849 +676873215 +150648254 +435191291 +873762365 +1962324463 +1845207840 +1423829896 +403304633 +1637546657 +1609532731 +1812647181 +787591164 +2027420163 +915830506 +693489832 +1161001341 +2144710593 +1079506012 +278984779 +760870112 +494732932 +1359828668 +577312459 +1348529034 +210028795 +2015878775 +1153441646 +2042665129 +1626867411 +2096653924 +810408330 +156256978 +99818531 +1245599621 +1030019344 +2062142994 +943323813 +306365592 +317963979 +433386822 +1915898324 +2130611160 +1220977987 +1795834839 +898958019 +1914467819 +809352532 +896184964 +846490183 +1088337311 +1657055076 +1341223115 +300682331 +86883887 +542268502 +510711126 +2102762662 +1695710148 +405892607 +1582146425 +1644880425 +1216300938 +1738403403 +1744698956 +314416911 +620939099 +1659358302 +1257740725 +927304692 +1977322281 +1691127547 +695719368 +1960449793 +764621886 +344070559 +711924164 +531606057 +1153423091 +1608109128 +1378096241 +94276754 +1117680556 +571835708 +394959086 +1204564443 +1114104210 +905670212 +1159843457 +662330711 +1311562820 +594506234 +159727488 +380380110 +185425990 +1904426444 +694797021 +806365089 +1416301098 +1952537746 +1733669781 +1246139731 +1496181646 +281905501 +1059105876 +113319884 +625976060 +1771030041 +644925942 +1779399151 +1231655521 +2023022183 +1873675906 +201852430 +447374243 +121151344 +1406416873 +1561478454 +1026821556 +418776683 +76325517 +190900728 +1013282917 +236053005 +571280838 +1198708907 +2140479449 +1266077860 +2005073997 +1409296899 +1071131958 +1591260130 +507952982 +419829956 +1873165632 +1567058858 +533149841 +351658044 +1190605251 +1178075783 +2131057196 +274777125 +1053614318 +1857249454 +476629555 +1500988561 +1978400798 +1883046428 +914983367 +857738706 +154339463 +991308884 +1048639435 +1167622381 +1227361889 +1619920273 +218847640 +1220357690 +738514485 +76437989 +482170941 +1809646444 +1667698120 +990123923 +81992752 +1393380104 +409699134 +615142593 +1745038148 +1600304385 +1793218376 +1728611696 +1875081510 +699349046 +1438377502 +204227417 +52853960 +1269294652 +2087273846 +967837327 +2127033359 +94129661 +1959146212 +1028189146 +1261752042 +1039024453 +500625771 +1480599683 +111898496 +1239140257 +1557037672 +594069437 +901303053 +1077252144 +1584193361 +983295805 +323148600 +1993892495 +1598438399 +2068186749 +1446713232 +1244173127 +1649314797 +1174311095 +1943522174 +940208652 +1378538512 +1996376134 +62019656 +1318328710 +816729813 +41569367 +1412458372 +628392377 +1069758513 +526726766 +1667416831 +1570384285 +2007326449 +1779315327 +662040894 +1416880474 +225901116 +1563343947 +346648970 +1810094477 +399156104 +669797571 +1656503324 +1997594503 +590500672 +955732909 +1094283983 +92331821 +2130044004 +890322509 +1032540473 +1361098868 +739214995 +1094560130 +531943931 +1555944808 +1136129497 +1944402303 +36853538 +58404363 +323645421 +1704270369 +1628788648 +183488223 +1336102048 +143345894 +1600368697 +1562003164 +1706689841 +1947017667 +1224613994 +2105845945 +469331590 +733633670 +1955956801 +1059832262 +1689366579 +902757136 +1152164084 +1671926935 +1793079645 +37220909 +885542156 +384810992 +1131781039 +1417486087 +1940755800 +120426889 +1214404742 +1977609338 +178831252 +1538050163 +1534396059 +1807619900 +1721538386 +723014459 +1950965794 +1174423435 +137533976 +1510171987 +973957455 +1362147970 +1468534284 +1443289045 +2095781640 +1277007437 +355637660 +1637664572 +32280925 +1507801744 +1162107859 +1825360570 +1545022653 +2047650015 +62687914 +529320045 +1317652454 +2003443715 +649746934 +384573548 +1833569405 +828578186 +1922623712 +1220481817 +488714438 +1496678450 +1943496276 +292196584 +523618238 +2081030252 +1802368571 +1497575693 +1295694574 +1123419207 +793381090 +1243992567 +252942997 +1149018750 +734173491 +285223922 +509336846 +1896281350 +2110584493 +2054359500 +1796447718 +25788759 +436195897 +966616524 +2029232474 +1085942831 +1351190073 +1715318232 +1914521017 +1126330137 +788316401 +255751807 +475524939 +584329029 +547948391 +999143177 +517875634 +202833314 +349235222 +1813570208 +1326252521 +1142616313 +910079127 +1579195518 +144151415 +1644252618 +1864419441 +653488262 +1393050321 +1827520286 +560364114 +1042014391 +1853309045 +996560011 +2008630915 +1735057872 +2082502842 +1212337340 +1302892456 +1849540211 +191183829 +2091208857 +2105292018 +666708769 +528054238 +505756761 +1665851946 +1045929872 +708590075 +2015087169 +712016433 +2034842596 +1010219834 +1622095560 +1466554467 +1154371249 +1118864531 +1183490260 +1807859511 +364431204 +863526898 +220739977 +1406445595 +569352295 +1217299988 +1267592862 +156926519 +1152319182 +332446555 +1459818975 +854375745 +523630384 +1403544184 +812184115 +1190339153 +1931598423 +1317940876 +708707452 +830044647 +2026530951 +576310973 +1542061080 +1913889900 +1586530807 +1016672993 +1232960719 +593418408 +2135537524 +268967331 +253794272 +352485080 +1132494229 +474534249 +1758930675 +1701846524 +1691834238 +879039889 +1858773044 +696669772 +1211486444 +1171108371 +1551045518 +1735116829 +427168908 +215745985 +777972334 +211283683 +1533686862 +1486679786 +1041328330 +1412734165 +2062990759 +435905763 +1179140417 +1502037918 +1452578756 +264617488 +2095456327 +1440632632 +533584819 +201766951 +1793117712 +1666079048 +676301200 +1404564739 +1220441925 +220651790 +136120980 +931731321 +917321563 +1347607425 +2102839692 +320883433 +935240606 +382524952 +536629418 +1713212940 +593808635 +2070316280 +1052409079 +1635136966 +1335566798 +967916190 +2071042729 +367223567 +322470461 +1376137837 +631841056 +270443140 +669286821 +1165425875 +472210091 +314920885 +684021276 +1148511291 +1719485624 +1904463201 +1369163082 +1855606604 +688710874 +139000997 +1055730381 +644066918 +459884430 +1990970987 +1026591871 +996513848 +1556700280 +1620400506 +919346481 +461625711 +1108053824 +107429631 +1429541901 +1031612905 +474653198 +1752012362 +260267094 +1106494254 +2022455502 +929553915 +124436482 +347181945 +1244474800 +808457758 +1495693237 +816476776 +565437311 +717372671 +524599733 +1254148185 +856373668 +1580330114 +1898215103 +1316258098 +1423817454 +777323326 +165288298 +833034086 +250240185 +1084634779 +1294659797 +1358294009 +1192064410 +576718050 +242423267 +1666717609 +181246765 +502690361 +625728215 +56218619 +1432244277 +750164697 +403400565 +529235429 +1558622455 +1899093802 +1345712206 +2124059766 +468982825 +1870311939 +1230724303 +1325356493 +1303158405 +981455759 +494130943 +579492211 +1758779085 +659419241 +1412526297 +2009019270 +1744054021 +559702446 +1219829632 +788634783 +1136420497 +1462252899 +307868744 +1317667262 +1964943260 +933596960 +1373885881 +1249703889 +1683761657 +1777286446 +1778939319 +1094900465 +1528896600 +977167877 +1071476583 +1997879425 +699996168 +154717239 +1175752270 +2003154573 +1136172998 +1669883213 +435163137 +747468435 +181818807 +1847689434 +609004058 +1925872828 +259908233 +1828833690 +567023963 +1396328730 +1143602941 +874892708 +566512344 +961062553 +1808489668 +1940398225 +63282795 +1344767677 +1570201024 +1842222114 +292184494 +951613976 +671906343 +1363661078 +802009754 +1371902511 +1518378317 +1977762024 +1227573436 +507067667 +1500161590 +1662736573 +1254536102 +1681980397 +1362942360 +1863540160 +1460369577 +1622850593 +1544890202 +2027393540 +871695675 +541009495 +754802600 +1438208019 +1502072049 +415808620 +1231122596 +1565354844 +1760576298 +653839972 +1260093310 +2052760792 +1605453949 +1931999653 +1268938222 +259980055 +1156418516 +639832891 +90258431 +236508304 +1146900558 +1590420021 +1899244878 +253953013 +1124916770 +1114703590 +2117493173 +437802699 +590070535 +1514899728 +317712592 +1461766210 +2055909223 +1072515192 +752490581 +1410497624 +1488323813 +1983613177 +828368820 +1101416463 +489969502 +2088462130 +1006693607 +2095423451 +1872978135 +128148182 +207919858 +881913003 +767981073 +298178289 +1118421308 +1914881632 +1888598311 +870182538 +21350997 +866031433 +1984886128 +2138844170 +1303834133 +427473015 +1506260250 +1621546725 +1889239225 +1414685826 +546578269 +494246158 +677699802 +2034902082 +330375687 +1506068623 +988834897 +820345189 +1447047105 +1995528505 +768284992 +1172541593 +2123676687 +976204850 +2054454596 +744174112 +1274383140 +1025392256 +511572096 +1015497803 +1895574794 +532923093 +1881529236 +1732977274 +524283616 +1037879721 +12966641 +2030543866 +511942798 +1902205866 +1297746044 +1058521068 +248968376 +1975445847 +945939502 +579344064 +1334030822 +1934774400 +1399689253 +633594279 +1782819257 +20490598 +1806135872 +1759012296 +996695448 +1713106821 +355702760 +123594940 +591015429 +867274857 +1139092743 +339106576 +1400197950 +873138332 +2072083850 +1924481566 +1911018053 +2085050492 +1807541785 +275477204 +1839772710 +957804181 +1333998272 +2088741087 +785766380 +132454126 +520601503 +2119797202 +2067228526 +1920290756 +605907834 +1702564135 +1940781354 +264560058 +1314092783 +789993155 +1977666879 +1669795544 +913588095 +421198661 +389586753 +2052680839 +760305237 +1789784703 +778335523 +684905439 +1566782622 +541869928 +622472283 +1226840759 +817347132 +314761346 +37161292 +3861756 +256018785 +822927673 +136315883 +776620288 +795241227 +56060761 +549427396 +1401149061 +1758624897 +342725103 +1665709120 +925234032 +1132718258 +1495892351 +447545928 +2046306353 +1917091012 +837132681 +1951503544 +529912601 +479433737 +582355419 +1214818041 +2046216359 +1124225348 +1837290324 +1125573470 +1941572480 +4568022 +1162734762 +1945434237 +260586807 +1985662435 +2081750120 +1037207095 +633420015 +2137810881 +1586634492 +2034569076 +1748952130 +1929359595 +1552794548 +526702515 +914594205 +901203252 +974248443 +813416910 +670810616 +1811381125 +617436807 +1200723218 +143331214 +1199792226 +268057611 +42063925 +176533926 +2105347935 +1167637395 +2118106407 +2109915958 +182888509 +1916056996 +223019117 +21067297 +1850323468 +1260226213 +654487312 +1840650701 +699377057 +541572740 +1442119184 +481253004 +2094367289 +1968821699 +1395847209 +848086893 +795586494 +61780471 +1518897509 +459483971 +679217278 +572137079 +602815185 +1879009505 +840194690 +644879110 +2055543431 +798058978 +1812516505 +2026166190 +760491288 +1995405015 +1794739538 +983510405 +2016472312 +1497579358 +96252970 +523475976 +1190746412 +795630027 +1065048716 +485381948 +1276883031 +1011932357 +306719999 +525246592 +1860019250 +1102306493 +587027064 +1231433112 +1561790465 +1266244342 +1803570191 +17122002 +997770199 +496281234 +662001113 +905829983 +1294340212 +327033970 +784512525 +2054831500 +174955337 +431768416 +890858257 +43944001 +1929347774 +987111228 +567419977 +972610538 +1782741255 +1632468694 +1457992486 +912140639 +496917403 +1764712485 +1437387231 +209453006 +719535331 +2024414295 +1440886118 +133842148 +1143174990 +1096972661 +150964150 +2140945189 +1593253895 +812965263 +899291524 +740110459 +1139999234 +1683804050 +647458311 +1314954571 +2115572466 +1538316569 +1358898573 +1897436592 +377944149 +1926318550 +722563483 +13201756 +1411303596 +33072321 +925342395 +1908221000 +1797784807 +215245979 +2117674006 +369836490 +92176626 +1411076476 +503678638 +1235351616 +360565489 +654642788 +1228813158 +1953819385 +1467608052 +2128104682 +546446196 +460123638 +1664425084 +1193904508 +1775078209 +1632513902 +584737429 +986493134 +1382466847 +962681578 +765328037 +2105030330 +975883334 +29147985 +2138102651 +1901225730 +1937368985 +1788403810 +2116471709 +1907559343 +10756652 +61164687 +1171152171 +514435290 +1296516304 +1531717661 +1169078079 +377845814 +1338053398 +489202483 +358466848 +1884499594 +949326121 +2022891933 +930920454 +576920682 +1507922187 +1515657883 +1563413817 +742905386 +330855813 +181258206 +700452068 +1306739148 +210406191 +691071072 +1060481230 +291529 +331991234 +1029469291 +1907850872 +342747887 +1090633978 +931519396 +857183177 +239666634 +315753409 +2026261256 +617512448 +1653806807 +367980091 +975979297 +1390822753 +1317306212 +851387582 +174259560 +1894226895 +211826121 +1689917443 +1310157064 +954731508 +2020773257 +1491415270 +1655183576 +1180028757 +1701821461 +198771000 +93026339 +1702112990 +530762235 +1122495630 +1462480215 +873510122 +65645960 +246515963 +1730693299 +305312595 +562269372 +1609470908 +922825043 +68592531 +1977450999 +1898804340 +1459415284 +1147273564 +602708274 +1633674844 +894016811 +814534396 +1176108640 +56690227 +1769265904 +1049398249 +1548105497 +1276965832 +81943358 +1102443310 +1475736833 +174969697 +657072653 +2006499068 +1297465327 +2119552868 +732525542 +1363111287 +218585183 +315735193 +1668423882 +780854555 +1925206101 +443765278 +849447086 +1755173453 +195085970 +161378722 +754963369 +797794245 +1795053567 +1648980180 +1612328641 +823678559 +1705670407 +1234110897 +1873076808 +1106292256 +363593081 +1955020166 +61251918 +1839329914 +2129989863 +718324571 +1698345334 +1279971542 +690393791 +283387228 +495599181 +908978974 +599122422 +16539416 +1689833529 +376844875 +460304694 +391796967 +2132018328 +655390664 +553175690 +739498049 +1453184909 +200745609 +240994581 +918029902 +1024424168 +1946664988 +4657151 +750017328 +905473596 +368250233 +557553846 +966725515 +60096499 +540060061 +1685050086 +1758441834 +1820031603 +227960230 +2041829062 +168147136 +1136939204 +493467836 +184686552 +679289086 +870312712 +644991246 +1071086053 +854847392 +1300381911 +1624261743 +1594345442 +606083172 +1825007352 +1835340023 +1524113075 +701947872 +1634521364 +1528770226 +1451965200 +392511312 +1897020459 +2009519046 +1359236827 +1957116959 +402095459 +896803266 +1568075145 +74643414 +1124763496 +1462420559 +242790551 +114219052 +1955888396 +427477103 +793508138 +678717460 +1072468350 +1864594192 +1533564852 +225366613 +1341372287 +980426646 +831449785 +1018895992 +668283022 +208079212 +1720843864 +155320738 +1736849439 +1025325417 +547832050 +1486386250 +887360815 +1907068878 +1296019561 +1289456275 +656388496 +716611058 +1364099689 +1781151992 +31547970 +1606890240 +1895371044 +1987436366 +2034367344 +541395535 +518670178 +959352046 +258506079 +2052235030 +1184718659 +1599878366 +885178029 +2016168444 +471290710 +1553461051 +76764009 +44650927 +1708781789 +1813613448 +1069976344 +109130191 +1152516050 +1957337159 +2016199069 +301051964 +1099309786 +525103917 +1017663022 +315925828 +158772261 +1049210992 +1922816068 +2054143306 +889163710 +1809699764 +448055193 +1407833888 +621568162 +706561272 +1312585271 +1806286821 +158955990 +50279652 +1674971618 +630246701 +1603740703 +1751735627 +674897628 +1165038844 +1417865427 +1744873972 +1274169035 +422897829 +1554727483 +1142884457 +723949793 +506553622 +1667988374 +1741612816 +822479450 +1826760636 +643340160 +597811870 +1733420294 +1532503871 +260027987 +33991839 +792854111 +881596149 +740553111 +2105439382 +540399323 +899509101 +8235386 +67887293 +1529755802 +1611976089 +1819622920 +57169782 +629531285 +1090004699 +1802043754 +1903700321 +1512902528 +1209287590 +899101130 +89368674 +1715841212 +419605856 +1830981490 +390837014 +98882844 +326838002 +988648884 +1832303138 +1859341873 +1248676871 +1866294977 +504712337 +2130273021 +459364440 +462668071 +523188696 +1358873542 +470903458 +591075989 +741145696 +2082879547 +263215261 +798315479 +564927185 +1353219960 +452875585 +321143858 +718638840 +1662163175 +1220244988 +808007514 +1230520739 +1639850844 +491505356 +1621357753 +1738733689 +818343359 +462522990 +1423553179 +530201584 +1711199861 +1142364509 +1034913921 +1693989234 +1601728949 +1497581993 +69694282 +813118843 +1968485451 +660770271 +1554264540 +1903881350 +923985532 +205096371 +321324887 +129721844 +657971956 +642468745 +848360685 +172651484 +1862713733 +1656368199 +1403172223 +1355080930 +389908 +877046329 +946330971 +818733267 +1339569319 +222400502 +1348934851 +903285532 +1364765011 +236365125 +449791119 +819010313 +1733947118 +519485401 +1632129156 +1554948921 +1180255673 +1038910048 +1311346623 +2104241205 +1244006419 +1632671511 +86479402 +1901978376 +127656608 +934840087 +2074629860 +1990370342 +443724638 +1330318435 +1197967624 +444114546 +59881116 +2144298595 +1262847813 +1399450435 +219215449 +464299017 +155252320 +1583980461 +700664142 +605043439 +255507126 +287127612 +1124528840 +1887636282 +1842076533 +157300865 +779062683 +1005939508 +114058423 +2023069102 +491127371 +200537825 +1777563830 +618783980 +1135377912 +1704710042 +461670674 +1579102550 +887544830 +1659638298 +2023217097 +947425946 +1656453245 +1138581262 +199392734 +1875668694 +1602880279 +354645054 +1312165507 +156060773 +959688493 +1567672633 +443188385 +2084217333 +1307825268 +137781270 +94034551 +2086887951 +1143720779 +208092974 +1962473405 +1634848150 +408630799 +1592553588 +106148482 +1544008711 +1149779982 +567819156 +975627613 +2037324812 +79973806 +851361062 +837267111 +1736427051 +1989942325 +1036659845 +1464612098 +1445338956 +1391304899 +629293957 +1601399730 +203509744 +49482943 +2044588115 +140243429 +1357308211 +34885738 +234277980 +1296712514 +1178606517 +442370954 +1111702271 +665971019 +851001753 +556772211 +772119502 +247526816 +1706552194 +1339938658 +1223154430 +1596393358 +1419912465 +2074515492 +286176821 +1008855868 +1916974169 +1322836666 +325984318 +1214829478 +566657917 +955278276 +668745560 +770167661 +1004761219 +565850027 +910411091 +214585782 +600735765 +1144689071 +1511298296 +1779342282 +1587060026 +475516919 +297829654 +290578131 +1032289131 +1069949156 +538104948 +591357677 +262404166 +1761259378 +40267387 +1682316631 +1688291222 +326444209 +543688852 +1457781744 +1649280875 +869673170 +525127574 +68455145 +1824951446 +1193873134 +838622806 +682229017 +1759723161 +1749033897 +896814799 +212975279 +746239321 +260629447 +1992317561 +185815699 +736146367 +142663567 +476393830 +1768435498 +1212612723 +1014498778 +212309527 +1475016890 +628274508 +252576914 +1009849873 +169082083 +579021123 +1553538725 +1626863827 +80818351 +275728248 +4507753 +149273496 +2100679694 +1198380887 +987896302 +635425064 +810620400 +589446552 +1532239863 +1023595679 +1335685873 +1792869311 +868429593 +1521501572 +381532030 +1011093160 +1997895402 +2483880 +76222236 +864910533 +214793407 +1551239126 +1493185041 +467370321 +413605351 +1662267124 +1046391445 +1967144077 +1141647303 +1127209796 +95388677 +1146155056 +1276483292 +48584723 +197052295 +116895946 +684009787 +1007672696 +706342498 +68766003 +2031268375 +2042028371 +1861635314 +752214320 +1416046295 +95683696 +1763307481 +1266458050 +98167576 +1839529717 +2131368583 +312960983 +1243285195 +1477069976 +780331304 +1656890546 +991853453 +1826722749 +1476550975 +2133500756 +806448897 +1571939652 +1132172165 +2082932189 +1620524376 +1329224460 +52344488 +157050515 +189413508 +758686986 +225816518 +73198236 +653231710 +2087451832 +825412556 +2069278005 +35651880 +441236389 +1188252407 +133819456 +133282458 +1172137342 +446780439 +1376567653 +501723671 +1227111744 +885974552 +1493577124 +906350845 +215041879 +1479594232 +1712799743 +1786981532 +464282749 +1648248284 +1260022260 +1793507210 +1700592772 +1417072775 +1982920718 +311796111 +1642889294 +2056118954 +965027821 +1582857478 +734047863 +886822178 +1618509359 +1175284252 +2075074586 +1752328815 +1308566711 +1099728280 +51625607 +537650716 +1601451951 +1278737351 +1423625268 +947545427 +37604548 +1638667148 +279656012 +1750404291 +1278165032 +743938761 +1251168928 +390703644 +389962323 +804278052 +1807776419 +225399394 +1116074163 +1303182065 +134034700 +2081101984 +738555896 +868082563 +820440515 +209581607 +2043366816 +748031453 +1961910422 +1204449879 +1847759733 +2013536029 +1742100595 +1301728037 +1144789732 +1018242216 +101789816 +1182394281 +509425716 +381445828 +785314924 +1787590748 +1125384590 +2036483852 +30810744 +1515346913 +693278257 +1838587163 +1740746307 +1809352420 +994285581 +1874781008 +1742970757 +1732841477 +595379923 +415927624 +1942423084 +491263091 +1163959077 +1756849858 +1695712970 +864235162 +1622902240 +1290329918 +18479551 +620208324 +161088486 +120269368 +1802602605 +670514202 +501715196 +440433882 +310621302 +1627099786 +329434086 +341432046 +994963052 +1022712343 +32535561 +588225711 +684581116 +1026821142 +315523071 +280068225 +612178971 +910902995 +695995849 +407118407 +1402166086 +1859954926 +16484618 +950395409 +576706440 +1639386858 +93241679 +595185992 +112111534 +254330165 +715455360 +1914714140 +924844367 +1217170556 +207664374 +1235465669 +696786695 +537098460 +1576897715 +1691749747 +1559810804 +1609433276 +132491810 +96908272 +488770771 +448014882 +376976497 +1100949742 +1358917877 +1072972346 +1508068150 +613600315 +785443624 +1524552768 +1563995724 +1362150064 +1016455978 +1657237403 +1957336056 +1128567512 +1911567568 +525307768 +895798004 +688928287 +1742478325 +1103462378 +1924393956 +291781372 +1640560839 +1353808023 +1983531119 +1052887995 +815757652 +2116022929 +1149796267 +1304528423 +416554163 +1526772764 +257994517 +1775472040 +452261462 +1766062667 +241588708 +1237705086 +1143131787 +1805584432 +452371502 +12104117 +1315338188 +262223911 +1140671630 +1079422108 +787531679 +2036469634 +1768350396 +382526356 +992448365 +1545260704 +674307728 +485525556 +751585080 +510355199 +1538413551 +1567342732 +478894481 +540726170 +724387507 +895448644 +2067498934 +982382024 +523437037 +372276748 +600961044 +765025745 +1609981834 +1744092831 +423126529 +2062353336 +1756196949 +1738464717 +177093599 +749384931 +670403178 +964625279 +638370917 +291269926 +1347151635 +1630819282 +1836530630 +2021459364 +2116344838 +440632062 +384330915 +1507274741 +2007974794 +863225396 +2048000911 +584878653 +1758674041 +1968016197 +1567260678 +134627430 +192809297 +20738074 +899653175 +1802791131 +1764830905 +1322779704 +1717660820 +1373544206 +913760774 +1894754419 +2122929137 +1584163952 +711896050 +613816407 +1875433878 +2059047686 +97152041 +1564480860 +1933023402 +66013232 +2005112923 +169870669 +1573287973 +1865604069 +1033096066 +1473805237 +302999075 +644286459 +1294337786 +1870259753 +778913889 +1487147084 +1890997827 +1678567064 +1142454567 +1508345084 +853863120 +712631739 +734405643 +1767623894 +459902511 +709851132 +1204304198 +1171798561 +1323667539 +932254428 +1083362599 +1420819581 +349251641 +868902353 +1486832813 +206880916 +1038773023 +912637138 +2072484985 +2071869089 +238958727 +228000412 +568671900 +1533296514 +2098260165 +1347585789 +872959950 +1841774344 +878669205 +2015414517 +1202635781 +1732532325 +580562609 +1937041424 +1352672572 +1040465120 +499408908 +409493122 +64780033 +1823076448 +1341747551 +1148142633 +1096412381 +1690999192 +2017044986 +435761546 +1897880108 +908334361 +1348398684 +1822881445 +832719802 +1587357412 +2050881858 +1401391702 +973170278 +2001658375 +601493843 +1846130228 +1695949072 +1480163048 +1714061097 +751101205 +1065211726 +147140058 +540658981 +270400650 +1187605178 +1040067889 +679893772 +1252385212 +715660689 +2021641323 +253044197 +1812073070 +1565156867 +122605535 +100350968 +1315553327 +1030939897 +1448749653 +990951125 +1863659699 +888623417 +894349335 +1117567754 +1861793695 +748524062 +1719061597 +1560440275 +296989486 +1051740998 +1127017724 +1048090691 +2116952724 +1274157783 +1588749672 +239869726 +314279313 +481333914 +919763498 +1566664525 +1196994603 +793921174 +1819708722 +861584026 +211594393 +1942314258 +961934994 +1527147721 +825770507 +263200999 +370615198 +541946558 +1151824416 +1264964533 +1659514312 +866134463 +2013488595 +1231092262 +279091090 +162994434 +135349612 +1406108815 +1211085125 +104818688 +532782950 +652351150 +344688414 +847062263 +1133685064 +1264451912 +266243141 +183196019 +2058373086 +2085951863 +1044780045 +122483832 +1880782473 +2006715040 +1649631553 +559069332 +122432391 +2020246751 +1101015891 +1274256808 +1137727636 +613046555 +2140391271 +1003732583 +1844138817 +271998714 +1166727017 +1979488429 +1678107529 +230328495 +2084307117 +63406831 +882679645 +281511883 +910469094 +2016364709 +1545963796 +1176712235 +52077080 +1456853234 +1115180451 +1096857126 +1579337066 +848479276 +956088518 +1081484971 +1407548609 +1078520909 +954248074 +361080852 +205294069 +2091975710 +974127407 +198201693 +948224646 +670782577 +470200407 +2114951663 +502787358 +824288 +197796510 +439610828 +64231119 +1080476155 +721122711 +974700213 +949357216 +119602859 +3928801 +1001434297 +1576456094 +1119109252 +2098291423 +1008309512 +1967588528 +906896293 +2089794484 +1227653489 +1985417202 +896558910 +1588734341 +43227624 +841050973 +415378101 +241429317 +1789275619 +1086160678 +711629724 +1756743634 +1588948036 +712454012 +1954540145 +2028558864 +776685131 +887532652 +602197928 +1751385344 +1836889869 +721800787 +1755314145 +690840518 +150773233 +726939749 +641648293 +1159082746 +547044630 +1548544586 +1101393582 +1774698119 +1386478140 +1997952492 +1215948813 +1429705764 +691519817 +1631326914 +1671135081 +333311788 +570003944 +235281157 +2090055423 +11468332 +947735169 +1897111920 +2040027197 +1724420300 +637160924 +494741477 +1328321997 +326567145 +1216542264 +936152494 +1017407663 +1367315498 +1663092244 +1659055956 +378914596 +62653226 +1060116894 +1480308178 +1837351345 +299111387 +1330777022 +905816510 +1728817151 +2022296840 +389659776 +1252468585 +208124980 +959663720 +1487749742 +150696755 +971132053 +288001264 +2047808675 +863675602 +2012421564 +537485952 +1358417079 +1193259913 +864053097 +427475695 +2129412408 +1881460761 +1794791193 +1645021004 +1393033069 +26222141 +1707674230 +305666316 +1506530319 +1397541927 +604777703 +689823694 +155874790 +186111206 +564636886 +545534566 +1438579791 +772761866 +1505198287 +778845886 +923458622 +328846692 +1066847150 +823783649 +1192522294 +931785066 +1361269601 +403455725 +2125044980 +77839051 +830931420 +2106973740 +1959299812 +478238966 +1604511096 +1204849233 +504461107 +1164701678 +1510515549 +2010991427 +414759957 +2115293252 +553331473 +570634747 +153920811 +1117968359 +1116169314 +1592500602 +1890730225 +473883953 +223862840 +666705199 +802730645 +1290709990 +1490488849 +1995252939 +75011409 +704274802 +251225016 +52572741 +782113853 +1082156436 +12062833 +593930017 +1560395402 +1616573929 +1798779251 +2064856510 +633791959 +1161811152 +1928364289 +1048551916 +1129620757 +334212114 +1619186664 +1283541568 +1452180473 +587872330 +728558522 +1195427050 +1061756283 +952421363 +1862132250 +1864486928 +95647705 +1205137451 +1712256219 +170659114 +1909412253 +1963481235 +223231855 +544042459 +898154023 +235294688 +1137972476 +311065778 +1851868617 +789268079 +228438640 +338176928 +1951079232 +9319281 +1386728845 +933216341 +343531395 +858431861 +69274261 +1795711868 +1446304191 +797832783 +843655270 +360576826 +1750254146 +558303872 +77580106 +1845901852 +1763441323 +1789836325 +2016560966 +1525369929 +1605833912 +92309174 +2069412388 +356504287 +327603862 +1059901216 +667570065 +31988832 +1849169296 +896008705 +370165760 +1652764880 +905327986 +1756894605 +438497573 +1248859381 +467842818 +507771834 +897087601 +1914147009 +1305604617 +1740742872 +127240187 +908375116 +151563096 +204820293 +606793320 +1915004420 +1994656618 +475870638 +1292890701 +1453006882 +568179812 +1214819441 +1809511170 +895783675 +127237009 +329597587 +927772507 +1976406305 +1225606293 +1297938267 +1481687537 +2130934279 +907349225 +1920185110 +1232310013 +1375192043 +280473296 +2129397614 +1141855405 +1586077914 +1722656838 +1269095592 +346969382 +1874219935 +1473915886 +953762702 +1641740707 +1321088856 +1429633340 +787147760 +626612091 +1997813153 +2001967201 +288639613 +746113180 +2129204210 +618237200 +1673885687 +1958126868 +1843843493 +824340306 +1292330757 +1827294125 +1731689531 +1065032220 +912120490 +959397927 +1345505516 +894034456 +2101253332 +784099782 +469207647 +1222865276 +1131069164 +195943934 +549297514 +2084831866 +1837684641 +1870386371 +1366981559 +477348753 +349514814 +1217311064 +331832306 +638154427 +1963424244 +313552868 +1256391627 +1489826283 +124196088 +952751473 +166682941 +1416526846 +632561950 +1898372473 +334075418 +1544682440 +710286752 +1679580934 +291233248 +664056436 +316197069 +760440895 +1886921712 +1447266233 +956384829 +288735579 +1384614452 +646585822 +11638302 +604112363 +1123934575 +361153116 +1821423427 +1455766881 +999307543 +1637364023 +1769319750 +108215522 +979706658 +1893515838 +1060966995 +1146389599 +1162559036 +1693528945 +897278424 +1496634454 +1090727737 +1607565176 +1028731741 +1381960986 +124137964 +1344928810 +2142401881 +2011059677 +644711395 +951303063 +152311608 +2029325847 +1597888885 +163949910 +485954562 +574339813 +525103026 +159894341 +2030106694 +1524410569 +1797258364 +1651942796 +1632626091 +629481374 +1397974987 +546109439 +1775870974 +413050375 +92154736 +525665750 +1909684830 +1182882474 +2133230927 +790932923 +417359812 +109885243 +2135861733 +412278045 +2120944920 +633089480 +1363581108 +125772880 +514931680 +813986346 +289722790 +1000886242 +1388326159 +814825816 +1160780584 +1270949205 +191752737 +810555300 +775408354 +1824378829 +1440036675 +25899693 +223004620 +1068424001 +438950068 +315159356 +1594089751 +201151250 +1498041830 +1579837030 +992084173 +1915401642 +1689722274 +980462258 +180196040 +1663183546 +1613551739 +1543777148 +1788956427 +2128483419 +210279846 +2078679217 +981886013 +1598606005 +746021386 +2142666597 +722071563 +937774123 +805738250 +1497479917 +614669304 +98291277 +1523379610 +837673924 +1166715278 +1962329678 +1152833281 +613321381 +15997281 +503391463 +45674764 +1008081454 +271309458 +1735397038 +1988543713 +451505498 +1251096936 +1454611804 +1995282646 +892569715 +1435611575 +58078845 +823765285 +270013940 +1656684850 +1569786671 +265196890 +231272765 +360077146 +1070935140 +1728752682 +974746451 +1169226417 +1104648644 +1812420375 +188458047 +919494675 +817770008 +801779428 +935491956 +1321161472 +847454192 +1943573410 +1592470930 +435367582 +1784633475 +2043976428 +1686464519 +1091761631 +1891775426 +431550586 +379889558 +1949854271 +1255315871 +649903499 +1459055474 +677618894 +915100389 +1690328239 +1037696041 +1986035529 +1271597274 +2012442492 +1007778298 +228762270 +1677379219 +1196236345 +1148256945 +347665580 +1998015773 +2083748901 +1668827052 +697986318 +1879838664 +1113814334 +1133353900 +1516988491 +1010307114 +672334771 +461266475 +754598892 +1103885358 +841156033 +556969516 +211717581 +1491059532 +2016024990 +889336476 +258676273 +1558869581 +1927032517 +97228154 +682983207 +1791991361 +1105006452 +911745478 +1321886932 +153759149 +2060002423 +1669552512 +4291275 +1996267677 +1190895916 +702277593 +1728622693 +157226602 +1835631493 +1098127536 +1167533716 +360482617 +1559394011 +1922132609 +1464367975 +253066397 +331618477 +1676085556 +1744125929 +200159819 +417938384 +2002802203 +1759029400 +197487253 +2100030357 +294528960 +1989478614 +1057553162 +1206274438 +1163881899 +1211312311 +1118793213 +685950763 +1215603586 +967577242 +1876846680 +1917881179 +548716287 +2034073282 +1606029025 +1646843824 +1054123351 +1966511642 +1058754187 +828772312 +1283395969 +1311820584 +1160390789 +811997877 +908462866 +1360550608 +1229936262 +763781421 +972096360 +1427423515 +716328130 +1266625320 +1269418482 +1773881292 +325416110 +285816733 +837709956 +1444209324 +971767496 +2053313542 +264302918 +701130528 +1823711074 +813019206 +587720163 +1282256451 +312379382 +1641843514 +1101284445 +1371133569 +323132178 +237196766 +535470506 +1483522967 +1049194643 +1443933372 +696589927 +131647257 +60231145 +1668686287 +1559070773 +776559275 +787827960 +681005607 +402956920 +1113244070 +966822340 +1240666876 +409969746 +1938589836 +1146496770 +674272665 +492236717 +822724196 +1487291871 +1079956880 +2104980647 +1799671253 +574316746 +1058781444 +1023321174 +897448924 +1295978210 +1558791680 +233488243 +197689206 +855241404 +930078170 +329336463 +915472549 +451280809 +1888407236 +1692031825 +1239108769 +421929195 +2094988745 +204869192 +1388751535 +1188171973 +614838938 +1179857724 +187185095 +1289111603 +1672094441 +1009909292 +628919826 +604567673 +967406291 +281107431 +1178884419 +2026187736 +1304428606 +2076333343 +1174682298 +715736638 +162337938 +1372371504 +1570978043 +1092416108 +1701707968 +338966944 +1543696917 +1442631556 +2030998769 +635322039 +1864560752 +1978503866 +840191231 +1105828639 +1019192191 +1455030169 +138202715 +1206377287 +596658125 +1810297156 +68802931 +1225577951 +267381181 +1036209222 +1506685383 +1446265600 +914913310 +663630341 +1375115295 +2089595609 +1379366979 +1537453233 +1314483465 +802861374 +482385693 +868707785 +1141828319 +2026082611 +163855694 +1025343440 +513921002 +2028416446 +856363659 +1354112233 +986761437 +1875555850 +661658754 +1124964153 +934449489 +1258316879 +787777661 +1003252420 +336411183 +1055158843 +2039461643 +1843096566 +353940795 +806891305 +359243259 +1729056091 +749003266 +1738610238 +1119025676 +2063486732 +393987965 +1601411370 +784710869 +1535816284 +1480010333 +948566563 +413676076 +1993931335 +829499361 +1270039735 +1200559920 +1816260799 +998111938 +1862218674 +793741304 +1932561427 +973051906 +1581518965 +788330200 +1309463089 +489194160 +680308195 +1005076007 +843134956 +1487199500 +1364319266 +424707399 +88719119 +955445856 +1543733075 +4722203 +1349433821 +997660797 +789433072 +737766457 +330187482 +1737999636 +1151442534 +176635169 +420015349 +273998621 +1377195089 +88792500 +1272110559 +1091930116 +882533804 +1057188339 +2064982022 +316569122 +1845518539 +1226961463 +805763282 +378343086 +84553822 +1648898238 +1865542586 +1448873088 +2073605637 +1954261705 +256835296 +1469855065 +1958983908 +1606269118 +320032214 +600933333 +196551927 +650219697 +191449321 +1347994461 +826854866 +611464670 +1621993083 +56566308 +700257171 +746619994 +1148496424 +1582790975 +1803808333 +1065994798 +1899360097 +1501843224 +145472613 +557639732 +1880186310 +230026435 +59054322 +1598245249 +1678899523 +2132659960 +1405023306 +1935734819 +1455031377 +1216523567 +1394520289 +1775063591 +1817456900 +1591072217 +277799640 +2008906221 +791583030 +1104654507 +472887243 +266092465 +1161220815 +1173144414 +1012712460 +162233591 +608451742 +669037145 +1228228389 +360328191 +23396722 +1373701002 +917967923 +1903583032 +1603727437 +977022246 +1354344633 +1135143312 +962198558 +611884292 +923394483 +269746287 +1828407859 +170431125 +2044809878 +1498381111 +1761503342 +175125871 +1359803684 +405602724 +1279780378 +1832690927 +671695190 +293517545 +858351694 +1684407650 +455751136 +1466803436 +205961147 +1683979525 +1827131627 +229357869 +910196879 +597615903 +2132940902 +366440668 +1574638149 +1339801887 +1501583980 +389353059 +1951686179 +277494815 +659099346 +1632610390 +447925940 +556425576 +983507853 +61945634 +731551447 +195827889 +467548359 +2011331825 +2028518817 +1139243549 +157365722 +739386863 +676167551 +613116858 +58706651 +882128698 +149612735 +1885838278 +1111486568 +1059809614 +335970533 +1096943822 +1426250282 +1910608682 +289262061 +780350614 +152478093 +93464593 +1057845430 +811577439 +1726074983 +1505771370 +1368003016 +562099189 +1567717005 +2099554463 +757927078 +2035265364 +1963402641 +638962247 +1027025265 +2120768363 +1378349110 +1703192816 +586401574 +1437055761 +437837866 +736014309 +1175410392 +1549324434 +1795823924 +1511380925 +498784608 +1074590558 +1274505960 +788046670 +1854941173 +1426984053 +881511263 +765302955 +91077845 +460102598 +123590677 +1459080861 +1022201787 +1691307682 +1411151676 +1780128866 +1579089398 +1227070669 +271607465 +458631015 +1200355385 +1649956576 +14340183 +1786756959 +939528689 +452178050 +375287620 +2114939081 +2001502484 +23627896 +1478836359 +352803445 +1098218455 +605858671 +1140850115 +805675980 +2032842724 +2022361378 +1570978935 +2123920569 +334980328 +1694569612 +1435517782 +1357182116 +1238393647 +699185811 +989827334 +669999397 +1926256480 +1261434799 +1128630413 +979128217 +763907727 +1142970596 +618401528 +1703436417 +1595148646 +993689149 +1670891850 +1449167483 +1017317045 +1002244561 +1801970928 +2115535500 +1608103232 +795337395 +773727832 +1493462309 +670215125 +197223119 +1469899230 +1005195453 +1891792732 +757933365 +214893921 +982702731 +1457119176 +1204721255 +1652702128 +1235892008 +318672407 +633848893 +67536578 +1082580134 +1776819490 +685938106 +638532903 +1224484488 +1679627255 +161941106 +526168323 +549460653 +1164185667 +180655603 +517512505 +624805252 +975992998 +1291240338 +2118267561 +1646208123 +1488463457 +1440683143 +503919929 +1232772541 +51132860 +718813850 +67991624 +1508252036 +1923535106 +1720693753 +596660397 +94723865 +207058998 +664196975 +1177303999 +1983878488 +1350135081 +1815836903 +1060879329 +882278689 +1977778009 +1587047652 +1431739342 +994480028 +1767703256 +1949251847 +1619285280 +596212606 +1093008537 +1590069193 +94937082 +433988347 +883268689 +598857011 +1666760888 +934401549 +1317670861 +1734752513 +295169938 +1093722319 +1307962618 +891830335 +1188446184 +1515021616 +1556027310 +218266536 +1351416457 +758678743 +2034103439 +264812138 +1640957432 +1864397800 +1851859790 +925213126 +711394180 +1472079398 +726981326 +183195813 +2068292005 +1819989863 +1773265006 +15745439 +106494562 +509050047 +614602450 +1773255451 +1443451597 +1932273311 +1360524316 +1738621535 +878511983 +521003286 +482968222 +2066958167 +2036024902 +2038995532 +137741055 +1239957711 +650190627 +24360846 +1504769849 +143664412 +1888758646 +1209145992 +1068877538 +452669179 +533741742 +1795858864 +635864992 +454550099 +1468365080 +261646350 +470295538 +1574859642 +770696398 +1084897988 +1200631445 +66664347 +869687652 +413672113 +1805285882 +1748199635 +934675399 +140770456 +1667674154 +823216654 +32282340 +1805415210 +2063174365 +682472967 +1829776056 +1420460567 +826137379 +1571051055 +482122911 +1895014918 +2023720234 +1015864653 +1543390134 +512101578 +1470414753 +864271566 +773747928 +1940710291 +291647561 +1544444326 +878124632 +1492279006 +1611108673 +1747812284 +1905951120 +1268910907 +1348528271 +693142871 +1409681363 +868718777 +1516359525 +1441963703 +526650339 +1432050243 +2124436671 +208942748 +705027162 +803090402 +1779993803 +1187150073 +550621672 +1656230389 +55531078 +2094011807 +20848319 +1525945831 +810799725 +794596247 +1319172475 +1102447286 +191556926 +49813459 +447242645 +1802665599 +1797625743 +205710117 +924092859 +998670366 +898852988 +186290574 +1867389143 +267728866 +1628254278 +246555835 +1699779109 +1605207301 +455498583 +257322623 +260814055 +88008738 +1444472696 +811435728 +1744239127 +1500003774 +757963887 +1765087446 +878465958 +1568763612 +412200045 +50154785 +523727251 +603756971 +99968244 +970969896 +258938923 +1897593987 +1176680013 +1183031782 +748780705 +2075533001 +1369322356 +468686200 +195778219 +850092986 +715242035 +1895557328 +307816639 +1170740618 +5396303 +568630695 +1258749356 +1449868999 +1380066423 +855504835 +802389126 +2138030310 +473108633 +1680855084 +1559310274 +885308679 +1731009869 +2083037525 +1489065650 +1830978113 +906523773 +1748004573 +1581088452 +2083203786 +783552707 +182385509 +2011253140 +5391416 +651071709 +59547711 +855484402 +1366313745 +1955105040 +1163301042 +389570715 +1960501343 +1731931737 +1648320072 +1262886695 +964514512 +356341259 +2065275821 +955061174 +829449893 +1598647257 +366887800 +1714758572 +1182173478 +302441678 +1056340574 +865667943 +1208965451 +656861500 +299272747 +1144685590 +1440414207 +481658256 +1008455082 +1445805623 +1132729965 +1068002793 +153806378 +351560062 +875624185 +1317107420 +741130778 +688641881 +901555509 +241967202 +1951528576 +1866070021 +598308461 +1869320749 +673647547 +1427758354 +1320484358 +1040535347 +995033278 +355174188 +1342977025 +2051373853 +1220842131 +404458829 +560751705 +1520114878 +1549144419 +2001165912 +2001773134 +410115853 +1299487888 +987019451 +1478118646 +1453294266 +1338579514 +206259184 +622918038 +2079710292 +894901065 +1524473547 +174193846 +698945993 +1243059920 +772502307 +420783094 +1916707467 +52777014 +1741267452 +809759166 +1047810292 +2096441640 +5252544 +951700497 +1169800123 +409711373 +1512452202 +542431353 +1958855792 +1366134467 +396720839 +221487997 +518138707 +1383740290 +1699606643 +1971432973 +574836156 +1905865827 +446867363 +507062800 +653283244 +1971340910 +681256646 +1352229237 +1066917182 +1453758954 +1773012331 +836141001 +1506535968 +1366796135 +1645900167 +406862612 +1315754127 +1651152711 +1358563110 +338070602 +2060864084 +723531664 +880501955 +1872236228 +2089666131 +1277222794 +2093724225 +460321190 +513479437 +1645847221 +284270515 +1088315593 +1404229400 +731137878 +1595378394 +2057512645 +554995140 +129151392 +1262258234 +1621912322 +1582910346 +887786918 +310569675 +941962666 +107099405 +1956469843 +1348825279 +1422853533 +1460138906 +559904741 +1760924135 +1373519343 +1283436405 +493942443 +1098271923 +1225618889 +1771165237 +1044512501 +1685940079 +137161026 +542876074 +1970210595 +1225476620 +1947105474 +553864825 +673371366 +1857134471 +1108859966 +802522758 +971909058 +583288640 +237949457 +1859695976 +893858316 +1179912123 +1966795381 +702844511 +381253754 +1242165266 +15499769 +941158495 +855605754 +1389019112 +77111253 +1349548197 +339807388 +1302730142 +973229786 +1384319889 +841186573 +1110390813 +1927195963 +663913520 +188383785 +1726817789 +1217778346 +861755151 +1436468613 +179154664 +1664277909 +260894023 +762443304 +1902227366 +2120589999 +1656301620 +934655842 +1939901732 +211662483 +1315909596 +1034583351 +227162253 +109584444 +1890189105 +1616181365 +186695697 +1092253654 +1955988753 +1489425839 +2065483440 +1192824994 +183128764 +1028390605 +972537309 +847042285 +1216774390 +551871451 +2064820631 +2078529541 +1988340064 +96491647 +1595323803 +101750439 +858934951 +1350067521 +74856790 +367752924 +137239715 +2014758522 +579415407 +1453149312 +901858225 +806577660 +1562733756 +644563682 +275275378 +1749429453 +1736817336 +83780483 +1091371644 +1654817129 +1276605478 +1274500408 +535724086 +101659139 +2121542693 +1752498477 +653530590 +2038879676 +1683544370 +494387006 +2135371323 +1131384525 +596137445 +846822627 +333968399 +670994235 +1214575551 +471208114 +538269110 +1793990958 +1924357426 +1440127335 +453084971 +1339607534 +2084691018 +728360349 +941553339 +1674024706 +812140832 +2032924983 +1181358187 +2088746310 +1159941744 +1717082274 +42921802 +1134000789 +1322097103 +696452392 +1025396818 +858157825 +1190839399 +1013284493 +1989542351 +1786976844 +1860107120 +176027102 +310487432 +927199023 +647235216 +848756542 +573706334 +424108995 +141400229 +1026791305 +1763716529 +78607599 +1755151654 +557786221 +1752632306 +419808838 +443227556 +786506845 +361071501 +1603169300 +356105471 +403993303 +589686442 +1678202574 +1100445695 +1615083260 +388876752 +143801446 +480884105 +230935455 +1930778291 +193507578 +406962557 +93782075 +1120706601 +1054197773 +942538617 +1694412935 +1478306768 +1083938846 +573720592 +1094539650 +1162546446 +181388598 +1652325871 +767695104 +601197437 +2095553427 +1554201949 +962268938 +1551239080 +1910307421 +1366262241 +2140925522 +1441026347 +319224288 +1608525134 +1829903099 +463025735 +2089409239 +2060838554 +246320378 +135433169 +320317463 +340102453 +1256139771 +1374515237 +1282641070 +803069058 +705338357 +219096268 +1376789651 +1799878007 +1381642714 +1558178249 +1304720230 +1854170 +11892038 +1252790010 +1556056120 +974160976 +656545442 +1318879893 +192939569 +649987316 +612422592 +512163858 +111028802 +294842044 +975189593 +52954393 +208196950 +1221509971 +188387563 +528514414 +1561612424 +1444527334 +1903029651 +696769846 +100112744 +460884360 +915866114 +1476902395 +113278720 +150025181 +887596997 +1417998950 +151879351 +899489035 +523305312 +1707935471 +1873650012 +1179850754 +879331716 +2066589581 +1829838070 +1491754309 +431269791 +1940866872 +1786596353 +1406459384 +1993821266 +1994793303 +480485707 +34725181 +375824069 +2042098131 +1479252515 +131370072 +591384329 +1579365259 +592254433 +1507250444 +908784007 +705533153 +1657275625 +1796381004 +2123532103 +1809154976 +548386391 +499353768 +1369606800 +274552755 +1679204522 +101454868 +193658689 +1361558945 +1593209177 +624928480 +1154942169 +1232321882 +2031387865 +1001279787 +1079631538 +364389924 +1036004968 +1455455607 +259004408 +367773835 +1586825680 +850388737 +1947139095 +31596465 +210155533 +708439454 +737129618 +1867431158 +357336810 +713178073 +1529102487 +905723201 +1212531841 +751225639 +1180275957 +744252716 +852680507 +1373934646 +2105811661 +298406037 +1998863126 +1113270182 +1530727919 +1882767343 +2114549970 +462875809 +99673620 +1003071290 +1918331417 +358678028 +1370845126 +1357673449 +1209066765 +1170500573 +1389269914 +1419222299 +1878940027 +2126399532 +1139169809 +88793189 +692093957 +520788648 +994516390 +1904625799 +1272014287 +27308699 +501394867 +2124694795 +1401243345 +459722880 +275617184 +1252622824 +1572993062 +1806345103 +987906519 +1540059384 +121737265 +1087580139 +395647027 +2040068682 +1446258167 +1766492153 +1250258483 +507841285 +789509078 +492044749 +1927063584 +520965457 +470960633 +918749745 +609758646 +1163054590 +1439538394 +1604275036 +920196741 +564069033 +1631583736 +1421591608 +541280180 +885343433 +1881314488 +816897364 +2137966257 +1306823903 +475758820 +978389129 +699399639 +597496085 +2065969268 +1095046666 +490081119 +1364743788 +714055171 +1740339602 +1872585073 +1503564249 +84900703 +1652165009 +2024529706 +555861336 +423431106 +486804704 +1718915926 +1862969500 +2091079741 +491629020 +279554886 +1575179829 +1913220628 +820835066 +313039614 +1647051469 +1637732431 +303522224 +806391724 +2113491251 +1281911353 +1505791363 +563503688 +1200396973 +453354382 +1053584807 +417657113 +1167409553 +646440761 +142758538 +523490155 +731341464 +1794923547 +400536213 +1287202800 +70871006 +887340918 +858635078 +1933840506 +830937011 +1350264098 +65911744 +258633192 +1116001079 +886746811 +571672806 +615568900 +376995594 +875195030 +1421960624 +343003197 +9622735 +780268339 +906506885 +1210019709 +1233622721 +1960091692 +1627676822 +253548627 +459048805 +1770435361 +777038782 +1190390269 +1417875260 +1177574995 +330109421 +1488746266 +2064915913 +1188744499 +1275103125 +748369276 +391524950 +1341014869 +1007002468 +1507526029 +80278032 +1578675275 +2123094929 +457273626 +306386657 +1397571905 +800276823 +316009393 +30356596 +1706783708 +1526029102 +1263979318 +1519391752 +1006222276 +1517527945 +1978440557 +629173989 +147083079 +1021347178 +2047049250 +1324658074 +1351456599 +1388311868 +1242090340 +392717451 +515931345 +1990459616 +784242401 +1856946215 +849978437 +144284782 +1937224247 +281170064 +119896063 +247014226 +587556721 +1517467968 +1047291049 +903566114 +1547824564 +606591110 +282111568 +664320234 +2125982862 +1288333845 +34364531 +1956939772 +1917507834 +181447610 +830803302 +1817073436 +1506105685 +34776254 +1057901657 +600712377 +427493705 +1573833002 +443688345 +1211736106 +1283295569 +1293666782 +1356020888 +1073036169 +1574836846 +1475916951 +1320050395 +14909920 +845901271 +219857796 +918476034 +246242187 +826448906 +1200587603 +910562422 +804948121 +341437800 +944926953 +614404245 +111461986 +1126374564 +1445207547 +1928535423 +484996601 +1479983801 +838953432 +1085708978 +1907477506 +265302786 +1529397323 +971729964 +1548598356 +675580458 +180267204 +474150877 +102933656 +1656184155 +1794201272 +117843576 +354601778 +2014059068 +1036319611 +600843966 +693024327 +89423566 +1511406388 +1497972448 +430861366 +308849693 +2112376693 +542323352 +1435224257 +1410100592 +323375127 +1920220858 +742600746 +1162328559 +858446188 +502594604 +1427631346 +240359864 +1474324569 +828746054 +915940322 +1654591773 +1302896931 +1018873978 +1163292281 +949614555 +1136717555 +1517894059 +816189975 +25553518 +2118738025 +1509214302 +114977084 +1482660765 +859703102 +545838450 +1791510459 +824596147 +1088161802 +1079251068 +87213092 +1411536930 +851988279 +829813838 +426381841 +1710434467 +1332408442 +1854013187 +1950794331 +659249363 +535275593 +719251005 +166357489 +1838172524 +1738124984 +1329649770 +640303431 +727358891 +700060181 +1456493407 +752912409 +671314559 +818224061 +867889493 +6491676 +1677927164 +1413727943 +1798002135 +355039663 +354406097 +729769556 +442252755 +1765943027 +1581757835 +1272066593 +44841221 +1144708654 +456991388 +1898854408 +948019338 +1116240751 +286646354 +1667270343 +1282598240 +2124818878 +1257911679 +464764362 +617638662 +1985270570 +1164824544 +2074132069 +590699331 +1836139103 +744872482 +1458588824 +1842630779 +275315998 +724833119 +1493149267 +630355662 +1079239217 +75435175 +1072608417 +697698596 +1657193010 +197191363 +742539817 +654418016 +654182751 +493910578 +1602437354 +1770423502 +780556932 +1122224050 +905538095 +757892162 +232652081 +1370302457 +1375530824 +70439004 +387643353 +1302179245 +661138335 +76298808 +2047051728 +2119727160 +1918929588 +174884078 +697076631 +1264595207 +805239740 +1776315848 +1340030382 +1877848158 +326530797 +849739744 +2075039521 +1069070614 +1504157760 +581738624 +1562981192 +959111467 +204678478 +196054476 +2081335517 +1110216573 +953946639 +166503950 +333035383 +181993815 +236942954 +720678736 +1484173061 +898081290 +796977545 +1383741141 +870324802 +568423485 +1558625219 +1567401433 +1833018692 +216381312 +1196233634 +1025565426 +2094229470 +1522764431 +1875305170 +2021785343 +444351397 +1231979282 +456040319 +2007332590 +43607101 +660718797 +55903418 +2124942618 +1770935371 +1009850057 +143962921 +2103970754 +1191843873 +380905875 +677165842 +528533286 +1278987165 +1474143387 +1912274427 +1828319 +2042566872 +1323415998 +1569229753 +1728101916 +1539797310 +617979739 +606183694 +1486543132 +2140744170 +334005216 +1360844827 +437611919 +1565984499 +1816885146 +297460861 +1609591600 +330120296 +353364280 +1587050571 +2101055667 +1363214337 +1731013492 +2057542773 +407574562 +2111919367 +587224967 +936107848 +1243422885 +2061368355 +700898627 +1245251204 +1956451579 +2024314626 +666997309 +1537069848 +1416628288 +1284977048 +2143253542 +755687773 +1278237570 +329775111 +2116532600 +1715849490 +1895759610 +1785934099 +2013310351 +1357867562 +2116054395 +219190983 +797434485 +2069626414 +1582405321 +380964329 +1979685539 +1989979883 +345400049 +419426858 +778604084 +1588822934 +333311565 +1479502711 +686590490 +142279497 +1356333689 +1353587800 +1679349345 +625478330 +491081200 +1675119239 +1381166103 +1769318771 +2004894350 +1350215055 +1337684613 +1753170312 +988665506 +1203511316 +963554227 +957236253 +1422702300 +1760988712 +879379019 +857623973 +2141953042 +711580910 +700120208 +339869443 +1131007769 +1478724292 +1928692377 +1464319334 +810743356 +467799219 +1606598831 +19593397 +1821387019 +1138464528 +645071727 +164984572 +666100120 +2026237830 +1934303343 +523510822 +1228969238 +1124504308 +129197487 +70151096 +180531976 +1092751714 +1027387350 +1603234276 +706256778 +1906766369 +313374601 +700726172 +470863632 +1013494810 +1040595615 +1601871401 +344735454 +821804344 +918707087 +1155478810 +1289603564 +377822271 +1175072208 +963506935 +1516286799 +1820143935 +1128491507 +34903271 +1698898118 +915311202 +558414094 +780383708 +2039815510 +687611581 +850534804 +72863839 +1780363295 +1877922154 +1676098115 +339136425 +1637204876 +1989472717 +1039862598 +2108068508 +855483879 +2080458213 +1562456261 +1200219333 +754778910 +333679700 +208214496 +2044382474 +711501971 +1383286704 +860405761 +80305123 +1055946991 +1988897269 +115208394 +607361461 +756724823 +673622488 +1387745169 +649056686 +1361234069 +90796326 +721920525 +994113716 +1968718480 +250534992 +1333250142 +1458439708 +92524061 +225629092 +1419024568 +948007940 +158603657 +833997181 +743626 +913382567 +1167676882 +208958122 +810281393 +1879178853 +1592244826 +1670687155 +1959483976 +500708169 +1512100776 +2074692371 +1108069631 +121341951 +600831211 +348331152 +770398637 +1962065281 +439127478 +1492319162 +808695349 +260362311 +1742854155 +2141945491 +1718802019 +1835378216 +220090935 +990342940 +635902509 +378694593 +1824340121 +636646135 +1292077160 +844533355 +845604257 +2102358554 +576228561 +290365435 +1625562061 +388228889 +791073604 +990179189 +315437612 +1899143235 +1111521140 +916268824 +99990740 +1881919778 +730850457 +539118218 +1226755292 +1539545806 +799480529 +822125799 +1534007650 +370798901 +510020368 +1754098585 +1361141841 +1145922877 +2132793178 +1037998314 +1782569012 +1277386691 +1882531670 +480689621 +1232261597 +311276583 +771055056 +710340010 +699505472 +1562128660 +1700519199 +1014943085 +1313788248 +664556691 +1931211909 +1413778988 +398992821 +514578718 +1952897206 +1625748114 +2054124524 +604894088 +300390265 +1440648526 +975692989 +810410633 +1047263464 +189351182 +1956333510 +1032572994 +1227349496 +1591418874 +162476037 +962397518 +2072108495 +1394737634 +1273674101 +695679903 +2105077644 +1973179574 +110324916 +1658113195 +840639011 +1424113164 +175186239 +624367272 +690408504 +574179060 +1138945990 +495822062 +52443526 +1045586866 +1100716150 +352833792 +338751745 +2076409139 +1163244425 +1386015209 +118276673 +972094288 +271104555 +1345626170 +416029514 +433580593 +160540040 +340654362 +1828318227 +1434214142 +1036334265 +1785912224 +1259910068 +1146659181 +1296541771 +2100549079 +423288697 +1471728010 +577432703 +1113697201 +2045907071 +1716378693 +1609519264 +2098350597 +614481911 +562751766 +303700741 +953233656 +491677258 +1466945167 +191765217 +609953931 +291555807 +462869773 +1955580101 +707585321 +896450366 +2116120142 +1048239683 +577284945 +1402850636 +2084573949 +215713521 +515277056 +1083749482 +1512255293 +468342487 +1507038180 +836499655 +1045775190 +473251733 +734923078 +614670235 +2082770997 +685790028 +1229152146 +498039116 +989490769 +34902155 +989716374 +308952288 +226667372 +1599670305 +600508095 +689537145 +1407766759 +1308093417 +1585987511 +1376403253 +208849452 +15788809 +631770241 +145939753 +231502330 +1147047297 +1229689236 +1743757623 +1615389784 +589243768 +432773631 +513681326 +1062495501 +1167696709 +1128351561 +997782851 +1853486737 +210020059 +1495821967 +695493859 +244922214 +338054693 +1004446147 +471589587 +1937724998 +1604954243 +1161126732 +1198008109 +765564012 +599630596 +426927714 +974413464 +615419405 +1058697955 +1120353218 +846921735 +58261604 +202558806 +443195711 +1673651388 +791802574 +875969342 +39849066 +1854298075 +2043666051 +1168200627 +704597278 +1749669141 +1378220687 +52935597 +297679352 +1623142901 +390990290 +1302125499 +2094732488 +181231641 +759596094 +1108375573 +1379239750 +1525160106 +1708006169 +1806167465 +352089923 +175941926 +717381772 +1472443141 +1022863661 +775643377 +1675001947 +1466059372 +301811117 +319320873 +194545066 +341660184 +26135300 +90727470 +1509860811 +730732579 +1840396611 +740597850 +783668176 +2138075963 +216257104 +1174658467 +1292717814 +163505944 +1355890108 +2052313909 +1271881517 +587646210 +1429990367 +832404038 +246330027 +1782080290 +1008345964 +963711800 +1107039783 +2031209626 +1739355177 +634558082 +1349785350 +2041166294 +953878955 +1544330417 +235342830 +980014256 +1635057887 +1745203642 +1710746835 +1327970850 +338317844 +346931363 +1318563165 +554574948 +1521589830 +463797331 +718080893 +729996290 +368627592 +1989962410 +1317642501 +1798617960 +674882801 +1563972528 +1433214602 +1683228765 +380200680 +392770738 +1566954743 +2119555857 +1027328820 +769256446 +2013238504 +1981207776 +166103215 +101097686 +813738384 +1801161102 +1846301328 +377001571 +981648304 +37135525 +723932934 +152727821 +591710473 +98039117 +616525152 +1309791366 +828035407 +985152745 +1152270129 +2145677908 +636287057 +1827152930 +1562166789 +2069501659 +1362898047 +1942367469 +314788749 +782369143 +1914439679 +1342117570 +1551625589 +1780194535 +1175841698 +1717728804 +1881292221 +1989580082 +1371406258 +1580109902 +219098005 +205570914 +1617245427 +943030939 +358298735 +61472252 +1041070056 +974823887 +1371263619 +1869105464 +1959976632 +376050100 +1867299724 +448780041 +55719382 +1281982865 +370798053 +1418617429 +1076866687 +685586802 +53502924 +843822718 +2027704372 +1605128513 +476533605 +1056062422 +1175373669 +210342178 +898158856 +399296279 +1790452080 +1117256861 +604867193 +1260213859 +2060287801 +963165928 +1321686112 +953874209 +1937989816 +545466083 +675496025 +1750482800 +921516183 +395312102 +51779194 +977235565 +1677294967 +422577247 +248369346 +606678006 +1108164049 +301872271 +1450500724 +988384774 +1907000784 +1927034329 +2044447196 +934890806 +2137376508 +795122405 +1334187085 +1780344940 +1912379266 +1939054279 +893075152 +1825183419 +754736559 +67277616 +631573981 +545242727 +612743699 +1307070006 +148241880 +1534259882 +1702382108 +200021074 +364011799 +1232193428 +622598321 +612381145 +1838871434 +1730762370 +914253416 +1141888511 +571663496 +673770553 +921439192 +468627045 +1608661359 +911332052 +1263749450 +795364796 +544193345 +1028645068 +586935427 +1437268497 +706344840 +1341671987 +1504546113 +1337918821 +1886914714 +2117289812 +497505179 +2035156594 +1504066046 +52403640 +87694020 +1868077845 +1284597068 +710292341 +332975342 +975984854 +293571064 +1247228759 +2117873365 +865234560 +1920999312 +891828910 +1333861605 +1382177023 +1803160962 +450127407 +30058171 +199870659 +1478772476 +616993599 +1637139156 +37633668 +1958665586 +994201621 +1375552489 +1698096652 +964007785 +1873057668 +1585769599 +320590183 +1925461308 +1673463619 +41184380 +1062574728 +236272313 +374159723 +2038559583 +529843377 +1621388482 +2008949300 +1395077937 +1394904146 +753294562 +581455895 +629597521 +408971877 +1031583302 +659655692 +608842536 +362872130 +1276649291 +98498045 +400505798 +1087831229 +1092699666 +1776058287 +638444234 +2056707452 +1501632308 +76730185 +229813987 +1279609968 +1750193804 +270998368 +194701049 +1986466117 +645158091 +85776984 +368825846 +119062925 +2094726284 +1763903784 +1513967071 +700537199 +197876031 +2143564592 +1109509076 +1229459333 +655736636 +1718351612 +1592331464 +1932385928 +1816849657 +1992837262 +872733509 +762065676 +1621411902 +1511177743 +671289480 +975560562 +1587907928 +901103467 +107686882 +1190618085 +1172101835 +302387931 +1029600554 +1817259926 +388164915 +1398426401 +1936322851 +335407552 +1014846537 +1302806274 +1035944751 +1212722568 +1298887218 +2145453827 +294698253 +1954623855 +1716321791 +1887029717 +1739526135 +1385687801 +1732383332 +464775996 +269829 +1206311586 +1975953740 +671559309 +34388500 +1416378020 +1572662776 +142075382 +459512457 +597280964 +444463314 +1489113012 +267057242 +832628229 +740055765 +55896446 +1168035781 +1754902302 +1358702720 +56496884 +820141222 +510106291 +54467063 +1114839475 +317246498 +1770788855 +854385545 +2056772633 +1008993008 +439285229 +374064981 +1009262837 +1645596815 +202535073 +1680822146 +1679985315 +1618913094 +1106001274 +1822060697 +2078425551 +1703282238 +119040363 +1420054915 +1970339481 +951668593 +12627032 +2026235927 +2119704374 +1767529334 +1237454999 +28717611 +440186908 +1747561290 +83184674 +1555026384 +2064807788 +1853973529 +261928281 +1974096773 +715482889 +701213510 +200678107 +1724745726 +199326677 +403213180 +1258084224 +1879311992 +2022126274 +216601851 +1553889041 +1953068178 +1919884089 +1672929405 +1225639445 +1742739922 +477114350 +1238266478 +1621492201 +449335076 +858312164 +711463553 +478052687 +1298499073 +311541195 +561237362 +706041809 +228865336 +267727243 +967970090 +55478461 +983210133 +1669183600 +256156568 +560472211 +1868510277 +659369749 +1818556436 +1600338621 +534012375 +2035158287 +1006744014 +339596905 +1807558728 +532189771 +1565236351 +1402815003 +1009304121 +656019181 +876823556 +1458639198 +1514331345 +1588287109 +1936691885 +665346770 +1899828305 +350445599 +1371388579 +2128693641 +618172843 +191875021 +36688454 +1601382976 +1861058621 +292845023 +14371539 +1582085250 +952214772 +1832927975 +1034940223 +1486227147 +1720602614 +2041684238 +1825824053 +1380677695 +426390361 +1243576756 +636009050 +1435694483 +1899595937 +1512832606 +746850033 +1266443634 +953636068 +536058270 +1931790405 +705980725 +886503870 +1155695336 +687190718 +1504676713 +1347570358 +723879172 +958576041 +1061145331 +1016724195 +972947580 +495746934 +1968938967 +658391908 +1530687157 +1307682467 +231510874 +1424887747 +986022872 +1612188569 +1851278109 +82115980 +100713971 +1139488944 +1981711917 +1613546578 +1886338977 +1100671903 +419698998 +274913599 +884978660 +1125679723 +1161417469 +2040673997 +1812870441 +518610534 +1240760707 +389265965 +1477186575 +154422390 +1405990161 +302650508 +650169324 +1227445480 +961042416 +33372834 +387644299 +1192553290 +1458260581 +1373667171 +657258212 +1162055042 +1455783151 +757972183 +154060338 +1290011420 +224035113 +2040399315 +243199676 +643734111 +167829267 +1128178336 +1769413834 +1329246736 +1021368685 +1434800627 +1847857271 +114645744 +1824066593 +1177560198 +269068135 +1082573106 +1480210706 +919237459 +162534938 +293769474 +952610293 +550179238 +1486322765 +263387227 +1923846409 +2143580977 +1425442269 +1232145913 +754069512 +1579502608 +374673685 +978104626 +1472418275 +617873361 +1621838737 +1640247542 +1746051698 +1243768924 +822010631 +619936735 +531085903 +522384254 +734582480 +207668848 +1699944452 +1003650615 +1290241954 +1032671511 +1922888074 +1452776893 +1326440985 +728014720 +2002956131 +665280102 +991401947 +1779318892 +661377431 +269360568 +863981157 +1415446944 +1848863176 +1238654843 +246067922 +1173797804 +1856528204 +1867906659 +666561698 +1455096254 +964191935 +1488572329 +2075032990 +1495277839 +2010956583 +662131822 +1702946687 +1563417388 +1665782437 +845704994 +448605251 +1441186863 +150998239 +1775046236 +21717935 +6470722 +292842691 +1013119882 +1785789614 +954220122 +1282480451 +502287124 +222183418 +983859979 +1740941967 +468251340 +10174135 +1449986523 +188674352 +676735834 +757599130 +1152866287 +17824515 +685148472 +500660478 +2028781099 +1347280294 +56123518 +1444714839 +865579083 +901828512 +1893320090 +159282298 +1052826751 +1520882678 +181000234 +1059297473 +1813725369 +1194120116 +697603439 +620461844 +329116919 +1199890563 +842645262 +1312976899 +793348882 +1310896603 +1323151034 +95851758 +1499570955 +1999886868 +853450888 +504953594 +2017711384 +1538599360 +1005614073 +1899008835 +738396006 +1061737591 +1196240026 +1603975089 +1963566103 +942076468 +1763257387 +868909206 +315475498 +1944257621 +1928206679 +2129200868 +990894090 +478326470 +602179064 +1320011009 +1678217034 +1444824326 +485504260 +324082268 +608237281 +1808655295 +419934026 +2107808236 +1661058515 +1273384914 +465278183 +1531286251 +664500626 +1470892256 +1282811438 +1402896632 +385146199 +331567816 +859388073 +201228654 +1273644284 +475161813 +1070137860 +1589119783 +271935786 +850860891 +1570837003 +1262829876 +1329187361 +25532419 +435357238 +859920747 +1470356745 +920861498 +1184003016 +2078594027 +582033145 +1603937042 +2038918615 +95608013 +729838309 +356713150 +1626894264 +1394338935 +1827605406 +762222055 +649751920 +65267957 +1093789871 +1509139993 +266496611 +219950508 +1984301806 +1336634471 +1809070291 +108753945 +40011714 +1232423646 +1371583821 +1369199076 +1257956065 +1806941059 +81636175 +580829162 +580318910 +1265639191 +511939541 +1162352055 +722092586 +403374509 +1257960068 +1451930895 +760087659 +737370685 +698786182 +440209418 +1499592740 +1348538102 +505477375 +445898963 +710194448 +771973987 +665849471 +547012606 +2108608458 +327436114 +655766551 +1136525 +1559859760 +2027350373 +1370335601 +670332177 +1686807784 +1451971776 +1251161340 +119643046 +570127320 +1763100881 +1281995102 +1292219906 +18991742 +392471522 +596667153 +779079402 +1129842207 +1295453335 +1219288820 +481951299 +496507790 +1724766195 +927850263 +1206702238 +349256534 +1593699734 +1753714844 +310381345 +1921135849 +261997748 +311517870 +1333511961 +141864473 +1681853471 +2003844139 +1828672257 +986341599 +1107521831 +1948315304 +1556468919 +723139064 +1082826758 +701205177 +742130807 +1475298280 +1297872330 +1521210209 +457656840 +445842018 +593015381 +939608139 +942349808 +170297928 +1867458402 +1568398 +519554463 +1313674489 +1755283242 +829935808 +1087326690 +2017280990 +1141453678 +273355003 +11661815 +675823501 +129715494 +1840334073 +1662165100 +1237237325 +1641165729 +1071150372 +1960376390 +576508839 +1772355549 +555023549 +2051807119 +922744232 +2076233758 +361980311 +1368586250 +521765491 +1301588451 +163452410 +692063419 +1021563205 +165020808 +1211617882 +187754046 +1920304050 +2041553690 +1275080736 +1790101393 +1035523720 +1548435740 +1801763208 +1711347221 +1678151234 +1494613633 +1226028674 +767904912 +988295714 +149695398 +580797654 +1564804553 +1922050947 +1135821203 +1469128025 +697311531 +1064571313 +1831108336 +2065897781 +1586336804 +985213139 +81866543 +130916575 +2006776345 +246887351 +1342534458 +47046743 +19707754 +1236604500 +1322127480 +1809809147 +124644573 +723079572 +1464088707 +1835991794 +253747158 +811218693 +914536820 +1021652070 +1799514407 +1064232218 +1602449724 +1216835313 +838799518 +590787279 +538479690 +1536111049 +1655358592 +222104378 +1454525183 +1094211748 +1207317518 +1536391726 +1225128324 +1066610215 +1783279078 +420179134 +1113656958 +1802986832 +1656783634 +288300790 +1465312331 +1781428207 +1011380362 +781917390 +1469936354 +1265127521 +1593136083 +236989526 +139295943 +1245166843 +1301221745 +1741745668 +314518508 +2140021263 +185049299 +852998198 +1528648664 +1840407892 +1075102576 +835690199 +787135992 +134936446 +224598278 +2012264316 +1201546661 +2007877356 +284959802 +167719972 +1663380540 +1941743437 +456020762 +981209223 +1575687996 +1467401125 +1763126613 +898140702 +585044998 +1208779049 +1135130229 +724340941 +306462244 +288868326 +318602961 +620980752 +281405941 +503652261 +1473978950 +1810054605 +196576505 +401597878 +498261157 +983712497 +536534325 +722859435 +848493166 +1738080986 +583253143 +1133452968 +1905800958 +99150035 +927712757 +214338073 +1080359258 +355917106 +1681739198 +696002223 +1254057808 +119300548 +1904781272 +241704389 +843641489 +63759868 +530572715 +1162244451 +684740620 +811978656 +1665896712 +11235922 +474549614 +1862473217 +412833801 +972810771 +698702066 +949368126 +1695670206 +1547195232 +539965464 +131439701 +533164553 +298282775 +230589736 +1460877310 +512620848 +1310948994 +1816794416 +46876398 +2006951217 +923368577 +166176946 +1764248842 +1165072966 +1009818435 +1828008710 +1695645682 +24579238 +365265683 +360140690 +1690475950 +376501605 +834690304 +1405465519 +789335406 +1807501075 +2104167586 +1738703532 +1355687633 +1503879170 +131185349 +1487127334 +2037043723 +429468124 +1717717070 +1350437386 +942088972 +881182416 +1019748154 +988965370 +740649986 +1943116731 +1155142316 +357415180 +960706050 +17477103 +37940242 +508868084 +42056342 +403205925 +869008774 +1732532292 +779707531 +1703699079 +990514164 +1569042937 +1363716506 +947198102 +1160262822 +571920492 +303593624 +1291448171 +2059047826 +193153700 +1720916295 +1629281249 +1543591086 +515521619 +362980017 +415855592 +1504486989 +1103630003 +211488676 +512145657 +1461045183 +1172194726 +529622760 +1498985426 +1681062810 +571679102 +1902191351 +402587936 +156727747 +534415234 +2106287015 +1147241911 +2103458172 +1322519874 +2094440013 +1116237346 +1894440366 +250549989 +260201869 +1806004544 +443703689 +1981118164 +1287802145 +1987294775 +349156135 +1650782163 +255666720 +1853643124 +606928518 +467155396 +218305133 +2067973702 +1639350122 +747927893 +1419475480 +1172929284 +1319606996 +1174183183 +1575517220 +1476334743 +1708598418 +1534320588 +476093006 +1664572942 +709356814 +423049371 +633326640 +456313532 +673599360 +893528509 +114834428 +1117303050 +727163025 +1402636574 +957114177 +1076319160 +905935089 +1212780897 +782478636 +1512863607 +1679936293 +1000783769 +1433353661 +1171802767 +1748711662 +705345493 +197248403 +920835010 +1879528677 +1772765624 +249686105 +1440643447 +1159602564 +725779111 +957732741 +1868959378 +1148828482 +1591059381 +177789262 +1822427843 +337104242 +292623690 +792247245 +1064267267 +1695260264 +1749361422 +2140586427 +453711705 +814658672 +775581415 +1966575313 +347111317 +1776365184 +1252445326 +1518914085 +1377593198 +1957790820 +1716162488 +150944561 +1689835849 +1341444464 +400630666 +982995648 +353563380 +1126409778 +1940728389 +75039110 +127754612 +1384304122 +252828372 +1950182455 +1721408364 +545452063 +594946052 +638191983 +93228679 +196823827 +631294762 +546940385 +1011482499 +1406876177 +366032050 +1358593816 +1035757713 +1618477376 +730024253 +265867263 +1428784548 +298703094 +416811824 +971136749 +1640147558 +817442491 +1954132397 +1993710939 +1943852269 +1747377138 +2068750049 +2071606881 +984197612 +174094774 +1874305689 +558122328 +719546837 +321768093 +1196314311 +812775516 +518591920 +1827609073 +1359715901 +1530074419 +1087001602 +1725747951 +741184588 +2122759315 +1196741680 +1471208841 +241142931 +478042580 +1769911935 +657954755 +1449179330 +1262575846 +1475397246 +1255828079 +1108803137 +1271765867 +855721570 +1030069538 +1195889101 +1839919182 +1204164312 +922711142 +250557863 +1923711149 +1244479235 +1446872174 +589003018 +1763071156 +1126997600 +1948718919 +1145661927 +66515554 +1526983223 +1886846515 +41791222 +576241255 +1210571709 +282934153 +1054283835 +832999996 +940888908 +355979517 +2095575842 +268802507 +1611807597 +1056895331 +1540568374 +320045519 +2086964870 +588973827 +12481053 +1143645534 +1511684969 +263038916 +919873036 +608680557 +1709911091 +1508876054 +224268065 +689425043 +1310111325 +1369929992 +755940597 +689610900 +1109292860 +797731819 +1265852155 +172380921 +1080665972 +172652343 +1005380917 +2021554881 +528631860 +953473112 +142873740 +2140439457 +2010368443 +1683442114 +313001328 +1949849665 +124932294 +325482382 +946011552 +1636617263 +588521298 +1865884588 +97814172 +150948741 +1227276994 +322082237 +840373784 +389904671 +1692012230 +1596314382 +1079515572 +653821442 +246562553 +197884079 +826202363 +1327228526 +370536422 +1831583280 +1201299759 +899168283 +637572744 +1344173499 +892124092 +500457540 +880131965 +1205125421 +302823557 +1005064259 +1530607803 +1248835109 +494197875 +2119129101 +967236049 +592012047 +122594195 +47029395 +914094285 +962967979 +436934067 +458622867 +411798713 +1516449639 +1112444309 +658361267 +1714333718 +1938646672 +1985589793 +2084870141 +1622746304 +1039405904 +836554776 +112835401 +236095755 +1728678868 +613292941 +1116227720 +786320641 +916116498 +2121291980 +169444796 +17467960 +468006207 +141090250 +984704009 +1060018254 +263684445 +1031733405 +1974112539 +1226652424 +1468667472 +285251758 +1638451138 +837633463 +1397696067 +149328757 +404483533 +1188859091 +2134918550 +341870026 +664121748 +1026840806 +1178424802 +776957149 +1262936561 +759620023 +1390250090 +231680633 +1545940664 +158882940 +205488965 +1715385461 +176350900 +673495172 +1856475711 +1161054910 +1733513427 +2120160156 +45304667 +1560142318 +1199328932 +1513972139 +1845394077 +690296422 +204121954 +1095606496 +839625179 +608605487 +136981940 +827060081 +950475514 +801103688 +1853900887 +2128900316 +1578060837 +969353800 +741036691 +820827279 +1201034434 +139493708 +979710219 +1406523399 +1854879169 +1156061120 +2080018572 +1563871232 +169632382 +1666048351 +1536547740 +214937049 +1078707021 +588393024 +1728909188 +776617450 +1278689447 +1933031142 +1872223947 +2118314626 +394152981 +2009205887 +797891060 +1344628495 +662825927 +504308299 +1326045164 +93403116 +1473662100 +2067081855 +914230395 +527212886 +59091915 +1893940614 +1933736285 +1913971084 +902518086 +1866271209 +1330358668 +1072150468 +1384835912 +719422760 +1287087517 +316059286 +1307815785 +868513057 +1092676736 +439021584 +654060551 +817417035 +409852562 +1048213533 +679139274 +1207743622 +245358380 +1341965201 +1712051922 +1571403544 +1435368317 +1038230374 +1491001752 +202115064 +1565443260 +1550093667 +2096055679 +1351695897 +1316581104 +851090117 +1070483459 +499456124 +1923240586 +307835723 +1218878885 +1062844455 +623895009 +379211022 +1931357513 +1716571746 +818232606 +437934416 +386505133 +1228085168 +1486147949 +1065644408 +288345143 +1731506330 +260125961 +2000397065 +1155426226 +1695494279 +891143791 +498944330 +1897609343 +309103403 +2049037998 +1846181374 +1660799300 +1218135454 +549787844 +583799111 +1717591578 +325544782 +891634835 +788986815 +1388389237 +1515529844 +1168197837 +1172263102 +1084617942 +1986430443 +1610197519 +1471123076 +1067031964 +948861820 +389283836 +1355377107 +532884502 +649409797 +1208290524 +1688310729 +197420428 +2099434315 +39771411 +2095029772 +261054070 +2088809409 +1793727498 +1921853370 +1159461215 +196031694 +358168834 +729569146 +521576476 +1249803669 +1518555961 +1909965714 +617849865 +539270151 +934745168 +1702467808 +378216946 +397459039 +1026107236 +1445248910 +1346320860 +1415391072 +653142369 +1879205362 +2064800869 +1861432893 +1420032443 +114737650 +1813383560 +1459803855 +62283774 +2074437630 +1401129616 +1856011272 +1848807353 +413107184 +2052042967 +59492539 +1142676330 +426135795 +1309296208 +513748643 +188617861 +1927146073 +1053018794 +1123363030 +1482130233 +1431235741 +1520822069 +360753821 +729001003 +719659281 +1776144893 +1382143373 +451380996 +1693462115 +1096092618 +1871413439 +1808199765 +761992531 +1183733646 +1870483539 +688946513 +437379615 +1579011163 +390270218 +850486799 +1483570482 +449762757 +1993163129 +1909706278 +1759058965 +359428124 +2098324139 +1538721391 +1412446919 +1074203521 +873367976 +696199012 +447541943 +1234121798 +1425200015 +1167201224 +862783043 +659859740 +1618582220 +408761510 +1755952359 +1342512012 +69477627 +370461242 +378762010 +1939961166 +1059407755 +816141625 +1371488682 +1449677974 +1666628424 +707575516 +1899440731 +1512307905 +469798146 +1511016049 +1871736030 +420638638 +902253792 +1136699301 +1494842159 +1775621768 +1832898313 +1942384102 +862259918 +1110614680 +962101679 +1725042962 +1770474421 +433200251 +2133804472 +1378943132 +1775712263 +55798452 +1749404374 +6990626 +1995759618 +661328481 +823132251 +1219764652 +2111006455 +342277028 +1927340169 +1862963539 +1854584933 +249654667 +1226495940 +1578837315 +670293305 +2128749732 +568052968 +17651817 +1756887852 +253467633 +1960035919 +471664123 +1364082314 +774653950 +49223437 +987073087 +1207854202 +35544261 +218532571 +836082817 +91342713 +1967936945 +843073443 +2087102332 +481781778 +1666205695 +1159383336 +445304586 +2008482723 +939239857 +160784477 +1715584008 +1188894525 +1387280417 +1146937676 +1859187830 +1368546501 +1714990644 +1876839647 +977950705 +1968458278 +1689391919 +1449614828 +1185056944 +316562221 +1498838265 +24646383 +1524416423 +1534382527 +243178954 +213015593 +1625725240 +63632251 +1056089036 +1565343924 +545414029 +574811083 +577243613 +990718615 +435810158 +1516483470 +1151503092 +3910519 +557894347 +391299861 +1150848195 +269598530 +1759846362 +718355191 +2146438177 +590313420 +539329821 +1688346448 +2039928248 +1724386765 +2004908670 +1391282866 +1749033148 +1381841445 +778181745 +1992212102 +1594857038 +256423337 +2055844353 +503462427 +1821767262 +453774735 +1078273510 +251527227 +1444493350 +1514083669 +1768010697 +448512795 +1517994188 +178421397 +839812656 +521358735 +448019927 +452175371 +1239713926 +446974456 +1042488791 +1779043748 +2135320905 +934933391 +1355946865 +1992745927 +178732609 +957496366 +1227103724 +956914354 +802224820 +674477115 +1213337692 +710585526 +1177939542 +887621306 +1164360261 +108729404 +1139148533 +461369963 +1622813073 +759675582 +909882758 +993323613 +938096979 +1749695415 +1514682348 +1386116906 +54387138 +606912627 +1833091363 +1096875929 +238472727 +1820928620 +2031809320 +1594419592 +1666190899 +63058282 +404432310 +745810975 +1019972636 +1206657131 +1420288090 +85826680 +1917242657 +450743984 +973447986 +934119270 +559473389 +2112596519 +1395489233 +34802814 +724788454 +157888344 +1028126428 +1662885433 +1907583759 +395325128 +901518692 +1961970897 +1002237755 +587126407 +911363178 +1240710482 +260571379 +795688850 +687646427 +1926762278 +858747132 +1092078737 +525089605 +1878719769 +151252220 +1945377696 +1964546449 +2068494877 +248638032 +790510788 +855130499 +808111421 +755623659 +103136085 +842914236 +1480412113 +261024429 +1871040664 +995813899 +21124540 +118882144 +1897332591 +1983095437 +1121119900 +336975350 +746974967 +214346734 +597546729 +1542663817 +901993161 +376825359 +253927302 +1994071899 +901914964 +2132647071 +2145324119 +699809012 +1949709872 +2066335349 +948447045 +592737012 +773982200 +1756558466 +1348360672 +877118285 +451989054 +681289137 +1138142714 +175546070 +1677103036 +1159267254 +294428215 +1426951979 +994879043 +1415548115 +1763927329 +1741854010 +1629894849 +213990410 +1137034180 +384404363 +590815769 +1390961482 +230992614 +1492730734 +1376124905 +228833085 +45056098 +1178351129 +147684786 +993503143 +1771088142 +921666987 +602577962 +971965166 +1798785272 +1054567016 +1653254303 +789444339 +1230113087 +1182873692 +1948711593 +1524541302 +462342023 +796106989 +792605769 +78785705 +390477351 +275016970 +292776115 +1527511531 +659421333 +883591885 +770989365 +890413947 +228838971 +2147114270 +1119247033 +273895069 +1177981752 +1266931819 +1267398213 +801586246 +41115158 +1869976175 +1773551412 +1839900431 +777059543 +1279322067 +481861122 +2007172630 +314712111 +283089067 +1384230284 +777054135 +1079196056 +29352405 +855839840 +1469673408 +304369376 +1148615955 +849701291 +963790709 +2032207840 +1620690657 +1854204657 +113563163 +1620321279 +825968042 +387458233 +650819383 +2092899861 +1654856446 +1452405629 +2134015020 +1377348973 +1078473393 +1826431803 +6924868 +210311813 +160809277 +2014097499 +525023924 +443898344 +1250844135 +1302078059 +1523094401 +1280196541 +10434251 +845284161 +1584565917 +1159050207 +1694985452 +400872978 +1043774399 +1168192461 +107593987 +1157337563 +641030093 +933562029 +1544795796 +1291849476 +878978243 +1052168594 +596771458 +865509615 +282033919 +1675244851 +544457770 +288958787 +1885556664 +705267047 +155572638 +263096941 +1149165391 +1406416774 +1565175000 +524776144 +539129667 +1575609252 +1370060305 +2123695584 +587175811 +917562110 +377084914 +1630950210 +2085754571 +484678902 +640804125 +579301016 +1418240931 +38116273 +1871150493 +149735526 +1090284867 +320438303 +1015245141 +1372318786 +1995683154 +1559702911 +1661277574 +1733756171 +117486310 +1816850212 +1996853112 +1266651702 +1075783338 +1414544464 +1791427846 +1614913005 +842670068 +1014004504 +1591124941 +1429845879 +1931566614 +1968209856 +913312442 +1869837537 +305405110 +1554116567 +301654906 +1723646041 +1592232841 +25321751 +1873381568 +535034060 +345760054 +741143061 +1907352847 +193959560 +153362325 +1421146773 +1927715731 +270848635 +1090513337 +1777085195 +1537500337 +18813028 +1044146012 +1181444536 +1633726033 +1886816080 +47965392 +1077367327 +1169178312 +1979532006 +898093535 +2082490754 +1701885895 +1203498645 +1489123673 +2003540801 +779661038 +933872866 +2028862552 +505558958 +1468906927 +227138958 +1246702020 +1228776126 +421098519 +1400064345 +502439251 +201330602 +1670912980 +1592952588 +1978415798 +1060929670 +1611765616 +875078162 +94890558 +1098008002 +614410594 +142855950 +27891681 +1783588906 +2122387956 +925985216 +1718596012 +1676790203 +2129483861 +1060236038 +1532847357 +761661251 +1994108904 +1414226261 +1267220210 +1315532183 +1641365220 +366438582 +396824661 +2062463739 +1766502927 +899263912 +116310693 +1289932259 +344732853 +2094726491 +203378281 +1956498469 +822321005 +298268839 +907022823 +1436731600 +441124789 +934914504 +1072836858 +416029097 +1860899720 +643949223 +2092819301 +1842899933 +1704185261 +1478183010 +457077537 +1550810517 +744925623 +1724297747 +718859053 +238807195 +2090736329 +1115683714 +153787286 +1709755608 +2014947627 +270097980 +852204219 +212196832 +217340823 +1055582501 +21211653 +1039661829 +1353851340 +928234477 +328909781 +1794976130 +1863148981 +1401746639 +63521579 +1576565054 +2045695862 +8857232 +1271981339 +1602397475 +1487040242 +1729058876 +1005724345 +84482218 +1305872975 +1724583398 +323289413 +1249125656 +692783464 +477076700 +811397616 +560247443 +747174680 +1663601836 +772444275 +964515503 +571700689 +793655929 +2004177332 +1925552029 +1721890406 +185603465 +1573044511 +1437555739 +1587350105 +1636566091 +866637145 +1485562319 +1645423323 +2138618485 +940476147 +984979918 +1720193713 +1946200492 +1069462136 +878583041 +1523300242 +1392751549 +2127708697 +68600058 +1869828249 +791622666 +628847502 +469519281 +307740854 +1401291777 +1434034785 +879441543 +47464058 +1290728469 +657509924 +1769354464 +1476331935 +83070788 +1059426556 +916198392 +1719636879 +1926063701 +254277063 +1217576554 +1917198538 +1194753210 +55072824 +1489908604 +993470054 +1124534960 +221007997 +369286648 +369802862 +201233046 +437886707 +92147463 +992855712 +1066734209 +561666745 +1300596566 +320542338 +1995701530 +32554461 +368006397 +1138946351 +690064386 +2137360861 +467794638 +773135174 +1049303769 +1383993030 +345288405 +827883823 +1638270094 +1562864959 +597598713 +685539656 +1617937784 +2087507317 +1679009711 +594989096 +161031666 +2048296359 +964791958 +362264713 +338699418 +1056939422 +1355120425 +1405433627 +1618606167 +508233344 +1725975966 +1466824049 +540787805 +2093982363 +458286752 +1230852191 +2083859576 +926081391 +2003987365 +985679698 +162590773 +201792122 +1813563521 +1800860867 +1764657082 +263678586 +338916876 +1235111218 +203702256 +2017926587 +1830100314 +364733922 +1918739298 +647408625 +726998635 +109955069 +1704348047 +2082119061 +1515388696 +1175470566 +442868757 +1093881014 +494810967 +983656562 +1040379729 +953097719 +67025106 +976755658 +1879179110 +2071012471 +1962435356 +2041769884 +125320946 +1628515229 +1695147103 +1889978028 +1892193815 +2034063979 +977605598 +2095896071 +1904506918 +660222264 +313146346 +1675762569 +1307630889 +1040144981 +1785717638 +864495288 +974780394 +1153622686 +2039965854 +1417649151 +100020053 +387293173 +253822066 +1140399782 +1340390893 +320847172 +2117155440 +1072086355 +244375995 +1932107148 +966372591 +369696941 +1413138729 +514036047 +112191321 +1157848897 +400616378 +1089796919 +1106261320 +157639649 +1750019184 +1419407666 +1833402218 +910166425 +312069000 +1471636208 +1774661714 +1286849394 +477775246 +1667143920 +557014898 +577795299 +2054437094 +810836964 +1718195082 +1247344339 +1131684136 +1687866874 +171947046 +1376060131 +1472490375 +1138319638 +1745757073 +738145456 +1652355685 +1857948394 +1895994353 +2052972063 +800261666 +854772026 +63128064 +402797202 +126696044 +1896530282 +1312963627 +438765044 +1220682842 +940141693 +1725614439 +1698458089 +459801966 +135145689 +128769740 +366755412 +945982653 +1846964822 +1614099751 +2077666789 +1387348049 +1786046797 +1306243272 +712354776 +776882787 +904516697 +1450500232 +281754824 +614981444 +1199010938 +187243240 +1415243110 +2053782964 +250371304 +1818040312 +32995360 +2146901587 +983520291 +471760405 +1220100781 +1923661985 +49891196 +771075222 +235980303 +185036885 +899844963 +602735715 +1131019538 +599326137 +69351818 +1061202679 +1986674186 +1855398615 +219962303 +551545314 +484797755 +1124479001 +2002045547 +766552579 +1739460445 +1053572837 +953795819 +1007219907 +959872153 +1204167124 +677776571 +992867513 +1203585063 +1661296862 +1464627918 +276202196 +1437475199 +1514519114 +1047277419 +1673455502 +1699555999 +1947122382 +128707569 +683091889 +398964871 +198059387 +1744294568 +238155410 +2053458003 +1964256872 +789700724 +390772110 +941252225 +644262623 +1157324689 +533229022 +1697835460 +2111120509 +1540448929 +510223965 +1167803985 +70741852 +1503091479 +223905400 +1732038714 +820235749 +500107596 +1022030266 +187271216 +1547385015 +548002120 +1886827215 +1347023749 +676709690 +422435457 +1745988621 +874769077 +19246377 +1984144031 +780743432 +1983503249 +626361107 +1171515542 +777271826 +1270623731 +181356584 +1310500848 +820975543 +144993445 +703466129 +1331199509 +1312797430 +774207981 +686807340 +1536702830 +358763048 +1507043089 +2036810426 +1380793314 +1694314305 +1436711794 +1928795434 +1433657873 +636251895 +458021476 +1856093330 +234756868 +1332790554 +1875339707 +71417251 +2113533986 +1711359309 +697778359 +1137565881 +341147487 +1968402090 +1318922465 +1651648336 +641893985 +1463915910 +207630817 +1973093494 +629229692 +981838799 +512417186 +18448874 +1340601847 +2019460276 +2055259300 +573911513 +1566290933 +1344487446 +355223299 +852465158 +1980739342 +813244776 +561074840 +68012562 +2146035330 +288930900 +139429814 +2112085668 +2000290209 +837208173 +1102167901 +193954048 +658126615 +273606718 +1845602384 +1300020600 +1737522628 +2053233202 +1125630447 +219268672 +887588353 +1638047633 +237717546 +80706552 +1510024261 +145493199 +654618065 +928831547 +1489980645 +1009841364 +1781296705 +1323236339 +1823086140 +194887898 +1391248902 +1821637822 +483818798 +1530678716 +1786239843 +336625359 +220403241 +740924096 +530579407 +878529856 +1014530815 +228698144 +31066808 +604569795 +134447698 +1156697255 +823838468 +1022036051 +647261241 +1061556014 +1102742603 +9801854 +1207049213 +1757360668 +938633401 +549546211 +619718384 +572446459 +1872782550 +295320877 +767334357 +1116547804 +2116958699 +1251153155 +499742872 +1755714894 +1587778514 +720146113 +349155343 +2118357921 +1598675969 +1363686158 +199572417 +1629742778 +1968255953 +334020115 +638956385 +644610773 +1356056166 +1286217626 +1706166788 +311315121 +1296019481 +765732353 +2068675789 +87169234 +1315278564 +540910526 +659615693 +1040577467 +836231403 +1426950050 +9641623 +805706454 +530619557 +509384496 +413937701 +2118398071 +1229530609 +763093044 +2089272345 +680722931 +2126779202 +141361114 +162982061 +1947551507 +475381230 +801938446 +444678633 +1831437396 +2088156073 +3361773 +2142752518 +1236691906 +769094126 +2063944659 +1323861140 +2084372691 +457371537 +1983476834 +977466510 +1293602940 +1262943236 +987108133 +2099309395 +1793562794 +1496492629 +365763448 +1764477217 +578539591 +1128856492 +1706265914 +1259262522 +1108152046 +1847627029 +1422244583 +908219905 +175524611 +76699381 +1352898538 +2006962007 +17371806 +1356260311 +2002230877 +1254063712 +2125354438 +1918691889 +430441205 +2062243481 +228579778 +266434391 +892226343 +1522182719 +1529377627 +1879334476 +1474008466 +1175456773 +1228343458 +1839771914 +792450343 +1806883049 +821144758 +351232609 +918661923 +1929296804 +51375990 +193422858 +690033061 +226900601 +270122239 +2042931600 +86378961 +287494046 +1251708263 +2088609838 +1541557758 +1229579053 +1859818079 +1971998963 +1144338886 +2088397858 +90949706 +2036565229 +1463096929 +1620327334 +1768416058 +789621747 +648300459 +849275868 +481910013 +1440750802 +508675269 +1303054771 +1791983412 +1427337192 +1084867927 +1843359402 +1620760050 +1774900988 +2070260004 +1890882289 +1670348940 +9155317 +30892687 +774573556 +2097765155 +1572450446 +2004152609 +1810099587 +1396965761 +1001007848 +1751013797 +1487915468 +890089429 +1066627078 +960759154 +511021839 +1856248825 +1609059613 +1360297707 +190675190 +902326768 +1868972976 +1493729961 +546826532 +1148826520 +431114240 +242702286 +622102922 +58531580 +165478642 +365501564 +1728880521 +174633959 +396394251 +355970429 +124915467 +1968844697 +212639390 +1935015054 +1218326811 +1213647238 +1538545203 +558758631 +2103736668 +457688633 +1519517785 +467274859 +166453810 +981093750 +1827572567 +357129000 +1883420518 +1549061895 +1850858961 +282763402 +550404768 +134489553 +525465689 +1172507690 +193021133 +690944331 +1538009254 +1921901654 +865578291 +1934403506 +130388435 +990493758 +1755764555 +343027826 +778025164 +826607718 +1556675064 +169086719 +1385366349 +1512928084 +626775352 +757400486 +1980202944 +793229162 +1738494237 +1660291863 +1150358162 +1474431107 +1061870110 +853733475 +1757194510 +1612274878 +988223028 +135176551 +637298921 +1181244161 +826120882 +27824527 +955662168 +1691699173 +1962228033 +1086050603 +534709283 +1570508941 +1429078429 +1312734447 +249633011 +838269846 +1481821166 +1634999361 +203714282 +2108596518 +244916199 +36433578 +754342032 +1983410436 +1696725441 +1904700194 +1310357896 +611111904 +610950021 +920068758 +75903134 +1599173049 +1055245309 +713202055 +632933563 +1881366191 +741026583 +1588595731 +1425581717 +555770968 +527162686 +1960291000 +2126279909 +1956241116 +1125541800 +228429273 +647027314 +459879318 +1863428634 +850741596 +420992189 +2108344833 +887175175 +1175334221 +1944271622 +436416968 +932550768 +1107145870 +1047528872 +1543500789 +2027214628 +1123432007 +995190191 +934976289 +1836634062 +1628123754 +668858832 +430176997 +1069235837 +2094440549 +985947966 +1596398523 +1907247902 +964744227 +1405155991 +885306054 +1193173500 +2052183305 +1345185372 +909118486 +755441254 +1766177561 +869979672 +1642616429 +794028135 +666767646 +2079033397 +1726578903 +1773913516 +979078622 +1122596044 +1653644496 +2102510629 +2117786235 +441137137 +1791661043 +1598426341 +1109995969 +74354393 +520178530 +1056952871 +1060302359 +2116577054 +816717125 +2025046586 +1374249397 +1702023179 +1070736439 +1278949055 +899724903 +1979854925 +2034390309 +518418817 +702350949 +1529523090 +1312446952 +1369118595 +1461072839 +891542207 +995548463 +292667813 +2014138251 +501709311 +247694794 +1984440839 +942846448 +2039355838 +1435383532 +2052842418 +2113710231 +1955562063 +962311641 +1026528942 +1924655469 +1779028766 +904091880 +1151421218 +1333568297 +1974828319 +282886625 +85809552 +1807199597 +169793286 +604228369 +362066898 +1699316376 +1916675321 +1731185494 +1012905568 +660733880 +579250309 +1305573381 +527388484 +1080959621 +1553268176 +364345675 +2023806069 +1445140366 +1799729207 +1929164839 +1411366949 +1607807622 +743992832 +290412243 +1384979443 +375537950 +1194504123 +388917014 +1709106247 +1021848795 +671803639 +1794915800 +681564744 +841596926 +251660521 +1043631642 +393429654 +20852195 +627333488 +1406335222 +681586075 +1206583798 +564424956 +1208974559 +140059771 +2117693132 +1573320234 +16382192 +1415349850 +1225565794 +1945547032 +679233151 +685889768 +542056216 +969645394 +2070869212 +917594167 +16665869 +312302578 +479216766 +1038514664 +984106217 +126648918 +1720079408 +1825703143 +378309440 +616227403 +71649150 +399161635 +1243560891 +1477984372 +1080747710 +302661041 +2042409328 +142238622 +442720812 +2012618812 +1715558856 +459103005 +1280485014 +793641002 +257166389 +1959718165 +1479530771 +799222605 +781879911 +1402916335 +1716816772 +798545781 +1715218913 +48549891 +1837060445 +551841482 +175198809 +1409656206 +230060978 +553508249 +2025883609 +301710128 +952669884 +1121960852 +1779694500 +2033417595 +1424621894 +1674620181 +28172569 +1867342706 +1539755345 +1743731425 +178962063 +672756712 +389888780 +436128452 +484991229 +1869419551 +1235351058 +1266871141 +1124852238 +804684182 +2065416922 +692587503 +853234073 +1754993719 +1244428985 +1028432883 +1017166277 +1474489963 +1581941132 +895566238 +1776200091 +387127369 +2017527091 +1408410944 +273061316 +1294665337 +935547477 +301233885 +1014524395 +327819174 +2044965310 +1193486459 +1000575886 +287370442 +1629614911 +1485567116 +9306345 +717482321 +604954609 +1134158583 +1522166504 +522887883 +1826746086 +227916929 +130397954 +923691424 +1256349812 +1147564232 +250697739 +690807297 +2043130470 +2026897831 +1077934666 +1913173913 +1287825127 +1350995982 +1060355602 +75888956 +1652229867 +2074879998 +403708130 +1549711529 +1120882809 +1404284017 +1837081972 +603014072 +742367485 +1846388317 +1320496394 +1347322094 +833063253 +695179250 +1870209977 +512325691 +923096179 +2000607931 +1436017115 +31962344 +1000688515 +1686714855 +722769641 +896335338 +1566129038 +1800704307 +662025603 +706470517 +1004216641 +1722381206 +782359473 +508962860 +1649777556 +1186067603 +2058674389 +623176717 +442867972 +1748272713 +1226190789 +1185235457 +1447177383 +399203535 +385073903 +132756988 +1094382785 +107800232 +645082679 +2017478965 +2108408164 +2081099795 +2049441309 +961613031 +1620331002 +624727302 +1857948369 +1038976392 +277947961 +372490325 +1745446909 +1282164602 +2094871531 +380322734 +1791127462 +1597165439 +1566390337 +1702318203 +72858508 +2009258310 +1303107269 +1299049297 +1047010119 +602801004 +1698252833 +1432084023 +735557992 +645151970 +1539884255 +1380640671 +515147287 +1500808771 +1314256818 +417104948 +314938155 +787104172 +1041832250 +25402876 +1826080564 +1319780211 +397893201 +1424043825 +454461165 +345281084 +1804366559 +98104979 +1942446523 +1223273249 +1800423183 +2015305031 +1085047911 +956046804 +1166870681 +2132058030 +1558847808 +717639866 +1416658405 +146922152 +1362791836 +809059013 +1527562823 +1877939124 +162384136 +694335994 +147560424 +477322291 +1481440166 +1189392675 +502725168 +1160037083 +361689238 +900618369 +436597260 +816150404 +1245899454 +93480172 +914255383 +1040862329 +1316753421 +567194918 +908683713 +254317684 +1523241722 +2075554394 +238892066 +934605882 +645710612 +1655550472 +1081528034 +2008502448 +317125837 +461607210 +1738957924 +479509973 +1155943204 +1886518349 +956832265 +489899722 +928427376 +1459557433 +1649936805 +1290116614 +212692154 +2086534066 +2106267018 +1458591608 +32530590 +873038754 +351970290 +1349284011 +1440233672 +1260654003 +1603601695 +815991747 +1188724749 +1842493761 +1750597629 +1834435361 +1350560585 +684642016 +1695454161 +1667686422 +1146249226 +1286928438 +2147196396 +154708782 +1025963139 +956545013 +644608504 +1954390515 +268618798 +147061662 +1097023481 +481310952 +86112080 +1055806852 +1939902561 +118642670 +1928845606 +144389203 +1467926681 +1221595630 +1405043206 +924044728 +2037587377 +446284307 +619054841 +1640701359 +133236020 +1969615427 +177859727 +1828690181 +1489818201 +1324108953 +968134971 +1489530949 +1478817735 +1994098110 +298592314 +2123426239 +1801004977 +567211112 +123004253 +750544811 +1048522065 +209116333 +1806351663 +840940978 +327759003 +1587713621 +985330181 +1795685684 +661825603 +242889739 +572246764 +551929333 +689174046 +1191301606 +45147044 +822410066 +1013433385 +223006771 +503616599 +355767938 +1547115724 +1471751571 +1845298888 +878449811 +1318366033 +2143891202 +854392402 +971887363 +563618667 +977396656 +1722432174 +1612140732 +1186512989 +1381300189 +305598062 +1514271993 +821530162 +1290928243 +1162474029 +1483355765 +1533817982 +1734720794 +2035285098 +75508380 +778538752 +2080432142 +897918446 +1791972137 +155955265 +1401535045 +256427 +1703070989 +725802968 +1845555315 +434037152 +2044169002 +1841962870 +1288429555 +868572717 +258097889 +118342563 +443521243 +1870238621 +1304855552 +1824821432 +28353035 +671643897 +498867946 +1319281278 +1834117927 +1982223711 +705615612 +1421355073 +1870025162 +781123992 +52410177 +1802973656 +1679042438 +1844382314 +1958928922 +933093835 +1844638741 +1514516263 +1658896804 +1542710409 +1948553416 +1555582158 +1237189631 +1089499323 +276671227 +1495287520 +1207841886 +720192470 +1218042493 +365213790 +397530254 +1246395528 +1036857688 +896398200 +418193158 +723491967 +731138263 +1123808770 +2144847040 +453679777 +1904932762 +49773569 +109169786 +1436491552 +1894155883 +2068098708 +222101739 +1591310976 +1435131323 +1880998543 +986537737 +1236201091 +1289097053 +76243720 +178216766 +1565768280 +1571531240 +1386058652 +138477102 +642090085 +1751272443 +536007356 +1888485613 +640646483 +1432405556 +159195123 +1364138450 +16060172 +1283003893 +1361501842 +469739949 +1040453007 +1411275411 +578909735 +329460911 +1157947646 +499524795 +551562651 +601774974 +1934656119 +285077546 +1588312712 +1023373562 +1574174600 +1664556432 +1201590329 +992459232 +1088604025 +440165333 +1130936335 +1730694110 +43954128 +1666943691 +1471696076 +684600611 +951865600 +1630891199 +2048739061 +967925772 +766411445 +1262757255 +1437665721 +1806864452 +526549018 +2016575457 +2136325364 +1684496664 +368616604 +540404367 +138787991 +155789075 +825481913 +1727100703 +1179162638 +252172865 +1244173487 +233269319 +1244632098 +185293864 +673434652 +228084785 +1915987975 +717388781 +1895028476 +1240200403 +1401989392 +699410428 +723607954 +1303244806 +1667336200 +1490019399 +418518413 +957518274 +1149400204 +945067432 +826610083 +1138241920 +482080448 +1195226687 +1678646287 +620868439 +1351015763 +356644552 +200485494 +382694753 +608817418 +1444658982 +615964072 +1853449516 +1629952846 +1289398724 +2081534301 +1398457173 +2006787505 +1829079129 +491173928 +1261293250 +381005910 +1214781883 +417054408 +2048342110 +557317634 +835572821 +858376736 +1706717838 +1780640253 +1684986819 +697476110 +115237054 +732729859 +228638749 +736105493 +2083745622 +585283302 +936590988 +318956727 +1194100720 +233766322 +934920799 +900066588 +1863719168 +76835875 +834117241 +1114692694 +2083623381 +515712722 +1605866622 +1197432983 +896718632 +673164857 +1614487391 +797577095 +1230482492 +302576564 +1655953831 +789716682 +2083216818 +1193457003 +1487192793 +50970224 +1926186862 +1715831542 +787075717 +1862448836 +153631196 +1723666705 +33921915 +1347731916 +1957433027 +968842714 +100314856 +1673668548 +1045678589 +934432097 +640877594 +981818322 +1450144820 +99260568 +31767657 +199379804 +772425426 +1646255048 +996956899 +2002907918 +1948831613 +505427083 +645140952 +1884564783 +1698884086 +2132333745 +1935535007 +1477587300 +1700681640 +575127076 +1192552488 +1854312836 +151310134 +1226474403 +1054561105 +2108743161 +47833469 +1154875961 +1634928061 +1093512058 +2089308059 +128322007 +2075330381 +1391969231 +227582576 +2107098038 +1591349035 +1000008002 +1605869439 +440822287 +855432272 +1407217404 +946249370 +1500573224 +1144298539 +497649808 +1485423322 +932349898 +1975237108 +1038621314 +1507476974 +1020305948 +745450502 +1658787108 +99296703 +1800011607 +1620046622 +147130172 +807403921 +1107491035 +1240642230 +749228332 +1235813043 +1168488963 +2141197563 +1463395619 +1128103354 +1585062950 +315919973 +586489145 +2025885237 +1171352245 +1993706549 +824650959 +524441821 +990521440 +1322300767 +2009865143 +1922871338 +1150054227 +901002809 +1282864664 +22876527 +1646453312 +794168125 +122173230 +1298981271 +266731099 +269303402 +2106385192 +1374222134 +1509945633 +708129876 +462551529 +530950948 +701843791 +1925947148 +1659054302 +139423094 +94383473 +98059799 +17824683 +1265735718 +2091766348 +842475643 +1790177540 +934804140 +17292762 +1652559035 +710191830 +1167346990 +406078197 +1993056495 +1190223517 +2052531509 +639740972 +1312396748 +1204029132 +906472071 +1581700150 +1162930677 +133210557 +944162135 +1871060553 +595762087 +1475113084 +425420697 +374225587 +986683738 +564843791 +468609061 +1084743538 +582668474 +1734344779 +1029026238 +1425144117 +1377038671 +1963830379 +1442436880 +882114059 +526538561 +462300222 +1288192256 +372111408 +1652523739 +1193240117 +1011852380 +817436839 +249785601 +1918324451 +251653342 +1412716278 +2051535009 +1195815477 +1136293184 +499813448 +523444913 +1561713881 +874039035 +1510128652 +2126557672 +1342648096 +447388542 +561742498 +929509228 +1476414780 +1986886616 +159064251 +1292761511 +1281839848 +1041178310 +1819300073 +1744140070 +181886918 +43927833 +1249180161 +1375127035 +1055780214 +2066617001 +1624912637 +826621017 +170786695 +890145267 +730672378 +1366602172 +2026438451 +1230485826 +1890047086 +1440668684 +2104524862 +1252692090 +1419742708 +1299689310 +1700080632 +1981485207 +81714890 +1029011764 +1820888175 +240779142 +174289628 +955244375 +1281957452 +1993589701 +551900797 +1463844371 +2037517534 +1801080958 +691487758 +945814100 +1720214311 +168916747 +1772435118 +1891001006 +1059062015 +355623848 +1110119531 +938016818 +1586109675 +852682969 +231201855 +1543150889 +2105375059 +1650944563 +695356551 +1657972043 +1484946122 +777071442 +539500159 +1158350649 +1017850584 +713789787 +2113595024 +152324388 +559895840 +518012173 +1616168759 +449929727 +171609484 +160172870 +1395743827 +1891823795 +329089617 +1020695297 +1635341154 +1388151632 +1376319146 +597977037 +178684803 +814945173 +1450660006 +409886658 +210612414 +1408551417 +2060831221 +905968965 +919039812 +1398293696 +1683040407 +1458539971 +409160697 +553407343 +24846111 +375272074 +705731732 +584741951 +893284247 +174416843 +1034671678 +1064893731 +334589713 +282931858 +809233879 +663679331 +1303627155 +297091385 +2051830963 +532462653 +895068422 +83032118 +1347407826 +198244780 +492918776 +1558020240 +1606796197 +406266350 +316505558 +378352361 +1804560046 +1999545965 +1836892332 +66237095 +405469661 +1861738443 +441509169 +1111201393 +298996747 +1334793417 +1285618236 +1333668425 +252203500 +1620207950 +1616600283 +1061437379 +136403633 +772743791 +1358528764 +40750948 +1305206444 +106113538 +123783067 +505130623 +304358318 +616701843 +2063150863 +1911154515 +1022968193 +232172773 +142023228 +680044591 +84235091 +1978915561 +746281687 +489704752 +1693170356 +1187790856 +1600906145 +1992167103 +375100625 +739040733 +1178351881 +627304126 +211765035 +647468516 +1688741505 +348168668 +1420212307 +899786622 +388919617 +577935104 +1005900160 +512702684 +1083065727 +1310258479 +1129404527 +998732942 +1073929346 +4889073 +1230905716 +1215952575 +684933664 +1315140807 +1047384488 +1431215351 +1804845559 +593071196 +471522560 +1258268056 +437754652 +846623185 +1997308789 +1616106533 +1473927311 +61590177 +116091401 +1015185169 +409758845 +1536303709 +1914971791 +798678462 +2114238813 +773388303 +1311381146 +1049820892 +2083646782 +293302026 +2048553834 +1010092481 +298191099 +1131975902 +78561408 +983124763 +299633061 +1125945896 +266856467 +2104478620 +1719017092 +738379027 +1215263028 +9288096 +1585002212 +1065088170 +1625394629 +911445876 +1126678347 +1741486031 +1926631045 +1536437192 +1130306092 +1694119188 +187632007 +1097061257 +320023843 +1499013153 +2146882149 +256186978 +1792315179 +2047952335 +1266279459 +2090506278 +1032444590 +1344840867 +926147394 +1332077651 +323303115 +1193003861 +1289072624 +2042320207 +1931382888 +356852004 +2051608304 +1368901452 +1421940174 +1529519285 +132863680 +401134873 +1123521668 +2059494725 +1937572066 +106344112 +1606130265 +2125204073 +1203405369 +1926154109 +1476733578 +1202803870 +34857439 +1121565110 +1103272558 +1301136898 +1064587740 +2135717148 +498494117 +1990735134 +1320311151 +821797232 +1036255347 +461900127 +716633791 +820154587 +818752132 +620758447 +41572392 +93208658 +2794085 +174436072 +494343532 +1126315753 +86447150 +284431950 +1232659866 +1692577415 +262152375 +288581587 +1471247876 +1738885953 +1491385458 +1506105315 +712967415 +447174368 +659758565 +1777555156 +435407868 +1158252682 +1620806642 +1755719019 +1980049914 +509578342 +70135499 +549200058 +1329732929 +888887631 +1169958505 +1371305321 +982096289 +1172752590 +1545741394 +1476439821 +151584696 +1632188544 +1760871771 +1384244562 +1177282311 +2023024146 +1672826149 +501046540 +1614426452 +1016727959 +2007151855 +179910219 +1463902327 +519426773 +1957465375 +1899310195 +1677679455 +1430788370 +1507545567 +1510245722 +1940366712 +1577681066 +2059445780 +1122615993 +319085049 +1081920637 +346437667 +1301181338 +107189580 +1892179061 +630137512 +258774276 +1376883957 +243525635 +1643018838 +406682620 +119066134 +1168361339 +907729160 +1733492586 +37605651 +767397368 +1913402805 +1501507978 +1286824141 +1723384533 +1253334526 +817019948 +1006689255 +613396445 +179782022 +799572319 +43593863 +91744154 +1922188312 +362678912 +1173664792 +121142331 +1663860250 +1280854372 +2013321392 +146514114 +1539628648 +1242721701 +390039750 +1035163838 +1649404322 +509105884 +56041529 +409649834 +95114822 +93647180 +1177047202 +2008517627 +1595155159 +316387695 +1584418512 +701006037 +1133407644 +443624119 +1314402482 +1313189666 +1243196438 +1357996345 +1404933821 +1017901103 +1720675257 +431114965 +1139043434 +1237051859 +1711969337 +1004881179 +1383565974 +1104114337 +100119232 +1773605724 +2139278175 +1749523554 +135227960 +47836056 +11689741 +230342782 +141483237 +1188736943 +91376761 +1736638396 +1505124639 +1675795274 +290160785 +491048635 +2119419393 +1604563267 +1804238301 +1215132184 +815075964 +1061688474 +85549639 +388267573 +1492803439 +1224593073 +1625319432 +1057289128 +81990604 +861401758 +13919817 +182109837 +487523834 +5714344 +1931633391 +622751794 +53550401 +1943323132 +853094576 +195033638 +984576428 +944471338 +1931672034 +342217419 +472782964 +74349171 +833266054 +444718709 +1678912438 +490020707 +1659850893 +346504754 +1551709182 +1745400532 +734772327 +897028973 +822509958 +212608111 +1954318102 +904500562 +1074009870 +1968237919 +1086610399 +1561533704 +1973952264 +870760143 +36801851 +2027502665 +666599627 +889896427 +75052655 +1651176055 +1834367765 +2006724689 +1993393474 +159667081 +2081073860 +679175880 +604385791 +1612502650 +1169196588 +116753036 +1959007404 +573422122 +1862153569 +546296083 +1470451095 +537179879 +758904194 +1277285549 +1441680441 +1832914064 +1098039821 +380807193 +1246964121 +924508437 +1251567336 +1283765972 +804527454 +1918166963 +26178751 +879580109 +1421859371 +1860546517 +738821150 +1267769197 +2020213598 +672411362 +1946945078 +477115741 +137430364 +968658018 +593868778 +2096437768 +1542080140 +308538699 +495250203 +865047587 +845718578 +1254154397 +2142333137 +139915371 +939584814 +1092889310 +520722564 +39065287 +2017397747 +1772289900 +1322831259 +674441553 +1542973216 +1349010010 +1554021662 +817348939 +1062072879 +145359164 +2085118136 +934802830 +817770526 +1884579566 +1411918571 +955200890 +705753936 +2005787349 +904155010 +100350428 +166842400 +1399405213 +965398016 +1012560978 +506075962 +960247505 +1152476350 +1445660776 +2053136815 +1673198914 +1484726063 +1923050914 +1298005167 +660073674 +450008819 +693494735 +2009083685 +2004030481 +1510843674 +923672916 +1905997 +1448478162 +1858475746 +819676523 +1185574081 +1122910670 +1774877413 +1891328017 +981214371 +531548775 +1991678446 +1148056772 +1930953988 +809592814 +13134102 +289546302 +1769840319 +1165610452 +1735207079 +1675493486 +691325719 +1072449494 +1451060752 +1989330886 +1732523169 +1901069571 +535341973 +1594123206 +1757616404 +2046185647 +370312474 +1759522401 +1347180161 +81304573 +431715276 +385270594 +1204215243 +59109041 +129114964 +37945966 +590657816 +2120793410 +1186002738 +374128156 +782902576 +1199136841 +663674458 +405259247 +217263645 +251397889 +2080752733 +908589364 +1323847384 +1384329837 +750436602 +908886905 +1137915760 +1285778575 +355526463 +748048516 +1184480574 +725838937 +360087269 +384177088 +807143510 +791802545 +769447682 +2011358753 +850911586 +898562646 +2049304720 +1441569402 +871872408 +1087823810 +1815697558 +1654774984 +139477003 +331888368 +2060034231 +356740649 +583286258 +1993303316 +1265330013 +1907133642 +1230149505 +2015766616 +668536899 +220581617 +1154061543 +1024063362 +968630133 +191058470 +1749902299 +1328717402 +575235558 +409562162 +2120519947 +1344683240 +273437267 +823947885 +95762239 +175258339 +118033639 +967634647 +1263082150 +1933731197 +474925984 +1402559153 +118135918 +387476567 +1759299802 +701422176 +233296236 +877146168 +461072170 +1463445741 +745429136 +1129609069 +1684027359 +1899490679 +6188783 +505173844 +2090549149 +1756091082 +1833891247 +518301059 +18169596 +1806927546 +1862984300 +291606864 +483391784 +1958746539 +466865203 +601425423 +778897538 +1729947353 +387672973 +1253823522 +985022859 +505808891 +1641300090 +596839013 +1207231067 +1874596326 +1473985181 +1668303237 +1190558419 +71930669 +650428658 +727102130 +1971421349 +656617441 +1232275975 +1914486850 +265224875 +918683574 +285304262 +283394472 +578127472 +804914 +575001336 +1061519256 +1959551453 +1041866539 +1662944680 +590965343 +624330245 +2050617653 +1844788866 +1609353104 +408942896 +1338605308 +58708469 +1616173963 +1065717986 +1532693651 +1136993552 +108792757 +1604624320 +1787422210 +835894888 +1428562021 +296556003 +2068170863 +1195565224 +561780878 +839370789 +1480869486 +845175350 +1417498261 +1481674400 +1420176686 +331533870 +1293742205 +314559578 +1994478550 +1884707548 +938889823 +1897612555 +1582012766 +400759279 +159071803 +773134426 +459467748 +1775245766 +1838852412 +1992161399 +764755670 +1947645170 +1449302072 +404694232 +636056410 +730380445 +701250235 +556743625 +1925945669 +1263031113 +1396114414 +1259331507 +2108206464 +666129027 +593522259 +1380899502 +997662897 +1887264464 +1695459080 +844657799 +1624488365 +486865255 +594786706 +1059017483 +887624534 +753858509 +1832151910 +1347092283 +381620627 +1523520674 +1191770034 +1146376297 +1323682196 +493588458 +1551070529 +1959738606 +1223968904 +104837116 +368998583 +1002430925 +1367868230 +1765112997 +114278785 +1328591046 +283758377 +707801044 +562006900 +1281421274 +447581861 +109982333 +2126079074 +2072070226 +596847588 +573382132 +983604061 +1484472123 +1327240642 +668272323 +684080758 +1708861269 +44309350 +1875850792 +707753919 +1367991546 +221955603 +111340800 +1180246505 +1445924507 +216177917 +1549245088 +300871784 +1584046147 +1166874438 +415150569 +765153545 +1450632815 +1122951614 +1327160445 +584570441 +1570533475 +1437142778 +563165867 +1495120053 +2033990367 +1136548000 +331240466 +1370978842 +316304994 +999512790 +2055059600 +2025166263 +1043822140 +1783426744 +585436534 +264330038 +2005382347 +696777335 +1444576543 +1303823206 +912955252 +846337984 +1604694991 +349517751 +2013212422 +2019845560 +1114671296 +1316361589 +995313526 +294348093 +1900932030 +418363353 +1731490872 +316614250 +1913483406 +1617997591 +1453162250 +97240225 +841492785 +1769467244 +1096753015 +749068737 +1647149859 +2140575155 +385011833 +85102746 +257421545 +242910533 +781880081 +1701998089 +1546733739 +1694835333 +400852425 +1003945082 +2044353084 +266581199 +876306995 +1011540732 +1582942788 +1871620521 +1305888825 +1336391170 +142500227 +889896049 +1653005420 +2055983633 +360409992 +958684022 +5740210 +1201902777 +580667618 +1102493225 +1950971514 +80333830 +1095584732 +188499700 +165436576 +1353006278 +431410233 +947316657 +907520719 +1978143972 +494668342 +1308373144 +834605407 +391537778 +1574954343 +1710912402 +1403078510 +1010413483 +1435049275 +561483687 +199321005 +1577549502 +1451379737 +1852326426 +1486049488 +1811789729 +663526800 +1491789698 +866208859 +1244194419 +446799276 +669696725 +1324528249 +1542384008 +858196425 +1489964825 +747906638 +1289606658 +289797834 +1655427357 +1120266983 +784466176 +816316853 +1954872390 +1176003954 +243787548 +1518301144 +431598816 +1254201031 +805866771 +993082503 +1453522037 +235932626 +296978592 +1158364815 +1721982114 +2108768322 +1821891615 +1066288164 +827493533 +918602386 +1513087440 +1497190258 +95646987 +907987801 +207903036 +1585611812 +1655894439 +1497509694 +1875409646 +1163838149 +470293029 +512392174 +1980155002 +277681771 +1688396128 +76458903 +1795982915 +2119994944 +1330659934 +454366039 +965593800 +636698323 +690298665 +1262572392 +1795063138 +264797131 +1223857066 +1469471106 +1331085295 +2051350599 +240589844 +696689088 +1401057210 +336236832 +1604676889 +1608960246 +1921848644 +1113087680 +958986292 +1649774643 +129442181 +1429279322 +14683169 +2109597184 +1706961093 +1703079298 +38572439 +1355460361 +1675590594 +1369232373 +1809826400 +493700746 +2005930697 +352641417 +1756273139 +1653510187 +617438548 +832646557 +975497645 +1948523843 +736513509 +1216087490 +497729283 +2137570719 +1552324322 +2102406172 +1599047317 +1326689318 +1068010205 +410549961 +828980313 +1197452386 +1839829283 +843663483 +1159565922 +1399306729 +399259133 +1198138361 +607283442 +2074849727 +419887087 +269626194 +421066826 +278334136 +622267611 +29856317 +1931844323 +1239706159 +862502874 +759858321 +1040746354 +1599016383 +1975945811 +1538475638 +1589103454 +1380786485 +1493398162 +1040667123 +559992155 +413924719 +1451217085 +1388972469 +1611377106 +1143562720 +85152304 +623459380 +395385801 +484411437 +1821597742 +1002669243 +411777516 +94001181 +1272295437 +832844342 +372335317 +1894563048 +862700659 +156695992 +986785559 +1725203534 +916554313 +2027531914 +1176736269 +745016476 +1418523904 +618356076 +2125802961 +764438418 +1659023199 +538311469 +1178363138 +962756636 +1927283938 +642256596 +2106319357 +2012436242 +1265715976 +354221510 +349364031 +939830070 +1356890754 +761141547 +1033831251 +481702543 +1593985890 +1406166568 +228781944 +309202901 +1562862561 +1215567503 +2034406435 +331933226 +1095615769 +1063659057 +1076949703 +366656025 +1682015133 +1055269016 +1131094444 +1193554684 +1593580485 +161973934 +8827673 +1373380775 +804230530 +2115147030 +1238333369 +2069946506 +321884892 +1587697400 +862292929 +1678775646 +201355300 +1896124180 +12994542 +1795341190 +1154807101 +241776486 +2104544091 +570186014 +1457343989 +1991466879 +902119240 +405476111 +907642288 +1979068943 +772132136 +442173773 +886854312 +1903226580 +1635728457 +332951149 +2065200514 +1644556130 +1706331925 +721947396 +1612219512 +797181646 +644410255 +1934104405 +237395399 +1506703184 +1465396403 +438750699 +1255343716 +1478390945 +86608241 +262667169 +1720167431 +43668684 +832853183 +1030027773 +2035135563 +1734972424 +1435503884 +795294203 +1566557719 +60152372 +1237467976 +305928383 +1963378953 +725712786 +638879533 +1881095819 +222785268 +197727810 +455559568 +1835004781 +994909456 +1099969823 +1621625538 +1232304855 +459189359 +939538293 +1671055554 +1714533075 +270445591 +1757663795 +1977200245 +1990613022 +1801332480 +662569780 +873157147 +1688984395 +250058556 +161177383 +336794951 +1816616276 +221329756 +1574262927 +2122544659 +37225061 +152492065 +613940544 +1918320880 +375277334 +811668354 +226396800 +62798467 +1806577811 +1326366623 +1684424005 +891399018 +1785555982 +476478650 +414970925 +1352605410 +746924241 +25151072 +1182322007 +590053616 +1826483552 +1844891787 +1463210763 +1367984300 +2094950344 +1624388147 +1704779251 +1764082972 +1845717903 +1131558530 +1739143983 +1882942964 +1284050596 +205600880 +1653780196 +1659327930 +1017269234 +1880176997 +1722126397 +676363397 +1059059972 +1259066754 +1567762416 +697132307 +1735545404 +1982733341 +2049737717 +334985998 +2007884413 +1084576076 +925039614 +1686884318 +781984215 +240766729 +907384970 +729450911 +1865154876 +464680573 +346050235 +1563389131 +1596239103 +2085194219 +1298848447 +732806051 +143311451 +805144996 +244650333 +1160580685 +537838345 +1966776730 +1836944083 +1596898317 +1078359836 +1257222851 +146546976 +666421593 +1092472544 +48801045 +1001407591 +952873309 +1133377121 +1926447205 +492273979 +1915361337 +19730286 +1399658949 +497328600 +1884885163 +1864339522 +843378836 +1300790646 +1313094978 +781089407 +452155446 +2045901029 +924400858 +1257300442 +143067715 +2084981543 +1795138787 +2109844445 +1774441978 +1244553456 +1040720634 +884181181 +1391100433 +1707142227 +1976653725 +1439901478 +561066170 +782043387 +425794952 +340029727 +1274317366 +193672641 +359760013 +526492668 +691001241 +97161528 +243348542 +1534380077 +1397952175 +1556443520 +167985836 +1850107621 +1454860902 +1092386694 +959924415 +1597928617 +1029884590 +607579554 +1560289414 +656842920 +1852133010 +453526400 +1541024102 +1095749795 +13184979 +1370194179 +388167626 +574251149 +4753918 +813962578 +914280876 +1279071285 +1007635219 +1274040890 +1805563953 +1698636460 +1371202418 +2048912495 +1085532890 +621670945 +1457872368 +1253518726 +324294918 +765249622 +198421773 +1284219333 +215694591 +1228306363 +1891798887 +1775984005 +1885149283 +1596448250 +82026758 +1278689737 +544714397 +95211737 +501400269 +932882023 +669462887 +506154187 +1746844601 +1583743763 +1785225472 +606996172 +710301005 +1443305777 +158148985 +2081503424 +1344734625 +1243681875 +555690721 +655123345 +349716953 +879985640 +1420372967 +548138726 +16721325 +1636067558 +1776445089 +1908520213 +1264567915 +1514110725 +1357484815 +1346594673 +645316814 +1902199212 +1441806411 +1146717083 +687597588 +2111269298 +1652871271 +286958541 +1547529413 +1290613095 +893954714 +110346771 +586435225 +1052103699 +44366547 +1931169850 +148301926 +600057268 +438809547 +498018879 +1480042908 +1859182514 +1046157606 +1496764234 +1347766424 +675119047 +1257800799 +464850691 +41746124 +467801966 +1811445365 +687062939 +222517530 +1105768128 +1833780022 +910115118 +1069553778 +1339167645 +1197073660 +469599543 +482297093 +2091028374 +579946314 +1068732318 +995648425 +624312861 +852418520 +1143950351 +1224370130 +1291228067 +1641969230 +556929390 +1002926933 +540643188 +2053693624 +203209709 +1215762236 +1164010775 +668060400 +1257508360 +1631812741 +332022117 +1944571299 +1854330272 +1437790245 +1630867674 +616961742 +359860375 +822551671 +1814035402 +829459919 +1304848764 +1757580128 +1409406233 +226097434 +605744905 +2033719095 +1078515954 +1749695256 +1110605577 +222260373 +1244180839 +1667534967 +1225187306 +1784824027 +1573744944 +1428397015 +853102615 +590272071 +2096457416 +2110610976 +74601165 +280995885 +1907698627 +1928931437 +1718786131 +1391082653 +398409531 +2078646506 +66150677 +64961286 +760622777 +1370999441 +1822541414 +22545363 +1597096876 +280802672 +2056264458 +528129182 +2030497928 +1019386387 +750389556 +1127195119 +539437706 +1975576862 +764535499 +2113182650 +1256490230 +1617638114 +555971074 +1205463998 +1580765442 +630572239 +1486459883 +1340980422 +412020028 +1057762366 +584579427 +810429559 +988925225 +650730104 +875390845 +1749548002 +2021729546 +550448612 +1772093365 +1471342774 +831251284 +1680874175 +1999471956 +714265564 +552776914 +602377864 +1841460684 +1092214621 +430471079 +458512535 +1057913623 +1686961309 +2076150649 +1613884697 +744941659 +1509432444 +96973288 +83917894 +702929218 +508993316 +1141680261 +1287508645 +1319422876 +2130605486 +1938238750 +47330073 +1732669840 +1812484648 +597778685 +1357279558 +1136343774 +1429029969 +890670085 +988332082 +2143295534 +1443447000 +1590709947 +1837272570 +388177973 +2021181026 +148301457 +1446091596 +1560658687 +76968458 +912492646 +158116698 +1586400902 +1009465934 +242034592 +141846472 +1518459251 +1383714853 +1429355118 +690398479 +1366836691 +1220110220 +737728552 +952022884 +885111220 +1335507238 +161818794 +2021454994 +617053559 +1052488879 +862303428 +612865445 +348452231 +305529727 +302654367 +736630204 +179227105 +450955824 +35238153 +1739885792 +527924283 +947730799 +1898002490 +2114325185 +1957196733 +2140037083 +108688010 +1328172336 +1376268288 +1538043128 +2018570815 +595621332 +610669700 +608815720 +1547644216 +1495780920 +1944322958 +1709463010 +1369752266 +413892869 +614468241 +84572046 +1026758315 +962920473 +390101774 +1329412682 +1699550677 +569328879 +1780368507 +1734788830 +161731024 +160809142 +535035981 +2059733514 +127650679 +344749067 +2052286949 +236338689 +1672921403 +1281071590 +1774381817 +1544008571 +1876692922 +237567869 +5340643 +1276853490 +1733348789 +1949663601 +838832852 +955617407 +216072822 +1453301093 +1040189454 +1242831137 +268737918 +1430291228 +424760172 +1968288596 +1999620107 +57645031 +1555593778 +13867483 +218454173 +2090629760 +2073600998 +346104852 +287895179 +1978404299 +582443542 +1960816582 +1111992241 +209341711 +1357341505 +841201515 +446909581 +1362682148 +2118055005 +32774722 +1164862101 +809404209 +988392130 +1380934924 +115221655 +2028581584 +476282413 +383959573 +1311389164 +901042585 +204764521 +1163525623 +958687616 +1760358300 +1177393107 +1177141789 +1703504412 +1103510457 +1523246642 +1991399591 +934431108 +2105690184 +1804732525 +2046423350 +167548247 +1014590383 +740141217 +614457828 +229788883 +710712575 +647232551 +1394650985 +1520116784 +1635624681 +628102261 +1635338439 +1516722617 +1104384674 +2019298013 +680628133 +2005427260 +76578886 +1844153756 +816631228 +1836937186 +874063215 +1993773018 +1392957950 +1977573672 +1369536012 +1236873893 +764521133 +1327742548 +894122771 +663460835 +1495290795 +1908713154 +1403602052 +2109748624 +2138502037 +2114314627 +609497527 +1385669374 +1486947764 +97638560 +2013771635 +974802555 +1614361177 +970672662 +846616920 +147505662 +828616274 +923195807 +1991659418 +1645247502 +612649345 +718238986 +1491536872 +2005607296 +548329010 +713589236 +1094997541 +1312850143 +2041331784 +1989120312 +1976310978 +1389138932 +1750349818 +1232429383 +1351403908 +1741368208 +1199260362 +1960901435 +979553934 +538724478 +2058539995 +845841922 +1513527034 +1525417524 +1816514584 +212660306 +1672923186 +497647210 +1135856113 +1517098956 +2142894712 +1748505459 +87854294 +1486947937 +1606629107 +636183305 +53053525 +554143000 +1949033448 +2094385310 +395779665 +1777860779 +1336040594 +2146129483 +862806514 +539960854 +1740014043 +2062066876 +353378641 +572084330 +453307707 +264434988 +1417926252 +1966834741 +1789852512 +1086957188 +32011399 +1315292050 +1584604398 +1167867513 +684907358 +1580015462 +768889324 +772761653 +919479751 +228034783 +1408944958 +972533277 +782177783 +1210494758 +919434939 +1177957448 +840871889 +107991885 +1176603284 +1703678403 +647952739 +769133679 +1618261632 +1001331380 +1341218009 +2071569339 +1265766368 +611660613 +1890920432 +908135232 +1698617801 +1922931831 +75943634 +1135738551 +943315696 +760850992 +568270366 +1712205020 +1533612645 +1487750117 +1940239803 +795073955 +312799746 +574933939 +2005568714 +1232234685 +1752891387 +698956955 +1340226570 +782011023 +255151711 +1988179309 +1551144703 +1873413343 +842027041 +744879064 +1797499034 +2107793409 +1356539678 +1540935818 +868444993 +907673831 +1316384001 +944388627 +2043412383 +112216050 +1705239620 +464199101 +1824421070 +1091368617 +1951949218 +1617177226 +1886442573 +117265317 +44627517 +1744527639 +1349500002 +1797518904 +296000946 +542242925 +432046280 +551152657 +382938586 +1983190983 +277082352 +1224965628 +580586399 +2074581386 +1185275389 +1937126077 +1468033556 +2053720383 +697316261 +636933910 +850625362 +593244996 +749149960 +408381334 +1057444097 +426087382 +1499749952 +861909667 +2043264608 +1238708877 +979174984 +2087892125 +835752868 +181191339 +1737927382 +1131753814 +723434264 +22490014 +1682906472 +1106372850 +2005680997 +1959988824 +183854830 +438783748 +1887086563 +1369130220 +228426178 +1207636471 +1275366955 +925742439 +1844570381 +2125992317 +1518987435 +446236693 +386890004 +428947884 +872324076 +1886639956 +1290857551 +768105036 +977865185 +122548888 +708513514 +1813618053 +303740227 +298957248 +797888219 +1027174491 +321447262 +333311043 +2133547341 +179644611 +145816220 +169918524 +618428359 +2032902783 +1539048744 +846854537 +1093055606 +666932051 +1772596976 +790142340 +645440720 +1144100763 +1236379033 +1032330724 +1573048647 +2108703109 +771487032 +716422551 +729324498 +1749352217 +838971439 +1437838012 +1415486622 +1142711666 +1736795260 +65891194 +22402509 +2058242522 +399202237 +8466202 +90403485 +545018457 +178384726 +708831844 +430437592 +1717433470 +1555686382 +1523493199 +236881873 +1180799710 +166151891 +882322594 +177416826 +1402530924 +1914653318 +1750465473 +1363750386 +538656703 +319404376 +2093074884 +140525272 +1158375815 +1383429248 +1556011895 +153603833 +972740860 +1621903089 +176006342 +883499734 +2021105326 +184472545 +973903219 +418640136 +362857271 +1682735063 +849077728 +2080290742 +1090937797 +225087279 +169688967 +124253860 +391239170 +1052011561 +301670686 +1793770095 +819181232 +2052136159 +1010036833 +1357837935 +224056888 +955628069 +1498363207 +1382432703 +191573669 +906891454 +1536036537 +1164314529 +381310895 +1712042879 +2047814263 +254932574 +1896515424 +874233834 +673572710 +111889048 +409485249 +1522650438 +44696142 +1500423047 +1747737718 +214385109 +1624676907 +2138976888 +1266396671 +1926347593 +1785263335 +2085577903 +1831000104 +647816520 +1295932190 +2055056992 +1603444589 +646811749 +1290006048 +1795018258 +1553703204 +678558937 +811849139 +1935014099 +243118168 +712179754 +42463025 +2139633593 +1586413588 +716035735 +104038993 +1995898838 +91202526 +148735135 +1348838237 +1838940244 +363120244 +826031496 +1830433484 +1629516915 +604895441 +1468213172 +1567611170 +288411897 +2116029692 +716059712 +195985242 +1571990634 +1362871462 +1485991290 +1219525244 +769091018 +17066579 +2031374384 +556621469 +260184747 +596070490 +599084495 +252334692 +35000431 +1315120230 +356373685 +2030899269 +1406322756 +505108820 +1232253858 +1097779352 +868229065 +2058285354 +780729189 +350262332 +515697147 +101458713 +1917873503 +804109044 +70004757 +486449567 +1000094286 +1641995391 +1849321029 +338601928 +714036988 +470928399 +355668507 +597927724 +1027549869 +615853255 +1193998214 +1626634364 +868187947 +1228998645 +794270946 +1224561633 +1112414266 +53110055 +1729670453 +197184476 +1150889407 +450415870 +107986182 +1931618596 +800678203 +623683329 +2033077309 +571068058 +1427792374 +2103082067 +1057517625 +280403012 +1597593810 +759355007 +619004941 +164147150 +1230283406 +974673448 +762074874 +110349627 +1590526703 +1956073089 +1736983991 +311231003 +1037588086 +383771290 +1535792636 +2518705 +436881345 +1117979441 +199703181 +1587770752 +1568395312 +307689364 +1371905701 +221589867 +931372693 +1257499362 +792657925 +211681419 +1213097781 +1850175550 +492084432 +663207944 +462046909 +1111089373 +827355094 +1692330316 +2085762821 +1589429969 +1802679943 +1528805877 +1398019410 +1392180287 +1840036880 +288123848 +1775951577 +1228345868 +290642553 +65349274 +198841661 +490345735 +1653120026 +1767236973 +798035099 +877542079 +1988826840 +1729407792 +2135041442 +634001117 +1941089212 +1200655575 +336693020 +285689996 +1863863519 +798739929 +1396779369 +543734966 +343586597 +1335058542 +2133164935 +2146266541 +716380771 +1383700697 +1390963180 +408934003 +1671824545 +1019431109 +1637279871 +1962467099 +1084780383 +1836121533 +305329186 +590416761 +1455874858 +1103364285 +1467958841 +1297218051 +685288429 +1455516635 +1931219168 +478893993 +508688562 +120428540 +764583989 +225068434 +919168470 +13879710 +768803400 +1262755067 +1348938253 +754484687 +1261537960 +2065319024 +2138185384 +505017492 +326769380 +1662526281 +1524448601 +1964049251 +1477509732 +461745336 +1652687136 +1782838918 +1052162098 +961078347 +738719555 +372637291 +110812750 +1424007985 +1828153926 +2042031918 +1902901978 +189358840 +14976811 +520002320 +414427274 +934145281 +533882030 +1183230674 +49416700 +1882820283 +1937715361 +1310954661 +1800655660 +1928417097 +1815972153 +2127425040 +1443459731 +1192937107 +1943990643 +773485815 +1654682443 +1449194132 +408841086 +559360893 +262788831 +1147560641 +931998184 +373601581 +424084978 +612668462 +268149851 +179503309 +802027303 +283126662 +699505629 +1216454577 +1217271943 +1233387659 +252201604 +1266688644 +968724295 +42433317 +430159657 +621896307 +1970850415 +98648162 +601837699 +1266826498 +1291585269 +398344694 +2040312313 +798784065 +1847538826 +301669751 +1358144958 +2110327657 +1449230393 +142659495 +336445590 +1873315371 +755327957 +604595442 +2052818680 +1557355260 +887722104 +604840661 +626326190 +2104994048 +1838228321 +878527794 +1224199044 +659468968 +920961111 +1654358701 +1281365275 +744327878 +1753006863 +1883202974 +2011154376 +897108485 +134064020 +1903983042 +1695892550 +1981602847 +58169145 +906553860 +1944446856 +1507399538 +1049213355 +133408799 +1233231262 +1804541313 +738004241 +1138566294 +1214412925 +1625726345 +1743406956 +1840739115 +1583236745 +1434151629 +571783261 +659952141 +2093620597 +1492744373 +166827194 +1227502224 +89588603 +1919834058 +963221550 +2100742980 +669458895 +1097285570 +1857242374 +217867797 +931404769 +1915411519 +1124421657 +728367978 +1275327410 +26151365 +861776777 +361075024 +1830692678 +1599781018 +1499641318 +897621955 +1078023715 +1095564626 +590877423 +513776813 +382232607 +1162660684 +1173728954 +328369556 +507921409 +1340556149 +1555871780 +597510013 +1112906559 +371609682 +550769345 +1782365454 +1468895253 +260528071 +2000233251 +252816374 +28455942 +977171260 +981184352 +1303783352 +1003322625 +1842961129 +1664858376 +686531655 +1295258499 +1017016047 +1584153611 +225798567 +2112580673 +27547386 +739575380 +347329633 +1190208070 +1913304334 +675699189 +1698129480 +1106376835 +84087322 +148155845 +71799746 +455697004 +698925190 +1854165200 +1924592257 +959453261 +1706914803 +29924984 +987909203 +536602416 +1011109336 +144208908 +1539925041 +706586818 +1809067284 +78973049 +2001845317 +678599683 +1663126660 +80160236 +643696709 +1690674046 +819735616 +991026342 +733398468 +585556303 +1666725531 +284044300 +1691933138 +1750812853 +432200145 +1763732885 +59026210 +1131125335 +1470414437 +1983618467 +2090578596 +1029845593 +2013543451 +931004152 +1566448009 +877169140 +1075213060 +958889402 +1583755958 +736796696 +1037862451 +1438117627 +1415396380 +553505463 +1518277864 +2059093089 +96695861 +190529832 +902635783 +830094330 +776086135 +421877666 +1114138630 +320535626 +25206872 +1546338776 +2084268511 +84233082 +529980463 +1407199300 +2067851549 +473075412 +289561245 +1933911353 +1404079564 +1856009254 +663596845 +331808976 +667415009 +99869155 +1068605672 +1705277460 +1537986782 +336518404 +111299276 +908780998 +248127845 +207995137 +1099310831 +1150763628 +1038089467 +1875396966 +1572641295 +4744450 +48448944 +1597848167 +1551083226 +2132717455 +1682081249 +2081063689 +1392433108 +1602449150 +406655453 +1681994353 +1388876855 +1810735017 +1390519960 +2052473700 +2142543993 +2057934969 +4859207 +1063666018 +1615728781 +1542845990 +1400184422 +1727028057 +304143340 +1648312268 +1935023195 +1403454171 +651592248 +825629014 +1131367490 +76749895 +830373464 +1179816434 +1674598062 +233973042 +1165050242 +1209195663 +167553084 +409999702 +664161166 +574208537 +2091994055 +2053038021 +237459907 +1335030367 +1958028074 +232520252 +1245481688 +1962887281 +1296186270 +713726822 +1358249623 +548887045 +293271231 +1662392964 +49715665 +80810778 +918363487 +701307913 +906439793 +2049730977 +778057809 +1736813257 +1082063764 +305172223 +1970786300 +99630358 +1514367887 +2138339384 +509630060 +31045405 +565064273 +454140467 +2084083426 +802524180 +1789170835 +1894627852 +1035044433 +887168875 +1710031486 +183747055 +1600895697 +920797461 +732634100 +1894166929 +435706777 +782349765 +1974977707 +1354070265 +1483657679 +733933852 +1256317594 +114231840 +323263462 +190897710 +419404063 +146566114 +290528068 +1933771950 +137421850 +800158128 +1964817355 +702486123 +1254298596 +1901417134 +1505010304 +895985783 +1648561338 +392571089 +1783154658 +1211109176 +576318144 +1236566708 +2131906638 +1308952245 +983249989 +420129767 +2091302010 +810744048 +1774200032 +1427476041 +1544677901 +883033979 +1541707881 +1867941363 +1073931689 +1961111945 +2014507477 +1364459758 +1747400247 +4445679 +17134238 +1564733955 +706931802 +1271432834 +1318667441 +64458458 +19934969 +819745131 +457029547 +1803089628 +2030854308 +1033347692 +892172688 +2015277298 +194816289 +1875422677 +287923417 +138634651 +538683077 +2062123450 +1566110693 +2083360978 +797673781 +960334926 +1803818693 +1871605470 +773963223 +1670842522 +1088581580 +373879823 +1675288201 +1105715819 +1938613778 +234736356 +229665005 +1109797571 +299194814 +249599975 +1929542702 +756224362 +2052689603 +1812913362 +1789572054 +797378643 +1680707012 +1984388343 +525317672 +1968630430 +2123022994 +1064000749 +1883270232 +1541650039 +999878080 +533460365 +354501318 +656213125 +257582187 +1128464541 +179572000 +1346163768 +1502344364 +1854860201 +304395939 +1293474494 +2089596557 +534060944 +255788417 +241307724 +783660919 +37847472 +997532086 +688866874 +1850760834 +639620492 +1486245517 +1383984199 +476525187 +2011563189 +1205130981 +452064533 +928080291 +940917565 +1993714573 +1927958371 +1474377930 +200732243 +436687848 +1731960117 +1329196784 +616259848 +930640237 +684057501 +323636402 +1235036176 +1977531995 +265749311 +1769097121 +85836765 +507057035 +405274392 +123684237 +1504589121 +1094141267 +1974445071 +2144209613 +432903136 +1210945622 +473251152 +296982678 +268592955 +925315686 +1225062969 +1209510520 +771546611 +1005537692 +536404802 +972278854 +1442225540 +120881272 +153991990 +2058485389 +1051521509 +838049491 +234638143 +139074038 +668097839 +500387454 +1908171159 +753934604 +1007444490 +165961903 +877618841 +364549963 +1260103170 +704580264 +361275929 +1693006307 +1915525887 +834527081 +1989988985 +36635194 +1759842767 +1067568306 +1246145715 +383905730 +2073105998 +1782550517 +1356184584 +1367847890 +1903431789 +1510176575 +1278849631 +807469651 +200742418 +1513487774 +946543689 +868840257 +2013875229 +707231200 +1622774861 +873836071 +873193103 +352910054 +1238386034 +2133296274 +1057490319 +1599661963 +1678818933 +825532558 +286705397 +1521324270 +862167752 +2046548164 +441408928 +2108313467 +282970247 +367031278 +1743380337 +1639154831 +1734879168 +1499328478 +1001847758 +866245152 +159314481 +1202590177 +232249278 +1105858170 +2071430434 +98640859 +1813089370 +1546721648 +972476930 +538798826 +1899631702 +63379317 +524611452 +809638373 +1663041280 +55946737 +1635170931 +1949746677 +1577271007 +349855036 +1848811194 +2018679935 +310684855 +2131781441 +238227565 +2054065192 +1623452624 +1973106733 +1405910023 +477816735 +691868237 +1565224504 +1680406912 +924117516 +523599027 +1604353698 +1022758375 +189204749 +1003591698 +1995235306 +728003575 +755739753 +2058614623 +1252615027 +1565378126 +1574172255 +1308561764 +1053065410 +1376435285 +738349123 +1402920446 +1077762831 +609545410 +1713605301 +1062060624 +847772975 +1620186846 +538029600 +673396061 +878613221 +1015846335 +1365264298 +296354077 +548769599 +141898166 +819953104 +5639650 +1164656542 +1009157854 +1009231348 +1012408200 +1737161429 +1764971101 +923539175 +842292809 +1182865580 +350227782 +3370925 +88447342 +1726663067 +741720049 +1491367788 +656942250 +1351265459 +1057489441 +1719002874 +51554787 +530192639 +109548827 +724950848 +1408805860 +1125395162 +2090215146 +1705159938 +1674164762 +84629665 +377629394 +1679804412 +1249286207 +1386787248 +541552112 +114210759 +976465030 +159039566 +1037749934 +1818757839 +1341905146 +1387977716 +1822128764 +1430352488 +967157136 +416365165 +774236628 +1624099386 +1767630625 +1831726069 +1195618613 +1819185412 +214435061 +1305167440 +396652612 +1623240921 +283078954 +339384110 +1180917211 +1957243716 +424013775 +1558546606 +1489564480 +1673299982 +797850206 +2031116593 +1787510741 +1774315236 +42672511 +677777027 +1445589427 +1384577657 +2065754744 +1120234544 +667446497 +885428232 +1536599709 +1441683125 +362043970 +1156746686 +1125925546 +1557662583 +828448450 +1340360607 +715346375 +1225101062 +816117881 +998425330 +1564485173 +1997035092 +808185398 +1988498948 +1408098050 +150266231 +1514315283 +58464609 +33899176 +1154342376 +1832779845 +76571687 +1832119404 +1130885625 +1461149344 +1750390500 +103636521 +2128595841 +488335084 +1640236230 +1422795318 +850379054 +649499269 +401237216 +260557990 +1477947719 +1741597824 +975904365 +555565134 +410232057 +1974329695 +2120050307 +259783501 +635031446 +1961065607 +1667881552 +785297677 +1327897242 +1726346161 +819196853 +334755971 +1411642358 +895768540 +19391727 +395044335 +209434236 +1769782227 +498680856 +190546429 +110633663 +2138917087 +1613341747 +961012717 +640932708 +2014578963 +1221570707 +2118880427 +1608693139 +49991425 +526961913 +2018925196 +2024321120 +499528572 +131225050 +511868918 +313110532 +1799106602 +1297166595 +1641007774 +1377969115 +2116363448 +1975763745 +642127825 +864648340 +1995155472 +1037172161 +1074082576 +1617454051 +1535853017 +1264629005 +1728087714 +1527286456 +730487104 +541616784 +20735516 +597582420 +1763187491 +2139615944 +58791911 +1813178916 +519094209 +2077717108 +1690016389 +1018622782 +61458510 +54401659 +1331733314 +1860565112 +1351568255 +825257440 +1091050579 +1320448055 +653537538 +1733178404 +37612748 +501209362 +622866917 +1111695324 +2118663414 +11236287 +228840682 +1699267480 +1538522743 +959327786 +93400616 +1559258260 +1556910206 +1856588108 +1551390556 +1615702118 +1522283376 +2070484765 +1545935578 +1064816117 +941623899 +1607394088 +1119217777 +125873565 +1320475552 +323302384 +951131006 +264042483 +1643750439 +1604668544 +1997220887 +1681363187 +2105877906 +472604157 +645574864 +2077057672 +483840444 +874415546 +1628841505 +2022363187 +1833743332 +1722242121 +1434137799 +1243169891 +1431346581 +838044707 +711388361 +806146310 +761045825 +109840291 +1870962427 +1702669724 +1717234379 +842696556 +1828543290 +890226283 +1165998940 +632190648 +1154268766 +662265732 +89375544 +1004006005 +196145271 +47769802 +1476610162 +841720135 +2124827475 +1960450606 +1716135681 +1606185332 +1835330146 +1402395366 +1180943805 +1121984297 +498081609 +464806739 +1960029005 +1209469970 +1270953049 +573591182 +1319310261 +994431828 +128777258 +889060992 +1837128385 +1957320548 +1779287275 +855643677 +442027548 +786072393 +1517909409 +531403092 +1790078398 +1714054681 +579172895 +1119204913 +408291168 +556516722 +932171871 +2124426850 +15218406 +620018369 +1379338568 +1196162211 +1742002667 +1877420177 +1660968950 +1554548024 +939406499 +784438351 +2128139206 +111233112 +1778870180 +109432816 +1000294104 +1468514917 +2066753365 +632097731 +176674946 +361297265 +1418170124 +1694584356 +892700358 +1060764874 +1261155389 +1471873253 +32486139 +1669446557 +2028389975 +964658011 +1646389759 +2043608381 +1584676380 +878244679 +1092286944 +1179195399 +608181208 +605772247 +586259775 +1547587707 +1390210598 +566915333 +1658820819 +1021597130 +676348150 +511631275 +342628399 +595617867 +1143729006 +519303346 +956915132 +414415482 +66404054 +1849615490 +1475180357 +1327559443 +1174005095 +1507666496 +849522352 +1054911422 +324840859 +348428464 +951036155 +1909517240 +1226673143 +2043323100 +941228991 +1834854352 +501611699 +1527488767 +1234958411 +1891822297 +2094404100 +746295583 +765935780 +623268602 +1257926858 +1108564179 +1218886469 +254172217 +1627867525 +28317954 +668587699 +1694271579 +1877933444 +2143768056 +874347374 +904454892 +1503950905 +1723869727 +1959366314 +1828791764 +2072298191 +762918822 +1590825356 +1151487686 +658758274 +384570700 +838858390 +1160369973 +1912059467 +2073816802 +904708622 +1858979919 +672628737 +1670644402 +334764874 +1930555595 +631724934 +1553651343 +37244164 +112108811 +1581969297 +705831864 +1806380391 +1312419094 +702116272 +533244117 +69390338 +58583529 +109630196 +2028756652 +1887375294 +34444739 +644191826 +1330717002 +1185932426 +1302950100 +1715287702 +2024790816 +315836425 +1479863521 +1951123970 +1220545048 +1191359793 +476269059 +743705802 +1526124667 +259341007 +1375430736 +932292362 +296585171 +1487539548 +366778012 +1002417035 +1146436291 +1679197106 +1704533308 +1679680408 +1748587444 +1763116837 +1789310605 +1629860448 +1503008483 +1823755344 +126568627 +686241838 +862204122 +1429518727 +254045892 +739511291 +1745355153 +1733909414 +543151613 +818416553 +777785559 +1019420673 +1562122355 +156426578 +1278761680 +790069444 +1088718940 +1575346851 +130125344 +1455496952 +430280239 +1276561635 +987210410 +2134813547 +808758395 +588314206 +1750446736 +450585352 +70691007 +1105971572 +126857049 +197259634 +1792213410 +989061171 +1626778361 +2046259302 +1728572462 +1224649866 +1632685068 +124240428 +2043066419 +262986979 +1143661101 +1457705127 +419413557 +274939133 +100290923 +1508132498 +1850285984 +230416267 +816145802 +133082575 +1506977902 +1803356213 +120412474 +168252649 +244186771 +1870859211 +618838002 +314877778 +829347135 +745695051 +512137412 +474076897 +1734756222 +2138915774 +372852551 +1315845037 +1216081992 +2005537620 +1440085465 +1111664764 +121040951 +436262918 +421886243 +540454509 +711202051 +522177166 +2048587007 +414004387 +752593433 +717249161 +547086963 +112087687 +373121726 +667499437 +280340336 +617308498 +390875000 +899178338 +932186276 +1220222135 +1644873389 +1444323689 +1694299032 +1232145964 +1435755815 +2067151584 +400507353 +504354159 +1925205556 +1840592818 +1616018923 +2046246507 +129372088 +2037905166 +439217368 +840574139 +412598684 +340320727 +1254578526 +1165192117 +1057569889 +1801665489 +1277279804 +1430691615 +321681279 +1557620141 +2048000113 +712556279 +309314831 +832702742 +1932778415 +1954188221 +129542783 +1479593799 +1038850537 +1565298598 +1399261735 +1439357890 +2069652757 +1176983643 +1132467060 +1538188033 +1075746503 +1261839148 +1428609551 +1514963871 +2102413287 +1841208236 +1855284599 +1209508165 +858916705 +765370840 +863690007 +2136196510 +48578807 +1185371286 +1546333003 +2096578921 +1897927565 +1855647834 +781798015 +1683222332 +1662352407 +911340798 +1015332484 +553719296 +329155748 +267110571 +1993077186 +251324857 +1444094215 +978060598 +1789512890 +372357070 +92416098 +1070638794 +1887320941 +47345737 +764363382 +1595121892 +1256853903 +1623280087 +213009084 +2120543910 +1611992949 +261587892 +1158431548 +1010842304 +210683165 +908875465 +719006491 +992481180 +444614150 +233875250 +1903821978 +1459946634 +787594547 +85494078 +1727057205 +633188085 +336818935 +1023667772 +1611248684 +2126331826 +1396024842 +1703664782 +1049486972 +1135862136 +1751010520 +1813850354 +583500380 +860380775 +1289646793 +796509465 +833441037 +754156095 +1058097357 +1991872585 +1764998399 +1268780522 +753264402 +336521242 +113778054 +1197878552 +570396493 +2017600032 +510341538 +1357991040 +2103094110 +89915096 +1991179125 +292429397 +1113582868 +1454944161 +271277575 +362124063 +1011125296 +1320764547 +1497986199 +614652168 +987131253 +2081486579 +1475032943 +129294399 +730512396 +160990332 +883450494 +1788609753 +5379269 +500965245 +909906627 +758643671 +837486488 +1023684681 +1956522224 +1407882981 +893801065 +319380114 +618390373 +849411527 +409295210 +462085850 +1141840925 +1522878079 +1917030012 +1413118500 +1885002142 +780671660 +586399400 +1235504693 +1395323828 +1573530653 +1169507624 +722873123 +1702825052 +1900020021 +883863455 +438791898 +1541146126 +889242724 +939757144 +303569106 +1647886395 +1777243632 +1327253787 +1456924971 +1037642965 +73571205 +1776305086 +1656033338 +922982732 +38116648 +2118119188 +2064823657 +1560994727 +1887665552 +1330458510 +1298513221 +520853564 +1916857910 +386534266 +1916177392 +1342904915 +1556041891 +491566867 +898246320 +1308578264 +1375430322 +1337038218 +702240742 +117189398 +129311714 +1005809848 +1765075794 +1906555346 +185579988 +1074517117 +796714663 +259151193 +703338555 +305264353 +1182133925 +741455204 +275899894 +1099473935 +154966283 +16081798 +282448797 +1453479505 +536935363 +51823059 +1840013771 +305629107 +1394727974 +1248572014 +797195975 +145490646 +409666630 +25142649 +1482528865 +1111907373 +142332048 +1611840579 +2117717221 +1907407842 +1370912278 +155813561 +834441311 +20143293 +414964754 +1537779867 +325407647 +1597098680 +131751423 +601307541 +549088967 +286717706 +617389339 +831537764 +1740197211 +1154324702 +883360823 +1432727335 +1459953810 +130605149 +533815701 +109666137 +276095796 +943482332 +134808786 +1758624661 +2055389705 +277140834 +1222981592 +2025623278 +37065028 +446410222 +33953192 +871506340 +466553516 +448917946 +261802559 +791961163 +2046016626 +393553982 +1393268704 +447621945 +680271688 +2010658043 +1279159709 +272985252 +1017499098 +15036884 +1705712587 +329969260 +145642034 +92044640 +439635397 +421737830 +1035526972 +574444183 +32878843 +943433029 +851585018 +1255860435 +821572660 +888650046 +1702270658 +855525852 +1760156386 +21340526 +1304443798 +2021958945 +813301689 +1202976777 +268029279 +59086745 +1650598722 +948300968 +2069744788 +782274784 +1221286220 +939760238 +797311668 +779515159 +1269729498 +942953702 +871559799 +1709364895 +1364691532 +1907086772 +136325431 +1397570375 +703036153 +987910449 +505947163 +1524608813 +1876560495 +60734173 +232651017 +1489233234 +82074699 +1537094816 +1363708531 +895376388 +592587945 +1631737811 +954463133 +95703019 +432555131 +876724273 +877977803 +1653841351 +1816484512 +1675289472 +285872862 +938730362 +470759526 +1157432661 +500611610 +1835451059 +917035785 +636937041 +1085537786 +1620071939 +1624847490 +1591484949 +997197104 +1353924337 +1652219122 +1229848122 +695673923 +1734293821 +619459290 +2059382455 +482186561 +1212047235 +1543636618 +1436649694 +1307750254 +1976191749 +165890320 +38244410 +1482549452 +1982374832 +1713533882 +1768422314 +773621546 +36809760 +778371327 +1274233156 +1872260819 +1695407113 +1911170197 +810314958 +1167995404 +1388534039 +254316259 +17708860 +594974729 +1906535382 +1247556982 +1290648652 +1493345555 +1867016272 +1202547459 +1975532117 +931579859 +598700429 +1264698163 +91846466 +427408530 +1430588483 +130090876 +1909957982 +1265479667 +1843624758 +1530896648 +2039101214 +1880434518 +161784328 +1165850722 +1605211690 +1857191441 +929537272 +268043000 +877703197 +170587663 +522359259 +895412057 +765562392 +281410993 +2142969040 +2056211045 +1774756549 +1862501664 +1111274856 +1602805018 +646597876 +1709975286 +720019533 +738444342 +2137383816 +3124369 +868535218 +1899858151 +1268604036 +564676328 +1283271151 +1160221602 +297627198 +1445055479 +178588677 +1902838888 +1154763272 +1108125949 +23398240 +2032466469 +1278713612 +545757500 +780394879 +2044276005 +827168493 +775880271 +1953003402 +454441394 +490898287 +916794610 +2057246412 +1137496163 +479286248 +629782298 +1875940505 +469186417 +632906667 +596992075 +221560920 +1901510703 +1161668403 +1504832071 +914248658 +1459295602 +802403903 +1092837335 +1214650842 +1957167175 +53479636 +1238049083 +1842149997 +1332193248 +1783806583 +475061228 +1228985605 +463491428 +1250941499 +1034505359 +917932823 +1741839786 +1951299970 +827695587 +731852302 +283102570 +1457477885 +460309159 +752288987 +2090384552 +1057301235 +973849907 +1844411608 +71485990 +331198331 +611176618 +1530781592 +1133602234 +1704013953 +597948787 +943285761 +1757493589 +1835997870 +637952110 +942203189 +1472320805 +1113013338 +23705147 +1935812233 +216471189 +1058210506 +706261408 +1958310976 +862026828 +1533956996 +542679630 +1145129399 +843951233 +1002988789 +1897418386 +786852138 +2060290024 +723784646 +483780098 +2131776015 +1054982977 +1094956716 +1515073959 +41101563 +651487021 +2113022746 +984387324 +261496962 +1801536968 +1622339435 +1203700151 +1126374125 +587869125 +1227405298 +914702711 +804340315 +138132157 +1620964119 +615167643 +1000158985 +1007437467 +1157847273 +2145288384 +1851388701 +13352414 +1895223123 +490757191 +2073642439 +471524121 +974537289 +2057934806 +1526507098 +2069494005 +1425525117 +1567608661 +573497378 +1391064216 +404512337 +834994340 +1045117536 +2026851772 +2038694491 +24008014 +467237250 +1118616142 +938710725 +1271577565 +1256748299 +412191196 +1886745208 +109423636 +1419628664 +897108833 +107228373 +1123533717 +910461247 +2002451496 +1614290908 +836620038 +326491969 +441344549 +747071196 +1852999067 +363354906 +25112666 +1273124080 +936852284 +1416176882 +1677636417 +1771846624 +313810770 +1557004542 +1663057467 +337818784 +2024241792 +634189961 +1276529509 +1148335709 +1890938260 +1688720706 +887597269 +2000361897 +960865722 +1784706102 +2107590270 +2084399439 +547683701 +1962558118 +1551206699 +1384303740 +141566439 +1992551248 +2131374936 +1994565506 +208422506 +9003954 +1120205938 +1145274790 +1425180836 +650358707 +769637766 +1738991607 +59879601 +285211585 +2076810391 +2084121393 +919401547 +1205856253 +1084973454 +662856159 +747093311 +1972570723 +515734408 +1707959033 +1609793177 +475841030 +1644874824 +9993231 +290915500 +1048597875 +1394296971 +432481939 +893665475 +1378188259 +279563797 +1102087981 +1387192214 +1399769735 +99879123 +664889402 +2050128443 +869516889 +256397361 +2110008044 +1154728474 +185724105 +2046645790 +2074130021 +1391580358 +984135596 +589502533 +2138673669 +809222672 +1105236941 +1699149054 +271532201 +1581077972 +1196540230 +281525432 +1871993472 +97654457 +1675822403 +156991764 +991319932 +906527015 +436555561 +2093407913 +146235581 +1836325297 +45803388 +811124983 +1738970092 +915320277 +1067522345 +1701494488 +2070048751 +1253246450 +1600656630 +1996695125 +497343160 +437308579 +438714010 +488533181 +1246531251 +1543950951 +40198587 +1518063452 +977545275 +1236738817 +1799588885 +702055100 +1334393274 +1327927640 +859046864 +178229558 +86971007 +1295602425 +124153823 +233206588 +984444074 +169957211 +1044331572 +575930518 +1085277488 +2111853917 +129941359 +1007842591 +1217616719 +1730597989 +857054068 +1714959879 +20422920 +1295768078 +56009412 +1266954171 +692235382 +96207999 +637533976 +1669780657 +1332946816 +289639213 +224352109 +519856442 +1617566853 +1083398973 +698086000 +1704537861 +231517751 +822239823 +1937744449 +1215961825 +992197034 +834592373 +1791892344 +2077474522 +798962642 +1921833703 +937833465 +2016579361 +1504948044 +1794887534 +1584055592 +1525370965 +943171964 +1640065004 +644841488 +1635407346 +1736273003 +1282375464 +1157704356 +921736171 +1572014677 +1382056465 +1441592613 +1042097883 +317971791 +2139678613 +599152096 +549489542 +814434788 +389412897 +1765451367 +1806631822 +1224005271 +1409860063 +1736622696 +2022967913 +1184210118 +526972514 +1892063627 +541674515 +174376400 +1328635571 +2067045480 +1117548364 +821216928 +564403320 +605472063 +410006283 +1846778785 +1763176419 +1331742455 +1271309814 +997749236 +625851420 +165924049 +1315721027 +618046386 +765076145 +1865210569 +1432481174 +1154489043 +1483178289 +1091629349 +231010666 +745554704 +680768397 +106494931 +1929764823 +1207740911 +1998558558 +323955690 +1382117311 +1179710482 +243517522 +352182028 +2000927410 +807920842 +957654091 +263450045 +507215979 +573346862 +1595192500 +1778525794 +1571096098 +73560273 +1944449843 +739333478 +691606659 +562042341 +457060399 +2124087833 +1716531384 +1940238688 +1068233534 +1947542050 +538309745 +1749001932 +2054036981 +320590920 +809259195 +1905111892 +644546610 +43892859 +937338726 +888064132 +396074887 +790782488 +1695984974 +1353728978 +1054232533 +55717306 +1927075840 +501941386 +1834243100 +1350688290 +575501659 +1631209295 +2090021768 +1267108318 +45767988 +399598520 +1243712503 +1762299372 +192353560 +164462390 +1562357774 +730663305 +1913464322 +1468911108 +1051254225 +575239869 +1226539352 +1695800835 +619132728 +16394430 +436381319 +1015207615 +807176918 +2132366294 +221452945 +1861409451 +40599952 +1045137 +215867189 +1874843052 +1351733428 +791368848 +1358568699 +1294271548 +2058477166 +1404336688 +1693870068 +1154706022 +1019152412 +1886223629 +1319168412 +434026539 +469403286 +1085149086 +1902937647 +1520657512 +1660388955 +981993351 +1068974699 +132038036 +998387781 +1505356019 +1147245651 +1805564699 +1490238665 +1368698597 +1519490502 +1530838617 +1369743734 +1735357692 +1258198021 +573993514 +379242892 +469283072 +1868265063 +290236411 +1873619760 +1414651483 +1444942433 +745288525 +1153391464 +616627197 +1179315064 +1622794751 +1701776283 +934769063 +995968615 +1214681590 +1916762414 +2064943314 +1346719626 +767666547 +1422815685 +346481630 +425747598 +765570702 +1715180227 +1945238100 +148925671 +937440313 +1533112144 +1407123692 +1511433828 +1912355037 +1876406765 +1232215243 +55107800 +1602542877 +499383078 +1500050233 +200347754 +1652774543 +2116677430 +1379662818 +1128085646 +1670970065 +166948233 +2124054261 +738168007 +2083710647 +2041513927 +2084887634 +703893546 +1316845965 +283885616 +1129641144 +2082416667 +1999065843 +927395597 +83858691 +789022508 +313024093 +1490982383 +152972688 +77895482 +1219905500 +1385187931 +133003282 +674964730 +1884571010 +1633053515 +875312484 +1389861905 +1602247297 +107491655 +370463903 +1125733714 +274439888 +347034516 +1863901722 +210666888 +241064795 +1801305708 +914560434 +1557910760 +2085191324 +2044201579 +1492843780 +1936773519 +824113528 +1576702471 +578312379 +1137137621 +920201206 +731285068 +1215033104 +2140106707 +2116472999 +1348036386 +667587789 +1853560361 +833606254 +1542900273 +1095938618 +288369903 +1650391928 +1466402521 +1414103618 +1924831817 +1813437037 +1130521692 +2135498705 +2054501833 +784343752 +902575491 +1464928945 +722051428 +799293422 +810289077 +511341299 +1623406950 +239507900 +1089653678 +613060924 +1159709107 +1820938746 +1828094028 +1152332166 +1789928098 +1028646766 +1819919955 +1496004811 +1862253020 +1215336580 +444459782 +3139276 +718244861 +1910862303 +1417242894 +495593030 +1576815693 +400280938 +483608087 +1483833878 +1184624690 +1386183578 +801279175 +1906676118 +37993353 +1611568253 +270533769 +1661400303 +1851076153 +1360187447 +126977579 +863301612 +1033642546 +1955071607 +2015633778 +676086996 +836234726 +1688070085 +24608159 +551004098 +755923018 +469067941 +554143374 +1474167879 +232446597 +1971386268 +1969760909 +1809262290 +224183558 +305885348 +1145612520 +1408808248 +1692068926 +1946891695 +1168000718 +1730062279 +1410976300 +1438534487 +1243978935 +1114568806 +651238287 +1370956514 +1977870418 +1684880833 +1178544474 +1846020549 +213484181 +2014779200 +1386606986 +238092340 +418299650 +2142530004 +707160282 +972443025 +1469214235 +939606879 +796345645 +1291491496 +601385521 +1020529204 +1597376844 +1746998041 +281853804 +1141962123 +1546406088 +1449854523 +724540754 +809898741 +740905362 +1968519689 +1924467547 +1392143649 +1191992556 +1754854317 +929540834 +223053382 +1453391218 +1143025015 +90348934 +692514557 +1381117356 +508648584 +687560913 +2088277638 +1481091609 +9291501 +880400869 +129953607 +1300782997 +1481786390 +1150482811 +750676194 +1081300783 +1432336615 +1892638317 +480223223 +734707490 +469695423 +1290121964 +1475612853 +290731465 +1067105863 +720272854 +1482724021 +674476533 +1649813689 +1705777403 +2127867751 +645355056 +1796126337 +672898660 +2026472412 +157291273 +1360459574 +1967266402 +1638382883 +1369751075 +700183623 +1768336490 +523050424 +34486365 +771335653 +1273726618 +1115787148 +56188620 +1018881287 +1596010372 +790896111 +1488576711 +738648688 +119025316 +1779308176 +1805754552 +839298170 +1114548549 +332747437 +341628211 +672842304 +313131540 +986983268 +321484993 +986030201 +865972032 +478776266 +199006127 +685754787 +2117159149 +1568757202 +1385938410 +1738011991 +2091807626 +1420424776 +361863996 +1218050597 +388728276 +418052617 +89448236 +1984738648 +1208948728 +1578024947 +575903689 +1327974044 +1209849475 +234174593 +19788566 +176914376 +566922030 +361416778 +849756680 +880053570 +1348400046 +1171241673 +1866083771 +66888430 +1650017940 +2065089898 +752643217 +1619693441 +1486363452 +2138581628 +1210221785 +1430687431 +1411522756 +1572085781 +501254380 +1800251032 +1990138398 +590702616 +1637506033 +1051603478 +21243916 +65926074 +232093874 +1231093391 +300100667 +251882441 +1408007768 +867022697 +613299219 +110280800 +1747076267 +1961699265 +1281522474 +1465676391 +2028587695 +784056766 +1383282641 +633747265 +256266559 +722162446 +624845245 +1466488344 +5366229 +2036368001 +891090478 +506620609 +1689135385 +733745228 +1097323225 +1179157770 +1785348707 +1118567141 +1245083844 +2017442581 +202176885 +1545184511 +121841374 +1610184653 +264723560 +735140593 +1720465453 +2011799828 +549356210 +854504279 +1329992571 +430460258 +1638561045 +565791564 +1064207523 +1894827605 +1287954010 +1689052768 +1213832301 +1293320239 +1577937121 +2104922779 +1799940848 +1119588858 +691184360 +749780426 +151262981 +329049419 +1868347567 +1396346825 +199008352 +2070524452 +794047689 +320849727 +1533225457 +1058771249 +1055990320 +1106207263 +923087429 +1605346531 +1960711542 +105596352 +2035806789 +1451788940 +671387917 +952530664 +1199132897 +1959341927 +494099784 +265481550 +1105178519 +2072036905 +222920682 +757635719 +1044142115 +914105042 +1507416145 +1195405096 +1243154461 +1228280065 +444268274 +1442162813 +1151320869 +1238315963 +1763012540 +537062679 +149603564 +671519213 +1643269942 +1072690994 +129382096 +1456497836 +1178287346 +17705237 +760803128 +1849675263 +970235901 +1959936025 +1661533543 +1464335685 +77933928 +619228414 +1388888942 +300854610 +1376864133 +285547409 +1214959652 +736796631 +1480952506 +310630465 +1965076696 +1925220780 +1752793278 +968913917 +1016053095 +1368322171 +1505976596 +1165656659 +2039841384 +1001762890 +90864005 +21739832 +310777079 +1269151352 +39445069 +1071580207 +971342967 +1009680970 +884032585 +485392862 +326533007 +961966513 +1104621276 +1715421949 +1262821123 +334001762 +2000969358 +330297127 +1070798393 +1334438216 +640927592 +888391441 +1112175348 +246237222 +1857305358 +2128228443 +1614559393 +1215798307 +1146401455 +1506917129 +70077549 +1237265460 +1528656961 +380854628 +358933164 +1568102030 +1452434836 +1330276132 +430299352 +188983773 +1815668994 +756832359 +1150950286 +772806623 +324770660 +266287761 +1106808385 +178256371 +596584888 +30123130 +1512694587 +1237512480 +918514571 +477386288 +1483749702 +628336281 +458131083 +950825448 +1844134588 +1604532538 +310258929 +1914212138 +694314351 +1838915891 +147583118 +1053247515 +1259534273 +1600017954 +236039999 +1689833626 +1789001727 +2051708994 +299182337 +792468365 +677031969 +623952998 +1058756126 +1783840354 +802209369 +1655341014 +1813963484 +167420308 +745369846 +584994407 +644806596 +81635901 +1213330688 +1102937680 +1032461349 +909981629 +559986570 +1342720278 +676710119 +1254300921 +1034152521 +824293237 +160064789 +146203147 +276827544 +396104788 +1836036773 +2065829271 +300330134 +2135219110 +710813989 +977362103 +611688460 +1769570115 +613718809 +1413897829 +1277427482 +280198645 +1581318138 +2022797328 +865193052 +78641086 +2104433229 +2078523741 +1181578766 +989410930 +841021722 +1741565337 +184647561 +1517731841 +848382610 +1218800082 +194541430 +1008447399 +1365003229 +471368974 +1404552188 +1053556354 +389714598 +1704882322 +1041291817 +1100528587 +534760778 +1652980277 +722615054 +1148479587 +919394459 +2000042536 +1428678233 +353228949 +1875356217 +146387637 +431870035 +1832305798 +77427730 +1613448802 +674233081 +918449452 +1207530491 +858880642 +288697645 +2055913101 +2077680724 +483239076 +916876853 +1295200306 +954608050 +173945393 +201273012 +1344322648 +1878827715 +1242564829 +297367587 +266104845 +748061459 +1019982642 +1414584433 +1667455918 +872541530 +695779018 +2020684867 +600414099 +842166655 +305071254 +285236250 +919594386 +1918520056 +959469331 +1838043838 +978566899 +1818349973 +2126741484 +886996353 +1748547049 +462496912 +1803873206 +896263707 +1417104962 +1977818599 +1097536720 +613943963 +1709162666 +192617901 +911311550 +1975267512 +940679360 +1931294192 +1242368297 +460651630 +656352075 +1938147315 +333852849 +1256766174 +632830322 +638924104 +1542002424 +1552424708 +409960512 +353988107 +1242984899 +1388527412 +24854432 +1222242735 +128040117 +1773401482 +1684739647 +1931913323 +522181541 +954360961 +1762248274 +1619718261 +1568304924 +1323927292 +1812336163 +332132827 +1151711156 +605531875 +115943371 +246595805 +1066183506 +772295446 +37259472 +1400036355 +2029061621 +670089795 +2038960459 +1423580397 +75030855 +301437324 +1777568505 +1318015754 +1689964736 +1802422937 +392774841 +1818004853 +1428340771 +2077514488 +1602434528 +1950522313 +884391802 +1217199154 +1422756926 +305213078 +393642798 +1087609441 +637345905 +1545353955 +1693141317 +753289277 +1791949760 +611841175 +1525584723 +1829209233 +2011877530 +1407162696 +351815380 +1903354342 +683259446 +426846235 +57308018 +313344303 +1744861990 +1747272754 +2115767240 +2137636831 +1417793959 +1396624364 +2067667672 +872744839 +1199663029 +804575826 +2089943993 +474936307 +1109788904 +336103143 +1562545749 +1747134810 +1881457098 +1108203418 +352940439 +1525923211 +1720044593 +1878525162 +1207648796 +1584438475 +1138204211 +1559464176 +1340309169 +1821463657 +1986310411 +1397617187 +2134807960 +1583688753 +997406293 +2103091552 +1573841937 +267716604 +1352232268 +1494025961 +1140461443 +404411649 +151118139 +1082921788 +879347957 +1260907043 +1419024932 +294410058 +860558205 +1152998382 +1402613476 +1213498644 +531437945 +975174421 +944540159 +1739086741 +412129248 +2082744370 +1151067269 +1752438418 +1756724379 +989894033 +1002571957 +1744048691 +426099138 +1999978251 +1699656595 +1999941075 +120211207 +904405216 +1346483388 +1260672651 +1308816865 +1497601527 +196110791 +40681174 +611024923 +1615135723 +335091232 +1471583128 +620650458 +1737704708 +537598125 +1152088403 +565395481 +1482138284 +743691497 +977524730 +1417399006 +1894758766 +582479500 +1026639737 +737169151 +1585051457 +623204780 +1163268290 +1437546060 +175377727 +1015725717 +1557757268 +1079782943 +214725458 +670946271 +241116161 +1712326985 +867057062 +281797335 +175868260 +334709138 +616888568 +1647451389 +955359596 +207109628 +37565866 +2107447999 +772505110 +1519704150 +703655848 +1750029840 +789619508 +450930967 +185025692 +1816259245 +1188100118 +1770077149 +291980377 +203884760 +1060139562 +467358104 +1219610478 +470413182 +1547141048 +1434335936 +1141359453 +1788257209 +999179273 +2008416515 +2070054544 +1175047534 +195642005 +539459464 +675015275 +1151001601 +746569093 +712581141 +1110965953 +1519074203 +84801643 +1814621801 +1121620395 +874421151 +118069120 +1306646087 +543196748 +1306169239 +929239588 +835177125 +1510053999 +1989379150 +1302535229 +582180829 +312308684 +702192629 +2016516765 +1453668137 +342966190 +868212391 +1314601005 +265537087 +2043259925 +1510243010 +804996551 +570791552 +513760964 +1551565644 +1283372693 +1624726917 +923156199 +1368174336 +1291865070 +2044776594 +95111839 +1409934191 +1203939033 +638308587 +568619782 +2133178622 +1473485712 +2078673781 +1975074124 +628537293 +513370963 +139899161 +1330729923 +382404080 +1593567298 +1673696113 +1250616471 +760684655 +1939233200 +1146392748 +123444018 +596746104 +1717184300 +637204982 +828100 +853073345 +114448251 +923984300 +73764033 +1406313321 +821277246 +168875872 +668763864 +2025216280 +807184459 +1237383646 +2010911254 +133186523 +1168573780 +1838501730 +761723817 +1681944743 +1978400891 +2092453740 +2064348823 +1424484542 +1618666205 +1167481647 +37685549 +1410415758 +166390747 +161129567 +2007161862 +1883575048 +798334549 +2007989962 +589164745 +912782800 +784490614 +662928779 +171612474 +1605767861 +831804651 +840376338 +1483500493 +1638989111 +2077759985 +1346928099 +1772175634 +1098850117 +1037946181 +386415803 +633311212 +868863425 +331385895 +550176387 +145864319 +1950052101 +1717658034 +183549868 +1212984211 +1884048782 +344679436 +1072662425 +1620140182 +1143013985 +933168739 +61821279 +2055796786 +1717659354 +724750058 +79925612 +1175943567 +1556554710 +920301950 +511960412 +1048060173 +850578287 +1858888511 +672752159 +1949428404 +749351044 +1059167963 +435255968 +1618214469 +1390553858 +985432356 +1764078788 +1193122311 +555606742 +1947628657 +258622874 +292171876 +144824445 +1331285299 +1912312058 +1287838430 +116970391 +1974133338 +1196151568 +1834629745 +551399748 +1276077180 +863089664 +2107954458 +48895483 +1375050076 +1008530983 +899473770 +1086454939 +1681283143 +701418527 +1835805983 +592967458 +1136674495 +1306536805 +1983521316 +2122106851 +923131945 +1029159980 +530229946 +723276954 +1287782854 +822401822 +868101399 +471584506 +587230233 +8456182 +588554897 +413879923 +1204607750 +275700994 +965279671 +333201283 +1138790658 +925750482 +382096766 +366357086 +1934281465 +1281570536 +1452812025 +1468080960 +1982989063 +1141134360 +2061048418 +972179911 +300187517 +1897086087 +946803114 +1223319463 +778762419 +1477033060 +1946596417 +2066545273 +151951235 +667214169 +390646131 +739181468 +675670351 +979201028 +1153061391 +1880278101 +1254902022 +2118341062 +65995736 +246209032 +896607896 +448092502 +612566118 +683405714 +1729663039 +2065378143 +4003026 +1565168454 +1059028856 +2065051445 +389864717 +1359216373 +1814653884 +1336667832 +435052188 +445932655 +666217244 +234164958 +364994280 +818168479 +901379127 +755640412 +1557349947 +1577049478 +1734841440 +562927690 +1309843931 +842259815 +533785105 +1375839668 +1088468847 +1430393001 +1823932170 +1701034966 +2113798715 +1406111561 +1618929461 +2117801742 +823796368 +530474669 +2035369539 +1213661085 +1889691043 +1702539775 +402845269 +177259583 +988782 +1069062514 +411424541 +365983062 +1887230993 +1312803668 +1121623474 +1297097293 +742369498 +708981267 +1860024983 +2052213430 +1551241082 +246326440 +1280569450 +492226281 +1676719442 +957017972 +45777599 +1643034509 +215645886 +1664707061 +1613352603 +1039442254 +47698082 +1501238494 +105619691 +1937389125 +1056294621 +508464961 +2114648709 +1057283403 +1577527475 +378589602 +1423266466 +1317274820 +1691393271 +397406292 +466888465 +286279121 +1106387559 +179429801 +191008903 +510144993 +425756241 +1471578353 +1002371275 +2102475683 +281112678 +1048148874 +1598026545 +496758564 +565372287 +1063895500 +1536200818 +613070370 +417650347 +1641820509 +402975847 +1473944968 +2801822 +370140908 +383744724 +1580329297 +748730511 +1807011190 +750120470 +292640134 +56933834 +1217008935 +578919255 +1163321394 +1396438736 +769928159 +1673466387 +1822194978 +94022864 +528354014 +1777187013 +375135542 +1576502889 +1227729910 +871894106 +2141875176 +144141763 +260611276 +607461898 +561792110 +1902431786 +1010437746 +2035737078 +1905233608 +1380578654 +271998154 +1338079258 +2129309165 +2079009344 +2088199728 +274465651 +2135943179 +1157725015 +853384907 +1151780925 +406680104 +1623313066 +677763664 +81391434 +1717335930 +1206117679 +1858578447 +2092471473 +635136920 +938824710 +816881931 +629528448 +1082966473 +1077493208 +1236990347 +1644758583 +832441346 +99944445 +1533012013 +590191306 +1480523099 +1805010168 +1928270564 +1462348617 +1736535864 +1868986644 +1736814268 +1724995395 +879228012 +442715527 +729292672 +1285908116 +2066028593 +1407056337 +1367299550 +1635880876 +465690368 +1078394349 +1580868701 +1100827288 +2017219059 +250266984 +1730355736 +952701884 +1327760192 +819862435 +449976819 +12717890 +919806880 +1982988833 +602909197 +252846332 +1640515353 +383696113 +1715194949 +1229567569 +105199110 +1304525569 +807079317 +984427122 +1747241097 +1536371989 +122851590 +1665786042 +795944678 +1490151140 +1154183270 +1261635046 +421061841 +587568323 +214978686 +290797253 +837835308 +1945334423 +1243499137 +18111852 +617713210 +1693475957 +30829743 +1537520091 +1528981142 +633738940 +1790366423 +1022012847 +1017435053 +1358077724 +104096768 +1122634163 +515119645 +911176085 +2107061285 +114877094 +300064427 +82429227 +1780663137 +1096009105 +1572580367 +787362759 +210160504 +1993642209 +1374931083 +425139190 +136955814 +65282743 +222989965 +1380454951 +83394595 +840703176 +926447260 +114224338 +230739619 +307944754 +747963278 +2021106042 +1329957601 +1765398332 +1231700118 +1434054370 +740548847 +1746819763 +197746807 +700126485 +1861696858 +497811234 +782555712 +1494876347 +1593820340 +207652432 +134755458 +1803980844 +53810993 +1509686541 +81636386 +190766807 +1574969284 +304626352 +1571221758 +1658363880 +1145329528 +350185371 +1772588218 +1376069147 +658130125 +373067849 +1249691541 +1988087727 +2138466181 +333908011 +1274658449 +731531380 +2080727774 +1472405256 +1431657865 +1794940984 +1970216491 +66729930 +1142333683 +1416553183 +274382362 +1277089142 +1073050379 +328193355 +639292035 +1154686765 +518960162 +66777672 +1459313117 +2090181920 +1725141552 +457158997 +292883643 +1350246122 +1833228144 +951013769 +1723313971 +935436037 +791617848 +1714296504 +1269344048 +2066276297 +298344237 +1202588175 +1391197905 +1730002102 +850045511 +1213930748 +1796732032 +1992379195 +483000283 +2071114394 +1121984689 +1556050662 +251824101 +1761276724 +563253780 +770784263 +1828054396 +2022566897 +713482536 +1405712300 +332242247 +1006366179 +608474775 +17986743 +1957379948 +184305098 +953422781 +601514148 +1898601603 +75283181 +520306797 +49462192 +1277871356 +1911504703 +1779464294 +2127916868 +977951803 +1428712679 +1972812415 +1460952087 +1352343425 +947313456 +869519101 +1604167527 +561106532 +1432772881 +227468142 +241677281 +1307856131 +940950678 +1647389581 +1640098378 +1947316858 +108380708 +1658085121 +1757213158 +292685807 +464024254 +211243659 +43803762 +539307436 +731550456 +93265954 +1817178792 +495571511 +1872730248 +1797612012 +1473523315 +1153959279 +1622940779 +786991754 +358819057 +422770587 +1656510855 +1962986584 +983877120 +941800089 +42971078 +1225554401 +102172572 +983921757 +725460334 +1742270950 +783754967 +833841043 +1252872423 +393484477 +1126526850 +1716896678 +604728136 +1170330612 +108720466 +1336278593 +1263596566 +1925899258 +1831850104 +988843166 +1576027623 +1157889771 +2142802446 +1051484754 +1944881525 +354137855 +1474255342 +1453908733 +169640791 +310648814 +248225174 +212611869 +1536203215 +350397746 +1196533626 +114179901 +2092668696 +1980288593 +948020944 +1198057471 +226289423 +2074547794 +767470501 +831017559 +1097394758 +876190967 +19812504 +213507676 +654606578 +1851662609 +1202350843 +83150553 +862068732 +1197669641 +1134635307 +659466610 +1551807496 +461407001 +2113375343 +1721448287 +772055815 +214116869 +1934060156 +160775382 +564514615 +983110135 +274955284 +509699663 +815915080 +1222976228 +1707757134 +1042204503 +1150040375 +327743988 +1873222063 +99951485 +1203934955 +1893034567 +313459162 +1858541533 +1597213528 +1515810005 +1941692086 +311798613 +565995998 +928843746 +971265223 +2117803494 +1390250747 +937156918 +1691768133 +14822915 +1151273787 +1478344641 +175598297 +1715788402 +313971128 +450553581 +78004417 +1129886209 +1673529810 +1785761551 +24607064 +676086537 +2113505539 +1897829127 +776038022 +1169956847 +1643380047 +1089497184 +881014732 +1093109927 +457823541 +675223171 +1404908540 +1023819539 +1604066917 +228690115 +994139385 +846834016 +1165847033 +538423870 +861656931 +169637172 +2016768512 +1037255229 +1885425574 +183255992 +1487808810 +1963429991 +1313142201 +1013854972 +1601707895 +1337749266 +1689941509 +1567729786 +1088094745 +318495884 +590202985 +583991144 +1407993068 +1471217718 +1677101072 +1865816610 +2146440889 +934525964 +742152501 +1603024158 +1163216080 +1736291887 +302374526 +181579465 +127232109 +1164031458 +351216638 +2144000621 +53803039 +89158564 +179772966 +1541611849 +2052588556 +1492915167 +407983174 +1506812803 +683180785 +2097924683 +927058941 +1771275531 +268936919 +1517261927 +207783027 +1676929988 +840995997 +1884884099 +1395262950 +839953238 +671926416 +2137415451 +295493748 +1835142496 +1726223690 +597868274 +2016721961 +1853455800 +1761899732 +220454951 +1849972773 +1815702771 +309613516 +2029745739 +1209830973 +214718424 +1375177259 +1617814147 +1721531227 +2058358044 +1568255182 +501106520 +1682149927 +1837192102 +2018368447 +1889932955 +1366638442 +711880796 +1627333406 +614417744 +1551834034 +151776174 +604349547 +1847327782 +1986918670 +183089590 +297712409 +1856156984 +2036545390 +2059612141 +2076611935 +1739034515 +1727831265 +238741803 +1621296607 +790178590 +453460227 +848990218 +260509089 +27507806 +759864614 +1828764271 +528614327 +294530894 +1518472725 +399499126 +36980201 +737627519 +1111379923 +1664313607 +1352045263 +515730309 +1816089782 +1956394811 +215574444 +1655524804 +2139484401 +513286853 +1364198140 +2028546143 +425415346 +1293326428 +1620097010 +5762963 +1532068231 +1093909969 +795941553 +1985528459 +1942900187 +1056450642 +2013036265 +555281154 +737731266 +394166944 +849812048 +108720343 +793666071 +886792249 +846347863 +1905045994 +403622208 +50909478 +273292655 +72228342 +2007304289 +488867099 +1727753147 +1999305042 +1002153952 +944467639 +1880367537 +1427569299 +90310419 +1352980900 +1433332262 +1622378651 +299407221 +81790168 +1460423462 +94823761 +1138240810 +1325976079 +650104915 +1875972076 +1720143024 +1499916963 +1984692420 +366325447 +239225564 +683556635 +123887793 +642847772 +734466113 +397180448 +715076115 +594286755 +886047548 +295345614 +446108149 +1888201500 +1239813253 +178992039 +1168287151 +1330123673 +1531972939 +454135766 +805018676 +1831380160 +535925934 +117958490 +1926203921 +1674166744 +1443934569 +428825188 +1402655173 +1016593945 +1928742151 +1239863945 +1382919392 +20484067 +1923420580 +1506807185 +663331840 +510403045 +1903987634 +1378407955 +1104689800 +642551534 +1673753569 +1550797950 +383269386 +766083174 +1729789989 +1551556538 +2096206847 +1114279280 +2005692304 +753741875 +798175792 +394134590 +871700365 +576896066 +2068301334 +168151287 +1005721254 +1323472859 +1184745232 +786979758 +415853156 +420180977 +807463825 +191790088 +1926988162 +1470795665 +702193134 +1683492148 +701719972 +1806882934 +178560034 +227989893 +1210197236 +561829421 +994073068 +792503577 +2113385959 +942796267 +1906782857 +1971594615 +1696538143 +557475002 +218245557 +420754860 +1134371068 +139063243 +588906147 +2140092322 +1462536103 +1773651380 +779588432 +1878389259 +46348709 +1587052258 +2070179348 +1973336871 +910364275 +624888834 +1509345372 +1612084248 +284288120 +1687905406 +1840074141 +1494485357 +102251179 +686663561 +139505286 +68153490 +1629459829 +2046288144 +2039748105 +1178514324 +456279498 +110510014 +1599269184 +1590650566 +249573258 +40691684 +1583259240 +1712109361 +1814343064 +215364025 +1443014972 +1860691773 +1802416283 +1365710672 +1686544996 +565296910 +1990599506 +1048406720 +29897510 +127403979 +588828479 +1869971652 +1621889336 +691079658 +409151565 +1761394622 +759233149 +2038611394 +1660199118 +651497606 +1069642070 +2116478616 +762007621 +521427607 +1559645534 +1011580879 +562119291 +995421127 +576206592 +228978707 +1210785152 +2019221564 +2089670480 +865717787 +1237448589 +1628731828 +1431014697 +1080564447 +529654901 +1460912208 +1207968426 +1118483380 +1183400212 +682374114 +1809563038 +1592551777 +296285089 +421312539 +1483679524 +1956484207 +1072810146 +405837946 +1925479176 +1834817767 +927265553 +1337641062 +698914998 +1489384844 +185578541 +1275121590 +1718363551 +1396363693 +1146859506 +1660550383 +114597832 +236824447 +1141798564 +1545612530 +1317388895 +1671453465 +859041090 +377873673 +642453197 +2042441302 +1060247788 +304532587 +1487509431 +1356532877 +725845127 +823705307 +1165533436 +1798655273 +1229543254 +943528964 +1485989392 +9325159 +133686379 +37420742 +1498710004 +319264920 +1312542332 +1069589907 +1715628614 +311918190 +582656643 +1830226446 +548742638 +1724455207 +1228355328 +1866131533 +1248425024 +2087396418 +96521558 +1890878221 +1982354072 +1156769346 +47927160 +1322379856 +365818575 +773772287 +2146085163 +1531352012 +424943912 +1228144769 +327397328 +1910933304 +1237469929 +461083707 +1948354046 +588696285 +780348628 +1113412730 +1658286192 +348493594 +1425330921 +93459187 +31236392 +1974073559 +1817914394 +1259591721 +1692721444 +918855770 +1199504491 +1789243002 +662250343 +1034374916 +798528701 +710177504 +209271124 +1164347276 +1483949791 +207872639 +548215640 +1908893704 +1436017409 +875612969 +1672343360 +526003690 +1336696676 +1473213759 +1114699975 +2117045304 +439142841 +625502519 +318055250 +1864473762 +718961707 +349291643 +1691063673 +389392453 +1608883364 +1236301469 +1308248224 +660904207 +878060824 +1970498567 +1695279123 +1676589525 +533192423 +1904550247 +693453153 +2017142215 +2112422887 +1241668794 +1778552271 +1400956648 +2117281763 +1303411983 +1926960338 +1306494791 +629142094 +894176665 +1276056448 +1068284936 +1519679184 +1594111698 +785275050 +91157243 +1943403341 +328855076 +480549697 +1404803057 +1565156545 +1788797921 +2065707265 +295733721 +1611812840 +1613502740 +1972323246 +2145005264 +1370569340 +518292752 +2014663831 +1335508579 +1759961546 +1645732454 +588981579 +1729759661 +801660789 +368458269 +888770804 +1430802884 +1262634934 +17343604 +351604172 +634830470 +1611455303 +1136879222 +725987714 +1407374996 +1465734298 +1206537411 +664694406 +883407196 +847851684 +582918023 +1179140917 +312180876 +48937115 +1003980516 +309702492 +1419506455 +1522273268 +176882675 +607531386 +1134751166 +1822615129 +1196512965 +717027179 +476792271 +1564971234 +1605797983 +1907595155 +680122520 +1623141588 +111715679 +1314952991 +1087113243 +1248594901 +2040940705 +347004591 +566845552 +1099994468 +1011698997 +1450252748 +1947846152 +1594617020 +481910017 +112543380 +1643554136 +1485890533 +422245873 +915576943 +860680153 +599128548 +1523108330 +1995431319 +274260030 +572137647 +564974850 +751052301 +2137108882 +23289186 +511163808 +669747754 +1646430774 +622879487 +1984700745 +586060369 +1871474388 +1878157802 +933064960 +290836292 +830668622 +1944763958 +1741089040 +631031126 +1391897330 +75515410 +743574507 +887967818 +1561405943 +1165820380 +1803544762 +274602449 +1764948928 +1179169444 +122550120 +2039208958 +1751307091 +687524971 +642777611 +1740932325 +710814157 +1153941419 +263196432 +209761283 +1776820906 +100413529 +795821652 +1500811647 +1978571332 +1728886612 +1791647939 +661756306 +1526166922 +1385253332 +1292787433 +770580605 +1460768742 +2036361940 +1658548423 +874691037 +1054698672 +1314609537 +1149293486 +672163952 +346295333 +1271843607 +563889263 +2097602425 +1959368578 +1206666874 +1691051102 +522699087 +213124646 +1954247534 +732460370 +1989945552 +2054661064 +1528282022 +1343273551 +1885748748 +1109684986 +987437843 +400021406 +488368261 +225207527 +1692808839 +1258948866 +1685976269 +1581687131 +770013641 +413183658 +488902155 +2084623179 +1562477145 +1161066108 +283434864 +686837104 +1724955371 +233553641 +498722034 +784138597 +1924604744 +1021421121 +997263243 +1731368630 +1753881491 +839725148 +1638546046 +1134679865 +35515051 +1376811146 +96881203 +1022952894 +1776832553 +585249464 +1248160421 +1322157744 +1844198330 +786653042 +756361228 +466728324 +1199836701 +1245263383 +403867855 +614830198 +258845843 +687302719 +1301667302 +1983801214 +920856361 +1800389336 +620456164 +697977457 +674326809 +1617719407 +281862439 +280724652 +309960907 +1920408486 +1415404517 +345475959 +1149735984 +1512285720 +1368428853 +779084889 +2097535185 +469105627 +2101242634 +1794249867 +1255758669 +710120214 +113494543 +308111722 +1955383597 +517362398 +922941920 +66745793 +1204665118 +77125574 +2050547007 +2125521479 +1877514910 +523519523 +676015288 +404358071 +2141238931 +957877727 +685082723 +303716190 +730802565 +2100487240 +649192149 +1880538550 +1465289313 +2017621003 +512139791 +1415340850 +339242982 +465898777 +1062107069 +1595001651 +1176018991 +1175601613 +1903113374 +983918941 +1692964011 +678571646 +1050664734 +750145481 +755697221 +953728093 +728183312 +485728483 +1477247617 +1404198600 +890086555 +1471002900 +214592680 +1575169278 +1774719090 +945395245 +1528172871 +276427592 +678450147 +845978536 +146564947 +1190589939 +113835738 +485807929 +1656488716 +1175942807 +2080809580 +685024060 +204060772 +1836439306 +1668943001 +1897024784 +367527305 +572124087 +499686617 +1123224526 +1525852180 +1227869930 +1608953009 +855616149 +484584882 +351555916 +179135401 +699177562 +1926725195 +1953854492 +1644572808 +1307414418 +82798436 +175539307 +5909306 +229363383 +1366129246 +119745044 +715171312 +875134315 +1295687851 +648497244 +1560158375 +1499748624 +337452903 +1081617728 +1249289760 +704980208 +1653741815 +1748976377 +1828204734 +1032110347 +829362659 +1289674095 +1887726497 +1313947542 +1641230012 +2066861898 +2013125104 +1420471559 +1873232742 +1510214264 +580402329 +1956031178 +1685753572 +586311635 +37910913 +904399170 +706056679 +753082225 +1779533485 +2001744530 +1401579470 +1192208212 +1354009506 +1739032373 +126342292 +455815618 +296528933 +1780084107 +57308348 +2124733667 +664710807 +886671007 +1266924114 +404953656 +53134901 +760670478 +324331906 +2066260006 +33658389 +50081001 +1428990622 +614060718 +2006112179 +967260546 +1200372353 +2044023093 +1871659717 +1906429032 +649621670 +1503709554 +1760689915 +2051201140 +548434119 +967215773 +1642749865 +674776411 +1423031392 +1939278798 +307376871 +1480339740 +1916528817 +972087678 +219527099 +1035969284 +1377041334 +272662001 +1796639762 +1701373240 +191438359 +1830298152 +1751454241 +1620428981 +296875222 +1610082773 +440205880 +1497247576 +1506622218 +164381949 +1256192960 +8760240 +1668091503 +869399227 +2059961381 +69041974 +1836615001 +1555227598 +743818386 +1112162745 +1347022749 +1051195257 +445018837 +1116067918 +2023282935 +664545936 +4553554 +1252840621 +937207937 +1801193317 +806730213 +1128646296 +1484007821 +410700807 +601591630 +1780883043 +2020783580 +1041797510 +1130646971 +1379922150 +1206179459 +239356284 +1388682390 +726787314 +1108755511 +1301160123 +795829289 +797886864 +708904074 +1539647675 +1910049609 +2055926823 +443359284 +207584798 +1024511093 +319158571 +872130735 +1029064648 +1571999192 +1809338672 +682774317 +231245757 +790501321 +19298490 +641946564 +1392092951 +1800181533 +515246496 +286406813 +783344857 +1895168646 +1492586272 +1022701141 +1136367389 +71889938 +2131456652 +290043864 +867719227 +781859869 +998947938 +259883254 +544425830 +907391113 +703242538 +752010629 +1931902207 +1022401109 +1624141364 +813483207 +446916653 +1285996388 +1496257524 +678162411 +2076497709 +1515556014 +1320108975 +1321107012 +1168253899 +1835355472 +1607513825 +1951598756 +1583040470 +952616449 +826816249 +571924211 +1024506388 +810789254 +861968076 +1892225615 +1592649123 +1860916014 +4625222 +2137074953 +620823480 +707867760 +741601934 +405242039 +1730268870 +218259650 +1218725246 +29701875 +1504256039 +567499122 +707864286 +1433270100 +2083055136 +2027973262 +606893465 +1103825387 +1715845086 +66923642 +907940496 +1151401908 +1019540092 +1734756745 +1723326120 +2044046480 +398062351 +437810548 +1788788447 +1990711474 +151242914 +1793413669 +1980302780 +772066394 +353797782 +574421066 +1177308433 +2084066652 +792680717 +248550031 +2113768527 +149453108 +816049153 +674149166 +1582723208 +751620641 +554638780 +42133025 +1855446029 +123000218 +109056668 +615902877 +1274402126 +1128596760 +203175974 +850244598 +1025159592 +601238326 +1288055146 +666464391 +444466152 +1439298061 +312394413 +277285284 +63880807 +666192195 +851706351 +1241189241 +602775199 +1644387068 +1489739272 +569060078 +1793840176 +158304778 +1243209244 +1229079736 +909925419 +1797848024 +1271212762 +617887800 +1920848242 +1380269430 +1233790677 +1047766721 +361382542 +1436966652 +1898011319 +1386542134 +2038204978 +1038582818 +2053006525 +335187482 +330397231 +217917290 +612472767 +394278038 +884109485 +1464179118 +1635467279 +1486884684 +961082538 +977722904 +2055944763 +607439066 +1136027682 +1151670359 +1836518802 +2045953101 +802034736 +960247916 +516357254 +575399330 +193033698 +1750147931 +1623166051 +554416240 +1039630935 +1373693723 +1940958374 +930352265 +264792893 +1846481252 +1265539748 +595190124 +2064398542 +1878012515 +989468162 +801024380 +1194707985 +477451794 +140425416 +8306875 +1455174698 +48886531 +615745941 +443718732 +1200556891 +304781095 +342188185 +2002591627 +1265029012 +858545439 +430507309 +1458062710 +461209723 +2053673361 +2012478951 +1500840658 +1279883436 +1805953677 +283709276 +1544676329 +1504951281 +1549249024 +2139866453 +1421866176 +1279777891 +981850967 +75406908 +327002228 +1459302761 +215832324 +335309103 +766993811 +264718856 +951055044 +1210712543 +1465275747 +1255836139 +1552900729 +1320383726 +373381503 +263962520 +1750891035 +1831444214 +725172243 +1657080748 +1696439517 +78529254 +789480536 +1354909546 +362238530 +186673217 +712377180 +1911487554 +179056022 +2134243356 +1043781797 +1160906990 +62166616 +1370784025 +472726103 +277998940 +1706093128 +1239719915 +542717796 +509664524 +302948810 +2007993543 +1765500663 +1855849539 +1180893621 +2138882167 +2119812060 +784301009 +1822842733 +697500655 +293898109 +1371798602 +776029909 +1083378646 +579224500 +1138268439 +1270051863 +1291601680 +902272345 +1449107886 +1278361388 +1946054142 +462531228 +1340528004 +1169354519 +935257331 +1618526945 +727963999 +27493598 +13761093 +1237628523 +330442409 +2021754637 +855645539 +38808300 +1055164610 +847044058 +11136712 +1839465619 +522403143 +708637368 +2133363729 +1894201745 +1484667277 +1069258727 +325942597 +475452069 +191826942 +1617544278 +1377724414 +1640934828 +748422018 +1176294909 +2103466056 +2088950023 +198165780 +891239740 +1559993320 +926129780 +918733338 +1573754413 +16274655 +1249175747 +1448025402 +871920194 +1287984048 +355706365 +1718964252 +1299120760 +47688336 +93883747 +2007758128 +33568417 +1988085492 +1344941758 +1102827144 +166544442 +1820393827 +1294654087 +1784088720 +1050634593 +788105267 +385027090 +79445854 +744087676 +326493465 +277611635 +1635327416 +1886486785 +1203741415 +406577106 +1312757551 +1220016070 +1655752854 +613299305 +2091936265 +796253254 +969005670 +1663416869 +2095374014 +1016694007 +1757300617 +1955648495 +1050262424 +1597902461 +1153106605 +5605921 +1764446903 +826016784 +1300260008 +1401051975 +1876651377 +2088365275 +1786079066 +1956097232 +684969303 +2112572531 +86225219 +172813071 +1851575669 +1289966634 +579390178 +1016849572 +362499056 +87659384 +1630148877 +306951673 +883912638 +451670900 +1970368543 +831803004 +1468364907 +1580185512 +639967851 +371143683 +1030604325 +1793074456 +376749604 +647567581 +471607592 +1677009612 +2048619556 +200775322 +1617891240 +1687214974 +9388906 +155376895 +1652303858 +95614125 +328189967 +1356395879 +1385580759 +907580145 +225761803 +1748079815 +995239529 +1855910680 +2055031489 +1879152167 +160097932 +1877916384 +563471523 +1628462839 +1310618248 +1203439375 +1999606523 +193738925 +849030183 +228872479 +841306506 +1320637776 +1905882092 +742442415 +1521413098 +1376289684 +282173741 +1530802004 +1531666579 +1934477599 +1626416129 +1859856546 +1143389830 +864513240 +619953043 +1369151633 +465109407 +1615192572 +1077578666 +372657248 +1346861091 +1237676598 +103089984 +1910332615 +718655790 +1413708232 +966288342 +570778665 +1607447158 +1815318525 +799651144 +301270016 +988472653 +558049588 +1043712431 +362402103 +1934339272 +1325886173 +1893204107 +1318522204 +1112880124 +1372136588 +1030895102 +108786307 +89166180 +1650848146 +1477937940 +554275588 +1118557070 +408032958 +926932836 +317934514 +1645709557 +1030022821 +80783481 +216881699 +296247405 +1047071823 +787660364 +1903694563 +714906700 +1587311508 +57480932 +1703379354 +2145361097 +1101193363 +2065781457 +1932216721 +279595888 +1811501917 +1103255277 +1392476013 +1036154857 +2134150380 +1501262320 +1125321038 +1637514878 +831716612 +1679596626 +608588300 +1239749571 +459045814 +926522814 +737975480 +1489068635 +1007306295 +954857179 +1785316041 +2054378118 +1742517543 +1541526956 +621801171 +1182345403 +1599007888 +177696877 +1180222852 +552717604 +95994686 +964955926 +832313492 +1907496603 +2068211203 +77305857 +796167813 +2054877935 +1578568177 +1921488851 +1544909165 +262801142 +1453601829 +6013818 +1502550713 +1912647643 +932536632 +93042545 +1254232631 +1939842928 +1047899724 +892065024 +1846737398 +642933619 +286108332 +321054921 +1825279022 +1885116221 +498751798 +858018227 +290350177 +594746485 +1822974153 +1122663669 +354759440 +1743701708 +1199969527 +1150927253 +1651095996 +631054056 +924932456 +1048521513 +893855198 +231050637 +1054535331 +248922263 +2143698281 +1987071964 +341964808 +1250447264 +1779431244 +1389864532 +2142512288 +1478684994 +2032798151 +281136972 +1799739916 +1710593526 +18769545 +151008066 +421128105 +309119722 +745754551 +96618610 +1431783392 +1100513992 +1840320318 +484269271 +103957597 +1343932666 +1115323327 +1028890054 +244970532 +2009178526 +1259940691 +1299505863 +110617141 +1256155324 +1139094179 +452581950 +359118940 +771041775 +1842446482 +354147580 +102243122 +1727760986 +635284553 +1901983038 +1290870864 +654054098 +2052991104 +1711998969 +963173821 +651262008 +1808617579 +247473565 +1751776000 +1501454249 +731742836 +1855733597 +697903268 +1847066163 +737140003 +942873800 +1708761041 +1997080695 +94896015 +1819378183 +1105752371 +1233990195 +124476485 +1464871312 +2005031970 +1966922967 +1819018892 +2107275092 +1547200305 +306819797 +1861774482 +690587521 +960873896 +1767281939 +255102842 +1924047717 +271060299 +2063720421 +24037634 +2022836299 +1417691023 +755780470 +1731086248 +2115594291 +455362985 +320742604 +910984443 +16640379 +170339651 +1005880458 +1836018562 +1276092022 +92387005 +1960495047 +593479686 +2097418976 +1779934366 +265014931 +2057210420 +1179651024 +571834728 +1771501255 +1870238545 +1532708624 +1391299546 +2125341388 +1309272693 +1662359845 +2041578161 +1333310327 +1537712496 +1311785536 +2089090797 +1121315096 +1279896179 +396970135 +1442057700 +43396974 +413610514 +1612397351 +1049277433 +102145428 +741005726 +1141664438 +2062640475 +1334485412 +1091599766 +1695091193 +1599500343 +1001326539 +727258569 +23851424 +625344146 +450013467 +1556560048 +2016643692 +427871207 +718349094 +1531519889 +321965720 +2051659421 +921748737 +1633751257 +1993266571 +2043063833 +766163788 +242753058 +1337637886 +809560763 +656363572 +802551589 +1858838196 +758509000 +1543557315 +853018986 +673665827 +730559080 +1944618753 +221273372 +182575775 +798461644 +948531942 +206427199 +1423805790 +1398545409 +1762987248 +1292965834 +1826416616 +333852694 +677002075 +898688 +238028467 +1598750812 +1634649945 +83811390 +1494330997 +253330086 +326564448 +684485235 +1062890849 +982928020 +1487036825 +774245397 +1741437020 +883110492 +1627264383 +267619199 +1613669572 +1424399488 +488892572 +1796245348 +75377484 +1437424514 +2002672547 +1499183274 +688486275 +1618176147 +644665460 +367419243 +1952028841 +1321667535 +368317931 +42573661 +772934699 +2002967877 +126385051 +119782049 +108814315 +452949500 +804267284 +1171705164 +1435877520 +143820461 +1945950561 +1029830893 +1026930954 +1425731296 +1297450092 +493116878 +702647137 +1786342664 +141878578 +778024621 +1076283530 +2144551126 +129724248 +1764769805 +1615243625 +774389708 +2132189048 +1419788819 +2096057244 +353023332 +1462362480 +721508295 +208507561 +1588747531 +841290344 +317321876 +2041697031 +1645557629 +1489027040 +1330090904 +1789378090 +1287493953 +212438149 +668825396 +565741601 +1509888241 +1161942275 +1268388738 +1148747258 +1303820853 +2046413360 +77547140 +1300888331 +28653960 +1842316946 +768648309 +803043668 +1827022346 +40953480 +751617264 +32562030 +1503315960 +1473125560 +241069591 +944579843 +166932256 +558391467 +838793227 +1812489885 +2047418507 +21400483 +1454384328 +1187428812 +233838632 +2123209724 +1753170414 +1743726873 +1137668351 +874075504 +744990483 +294005557 +773005216 +822537624 +1594893888 +801659176 +517370922 +216058549 +1604702845 +196909620 +257012029 +208836461 +229471651 +1760327989 +1681962021 +470541242 +557424185 +1848894278 +1028932710 +1396217412 +1513900515 +928867569 +1417617895 +820801195 +2116296382 +1651456527 +796527272 +1721983148 +1247699752 +1934195623 +448575004 +1992690236 +80717532 +1221580221 +667744212 +1675611421 +2023239397 +1185115134 +1891669970 +1480458594 +1382024754 +1198352 +1689295056 +1611496405 +1761526341 +1223773429 +2082037648 +171466878 +925184059 +963486710 +1567684290 +291600927 +1892354279 +837818537 +1112402122 +1861167013 +341791416 +1908929394 +1435666513 +1589491169 +1695641370 +1884241518 +1434697757 +1776358902 +958338091 +2102441969 +1304486675 +834093840 +1140073455 +1048672998 +167068787 +374614561 +1049871350 +1856363843 +1986110967 +663914043 +932653624 +1920664967 +835380922 +1857837684 +736668029 +255581564 +1954963 +481538660 +1093400102 +1114357085 +195222026 +1435191518 +875802832 +1630888539 +877199039 +423960554 +1367646409 +164413148 +52835808 +178500852 +119371469 +1357322484 +1012594693 +1259444924 +258511834 +1179663480 +1634059486 +1308383184 +888543675 +1472686805 +1972297227 +1821197299 +1245868124 +660194501 +1531551335 +1982536153 +915776066 +1533506298 +316591165 +2009176168 +500379736 +511813191 +1296884038 +1376182568 +2142701731 +26599430 +1800143122 +1362864492 +191012578 +1852978930 +1541365345 +310384048 +1062817766 +406476390 +1569828972 +1321329600 +1586139870 +1056404810 +482229136 +327199897 +381607967 +307042716 +913548 +1627476091 +967237217 +1532464884 +1462528596 +1883013283 +918487534 +1779119762 +1744705803 +1418867270 +143449305 +894106194 +647566190 +138667388 +920705624 +300225664 +1501531881 +1111718202 +5720947 +895413578 +1422102250 +1068538713 +1301889968 +844447575 +242384666 +740546190 +1900852385 +724613802 +1067746087 +134976705 +1031656518 +1068659635 +1762452796 +1998893736 +453640871 +1077497745 +1734423371 +1372128406 +709133859 +1331645527 +643512028 +852583164 +78268073 +1291078219 +991250553 +998973697 +1591303883 +345298786 +2110691899 +1597024830 +1240712364 +1385310502 +518079896 +395118684 +82274429 +760464562 +1135664874 +1983126814 +1485078364 +55927313 +2118103519 +369251235 +1124586948 +1733072668 +220661323 +1578227820 +663086765 +1955084694 +802872578 +1372220624 +1139246573 +1446384606 +77320140 +1217514646 +589979177 +1068570693 +69004695 +33799413 +1413869479 +32212947 +1630824243 +507098195 +1417523449 +1420491 +902216879 +1499797878 +761885053 +2037881753 +1335441044 +99479770 +2093809066 +1306060916 +468731005 +1070912367 +891649936 +689392328 +501656539 +1554736701 +496993374 +1304529117 +779473677 +1636239948 +603430075 +856793817 +706270946 +1193409253 +1925364511 +775275642 +1227208666 +1191750342 +807488589 +710549261 +1698848538 +77528390 +711969753 +453581769 +1577326268 +1473854806 +343979875 +765283664 +1573334576 +290305293 +2071344580 +2042065581 +1361217660 +815510868 +583974261 +1862874199 +222763921 +1080967636 +1019919668 +1002237598 +569723936 +1623349744 +1859031416 +1275994882 +669275349 +1636912279 +2051270524 +1896484015 +681178973 +711275465 +459549628 +232543863 +788803855 +1171519381 +686125633 +218646475 +497890540 +1030105508 +983930140 +2071225116 +1320410801 +907791072 +1965807050 +534144814 +1723301941 +402297663 +249535365 +1946065862 +1483265299 +1269455034 +800819813 +2052989235 +745321130 +512367581 +1181500470 +1414596479 +1796212 +1085287346 +1163596846 +682975185 +1796562812 +1623146474 +915519049 +437883019 +647182208 +1601644682 +656529495 +1145072748 +484266542 +1640459635 +1068814216 +1804677343 +400767059 +887137618 +191338509 +2124069000 +1289435282 +440873875 +1922651215 +625216933 +1710328909 +575987380 +530722521 +308166391 +1088354961 +1712222991 +1722762870 +1090151173 +650026689 +738876068 +1773126358 +299105853 +214538894 +541161759 +736988873 +861721102 +2142806441 +1393518368 +2006793850 +479589335 +886494355 +928124419 +136783031 +1287261414 +1815262037 +328121540 +1263846767 +957213671 +768995415 +1039014334 +1582430605 +331840676 +1615001714 +2113153126 +640007067 +555873027 +1677892469 +215286289 +1646024200 +180435510 +954162357 +1271666910 +479541364 +1168701252 +1812828670 +1216530237 +2030422354 +1808151463 +462564957 +1889732557 +140257151 +1349059312 +670373328 +277040182 +488837078 +338151717 +605161722 +1752683845 +1295365389 +1374157138 +644214531 +730312346 +1705997814 +111732597 +695981824 +198521234 +667605624 +226390645 +413807523 +166146176 +406826155 +1367969881 +1437813087 +886367519 +389187485 +1103158109 +2102897756 +272126191 +763825924 +417979065 +14375100 +904083075 +1767038377 +684748428 +1181123257 +108391808 +1022900146 +1786284980 +1861075653 +170781887 +1012958470 +357806537 +901094233 +571472636 +469539134 +1597076057 +769993870 +1137144759 +1823466702 +1183801394 +1303290935 +82809209 +404287627 +593620374 +969176729 +793475112 +1696778483 +924590837 +1065601303 +313120760 +1342569903 +1079976404 +1217203835 +962124632 +1764724832 +250843445 +1070516440 +640141330 +2037128425 +784108446 +810923217 +902603247 +1141914983 +1712017450 +1474075883 +1611454117 +1161609859 +96586106 +601115228 +837592913 +1280387500 +1904406164 +920402123 +1684675127 +350542890 +1889578852 +330666591 +2047321374 +666686041 +1396267894 +212958486 +2009255944 +328760650 +1430162321 +823896929 +2093485483 +1681005766 +1894413369 +586143165 +1570650543 +531038167 +1397066383 +325770142 +1672953150 +961600185 +1799846026 +1136923620 +2123210045 +1896432132 +1738038848 +813319310 +1029335984 +1494961364 +1733721433 +566527463 +1845504255 +1475816637 +897194054 +1745341981 +2142502679 +145978300 +1958300467 +2004274975 +474738951 +1240979140 +680688256 +420740786 +774501259 +427617978 +1006883951 +197668154 +958656145 +256466686 +523438297 +484125648 +1218066872 +175800675 +1621049268 +1193793269 +2072232807 +1211604468 +2007112579 +954085143 +559082185 +1593350365 +1520612606 +257102792 +921683354 +270323012 +2002444773 +916702385 +416301312 +1813261592 +773493713 +891040263 +906757084 +1454181969 +1311781049 +1681258343 +1881799947 +171181353 +1878926498 +692972445 +427648039 +254881147 +1177098093 +1645714911 +430681822 +650663713 +692024532 +355430981 +1862268181 +551653464 +1309516124 +273866718 +2145003829 +682645082 +530969510 +919203535 +952968094 +385930635 +1835905921 +1369269406 +51708579 +461915986 +112826022 +958465664 +1916097955 +1424607071 +492240359 +1650414255 +1595788424 +223683209 +195903052 +2023436464 +478564356 +1373001145 +1521667727 +909246178 +2023664858 +66208612 +1264677159 +1738449391 +617862076 +426709635 +2012316110 +615382257 +1109354717 +395801972 +1534585792 +2062322811 +781732608 +1223008065 +1284108570 +833441187 +1684924051 +1396934592 +1791906851 +1453538359 +674058015 +136663563 +956468966 +122362792 +360346772 +1152372018 +2145799256 +838911129 +377889515 +1519983335 +1748157307 +254070725 +1586191947 +865350819 +1992520116 +56570375 +1292060454 +1857352578 +671952632 +253931524 +105670903 +59054777 +168770687 +887403511 +1282062842 +1452879257 +1720844698 +819503246 +702330201 +1365267902 +125557957 +1376388217 +1501931465 +1082026923 +1498751009 +1862278237 +86915293 +1497066617 +553705718 +464804808 +869566304 +154379378 +718875533 +308274604 +1019730197 +563912001 +364844979 +164307003 +273780932 +1036797612 +418238527 +379451835 +1095852389 +587009215 +1266855346 +230431583 +2039888472 +840216396 +1049934829 +594735026 +58000650 +1175492786 +1971123243 +1559932115 +110036061 +1322390604 +1274726705 +196951354 +671973573 +1828432423 +661756162 +1541539877 +1982811801 +1380631695 +1849814481 +855058350 +1944543697 +67175813 +1019365354 +70840981 +1103973425 +1437603881 +450292816 +52342166 +2024613096 +1717148162 +282773749 +1917017921 +409880910 +1332708579 +364269299 +467881561 +360717717 +187908894 +2027813676 +470753779 +1510299498 +1155056733 +667705133 +34789423 +836005509 +1329461296 +1576329300 +671333662 +562609343 +1278660134 +1526392013 +359669392 +1345835947 +398273719 +430510373 +302325724 +1835877600 +880803189 +354667890 +1713007049 +450467703 +637441639 +1482541322 +860348614 +1970150218 +1846810621 +1328230175 +183384288 +2034719515 +1208560203 +654138067 +1397535365 +216133289 +1321843200 +1432324788 +1052138798 +503820848 +861170440 +1723472460 +1066430192 +2139830574 +1102380825 +1426099584 +1338182873 +1500654544 +1856609958 +1640508597 +1189048497 +589929499 +1995176487 +754571898 +1040397203 +485134479 +89629572 +1900745817 +307801049 +1936440193 +1081492344 +491185337 +1823676060 +142568899 +1145323404 +1073727777 +358702188 +319682957 +358568917 +1410840986 +823503805 +1219739357 +986829799 +1889933997 +1212086284 +2089210624 +1168549934 +402785509 +1442381521 +877676244 +2043294107 +483946370 +1467605743 +1890986946 +1238518268 +360519298 +228637777 +1328147840 +113781467 +536438827 +1117104385 +1195273811 +1027624164 +793296797 +1337842711 +25463921 +1867024574 +1696544899 +345146878 +78109843 +959902238 +1168650683 +1297849200 +1946732037 +911101033 +362451836 +1888459013 +2079650967 +765237346 +1183356886 +809843563 +661047805 +1667303256 +129965658 +404551103 +758337876 +490484957 +633188881 +2086485716 +604266424 +1169627708 +1056106453 +1799540236 +49768224 +1849403250 +989899299 +75232145 +1568944176 +538960550 +420379023 +1647054019 +1498862788 +1589029707 +797419572 +1298111177 +352647092 +1159871408 +1039086543 +284814411 +1925108754 +74959781 +1094657974 +438672911 +1742263038 +1224623632 +843224015 +353117266 +1715108589 +1476412896 +292119335 +171891366 +498556956 +1348225788 +1971431602 +548325180 +1050145391 +813847253 +623557326 +471605919 +1352807803 +1043936349 +2118659939 +704186944 +485482408 +768595863 +2002298121 +838129500 +1928467271 +893901016 +1122943911 +1706092378 +968860798 +70118237 +2144765289 +563640188 +1294741870 +840505656 +916757454 +862366811 +169434904 +1208876789 +1034258177 +667991860 +409618930 +858206131 +1216317041 +1459764321 +1672053384 +1839874367 +1931370240 +877377540 +736327068 +1902546531 +1581564484 +1221809477 +523658746 +1436378957 +2059938977 +304642370 +182796326 +1035399241 +2010734748 +1151657124 +1105517478 +2008016389 +1715297312 +252775700 +701038398 +484571118 +1115142512 +870473302 +1693447908 +1917041 +1538465163 +2103066838 +860123173 +607298556 +1415347511 +384692909 +299689275 +1199234103 +1262070449 +1036016343 +954296987 +696151285 +110342172 +1477955733 +2132530243 +22797502 +1782598103 +167842921 +1058196743 +1645849203 +1319500045 +16230573 +1506381945 +887313709 +269006274 +59936695 +1371884827 +1384148786 +930409997 +917849087 +1386065827 +321391512 +873432277 +98705352 +928690068 +141296140 +483398262 +1228379343 +1340530244 +1745468711 +116912039 +147343583 +294136349 +227254211 +1625299316 +279182944 +250051713 +1260413772 +447025865 +1308248456 +758779327 +1766525910 +1324479030 +117677624 +506355971 +1593485304 +177614319 +1878240798 +830150442 +1108024317 +648606238 +68732621 +1429415829 +1522038515 +167437974 +210622250 +1663334656 +650836236 +1439001593 +856381252 +248821299 +1555913632 +1003724835 +542957648 +1783167844 +481540503 +822140592 +2033219557 +1741954275 +1269166457 +1193984366 +353249955 +888208719 +370979748 +470927579 +1394564690 +1964465052 +648541899 +1125321841 +647131846 +1756566216 +1773928079 +715864467 +1038498397 +1148482946 +883302441 +1249120647 +664333954 +1534138677 +540638593 +1520715206 +1782959977 +2096552225 +376956393 +178433977 +1732236421 +858496897 +1000574570 +1617972331 +452967524 +122257379 +664473049 +806217479 +1010466099 +1035452797 +1277145059 +257547141 +852434201 +1925686958 +1382868982 +1499566047 +1534769526 +1009313413 +67946866 +425784275 +10312712 +951249308 +1674904923 +674646666 +337904337 +68059868 +47878225 +2120864314 +17128445 +424834618 +151814644 +1749364867 +1283331515 +1152389214 +1219853550 +1736299040 +1274646593 +1884326599 +395032871 +137629044 +772295748 +1672177930 +395176186 +1624729949 +1450381240 +1778045168 +976812348 +837667118 +639874934 +1044759214 +1263451394 +650187646 +1996008522 +790872669 +1324834312 +186429212 +858932537 +1372712537 +159809878 +876060982 +1797547156 +311624522 +477942201 +933395023 +1464013736 +1697795751 +522210415 +591176682 +1434638702 +917243287 +728805726 +59450802 +441937569 +1123981912 +1684180751 +1892318810 +754543433 +513509451 +582502280 +1394418367 +1558268666 +1845953674 +2044606013 +1406793540 +489342695 +1221956677 +1593222752 +1348275232 +447185567 +1753032631 +76852567 +97249075 +2064657153 +554794768 +1030644098 +1381187242 +105106872 +1552854514 +1972363924 +1539745574 +322614153 +553686002 +1599196377 +764551722 +1677667915 +1135893480 +509386884 +284727700 +1649402932 +1091889165 +1679146067 +1060187950 +790359191 +1576268432 +319497842 +1279701887 +650741461 +1912720595 +480493471 +1097927028 +1518269578 +557346038 +1195176103 +1435443083 +1112140807 +78336554 +669146677 +1217247679 +1631191068 +494026953 +609509605 +1953805221 +1047712956 +61222334 +570873295 +577897223 +1197115815 +1080260180 +862624923 +699035099 +24665697 +394287342 +1759223049 +815024888 +1970555774 +2078720891 +2094726775 +473813587 +1843957838 +427736599 +1571740616 +1214743768 +985082637 +619433071 +502703204 +2097223444 +697769625 +1171849881 +1166987475 +181477045 +1665876835 +1776497081 +2135282266 +566106143 +1837719415 +558671914 +1144003366 +887351582 +1638932094 +2006628289 +1586386681 +1663597791 +253431983 +1198126082 +331139031 +76504109 +1129363326 +278382159 +550317696 +825837516 +706118758 +2122058312 +2040581285 +1691201395 +594007736 +395800841 +1640941192 +1291777361 +1567650722 +660445019 +1473254407 +1086043909 +289458452 +1461053025 +1652150052 +2127177868 +2019724939 +648669770 +867045802 +1511173385 +507814411 +305948836 +1027287528 +761246394 +1504074918 +1358426560 +837750503 +485954596 +1636808719 +1388068200 +1311792113 +195443829 +1362642864 +1204889750 +1886645224 +1956650600 +1600690591 +1380102768 +1100944314 +1020857665 +2040547788 +426715073 +2106901575 +182522592 +1887768098 +1611567979 +162216812 +1760009390 +112754102 +1029262615 +1123699127 +620568513 +1335211451 +3503008 +1381814908 +691802721 +1361929568 +72081763 +1177757318 +851254639 +1460149963 +342065783 +1046698468 +675309180 +1546955533 +785860044 +484476132 +1000162476 +18479165 +1585420446 +2021020141 +2059026953 +2012135519 +1980438068 +94065897 +1752419970 +1444522400 +256282710 +1364945712 +1557276502 +1285545325 +341161191 +30361367 +473273128 +344664199 +1412176275 +1165075849 +1706593767 +1484258039 +195349519 +410364758 +796924354 +537415302 +1457063226 +1472233534 +2084370835 +95439623 +1956709667 +937049663 +113918788 +1394646465 +810586157 +25462093 +1259298337 +643540577 +119527990 +864234659 +2088062977 +375810700 +81696723 +1497855831 +1661356025 +422857914 +1528217199 +2134629153 +767522114 +792909826 +1152221355 +326632233 +129684217 +1347570874 +736996992 +926608572 +1884986177 +46576570 +251358458 +1821873364 +142016193 +60584477 +611439380 +255934981 +1455230943 +1422025537 +281397074 +567045632 +2065566114 +400925065 +1431280291 +2006145444 +776735765 +1512977014 +1356517627 +290608143 +1935834928 +737251178 +277753648 +555873394 +1530161005 +1429975003 +882505628 +1659845222 +630062230 +1619502620 +438970146 +367564759 +1666079190 +690328605 +41954475 +1808095384 +750913082 +653393855 +2064030365 +58660377 +2075419392 +197943792 +625706009 +1993501859 +598868857 +2056986300 +1852163655 +1375604622 +1422479666 +1061197634 +1666212765 +1210830947 +1798448813 +1943966414 +1766704341 +1181126170 +1226457769 +501726321 +693487744 +1856519999 +2121228941 +1132457891 +76601110 +1639824484 +1822786496 +118555586 +1300436220 +426215930 +771949441 +1216982937 +484876308 +699885186 +1414926729 +1110582317 +545903397 +2013795586 +1020084970 +250583404 +1241916561 +295080988 +1311781038 +760645678 +1505911935 +962746203 +557128444 +1125132629 +2143872373 +1783586214 +1626858950 +689876470 +1492622565 +1600604244 +1822334361 +1569223676 +1092945080 +1497637209 +1687779262 +245897652 +1923853139 +312245055 +1462880589 +261245799 +1012130241 +730323671 +1371828117 +1558033638 +596635609 +244429439 +1808617042 +1838552170 +539510427 +972914433 +451714201 +2045422363 +1935660636 +1008842645 +1023071344 +1932049362 +644945211 +502446646 +474442184 +2137567777 +2103050890 +149292897 +1559307805 +1048512322 +1646930106 +1099603419 +1294409974 +1423299597 +1411848474 +609806916 +1684545397 +276495068 +1340130587 +908889866 +1834528706 +1936766196 +1153319305 +1495662101 +1627834719 +1692829732 +321092886 +2079548920 +1590768447 +109269874 +940907917 +466356143 +2041319236 +1585853129 +968802790 +368277772 +1575937258 +924370032 +517570669 +987761415 +1972882355 +17017127 +2087364834 +1119808681 +1440316725 +1351729660 +1729615597 +977378474 +1628224728 +922262536 +1886268340 +1315269787 +711545085 +892103997 +663448240 +191896156 +437450081 +984541126 +123961428 +2028218529 +1093811000 +1064869345 +347091024 +987646589 +503238826 +1315893814 +1355924361 +2079176084 +92780199 +1873495031 +919453851 +2065662554 +1890512158 +859335037 +1037987587 +1183345235 +63581050 +620119537 +13240061 +1691805778 +1542382073 +1899508401 +859591917 +106443510 +644128750 +1523040157 +298339666 +1081578832 +360097635 +422301094 +962313713 +1453908636 +1487170440 +1309404737 +294071577 +1990409266 +477814904 +1649995938 +1922101703 +570595103 +1376007321 +694071906 +488774009 +1119035832 +1553406944 +1526761596 +154897419 +1616987994 +2146881133 +168137481 +1161310124 +1541779559 +2067645882 +2020902042 +1648223069 +564290985 +1396458551 +1946562736 +1645869817 +1756556187 +221380182 +460699882 +1062981175 +1708550622 +1770104619 +1357052752 +1551476241 +100435875 +859565042 +1326094296 +671030978 +88088716 +2020166202 +1159804987 +1207124548 +1426089498 +539082936 +1362021967 +895593844 +538480421 +1530159448 +2056903969 +2080259980 +1450321683 +1930322363 +1580999402 +2014612668 +1179297266 +1380078490 +1512998837 +788369805 +1601458672 +1973698719 +1851350980 +1162525647 +1596319690 +1060920084 +566518240 +1696755566 +1920485127 +1892612536 +220302896 +2008573843 +1765295090 +1380107884 +1068214743 +1043900941 +1919190820 +282753062 +1939494785 +310187593 +1812912511 +1848915106 +242963926 +1115750546 +1631753821 +1823963328 +982879566 +663567440 +1056558170 +348394755 +1451937245 +510533194 +174609826 +1155804578 +1673058841 +1770929516 +69241014 +92093433 +1320201434 +1989726141 +1984705969 +1540504331 +1850816336 +1602517412 +773128567 +771547431 +498934705 +544835739 +1054300494 +290945842 +855023332 +719729357 +2139860949 +1097987258 +1835479903 +1624131122 +774466938 +670875821 +140214914 +1831025108 +1019270576 +1592152160 +194074655 +1193880402 +600473090 +1867133496 +817326270 +669714104 +1959226930 +2137527705 +511956598 +1796449251 +1530548388 +215289286 +1251483015 +156193307 +986836718 +1750417720 +701029046 +2041137212 +2041363563 +1556052378 +613382921 +2033740864 +506555989 +301379176 +1510388338 +1281022927 +972254997 +1650603253 +964564388 +1991525573 +1095271765 +1158639043 +1037922327 +1695744855 +878288891 +1855248597 +217975311 +690032173 +1845292654 +729931909 +338997777 +1228357394 +945221196 +1590480792 +1384550701 +1932057914 +1193414865 +2085579747 +1825711478 +1087294780 +1494148478 +291610751 +973551996 +2000704467 +592989927 +336456686 +1134243746 +1565244924 +1987059939 +2098808134 +1409286849 +934848056 +1109963529 +299725528 +483109263 +1988252421 +7490477 +701084575 +530800946 +1852783132 +1431016484 +869798723 +933656878 +228754032 +312795868 +170723932 +13328298 +1506210733 +108820031 +1839039776 +446021865 +1602968509 +2130650527 +1419573861 +1456189328 +576156806 +1756030547 +442949427 +2141401730 +1595606839 +394273913 +1403204931 +382971247 +1504237443 +1702930459 +866080511 +1345006216 +1710420937 +1567165086 +1875807162 +1415720421 +850697922 +598122238 +201893651 +1079451955 +910918106 +372617583 +1092780253 +269645191 +481437615 +784336382 +715667056 +2084406124 +767503261 +2135240917 +1393111805 +1343660068 +1743787816 +1836061232 +1337578150 +1191911007 +82851497 +593299434 +1574882255 +1587088940 +148746245 +293479118 +784611508 +1859167182 +1860644204 +512935023 +1127403955 +563858478 +1111057261 +1329297607 +1643310433 +2021975367 +1701915190 +588607039 +144136910 +35869157 +1372943421 +859803966 +2120275282 +2140446682 +847561235 +1365903439 +1336623102 +443865403 +1054481023 +526717605 +1635776411 +1137332520 +1120017039 +1063175018 +576937813 +1268763284 +1356654136 +1361549321 +980446819 +1069814692 +1874484344 +2107850774 +1633673170 +838057957 +1289664733 +1129499956 +712549676 +844096276 +1718106995 +856686586 +879965433 +943566768 +1716490552 +852757067 +936529802 +416568139 +71176858 +125669257 +860433543 +1125657881 +652386862 +348726306 +115506754 +1772403901 +1411901324 +692444567 +893683537 +621071812 +2053993888 +1874130356 +1690886504 +1780994585 +1834497483 +1177076026 +471568894 +976678568 +159092334 +1184118571 +1820774844 +1877199329 +2040805157 +553256630 +673282449 +1609812062 +1406013697 +1609812252 +2026380201 +1477190556 +1735481509 +739330096 +455364789 +240384723 +1088056402 +570871543 +2012788624 +352474078 +1263316110 +758988513 +973545890 +1169826351 +485635222 +516948746 +803337288 +172649057 +1694024773 +1274906182 +1149327625 +1853117107 +311541105 +822618822 +1582832789 +204862615 +1375875452 +108631590 +1814674677 +634405501 +1718443842 +1693571230 +2111596057 +1306441703 +285417679 +419477199 +1546826426 +1373474081 +990348742 +1412131402 +1725948160 +106181205 +23636268 +552010402 +1276007556 +509271490 +1068959149 +2079344844 +681920547 +615500274 +1206767378 +1831248172 +321133733 +1518308484 +506383346 +1903966522 +1723171099 +1882258798 +2012598113 +1390362128 +369180652 +1583558307 +936449710 +333293061 +742516363 +1221867389 +752770260 +141859141 +447857823 +1743119003 +1553990544 +26322335 +1849300208 +1577626812 +578332737 +977824116 +2086898302 +1647291886 +909685312 +621335201 +115308512 +2116452690 +305099725 +436442246 +1487277526 +811483072 +192925120 +1062964977 +546258222 +58039585 +305843457 +915438874 +1641597893 +1242293168 +1248731936 +236630608 +316676909 +2001502196 +378489749 +764534732 +1597137551 +1932480293 +790857067 +1298954111 +1362623457 +1369189805 +129294579 +1302038111 +868998043 +1038979891 +1923373312 +984306556 +1007948934 +80989390 +1420748802 +347742812 +892472462 +1613673922 +1410707790 +1438730684 +1671713508 +1716551247 +206685911 +1165827753 +811360767 +1455417847 +1402458361 +1128037677 +1309436395 +1780948110 +1892572409 +759090299 +1565944756 +535945829 +2058044410 +781084565 +1905135634 +39855342 +2083122677 +626650029 +1078835233 +1859012341 +1610956585 +2086784167 +1940001731 +884221739 +287043332 +684990545 +350412014 +1697751122 +2123721230 +2022125522 +1266818721 +182923493 +1040469627 +2078179489 +1638341340 +295444340 +1058733518 +800294087 +2076392450 +803822279 +1559384386 +1494853558 +1339768108 +1469945149 +128454476 +1097420094 +1509800491 +64093505 +1724070124 +441152076 +1923105846 +1187543061 +380452596 +1715623930 +2071764801 +667495928 +253130827 +274693167 +217763402 +229368409 +149335041 +1484582123 +412291902 +1189804668 +1415277964 +2050633242 +1485249008 +326527834 +703443682 +1414157810 +1130350114 +115344420 +761527721 +322634574 +1585289569 +889982197 +1420054669 +947606412 +954075702 +996641145 +1388758489 +729697900 +36700558 +1769211085 +297838182 +2108465359 +289223365 +550969010 +235674878 +506986767 +780337419 +385009919 +1991568890 +1192629322 +1574814587 +1259363207 +1095778916 +912579947 +1585891041 +1799222598 +179254110 +568757507 +1914567019 +940781831 +891392082 +1352372940 +1830764028 +163963103 +152495705 +637356082 +1160604248 +1541254194 +1367053982 +1197304806 +1162981631 +1664892165 +1158286518 +1452204996 +68377527 +1393961396 +1959191763 +848714946 +1778971316 +1803277005 +2041344268 +1206302255 +915156564 +989639537 +2118882203 +353563958 +641378487 +150652665 +922321465 +408461858 +1091434496 +1813713547 +1760834799 +774714876 +1977676650 +1913330504 +1412070958 +990797250 +1307101050 +631641292 +40618409 +322599033 +149049809 +1198904927 +1774804029 +217427336 +445382675 +1586512144 +1066142283 +76870343 +1242305501 +960002903 +1283172599 +9978418 +1949642440 +1254571154 +363542376 +443537280 +1405223819 +1285863841 +851999138 +349174667 +952093741 +465350289 +1123889543 +782286743 +231197145 +388476853 +1773083994 +1538298195 +1020118145 +1813702403 +1860897228 +1169167955 +865123682 +1488217609 +1386595291 +1310506357 +927246105 +305253926 +1387376701 +22067959 +1265256830 +523065652 +32046377 +1067415622 +1777636806 +395588753 +1510952902 +1035376977 +1681452594 +215468393 +1384551644 +486062687 +680818682 +360957539 +1268349431 +912015828 +749434392 +893949777 +302830375 +1769552537 +560168532 +16243956 +791236844 +1425292214 +1504461565 +30348488 +588314923 +284224023 +335602414 +1975691624 +306291982 +1600859244 +351273628 +338338359 +520791219 +2128910434 +733927112 +2031744121 +1016803763 +267896058 +99728866 +253871759 +753958746 +780547549 +614829298 +2022308177 +1692563377 +1364263690 +768774306 +1995393752 +986332580 +1328942838 +2011637708 +1777569424 +606751404 +1368615626 +1807917912 +1195066327 +1652839649 +2143520327 +1023274304 +1959131631 +1596895923 +1374547932 +149986342 +2117687142 +1355974719 +883913454 +2001947616 +225294834 +1151809512 +2101676482 +479166594 +1905768258 +734740383 +1093995892 +1780592787 +279820112 +310775935 +401883445 +127730217 +1297108515 +1730826283 +2139367925 +927194291 +190094039 +1360499903 +587628556 +1385160367 +865855904 +583665235 +260951023 +677503887 +33077510 +1635498955 +827490229 +3281005 +843990026 +1711403683 +2005228621 +1069284861 +715729548 +1959421455 +1548451455 +474014158 +546678191 +494963699 +107123298 +826498303 +805739634 +509006743 +954228520 +2102848149 +92349379 +946112798 +882558793 +282443418 +159129053 +1470187349 +1667603785 +1024984958 +2053852584 +1928554808 +1702488845 +2086930094 +1416570116 +382495427 +2090211099 +113076494 +2093899110 +1947956072 +1182361355 +662145010 +1759893880 +583329162 +1136159169 +159088423 +1078292862 +1243282467 +985586726 +1884032496 +1752289210 +1939815247 +1839396998 +1844638589 +738444397 +574472143 +2127082008 +897573450 +2044659492 +1647202145 +1922558408 +1951028428 +1428273306 +1477563606 +1890474874 +697359774 +1860059033 +1833202326 +810436268 +1806474495 +1633674750 +1992797624 +321135858 +1246084982 +428643138 +1457295027 +1405173405 +1506936000 +553093846 +243276484 +1243484849 +157899408 +35608083 +935398199 +2002537998 +774052480 +1509870342 +1982136358 +1671625930 +1407046186 +1481854855 +1446700691 +1210590966 +762644513 +776780649 +953582192 +1460004287 +489356034 +639300870 +122956908 +148346881 +125491973 +2115754532 +469482739 +1371576955 +396914022 +1926777766 +629266713 +1903850023 +332387964 +872543197 +999851224 +490287373 +908151280 +1935249423 +345341723 +1682203760 +1297636117 +179994433 +1206346042 +557198655 +1661849288 +505563085 +1767789621 +277010154 +1282343734 +573888165 +1737014441 +1771699768 +1213189036 +1859971349 +1920046650 +1338681009 +1828242233 +242045741 +562774316 +77672608 +21339860 +1192041029 +1981522631 +353727824 +2064584226 +833890207 +844015197 +825251858 +621655982 +1189356920 +359971970 +1919292099 +1369351353 +1566318013 +329007106 +883716994 +2071881098 +2096796727 +1160727148 +1206741185 +523201244 +750257941 +830957305 +1736390280 +462745643 +603520307 +927587641 +143504228 +845566049 +1490361958 +221176836 +866905909 +534919339 +55215819 +1220633733 +452019918 +889106026 +2064648931 +1277271776 +1510762008 +1106522203 +1637243747 +1282570459 +328389909 +1056078112 +1611577565 +1212106903 +980475562 +1560890644 +225350403 +39733099 +2084091889 +975608344 +870690405 +1672998521 +1438353987 +1474210712 +453102515 +1581858216 +172293113 +1943464473 +1803035052 +1039199022 +330900164 +1858250872 +112349108 +782920082 +599873250 +29514391 +2060191859 +2110635259 +1136036594 +1549951958 +1245722070 +1464426503 +458546422 +709815988 +529049758 +1439021984 +123222984 +754400161 +1478755084 +59831225 +1730008506 +201961841 +1732829747 +1020878845 +1676172553 +38448614 +455253413 +1848465667 +1981913087 +110804818 +740181041 +165329603 +1969055690 +852530149 +948249686 +421445292 +882044540 +860957897 +384596903 +2018081135 +263426207 +1630318974 +1335023990 +721972629 +192651314 +1864073749 +13510965 +315874298 +470990262 +1492266049 +375705524 +53515120 +1694227890 +2108535271 +1074393966 +1222916796 +2146983885 +1529647379 +923898815 +1981413324 +1640452197 +1664079856 +2146742927 +1462024239 +369126358 +947508965 +1883469532 +1251170898 +1808466862 +120582787 +1121768385 +2071893069 +1750901761 +309308728 +646382050 +1943553075 +25898829 +659893016 +111943726 +496889091 +4675417 +487649250 +550404212 +1698903308 +448700873 +1624798178 +774336456 +448201110 +1006961909 +1698235271 +282130786 +499930459 +1214831479 +281390065 +1961954698 +1583957837 +1228899031 +1697940582 +687645088 +889882245 +1818523370 +1809413473 +814291667 +1421941483 +2118722201 +1460673717 +1218010911 +2144621030 +2120566733 +1329954637 +494026474 +2125242151 +1817603887 +1044430686 +1676661811 +118821112 +521745216 +303514619 +567022222 +1528707125 +2001749890 +849153008 +2028637584 +1069097721 +1130543073 +1843108635 +505571911 +211958456 +1393565569 +1193216999 +1101840702 +1064605291 +855146824 +1916132369 +339063127 +826385378 +1229322438 +1557074038 +823522760 +1202405524 +739545027 +1317549234 +1180164027 +409665266 +214496272 +709342190 +528486378 +736241488 +1012856809 +1095508600 +117464966 +867123051 +1944661608 +2146102550 +1936220772 +927721033 +1841727537 +294309035 +1139679490 +1087809459 +1487526034 +94036544 +4931102 +195189211 +2010168913 +343994229 +1021574589 +1092007703 +1901068267 +1845097349 +146929579 +493129646 +1015162936 +1327093606 +902794912 +1229659208 +2036435796 +1431281290 +1965900697 +901808957 +379306242 +2083365663 +1768932008 +176484202 +2081984565 +1557669133 +1104205236 +1776228455 +1851978168 +96401078 +716554266 +1192020555 +190437622 +721485368 +1387209766 +53122887 +1065479598 +261300707 +1145130590 +819064217 +2106398056 +1292060170 +1312193864 +974077344 +471670128 +67505128 +56252905 +360622277 +1498786419 +2022153602 +1262431234 +1878092661 +1958035617 +883879595 +2054576864 +1892536534 +294065080 +1011298452 +1521281341 +2146043248 +1107699530 +90351959 +1190580155 +1298137152 +811837328 +430306273 +1351260039 +1877316926 +691606980 +348906981 +548897495 +650521389 +1640967151 +1861091359 +1624598733 +2112637280 +1928596488 +1680851638 +325775909 +1279899259 +1555521592 +1588207143 +1010508272 +1366073561 +324603090 +917601488 +1111126448 +618668170 +1928899940 +484924141 +617227771 +889115822 +575276101 +1807807926 +39769326 +1387113429 +90630552 +1391029365 +1116946707 +782237532 +1739936347 +1665844202 +1432758921 +1233419850 +1379451914 +909874007 +1198573482 +1160564754 +443241997 +1524349391 +292980365 +1998763590 +965072887 +1303488637 +1217353503 +1289675977 +73606478 +180996303 +1908344148 +2002506418 +665920445 +378088271 +744138593 +1241196546 +38412549 +783907919 +480826327 +129043101 +27453637 +1597773034 +911280634 +1767389984 +1116133588 +196555907 +853326186 +348101854 +1106429914 +2051899669 +1508666608 +1549671912 +1428765412 +1801646973 +1400951854 +246354651 +957651963 +470821709 +1536030629 +1031258441 +651818013 +1296891129 +886281211 +1317738458 +1674979400 +1630419804 +411451356 +1713391949 +266844076 +892277683 +1842435051 +294297713 +342567069 +606232037 +2061687697 +1458700657 +802787944 +767530235 +1806802512 +1909217859 +671946256 +1167985472 +1311406123 +2100711669 +822148798 +564874329 +199582672 +1779800761 +1035696038 +1735613301 +663575554 +1687514051 +885020782 +1549856765 +857768861 +412516534 +1032792922 +1269220217 +2125908484 +1299636998 +14014252 +1820859887 +1593934711 +356581321 +279608276 +1508138760 +1815281979 +1082396220 +128185347 +1474600843 +844130431 +800131604 +495102667 +8052906 +753359625 +1317251465 +572927235 +952942297 +949568578 +1608623274 +541071951 +1613144132 +1148653677 +1426092733 +1015517250 +2006422539 +1838609268 +2048310172 +1128159108 +1817034104 +1200463522 +1142173361 +1490410343 +646914585 +1498754682 +1770018619 +7569697 +1166553013 +704931191 +135755044 +493670208 +1549061623 +935886648 +988772876 +1557114529 +1689246273 +158540693 +2130041765 +494704923 +1108109272 +1591181391 +1035776874 +573769756 +592351420 +314385959 +1589287006 +451290311 +5511579 +1490113530 +1579449420 +1822545683 +543093404 +574139133 +1165472378 +1190007989 +2072893815 +788007349 +1197577686 +1091963181 +1492938541 +1333332731 +1585633389 +894516516 +121735731 +426922617 +304147397 +1810982005 +585463311 +286705514 +158203280 +1693572583 +1877886905 +1193980154 +119858691 +322754678 +1508366113 +1709145698 +774044989 +1513877693 +1051775580 +206010761 +1188939728 +1594868985 +780149894 +206928459 +637393326 +705560062 +994935808 +1834971013 +1797523243 +340390701 +1020820096 +1235672984 +1234907217 +1142555827 +1662595602 +1539054615 +806054184 +100575265 +1825760129 +964257464 +1794147848 +1556163387 +10753970 +1914006539 +1878918065 +1519120084 +1475668589 +505479406 +885514129 +379960522 +711490168 +2074453857 +1974829507 +1491640062 +133898668 +464739185 +49716476 +1128834477 +152226550 +1847239719 +1469225178 +1173046646 +935429056 +556648748 +168118826 +450541010 +2095703363 +974173010 +551116275 +1773979844 +1938430475 +197780475 +1182659583 +1949184445 +2111787014 +914094000 +1320820881 +1439971956 +1419573407 +58851362 +1819932478 +2131063575 +2133305220 +1647278337 +1475219989 +119720240 +2112017522 +1524936466 +1248554717 +116760425 +1224692537 +570296248 +1289807071 +12637945 +1126944996 +1457925897 +463178955 +1075164711 +284615260 +1014295230 +701660907 +75562087 +1212075705 +1884320491 +2024746532 +1176379072 +650930843 +1198083766 +468867380 +2070504250 +1256935128 +141316210 +2054084177 +1242756700 +1788594547 +1381820519 +1362476941 +1753128421 +759273337 +463548010 +1869888846 +1983965874 +1033844258 +1012212270 +1996603820 +13305606 +322654519 +312299127 +1088470317 +607269779 +1326594358 +1790131225 +682831866 +391186415 +1526968068 +560094751 +1567565487 +30415263 +1758178517 +2036432867 +2100919514 +867629997 +30265429 +2007520043 +2110386698 +1818859976 +1241856914 +1325379991 +1424504750 +2001130251 +1788928001 +1146909948 +1837612478 +675288612 +11638570 +1686732650 +688594218 +334293090 +1999031777 +1777064536 +941562869 +1178142487 +1419712113 +1624394736 +1569328903 +799196533 +37005839 +989410742 +829611796 +1795184356 +878359962 +783047662 +515330705 +908625391 +643084058 +478233755 +580001720 +1884940972 +1803613746 +2004506470 +1738587576 +1445058100 +1003932770 +1428716406 +2120346712 +1015571341 +967965408 +661457282 +1349864431 +819513537 +291038170 +143943652 +1997656025 +1710750283 +1768338388 +1419501280 +362463168 +1805344227 +261428374 +1192074965 +1453044935 +1139788336 +1975122627 +1968375641 +2048413728 +470723037 +299125748 +480931800 +208180362 +2102739495 +337954622 +1946767938 +1400313947 +1341887392 +1228000696 +1373177011 +209975085 +48482456 +2034634293 +1559839516 +867995993 +178188816 +1703783169 +718168370 +1888939099 +1324637909 +2137669650 +103918620 +982498489 +251614377 +1295993585 +288059776 +1391402713 +1123632564 +108951769 +1292332793 +1594355602 +408077518 +1773264593 +1802535964 +363333365 +2111219215 +1601820254 +1763647312 +1305622960 +682337302 +989340675 +1515598045 +730819758 +876491320 +927953914 +1598815751 +1054680136 +484253435 +169500474 +796135588 +1808891344 +159686476 +900054208 +643906185 +411300853 +48564145 +931965962 +1802703567 +1172196709 +1040917731 +947552712 +619068663 +1448995249 +573333658 +274120979 +1812328614 +537069225 +1875941233 +1428492278 +1842692185 +410794887 +270349305 +1210806583 +1141614645 +1146840626 +2138760497 +592946749 +54037114 +475530284 +762447223 +850172702 +136937980 +922133699 +1750226910 +780844166 +1333434553 +1798791055 +1712810128 +988654472 +823504117 +606244211 +1936207184 +1442572780 +2055239461 +362057194 +1716693760 +1720084427 +899126420 +1445151345 +1001093058 +594334957 +1855946233 +1271442363 +1805141540 +850077230 +270799341 +1796418389 +1443023979 +324836456 +124465025 +57987554 +1175009158 +261403006 +980121254 +777752421 +1042247172 +166072159 +429059828 +607573652 +1154726631 +1252563945 +1213817863 +943450167 +547653078 +1121573676 +1305507362 +116863190 +694174456 +57150134 +1562014535 +1695267514 +651485091 +1270477120 +819226229 +309142984 +2120554351 +1090025571 +2105561373 +1416094682 +1414862027 +82542751 +1474082237 +442387537 +343945757 +306719843 +1220139958 +1386192929 +472792002 +1649199787 +1993766581 +1627518633 +754280084 +1060100796 +423485152 +1301933162 +34190825 +1728992514 +1418796352 +728365281 +1786142648 +833327240 +276149147 +290144092 +2103804360 +1095375376 +599287076 +2076875063 +37917299 +557364801 +1345486098 +1452779326 +639907552 +672084687 +1895166864 +983853309 +978804530 +967823174 +222562590 +1451596532 +469539313 +68845523 +931631517 +1223819398 +1128946320 +1355116669 +378268912 +1163137145 +936625536 +1797065265 +1891502426 +575284536 +482908857 +20167925 +865428628 +439229569 +1115543301 +1464715704 +368620985 +1153460601 +2022080506 +1714107083 +458756279 +514504410 +238708122 +206439495 +1498357720 +1217512652 +1174262670 +1720920310 +521625536 +1643801983 +1789765834 +1453257053 +720137733 +771228506 +660890074 +1098406646 +1934365651 +1597515610 +747988263 +1678384429 +25316499 +1230897120 +1698552354 +890745127 +1670126689 +666612007 +207977184 +2038747674 +1820072608 +82574042 +1605371109 +131345240 +597078452 +1844079231 +337784735 +2095436172 +914108235 +1512047405 +1668872835 +1435733771 +1008365741 +1311155021 +741507176 +1728503474 +2082383527 +1402397251 +679426472 +1869265530 +852429213 +1427414735 +1400166311 +877745712 +510828207 +951235017 +1768490840 +33471249 +1617847024 +1976468024 +2072218923 +1290435985 +2059042066 +1530106385 +1421781225 +508636870 +1226701968 +1759565960 +456589395 +2140810204 +1124129718 +2125462230 +1429060327 +2132495459 +1289133603 +23083856 +1713515285 +1224033482 +1425481107 +245458110 +945815364 +130426672 +1672872845 +198498027 +1008172385 +36217405 +1149733044 +629179577 +69688654 +620096420 +458163953 +2141907577 +1910532405 +369722371 +1524530314 +1184829982 +878359241 +603748635 +796912295 +1334948636 +597075191 +1921042013 +1312927218 +2026135518 +1906053824 +454577173 +2049219374 +1472085461 +1678610655 +1327216833 +1717543571 +476942371 +1457643506 +1242932769 +675440398 +318332243 +1279150174 +1825173442 +947511820 +1348838828 +297786215 +1405675773 +1343262757 +60834972 +1775398144 +720309424 +1245664955 +506273737 +1324058059 +2042577250 +1841222374 +1921133250 +1816135615 +1006665944 +1799785120 +1574705791 +1461243118 +1701520847 +899307604 +992370125 +881254032 +469367528 +1469312497 +191413890 +1712300297 +2144752895 +509746133 +843966823 +1822442690 +1457257953 +45322003 +2120228905 +715450078 +1388584760 +33580229 +343364574 +2108894184 +1279245184 +849638312 +1285468595 +1174338786 +543377038 +1059118197 +842990753 +1550042982 +711419670 +270212896 +863802452 +265456869 +1169520501 +1856172578 +1146710901 +1638888029 +1178001427 +1338124792 +1203704678 +1175270674 +1847870925 +2047671501 +850229716 +1157645231 +2092993504 +822974973 +1873095309 +1334094616 +856555203 +68976236 +1295505153 +2135800387 +918614548 +433490100 +1162655526 +1461991586 +1492608298 +2005646279 +864550920 +56544320 +128375528 +1728353373 +322001189 +1297896029 +1437042303 +1468712090 +789300410 +467560082 +659353234 +1993005088 +1642830756 +359740512 +1893192941 +345576825 +1517385743 +1838702797 +1168551798 +1242997404 +1025313765 +2025107001 +1311973640 +173335270 +2013423741 +83104540 +606825371 +1028595619 +1545096126 +2099433669 +886758250 +262163399 +8494341 +1015133778 +1990516772 +330495530 +165546159 +1280075427 +1799207620 +954846569 +1747635509 +311077207 +800368009 +1242982617 +670817719 +546077302 +1588559442 +40719814 +237296451 +609627593 +1283717218 +1262610217 +487250946 +448207211 +1435945487 +353191039 +531311751 +2042770858 +1381786658 +2076407878 +1994720879 +121061261 +191087629 +2003215220 +1136195039 +34120753 +186227102 +1301741199 +1314196180 +1985434723 +109104120 +914348041 +149028282 +909472130 +9847010 +819846001 +1455549432 +1598406453 +860565815 +1692845884 +60550398 +2144283033 +807972453 +547801344 +445006596 +96434292 +900992384 +976318348 +2139205151 +135295394 +905242578 +1986442382 +256356655 +1096330207 +1842173955 +1392551695 +1130450960 +2028401057 +546809246 +297163492 +1866352132 +655913366 +1211511533 +2015380414 +1565385496 +1221358543 +687742767 +873451281 +672281348 +1548308582 +418813517 +732831746 +1545107968 +1226785970 +1280633091 +1990114564 +1323220262 +34141827 +818949264 +1314941765 +169437221 +1724191842 +1153900500 +425793877 +673038401 +848590807 +1818345572 +1803489361 +729508216 +217671170 +2100652853 +448376701 +873584536 +1164680738 +316273467 +291486385 +238555634 +1004016235 +1164937666 +910836982 +404841169 +1583751183 +1643668729 +1949949137 +663053505 +776818172 +1792580054 +1986273767 +810959999 +464045670 +1153731885 +980397220 +40753865 +160148737 +1406191097 +713792266 +1008739544 +1077053021 +369797980 +1738247760 +1294724191 +322967185 +39140813 +20825080 +1487647924 +355414281 +312311465 +1726203558 +1359430516 +1477249131 +489556892 +1764271685 +913516666 +2133225621 +1566737175 +1576570171 +762560145 +1211833581 +1415360290 +1573520144 +1675879251 +421608527 +406433717 +1716633116 +581757264 +1812624814 +282941735 +1590496808 +742194188 +652739715 +1181260921 +2036918379 +975706900 +1220401734 +2057743459 +315871176 +1575816015 +222571276 +2042074734 +787762883 +1699820407 +384147979 +404550921 +465853425 +369889952 +1971288096 +2042423596 +1132450098 +1035638029 +1310300239 +558486594 +564033632 +1731908766 +964920311 +133183101 +166182383 +630061478 +416124836 +1756679191 +1372255666 +1068864551 +790456464 +1261690397 +2044571451 +2010858199 +1171950209 +212958980 +1439190566 +1394521485 +107550066 +79469802 +946858245 +491698045 +484020723 +1412711670 +861587998 +307825171 +1307651619 +1994038096 +1343463200 +470468210 +405041042 +1907496832 +54893328 +1369961354 +2040679933 +221075711 +2000022832 +309321121 +1977754903 +1224794850 +1378185672 +620727719 +339001599 +1275273476 +484102270 +1510951808 +1488232456 +1923292837 +757989646 +1595782522 +2002762639 +1704847891 +2087480568 +339299714 +970075913 +801584918 +647124885 +130243884 +648139366 +1990588085 +600712094 +1053180408 +1750601269 +655605423 +275658114 +1643797555 +876681134 +128197298 +1953118676 +706952389 +1352992148 +1183820701 +1327680109 +1691993748 +311610529 +1811782379 +1055461908 +1799842985 +1587591568 +1813451554 +1248141859 +1442870559 +1370815797 +1188138779 +1782170273 +193408063 +1989723697 +281811510 +323651947 +490379415 +124915947 +924364042 +1543559824 +1875517217 +1579969465 +1819217938 +1371831124 +309166951 +1947415237 +1177466152 +1016119341 +1152923737 +213803205 +196315802 +697433837 +525413734 +2008098181 +1752895746 +177773071 +1448206102 +1418863652 +1425914931 +743593013 +642195802 +466570062 +378279639 +835603865 +308810112 +660091149 +1159255812 +799189527 +785007097 +2083619854 +195265703 +513040666 +1516105671 +2014483642 +1884871790 +1825272623 +1814415231 +914854294 +693908316 +819855320 +1128657500 +890224118 +1517289158 +1654071234 +750838651 +1122701256 +1831844306 +51561105 +394081260 +1110275589 +795154119 +1036277062 +1576845651 +1173433758 +1871880927 +1885655763 +1833524907 +883653092 +537361643 +471048356 +819789298 +732627346 +984089022 +188411322 +599627340 +721477164 +2013683945 +266558923 +1636331459 +560108613 +1086414244 +617505311 +1450332731 +456219754 +124092897 +53687734 +1578921010 +1955937203 +105248840 +1973002270 +918729144 +900402959 +861795685 +348091148 +2073836717 +586192964 +86263263 +1759877976 +1469846056 +623624906 +83442685 +142151707 +1356252253 +1067531707 +330563029 +1955879593 +1789008872 +196763326 +74954869 +1277856683 +756871939 +1161369113 +1895361994 +59721022 +1617588867 +2019454891 +113408756 +1049026229 +1827908447 +218657596 +874544851 +599153943 +1119060555 +1736340536 +947245091 +1045413624 +175049853 +1033508355 +657807953 +1644895909 +1657133261 +741250638 +1787047616 +865901866 +1808782345 +2117610645 +674297812 +1450307569 +166890323 +749252681 +580680604 +923762262 +1910621794 +328558950 +983483284 +1380727013 +200530194 +1096892041 +282269594 +2028438641 +1315549637 +1156814445 +480108936 +287126545 +745671334 +1427354028 +1332540169 +920721187 +313378735 +1990348122 +418133448 +1970511996 +584115112 +57697417 +688930215 +245413810 +27824414 +1363228027 +1695721379 +194714738 +2112480708 +128918336 +1118477000 +1875618854 +457477286 +2101960285 +1108862219 +658007480 +1051368678 +1391131813 +538962473 +219434667 +400462610 +1019071410 +506561212 +1146133944 +298941790 +1839101382 +2066855131 +612320525 +1681965856 +337504932 +435348873 +118597321 +395202349 +1124279088 +364011131 +423026763 +340023467 +2059732510 +617741501 +305020527 +41167198 +1736218502 +33155733 +498644485 +1690695139 +1142017952 +1156651965 +594580169 +385666117 +1695614439 +814014836 +786128728 +567202201 +1320576049 +1932262672 +866143991 +1012193783 +1851634156 +1478464516 +546675991 +41655440 +1913813389 +665273312 +436857789 +890608830 +1029284443 +859884552 +1230632297 +941533306 +1477626054 +1535652825 +982700504 +1066360908 +1568808558 +1481344989 +609572399 +563342863 +490513307 +1204152568 +949008980 +38644098 +2018167404 +1735137708 +605846299 +1191259805 +1519916733 +1471990290 +55969940 +1224067241 +802971158 +602645932 +1265722681 +569300899 +1267919244 +1702580470 +1459909729 +149720040 +414981374 +543058379 +1091253346 +1892607428 +2078711204 +2073953850 +811484688 +1500036114 +1407815192 +1421057087 +2063378977 +1898328499 +477726007 +864904310 +1936972597 +348409764 +452558370 +395335248 +1539669569 +1972475103 +1867325538 +1595639510 +1049058696 +522813048 +50801794 +167297729 +1092113947 +1318721038 +1869878199 +404540029 +1468441078 +137375926 +947598408 +412210776 +2029983354 +878825964 +338680979 +693984395 +231378430 +1746496171 +2115041482 +147273760 +1497341022 +445283842 +1012178070 +1286829971 +793693606 +1464736440 +1682165219 +185879527 +1289727896 +1402007109 +1781519037 +191302944 +1924820157 +1832320831 +358600674 +869450456 +1003558222 +80995225 +1273990485 +324515652 +218371151 +74105245 +736726429 +100870858 +952931209 +1075407408 +794855253 +1184309640 +674419931 +762413087 +1331583400 +24277305 +1207696929 +196277822 +1311107276 +2001390535 +1661014262 +845788847 +39786415 +803258510 +100312308 +1821305452 +994561455 +2025132465 +1506142636 +1353162129 +747099273 +362217210 +1434157354 +2021089759 +686732862 +1652528506 +2095195004 +1423459291 +1753399364 +900642566 +351383051 +400770969 +2084952206 +1025802982 +1163184056 +1269051958 +1050080287 +223397338 +1465329780 +213703915 +77304225 +978860394 +1059492762 +117090640 +1782118905 +1159805070 +1938396093 +629196712 +1037453887 +1297055081 +1982358841 +1784553161 +1659272291 +1269032547 +1658159272 +198521505 +774077405 +1605870628 +1621980797 +379993121 +359029546 +1973363848 +780764090 +296498104 +851683183 +1943948147 +1565550062 +1901763470 +19861837 +883396194 +2115467386 +97166062 +1862256589 +1027476500 +214256703 +1496891846 +39797923 +5169148 +2126088558 +1077251810 +1302224229 +1960963751 +714321323 +814012872 +1082512650 +224996947 +1012534377 +1856590056 +1830867576 +487031526 +89099529 +42413474 +312911727 +869863620 +338911579 +1164594910 +666328119 +1904461641 +918874732 +686189956 +640374188 +886858470 +783356018 +355147129 +1914334971 +997612721 +1852038975 +1954132894 +1002781869 +1830643885 +883901056 +157522450 +1644123988 +1598222380 +971535322 +579152990 +1823219327 +1984069700 +288259398 +1506603255 +323617578 +377358928 +1549016730 +636529305 +1247222548 +1887928309 +1801124215 +1913550667 +1644906302 +572515300 +452256975 +137796842 +1459373770 +1235612993 +492943971 +1226225093 +85742067 +197499298 +1032874339 +1088523936 +2028143183 +1916775396 +1246046387 +1524783523 +1367514128 +70098061 +2103936514 +1043249807 +2054167761 +244712264 +402369415 +230301692 +622071192 +1951386145 +866830997 +1869293740 +1691830806 +520471565 +1635360759 +1189253460 +1092986865 +2087617734 +1327050303 +404876987 +1175747080 +1819994274 +1631102081 +1261489147 +2017493573 +516492772 +202529435 +1898153108 +285784520 +1448575822 +1275452984 +1653298648 +1518673884 +1231905850 +549064808 +1425357997 +1476618114 +951434223 +1655659689 +2098689307 +755336720 +375007039 +1820499399 +299683878 +895478604 +1308376511 +1488937338 +1988465469 +1248510597 +668503993 +245858808 +276774029 +341014620 +1876960889 +1538263176 +211024545 +245970014 +1740792612 +2109177653 +531754534 +1041884786 +1237146989 +37569535 +413075022 +321569191 +586634343 +1838433020 +1798187306 +1538068566 +1346609061 +1749392965 +145921638 +1721616100 +1422408716 +445605516 +469611056 +583301579 +1934542854 +310592877 +1831812177 +455563200 +556451686 +2108586206 +796577820 +285928927 +1499365735 +1007602365 +531898941 +1092674699 +969296370 +1063653476 +2134559485 +58959712 +1101223011 +400150860 +380528903 +1687857354 +91100232 +31232561 +1078442272 +1437709293 +1780625526 +1224363910 +1011841746 +1055550595 +1669969426 +1481452802 +1638852174 +1457028632 +1792045680 +1323180703 +1912591832 +201013718 +1284283262 +561686004 +486942645 +636165349 +1569288369 +1018841587 +1728840048 +391101092 +2082495063 +1715915885 +450060804 +1036234426 +2116066745 +830589707 +576608132 +59683329 +861822269 +1655050404 +1497392623 +494964147 +731930666 +361750721 +1550514742 +254416444 +1843203523 +1041883269 +1711445076 +1487765555 +217580324 +1476553261 +1688779273 +1501863586 +2038239265 +28238271 +2138028935 +1460043987 +1047079858 +1719385335 +1851145079 +982091273 +1287817573 +153722235 +2018325699 +1256400670 +984311942 +447450183 +1316084000 +1846134211 +2102500587 +665992975 +193614711 +686947605 +1027743696 +1744129453 +941364049 +723463571 +638529074 +505325477 +63745479 +856109399 +1981878738 +1752524752 +210489337 +1872634356 +1780763023 +201034625 +1185194695 +680359233 +1920419960 +888856126 +1662450506 +1060753885 +1042578361 +1533292557 +169670908 +2026890303 +1980742740 +1485754908 +1725540867 +1935759679 +4264235 +1919155578 +475223636 +1032007931 +1515801383 +1416587685 +1755471502 +6846810 +1921913163 +1819216981 +862956209 +1756308253 +1424258086 +1073445546 +1481458961 +1057537461 +1274480171 +519170008 +1737896695 +1047416484 +1408026134 +1252863553 +2108170369 +303120847 +638672463 +130357629 +182527503 +471931555 +1616112537 +1908068370 +260207587 +1620376772 +1679740300 +735431223 +504901055 +1048058035 +4535261 +112888910 +1054904845 +1926448424 +1932105891 +1917861054 +1535273029 +1208880329 +843822953 +869248343 +118934143 +2118303124 +1388418351 +1856830838 +1018235960 +648960838 +962210743 +978922682 +952081685 +1600883206 +1109280311 +1134609188 +2072814762 +577909201 +895193910 +185538701 +50802325 +427450562 +920969924 +555703381 +1475508598 +925505185 +668592291 +382929795 +704469961 +453214534 +153307202 +92259343 +1662094864 +997130155 +961507686 +1781029007 +967949631 +202442389 +1490376197 +1986185592 +851403227 +305103292 +817624626 +1803484913 +1905986499 +1926904937 +790610453 +1831317613 +357330490 +1685804364 +2016856314 +408132816 +2113254926 +790342590 +963836197 +1441279876 +1715847776 +1632428488 +1824209672 +272834089 +2085643022 +1977516874 +365093432 +1600254238 +827163381 +1326601118 +1233799597 +1795113012 +1529043508 +576692146 +1633814956 +232963087 +881795439 +303955934 +2036448000 +640298290 +83377224 +679574806 +324132255 +440707714 +217895522 +193504921 +848840530 +183666800 +983847511 +1812676727 +1624946677 +552211639 +1297621567 +1301672701 +825045729 +1235780942 +1131705927 +1190139161 +688551532 +1958869308 +369256632 +1922351130 +1606498672 +1898300140 +351559628 +1092829981 +2131263227 +1233355067 +1396785915 +2020227580 +1873653357 +1480163139 +552318738 +50301964 +1920870854 +770214260 +243806885 +622227736 +953881060 +1227654397 +287420816 +431344089 +1779866036 +1585042383 +1733016790 +457428117 +673339677 +717239069 +1647567279 +1361891210 +528624729 +2016823911 +1136758692 +2135123402 +1767640403 +1488318320 +1080469735 +1751419982 +574189740 +329772002 +1624163914 +300359449 +1809935142 +28999004 +350661414 +1583322348 +799213264 +594468299 +58066436 +1753094325 +1822122696 +345487252 +36954766 +1454505085 +1930529636 +1769971557 +1911933202 +456385665 +339726978 +1412016833 +1818276875 +868351708 +1281357096 +807551919 +855991462 +901513851 +148386592 +1936461197 +505450186 +722576332 +118749551 +2129614100 +1022935781 +1928684693 +11129457 +1373597195 +1364523393 +810342721 +1968065495 +1422589830 +415953398 +1642704543 +1768077082 +452908165 +949725980 +1551123070 +75396074 +714175535 +2007508736 +415123052 +2126192368 +1678301963 +1283474760 +1260065817 +338370235 +2139466222 +14096020 +486756827 +1928443771 +519546206 +1209333159 +2047193323 +501676659 +84785292 +1828394368 +512806116 +1458382488 +1045434114 +1323148837 +1278964335 +320540296 +1739102236 +774185230 +2088617378 +44526753 +1723911211 +1492256801 +119922827 +290603098 +1352281889 +535045879 +269311818 +883100204 +1818520640 +1529377635 +1221470439 +1810503214 +1543473656 +1708227266 +1591463338 +2063019862 +770076777 +1491173013 +417212873 +854862070 +1172083733 +930018989 +165760910 +70034199 +105684179 +1444725245 +390574495 +1844786415 +71426827 +331708226 +1889313168 +1795338038 +1823965027 +2009235995 +2085941136 +1028763268 +396798226 +207769307 +1911863472 +67835218 +1737146942 +985850264 +1878338433 +1133136950 +546593882 +1322318123 +1048673165 +1316670660 +666007488 +1465886038 +24049082 +1838091221 +248421380 +189809992 +1908125421 +354105559 +1634535237 +151216268 +51408326 +1705962064 +482924494 +1940721494 +1353816455 +159405873 +1802473841 +1292273943 +1188169141 +51788419 +1500043250 +952548966 +119623638 +1089706545 +1938399230 +1997962071 +75359847 +337509464 +1172796546 +1124033012 +1654180124 +1838804034 +442435403 +1678229206 +1529411607 +690856783 +1868039198 +1290053380 +1044962342 +1355090787 +1441269649 +1096370668 +913569204 +1924194143 +889608514 +119902011 +2083600017 +544598707 +1412175954 +1124285510 +596387126 +764735557 +2076834476 +716010764 +1854442102 +1867750058 +566489187 +1929801949 +57775875 +1739285733 +906351314 +1711955999 +1430606119 +1348786717 +1242701558 +812534079 +2039643500 +963257108 +2102587459 +937122194 +170864248 +1396373460 +2033492862 +1084433452 +1173083956 +775617728 +1204335463 +1109200325 +1320216435 +469027769 +86002187 +1916603561 +1233763326 +15353016 +485130678 +940721780 +1883103074 +1051619865 +723040082 +1940878949 +643421951 +1629391396 +1505351301 +2074028070 +830694465 +600569211 +739078501 +722854317 +1563826319 +694182313 +1659976511 +1734690567 +2090555773 +1545985725 +671640371 +1116156081 +174119805 +1875975834 +77872758 +1494336240 +197519956 +163874946 +1263456153 +1431283282 +179227962 +1748586831 +224521415 +2062331036 +652723049 +947561497 +1855726338 +1296145000 +429469245 +1213593991 +1222689422 +1260163710 +1814163202 +1961767924 +1983018027 +1230505873 +508466589 +1495510890 +817712793 +451538714 +894012967 +1489353164 +1567694796 +1068132772 +1217845351 +1645567554 +414985364 +1415365307 +1809442500 +1678441517 +699164941 +1988670462 +1279544701 +923686356 +1903517851 +1932267750 +1871247853 +1611760541 +1080929102 +153233450 +677870884 +156134876 +1413397160 +344550438 +2117902800 +1248931539 +1575056311 +478885741 +596958781 +245285456 +930424456 +1490971748 +1734638621 +350635604 +411620872 +805000324 +1996203158 +826606236 +72881983 +1658162011 +357564106 +772046924 +1499348825 +1637108807 +1695733281 +1255383028 +1421892909 +1419497486 +719659921 +355338363 +1572730937 +1397530805 +511473239 +838644449 +1742081243 +481892392 +2087575989 +1169653907 +960778133 +537051122 +1414939363 +1891202589 +2028022871 +1002094336 +94354545 +292160095 +1807094660 +2090557704 +1118766332 +1879976643 +1601236067 +1476330438 +504539920 +953101244 +965955597 +52789553 +61000625 +240364858 +1472287039 +780660546 +595703221 +897534328 +30707704 +1107176460 +1736178778 +1772788947 +1589068852 +1676271119 +794959206 +402363338 +65838593 +62414922 +146082279 +2093861464 +1064509258 +240436825 +238537912 +724120271 +183510881 +1357304244 +456613266 +1784746948 +686151034 +961153186 +590364544 +1652106631 +1013942739 +651365169 +1892471489 +338746131 +1432025716 +340691062 +1236280459 +1462733420 +1447867522 +824975589 +1088038719 +889452727 +353763060 +1882997926 +1291816065 +419601654 +1945412848 +1437898344 +365979470 +862438458 +1678335169 +604517382 +1586558729 +1861846050 +1961821626 +2043171996 +1499109350 +500489012 +856841534 +2089473895 +5111995 +1870784274 +593355416 +1897583484 +62046757 +2025381132 +90790898 +1298327216 +1340630904 +1538658421 +2123302806 +281185976 +280627500 +329582218 +16700254 +1572443565 +749183872 +1962113102 +862858261 +1115163343 +677067912 +393709783 +1719680725 +116142994 +108072185 +1534018704 +11831342 +1607181536 +2034507716 +868672876 +1549171783 +2039619712 +591973502 +2142527199 +1789719548 +654020259 +2020424684 +1880510447 +1952347476 +1213571940 +1271685220 +1928166634 +1494757916 +1552312720 +110265204 +1511458170 +977272637 +859449077 +1326087624 +1840130898 +1974612420 +2003155537 +86357033 +1546809497 +2119298531 +194429219 +933344553 +2131129873 +1801610755 +820368622 +852319101 +1203298890 +712504686 +1444292604 +1198342441 +354740586 +2098312863 +1071283477 +87767385 +1903176691 +137371770 +1359452605 +1683859677 +1632129686 +764281677 +1794124882 +996104209 +1741554314 +506090311 +174708185 +1434201565 +333219083 +30380074 +1520558598 +1880028580 +2194957 +1714987817 +665889486 +2133324830 +1369114924 +1486258108 +838160284 +424930166 +51279146 +134969240 +1623272608 +406019732 +85798455 +547072437 +493787118 +1988975147 +684444207 +1853239723 +1525351176 +169090246 +470037753 +1171992410 +1165194455 +64108419 +1678082721 +1339902640 +1498309984 +2011301804 +1370282715 +871384935 +1743846737 +1372477672 +438889104 +262252575 +1358318855 +1808004029 +1748510683 +48995491 +85450547 +1799789829 +183964731 +1708723155 +58325913 +269763186 +108311945 +552113031 +111254685 +792756152 +257869107 +1636605862 +961846398 +727906860 +661114624 +2127040853 +792015279 +191713698 +1319459846 +142841616 +55531854 +542258913 +1014226551 +1799378591 +1914736585 +1453115655 +2061631166 +1125571792 +1113636036 +1662658201 +1174567283 +1199086584 +1314964382 +1358532014 +760326091 +1373290296 +1628295201 +868638036 +1925403327 +1739549886 +1661394189 +35788786 +1228672100 +475756939 +763695646 +1889786725 +455314145 +1555710926 +2081500423 +1774773991 +1698552542 +2137032277 +169549256 +565295445 +1788927221 +2084285841 +2018411100 +1703074739 +1062373986 +984563489 +1218249293 +89457621 +36166425 +385730027 +1447989636 +796492516 +1759020323 +928801189 +1665130553 +1536940003 +520867427 +1179041094 +1572728789 +1749539528 +1654798033 +188940788 +1491842605 +2110112178 +1744651714 +1425859380 +1737402521 +1295720608 +1415408009 +1906951777 +1861016053 +1056851582 +1843753971 +1731943505 +612442674 +758644309 +569023346 +1830691967 +848101930 +605189771 +68938346 +148607918 +1401682288 +1827958670 +1077409107 +919329193 +1217415025 +1598276535 +2098370287 +642660166 +1200332415 +1605684672 +831600954 +544691372 +1568313203 +428769020 +1970550752 +1158232076 +1724489628 +1238475113 +917700206 +1438022033 +147843048 +613970529 +1022481891 +760285722 +1372614838 +1591505237 +443494041 +73233120 +49211361 +512432387 +221841039 +1450893649 +192907409 +1299250146 +222739194 +1410322434 +750043033 +173625833 +2052982601 +1950375448 +1779310505 +737099907 +347583172 +1200140060 +1165868928 +170650276 +210888489 +742874908 +1409125390 +1128588695 +33413294 +1556968438 +1742559224 +1055895185 +169770512 +967690414 +499916774 +613264553 +1040923534 +549128135 +1125696940 +1262764573 +2000021784 +1318604350 +414531072 +75277330 +581443136 +1164574105 +248903163 +486942089 +967465906 +2028213669 +1224041997 +1315049078 +1080870081 +242427277 +1485699355 +1291758570 +985302185 +747341097 +272863617 +1018715479 +156825887 +2015422841 +2074610664 +326596399 +835629607 +427043791 +939860952 +1876553142 +976171926 +2065557892 +991834067 +828710063 +1236678594 +1406365139 +903987393 +1818121731 +423455597 +1152890557 +157580172 +1390921503 +1033620578 +1381622169 +558486933 +2114490659 +1624049446 +2044186288 +1258765582 +461867984 +644043737 +1531629199 +1480583463 +800869624 +1399568393 +1407710480 +1127466023 +87714352 +1834754271 +2067326975 +1964267494 +663442549 +1985401220 +808617914 +1492152612 +1074596166 +67499405 +248656358 +745234249 +490955002 +1401546915 +902814422 +1881876505 +287683845 +136952943 +292879791 +254690856 +1761002390 +189582431 +1513456438 +75386726 +833626169 +897601990 +1555970189 +1634495793 +149686735 +816197021 +614478169 +237401087 +503467644 +534321496 +54184934 +1166910194 +372239068 +862802848 +511579158 +1446835235 +930302253 +760235516 +44585836 +1421257256 +14298783 +947400258 +1155650113 +301982628 +1084353202 +1448529904 +556673485 +697871944 +1638112336 +2070129923 +773258670 +324254857 +820248265 +181745211 +1958750650 +969935000 +997942233 +425745171 +1207336088 +1501409877 +960066668 +1261521022 +520836423 +1332305736 +2124323870 +1032415582 +631657323 +907142475 +1792651098 +676243160 +180916083 +1806949882 +1623643418 +1336566197 +2108932510 +560512972 +637612453 +518122347 +1258384916 +128241141 +440768623 +2031643586 +452495998 +1261016888 +65905150 +263763001 +83468241 +1063847383 +689508172 +1290804329 +417773612 +1649574840 +404841703 +938610036 +834396929 +381681925 +1971025618 +1466054252 +1288824400 +1616193068 +2142297412 +1469740484 +1275659302 +1618457183 +658823033 +1237108165 +31486507 +1296435486 +1755230512 +1289871424 +1424676628 +48515487 +1174031362 +1877172626 +1309532376 +1239936512 +2140935627 +1393000617 +156300247 +682960152 +536321298 +574073860 +185051344 +941163001 +1512683896 +1019448273 +1322844926 +1336225866 +338018878 +464185678 +804935286 +332832642 +1933926162 +2080594589 +1951289825 +445265547 +1170219106 +1982776333 +1741701034 +777965970 +1125164109 +1018894014 +826481458 +151711823 +748582992 +2136013834 +1391648336 +742034972 +1381530803 +1547948583 +1424995124 +1917852101 +2122022443 +1610046468 +711531454 +1487222691 +482011094 +2034376380 +675964909 +820029972 +351078410 +1480900196 +1152862614 +137520925 +1414011137 +956668792 +582786472 +436746595 +791961477 +177003858 +1214712565 +1917125586 +1195897872 +2041194023 +2068837409 +1944480865 +2029724209 +1313002097 +539032189 +1263771364 +713467033 +1964027313 +1034139817 +688005828 +1426590133 +1745671271 +27744872 +1908601227 +1632564003 +703709781 +581147551 +1983642414 +37126329 +1734010166 +2121163339 +1451137466 +543195310 +556466163 +1887884061 +1335156787 +733470022 +955112979 +1104798725 +1929367894 +848823354 +1026152486 +1726365111 +731063916 +191670936 +117913652 +1994835280 +905137969 +2081940965 +881491450 +1593143797 +1361047451 +479679073 +1620888669 +1122165030 +2112243077 +177114803 +1703312582 +1948401843 +214241132 +1289839100 +1922081534 +1665378599 +1833034410 +331064049 +1405779012 +1020707549 +1064534071 +213408343 +2125506274 +846418318 +1062231698 +1004175112 +425299781 +1793295614 +1195846048 +543213434 +1640647246 +2100984017 +477670751 +374655048 +1546644167 +1838718202 +854334122 +1020049188 +813399585 +819093551 +1197163991 +369228519 +620011746 +1411405124 +1659067619 +394609632 +929300075 +1344618381 +725673681 +187595439 +217842282 +1790207753 +401003783 +195864908 +489142423 +1463235481 +1200040020 +914442204 +1109047447 +248402421 +1457655638 +602211045 +201902790 +1935326390 +976866094 +1748546957 +1626560944 +1831200216 +621112498 +292476881 +502810119 +1818276489 +661705400 +1122821865 +1082197965 +173289371 +1517431497 +2011498040 +1517907752 +95621530 +51609832 +1735750034 +1885829283 +452613615 +1931614942 +227488058 +1915849096 +984171315 +1141930263 +877412895 +1232573736 +452102253 +1479623940 +1434476526 +239944995 +309006386 +1035539836 +1866505940 +2140206602 +1656652334 +11499173 +495533073 +1327445175 +673204574 +1618354938 +262159493 +846493945 +988302787 +126173885 +216918050 +1083924318 +177783717 +1952668084 +822269953 +630397332 +1736799379 +1049758012 +398762780 +573487046 +44204627 +1276175675 +1806060782 +496306880 +608315968 +1093053660 +736251876 +917322354 +2128593496 +455274168 +910045309 +1637762182 +466773341 +1405578382 +817723710 +1139977915 +876449673 +1079883203 +1986471861 +1864752460 +1206057088 +55906263 +801193130 +1383840806 +2008574347 +1623463084 +2014238138 +1597890078 +525737448 +265517271 +23893476 +569942075 +1541692946 +1829954258 +1066248955 +2525266 +775524271 +1802500831 +919847621 +756634119 +110291351 +1829892930 +246912654 +577064693 +1087987664 +1064636364 +1717042608 +1964437337 +2144519567 +1556030821 +1681706150 +1203093007 +1611937084 +335415632 +439450165 +1473027784 +1958878716 +306204656 +923434214 +337132516 +571721927 +947327691 +907074591 +2113414873 +629798301 +1973323547 +2115940140 +1405322572 +1628340730 +888304113 +14473044 +1738632082 +570713395 +261385698 +168213127 +1658701059 +1326022062 +1885255735 +1475654749 +1323057981 +1293802909 +1009877251 +378667340 +758256345 +1345292883 +818117506 +83800481 +1156687952 +1124322162 +1007234696 +1493820468 +1696044089 +1954562387 +253411412 +1661975314 +436877040 +79251311 +1630431806 +1842199613 +1707592041 +371252271 +1856672657 +1298740475 +941965666 +2118058355 +1466953602 +453183078 +1296596769 +1204725690 +1928837827 +472171102 +351044951 +791231430 +850838442 +1109301296 +2136524313 +1668955948 +1193101778 +1145728617 +645794462 +52852826 +492065438 +194354903 +2007415213 +745476850 +1856330218 +296808605 +824728161 +1339278376 +2139008218 +384836554 +1710530648 +1848197227 +1683577030 +505012666 +1818771934 +1003046984 +958195744 +967885055 +60289026 +739549923 +1440056157 +411333977 +1530781353 +143410952 +1520635274 +1519822019 +1812366900 +566253404 +518066988 +310677715 +619106230 +1010132426 +505032618 +479037795 +1755609276 +213879188 +775846400 +432853789 +1553157565 +767370971 +817690344 +1116204565 +468084550 +353783726 +1621217231 +139372837 +1356830710 +431929328 +1107257892 +1417119737 +1171479251 +399830402 +1828453714 +554776957 +543241354 +1201605340 +2074598976 +208124606 +1767858744 +445182316 +518802321 +239481326 +1455314743 +1023834940 +718519121 +1063440371 +1237714128 +1494365522 +1496294161 +643388045 +114252845 +166500857 +1759592610 +582337395 +520284583 +1233326194 +721710232 +1877115293 +1665255522 +1828968125 +1146751382 +689251125 +81314879 +827721449 +1244028082 +624556233 +2029326789 +1171143410 +832680839 +1649701886 +1616325727 +1351483161 +1889183212 +924156822 +227834453 +460218686 +1987597193 +1465548581 +1954584208 +1336407706 +2108936627 +2068837053 +1502908563 +1721045589 +503690800 +2023193146 +806888135 +1225401033 +1752824792 +324660009 +906885510 +752092526 +1013911135 +988200389 +1579813975 +110455569 +1612756622 +1461657117 +1281598980 +297953813 +963875355 +750441059 +1649436974 +705574919 +1674597881 +1877271427 +1165793605 +1514711426 +1195336361 +972894165 +703635485 +1156789340 +894247570 +59060400 +730351281 +1397938371 +2082253547 +1537239417 +475855756 +1687594691 +1861899426 +1382741266 +292203569 +728326913 +223458007 +1872017545 +838782483 +1836214629 +1186191014 +2120381463 +2134168442 +2582721 +723338874 +1636121769 +708157640 +250453107 +1365909548 +1873951246 +1765164533 +413762261 +699361763 +321316370 +1570551601 +1593609334 +380376771 +153419235 +844064057 +315146670 +1690658652 +1319919813 +2002741361 +1405074430 +555177431 +147461282 +2133401344 +778635438 +2019478827 +824700179 +467366419 +1058186193 +797597994 +454051213 +1060768914 +1520936868 +2090172982 +1768926555 +1771389975 +1308598883 +1495394153 +1389070860 +1722361144 +47272268 +1710387231 +1145429098 +1640881602 +2090764002 +1298848333 +337462011 +258427024 +842023337 +1657381824 +113684737 +99614119 +65075607 +261146019 +85531815 +843711045 +133141199 +910231994 +1311077464 +1191327392 +1707829988 +1765128678 +104612659 +1081283208 +1707818012 +1873539214 +705189535 +868933247 +1221449719 +2094260396 +443810744 +1268721987 +1657163979 +1589239842 +762119942 +1600444333 +740604527 +1099581953 +1858871357 +1582627864 +609480130 +1972556094 +1682241983 +674555737 +86218465 +1767773799 +1518266783 +219359664 +530522145 +681860599 +1410687057 +90868486 +299505629 +1515299716 +1172151694 +2007323642 +1241355282 +1877341230 +728773241 +315321353 +1824117978 +1172583985 +1584043340 +1333798309 +614340179 +198679634 +786758994 +1354944706 +1298261588 +498146703 +790088922 +1907741718 +323219149 +324847258 +434813807 +409437614 +2092621057 +1953080590 +628797279 +475659554 +487457542 +2039484336 +566528040 +786963171 +1407300404 +1738679735 +646803165 +501172038 +1468537317 +1375576407 +816493391 +1145171647 +400676744 +253053083 +331486308 +1015016924 +451732718 +1118245302 +222477982 +1749994306 +1616392005 +1012566905 +1510252376 +1939611154 +1337414163 +1945066183 +201565120 +1282551572 +1750663126 +830362399 +1758211126 +90637020 +722363087 +177255519 +877600191 +2129663491 +1915935254 +1524403357 +483351881 +1236988923 +752496116 +1299845272 +234676922 +1153172860 +1552898356 +566163230 +20706136 +2004631074 +1684408532 +243184119 +1607141732 +1153316889 +1255751024 +969910460 +945444395 +445681539 +767492995 +1147009515 +1728233111 +370672473 +1977371915 +1338960589 +461309493 +552251354 +1516216108 +1338909685 +534431198 +1284667714 +715829394 +1017783079 +374172989 +1468325510 +170144704 +608849911 +474014722 +1723043060 +1175013141 +494720859 +1580190486 +711938025 +737904978 +1039848570 +1865254914 +1993656002 +2009759030 +663215661 +291853893 +629768377 +1810225177 +2020087004 +1000440851 +1640113444 +1211563945 +1461750344 +44881150 +580296406 +653176381 +579312348 +1864964120 +1369005775 +1597095428 +91653462 +689847637 +1767240132 +700503373 +1163862360 +1342799544 +1875516515 +1658583219 +775506382 +439970892 +249004549 +1815354952 +157742159 +95176903 +1677630334 +820957820 +387030796 +159915063 +483699349 +259634152 +1160355914 +2123812793 +1471198097 +474622611 +21210296 +2051494503 +1127798992 +600522644 +1768974976 +349321120 +50134424 +1860628438 +1039168757 +1817374556 +413648163 +55547469 +1012690452 +141681030 +1714130688 +1788196834 +581651923 +1963135237 +1456068138 +739394082 +2058312140 +986214824 +1560351902 +297859288 +1146129888 +2044051252 +557493440 +159002154 +2020380397 +2028691538 +633624765 +2041590693 +1932702393 +1761423758 +494629690 +1554193721 +2110744878 +544764114 +1267338511 +1002429987 +214655023 +1680986675 +1057977457 +1227345475 +1822667705 +624624497 +868058662 +256835980 +440276087 +176643152 +996230062 +351104579 +1162857977 +409098317 +648963868 +161504217 +305665921 +1206457308 +320506371 +178562670 +1087665198 +954131137 +72669716 +872883944 +568071247 +567299406 +279594017 +531332477 +1112063520 +1546932529 +1533762464 +1326718543 +1080435556 +444256273 +406580371 +755619613 +1068880771 +1274639033 +1012455594 +1509156858 +1451282185 +2008685656 +1860261437 +466656514 +270300325 +361741657 +628160731 +575966246 +1568198966 +948667103 +754528917 +508380516 +1902798240 +827198633 +1381264460 +323385839 +1394498039 +1660858478 +854718316 +359077911 +1060307359 +240997132 +1685796455 +2140742915 +685253406 +2092376826 +748878880 +1754134177 +1219532211 +1761334474 +1115807387 +523330748 +1622536483 +828585176 +989987263 +1892836808 +1190326834 +1618147994 +321319407 +611042152 +419331449 +1075848324 +1119422668 +174646041 +1903046957 +353203481 +498031880 +1150061348 +2014061959 +1352750196 +1509139259 +926885670 +1593747329 +1047452066 +920144937 +131517087 +992345244 +1669023817 +1885651264 +64393807 +1282874644 +853975003 +587724556 +757927479 +1682560179 +1577711819 +503280639 +725403365 +1048376165 +824600046 +1336445517 +1467707615 +1900448370 +308384538 +1642353656 +1656011679 +661588019 +2140385537 +658589379 +528166330 +1345652085 +20244991 +1455052000 +791915766 +1067697057 +227713289 +923432853 +2060042302 +1896737106 +661600469 +2124436109 +1032128102 +1515575472 +564677017 +1790055581 +1050652004 +2142388836 +145852573 +1776055369 +1043281354 +970452619 +965017239 +363505321 +723417342 +1273401777 +2005858977 +231945373 +1934989796 +1998760866 +890534753 +315672478 +1196929304 +910779744 +1770724478 +1988845070 +1978476801 +1998437767 +764794276 +1891035455 +1747691225 +1426394745 +1867987917 +632335680 +794486570 +285181286 +274907613 +1845138574 +280086475 +420760186 +1473710295 +1323367829 +1391212806 +291243886 +1686873150 +2114630148 +1564645663 +1545248479 +199091873 +1352151811 +1396525698 +1089626626 +1667824289 +445971354 +2000406370 +1291065119 +287332776 +1831399524 +1142019238 +1052127052 +1574951331 +742226816 +331038150 +1295455600 +1374562496 +1125524720 +1580636887 +1649470109 +823179646 +1860723362 +2070230296 +149406293 +1036607543 +1313959454 +440650180 +575997045 +1281105954 +2005295843 +2121245524 +1480197827 +1209964007 +1370287574 +422340806 +730304648 +1816258928 +275263528 +2021369768 +2103591705 +2106663052 +1015905358 +1008235109 +1534130736 +1758132174 +1339273259 +682102688 +985211022 +317314331 +115255927 +487197484 +1140493977 +1975979289 +409944132 +1289900271 +865103184 +1723903586 +1730550451 +1441100229 +857525892 +1588362646 +1414862106 +190240071 +650843005 +637666032 +612580877 +1381147654 +306441313 +887844406 +1255033774 +262549370 +847023810 +123455484 +1270784479 +233670898 +1881587659 +462574091 +915773587 +719315033 +779888422 +1031029514 +1206512517 +1920382400 +859525156 +1616456649 +1062799023 +1724628340 +1192876587 +645865826 +1018244922 +2050402479 +86744824 +285623380 +93158903 +737587830 +923289412 +705739780 +2118735484 +1229730725 +1593584186 +1226285610 +1492280095 +293124349 +1349741094 +615580927 +526795247 +1083845105 +1078155018 +1442568834 +1803160139 +1858043440 +326114701 +862189008 +1630942192 +1185639857 +331162010 +546257567 +762784549 +1524038597 +1192123393 +1781029471 +1426957429 +1278868218 +2066652851 +1520116332 +2016456048 +842458616 +78372464 +1987707884 +2072189341 +1671956651 +1066509846 +1416985789 +1965081000 +268767292 +2032566716 +344392599 +1352612398 +963238086 +1786961434 +1008288889 +673797878 +2113076135 +1870477897 +157256423 +1151232344 +54156259 +703513990 +1914016893 +1578194857 +1895637384 +1547562717 +857668638 +1027021954 +1466731920 +230301322 +895994354 +161706888 +308673786 +736218590 +86412582 +1980630437 +1802728436 +1503398371 +1798227789 +2071495728 +1388481439 +2142620389 +1276624478 +204235877 +1782098175 +137429719 +878033755 +1747690662 +2007907617 +1035290178 +751439358 +2062063876 +1738804169 +517972603 +1492775085 +1486957905 +2065535320 +202960075 +366496211 +1384783593 +433261397 +1262490565 +1546490481 +741935184 +1998709155 +1632903063 +575081973 +1653953943 +988817786 +225826115 +1577966023 +229815577 +220962856 +707106854 +434051454 +2003061031 +844536573 +1312085210 +1603268045 +704960542 +199891740 +207223755 +619540771 +1938695909 +725196358 +2112315856 +1278170166 +643248031 +167792284 +1644666377 +2028031624 +601053681 +759673294 +1427038457 +1342988865 +610898801 +912457873 +1918070839 +117369096 +1901275659 +2143896954 +1695335120 +2131091237 +217376162 +254958326 +417659043 +72953545 +1099494899 +1729744253 +1676221590 +1804455442 +1929635994 +1883445345 +276512565 +1720848255 +461158055 +241344773 +851534774 +1104406086 +409137057 +348717503 +984954062 +1010190739 +1108390798 +264508872 +205695956 +1719289599 +1176966745 +2123766795 +1836658696 +930758756 +2120180101 +1384510168 +914366345 +190072615 +1639468494 +1332025389 +263026160 +591479745 +914285994 +1939247750 +248451539 +696438340 +1675209447 +524964104 +269802948 +2136367503 +766308878 +1121337722 +1093289941 +1175445935 +1470055225 +2078244004 +38153026 +430962375 +195269228 +243848983 +2768327 +1372235973 +220132130 +1839427023 +155511081 +192828584 +1076453543 +1069877427 +382901199 +568438389 +254419168 +645927360 +1159918134 +1168705162 +437691462 +1408369674 +1865143503 +2112900910 +1933333778 +2134946451 +2101784765 +552159008 +1108800525 +1047591058 +1727604944 +431372102 +978351414 +1765757970 +862334478 +1173620642 +2009606953 +865102805 +398372967 +82255436 +557046180 +553884049 +275084020 +1633499723 +1623761476 +657985219 +54454464 +1878180644 +1303912579 +1214372598 +899402158 +1741604042 +475258624 +617062013 +1707021304 +261108755 +604524816 +1661322421 +813267763 +1713325341 +561429831 +393389059 +2144697444 +1539781246 +11663382 +859548274 +565918240 +2021270335 +1724651079 +964291208 +2103525771 +134213611 +1518175257 +231126143 +1767713334 +994453085 +889111363 +1822167798 +725150081 +45540294 +889056748 +1624552239 +1787144336 +1364315373 +94130605 +1346681992 +1625424128 +698655421 +860520765 +291208243 +264497115 +1421950597 +684597303 +261710911 +814248195 +696260685 +1121259185 +1380166435 +570047372 +698426616 +196973995 +526089496 +832640227 +1715149252 +757215639 +452869913 +562118689 +1646327002 +127554063 +1287268770 +1691867297 +1016610811 +764337362 +1331527985 +233442536 +858467967 +530726330 +1858866664 +1557123388 +1391247095 +2591260 +1821620503 +665714044 +687188563 +2083331414 +1479962239 +1383449248 +1057106951 +712645027 +1953496620 +1755533567 +909619022 +332102468 +440690146 +477284627 +1089318108 +893560059 +1039403316 +588161462 +1021114122 +179188439 +132545111 +2037724934 +943525801 +1464073097 +123683822 +1801993768 +1994799427 +1982550487 +1211633508 +1238562874 +1985141747 +885770364 +1904276919 +524846662 +821618130 +1236755510 +1908295910 +1878725082 +1949400537 +1714308882 +1486775001 +711535912 +2046411351 +1927465148 +1188820539 +988245811 +673541559 +80740207 +1576407273 +1694655682 +259928646 +1708952385 +1584896968 +1203454447 +1025541834 +1708580790 +857964567 +872857613 +1543647629 +2069598076 +2111420487 +1381305728 +807884792 +1868213758 +1906152390 +1629502922 +957485621 +1666964652 +1360744356 +759402510 +1233789887 +700035710 +1470938422 +1132717590 +480017210 +512275313 +2120963401 +1153558769 +593015521 +1549887026 +700730803 +852944167 +1111355763 +138144123 +2056398615 +2136897597 +1846724914 +766879534 +862271562 +1242888895 +688993962 +826208402 +476710976 +1496878754 +546938512 +235379718 +978898029 +1504424133 +1902344371 +192158737 +116342996 +988650610 +892194447 +1587281418 +2121368200 +1372211657 +2099556732 +2094847953 +378286779 +545088605 +1497251331 +1079017582 +1398032772 +461123447 +1217161706 +1306947739 +450537396 +916402972 +2073827274 +1312808959 +11808219 +615337588 +2139017361 +488519195 +2112216343 +538472225 +723898914 +943630724 +2042896359 +478759637 +1135789461 +11755707 +1467410247 +2027983909 +1599037125 +1441294799 +1252711918 +1551110209 +1388659104 +1630998697 +2096198814 +738426787 +562532632 +1346747939 +1199550234 +1779694338 +506212030 +1650087631 +548613662 +432555656 +815412942 +560421881 +1047893245 +806946655 +1048941077 +1012625940 +1345418880 +1772839991 +1956256664 +1240831591 +104115980 +944562477 +1252587298 +1571526227 +825062738 +704140776 +865337378 +2077774657 +107767337 +106512834 +1561289706 +56482504 +844939621 +2123822338 +1403230443 +2044489856 +1756033028 +1909442473 +1547093839 +157163042 +194514482 +215023133 +717584924 +1242407727 +1021969788 +1766526001 +107550019 +219905020 +1391882344 +2063806683 +1460736612 +1495998324 +860885512 +565840262 +920040903 +1685948251 +1269981038 +1785378281 +1616239260 +1377748376 +1891891115 +1030045318 +1434230880 +589347088 +1006384009 +689977675 +486353296 +614933389 +451936500 +2033447135 +772096432 +646450982 +100986620 +1489681356 +1888858709 +1122956408 +1108723709 +1996408728 +1342861429 +353122405 +1912731763 +656114393 +1849120729 +626133628 +1221954655 +621677984 +164598231 +344452046 +259572617 +1780837491 +1722200422 +3980084 +663399161 +1008947654 +593327172 +1669783170 +1698925329 +1079680469 +137232912 +3378181 +965643956 +909329344 +649829164 +1066630577 +251527052 +391204225 +42103337 +1360250761 +240129306 +1384964766 +1713373166 +5377421 +2041079159 +1415010247 +631511049 +1115550167 +2036688231 +796109280 +1460002213 +148777200 +429463123 +1034718987 +152757284 +1092862285 +2043666641 +746084456 +615161807 +1595108322 +1825764925 +752394719 +1598486503 +643925234 +1661724063 +100832019 +1710555811 +1913251115 +492036245 +1752659148 +1126018228 +732165551 +990140267 +691907746 +737542972 +883735778 +2106917993 +1369054022 +1999285945 +1996122576 +17679654 +1311804510 +2144899776 +447142778 +199039849 +150173412 +1540005063 +95222842 +896257869 +7683222 +1690331164 +574539146 +760077942 +1141334020 +1218464380 +274318357 +1242166039 +781536543 +40085825 +1734202284 +386712044 +1166104053 +318884187 +1376852311 +1858011800 +1056427160 +113104441 +1817446145 +277997534 +2112390387 +1666085074 +295677188 +1276711249 +1663501202 +742819966 +1475751099 +1813674615 +135341381 +1570973941 +562448836 +143024604 +1113821458 +1136987982 +903102546 +107671830 +207968715 +1177420903 +1349837869 +989505258 +1217506728 +936556506 +1376217302 +236127134 +1255440693 +605585965 +2094138934 +164384205 +718690407 +1764101431 +442381739 +683597146 +1282702857 +738058928 +1960308395 +798720412 +1480878894 +1288575846 +464911379 +1616220276 +712066140 +1027360215 +1759244880 +1825887598 +16864549 +514863778 +1933559428 +224833264 +1692284681 +1135913649 +1214338523 +762307762 +2072470155 +443072177 +998434896 +1180427201 +1048658143 +945090182 +1344811406 +1767348550 +561707965 +1787193146 +303462048 +1844410823 +377768426 +116286795 +495647587 +1858647320 +1404862642 +960558966 +1327383948 +2116928782 +1987919181 +939145180 +1795332732 +2004783730 +1454008958 +1581408512 +82133347 +998809992 +569838513 +1296471870 +1761117754 +494825021 +1739544047 +612069002 +1675252222 +640718542 +1557159184 +872579980 +260583444 +2118867149 +512289478 +564045492 +1815794324 +890057904 +680332288 +163958263 +601221577 +2085194930 +1124517229 +1928605525 +2054640064 +964952762 +720267058 +1702489148 +822252845 +26792368 +1136414012 +904386192 +1025602360 +1706252525 +53374414 +639236466 +53593898 +1792918461 +1251305468 +1728846120 +286153356 +660981004 +453942453 +546736800 +632364506 +966231931 +1110782293 +300675182 +1856289836 +1791114581 +464633446 +310027765 +1728825863 +1589150675 +91149642 +1635982279 +406619790 +811416700 +1190987779 +1228872635 +838209069 +179918143 +2133258827 +1863811429 +1886170668 +39149593 +355564248 +1939764567 +1832068054 +1606869716 +1521127039 +2118221410 +120367073 +1975069492 +517474563 +752731579 +793817776 +1628256856 +1053406761 +502623964 +1271887789 +1518040207 +812651729 +853230004 +959707235 +903801371 +341728635 +1366327025 +1715218072 +1532716414 +447716012 +405943493 +1712634557 +433491191 +122271274 +1451321577 +472640784 +477835522 +1243602496 +157225190 +2084705239 +617245888 +127962953 +57588664 +444831732 +645437516 +810320243 +1238649508 +126210724 +1863727004 +1741273472 +1398098513 +1234283564 +406441553 +103844869 +46507151 +1310242925 +445573504 +1412834176 +877977349 +1978289918 +1860550188 +1283920842 +1543440827 +146557731 +1406192116 +847278756 +619198515 +1884027639 +2090881253 +776423705 +1821249230 +560643493 +904386658 +1878837894 +1005475225 +1549824174 +541674489 +96641086 +1676034898 +257917845 +1837914558 +926649763 +1492201409 +96872464 +1030494632 +1538708560 +1407115389 +1476068136 +804059088 +137609090 +1306874406 +517125628 +1421529932 +702831585 +663683359 +680238400 +1550110342 +1282881874 +416782391 +1493507947 +2059305580 +90547973 +2054151440 +816208590 +1969385867 +912143017 +218549117 +363576708 +1008784103 +1894584015 +621494554 +699215014 +673750131 +2113695963 +796087478 +1704244763 +1504920876 +55719219 +1032829252 +161496316 +193328309 +192220010 +678621945 +1614858241 +895051596 +1342305304 +147612993 +297678290 +477703531 +564395385 +1791186237 +389525463 +654943358 +1697854029 +1205734053 +476845578 +462513398 +1424283170 +840422286 +1471297502 +1171383538 +1461916840 +23028868 +1845133669 +1428129156 +819116346 +1401894784 +785566384 +874835565 +287240388 +947062700 +1068163874 +479460399 +1625684645 +535538467 +1374511995 +820506302 +683151460 +1672190285 +1298209833 +1247546845 +1315892874 +1687735296 +1902490204 +866263255 +745985701 +231852134 +1328776653 +22785224 +1072274420 +652590507 +1194168762 +386707613 +675619375 +891818783 +1814836769 +1494735721 +146229919 +452919505 +222087638 +433470308 +1399982205 +1290251512 +912930707 +878183203 +1825789979 +139959054 +1698689505 +361457792 +1812149339 +849415690 +1609004637 +980558565 +389667338 +1364011193 +1846821820 +1135653039 +1595863327 +1028114825 +1158438263 +520654100 +1680705333 +205123377 +907361713 +208841060 +1096942160 +574714834 +1703576782 +1243172080 +1027634339 +1925664420 +1676642388 +280132896 +1068432285 +442089447 +1158316099 +746738616 +582048501 +709521956 +1108196408 +246714192 +1558937646 +569717398 +1227272757 +1948604984 +1933728591 +926610929 +936774376 +1382108271 +1954725754 +2095212639 +1902762371 +1487947439 +152852369 +662640436 +1696788500 +1249794529 +1237355270 +1252881634 +345482961 +117505961 +1031062406 +2022125349 +397638857 +2099494691 +316731148 +1555954957 +698749660 +898779649 +117993265 +1806946068 +1145493841 +1676930912 +229179818 +225282950 +1478052248 +15424762 +1151893879 +267342976 +1397533033 +959135986 +215071968 +1152811756 +299599777 +367924337 +1815452192 +1996388277 +1617718866 +905323814 +1101786263 +1963201828 +1022829775 +2132848670 +1837843529 +1420468632 +2084859713 +7091030 +828939941 +636125725 +905870679 +946933207 +295588146 +2051364521 +476380471 +524767964 +129163823 +1954432719 +540192726 +1281057703 +74292048 +1937725759 +92710041 +289364016 +943053867 +392309818 +657288353 +611022411 +241214448 +127523571 +1516346225 +1343000711 +2090725399 +391692352 +1328365733 +1781085281 +1812160985 +1265741799 +1788176311 +493617278 +1901867524 +546563342 +1440550485 +49972022 +450444215 +1916930956 +574739987 +579608039 +1723880028 +1114932713 +1860665742 +1798172076 +905174825 +1953375783 +2087536092 +1848228692 +198201953 +597340797 +311767456 +439416401 +724864368 +1828113681 +1782417113 +668106120 +72322386 +963299198 +301707753 +1884483371 +81557349 +2089884064 +230617001 +1983424874 +488963758 +1671167487 +2033396896 +939407974 +1440614795 +460653235 +1519016013 +1017011175 +1575585949 +1232198107 +667699603 +333277126 +1038090242 +607752047 +34022170 +1236292195 +1205092844 +345789626 +1675708597 +1929957213 +26419660 +1310642062 +450579685 +98742046 +126457612 +752287438 +1983225417 +208014962 +694687854 +66358770 +43956188 +1183651612 +1737526257 +2077353084 +2123059586 +1030657405 +390522672 +1494591951 +2047668580 +1966108621 +579306410 +567884536 +151902099 +1617396652 +1175636583 +185924269 +706205200 +233245780 +531713896 +234430149 +15719345 +558133556 +1545072211 +466299030 +656875602 +1671529823 +1218586468 +492617371 +1879544785 +1913274322 +558976141 +1923500973 +949442286 +149018751 +1853370410 +925018225 +1179676156 +96409434 +272126528 +1079861088 +2062518055 +851432939 +1647745624 +66936506 +321345943 +675898560 +252860775 +1027551143 +909144340 +784574671 +1261981292 +924863685 +1342708227 +659569855 +1391162715 +1999583829 +183616031 +462265535 +344717552 +2063160816 +228056209 +903693694 +1839178142 +1177498495 +1052712445 +1545064904 +2102516720 +84904953 +1641474338 +227159601 +1164766041 +1556508745 +1078592540 +665028018 +1623445251 +1399938483 +1340926578 +1876306026 +280005979 +102587270 +513397050 +1541987271 +1027450955 +1856105277 +54073479 +271130022 +1708205459 +237689510 +733395557 +2052923011 +153366678 +961451766 +809133057 +1992544820 +2138950261 +1861845502 +1390126076 +2093983334 +1946750455 +884116766 +173659287 +964032849 +293141863 +1252251827 +1629060867 +1916587114 +504706662 +822503797 +1645409493 +784712641 +925091067 +11322895 +179216265 +1952542022 +1867428172 +233289744 +76188396 +1428149983 +470979254 +809583953 +1333589347 +624345932 +1771035719 +2142722404 +469407105 +1762502332 +1857084259 +1859533181 +1709002018 +1656351066 +596166300 +1882661305 +472900267 +889308163 +987429484 +2101961134 +658411630 +1492136147 +776981283 +156337475 +129365140 +1702072350 +167660370 +308581405 +1507130724 +2035088542 +541871149 +1583319120 +1315754878 +1012850403 +245419425 +501860577 +1637196336 +2016455144 +497099333 +2106603441 +1631473829 +206699944 +1818652974 +1192992199 +1863051011 +267335626 +928169857 +188467630 +1156643790 +1915599341 +142945117 +1815055420 +1260251840 +919926400 +1971392895 +1389616981 +474515103 +2139053265 +1698198386 +1981645827 +2026658159 +92585888 +1417481300 +1194929389 +1105436291 +1662900725 +1696789966 +595148979 +1531872222 +46405652 +554268772 +1015862403 +253105596 +225438099 +61370954 +2116156607 +492773725 +989540811 +157140590 +1649417515 +757656505 +300085707 +1316989287 +2017908345 +1220012107 +1140898534 +1260041678 +1694527210 +1132468151 +810756417 +1528689390 +1011642663 +903342305 +798687042 +59088404 +2008778596 +314104119 +1755878371 +456443928 +1845976341 +1802284023 +1010712700 +714355096 +2055389619 +1236150799 +775726051 +2024062579 +1728924525 +1765266862 +33719521 +1230858392 +375439719 +333805228 +400364032 +245864417 +1553817335 +1541262566 +1505906095 +1100860898 +526247070 +169178864 +482066640 +1537889733 +1072521169 +1280753682 +1596978137 +933816118 +1594857801 +1205372860 +1390260046 +1293350495 +860173235 +253489098 +2007705591 +768079207 +1489639898 +635947994 +644658138 +1071080775 +253731209 +678377659 +154455519 +629170928 +1012182887 +554819551 +875035345 +418516574 +2096082118 +233457793 +1519377472 +474845540 +402636657 +2001444112 +2012735273 +1475157827 +1134714146 +1462229762 +261490297 +582088300 +520118975 +1651750343 +1875438795 +1380292210 +1905239441 +1735660738 +887769 +1247395691 +224125085 +645545907 +170992818 +477856294 +1323923566 +325448338 +1107027222 +188622805 +880267889 +1982062568 +607139380 +828866359 +68036713 +2126516852 +1303711899 +470673370 +1980477317 +1168963524 +1945831197 +967707815 +483709639 +59837846 +1549796115 +1003828614 +1711588189 +1277751262 +236637176 +1469343983 +865928353 +237524946 +569256026 +1090053438 +883070853 +740248845 +1567909732 +59510772 +1065697183 +527453306 +248133577 +1945965072 +362032226 +855272957 +627347784 +430068939 +834306162 +1931059683 +900742310 +667299831 +952539560 +699089859 +1635007646 +1436249199 +758927706 +1037320114 +292594165 +323032247 +167587728 +529231341 +1792376230 +1033516081 +766756287 +214148609 +2123569519 +1649827141 +954397454 +1543995603 +1709337913 +2020094637 +2071448910 +1957471490 +1818576061 +285997488 +665260800 +298440197 +716066428 +1499566962 +82016233 +1616808738 +19383145 +1034555793 +168414949 +1654390791 +323321344 +927342655 +544227257 +615915509 +1250374903 +711814986 +1145146850 +895267485 +1745331067 +1911903138 +1109416094 +1721416939 +1414246631 +2063813548 +1117928894 +976100896 +1936424537 +1041894156 +786088738 +1607516951 +1327891645 +1451349538 +1905957148 +2043958073 +803432852 +1987973381 +1513283163 +822815997 +875045526 +1681698112 +329723141 +1198366870 +461557120 +873950398 +1814282379 +1711932023 +1585765384 +811945582 +459715860 +1183612804 +576365072 +1569131955 +757546095 +1990611703 +1485461855 +1875474989 +819228951 +1274402745 +769885498 +1605317689 +734436048 +2097777143 +909183580 +492909548 +1994251568 +1712616432 +333399282 +1360051083 +387948782 +1208444808 +894265547 +717671923 +259328031 +1355822667 +1591622321 +2073610410 +920271042 +1029904058 +738072344 +1379986903 +66033214 +1314437416 +801635210 +823579309 +1157565471 +139613417 +551570650 +1976794422 +1414016162 +1321456148 +1434628464 +968562 +1271749643 +196328396 +493878111 +1118517563 +1908944828 +827277393 +331084998 +149409962 +2035722201 +1225350546 +867081885 +147566584 +433689565 +311220559 +73693347 +1353960608 +1341124617 +811765691 +586463863 +1407157831 +2126203108 +1388099073 +83253492 +1136284931 +1527712490 +634824142 +965595706 +794245005 +1956280291 +252740522 +795213567 +1080546286 +449068918 +1289091678 +51580202 +210530098 +2116369071 +382665200 +359940061 +2004607625 +1608015746 +1227021946 +4690561 +2041705312 +1538242505 +78383908 +1248182272 +731883474 +890149600 +1834646135 +2139041305 +868869060 +1075261560 +74811149 +2005153991 +455490402 +709635292 +823266049 +1249735407 +518431935 +1076006571 +2044948975 +1598978221 +1525075489 +1186557005 +1650558423 +1735605588 +1155442429 +2033223624 +2095545649 +1012566406 +1493755722 +1175083947 +1017256967 +1387977386 +565842805 +1095640876 +488676010 +1297726279 +1985790476 +175838497 +1289283937 +707175888 +1251100057 +1364095086 +564846231 +1706590460 +2073730378 +1388112281 +808842219 +444678665 +316635204 +706307546 +2043656887 +1841710694 +1892864552 +1546731662 +1429832634 +900823333 +1432471638 +1377894635 +1913389739 +778743713 +405494934 +783163058 +19237451 +971337739 +1878803934 +507913462 +121580371 +1717110762 +683751959 +1410864308 +276803002 +1934852017 +627475746 +841649234 +1493958829 +553722477 +82277867 +155317400 +998401142 +398913071 +861624947 +894574381 +93140117 +607005851 +293822396 +1522972751 +1507829184 +1726294034 +753383738 +1273735275 +357554099 +1158878673 +2056898333 +376791551 +2130216412 +1788218620 +884705013 +104313135 +1357845734 +1568456972 +1515177443 +1634648737 +1355825341 +2142653190 +328814323 +702300522 +548892019 +411092190 +857617923 +1547293161 +810005261 +1719242870 +294383895 +903145379 +178765073 +588206291 +278634482 +1686594257 +167016677 +1032018221 +812845884 +524570777 +43413246 +722260569 +901362328 +26146010 +362995541 +1786067341 +130459146 +1720841276 +1207040665 +1645636589 +1208006365 +415382359 +1640806131 +1536820688 +1117682881 +42214502 +1947912878 +1975300804 +1589507664 +610434491 +1547060026 +1883891559 +1513579870 +1725825099 +324614202 +1792214353 +1264935708 +491630879 +676748926 +2077781592 +1016201656 +720162172 +652558514 +1917563984 +746308182 +1015554055 +1556147677 +876767328 +588911683 +615704695 +374920270 +1796918048 +1031087054 +2015726401 +1186255088 +1286287 +2057940904 +986684318 +1976587092 +1499964920 +1597118810 +1376163470 +1236372831 +963215032 +954504922 +1560987033 +607945737 +71956982 +2052617912 +1284694663 +2254927 +921335921 +2004856835 +654813441 +691416257 +603681370 +1670367496 +100080287 +1480448698 +111795532 +715784982 +1855368968 +1908713580 +1746872036 +1723611722 +947485021 +1748158323 +1634068978 +1934169339 +1577261767 +986550250 +1383804501 +805941590 +75439433 +199535886 +1760446512 +1636426466 +807481623 +1832403494 +1541560730 +2092176287 +1834658421 +315413003 +1949549474 +341988214 +1006829261 +405747196 +2012355711 +1106909548 +1886195895 +2124151243 +1822694530 +1594081215 +1885381175 +1422082918 +1170209289 +685382548 +1022757593 +656794619 +472068240 +452535713 +1643344869 +1855872741 +1258477303 +1718784302 +2055408627 +871440167 +1207727120 +715406603 +556360013 +601804203 +660099242 +243534787 +917217206 +462165068 +585523001 +1924046467 +867912265 +450395064 +883472367 +606624512 +427062659 +558683249 +53222079 +164960187 +1980766167 +1223431369 +850342735 +856040113 +1880225988 +1322410975 +1308575826 +1376087210 +1030800069 +419569481 +947387864 +938725048 +1291009648 +7631337 +1654131651 +1847369661 +609435540 +166747245 +2090904448 +1526652746 +628912314 +528943802 +1303215566 +1496824579 +979338866 +39204285 +2103449091 +1406401526 +597887535 +9187522 +1571361713 +431170054 +1232618891 +274220800 +1287210167 +965361232 +1596631776 +448302345 +193964794 +479948197 +867871826 +1141352658 +1418673245 +11397826 +1148983995 +925321249 +1858767488 +1758419535 +1092068494 +1802188288 +1137588634 +1720980808 +183648442 +293320552 +1070321739 +1162987309 +332524837 +1026287182 +421905187 +930412372 +1035474705 +1993266900 +1361582427 +120609948 +120004052 +501308946 +1085971180 +1716635828 +949611292 +1279935974 +49100377 +1817483118 +273804985 +1467773623 +1828880945 +1422788980 +245611224 +1540164785 +1033724868 +1337679718 +1194869425 +23829854 +911176879 +1378517868 +317150406 +1981498618 +394021529 +649675243 +860302153 +815926716 +1580087616 +1895776858 +661709968 +794186395 +2016386806 +781714020 +1295495341 +954874339 +350866201 +97622985 +87326665 +399966578 +1915106104 +361131650 +1867740201 +1596503401 +1783920631 +2113351425 +989184538 +670161851 +1303547496 +36570315 +693991705 +67240727 +1415088183 +1011142111 +2048739345 +1809109712 +1660817354 +761557850 +477552780 +1093421322 +509851060 +1139262748 +1887607717 +378754219 +1920976769 +1035619411 +1333628558 +124359322 +1133242396 +1420955223 +524325900 +900864852 +1782086874 +244582454 +349884605 +1418523857 +210450231 +1339069143 +2088685708 +1513997727 +1375639459 +635193765 +1581238454 +643243994 +1646335876 +1482494152 +304870059 +1159669582 +96568354 +782422839 +105607257 +606419415 +1921685588 +1993214974 +985173634 +1695178709 +881350737 +171318544 +1819538031 +2014593134 +1592273767 +196380283 +767974338 +1226876993 +440962737 +1117858944 +497917202 +651412969 +309444439 +439119262 +17927048 +1685083898 +1074313027 +1599165503 +180844245 +573165255 +934176007 +485714304 +1732834838 +1030744361 +1268137143 +1838442095 +1637163776 +1042339083 +1684173421 +474853762 +590034144 +418040511 +646172306 +262088527 +285149997 +90962426 +458468811 +1053124335 +1317839419 +899431548 +23499631 +1815756622 +1550844517 +332944071 +107392236 +1568771566 +2018027969 +1181705264 +1020453421 +51388566 +1754870519 +1954629428 +537102870 +1340221709 +837890141 +1805240014 +1031180156 +327570270 +700095449 +567869930 +802424032 +1290129594 +985910441 +1448596339 +1552218121 +1271060438 +1539558765 +2010686932 +176701125 +709914536 +762634833 +200200757 +378187510 +165995702 +533144828 +485579747 +1734767268 +403689149 +1667285011 +607737041 +455077716 +1274671882 +414882821 +992180586 +467409944 +1252772963 +649936952 +1498590100 +1580343233 +1350032402 +2066460030 +235283617 +492678348 +904886823 +1683879956 +2044896469 +28463613 +1075955073 +1908099754 +205164739 +1785869610 +523250939 +405365496 +16573472 +689246641 +938510324 +502153219 +276530262 +1342199473 +21954582 +884267303 +1797277189 +1296626465 +1299150125 +641974128 +1764036409 +404439440 +1291911080 +1115142861 +1984782673 +494459834 +1034119244 +72582642 +987138182 +1939006067 +1756462599 +884551004 +1967469681 +684934024 +645167110 +25150772 +323319986 +1168418049 +430516268 +339893459 +1857664690 +1369026592 +842046678 +2134194952 +563742417 +864001261 +870978608 +213535959 +13144078 +22645085 +855510087 +1777180487 +427084525 +2147421167 +744839700 +264383550 +494397354 +1778958944 +336966192 +1481535536 +1570481364 +2093428791 +218602892 +1390467397 +630879168 +863770002 +1415618169 +954199154 +2032188051 +1846134437 +1294092613 +1742369094 +1067677381 +2136139292 +1729080398 +1631419798 +852656905 +452575358 +1844955757 +865800983 +475220443 +552982196 +495497822 +902304968 +552919716 +1240337522 +1166688518 +1047317070 +871812819 +1503654711 +381368958 +294810535 +1449599854 +599971851 +1685277932 +2080479022 +1463741853 +953412453 +887194529 +1348446257 +652063242 +33803494 +943331703 +1719740623 +22459138 +524928453 +1203676773 +875116043 +977503812 +901148883 +1740917026 +1452724255 +1454131079 +88931200 +207545576 +2007050795 +1329268723 +1374234094 +906884217 +53597894 +730405157 +1288253176 +348408429 +32521364 +1888225027 +2033686361 +2113000386 +1204483232 +839615166 +852711267 +405445841 +1491678408 +886514762 +1348777544 +1063935383 +908973900 +1873705998 +120128508 +1784089944 +703726162 +1021277391 +1377523322 +8966769 +327924823 +1466454523 +216512345 +187491970 +648239598 +1590746440 +1094376188 +701837492 +173667949 +235145716 +1050245921 +206189313 +2123370743 +936448634 +171706052 +1180370327 +1776063800 +1024417319 +1585816169 +1120258560 +1910932081 +787110065 +36710295 +672422334 +513332415 +156838803 +309028630 +1217058577 +1178116195 +1686551952 +1226025347 +1506041018 +1005522827 +1442537692 +1693532988 +1653762425 +885800484 +640425528 +208116269 +1059468434 +875571244 +1258362190 +1265657747 +851458339 +47327176 +1437363799 +2031828667 +1823390976 +314297471 +1470161188 +796165888 +77745904 +109787605 +832876183 +750168238 +623120021 +989714987 +1059196868 +1840178598 +20347534 +598265173 +918720297 +1526388552 +1603788000 +213774342 +1072437892 +1110066778 +1099574826 +1712863421 +1318183047 +11559612 +440951017 +429061590 +1277217360 +1292409357 +476388766 +567097511 +1176754376 +152296095 +881394982 +499431916 +948461983 +959140887 +609219521 +1781338167 +1709309125 +1232339542 +623569506 +621022346 +925034493 +643917040 +1219287519 +1843754790 +22821944 +675591871 +2057529132 +1095259836 +1785658649 +1009620311 +660639609 +956358049 +1021179923 +1101590627 +1385419639 +150913635 +246516336 +1861808405 +718011147 +1423270712 +2014104500 +1599406129 +1922702628 +815082836 +411063368 +384438501 +448937355 +2120372494 +1616778044 +1072506861 +593911192 +394328889 +1716423901 +1813198711 +90600031 +1739245845 +341306934 +645516 +687022033 +2126965584 +1010265827 +1347661643 +935839985 +2031445750 +301768622 +173775976 +34875738 +548284958 +2035584381 +752886885 +1971555670 +1902205234 +204809366 +1746774650 +569804422 +615872735 +2131213151 +1018741777 +588761581 +1600507547 +2091248638 +1182672773 +1994836436 +1660188891 +848387836 +2085436468 +1251951088 +1189694770 +2086081984 +1938973121 +1169176706 +948864163 +1139151116 +2105016691 +832826265 +1440919738 +131309019 +867702003 +1989204696 +19409753 +1620588888 +1813276718 +1921614987 +1825398255 +1412567720 +343935761 +293787342 +1396297224 +1362677538 +882548923 +849321123 +1306442528 +2065221696 +696673912 +819147771 +766125884 +634626732 +2071098859 +1955820654 +573225068 +1862588332 +977513713 +1522089231 +854255801 +935046756 +207431848 +147691891 +1066355776 +1075133852 +2136896588 +1085765529 +548239092 +1802689658 +859896868 +226153699 +1067773731 +1203832629 +519941041 +316587307 +419026519 +1402489964 +1165908430 +1725469047 +1320228012 +1862582342 +397133170 +2086353896 +349725426 +320748381 +1894690903 +922950494 +35853065 +724720968 +297556077 +890108866 +1659767724 +504987926 +1037800758 +578639852 +1580121778 +1027213698 +1664405381 +2128360870 +682419708 +376818601 +207030922 +1750193439 +1580651230 +726971963 +2066780746 +1999677749 +2129461928 +1085205529 +1577663148 +1302206292 +800304223 +1974796318 +1241076541 +1150029650 +148061051 +988283796 +2072980144 +183914117 +1713004764 +223052574 +1074022983 +1225288840 +728040500 +2111823741 +1803928693 +160678630 +991553791 +1320850426 +141555852 +1673973500 +1697669028 +348586774 +1276683291 +1130836610 +1075558738 +1195980390 +983030712 +1057537018 +133702271 +413210212 +212259662 +934006494 +240522883 +1453336203 +2084036144 +388583934 +294136351 +2009532641 +572498051 +2007141115 +85101567 +1646521035 +1084946308 +813142067 +1610861128 +741391353 +973820697 +454931272 +2062241779 +1115376549 +2128904772 +1612427159 +1463963324 +1258104415 +595780122 +392038414 +306601157 +1578810834 +1449575432 +440303428 +1992021046 +1661835094 +1374309923 +85060281 +967687650 +1310862419 +473644216 +1261824001 +1172911412 +1046142267 +1121481469 +1258012979 +545179654 +58944129 +2071155046 +8557135 +800335482 +897492095 +463488407 +715093613 +2012868645 +444909531 +180037125 +1329348321 +1703013946 +775817247 +1721386735 +2009615104 +207144433 +1023478519 +302434884 +51681831 +537829965 +1676744807 +136742113 +1505517615 +840123579 +610386329 +619857969 +2013034991 +1656528596 +1741339438 +1123564323 +54224603 +1800283567 +1047235721 +62781738 +453135401 +1944727817 +526270145 +1168229014 +1810112814 +971179676 +1348266139 +991977487 +526709974 +2124083386 +565880574 +388841430 +183744171 +1589359093 +691276315 +235426003 +2127189058 +220537474 +372168116 +1485223026 +1060661053 +982554445 +2105080995 +926212397 +491599393 +1698936785 +2049776720 +545823996 +1351736704 +949528793 +608605734 +1804872105 +746772962 +1134875879 +825617471 +409402128 +2106055555 +26399963 +1401379615 +485281882 +2999701 +1967260189 +874123312 +186743873 +1409135634 +1565399627 +422169876 +1388841045 +1785937102 +794337992 +726580423 +699114507 +1776892437 +684177770 +1625326904 +121008182 +235630907 +1527619976 +666832179 +1587367611 +329665122 +1275437913 +1244756068 +1076438084 +262830145 +2070373539 +1485840213 +221402052 +2096773502 +739736180 +706683934 +2099773204 +559512722 +1580807247 +139033429 +1968648356 +998723226 +561203305 +1210005753 +637176680 +1355541297 +1936586176 +1336291188 +984950086 +473280298 +814134444 +1105958268 +708911205 +194270773 +1772790447 +148795168 +523935895 +900744713 +1393551236 +1600373979 +1163574858 +1316441128 +938730544 +1384976910 +1265730982 +1678466725 +2091660845 +1218020538 +90495799 +1524984444 +1357053967 +2059144155 +376224022 +1918257272 +1121666261 +1013400703 +1126314921 +910768789 +202208243 +2111265007 +1384049088 +1016342687 +1069739628 +2092960293 +1210613460 +695046427 +94271814 +1734549355 +1595791140 +1487823050 +1187439687 +611882350 +656780530 +2126170231 +1996859261 +1922511513 +1657153308 +1941036458 +993048403 +1747649107 +1318537254 +202618723 +1659309615 +1694761276 +2120875995 +633492228 +560678331 +1099707269 +1544261017 +762886574 +1063488628 +780826457 +1779229262 +2133228256 +726303103 +842359074 +680791036 +820574917 +429424782 +129098528 +160914319 +1616864469 +740980879 +817694850 +1595551052 +590356492 +592722715 +1105220713 +383909302 +1585771118 +705386172 +1702446556 +1788389841 +217212139 +1249724184 +1761782189 +850704367 +1810402516 +714005810 +247481737 +425805442 +1777494438 +1028308194 +57551056 +1763239047 +1754611297 +899910131 +296546435 +427702566 +1329334913 +425644963 +588616886 +798715734 +1166625842 +1406311736 +246783138 +1756982334 +1999034451 +1352003851 +2140891636 +1437321921 +2057390024 +1695854544 +1078228115 +127118515 +798095081 +692526656 +977822883 +461013949 +1406532466 +1225304620 +886819391 +1036543256 +106129166 +944370448 +652298655 +1860740464 +1844280579 +948845090 +140959382 +1026131844 +1374490054 +729576268 +1824847578 +393632248 +2135888004 +2071630716 +3130935 +1987438807 +1276150920 +2144022571 +1277277081 +1186057296 +1692393468 +208021548 +1313175811 +343004901 +900548204 +143515046 +804018850 +159597022 +1368819666 +1690838241 +1196140278 +1474948833 +487725041 +1848438934 +1188205649 +184521972 +649800376 +1329165031 +1210653816 +2024290430 +2058741300 +888017746 +270439031 +2047145656 +812164815 +273569966 +1887100816 +2088315735 +270108889 +1016894249 +1126889383 +1962502357 +1224915797 +292581546 +158023610 +2125464001 +436096593 +962042460 +137577375 +1804916259 +505397054 +1333717653 +1132381444 +993122095 +1034672939 +173103445 +1177644068 +1684473316 +1502268477 +240814236 +1561280098 +1413526129 +1128831983 +1831719129 +1313188137 +1940996798 +2105289095 +1052805305 +1881828885 +227914337 +2069699554 +861234620 +42933046 +1147131703 +1153816166 +200956657 +1125112056 +1589912759 +1162999117 +1262689431 +1247345371 +1668396171 +448923437 +232243167 +514034619 +1483596376 +405346613 +1691678687 +1020586044 +1907615090 +1932492923 +434382495 +1173657571 +913841258 +118617976 +339362060 +707354408 +76423424 +1392167366 +441699645 +304337761 +1314383272 +1302934265 +347270807 +314031328 +309266784 +548227464 +1439143384 +1899179543 +1711226582 +554349168 +999041266 +1232139105 +1003272605 +1231284434 +1746173724 +339385333 +1636631047 +1290368763 +1359971378 +1396762489 +1075378039 +1794353873 +422936412 +1989219297 +1912971849 +762298472 +549090058 +1989395273 +6982190 +990789703 +146249386 +1321365463 +146240321 +493520194 +1635396791 +455507105 +1041747658 +927056527 +207203000 +605490592 +1481405695 +1206244267 +1837629698 +337194652 +290045053 +1436319774 +676579986 +1926676100 +579204890 +2036551364 +1175954941 +1654582929 +1683421589 +1598891353 +1496318578 +1448909790 +213706177 +2045408636 +1290821416 +220688368 +888714692 +1437070802 +1542053831 +1034955013 +1930590996 +1029966974 +1490462118 +824855007 +1957023501 +1697665118 +1430345599 +1290945549 +756425737 +1120491649 +1628140201 +1046470790 +409327776 +157236539 +825663242 +988532666 +46304255 +2001618183 +495631947 +1729725844 +1453025888 +1991950525 +1031151987 +1666732066 +1889875514 +174489755 +1887420434 +631106558 +1611560557 +1281990617 +1666061571 +1394667906 +164473943 +1009040041 +72039265 +2121497444 +559221511 +1502384864 +1264959345 +1315647249 +475392866 +745615899 +214634391 +884720642 +902852438 +1040297634 +1873253308 +949156694 +894432169 +221401607 +531398890 +199974410 +65868484 +1562550877 +1866706476 +1955743998 +1737040632 +1606643262 +439366908 +1201117542 +741150231 +2105428479 +448301800 +905624174 +966984872 +520341065 +879637970 +1526206384 +2022725929 +2144597316 +694369985 +350635147 +742729567 +909004376 +1235355789 +1645582005 +1949302010 +961125449 +447255051 +696250532 +1182527056 +978653942 +896224942 +1248395541 +393721171 +615447770 +1056655891 +2130761804 +74607384 +1496022800 +1184395698 +815757615 +1453967631 +1632697498 +1721381789 +273468856 +5554915 +453536111 +1799675240 +2028280844 +450649779 +346561577 +231432344 +1193379346 +1255565953 +1466788133 +691477704 +1057384316 +280429935 +1138732755 +1753634848 +1462956991 +2117386697 +502376142 +563868884 +363624221 +1117823912 +1620524776 +346902377 +1192431296 +969063928 +1531298075 +2008188911 +275547911 +1016511925 +1582087052 +549016767 +1022066840 +2035623163 +201208359 +902864036 +338789295 +547769936 +1134296380 +1532168641 +1803335890 +453600866 +76162697 +713236558 +734030801 +1214895453 +319387758 +49504144 +1184798502 +821763900 +613373029 +1548422723 +1939587812 +86414157 +1895325100 +984535460 +1055478085 +1279139527 +845240723 +1331025996 +148167804 +279844127 +1880042764 +1170234644 +167983642 +2081251123 +2073098681 +506772937 +481537412 +1059911413 +2038941579 +137389654 +1513512279 +2115104276 +850626212 +100059432 +1182516081 +1170013970 +149563577 +219830936 +1991777870 +762936606 +1768253659 +1783882034 +849350763 +1516095112 +620933846 +1904828848 +647750991 +1466174569 +1088371196 +795918796 +1746018696 +820930312 +1966153440 +1914002338 +754697788 +1891768473 +273291628 +1236235200 +804196239 +164749559 +1373624854 +170224870 +132370187 +76767418 +270284303 +1314886269 +1246781388 +419847880 +1534717205 +1091075610 +1182784486 +1155487216 +727473996 +2032135249 +524098680 +1348407842 +1789480449 +1171849672 +667098763 +730367997 +1967768468 +265633811 +1551298310 +1786438260 +32152501 +158512450 +1530723086 +305444129 +1394747650 +187435677 +470193688 +620888856 +357660547 +602563876 +697656274 +627944850 +1917450145 +1944437662 +1047792730 +1304683702 +888029624 +83093568 +312687270 +1615503620 +2115228817 +836785951 +816427814 +1757225618 +2008635623 +1483526577 +340109968 +1828920443 +1749160388 +1891408278 +1467875055 +1781312889 +2049920728 +851114493 +2086757019 +1297184730 +1038550170 +409467059 +1918073586 +1396210718 +1012030935 +468246212 +2024155568 +781997432 +265200226 +924464651 +2086681134 +1153229850 +1007558219 +251884757 +621249822 +975303389 +1088670708 +1437677636 +585045359 +949822683 +773720565 +925155327 +631259478 +375397305 +669079957 +2099134533 +9226546 +571517037 +802765379 +2095983565 +1868701767 +1841315549 +357966977 +1639291705 +1090042619 +1369997912 +2107537917 +966714540 +4511697 +225254495 +1891179191 +2091192831 +1378484345 +751253762 +195593940 +1999734167 +1726557151 +1284264648 +1289928155 +164118863 +86603683 +2063648720 +1089274190 +717863161 +291562377 +1758354148 +669514047 +300788924 +182387537 +1472279426 +249288841 +2051089305 +1166111327 +607255818 +1542897362 +108670299 +1977253731 +1502951632 +1075384839 +1981765428 +1728206127 +819080382 +1925474611 +959206825 +1570334144 +2121068552 +811457344 +1149407648 +1257849552 +2101385500 +1313526511 +1344453236 +2017550572 +255317053 +2062316397 +161629302 +2013671201 +584346796 +462418226 +48575091 +2056626222 +711707067 +2099664396 +1075253902 +1318962886 +1495078110 +1183924201 +1148732969 +850546094 +111825392 +983014749 +431268574 +930905774 +761005712 +1390475399 +353756270 +734590616 +54449095 +1503163918 +1992440169 +8350947 +669206781 +1189409757 +2025901520 +924523835 +1104242506 +40047174 +790711388 +1688589303 +502465400 +839286479 +1597731877 +1214172467 +791467227 +525502131 +385651705 +139061690 +1709426332 +1534384674 +989607784 +1821251724 +369915775 +1420876358 +604673850 +1130921488 +663868109 +958430121 +1865512104 +718317205 +314110391 +1710468625 +726668152 +983317173 +752394734 +605086024 +1907841008 +1856637241 +645133198 +551068748 +1397742896 +1147598598 +1390355228 +847991125 +214287418 +34338807 +1373493257 +599939123 +173400497 +935435941 +2134323798 +1163008282 +609204018 +356755925 +436400992 +1213877868 +1487677413 +1100269102 +24824341 +1205705870 +1818586307 +338934733 +768690847 +397770811 +1322251906 +1521085582 +1002856836 +1082609266 +1230239175 +1647990034 +1633678014 +480498423 +648104985 +876549594 +1328489548 +862392403 +910888402 +554499157 +1462331526 +1084288899 +1489935099 +1449171676 +99813533 +2099139117 +1805927602 +536214526 +1165533337 +1146121367 +1636483628 +1190357679 +204343589 +1307586287 +1529292412 +973034437 +1705357098 +704060670 +346636371 +560730286 +1786669936 +1576875546 +61236673 +1272864302 +2057373969 +709341658 +1930249 +1238379869 +1571734061 +912818651 +1792879027 +886581939 +1997107550 +1135330478 +188269968 +2096921084 +1086985947 +1994197570 +485651962 +105035636 +992835289 +2122135590 +1295393315 +1197178879 +1282238229 +677202079 +22729668 +840111679 +1381262749 +369366039 +1400841966 +1020449037 +1946241585 +1462078639 +145829692 +1856131906 +23936649 +147759941 +947028127 +1595670710 +1060578592 +592423506 +334769001 +910202494 +1727753984 +523038969 +859639930 +667256283 +369752891 +1345291892 +772291920 +1362588181 +1319943834 +2067685235 +412283412 +454698415 +597403667 +435013080 +1294810095 +1978666416 +804379119 +548168413 +851631806 +603137056 +2010247052 +997461498 +311785314 +2034183701 +1145221439 +1258813441 +1482370763 +58316383 +1851236948 +1817139764 +968518877 +1431507284 +192695086 +1828158808 +2098763568 +562447977 +1025967052 +723571840 +1925036158 +198427239 +643773427 +189835922 +653125654 +1241177094 +624849002 +1947935749 +1072359863 +1429228121 +348620514 +1923991669 +2032365177 +211383918 +773969519 +196666843 +98083971 +1919190958 +1455480285 +1580454734 +1977507341 +1159233585 +1250110851 +798542570 +443257221 +1442805937 +479217730 +394537141 +2005253914 +1505184783 +1118108981 +1782806425 +1703612022 +1761882409 +1972642347 +209254028 +855575855 +450007702 +9706130 +1927935718 +1879235823 +358326644 +1704443739 +1764117353 +569710563 +330929610 +1960784196 +667794534 +102636920 +1268780833 +100765621 +2080144261 +280530770 +1350876472 +731203184 +723787992 +646198761 +1210420914 +1118325133 +503969027 +568122049 +88950467 +139291804 +124250423 +1850832876 +2111934152 +333504452 +558925083 +414458206 +343210582 +339377154 +146210381 +701537226 +2043820893 +1910327734 +1271247789 +227266856 +1723628283 +1939042324 +329903776 +844925468 +2039807945 +262564390 +1125456239 +1243200769 +993767574 +1849244231 +1889399530 +56704840 +820085716 +245884909 +624826890 +909036183 +385176714 +749077313 +612385411 +349627218 +1082581765 +1171310495 +764085424 +1425792347 +1510687649 +910295805 +2127329574 +1407024894 +673139892 +1251093715 +1634291750 +249284527 +1042652391 +1964195527 +1094209995 +934976688 +79276269 +72182586 +30693809 +1073043843 +1921426817 +1920093339 +1129748683 +594028886 +18494601 +1754575573 +1503065069 +403671315 +356169239 +2115450481 +753298533 +1438751004 +1139277328 +1517383957 +717059704 +502481329 +280196114 +696905630 +1909506223 +953336006 +1947999345 +1396314326 +1202620533 +843168089 +1213026205 +149346881 +1778144777 +1292302474 +221529467 +1808838587 +217862669 +2142956285 +1581448278 +1347611352 +589501523 +1599942879 +954703278 +2092566592 +2003614194 +1310872517 +2060533425 +609429079 +602139873 +1052327105 +2126813036 +1319199577 +1554808434 +259525503 +2016105207 +1316831010 +1212861509 +1816620905 +565661688 +267998395 +512305346 +1778687893 +417345276 +142966475 +923506719 +638874743 +1951805062 +1141369388 +634347380 +1385769693 +341497092 +1223848903 +838228924 +1296200370 +1168931848 +694359471 +459589239 +1081981625 +1303788550 +1061729113 +2134308731 +1283117939 +233445042 +1541633517 +1542643442 +102066602 +710980879 +608021303 +1918687507 +1276642567 +876019698 +283509205 +907846812 +1293364974 +426475680 +1831353531 +1932239718 +230797095 +825239271 +419103450 +1616566788 +1166736364 +1642952354 +307312064 +315453086 +664400554 +1001671535 +775042326 +1746382179 +157976438 +1836771439 +1733207262 +1441094377 +2070216481 +1127357132 +836254171 +24799435 +1838338011 +1444275474 +1943486942 +967496931 +172811525 +79512499 +1875343743 +1466176499 +505988180 +1559213627 +1250932569 +736785275 +236969250 +1670036020 +205868415 +1403705614 +1165504726 +513180479 +1719158701 +1829905280 +1514852015 +346717379 +1428803811 +1672828453 +36005170 +1014527426 +966439182 +2106221651 +2141884558 +1802693353 +2131021087 +1832738921 +1099485179 +1927024381 +652752204 +1272296704 +2006536881 +380612300 +590989556 +365041413 +1939825927 +1841922125 +1101826688 +29311529 +1364474497 +1307695103 +1433017144 +382495575 +1820875582 +1004692197 +64917207 +1188243949 +1351409576 +1493721019 +713588754 +1387414746 +360764797 +1680027936 +1346152749 +355165707 +1335237641 +1329690188 +40420980 +287239173 +1109230922 +693173185 +1559535877 +968284155 +1073785485 +3041785 +1333325568 +866127764 +1844963911 +287668608 +895439293 +1061954760 +1595363711 +180972789 +1444450336 +1268755645 +1185664986 +1509367543 +309515947 +389590914 +855604914 +1023104701 +1777005660 +1216369711 +555648990 +975674762 +1571535418 +1890886631 +157881302 +1611956399 +30642156 +1267112224 +157645936 +1590178034 +87912731 +1231431421 +1593219819 +1421238299 +2097559185 +1290700082 +1708906907 +845514830 +205171195 +1156786970 +1026487620 +1649621531 +278058968 +64668958 +1011505426 +587574915 +454259873 +1867110341 +1610679616 +83781885 +935996404 +18844958 +1059456647 +360048175 +1909731590 +1217337950 +1972004574 +1940373746 +336966526 +2129650510 +1383068132 +424879258 +1213598283 +828804304 +1846117557 +1163673820 +2119504386 +1407540817 +2009188650 +177191933 +416844139 +888192622 +1826813464 +694903107 +952861581 +690835243 +1282478022 +1407121454 +410461936 +745673991 +1490903339 +1346458340 +764518949 +402876339 +1706506515 +526766891 +1620214289 +1531027441 +319656990 +1957180815 +1513194303 +1702725122 +234576425 +579308938 +384045778 +2080693983 +1742982758 +356066517 +1340751152 +1604687761 +533258450 +1757595291 +345396735 +212588267 +305014751 +1298258316 +903423510 +1587492773 +557896122 +1313885446 +185683116 +2048799462 +512860138 +950202066 +304192153 +71883006 +1476968957 +1924406442 +1602910447 +1796625947 +1734103609 +968621103 +1351867422 +1968680035 +1547930041 +1735913200 +1901890370 +1143429152 +2091979717 +1095157874 +600633265 +477754520 +705269517 +946030000 +690342787 +1010284268 +96804669 +1593766297 +450293394 +654700791 +760168095 +635976510 +556016605 +1273028233 +1586178576 +860208758 +1344911239 +915663886 +637131552 +800338039 +564806185 +223751514 +1768959142 +1916673607 +44947901 +1169405535 +1505103160 +1946838271 +165351039 +1449599229 +894512497 +765984304 +1927353749 +1599782014 +1712014305 +470212888 +462582635 +1808818974 +2063979185 +912876029 +316036117 +676663632 +1548852539 +872052723 +1949691866 +987547468 +1732261481 +1147119457 +1903211354 +221909386 +1947457496 +320533891 +445660900 +1568932990 +89723851 +490608801 +590854878 +1594827011 +289963424 +756205917 +896942592 +1184475921 +1522190222 +676812694 +636774287 +1086720879 +1147025582 +1099356922 +748056205 +1063521120 +2012232951 +1064092322 +1740184752 +1413601843 +1936145045 +1542392970 +253665663 +1520922879 +542028780 +9393369 +1742832265 +342002628 +329927260 +41009517 +1910935619 +419651111 +531618318 +354306849 +2014478122 +821581742 +1110512766 +763937067 +2006057663 +485219340 +1440749761 +495348302 +1571940219 +440291695 +1594705225 +172512776 +1503812815 +1459454528 +1236605099 +1096513920 +725572723 +1025266496 +491423242 +979238386 +398705727 +1033452022 +988631755 +2141537992 +1375454651 +1318559016 +35063861 +1138906622 +1738210127 +566682179 +1493213471 +1605204602 +1388263921 +456242589 +221658021 +1246837936 +941461930 +1662407782 +1742186239 +365918501 +2102699477 +1189407816 +538431278 +1459028645 +501378696 +1775036377 +408058917 +1226951420 +652819225 +899482159 +58706158 +1051524953 +1932934182 +1047337914 +1045579297 +1160905185 +218413282 +1080643159 +152328159 +1956623409 +1647325338 +1645541630 +1414344363 +888105612 +2101784219 +1636002384 +2134943548 +895762501 +1150926518 +1729646139 +1261681003 +1106142348 +771570307 +1800112281 +417687345 +1272949004 +1427665010 +825746262 +352416776 +2080484235 +1725228421 +411122934 +984525540 +1510678955 +1458460848 +2030104838 +524100492 +1676874130 +963264349 +676428651 +1486013892 +463106039 +174486633 +752874607 +1351211651 +128787205 +241393344 +1338671552 +1024549706 +1392319862 +920834043 +138747061 +350978562 +1692404351 +1938859342 +768665907 +817869707 +1219040704 +1594412169 +1170286483 +1152041292 +1172156943 +1581409417 +2136566832 +535352250 +892386618 +2019188022 +1059452743 +421777100 +834968723 +1735881394 +1907790992 +1298074763 +1910368028 +513181952 +501802766 +2039155233 +754575296 +1840474318 +916221291 +2146895158 +613824714 +1054968353 +350390073 +158745417 +846344047 +1119055980 +976615124 +2065384752 +565984502 +2146901607 +1069942396 +1738141445 +1580827376 +1059025580 +126010047 +325730346 +930729955 +1185462790 +747507447 +1765698678 +773860537 +507814791 +916289793 +536744917 +1020996743 +1418092560 +428416502 +1775572039 +1111083230 +1344637793 +1774983550 +1724907944 +252122498 +2125373623 +1883653361 +1098466546 +1096945955 +712784837 +1016367650 +1662930457 +712202796 +2086310046 +1253588254 +145546525 +997851978 +1379598302 +471276871 +1928581933 +417577444 +1218784318 +1546796964 +1191437981 +1726599110 +315603109 +1728182898 +600112205 +1733695669 +9115752 +228200597 +697295252 +1353753546 +2003184147 +274719548 +1605876044 +1981074122 +10889262 +556858942 +930536429 +723674099 +1573226592 +445983239 +1435876896 +1512052990 +1699571493 +1581423421 +362421321 +931686147 +2052700292 +143519606 +1349263592 +1124000963 +1690316570 +393217925 +703116425 +2005919680 +2121400824 +1303228630 +1592131701 +2130516576 +1531429227 +141943305 +1336786474 +1387129726 +416662854 +795178871 +1220720200 +427552116 +1352037813 +3772982 +1151226215 +777780758 +449756221 +439619463 +142350100 +1844066 +2021042884 +504771421 +933530214 +1926259529 +648291028 +135310158 +902776844 +191123950 +528528083 +1605893269 +49559982 +502445259 +761638251 +1641691684 +485478188 +145583831 +1783634989 +1822264662 +1532713557 +52814195 +469959885 +605950110 +480366311 +1821997699 +609723092 +1631592527 +452294809 +1059479313 +2071211990 +594644909 +1061323379 +1944771227 +1099416331 +1994853593 +1723547108 +1747707359 +2130163751 +478840304 +1938831309 +511208187 +2084733573 +1988391292 +1013653446 +698888176 +1482599328 +1499131634 +844472007 +1118750669 +1173912649 +229701917 +1171564865 +1643872534 +835652027 +1651931176 +1318386585 +1445375119 +1136040055 +1770681394 +357370784 +1059768398 +217842656 +1418694163 +857055977 +1317258987 +1266064109 +433119437 +917482698 +1248744212 +911959741 +708830359 +1759952399 +849209666 +549738003 +626122198 +1548097842 +2032337331 +2125253832 +245086202 +1003604353 +1151682833 +474788119 +27685570 +648071720 +1310440146 +1679616746 +1966458305 +608331617 +668173154 +1589656052 +965702401 +1727941552 +1807498708 +236912916 +437513881 +977274047 +1502977025 +870633318 +1894756745 +604237590 +1782593059 +456103456 +216706341 +484319077 +1005841460 +842828539 +2032416919 +890695143 +820598724 +130019473 +1894299496 +1972281557 +604807592 +1921985066 +472869629 +1915247738 +1454118165 +291844287 +376095707 +2122291319 +1881500339 +1341798108 +1702749223 +1541515399 +1578711025 +2140263104 +371305798 +934204402 +863412774 +118578895 +1538441992 +498522185 +574682351 +1755148334 +982841262 +1580523811 +450493225 +867774533 +323735307 +1271091949 +997794007 +70551155 +1095889859 +1602601599 +1992536222 +1568759488 +1370365690 +1299170739 +1860603775 +1746461397 +1273978410 +1594620466 +940775858 +829243985 +988652217 +372003235 +822023441 +1359958015 +1306207637 +1685436215 +1478536910 +697165982 +36474752 +2053219262 +304830668 +1019316014 +1486259425 +755323893 +1887090547 +1809994732 +2026415843 +737400906 +1880545888 +974822054 +192518858 +1725598462 +396097894 +1562884548 +877285553 +109218022 +1161862297 +3780315 +1703838488 +2102638155 +833024300 +545007058 +327157742 +1655047741 +1904965073 +1633365380 +1193000308 +1236018336 +183047714 +1229475060 +1141753950 +487878382 +101307426 +480529727 +1243202275 +1988397973 +143040812 +1122134470 +578315232 +2023586700 +2096956524 +770834090 +1601701514 +345570771 +186234990 +331503419 +454788793 +1348097287 +335283734 +11143633 +1303251795 +1168308034 +556150691 +1630409537 +675872127 +313632117 +1116291269 +1868872435 +1549650453 +1299338983 +950863847 +543920755 +1787217365 +1052171273 +1024450482 +882935993 +893085598 +1167491294 +2005070463 +1471400830 +1043594346 +1954543340 +94751272 +497812212 +152630463 +280986262 +829315631 +607419256 +1629083550 +1164599365 +618562889 +784851697 +185423751 +1174713581 +267777586 +861295878 +1488345698 +1384068856 +582684665 +890512503 +535924191 +1533548512 +1434433258 +175657909 +438236137 +311400092 +1058593902 +1331321736 +1478891387 +916180717 +655238918 +375002085 +723240409 +749990191 +872814298 +875870872 +1030976453 +1702129929 +1483290128 +512576355 +719245647 +2101853018 +1297428052 +904669398 +1129082951 +1565205639 +1765965277 +469945001 +801790847 +201166294 +1360457504 +1337715038 +1734714807 +647407114 +1513372947 +25467296 +958807206 +424483201 +1356789032 +290214945 +1340663919 +2012027951 +665217031 +2063904328 +614534494 +1538031329 +792291553 +1645510947 +1092677610 +128098033 +10603655 +1811923257 +82467403 +1308031707 +569109008 +1211550354 +725753698 +187590637 +1681495355 +1527544545 +388756931 +894469211 +717775936 +2123471738 +1541876325 +83665235 +1455387 +353199884 +508148437 +1358244419 +643414829 +1848812356 +1222788722 +1308631860 +1765233036 +1837323216 +699179541 +410040941 +1335350516 +1791857152 +538138975 +1345954171 +1456296761 +620606378 +506502230 +2025405769 +1832156733 +1232255929 +65512758 +1366168440 +612316826 +454269690 +113154004 +1330092762 +430257780 +1655030329 +1413757998 +431713167 +2008230213 +1921906435 +1789957587 +504161395 +1623235143 +865262661 +1812793255 +1240984531 +555102230 +364489149 +1651025473 +1890452746 +8862653 +41680800 +1088923269 +1465159414 +662287178 +1595425499 +1343081536 +346960263 +680197780 +1408594294 +1713128704 +1292514607 +1862863984 +1826282708 +475123721 +145638117 +1333829389 +1888881719 +577351284 +1194575955 +1663304506 +219825223 +1698737350 +1139056001 +1085087885 +1364046957 +232556885 +1640190115 +1728536106 +1883582358 +1383159213 +1737398759 +1925263158 +324598834 +1055074526 +440066688 +1920024333 +250672414 +787026952 +452738466 +1659266708 +352672008 +1745253073 +1374647045 +31471068 +72893146 +1520285162 +1365300457 +1961774866 +2097636446 +412392764 +1477595724 +169978022 +2111130114 +469168078 +1255065907 +1327693424 +701724963 +747772374 +908745882 +437823673 +2130931587 +498660994 +215603183 +308046773 +1553735520 +655669871 +80587458 +1804407934 +1442696823 +533325924 +1316190994 +1795368831 +131095349 +543354391 +1826839899 +203988496 +2063639553 +1044656709 +18279714 +2013792352 +1457049473 +1495875438 +36286726 +1420695940 +1965043516 +1291352633 +600905716 +519284831 +2039125007 +1509651598 +957108504 +2022572946 +2008312592 +1172711687 +183136071 +1414564464 +1828381559 +263723529 +1071488750 +1123594734 +797049454 +240196097 +771479918 +928144803 +783550488 +450836169 +1132133299 +699706394 +1495492878 +1150413013 +566015098 +805058704 +498804804 +602301824 +78270996 +316364672 +1893654457 +679176712 +835649504 +1785295816 +41344662 +1792758008 +1660385114 +2049657255 +817986048 +1843521185 +1316738071 +498883959 +2107244714 +240743174 +1622478693 +756810520 +480939271 +246474963 +1684955324 +1264489759 +697311133 +669604975 +1964196153 +45320363 +1820017989 +382727603 +850379067 +171339145 +985029427 +928650063 +487703817 +731200236 +1607826775 +1323353321 +369012404 +1649171438 +968627682 +2029397518 +1551345045 +1786613730 +1725435055 +720599468 +138014041 +1685196122 +961342642 +1760492734 +294522994 +1442281913 +2006967698 +1979478318 +559288025 +556795183 +501599646 +376000530 +602115546 +174133987 +758728134 +1452494614 +345473132 +1743757561 +233661029 +833176949 +327474150 +1841487805 +9046623 +696486554 +1343175595 +977674305 +578400425 +747036992 +616804387 +156351832 +1467636460 +754818428 +1841547954 +281495455 +367827514 +2136070949 +1723777368 +227311564 +1968065619 +135581745 +784106747 +322181617 +511582276 +1386222294 +496315604 +1270310410 +691233260 +841788736 +866584323 +924894289 +1674965686 +1194058473 +618898446 +1684012309 +1890545028 +1962074041 +514202966 +321461805 +561627385 +1131007353 +477813637 +2029263846 +1885825781 +171877944 +163275653 +106169647 +160465245 +1887053021 +333481212 +2128530864 +2022634767 +1117587959 +303228834 +386733395 +356326605 +799544438 +1657043805 +1047559865 +1641333175 +376144480 +1972454155 +1168815213 +1570202954 +443868953 +705343874 +1313264334 +258459347 +1219546840 +1634726139 +820086732 +203070545 +2112539776 +701866930 +2088896326 +136934072 +865142583 +47582325 +297399317 +604711957 +381063537 +278446534 +479863076 +1498651497 +581675368 +866596471 +1854978102 +1381219806 +376156628 +755054320 +875069333 +752301108 +580024827 +2043884546 +175020414 +1023893780 +601744772 +1488284748 +1282353127 +1821291612 +975527239 +2102439860 +2024362157 +940583368 +656823142 +1965774835 +1077517440 +1521965726 +2013357161 +1374916758 +2126677683 +246937050 +1653363292 +459057111 +1745588547 +87555012 +1325653582 +1453083002 +1468774818 +1701810210 +60653674 +196360504 +306627670 +640678501 +92761402 +481648085 +1664572281 +694506175 +1969932833 +799441761 +368314139 +797976425 +754397973 +245192649 +1738559793 +1411221115 +63483836 +668593585 +785703193 +2076840997 +2043510343 +764897228 +176294400 +1549389987 +1223954339 +1921882947 +1636944999 +402124273 +1227482301 +958236170 +2103934483 +1288135975 +1154596674 +263078506 +1928814476 +1247358076 +744726591 +1445903110 +1941864251 +567175776 +97861223 +162694743 +1365152201 +852259196 +407887392 +956228346 +115996663 +471371228 +1624821932 +901699857 +400728578 +1520848627 +1666597085 +577022978 +922754967 +743067777 +351422277 +412216318 +1145192050 +1578904579 +1370452488 +1101642886 +719556906 +377565514 +1364721392 +500887735 +1624923591 +2109447983 +1946790845 +1419304194 +529140111 +2044652068 +1581998937 +1894292313 +749427616 +1989886329 +703037011 +865424279 +313773910 +180375295 +1767124136 +714502488 +1701223923 +1286237574 +1291525466 +476495242 +2029305351 +1642947743 +888711560 +1027013753 +1074368674 +111680401 +2128656639 +1793925581 +489245915 +1345894383 +147329668 +2114169506 +1307858718 +2094120513 +1385990053 +1836998830 +1991288933 +820505342 +1583807495 +593232901 +662908024 +139360858 +1458657180 +976681934 +319736154 +1078297669 +1691184422 +2020960077 +217051595 +835226240 +349971671 +98873298 +330690335 +1238683231 +1125887051 +1405059010 +1350363632 +1107060043 +1051500943 +1839609548 +305470778 +1198830611 +1806295406 +1613329497 +1145467476 +1044801811 +1302844679 +989272761 +1865307154 +739168526 +1582505662 +380731530 +878529384 +893679194 +1357413464 +1198265538 +1971976863 +901114238 +1071741967 +41544810 +1736340478 +1421713638 +140418108 +2067030813 +512913222 +1266305160 +1324606175 +1863276854 +225881555 +228623470 +1555402754 +531352333 +1427454081 +1214214513 +2144681830 +425437909 +111532676 +1300042861 +1414710670 +1976839830 +2039211387 +849732684 +210087712 +770257124 +1743411879 +1567501176 +1968522662 +1567905094 +321131766 +892780982 +1609449905 +2057472244 +167010972 +1749868013 +1977019410 +679924194 +868689525 +1154141937 +395717401 +1094571080 +1382765408 +1951120155 +1625923414 +662735841 +1017851020 +1623121596 +1088173751 +1129383697 +775680810 +355400773 +958739879 +667408549 +1205133458 +1168827592 +1437665673 +801061689 +588845120 +1258704688 +221483135 +909976887 +4002022 +1830933040 +819965483 +171012994 +1433317406 +649501245 +850937189 +154523283 +1803643183 +1246654590 +1249094364 +1038924943 +1050291097 +727534130 +1701660784 +2068142118 +203172078 +642350887 +1050042167 +978852888 +997751661 +2008782046 +1646261438 +55401471 +1030125990 +936443463 +856463160 +1618971111 +47664503 +1077946295 +381464350 +51666525 +761395688 +1201429833 +222679520 +47229446 +1850931079 +1073616709 +201752729 +1507090614 +172787651 +1450847093 +398531909 +1223078748 +30897575 +2100192693 +1143737218 +234069654 +595059933 +46295737 +1212922542 +1592811594 +2055077784 +711700332 +1648213065 +937720126 +1648143796 +357192577 +409207589 +1695808299 +1435138872 +790671939 +1747474825 +49050912 +1992101773 +1970154345 +96280358 +1695549204 +896287406 +298033088 +1055156170 +1069075057 +1748880181 +1453688079 +144670157 +1779777757 +1406397124 +1288407376 +2013847411 +2001457057 +1334703113 +1079286305 +1446785003 +1242297249 +1790986638 +947514420 +32533728 +1291646786 +1304706997 +441741317 +839971437 +592362222 +1232413257 +439962614 +641413134 +1077031382 +262633311 +737693493 +625096938 +1158920717 +1035726581 +1680253108 +80512126 +637123114 +986457539 +225182284 +269417223 +245371015 +1513589660 +135780986 +99344425 +700809125 +1215067292 +1546129428 +1943106375 +858570282 +346160201 +1975640103 +2733420 +1650867198 +269897772 +842704857 +95745772 +1502311029 +1282667472 +737158907 +431858763 +1545300783 +1474852400 +1056955701 +556737853 +363095333 +589725161 +637249979 +1000218447 +1576182700 +862432263 +1269635671 +1821553716 +228538275 +1405416657 +1920898141 +929347401 +473000301 +1319543921 +724970128 +1331570583 +1665704122 +553126583 +1334304003 +1169087673 +823024355 +29525213 +1264833445 +177851737 +1312192685 +2001992352 +609710500 +710009820 +1329361104 +1666666202 +1266747673 +1692456437 +108907715 +1903997653 +545191237 +1685090416 +618946268 +1814826908 +1359160484 +847484544 +1072759917 +1132574977 +1776831945 +1545760219 +304635250 +354318425 +729847154 +1970339373 +907445008 +2064151158 +991943398 +1730469363 +2093676371 +109293195 +1908321100 +1258385408 +2111285548 +370547953 +1968395228 +1293163004 +2037214155 +1087659254 +838135794 +2146121870 +844173259 +1383327031 +1683728638 +1463119527 +1050670291 +895405474 +163120423 +2123430208 +2027980451 +1939952368 +1521706779 +185132054 +146787145 +104070286 +7987779 +1054232153 +20737796 +999931177 +637217869 +2114414167 +1109224372 +398055321 +1225315927 +1073026272 +768603274 +1046227507 +218705629 +658333781 +2133886761 +1056841423 +656972004 +830576372 +292684806 +193216994 +146212252 +1343355097 +1088622469 +309332675 +1319301657 +969119272 +101801396 +693524789 +1154251326 +248588541 +797595075 +1162239105 +1302820695 +818332871 +14686634 +1940038564 +785263390 +1123911007 +190610237 +2010579317 +49453631 +959213512 +909323176 +268159260 +1617547293 +895726290 +1325000683 +127035649 +1726302662 +1617685489 +320252644 +1872514914 +813556938 +1408875113 +34363942 +2132858596 +230510737 +136165338 +678899737 +1384762064 +384753879 +1476494812 +399517521 +1687574574 +147344035 +414204156 +1480129490 +932607425 +1538115163 +1670739728 +795703094 +1587568794 +482469592 +1705026270 +1855728055 +2100016885 +453268912 +1033245090 +79568887 +32087927 +503446932 +399821531 +1904602841 +1317003870 +1808696644 +1938966783 +1302378818 +2039207381 +2075132121 +1981278555 +1276485797 +312402353 +1310289719 +1676003319 +1999976927 +1457633754 +2090207475 +1332622770 +242757531 +1480838990 +855878850 +1038460625 +920924136 +1338348442 +596003248 +629168543 +1290881679 +1049272160 +1662413634 +1370450566 +1081360087 +18376918 +1770272097 +838479281 +1335380788 +1431485093 +629962416 +490275959 +1323208827 +557610890 +324070866 +452210976 +870013243 +1634360586 +2128214295 +722506522 +944510692 +2070938122 +2055129292 +1187268224 +1404293464 +763524494 +78245201 +177733953 +2101872936 +674248449 +806902496 +1245270968 +1723520610 +321832482 +468237886 +657397049 +340209400 +91026336 +1495876330 +1675590189 +1522511429 +2125838747 +18382500 +698236608 +535965989 +342453366 +1150447585 +1405979232 +1976813952 +1131178232 +2128485754 +773840997 +1054632707 +2036131399 +1961109221 +311442523 +652172245 +2039354422 +489176476 +606561534 +566119224 +1296078973 +1851832502 +142156186 +1617911455 +172586740 +799553235 +1958120856 +263613076 +147945918 +1486227397 +1786124506 +126301017 +1504609897 +336877466 +662267006 +1847063263 +1487325051 +2068246238 +1676393568 +471019636 +2049248344 +302750917 +1525652343 +1937896095 +116376490 +1837094866 +442584693 +8247264 +178787695 +1049146227 +574366488 +1474866668 +753495081 +716522674 +945294475 +926081821 +1516075910 +755931683 +1189694898 +1664021828 +94675432 +828335756 +1790322845 +1599285329 +1165213222 +305106203 +1298864945 +505054626 +225868793 +827774865 +976074262 +127633489 +1130525782 +354242957 +2065529585 +1246902272 +43854175 +360630630 +1255149536 +222641870 +1409776857 +1829516025 +1697508538 +15788290 +398555051 +495319366 +941870111 +1914630961 +1251251049 +2131565009 +1431169141 +1345926482 +812417117 +1074008338 +797728163 +1977630340 +1379114541 +2096593108 +335201318 +1604983334 +776884325 +1311275580 +1732616824 +1907410107 +1665518537 +1650662761 +1006828731 +1709372712 +2011293391 +114494620 +1932014583 +1273586600 +1944010645 +1482039473 +1289374890 +195082048 +1977358839 +83761353 +2109713010 +1081126241 +67842715 +1393398503 +279569075 +880259832 +319923194 +1077297238 +710406524 +1699037735 +1026406699 +1045607842 +1156537422 +1803291024 +209399774 +741670598 +1563217484 +1874918311 +244849711 +422562567 +1436807376 +108659454 +537057187 +1221338311 +1382246054 +333584184 +555894136 +524137296 +528666233 +385769328 +607898649 +490895595 +1466895569 +675741364 +1884294098 +1746464644 +1556001197 +56733644 +676278234 +118924073 +1755771380 +1702684933 +1164531916 +764825154 +1358492310 +1373931690 +1506495752 +774226146 +1101366354 +1751345463 +1196788713 +390690082 +1860004917 +1733845901 +1612028393 +1094767323 +2067430085 +20438881 +1618904619 +448612670 +406208209 +79319620 +939508265 +1873103778 +755060985 +676318716 +1472084774 +163578534 +733052360 +879361 +282502607 +341340092 +1703564294 +1447034523 +1106165246 +914572956 +673482566 +465177350 +1688799102 +1774848920 +69039165 +738104168 +18055354 +1929044082 +324466421 +1630083747 +876327757 +244412858 +1650522628 +347748728 +693025529 +2056730838 +427068349 +1632533794 +1782350968 +1182129334 +161368862 +1106952095 +1345707868 +894421223 +1107831456 +1628210475 +1235761315 +663912102 +927761351 +194442914 +1578485059 +1601243917 +659620264 +1119800513 +1228609189 +728659430 +1857904681 +1246664543 +510219864 +34887454 +729264642 +1386547622 +279300313 +232303622 +1734296350 +972325842 +141550812 +13881051 +457375988 +1923901781 +1196010385 +618744851 +883370228 +394234605 +1513166074 +1991201684 +2022445081 +601443741 +507630138 +802722784 +795886655 +2086115197 +256483053 +1455506920 +1058432063 +1485092242 +36682702 +768853096 +584273137 +546902566 +803740551 +1313537779 +1933450188 +1083040864 +1545841401 +1520262891 +2055366706 +1687392214 +1534143942 +365259046 +1463810347 +582670680 +984003897 +199696927 +976905285 +349686323 +43414963 +851866718 +951130065 +551045101 +1654589502 +1747016720 +489676651 +1911072555 +1055039992 +1548108714 +1248681149 +1091722694 +169478162 +1832954286 +1638625261 +973218713 +999008417 +1424591801 +2056259577 +397366171 +797371044 +1964142635 +2084758385 +184031339 +181918034 +1401085084 +766702019 +1165921931 +1600782011 +1743607304 +1515608255 +1644196974 +447990375 +319254672 +47758427 +2102579877 +2066271392 +537435078 +1866168785 +973827737 +2085543792 +967366286 +2065550431 +107538307 +652836925 +1556692044 +1080757020 +1651845342 +833800198 +989532950 +2049211513 +1631171242 +806191937 +1986486250 +1815202581 +988109971 +1240087686 +434420952 +6548255 +693386049 +30544609 +1522156510 +190099375 +478534984 +1841411182 +237857803 +433631213 +1760198926 +775292881 +152316350 +586543015 +713353026 +1119682637 +504609799 +820891333 +1772519562 +2061301843 +1901648353 +1276881256 +747618393 +743697655 +1178609122 +231305988 +1549889593 +1017611724 +2046508569 +390515916 +110215763 +333445874 +397064171 +803601812 +363990483 +1919220681 +993701188 +842525467 +1613148215 +1231558991 +1276156680 +1225863494 +2006851872 +1428473031 +1812406509 +572721250 +400672020 +169532660 +1393612583 +25707934 +83350856 +1147777289 +1302589190 +830969249 +1891474944 +333714664 +1062275237 +1293880889 +1351326389 +961300159 +1684396806 +1461542152 +1294746033 +2081460977 +117660316 +1658736516 +1853198011 +1111361504 +353778335 +1318862578 +195436847 +1629935015 +397242424 +54805072 +910924398 +62165286 +627526322 +1311596418 +231697946 +2021138906 +1337304352 +315048802 +1021432547 +492409895 +1146018052 +765423843 +826124559 +60809641 +2059304733 +29967300 +1022109800 +1596217891 +1491509452 +169372185 +1530195220 +1609169769 +1828108701 +1235909583 +573047625 +34403388 +407288514 +768484473 +1664338404 +804530938 +823289545 +427779154 +866696224 +1450815867 +1739375573 +1098394171 +1324471125 +929196277 +1413442973 +198420024 +1421606172 +411977377 +963843868 +100247084 +472787019 +875664953 +130214384 +1494896819 +324399196 +1621723837 +1664269005 +1854594416 +1083409958 +1344894058 +943020352 +1656457583 +1379297447 +1350308866 +277458408 +896152203 +7356156 +1100747953 +1323931357 +874052381 +404080173 +915823282 +1972446552 +1728551298 +1845019560 +1238405877 +1926971323 +1119142084 +1650383255 +743331543 +1219389168 +2123170274 +1618996496 +1349603553 +1470583445 +1943395692 +823843742 +987368802 +1650506460 +1907253700 +184779213 +446043164 +1416227635 +1564076660 +1796352030 +1693686044 +312745215 +1803708187 +646950349 +1636676572 +530276920 +1051030522 +405016207 +355239824 +632098173 +102552119 +1593645701 +411585848 +1221694203 +1096545308 +1154917391 +293599724 +1072231934 +626430239 +1643203277 +395331732 +422342283 +319563371 +1382700534 +2072848743 +79333423 +1567479747 +371408260 +1495561058 +984072759 +20276642 +1041763454 +1296817974 +1823984829 +1688713804 +786010899 +206778101 +592260678 +1191027106 +562017925 +1224358851 +1293579225 +8179979 +1635944699 +367789780 +1104725287 +643378442 +661389504 +29473574 +1269808681 +157109133 +424805306 +1692150964 +476672504 +1807505840 +1617516060 +556005927 +1227501940 +1988924320 +2051566986 +64091051 +2009200962 +945846792 +1360909026 +1685702144 +487076948 +2146919925 +1892480245 +1079337627 +1190463383 +307014523 +156212830 +336558960 +315194502 +1792157530 +704348740 +1419919789 +288052324 +1365738245 +1449393363 +1557861006 +1522847378 +1874198669 +1102528322 +1999519883 +1534220862 +572560734 +408042162 +614239154 +414001406 +312125500 +678330205 +275718721 +1257972293 +2039239231 +1961420865 +1745049241 +2038675508 +1706417462 +676903220 +1081655243 +2013431985 +833116051 +1418214203 +181142839 +477789933 +2122562944 +1601062629 +765842257 +1340817541 +902972344 +176219615 +716181271 +629687366 +1278747938 +568217506 +16424580 +1851308672 +976259669 +630663734 +117826431 +1288385169 +1308993939 +393545152 +398873814 +1200749523 +207482369 +2143923056 +1091941383 +1913899831 +673342628 +26112979 +1779848169 +1506458679 +1444327182 +1960991008 +1984248612 +1419406478 +1414569989 +602607222 +612740371 +170058686 +778826837 +1328921643 +799746052 +2057574775 +1897139149 +816170632 +1761399800 +725915170 +1446834366 +1879226231 +2014300340 +608344657 +125287735 +265690506 +1809094180 +332770104 +262129914 +753551916 +99186287 +935472543 +779664895 +1879034456 +294447574 +76508429 +1692541817 +131212539 +1495914908 +959628158 +733819761 +2108655279 +1129686844 +1512646598 +1290093274 +1929432896 +1422737726 +1039748776 +598119880 +1036653878 +1765663946 +2044954246 +768396461 +1632480638 +505815256 +893684196 +1898171145 +167425788 +1226454300 +12817411 +920977704 +1325640587 +948289954 +1700642599 +1057191396 +1242737529 +1777151029 +602249565 +1373950068 +1125582289 +1561877723 +2107769829 +1086753920 +544080920 +1472932779 +229363547 +326030168 +748186857 +1269112323 +924150049 +1784840735 +887292621 +821620647 +405753548 +372289612 +1327435903 +1299437744 +122977109 +1494861692 +378408396 +135794520 +268355748 +1704048984 +1084084475 +1968998348 +613756732 +179338356 +1598665729 +1216006297 +1553288424 +576764370 +630400372 +1513574605 +1663518290 +1174481292 +839023736 +1892881837 +1500511461 +1587210594 +1014510512 +277177862 +1224567681 +1901803134 +1098798509 +1630321230 +126609098 +278750765 +782275326 +249586207 +1773612457 +1160683723 +385380727 +2041968205 +717249059 +1469465202 +1863482905 +1331005791 +1648803558 +1314664986 +399528440 +1054608334 +1891429356 +1029928812 +420699291 +1407463999 +56926457 +1259723028 +1152862188 +1557437918 +699449974 +19889053 +1834615780 +1924017655 +1921692187 +785930641 +1406855237 +2048301285 +1064681406 +41646916 +150403844 +690810215 +1202330639 +535784571 +585294773 +1919579698 +2005249774 +301294030 +1103101841 +1506569684 +1615959017 +1502630281 +413694371 +1359904725 +385075445 +834393662 +619885076 +442001902 +2094116690 +1772747265 +1999439820 +646083016 +1792636318 +1686571952 +422617024 +1566844857 +325018946 +1829472261 +1467662494 +1389700352 +1871119177 +1618066338 +2080510568 +925966168 +6367261 +518321693 +698062218 +2011617035 +819615723 +1801164059 +1370703072 +288091092 +1156310692 +1784397443 +1647995818 +1541386138 +471307457 +120397246 +1983388040 +417940500 +1893144511 +1835344213 +1064023516 +1538297181 +1374432517 +1486640540 +957658390 +1699451463 +1168629154 +277837236 +941668168 +892264683 +1895903574 +874695088 +1818230852 +1902270836 +1393016781 +368809422 +1766404223 +65148856 +22489834 +989623647 +353239949 +1178800526 +626537442 +2001235767 +572703016 +1097844900 +2121633013 +408607409 +1515785400 +1867293877 +96467974 +432325268 +1258107410 +1470900491 +1918965809 +68282153 +1022868307 +940111315 +346119389 +1964536475 +1832375998 +94539316 +691747915 +1503123202 +1996810152 +2084764696 +1871932625 +1615730727 +2429904 +1894422459 +457870727 +355669853 +925739337 +1084408169 +209421972 +1498442354 +34769421 +183571338 +1907049763 +1550554821 +2050865215 +2003517737 +1982880090 +1161488977 +1326934580 +1754362251 +1229771130 +202319239 +546989918 +1575890520 +19372066 +231882268 +1670429836 +711119981 +1735005471 +1519756340 +648401029 +1459454448 +988003419 +650830934 +1206393259 +1445874146 +1006500787 +2132132596 +382798668 +1215922760 +1483091302 +417568089 +1399494098 +1242657417 +1968122911 +1302875665 +1098691506 +1803519353 +316880994 +278142439 +1410397956 +1546652125 +480461678 +1957387874 +975058997 +499833745 +41786494 +498005185 +1210953726 +1776791965 +2017761525 +1859354756 +1088762765 +858281296 +362702042 +147672376 +156671795 +1369202829 +132321325 +539470463 +437641941 +1615412627 +957038552 +1837136039 +710586397 +777677815 +992528056 +1809277903 +433713520 +1309409051 +2087420342 +1844111476 +708577528 +420398373 +1654015702 +1683636525 +920232118 +1695802197 +34158062 +2131185844 +1325110514 +2051919587 +1843056952 +266389632 +762717235 +58275346 +414062008 +919389030 +1427478176 +546383333 +1458859493 +1865120117 +14312313 +268414398 +1554772509 +724898710 +1046092213 +399816917 +386692965 +1479805734 +1709225968 +326629660 +1176433562 +270319848 +747028033 +682965617 +1953956373 +1667260151 +231284166 +1988114435 +1650962347 +1556394680 +1892550374 +1346535652 +1822784312 +507783962 +1404810998 +89362673 +1427172992 +684805526 +635746006 +738548838 +402441996 +650058319 +1006963236 +1957214505 +1374957029 +2053055449 +209547774 +1761649995 +1385377535 +1918773743 +2088279655 +414327450 +41609943 +687824040 +1097293067 +1995566317 +207600543 +1328577233 +1836197104 +1858562890 +737488265 +1581263831 +1057614894 +412788930 +2089047793 +314942245 +502151603 +1368737137 +999747771 +1137897609 +2107285975 +1402189767 +1787955929 +966765563 +1211920624 +1015429310 +872337365 +1421468399 +629595657 +110231252 +1192758494 +570391664 +524558702 +1234368437 +1258215704 +1621851769 +1082451106 +1465816247 +802945354 +771164563 +1176895490 +1540433620 +204944746 +87026736 +1953222550 +146508891 +401968981 +307890505 +1515246028 +1401716753 +1445788114 +1475048356 +656422872 +1086260395 +294330271 +1868343497 +2101689706 +1166667636 +1142328248 +583801715 +1276898889 +187603094 +1154193380 +1801457591 +1421971531 +264925436 +1275825713 +356938990 +1730741684 +2078771067 +1128103553 +760153526 +1471721039 +1333048299 +847180262 +1277459941 +1479557190 +1249149244 +1585350446 +847319570 +503382349 +883654913 +174884278 +1159805221 +1969915308 +469214550 +880665070 +1924121366 +1635882186 +2022993318 +360439434 +765297427 +63112764 +1514632814 +419271371 +1485084296 +1779558250 +1695097084 +1842023286 +1362816286 +1626384503 +822643191 +2122969812 +950621895 +8207842 +822666427 +80598188 +1487765032 +2071815671 +1665948635 +187600954 +427714372 +402119900 +362485233 +1587519593 +224551560 +831699783 +320701016 +1189279 +320098321 +196210686 +361628713 +1085395749 +259323451 +1876261527 +1504667120 +1744407747 +1508336129 +1052280556 +1438947385 +723668768 +531181411 +114106928 +699154932 +1481803306 +122314770 +1521821359 +1562401495 +1610079802 +1446153382 +1080866482 +1797680756 +1873867754 +1482986382 +12682341 +1313903700 +1707537942 +844382124 +1634604716 +1708727221 +1164480446 +1830815402 +2070355934 +102392547 +2090138853 +1799133813 +1607059667 +1687062952 +1159986295 +511856575 +978526689 +1883655063 +1043037986 +1092633617 +435326347 +377357645 +1214948387 +1957147707 +1939759140 +677544541 +1255817441 +873141974 +327741650 +982201548 +208644708 +340423991 +148621600 +1916182650 +1184806116 +1783226316 +1477426224 +201802914 +1466558070 +1400298510 +304195461 +1409213276 +1051948676 +1911255128 +948792580 +64451323 +275628055 +1927319270 +1948106386 +1318666041 +872469239 +235949085 +1696023686 +2087417627 +45613144 +1488299178 +617478520 +1301430586 +213957504 +945220170 +136148486 +422602212 +1285644162 +284770086 +191301215 +322966630 +2067996402 +1668727439 +524769544 +1387070824 +921542301 +828965005 +648800452 +1973490977 +592736485 +1597593033 +2037942300 +868364540 +1377428655 +1838565038 +39546933 +102414246 +2074514124 +1735570620 +42348225 +2120127268 +1076386150 +659826746 +1274074206 +1290343655 +1605046916 +1410222692 +1712945867 +743207430 +1694992778 +1904247082 +1066174060 +1615505532 +1425490873 +1590943604 +855092709 +199549527 +272424961 +1503893161 +25556856 +865161446 +954002546 +2063499157 +1733525986 +183947553 +1754580547 +1773072920 +286361800 +1681611023 +1361159892 +328710025 +1654254644 +290062394 +988536771 +780845202 +1580406049 +446100040 +43584247 +1145868269 +1189307470 +1738577025 +902631703 +107997883 +1206598910 +180638929 +1698941487 +2061691619 +380188456 +1971366449 +1418101132 +405745312 +689044247 +224620031 +321760821 +275086586 +408567584 +2076341369 +2048159506 +694929384 +1610468744 +1261835750 +1023639410 +1117239740 +1551898144 +2012176181 +1898084943 +984820546 +310792573 +1941669190 +2130688815 +1500100044 +1532762567 +885836870 +1608097927 +591877829 +1066475799 +1159555766 +506085800 +1446664255 +983438567 +1924186933 +1852409568 +1672482815 +1323316 +26686741 +1947569401 +409890900 +2103028110 +1848245259 +1104820285 +1566013207 +962597361 +2128459695 +535769299 +367011857 +1993152228 +286370594 +1351832403 +156461154 +80556136 +1335037570 +1656561198 +1613318704 +73390793 +1117175477 +57712885 +1139866592 +129247595 +563798686 +439047200 +1112686163 +340501971 +143973120 +637685330 +341825287 +170659861 +437771083 +751716187 +126204324 +138532694 +1856536472 +1692217531 +1101130055 +1837512519 +80503182 +1468141912 +1683181100 +366873777 +672490668 +1839642254 +447429913 +2007528238 +1348719804 +2060748617 +2080919031 +318411633 +2118461503 +1073301976 +447659228 +534776541 +1512349176 +1560345391 +875278512 +1656322296 +50547073 +1217103799 +1826982157 +488318156 +1968819986 +1953186481 +626850850 +1677872811 +1497920364 +1727980905 +1367901682 +1578423547 +1048639170 +903599134 +1945297324 +1721129838 +595757740 +245243589 +1581174428 +1944477544 +158508559 +1514609812 +115405529 +129486414 +440428140 +563064758 +664262955 +1952777316 +2123410149 +1539541467 +1461615964 +26473575 +609161618 +1141114473 +514791731 +430497956 +946817307 +1141642582 +2108370767 +297254023 +722139839 +1328788802 +1875677570 +1770779009 +84904288 +1673491246 +1344425199 +680662029 +1918734836 +778115980 +477655925 +2077243395 +145242144 +593061455 +59246161 +585670284 +1156126213 +723509116 +390963952 +1132052714 +115566935 +1852579916 +1158526289 +724728553 +846210741 +1673318021 +1155226509 +1793028048 +667476955 +1116113629 +2090282072 +1389616794 +297418783 +1818475994 +1012912156 +382323071 +1344483593 +209853707 +1062985100 +1115734781 +987969687 +1540641026 +1045494528 +1133211831 +2133702481 +1104740689 +1718882115 +1142345046 +1828249805 +2109846067 +126914112 +1943816740 +1814942335 +1285440402 +521061645 +513669429 +811274775 +1676288154 +159213829 +1478751730 +644918135 +102012253 +720884876 +942336918 +1920488248 +1733797032 +1324659990 +1117488193 +1943650740 +240161442 +85739326 +784136779 +1780802468 +1131233854 +1917348611 +1767021301 +88490895 +1488747078 +761882699 +1916740700 +1451109498 +888796812 +1713073792 +1118568185 +26753566 +86651789 +1632237614 +838028341 +1762939943 +1791451444 +169296423 +260374431 +1893463697 +890181299 +1202711349 +1666468297 +476494684 +379887691 +636472842 +272661776 +620049134 +722212168 +1056798555 +253367954 +1853446022 +826663518 +2020389256 +1941936917 +167926949 +634788307 +1711193969 +1619036447 +1523585119 +1276784113 +590120984 +1550338685 +1363435902 +74874951 +240883378 +978892198 +1866326395 +410179801 +1239266629 +1612306444 +1300361101 +294494330 +1131291094 +1776855785 +674382022 +1767763936 +2049517561 +1294431156 +342492457 +958832468 +1547799110 +48454831 +1785495987 +1420704718 +1990391749 +1953422936 +2055493026 +1554102070 +1424975735 +1431594497 +683402536 +2015096719 +834449535 +2046838438 +2089971670 +1075332913 +878246988 +1808814417 +1485512715 +2117513617 +1273637214 +638390168 +264524300 +257444660 +267762305 +938906322 +2025208596 +169796218 +85853830 +220217405 +1128628686 +1633652940 +268672237 +766641025 +906874011 +111580338 +572580313 +814883389 +1665682408 +1997556048 +98994238 +201601296 +1865169120 +933443773 +100956087 +1807657142 +2008776687 +979203075 +1468987912 +1346805754 +949233045 +595141478 +1985195922 +1213757345 +852586138 +105474579 +5180019 +730311086 +275270797 +91033849 +950528492 +1403899483 +1724686789 +1219200729 +23056861 +484077152 +1330781067 +595637174 +1298960541 +848979827 +445709575 +1397954780 +1050581124 +163395047 +183914905 +1151537211 +1971052189 +45207944 +2130740286 +1292556453 +1392013698 +932489683 +1887697931 +1229725972 +2146247028 +592800421 +1335200551 +3943399 +1323111508 +1610471348 +94977248 +126156352 +866887184 +1819664038 +1345357081 +889944045 +156257542 +528654500 +1485581219 +1455218084 +1377634327 +1931290794 +705689216 +280731803 +2094685841 +889604121 +1432269014 +1918254383 +934812066 +1415525653 +1063327188 +179342116 +200531688 +803541472 +1409068089 +199295069 +1396341893 +596784992 +203238468 +571969753 +59772693 +298215717 +698126105 +926659877 +2117879755 +2043483186 +1816603922 +126653649 +424654038 +1154701493 +1581871733 +1802288366 +938508640 +140077301 +2083020169 +885710833 +1029681423 +1367805536 +656481568 +1964493489 +635847541 +1719808757 +2143835605 +836379229 +375866581 +1405420046 +1035674298 +1772208474 +2002205039 +1238912767 +196694580 +2061977732 +1537128484 +894820685 +841153961 +1507524591 +790820224 +510274235 +1634178240 +1215474262 +1664975728 +1068566326 +870278980 +456000720 +1208643627 +805815502 +1341711554 +90841402 +26137390 +1998193122 +2055334891 +661984931 +1570518231 +2051686849 +1498364160 +1946384812 +1309623247 +386554811 +1571109639 +1164344638 +1625467578 +1767804219 +1078838722 +1015112414 +515141256 +1919992683 +375153357 +1305961480 +282783270 +2009331597 +373952095 +1947758999 +930414275 +1244231075 +256276071 +2139057903 +2050046577 +1597987625 +82415657 +2076183967 +1448697100 +2137750549 +590685250 +871731683 +2041953750 +2089049411 +670632848 +1204093349 +328120574 +94258839 +220954340 +1953588152 +1862063058 +1299793062 +821216918 +229720666 +1072302098 +1196370275 +1535682147 +1355085368 +1058218224 +1909634242 +1155360719 +1988632500 +1006381669 +1411636791 +1980206755 +908944599 +862140768 +2062622412 +837644918 +163354220 +2052889313 +1428330169 +1035085904 +1947359415 +1369895932 +1705718752 +1003969117 +1698016506 +1799977591 +1224923457 +1504121010 +1514557001 +377232871 +177854280 +1744277667 +1449534969 +1374224555 +1132476166 +657136690 +284959131 +894626760 +1812497409 +126107983 +1901008430 +1076650552 +2106314738 +662469381 +1938791321 +2021453503 +1500114299 +2102145541 +1926859168 +780960820 +989747797 +1726734936 +3373104 +547982901 +583220405 +1701389610 +200476844 +1808143862 +1058026972 +1715033845 +37893085 +1235881252 +1311827865 +1487428055 +462622159 +296820383 +2144564745 +747581291 +1191447144 +1809578506 +873689274 +944971926 +738745411 +832520365 +1607441307 +530053084 +706490220 +960071958 +484714977 +485865740 +1741032779 +1474462775 +65117028 +1744405883 +2022445676 +648337433 +1298311846 +75438873 +308997647 +208855170 +1790472718 +346890733 +1444736423 +954816935 +1834318788 +1907358582 +1251637319 +1831399885 +507456225 +295600815 +1493494743 +1381145500 +1240572741 +84756506 +66182217 +700530400 +614809590 +772672437 +1660602358 +1099524568 +1258538177 +1254151489 +426503695 +1323655206 +851073725 +301465723 +1971992639 +1901923 +376904596 +133506639 +210757093 +19893667 +480397372 +1655493516 +974710602 +167232512 +1415368451 +78864273 +1998632397 +1922824676 +374465088 +1344643492 +1156486528 +1615037829 +1429399999 +1222668745 +168084581 +2044209589 +1995341182 +1828686940 +996250509 +1106395712 +935354781 +1422754204 +282567270 +1786428506 +1724219928 +107076261 +1788330429 +2101124524 +240582900 +1999087523 +2121018191 +720980272 +1507097391 +948245146 +888212784 +774982194 +1027109419 +739361533 +550323223 +1401574508 +2084005026 +1706809751 +869128689 +1365921377 +781994849 +1037213271 +1262647318 +629852383 +718416563 +111414180 +1736248095 +1653771344 +1534168384 +2018815365 +1292716203 +1110904664 +2125891627 +933562984 +1064545541 +218990879 +785166859 +1038080084 +939971152 +144780603 +1986325230 +1828183936 +919762797 +865951002 +420061822 +1470086020 +120041862 +356583200 +1029412124 +989170551 +1722504577 +1811406973 +2026383822 +837668247 +293775708 +597316737 +949082427 +2030023804 +103604434 +335767164 +1901355521 +1396320637 +1446671828 +1879763500 +182399973 +363733721 +2098754380 +967566833 +1401813806 +891241884 +1112347436 +1240655388 +571942172 +2032110233 +2106606390 +992003994 +1354712606 +79164604 +1348587194 +236641082 +1068335156 +923608123 +2048048055 +947235330 +1761276371 +194340115 +1544552068 +562875150 +76880271 +1648156502 +898642314 +1978235793 +896993491 +197830495 +1710515645 +1079393464 +561564216 +1661786377 +2046960297 +1963378022 +405544613 +1011824085 +1056549763 +977486786 +896450671 +1015672505 +1969490780 +103679629 +1094837110 +1170594327 +340320711 +15688618 +2094202450 +240885118 +962923948 +1707995173 +435225233 +359992368 +123386676 +512105505 +2008148870 +1022028990 +342857650 +757658713 +1219859485 +2053373295 +1837052178 +1781423702 +1567676025 +1736528827 +1597318076 +1973220638 +600869265 +506384191 +803223776 +1497319936 +1522056697 +625230909 +1600999565 +469410159 +1795825236 +1941320276 +485098777 +1742544038 +34721746 +1448022725 +1303055564 +469946979 +1808015094 +1426442240 +982052484 +1668680316 +300987582 +1324910134 +278855382 +1520847068 +1230799782 +2115907560 +1154787122 +650992159 +1704952739 +604621550 +476729149 +158338356 +1111005742 +1279952926 +1655658292 +485578791 +1905183835 +1109174209 +954988950 +1553525423 +903010837 +1440087727 +1148585813 +937732583 +740626804 +304157729 +1407679563 +401158250 +1730599969 +242248399 +2069838567 +2031587552 +1567158534 +201210301 +1404950972 +650474668 +169634213 +412254446 +1301466827 +1874586952 +1016875996 +1778195976 +2032925309 +2127881738 +910665254 +1541099953 +465976881 +668365441 +502790515 +1420965831 +74407216 +1405801352 +713569910 +1222993030 +196050288 +1454196715 +1527150759 +1603729851 +1855354965 +1110267081 +1845978250 +1777709884 +994370985 +1265653136 +1978920185 +251838309 +1916127804 +1070750 +664092755 +1070110983 +1875657703 +1680968751 +700823312 +1761099364 +1661366842 +1611488566 +1154715669 +2127343723 +132370360 +1657506184 +1400825907 +206777576 +915823889 +2114395817 +1429770606 +1111874177 +1421108884 +809437718 +568120380 +1128980202 +1919704799 +266614982 +759206438 +766592136 +1532268119 +590642976 +1018430445 +1300912275 +591713726 +1682523200 +223539611 +319887781 +1216008303 +924362923 +2080987145 +729891497 +388367841 +1088219167 +709751573 +520738201 +598241703 +2110577480 +727515778 +1514065592 +2077489649 +9802736 +478456121 +1351114886 +819240454 +1046576501 +332611440 +591461605 +1313191484 +1091817878 +1358053741 +697975955 +1682460854 +229000538 +1998888230 +126690933 +1911523738 +74944193 +446578714 +980048394 +999307116 +380082212 +1709939891 +1387674958 +1468301379 +272207816 +1908413159 +2066543082 +235301648 +488445289 +1433125027 +165307650 +498248026 +1911581148 +1516422536 +1317488480 +810674002 +1849033976 +1908950086 +2123865486 +793368206 +1119520179 +674357793 +328345413 +1348520718 +525762375 +455036346 +1112560808 +600706569 +901615060 +2092609202 +1600013685 +1281697272 +1655065446 +840204995 +602515003 +1927273262 +601134507 +521574438 +15091263 +1089579796 +1954699465 +180398913 +1587827822 +1718796965 +1696821449 +757832655 +381987319 +1398371777 +519299093 +358369157 +44256335 +1638819272 +1032726950 +372601748 +839856342 +1558489326 +827638094 +1952417151 +11712247 +1729253155 +1897542705 +1611725932 +863466779 +1405124503 +304447280 +1465981783 +1184914118 +905581787 +1987556221 +1200005381 +1995161583 +1794772038 +1380404294 +1435505758 +1366085355 +929742095 +45854765 +1748072675 +180630224 +565153858 +2106441832 +224886559 +56489482 +991685135 +597488308 +896345825 +402690813 +1425126402 +701279328 +414403060 +1006895909 +451338385 +2026128992 +1870362689 +1856462889 +183092624 +1188860824 +893893359 +1088674411 +1028933397 +2093898740 +936352347 +676221787 +1326819386 +224374457 +2042307142 +109077833 +270229222 +1642896169 +289708057 +835383080 +1601854354 +514594616 +891872562 +446055841 +1112082924 +1788218387 +848746654 +389725679 +342014067 +1263149714 +1396621588 +793352453 +1141795058 +1119500629 +502331694 +1324887683 +160877805 +1396225053 +266078446 +1189811202 +1342640145 +1202430793 +1866032989 +521975883 +1426805250 +1760856484 +631053716 +1697034472 +1256269005 +920761773 +384933904 +710639711 +1435356389 +1276806467 +1156695552 +399955666 +917541206 +2005442206 +789681345 +1259555274 +1121108272 +38819285 +2052907727 +115419683 +1158319915 +407755773 +1440307366 +1319197720 +1803980826 +1706385812 +361525275 +999137323 +761332958 +80074616 +1521113206 +40654560 +1840931100 +4683274 +1737689033 +949716458 +925445047 +2122622937 +1660356169 +213317788 +1251945756 +669568074 +613273454 +22003315 +527526632 +1402954799 +1281558589 +1648634905 +1441774085 +1186982668 +1764054588 +452610352 +1594738441 +1056878306 +1771808072 +1251235619 +615780470 +2133333347 +102889294 +1377113428 +65924316 +1624002500 +1417767989 +1906855416 +1628685774 +1007973374 +709088226 +406647173 +983112663 +221960748 +619964961 +87574772 +891528822 +1233238416 +109578087 +1419055454 +488709567 +1391136676 +920206711 +1930483652 +430635696 +536777651 +235610356 +2025374137 +1593655957 +2007418429 +1129126108 +61952780 +1993268128 +1232015402 +1439066208 +2059192444 +708534254 +709350549 +1818564213 +189736380 +1717323923 +380168791 +596383553 +552952939 +602129539 +1216348514 +640527711 +1493658361 +302103282 +750105798 +765230168 +790812850 +2141242474 +1685436879 +573812854 +424394522 +74730883 +809423211 +302285011 +1668386840 +669357992 +1431411119 +1730339620 +515142472 +515942873 +1021922181 +426851269 +1224477127 +1731272730 +97931834 +1414213507 +1301113006 +478100625 +2010597060 +1854065945 +1080230165 +1079461926 +347110008 +426404878 +1381565209 +1097215806 +1191635046 +24894411 +1090974632 +729588278 +598707265 +1515369154 +804319161 +1408130476 +1817654165 +325222353 +2077488468 +1101581636 +2055561974 +445147293 +1617524509 +930000507 +871998562 +694517988 +513789589 +969930396 +2108731495 +1814902595 +1448031021 +1971844907 +1521484892 +380777538 +903823185 +1868594900 +807182417 +137904746 +818327058 +1998817463 +162799157 +1909301690 +580922093 +761506423 +1277187196 +1385241254 +22153251 +947357713 +1710463608 +2099641720 +2048939349 +1618541934 +397305365 +1518980210 +401058793 +1269303927 +66014550 +914848382 +91750675 +27262397 +582267330 +1539781696 +1999107304 +2103752222 +1920559235 +755446842 +1824863475 +580258004 +893351588 +495706885 +431591819 +1056150746 +257524928 +1012513913 +1817657169 +1534712124 +250271519 +1839810420 +334586190 +1960735127 +1791968492 +236041891 +1431793413 +41790209 +1755022102 +1832852206 +1311094136 +1821036652 +600216941 +1402844811 +1848299050 +1182484271 +795142860 +1699922706 +1138752845 +568218447 +307885900 +816132672 +1148476451 +1201237489 +1311839558 +1580068270 +109904587 +1569364486 +445098535 +1927561756 +956592962 +695370055 +1619888528 +1291179152 +508621534 +1264373373 +1527221044 +1940414948 +1306163582 +1134759498 +1625783506 +469774071 +808312502 +78516799 +1872618882 +509127904 +1261001070 +520278094 +61566963 +252270268 +1088496541 +369452863 +1068402940 +89489344 +1570690352 +232758850 +1669557615 +1680594939 +1802123336 +2114656150 +1460673047 +611232651 +662542557 +933077928 +1902411803 +1171164092 +49967653 +1282149199 +964095392 +1356131235 +269425049 +442395250 +1825905306 +1077737552 +520912050 +1551040541 +1586865456 +1781913120 +2071318635 +1648432419 +2034183388 +1012331529 +2017885283 +955102681 +1101820873 +1441091987 +1187861531 +623894840 +974203279 +842501220 +591067343 +287392678 +1453733871 +1253609900 +1220470606 +1208662026 +277290344 +1270438259 +343327578 +1241385736 +479085847 +612752627 +1683780987 +157507505 +1690490179 +57209389 +1708548046 +1129871988 +1839122509 +1632383034 +630820759 +1725822250 +497230915 +501222394 +533441283 +1599051788 +1942314382 +1721302814 +75462981 +769034013 +416320386 +666530324 +1056426691 +1870054257 +1920140224 +129413650 +931232636 +49946921 +1399851909 +1274560214 +1291332657 +1878937756 +1887312841 +827629996 +2036445262 +1430319373 +884839385 +1597509660 +412707713 +576478247 +1082409046 +1043528472 +154816849 +1579639961 +1544750867 +688258132 +1031208102 +1339581601 +262077298 +1106671083 +2108615614 +678397685 +1773201407 +1017558657 +400968294 +1545857983 +1146972307 +1332200930 +1595804904 +399340569 +459277496 +739653914 +130794677 +199106690 +1567283910 +19756291 +1629426063 +304639648 +1617265952 +2042133776 +881117895 +552191350 +938178600 +1035934744 +2131831312 +335445819 +1724192876 +1015555766 +1675027420 +1986270174 +2122226849 +1636159386 +517184211 +1747944608 +506234396 +918152506 +1146318943 +1653206703 +102869788 +594640200 +2052547272 +562147285 +1334294114 +35858302 +761253975 +754094376 +55614593 +243196390 +1058734024 +1672880545 +137846518 +1939851919 +77588248 +1076025118 +828303015 +61935912 +1411470938 +405012243 +1077491678 +939014710 +243798770 +1052234879 +427690449 +760982981 +652695839 +933924845 +1679135487 +1799014782 +439647900 +1782005276 +246171334 +344711525 +196668913 +1580465448 +380569827 +957922888 +187076177 +436184420 +1201119278 +1245810201 +2109064966 +1338965796 +1038178473 +39169566 +267507266 +1866481488 +101105478 +1678978204 +124010084 +1178597156 +470509267 +367808854 +83348387 +898199716 +1128791835 +736044226 +1832124561 +660443675 +387575360 +124288813 +294965303 +633746695 +469000338 +491634216 +66728495 +849570165 +1449557104 +253804672 +1285754586 +503192734 +1499614874 +1247335904 +1842158530 +390309699 +1286505470 +2109665796 +109307539 +1387610948 +1641160353 +233317623 +418724456 +2111669620 +601126477 +502072843 +862385688 +1729918313 +1238117069 +547026601 +242878340 +1625692429 +671315414 +537843643 +111955476 +1140315753 +1029477859 +178683972 +1989885918 +331551315 +432488644 +1128156856 +834744049 +1932103518 +228009112 +529418931 +174929569 +1514514582 +491601079 +284237109 +754641882 +2132761432 +517554732 +1173366338 +2096947404 +1118681210 +1675439181 +811849444 +701115875 +766072602 +1358876045 +943994215 +244281384 +2030191460 +1481837858 +356236860 +1023023565 +363832069 +534920832 +865425835 +695383384 +967409477 +1993582692 +1530127433 +752029347 +74108156 +2059546364 +926958917 +1588622739 +403663795 +1211196026 +195780973 +388941580 +1728750758 +1369147312 +338405336 +699948320 +897102845 +1150254781 +1401064195 +1663175448 +361647178 +197574762 +1907456832 +244354990 +1679412620 +116210044 +1267378555 +2043244689 +651130877 +2132804391 +591144425 +1618540354 +1978903435 +2121271858 +223086053 +2053011591 +2033334574 +1150044970 +1494150682 +289514722 +213757348 +1689931656 +678456302 +1942508107 +911595320 +1016861638 +494972779 +1808698165 +19632771 +1896036975 +1324389965 +381279950 +2093611737 +1084363149 +625634940 +1625540710 +1200573194 +1893013496 +1521301751 +1851704071 +1878334239 +2112446177 +1322760777 +1709754026 +2086234387 +1545846830 +1615281969 +1972085314 +548408153 +961949004 +114116388 +762165501 +504397012 +792572690 +557189960 +1415992332 +1809434328 +1052162740 +1077206849 +1829067100 +800716067 +254113167 +62863402 +746844156 +1338476316 +688498342 +224901218 +391565862 +434028190 +1746202970 +95786285 +164878781 +1711165499 +1418547062 +1874632807 +1649916238 +816910245 +1342431129 +1474517904 +1365318398 +156896485 +1588634292 +2127483899 +661293497 +233723334 +537190212 +2077285829 +2043157663 +1589352952 +1007009030 +1724741115 +242585371 +1261122197 +1787604517 +989429527 +452114866 +328619211 +1214330746 +843680728 +762647402 +813050068 +939467014 +927526183 +376731919 +210530428 +654675343 +2026648157 +1027440673 +1997106472 +1353682414 +245275423 +6519309 +794833058 +225275675 +667812806 +1028556393 +762465887 +597614987 +924230408 +204335191 +1604624017 +501487875 +446920562 +718262567 +141608744 +1436350089 +1170377433 +470227955 +503197187 +2014058161 +1232875357 +1316247255 +806041527 +12917893 +1692979174 +1016571956 +667593236 +1572143684 +2044012629 +517216060 +778342450 +141804405 +523735369 +1573175508 +367080080 +1191548175 +454248253 +1129545967 +1789163162 +1378478661 +1333881158 +1246303531 +1879966536 +1780801720 +1964566098 +2021575280 +1069668161 +987459883 +344319588 +1572865349 +854034397 +1577194945 +741628956 +1660075924 +1590112838 +287124483 +529164232 +110222426 +1859268167 +425693214 +627438486 +490126969 +567497619 +1151173855 +2063302477 +934577699 +195238382 +370067083 +2064123666 +1984401544 +1748545744 +1250521176 +1083221428 +1481028633 +883839248 +900303878 +1355120265 +1953507409 +1887763762 +1699439853 +1378889110 +594314511 +1129151151 +2120518067 +106906787 +571780341 +260158902 +636071020 +682002768 +2119427069 +1061764234 +1309441254 +462070390 +1629261853 +313131462 +377889219 +416355904 +508369844 +747956302 +332995922 +345287741 +349018399 +1583517098 +1428509169 +1830047032 +319872698 +181329399 +1037683649 +125896459 +2069093161 +589639855 +1504785570 +515924024 +1718791006 +1477819989 +622830812 +143087699 +1737978891 +1258901832 +825090467 +1709922312 +173182418 +2134531722 +24509054 +1802444271 +300179536 +402398273 +71316527 +808549380 +1150354576 +404312449 +1153837121 +1499372975 +1987829547 +434862642 +1181936359 +160218597 +616192042 +72136360 +286115056 +537801555 +661776215 +1790900626 +1053725580 +233083573 +1121236967 +1676556392 +376171273 +711732210 +787974576 +1201261740 +274170874 +961156994 +1188309814 +298679928 +616117617 +1488489350 +701078202 +687434144 +149555083 +1851432778 +1091746593 +1303392204 +1203322105 +932092492 +1738254847 +237774816 +1092311089 +206963241 +309911176 +1378426145 +744764796 +971687392 +1021843124 +1798490376 +1204770965 +2143080091 +1327563120 +1580942238 +707328654 +2115537696 +634720331 +981499528 +929211042 +1823030145 +1280179457 +1545328659 +1164035848 +1981257659 +85279155 +1313590931 +1685206789 +1177025748 +469499487 +741045246 +2109118240 +60270686 +978820062 +1053945681 +267233927 +1288731238 +284888179 +1011998724 +112934982 +1306731303 +663005452 +1317705948 +1302327746 +1990568573 +751164538 +2009656400 +1958622621 +1385884869 +843672281 +740350016 +1061431367 +2123851738 +138195027 +77983567 +1957625749 +223474183 +1391574498 +1495348890 +1400499931 +1861073985 +88910488 +1362134524 +1921344672 +1067730550 +268596557 +41094951 +208978140 +553484736 +1053093675 +321913123 +1860216039 +1716099128 +1639619071 +1015060138 +1559184053 +243299961 +877232890 +1370323026 +1629184831 +1720905171 +2110673042 +543132550 +1697273261 +101384422 +621116117 +1507415362 +324858605 +2012690615 +855280604 +1725358536 +1726280952 +944191092 +940009412 +1500141976 +2011921642 +1208605970 +1541236928 +73416135 +1762090706 +446846955 +395329258 +1474823098 +15462435 +2034948329 +342399588 +1574646488 +130764642 +1219632478 +797485867 +1759949473 +793054002 +760675261 +155598375 +342843615 +862059683 +776714492 +1850258978 +1186918288 +641921459 +558055934 +764793177 +220718764 +1502247027 +1704802589 +1720860740 +1366685021 +765924911 +1114614020 +1440101156 +380531970 +1561460976 +1835430414 +1855355068 +1576923411 +1722895095 +50271008 +1004086252 +1853659738 +1269903486 +1801572119 +1466125563 +2062957488 +414763732 +1621723939 +258317456 +1276823416 +250954783 +2108576434 +316258056 +892876243 +519148720 +1081051233 +1113595007 +2021395747 +638370175 +686972099 +1240597121 +1404295086 +1801586120 +533214629 +1784827056 +1215563448 +221161396 +1492698476 +645003211 +1944056491 +1542969484 +1649089463 +1650232581 +665389323 +1303177934 +968874497 +580863163 +1717941667 +443114788 +839180619 +847281435 +694069571 +800273405 +1163539491 +1586945814 +1319422126 +97107077 +553057173 +1193334225 +735477252 +1240029273 +286447698 +2139772338 +894131745 +819662328 +1777115747 +2109695193 +1040823724 +1122330575 +607214756 +837396567 +517816412 +108820572 +340145501 +1183205735 +1411998506 +1309019998 +1764068898 +982456525 +1752134786 +455765870 +1829737960 +298720709 +1256039275 +845793804 +1885666524 +427977753 +942900881 +291240049 +1621311979 +1678378133 +1531269322 +1907759677 +1670666823 +277917419 +579938357 +1300298922 +240128964 +1620762081 +275145850 +847343721 +310675001 +792962262 +956164293 +650820502 +1976167997 +220679151 +1959840500 +1592753247 +1203135677 +1564491638 +2048519117 +885389989 +1863212347 +1157074745 +1731183793 +1601395223 +1585052498 +526601026 +1892635273 +1058880829 +57495511 +1276420947 +819156859 +1728162335 +1554338367 +1399095216 +880977609 +1794467331 +872373650 +1156123459 +494327404 +1183048651 +1949085721 +1450491697 +1833869153 +1777770070 +1671170849 +1646226005 +1223039670 +726822878 +1063233995 +1124075139 +1612212867 +778962694 +133666236 +1195913013 +232874270 +1718718735 +1722514039 +2125509543 +630115916 +1780009551 +1254446842 +1449272775 +1360688238 +661301561 +700884344 +94182199 +308285245 +1573257994 +1250305659 +802612649 +608822997 +1051907732 +105620699 +295208502 +682194155 +1776791548 +1941434507 +1905233825 +356130778 +857184854 +881825316 +1968343645 +1636147548 +1015491553 +1016773010 +1869021818 +586726640 +591803402 +1847047713 +1216842556 +224329305 +954010908 +518631684 +1585017543 +1615312469 +1219516028 +1679199742 +1923597714 +645290374 +782021753 +578726716 +1254113371 +1833929486 +684347415 +1549321873 +368639993 +313655315 +1343272732 +126390170 +669786093 +52973938 +1008215486 +490646090 +1689121486 +2023707039 +1507419101 +1410659657 +462950031 +2099222503 +1110223722 +1679792588 +176068160 +2064234630 +50940624 +1761085703 +1532063452 +1270456652 +1292801797 +1308177518 +1915747026 +2074823551 +1886904234 +1022376749 +1761269389 +423768001 +424214974 +2129909382 +737423316 +1767487706 +108815904 +1407209409 +1820461644 +1117031390 +1897855500 +1362099482 +993254782 +1257790953 +625275491 +1456204813 +1209529808 +1735499214 +988513753 +1385597968 +1652250196 +1039454377 +999200023 +1036830000 +162427381 +144518172 +197523871 +2078174407 +71858075 +2084428105 +953067508 +1833127464 +360712459 +1377282482 +1815553198 +1098135775 +997286540 +1924369102 +357861537 +670264536 +893916845 +108233389 +2032364019 +1887171627 +1366024342 +510155862 +1195892792 +428070502 +98171428 +36922898 +1813668470 +1750421625 +1076377275 +665384845 +639767977 +1238804657 +809903017 +837291848 +1169495416 +881761093 +774236306 +2122562925 +567404909 +1134948765 +1352361759 +235474460 +85600892 +202164652 +12359914 +443462429 +872429188 +906276759 +551695818 +757309559 +645964738 +1917720160 +1267465422 +1841857531 +198307014 +1365636850 +1878780429 +2011975484 +968574827 +807674056 +529876681 +1608342805 +2046478713 +1339779699 +298151005 +1068490482 +74057144 +1072387311 +1043569759 +641462053 +59852428 +248447870 +876936513 +145453321 +450612522 +889296428 +588915750 +1323041711 +1795573187 +1140611569 +2080351270 +294054278 +910848081 +1200333044 +2135911809 +1109155096 +418486247 +1867208590 +973646932 +1387061074 +527398998 +1503523614 +847920231 +426394064 +695819665 +1146071237 +1494884546 +769876809 +70974900 +390970657 +1411338862 +130827329 +639418527 +140791728 +276280650 +1090031050 +1030088156 +865196400 +265589113 +678177695 +2005807969 +198456735 +972231973 +769172403 +1398789780 +960660134 +1878327499 +1817276027 +680385076 +704490783 +1056853453 +1207784075 +60530749 +1904773685 +1634178139 +756350414 +903361274 +981579037 +1526227223 +974336174 +1372549694 +790082438 +1105163503 +2011968221 +930874166 +1381444153 +954515623 +1960962322 +99156906 +1220104736 +491656369 +2104964875 +1418561472 +1463888343 +726653630 +669867604 +277064829 +457497481 +339659983 +957449906 +1161988265 +1396513436 +17750333 +1222519014 +1153803473 +1651928472 +1978869429 +2057164747 +486023861 +1357613004 +884017274 +1858573555 +211794 +1989180777 +1723058128 +931085960 +1223141283 +530090104 +744564634 +1322298189 +1750194840 +1236221004 +1279779416 +1021272664 +552625699 +2006433047 +1691140268 +829690528 +316446880 +2030800251 +1787140434 +1478435145 +1279830040 +1804890767 +553470512 +286149865 +1309335591 +384856293 +195830965 +1795359452 +1742469297 +1079848239 +1506449359 +1742681092 +921545368 +1082023840 +526283404 +2144686651 +1612113944 +1270848039 +1319501192 +1214825136 +359585395 +451796961 +88614153 +912211094 +310746360 +1779754421 +1741901622 +627193240 +1663071025 +1381558409 +2105628386 +795417417 +1038965528 +511615250 +1081567282 +200817472 +896471543 +1277398247 +1996176924 +491457192 +209762838 +1355142636 +86654636 +1131308207 +289682828 +612938041 +1128511210 +1901796772 +1883786080 +300528755 +969138260 +95887827 +752325716 +1057752413 +1008098921 +1063072076 +690023187 +602516895 +1690265316 +205610564 +1984075304 +1648410054 +1001027981 +875557185 +12541656 +2082595263 +1076374657 +909013199 +1212509863 +925067933 +1400470392 +1422272701 +132726921 +1487125028 +406097260 +422409749 +2100063069 +1534608471 +176722873 +1836365501 +1835137226 +1145861134 +1932253328 +439979294 +56129899 +792868601 +1503051370 +746153086 +1395385497 +1045833038 +951763650 +1231977153 +546759445 +1952791631 +2107534338 +559301101 +1887903247 +1036425347 +1468314301 +952929462 +1961493281 +721301045 +227718515 +2094220202 +60942425 +633815776 +369146304 +13521847 +20940599 +545869177 +1849887348 +1856077825 +1691730311 +1634657029 +148573471 +1747860211 +280041982 +1651624841 +346529649 +1675427479 +549974231 +1298293300 +759920985 +1096733676 +1103601283 +719971675 +1656034778 +844020882 +1756397023 +976865431 +1796950344 +1570406656 +1698166476 +2024668860 +1517143210 +1759108901 +511000988 +1886289514 +1772630748 +531941587 +284675044 +1475034449 +240535764 +1976405355 +962207830 +389109235 +1576781918 +1242249812 +2040734076 +1923311568 +770193644 +443224659 +1074121220 +1530114629 +1539958336 +30238855 +102602656 +1048509466 +874259738 +1858999679 +2025374897 +523726434 +1281922687 +1576057725 +400911646 +651582250 +1187682978 +911912634 +390388116 +812830079 +1443854221 +675063160 +140380880 +1684389985 +503984868 +1102588710 +2073499220 +2080766786 +197354874 +1966749648 +1856594706 +967548518 +262490660 +783232278 +350179499 +1802448996 +813471134 +452782156 +703474814 +1687730872 +164298187 +581366063 +63973658 +1446220875 +9940140 +464885305 +2097803125 +1197623118 +1376797939 +340707593 +2010453197 +673168513 +1015770754 +3350429 +210074850 +1519755622 +1105939139 +136090423 +1453038760 +1303294014 +2102840071 +1162149819 +123358884 +217847083 +1945382097 +473538384 +2020296079 +611369583 +926320540 +576287245 +151616807 +1090618727 +1157653308 +215590466 +389355954 +1167593448 +680475771 +339675431 +217732919 +2057273710 +680383025 +80702468 +582958575 +1696153779 +84052898 +793033426 +1068425753 +1189992037 +929123849 +373980865 +345802403 +884480272 +1536130684 +469161288 +1102327356 +1334029134 +942699672 +975139787 +1945398717 +1869020212 +1551427033 +2097015525 +812155291 +561596693 +165122343 +1201511246 +1729190142 +845598114 +1541186677 +1946923061 +755388176 +74086054 +2027625529 +1338346752 +1770239833 +2111678427 +2131380178 +691181938 +1154186817 +913020379 +1065162804 +1499989220 +1797500651 +453809840 +1969150508 +752344359 +1787838974 +764366532 +1727484147 +1585754044 +485903096 +1131427532 +1535285921 +1298058388 +1693024225 +1700408264 +352085986 +1274730719 +398522730 +1893272663 +1074170132 +1153910906 +1967358718 +954312014 +344774010 +1590114903 +918506793 +328670540 +133813194 +2072693610 +1241690919 +1198975998 +1425199183 +891707923 +1652785838 +1246866043 +1644052282 +1293141165 +2011232576 +1224052781 +731411561 +349652024 +207996665 +119213834 +1647710412 +1901020891 +1819622098 +1999796398 +1028267962 +70661180 +1745585414 +2102438095 +1224572086 +1565460484 +909266461 +1569346097 +1008091739 +1827773254 +1898016637 +1141904933 +1752983217 +992223909 +193397283 +1030698752 +1883931832 +1846183122 +130081147 +1380500466 +991840639 +2141313723 +457069600 +1723252200 +343482100 +665066265 +1842466034 +1991192512 +418603508 +1514604484 +1843505263 +1446871471 +1585265664 +1441607029 +1401825918 +662354102 +859583865 +163608731 +84216551 +1867675604 +1991381985 +1982233189 +862096890 +1596881554 +826973450 +1055494173 +480096658 +563421634 +754193647 +610177806 +1943922100 +1746034286 +604007881 +253508052 +1321802838 +947489981 +918574318 +1016785224 +791198846 +1337177826 +383906060 +487220461 +636565649 +1969171724 +1928827490 +2038391567 +484042179 +640927707 +54516650 +568258730 +361119663 +2045898636 +403008271 +1223216553 +1495296542 +1229981721 +131227079 +1975393201 +1793403355 +885420726 +438087359 +1589841808 +483971365 +1042095240 +1843349860 +1805774203 +1989585222 +614440530 +675075780 +633300420 +1951618357 +1058981840 +1120520881 +440700358 +880669917 +901864723 +331608278 +1364712096 +1542792430 +386124928 +1932970826 +1903912093 +284539916 +188495450 +979644999 +1779836459 +1418477171 +1110872078 +1607746012 +1064396879 +1996292804 +2045833371 +506755039 +332780521 +940444963 +202621251 +2138554725 +782546537 +817061782 +666146857 +1415846957 +621196491 +1725128697 +388884190 +1061896849 +458314966 +1290748913 +1393505127 +1823027062 +686057695 +1779630056 +1608514241 +442486141 +2064169972 +1797009691 +1422131140 +1696522783 +1068003214 +385519570 +1156785147 +2132400093 +234328726 +1055134870 +491671484 +567109248 +1995579834 +694292736 +558180325 +630642723 +1511354518 +1224327182 +2046489681 +2132551009 +801972231 +287890223 +1046964210 +1260287198 +1578639137 +292985690 +935830612 +117213184 +2072615746 +396861205 +559699325 +1989302070 +46387248 +1981830465 +1538341206 +1114390463 +219866387 +547642705 +1099306908 +454195114 +1602777576 +1590978393 +1021304362 +1450873762 +137787481 +1579484687 +2081516485 +1649141999 +656328221 +1980522518 +1634209360 +1458300452 +120929094 +533689922 +571104002 +1699568231 +826675612 +1506934615 +1816781415 +751807710 +1903795820 +228997093 +593626133 +1950183069 +63343910 +2131967339 +917089884 +283210298 +532126396 +2016396792 +737405412 +2134903972 +1459891537 +1758709774 +1438294086 +1597679018 +1190710813 +1372326924 +1099337369 +1847039034 +1205365794 +586063081 +1157855838 +1326294888 +1119753004 +1728959841 +878379471 +1946428616 +1088410808 +547677239 +550752679 +844722980 +776674332 +1144378812 +647422401 +840018242 +1128862503 +1564512285 +1123228540 +1660988899 +1433425430 +1860633952 +1648409224 +745833319 +1471860078 +939219662 +196028690 +515087243 +164062938 +1295366059 +214642629 +1369428733 +1881429141 +1372498468 +548239973 +853698497 +953974661 +1426619445 +652643465 +2042385469 +1974296684 +1203396144 +739624801 +603487368 +200291308 +1387047203 +1443505610 +1329153811 +804075840 +419250503 +842659063 +90017622 +132400807 +343584639 +835850942 +1604260886 +1282804301 +1031879632 +2119348129 +1446867240 +179762043 +186507111 +668812325 +2061191184 +1559005579 +1217052298 +767406033 +365496592 +496188095 +1420049499 +260398413 +323001131 +475961995 +1000023214 +926488499 +676253304 +239586769 +222510462 +2005407115 +1043662610 +641760965 +700582530 +1133680232 +774161772 +1044167169 +1969531174 +230939010 +179487823 +853927158 +202803492 +1626355063 +1033689202 +389310603 +147683740 +947396738 +1948316182 +1364736038 +1714802772 +166329126 +1860924134 +987368623 +426727539 +36441617 +1463330618 +1426750753 +962930117 +2139583922 +1666337523 +1185440579 +1997507390 +562516485 +1827201544 +550606272 +1696196717 +453879668 +1594773442 +1518244244 +684818679 +1774261265 +224687754 +887622171 +1253132680 +1258376956 +1276932774 +1400816420 +58290047 +1077765308 +618068810 +1773092819 +1244094434 +331509296 +612977794 +1670821973 +367950914 +2076308412 +950089078 +1330881031 +2068408687 +468942953 +368837962 +1918432429 +1031459438 +48555858 +321555053 +580172508 +502435526 +1916328495 +2098416752 +1187254205 +1543106112 +175620858 +2074876376 +648755144 +1433997815 +1204325502 +2049571564 +1492287862 +134607162 +520156727 +1117897033 +1378701596 +851666023 +1730874827 +902039921 +1219616937 +1659699591 +1852129000 +403014320 +1580624630 +173588305 +771852282 +1351573411 +1205047744 +820408140 +1673128465 +1785220252 +1322843667 +1441973312 +1736153356 +362614224 +837595777 +1911774214 +290006953 +1486350921 +1198288381 +1494332455 +1388438838 +543092595 +1628939618 +1908595565 +1660989628 +860157566 +612777940 +1244380807 +1762197488 +1832394878 +756596751 +1466842840 +87925550 +189737733 +1640431145 +859777833 +1541311145 +697995241 +1680185973 +1066955962 +335731845 +855545992 +361445626 +2071885201 +1218160217 +1199041403 +1836175768 +1508167170 +537908677 +886980501 +855015977 +1926347515 +1430073097 +336471947 +1687459432 +943579077 +1196629514 +152753724 +40476237 +811343354 +1985148602 +797072988 +130702546 +2073074153 +986810721 +1771133691 +785368338 +380638218 +321645285 +318070663 +1447594180 +657377130 +1173616656 +1809039807 +581778684 +244293225 +860597562 +270470804 +1752460395 +1398506239 +1157451305 +459992724 +1177370106 +440040754 +796464672 +717345890 +1383619832 +1993094186 +870099615 +1424096069 +656953892 +707764569 +73685409 +787656438 +633355074 +1060496130 +411306481 +1418723412 +1441134349 +732951766 +1736794076 +741244881 +1390328897 +762927084 +402801040 +1972107581 +1007220309 +1263398603 +95094737 +612197056 +514421194 +1252546042 +1072189780 +1691791301 +1692586797 +1868654452 +261653543 +928722981 +1714264990 +1131753158 +205335402 +223735234 +1839517728 +279020811 +1011391672 +325389154 +1339516941 +1422698154 +1744112567 +633167642 +8166272 +1333422995 +1374412524 +1398495169 +2096350079 +1777213564 +1223119102 +956086740 +893128519 +1318213839 +1568283796 +1407549714 +423276234 +492989928 +951857367 +2115863031 +214160733 +1213510910 +897102364 +1928425723 +197780421 +1102437766 +4677310 +2037298149 +1381458577 +1016068982 +215203655 +573491870 +291283488 +1959316222 +1206659513 +299449761 +1145255569 +433588389 +1697944930 +1094122000 +63318305 +773580385 +2050208740 +956446825 +2091794224 +1471008888 +216512891 +367586810 +1963998817 +1168370258 +335966193 +30675902 +234397520 +1233068557 +1959101625 +432177941 +188022675 +1963778935 +321992442 +1569481252 +832364270 +537196098 +2142973123 +1123647758 +349028672 +1202148988 +1423097519 +1494284242 +1635737377 +973558802 +440922594 +1699055682 +1747139187 +343647687 +508018859 +1691449763 +1814656575 +724531750 +2059036574 +1631171744 +1892902008 +247519119 +1661847646 +2127299529 +1480587677 +1473465624 +411993822 +1668610352 +1289760911 +733986265 +1090607957 +2122125181 +1271182363 +1086097432 +1098289292 +1620211035 +140762772 +373903163 +967011629 +1776500149 +1347461965 +1407934224 +1328072183 +947117504 +1751581911 +1836091043 +491083620 +1418754838 +413139145 +402636546 +902442935 +158557506 +650155665 +416806933 +138373387 +2130743342 +1890272557 +550367209 +1651870047 +1032549821 +1284353474 +594994356 +1007191354 +408052189 +1681091788 +2105480646 +2028263225 +1821854560 +331900162 +847791206 +1450871061 +1679362127 +108241782 +631459596 +478995984 +1859823693 +320066991 +970079604 +1131094884 +733206137 +1372716150 +2033537819 +891763643 +2022871815 +302861104 +1030137030 +2006131510 +45650014 +1580504239 +1510517909 +1078199835 +717374066 +2105512265 +2085391189 +1125426255 +1639120405 +2043388188 +1006205832 +1313491317 +227804702 +1853997039 +616878730 +1907166829 +1962238821 +1248338326 +238679165 +1674578867 +1568405318 +1208758769 +658190103 +154127807 +433991271 +544244274 +1045891450 +309379439 +847105378 +2076028480 +168027301 +892755392 +1509049071 +1678545210 +1970955227 +78939489 +1636573827 +1908862769 +1204365745 +1128210584 +1804767309 +63087929 +294218253 +2032572011 +1917084968 +911096983 +1792255192 +1731840142 +11951661 +2030934358 +1258935361 +1580356979 +1092209479 +1917125464 +1734484786 +1526200751 +313886090 +632892588 +1835580190 +1160991468 +561437420 +2003607491 +2053746861 +2070486492 +1534669053 +1877218440 +1942333 +1023759232 +1638597561 +1206308078 +4486168 +1295881222 +1269396008 +298704421 +1180969585 +1038997328 +1209801404 +825741130 +623353822 +1221753065 +709191840 +1882289183 +654626397 +1801401319 +1651930999 +241627535 +1180118422 +1965817089 +874520124 +868214964 +979324910 +1435957544 +724338807 +885588123 +1358960388 +111524212 +615322915 +1360902722 +1135283444 +106436829 +419727152 +1139769612 +1402318051 +1689123160 +1438474033 +435803989 +580636841 +500791789 +1261545119 +1203990663 +1722544855 +1970736959 +938796199 +229687604 +1624654630 +443243550 +471315139 +657289405 +261576992 +1345835263 +1525504369 +1240901902 +634309160 +102359529 +2126490025 +1993269548 +213883741 +594329292 +1206688622 +1349167186 +700766121 +1626415775 +341453150 +2103084173 +1168055287 +1779927184 +391404514 +1748692128 +133235325 +1652949633 +805199144 +1855780180 +1476202944 +1743995343 +2085467784 +953373926 +39755245 +409299276 +1610663331 +301332237 +1755134539 +988684053 +1542234139 +241960051 +1091043582 +1521240516 +87745952 +1304927323 +2115569809 +1294434574 +506610861 +668852282 +773366701 +848064012 +624452807 +1941421989 +480507548 +1015857321 +1542630469 +613742873 +521323306 +200345965 +322039406 +1997526250 +1944341308 +260023542 +803416529 +1984096554 +669322818 +266596212 +137945143 +276973710 +1255280265 +1680179283 +518933761 +198840199 +1053936151 +606679713 +1503767523 +1022022312 +1901114288 +2010378384 +1690874595 +526997341 +710958748 +167843754 +320935682 +1191466296 +1183701076 +1863566152 +1805209170 +1705024382 +2063912117 +2127248576 +1555066985 +1860769778 +239788470 +210999866 +1697382684 +909111289 +477596078 +1835327827 +1186084999 +1732876344 +1368023462 +1705018760 +1931716543 +274475966 +164214826 +1288000418 +1296498278 +2065329114 +1150895155 +839889225 +444842807 +1861853903 +1007732980 +765778490 +905836552 +43950408 +481860994 +563562074 +1748974790 +398289463 +543327002 +1156558127 +111575593 +783115472 +1367557993 +1808958277 +1692226761 +1845154072 +1496802457 +730828112 +1430546768 +717342271 +288363225 +1214779663 +991818237 +452578051 +355296434 +140832868 +370423517 +1506191589 +980722093 +815266324 +1220561844 +1988455073 +1581044814 +2126398396 +2032405481 +2062905808 +542476822 +1633896624 +313711624 +1085803824 +642971103 +425287217 +1868919297 +2010529097 +86761847 +1413662410 +1708199521 +1583564304 +2144490523 +991262641 +153422927 +285370100 +58558656 +1145241165 +737948151 +413855090 +1286074033 +1108371668 +1920046679 +119312478 +1923637992 +993124876 +2107767552 +1357199159 +972039624 +1992689385 +1272621319 +1514516447 +1479102361 +1586332943 +452836623 +2122073465 +2011620161 +174272272 +1985118914 +2098382008 +1587934683 +1545834787 +1534462664 +1584941558 +389613780 +1687885591 +1870311658 +448172436 +685643108 +460776161 +862027527 +1971717141 +1569147829 +634590558 +2091029620 +1345302173 +1627715434 +2051313524 +555017684 +452271411 +1896519261 +1827639004 +1966787858 +1228137975 +1266488299 +272140833 +1202727792 +1130624812 +446413106 +1040363058 +1081523172 +2034347789 +438714197 +468502188 +1471805699 +828327977 +8904132 +1194633709 +1276500413 +694547240 +1655409870 +2138527940 +518780734 +1077074051 +625634851 +462326706 +274892576 +105866637 +366156582 +829910261 +558138048 +115192195 +510065617 +377442258 +1343330170 +1776553916 +649583092 +398574314 +759695081 +1095996198 +1438937372 +1841218253 +982860339 +1877651569 +162236794 +307182390 +558495898 +171140926 +1501816099 +1834996312 +865688166 +1009742321 +1826040604 +1384468900 +2086816372 +304191807 +1846795606 +214225300 +410058445 +65468540 +1044135561 +968196493 +180660736 +1554201178 +1345638752 +1523990906 +1183271447 +1995221844 +1922565221 +1942966528 +943734394 +1214018945 +1636701133 +1926594733 +944186867 +1798937927 +86293475 +1502682765 +1970078853 +1588109574 +1190195429 +688283372 +450368247 +868752386 +2072752272 +389700971 +1172944193 +1772064231 +603926271 +1583002638 +1837532771 +1648061833 +403715484 +2018193507 +1054779363 +1749354236 +1394700766 +90567162 +1597092432 +1169782339 +2033533690 +393343178 +236317636 +1522751176 +172454263 +1180504503 +1174205455 +258747738 +535703621 +996800661 +1846857312 +1725899050 +1685084033 +149741911 +447167788 +1610352657 +539442882 +1620111982 +1234933240 +1143369153 +1055630972 +924982364 +643947338 +1459346456 +795692223 +1698726702 +1061217044 +42909341 +1789293864 +510825828 +1212691680 +1675343907 +904169006 +1449009317 +1050611435 +1076623269 +482030172 +77333242 +1335371007 +1017733793 +1074133903 +1034744671 +596149196 +611734288 +1184486582 +1043316984 +74603298 +1723929464 +515945318 +1309536538 +719814970 +1571576291 +87035254 +1363762308 +883439099 +882727478 +915005362 +1944656144 +925636819 +556815579 +307998324 +2138328500 +84675838 +1212167331 +1439854169 +1135287273 +141306952 +1921884341 +1212620515 +1476677960 +792134487 +139270771 +363938983 +1388283683 +751005059 +1548425566 +284117019 +825608357 +1124871382 +800062338 +2135144896 +1844686352 +224154981 +74696502 +1060965013 +1107594080 +957423980 +1975970375 +904766576 +1883060800 +385302306 +1212764901 +1873905652 +469978144 +277448584 +1166276173 +1605265417 +418755536 +940676866 +670402285 +1895433496 +1732811353 +809673056 +111888832 +973611388 +1560678115 +1660314398 +1257728408 +238802825 +637702132 +2057790746 +226464073 +334904837 +134462079 +301160575 +1395869850 +1242056159 +1258584556 +1224356577 +2146822736 +994161708 +1609658884 +1212103989 +720583712 +2079637028 +1489552573 +1886859885 +1537418798 +1908308109 +680053103 +60337435 +1656257958 +265380809 +870010491 +1768146790 +1238992197 +283204958 +1280977540 +349236957 +522007783 +1918679672 +259544055 +748471856 +106100861 +394006134 +1049632432 +1501970711 +1636062294 +160733340 +578843641 +1635401382 +1154895048 +41018877 +700021723 +1875478760 +2120655905 +42090648 +1614854997 +1510591055 +1950398757 +147424452 +1570928490 +1459173067 +412805261 +293455333 +1079836209 +1651797459 +576660292 +213330101 +2001034416 +1098668075 +2132009774 +113094824 +1847139932 +90626987 +507100958 +749288716 +1592597699 +2143163252 +910022056 +23957692 +1631080986 +2064917104 +64976569 +183619061 +1792912216 +38148826 +225709709 +1260283565 +1548739882 +28624819 +1407708017 +972184724 +1487797886 +1820513279 +1265640058 +420150448 +1324827090 +1842300350 +633480549 +1178377858 +793484777 +618006675 +1291472682 +493141061 +708633663 +1798573641 +1242429777 +153747714 +1794253245 +4968185 +177705406 +1277850584 +2069885289 +242681975 +1461469645 +1715313857 +280830801 +1687179355 +828113774 +1829570683 +1715804174 +88338144 +654271760 +1056118412 +1908851423 +1919911818 +1476268860 +1086194865 +1614728520 +2109749410 +117089075 +260729649 +580272437 +1408561758 +753870711 +1288906100 +1059651751 +1996300488 +1442653814 +706421348 +2001268674 +1620359220 +1984271932 +1923670315 +1863041195 +1298257930 +1491500525 +2143871997 +837953637 +172130651 +1825959032 +406274163 +260468795 +332747144 +1462392575 +21836570 +105175314 +791177788 +1108031435 +1719903834 +753443550 +1225120511 +1980633484 +1333715987 +486198621 +587020547 +475138440 +1545850372 +435837387 +1917792254 +104788072 +289622413 +1390667827 +2089060005 +65809081 +1106225374 +1239834287 +1557309606 +1102613723 +2077787924 +1729440257 +781089108 +336578439 +1989909053 +1113836252 +1798971014 +2011745623 +1219011567 +442665154 +972293411 +791431753 +1196108704 +49930274 +624581589 +382341044 +536128895 +1211602136 +857479484 +2081979267 +1647439524 +627788090 +39283691 +1937061937 +2018455917 +2128343696 +2002871018 +977197644 +1220694335 +1412696976 +2079811367 +1150998611 +994653586 +713416827 +1487577050 +837078991 +1827253080 +1139064417 +701340966 +898780999 +1581729571 +1673634377 +1690212752 +630354628 +1723564651 +167310694 +1012695672 +112209898 +1378912830 +1870175156 +46705517 +878868706 +350479598 +85989209 +668446996 +221451868 +66849257 +523834366 +1198649512 +1287543593 +1936531343 +1130977231 +291058556 +783701281 +1844394059 +1778635607 +1620780272 +1524163491 +770216376 +174637590 +275460842 +204462299 +1848271968 +1965673594 +834816927 +1424352971 +2132984288 +1847512599 +1536562870 +1364413471 +1570204107 +1583268387 +95798529 +1920683706 +1669257596 +764245525 +2142135574 +1736106854 +1288079892 +1193301438 +876166799 +1077127587 +176795021 +1167225355 +1860828868 +2021189080 +798377314 +1334125492 +1397868923 +1568593690 +1508763082 +1673329765 +1773055990 +1209551402 +1491519712 +460389269 +486420726 +1477020352 +160418221 +2022983596 +693950175 +1730622328 +1458768335 +789748705 +1503822386 +980542284 +1553994230 +1498474312 +569165490 +694590474 +544292102 +1445332289 +1771718061 +721087124 +465073996 +1485063281 +594792556 +1263451311 +671705125 +1992661480 +684561353 +32984560 +1518507597 +310133695 +1242535962 +862543661 +770522965 +1728956688 +192080366 +930941186 +1604456636 +886030541 +514079866 +915741324 +1675779246 +2017902253 +1896283608 +1082289829 +1368892917 +317965450 +1776880303 +1913185020 +1763297739 +1401114717 +486788496 +80888087 +738694350 +1081581052 +1344339398 +1410399476 +926758884 +2028900752 +1443384036 +297782834 +191550799 +538436350 +1160326495 +962073764 +119909391 +1352406861 +1893014950 +1724366027 +90953755 +259611169 +492623703 +1766733001 +130029774 +241423663 +701539182 +1498922691 +559389113 +330935838 +1264624063 +175203204 +1732050555 +1751412559 +256091292 +323261257 +685509964 +1600430690 +1733660733 +1612268848 +1481847794 +1029561121 +1910051682 +1673398594 +1567997472 +922894530 +487988710 +1687906863 +127817743 +233520013 +1264789242 +218771498 +493131182 +1757412946 +1985504500 +623160956 +1998836609 +539560034 +2122083647 +410742075 +870495872 +1239224063 +585945279 +455062779 +843152974 +842036571 +778324037 +1528662938 +294983614 +364501122 +993448139 +1776831408 +1394062244 +756016173 +1302746354 +814576068 +1678910703 +1790735065 +354999283 +1806728447 +2024255078 +1619788525 +2025499945 +369902612 +1229717823 +1863520797 +993063568 +1081070785 +255597184 +967663567 +1491812860 +1126093056 +59403982 +2077758139 +1581155836 +902556957 +772311063 +211996225 +283736247 +1067294677 +576497347 +1277184386 +696642437 +1970559591 +2033200560 +1999388792 +637652011 +1564627615 +1642640209 +992651294 +1223872414 +1519411639 +464956172 +1101888712 +1889314251 +1694673995 +817925861 +734894171 +628261132 +1073523045 +1702557738 +2120073992 +52132454 +1761961721 +2050348484 +1633288290 +517035030 +675175899 +1845284515 +800771277 +1742470576 +274298214 +2077955664 +291629365 +97374158 +1963672576 +143534509 +735026169 +1380816543 +1786174718 +1727677464 +457205310 +1158102709 +45149988 +1559094022 +899933312 +1739823983 +229536235 +1634827483 +220601468 +1303059281 +1189901574 +193191812 +1355191735 +804379647 +96056648 +840996377 +1321414677 +771232547 +538797244 +2122185954 +366219475 +813095458 +2052657970 +657848841 +910469616 +1868846898 +801383350 +1645495786 +1102179794 +440074421 +1225689602 +1559385104 +1598177130 +1270839590 +970995478 +350626795 +863179925 +1200531713 +1985454278 +1083781393 +356107346 +1027872204 +1276973206 +1711299081 +1832251851 +1373029854 +404811810 +1006182880 +2144262402 +943609054 +980885187 +362998229 +1756704513 +886059509 +1020847070 +519690481 +607422760 +1822230421 +17702619 +1709602554 +114821194 +1243392221 +1121504010 +1712998324 +366748163 +2092499488 +2063625119 +1229928089 +1145547553 +1901595750 +166225834 +1501654900 +781984306 +1443199040 +1065470333 +466752510 +668745247 +1470282144 +1472935390 +665524001 +266407550 +306336929 +1028522230 +2023112063 +1192396439 +2049369301 +395318897 +1799819199 +1724116074 +413021516 +1361938105 +1838937268 +1656413738 +335958467 +1404451944 +2023161901 +280974307 +1320593416 +1105606342 +1426521860 +1074705518 +1271832177 +780693112 +1856689824 +567547569 +1846163446 +175958686 +1236292816 +1168961942 +1648894077 +1901816817 +1435369492 +1955231006 +782855400 +1310997908 +1000143797 +684741053 +1706316805 +652479348 +261373479 +2119338321 +2014417453 +2100310747 +1628268411 +202892272 +1357279043 +1503946665 +483866579 +530388811 +462069359 +1910388440 +1605094329 +1733901536 +543597904 +1314300506 +153965458 +242277702 +1490259192 +1390258274 +1411239644 +991669621 +1144591444 +699125489 +799416980 +1927446844 +2010123397 +1799560777 +464704249 +1568956554 +304556478 +726077728 +1540811227 +171490283 +678904827 +1021595991 +374382556 +2036183870 +378059008 +858249135 +419089034 +840128367 +621153927 +2024183363 +426546256 +1164751832 +1191000221 +580511714 +1407029534 +533775766 +1970769988 +670785531 +1525445387 +967877784 +1369911020 +177378719 +747840980 +1232550769 +1976939497 +1212545229 +654023675 +134012327 +1938622957 +47351254 +305502610 +470044136 +1068947245 +679885166 +358744359 +1447006253 +1538134302 +777833393 +139650973 +11804581 +654533108 +566197229 +1176556413 +1845533330 +1146708943 +436102300 +231825448 +969995283 +1106887831 +1757270835 +1937873068 +329315203 +1934649555 +538230400 +1561865972 +1764105404 +1750775630 +68405999 +1898117731 +1541914939 +115757253 +56136693 +2011959076 +1184704499 +736021860 +223219787 +484227104 +126672514 +1001053180 +623878077 +138477095 +1655586288 +1190075306 +1315033509 +1353635970 +189300601 +1751135809 +1585461418 +1159295885 +710539992 +1195248606 +949685305 +1039855195 +982414513 +1487915705 +454237519 +599036269 +1091207687 +522643518 +349670352 +485638979 +638400771 +405807045 +350114407 +1823105270 +1141828905 +573334194 +159848727 +1268501419 +1574387374 +783726804 +1406978515 +1082490014 +1973802111 +574528376 +288642337 +15619064 +178180537 +1874103755 +1174914949 +888720529 +921868713 +2124600254 +1928575724 +1904283226 +1465032312 +235329595 +355835847 +408756351 +757973113 +705506199 +894395330 +1396373884 +1111313245 +1244509737 +1071995507 +105658502 +1817843931 +1231844234 +1374159922 +1244747657 +2015571038 +633654789 +179754024 +1841889501 +1208183165 +468396361 +1857508566 +1386363702 +195016468 +884939867 +127600583 +1116885182 +862056474 +2056176307 +873684760 +179605138 +144022254 +1229520608 +588361489 +901995367 +1935026807 +1482756820 +150885603 +898856404 +579782909 +1222881110 +1004514907 +250143193 +307241696 +231191181 +1494890850 +175329087 +864845970 +1674644874 +2017218588 +2073029135 +2143041235 +1727243506 +1311909189 +190574056 +464699726 +1439509772 +1307459238 +1326756200 +1348202431 +33660350 +1506361338 +1492224685 +1263180958 +2094722827 +246736404 +1050724118 +1429995999 +397622007 +1949580522 +2009778909 +1620503118 +806611781 +112438454 +1927744814 +1037802962 +1607329304 +2103073901 +1902648932 +1134490531 +1972808842 +1828194419 +1130048118 +1552568700 +992619960 +1320622174 +2017268426 +284646084 +480597764 +1196540978 +1632848515 +514258115 +555418668 +977589552 +1777439073 +502657848 +1224325956 +680679543 +1932653847 +1621947964 +482776418 +1794949108 +1094967434 +1289388199 +1907387562 +875228600 +179707514 +1367233219 +830818854 +2082356446 +354240102 +656144048 +1763067218 +1484288220 +61229100 +608203530 +657426747 +2078497527 +892849615 +1138024511 +1127554857 +378214482 +1652282626 +1682973526 +1355804035 +1282238052 +38147726 +432646343 +1962917595 +1970801573 +2054594307 +298210365 +1618267034 +1002078093 +1587598565 +1378170948 +1877306694 +1767306079 +597920519 +560641900 +1702178877 +952160621 +1216785948 +1317762447 +288965194 +1278015048 +1925965978 +946391941 +1209028927 +671331945 +2084416452 +189100137 +1049546427 +1589215431 +1872073663 +257866814 +723969835 +1910221389 +690513158 +539403782 +1733539314 +597623817 +837614148 +1204322700 +1599701911 +277729065 +435010001 +1329524957 +2045035144 +1032930520 +1890166857 +1599730373 +1985091142 +959469157 +770009173 +126572688 +90000557 +548491503 +1072964629 +1299029485 +1219823448 +1009897433 +1488129622 +121886227 +451629216 +1212719637 +379753042 +1175599051 +975457378 +1070266200 +1715002834 +561513044 +1667890017 +405133334 +1765835745 +1120108280 +682862399 +53362098 +302149589 +580413895 +1086292618 +44832798 +32660620 +923900112 +1004301955 +802669793 +1050472800 +1094302513 +1351161296 +2123437429 +245848350 +423501096 +985851215 +1733977972 +545387324 +1437480431 +799213961 +925140366 +465595835 +1774671339 +1995406566 +33115021 +188700735 +1515812935 +438248355 +1954536480 +488437568 +1121110754 +2007898578 +790587157 +1701524649 +946707549 +835419956 +1734185269 +1870607661 +1839721911 +389371415 +773596814 +786540776 +1740532711 +749550595 +1032389126 +16550160 +1735401810 +618883450 +561937484 +1025398594 +1418097411 +1487077850 +1490994429 +1045285102 +1335000768 +1524109450 +1233985838 +703330055 +1962357805 +1041038670 +1191767623 +935984911 +901453601 +1982354781 +490025912 +1848161150 +670291089 +76727533 +1571285163 +362529352 +466098948 +197398329 +1149070129 +59148012 +946948925 +33975607 +75698172 +534867087 +652859058 +637635656 +1560265681 +2070956469 +2124713506 +903776462 +968757924 +1312230626 +280402264 +55260114 +2015560681 +95276421 +1096298784 +1059844657 +1031261332 +1997752385 +894715790 +1521287244 +1698429887 +1565006879 +1598014778 +1122231403 +1927536231 +2064113726 +1319629732 +929122712 +2123261738 +119095009 +963098320 +51476262 +653962097 +1615957378 +689111918 +66744130 +1539430199 +666341776 +970520593 +360704475 +1978572402 +1250922857 +415964589 +1846649436 +1346199279 +1512263374 +759010445 +229976963 +1362532111 +1653726235 +1751264208 +913478351 +1071249466 +1201795338 +2035709754 +851302049 +1118425416 +1207855838 +1780424762 +1094203507 +1326950848 +596039434 +1145679769 +1980912945 +64513164 +1834791688 +2047657075 +1603943363 +353649816 +870694020 +1964647839 +184738571 +2121616878 +233128780 +2031388007 +1320332509 +1745392154 +642914804 +1550309472 +960440618 +149157391 +1154090032 +1873918969 +1220406857 +208401722 +1762145075 +2071708906 +1326827139 +822517265 +1704650020 +273546998 +1984465 +153205806 +1419226767 +1982897410 +217718970 +1106534807 +1883070838 +1821662334 +1460184624 +606281210 +1638826525 +1644923195 +580414440 +1871955305 +1528827554 +1900746949 +1469863812 +24258710 +1303572774 +282820782 +173416101 +310179158 +9256103 +1393822958 +518580881 +1771401178 +1318048216 +1845408020 +446434795 +875214589 +2118955018 +448419261 +1028420395 +1390698137 +283833023 +1246139366 +349749297 +19420213 +920318052 +1809933921 +625701424 +411660929 +1307373468 +1206115864 +136132586 +688717374 +959379166 +1605996398 +712976084 +115468292 +1888817180 +886392185 +425647450 +1898073283 +132731495 +944228331 +1521990813 +1450779711 +642152703 +1968425609 +178510652 +613624073 +269361222 +1206931048 +2004322211 +553194245 +305586766 +206587860 +572614459 +1225904818 +2016521781 +1198315883 +1637565747 +1176411601 +256948099 +1773698333 +1865128975 +1216327265 +1232211084 +430621411 +1331795557 +973544616 +1317013596 +1757443008 +724134252 +1449745091 +554187691 +98641417 +753041154 +1196340395 +2067067026 +931551807 +1809964468 +188944600 +2138482855 +1666803031 +742138846 +296585973 +1873390891 +1314753305 +1522490791 +1742429024 +365585540 +1012572890 +771356977 +622533639 +638787575 +489002304 +1838860905 +1870998659 +919623715 +1023172814 +697059628 +89153663 +633132174 +1421193880 +1538898754 +1187319866 +1519835297 +144456261 +236176613 +1439418676 +1076008068 +2046141081 +1628363276 +1067007275 +1565460465 +223018474 +1363593248 +1291367708 +1537771779 +738600391 +886313085 +1903357319 +1751173281 +1657670062 +378407311 +242477208 +2146672367 +69784568 +2113475868 +918812434 +1092957382 +663051848 +1007966098 +1726089557 +2084245728 +399381204 +765925775 +1456597377 +543837465 +1002102388 +748532405 +1619845533 +900759821 +229412034 +539369160 +318736638 +452430508 +1902962408 +1610104347 +1990202288 +494079151 +348933784 +1746075959 +97768784 +2006603846 +2124483270 +340245993 +2005792565 +46784190 +306238213 +777121352 +1139741573 +969290061 +1785087450 +718347482 +906052141 +36985006 +1484273257 +215165870 +580822472 +338891997 +963698276 +53184357 +1239651818 +1193110310 +592553518 +1558388457 +1645540818 +348032278 +1021009156 +1488259458 +842111430 +1369942940 +1086851770 +939880214 +1229063138 +1063851392 +1280126207 +1087372056 +1110635583 +1586364420 +1864493408 +102893508 +408170833 +1502097210 +821240990 +1314222974 +1539082216 +158030599 +1529388845 +2119904688 +496922596 +345603473 +25605398 +1736574414 +1538713783 +618158916 +1147479223 +1036770953 +966191194 +21004731 +377546764 +1808302624 +1390947671 +1464398534 +600699191 +472527162 +380766278 +1880825398 +1559899218 +1491401861 +1319706171 +1276908978 +1594295369 +1727877004 +631522540 +268052711 +894616331 +23121108 +426083310 +276521528 +2143025797 +923005906 +622125001 +21147547 +512096673 +13355136 +639306463 +1659575896 +1050126089 +1605497657 +1680580628 +1427672853 +1266316634 +924044651 +744587739 +1867015825 +1396571813 +1125354018 +1600357575 +808987383 +469272231 +772580098 +2085896361 +2063567601 +352973455 +569935253 +184136664 +1247589786 +593056362 +610219975 +1524111314 +588598511 +1533225881 +2146236315 +609746058 +2045322554 +12107803 +1249052521 +1557414803 +1062233892 +707066530 +1090511783 +342423098 +1973383164 +2014556434 +1087010837 +1692915341 +1263644600 +64881207 +1145789269 +2072631983 +534153439 +1918369367 +2011044697 +450237392 +123859174 +433496302 +634374056 +1371448960 +1026552664 +1244594031 +748076626 +1615151175 +630336265 +746829293 +77413585 +528175171 +758937096 +1326466106 +2085589974 +1821170989 +2033532637 +1028618109 +16110439 +1859432153 +895690896 +1103121276 +1404863847 +11851848 +1168002484 +403169468 +2084483831 +1702155923 +174055187 +1948044880 +4909667 +297914362 +234057535 +639283723 +1669363322 +1260610199 +1883877755 +269956301 +728277727 +366730372 +1016785594 +805691312 +894905543 +1775722691 +2132157419 +833011870 +1449410032 +2018206408 +1861629979 +1465520471 +1730154913 +609837227 +421158099 +987535112 +621689075 +1589160583 +1390704580 +558689259 +1143832858 +1564759768 +359250491 +1148742525 +1862674130 +593308026 +1788026249 +1384553804 +1853918226 +1524420356 +1654510105 +434712305 +1891150728 +523812052 +1240403617 +638572623 +152051095 +1225077388 +1471584493 +1601461127 +1095800148 +1185730825 +919497950 +678471414 +1795568052 +1340656049 +1666006526 +269773480 +782332985 +909227459 +828462739 +1926165843 +326503579 +1187713230 +927424721 +41694061 +1781021257 +567967322 +1426247865 +1487455835 +2092387678 +933274323 +1922168140 +1836054758 +1457086375 +1015088109 +327143733 +1609137470 +92681850 +1798728227 +1063114949 +1188481998 +836975404 +1982612899 +1866953412 +485059808 +1175785300 +1385476291 +754833288 +1958118285 +147220102 +1583296027 +1736800481 +473723681 +623525610 +516741554 +515417742 +257063219 +1084708876 +1941665607 +1744519054 +1029612906 +727456282 +1519203546 +718184016 +37059009 +386808007 +1045327749 +1646196479 +479489857 +696572328 +561827780 +1667971856 +1533547732 +396957031 +1387441620 +2018607541 +1572742332 +625434263 +625957181 +1383376969 +772654365 +61769561 +972693802 +1246378046 +685295171 +1489435356 +1761795788 +942358390 +426660584 +1555977748 +539393796 +1456273490 +135950382 +2058597342 +26973858 +173009392 +297921701 +1072301608 +1819205871 +777411559 +1768873936 +233550004 +297899767 +1154938021 +630507035 +1685341387 +1026061914 +55765719 +163292003 +1652019095 +1439142689 +935946368 +1713788656 +264352843 +34840767 +251600179 +1753788200 +1796636555 +1193958569 +32965136 +1205130655 +1733352365 +1489238627 +1341081038 +1644466059 +1516212485 +1514090430 +1942387761 +441030445 +1185812653 +572315672 +62420734 +1419362657 +870215439 +1217358755 +2049869693 +408073178 +95937021 +2105635412 +571365181 +1747956116 +1397294453 +1507311550 +1314261125 +1661647297 +1542152317 +1565861304 +1267951849 +1191305224 +612336226 +1300916985 +248952232 +198204943 +642671964 +1590033270 +1842671003 +11400802 +956640052 +1637575116 +452431247 +2142452705 +62407140 +514851981 +1414331715 +932622579 +1732210736 +1316717760 +1340695757 +1828147757 +1274869524 +1912060939 +1428620226 +524680330 +1271888841 +595397703 +38843979 +666557510 +13775359 +1306795828 +1857862734 +626111585 +460229165 +2106814966 +824316529 +1102901130 +1549364588 +519503884 +1114301932 +358520992 +9595352 +1566733179 +353490050 +72002492 +2081585161 +1767821765 +1004625071 +1666312249 +937055877 +197837180 +1346976359 +64441753 +2109898119 +628112937 +589122083 +1234303312 +1223510640 +627966062 +1900860822 +1237285999 +1934761890 +1611239909 +1863397585 +247507408 +1570571227 +540230466 +1350408538 +972452168 +1059734350 +317226822 +1330973160 +1069329702 +1883960001 +1684463210 +1141332194 +1818061514 +1304801327 +2145957265 +1336890116 +94373556 +196310797 +536382827 +158815310 +158725269 +1164495764 +747937393 +1393028581 +240522756 +1375903456 +1146405756 +1477808755 +1163181698 +610162017 +1193722692 +1410689106 +33249596 +1733953158 +613613996 +1005701764 +646203860 +930840818 +189191277 +1715533562 +667317172 +1873654487 +709382108 +337895038 +1030972167 +707855725 +1674785154 +1125345723 +904166523 +63684333 +1284161033 +1062891792 +1228180097 +2032098427 +308436725 +1468702853 +1260518235 +1454842481 +799027961 +276216285 +2065004498 +1992750653 +1686905392 +2098254095 +1579220164 +153035740 +956472211 +77940376 +1083876559 +1145663488 +1793473939 +1751193731 +871834328 +355372399 +2089088769 +1902806495 +1063228125 +1616390276 +880668570 +1967394648 +1680074609 +17345956 +882802792 +760771059 +2049444383 +1191239517 +81990264 +1162478970 +498598351 +881018225 +1438695255 +416119201 +726285231 +978116999 +366889648 +158021747 +1131152740 +1323361860 +235962123 +67545651 +321541700 +2029436062 +1818739382 +1193376028 +237324814 +1760344503 +948698875 +1300552939 +1229251131 +1829367446 +1120463939 +761842093 +1846713402 +2003266731 +1522613152 +1748674137 +1047022600 +1604603416 +763669459 +1545620951 +338137994 +54881066 +1961740153 +1064423225 +1032998066 +181146153 +1222444972 +16667158 +1504508013 +1458407095 +84212809 +1826049714 +1340359510 +1902952191 +871942094 +1577684324 +1515813046 +1820640970 +730753615 +597580530 +1502524768 +1851217554 +1359422623 +1201754522 +1707000637 +734552127 +802945011 +606539589 +191671895 +1566614470 +4676893 +529809889 +1621495536 +1966417046 +1594233114 +507009954 +79551 +669194438 +523677112 +1504587565 +2127601534 +607889921 +1183153631 +1320477396 +363358464 +2055095725 +750678072 +1879171511 +1728253047 +1481431687 +329268393 +1083294167 +1185165593 +1688691016 +137565041 +744682582 +275759495 +940510052 +1351222171 +467431390 +359640874 +1355899064 +997241280 +1981136411 +1174832462 +443990746 +340662717 +1174912014 +1113185185 +864339830 +532015931 +1093303071 +1472229751 +1715169562 +266296819 +1835588216 +1622781639 +1016974891 +1567276079 +1203551039 +350922930 +1896544472 +139361558 +1536088523 +1437751840 +276926600 +133287457 +1713511335 +1217436652 +1484509628 +33459077 +1577077527 +692925045 +1030700357 +1410730290 +1867757507 +1474691104 +1751393007 +895185873 +440392641 +468249189 +1427201804 +1533695712 +1940478941 +994887718 +1799992531 +1628583509 +470185710 +669483774 +1048375940 +1673736749 +1020406704 +797436764 +1813098307 +409011579 +87704956 +2090024907 +542299036 +1801216291 +1159977912 +2026808664 +1834675368 +589571791 +572250061 +717892078 +2000302081 +292523921 +45099534 +1604211440 +1187709794 +485492175 +2072460630 +467427951 +2019187887 +1865455923 +1462315669 +1671696770 +1346555784 +1932501379 +193696896 +247448076 +1458754480 +1214103600 +1044884840 +1124369140 +1623115179 +1132589796 +1066910399 +17930567 +786322439 +79404663 +2044739231 +473514159 +668976454 +469505645 +1191406237 +521794887 +762029566 +1236505771 +2126006328 +1949739360 +1721997946 +2050983310 +269683663 +1593702185 +1768955585 +1731999333 +1117915307 +968027721 +1517017064 +1311612203 +1215475797 +828287897 +378232155 +112876989 +1952657037 +2001347334 +1245466785 +872083788 +2019277901 +2031789224 +951488452 +1916533485 +357819735 +1620464906 +238555482 +1549225973 +2142259794 +1000585048 +638248096 +2120782474 +802840760 +212762395 +2024282136 +1072524424 +1806464580 +1645754073 +657040109 +776896240 +466298146 +26573525 +2088508443 +1681773943 +854861422 +319256951 +1794650932 +660034811 +173120637 +892634069 +1532118600 +44914891 +776939645 +336123404 +1961448376 +1134759380 +1956588310 +52520210 +536501705 +1951364456 +1053105258 +1174749802 +1924663282 +1855946018 +1387512197 +1801461770 +780986794 +1046493129 +1299732195 +1438026903 +1823389369 +1766030341 +1464600429 +1764414165 +1300320636 +171978203 +2083671116 +947487920 +832013015 +109308105 +1840121989 +216647967 +154222996 +469577986 +552771371 +2115671372 +1604337367 +361876033 +20707934 +2140839072 +165756842 +1073813192 +1168105226 +2090420124 +782275563 +408133775 +1744398247 +1563262357 +1454626905 +896646794 +853805613 +1130532626 +515193488 +170922394 +747463143 +1815514124 +342900597 +683650611 +615518397 +1174913612 +792958717 +308156738 +1391561579 +947181713 +777734725 +1944332950 +915369438 +234588444 +158725336 +936077372 +227943868 +324482178 +2009890565 +1396049095 +267418654 +644682480 +1804182870 +2011816901 +60461189 +1111326127 +760980048 +914266802 +94375106 +1276173536 +1085189196 +841838249 +944204012 +1428089794 +1525488861 +1559722409 +455519758 +170963930 +1867879148 +1847081338 +1118145643 +498130225 +1643930640 +2033515081 +732718669 +1802655976 +822108806 +960662537 +2127138154 +684515723 +209227984 +247073161 +1329198203 +2013410855 +111406414 +1389659392 +977253334 +872386462 +156442547 +1071628440 +1076350 +1241631743 +1913466690 +945280363 +522237889 +1291471903 +357519124 +977757648 +1462435833 +77914624 +677355338 +433097828 +576044849 +173802330 +319129262 +1308763518 +1976458307 +1141238068 +121942408 +1956112813 +1825753791 +331170392 +55702326 +1007468346 +197097599 +167108741 +249644090 +1174350934 +1039495203 +406086637 +98495726 +1040571554 +1647718381 +2011962416 +1985851917 +22472622 +1155950671 +195887393 +1000230270 +470902856 +273802018 +1677585608 +904000685 +849846867 +1851387939 +1223129947 +11126738 +1680362598 +216884367 +133069146 +1488991763 +2042638158 +464239538 +1544694090 +902622856 +661337138 +1711802831 +1152266946 +1835688072 +603814386 +1558353584 +1934183798 +1644385940 +1058588317 +1798662567 +1482754209 +1081060939 +807129590 +1678641603 +2081291210 +1278032447 +1952443621 +1611393170 +34549484 +654806840 +1315297461 +1257679431 +665933578 +848176411 +1474563798 +799002724 +189684527 +1369718308 +1263242263 +1734378617 +124857516 +1924579401 +1298697800 +1277124462 +1612783825 +1902512186 +687994398 +1399483975 +1399414479 +1746582715 +1050662894 +734685040 +680160007 +1857792485 +265842995 +613967569 +988341284 +70802968 +77877091 +1022890768 +725609809 +1393174553 +133086551 +1391543387 +93867316 +1607650349 +43062464 +283551843 +829885009 +1306304727 +2017930460 +954742525 +1083400480 +1169144612 +84383339 +548700657 +924173151 +772377738 +1948184632 +176103982 +371476805 +851363879 +910789022 +1051636812 +561672716 +1176632018 +1665604381 +1550014000 +1247434986 +1743481473 +425421120 +1973044795 +989172378 +558507671 +1217104535 +1083039694 +18674372 +1260166999 +1366591538 +848559381 +418988078 +1237038350 +1803301906 +1502388558 +258699315 +1887685245 +2051089215 +1182872466 +512579335 +1851790199 +1358976448 +884056141 +555670430 +122281822 +1935692953 +1117343146 +1298913840 +1453813687 +519873498 +398865179 +1049811512 +945294618 +224426326 +2038983890 +1503802289 +1441530861 +974539936 +1522476661 +554214212 +193647826 +223552394 +973202290 +1430686177 +2026854300 +328107200 +1689385492 +1767055898 +231712767 +724774310 +132151585 +2083502967 +2083750758 +1016207726 +491689749 +58548932 +804417032 +1609032896 +1357462773 +110747071 +2128906394 +1756327952 +1160558583 +926717365 +1980754278 +1052058825 +283036006 +1274801492 +2026598761 +1805512668 +1829015704 +72762940 +2029065062 +654734347 +1503449117 +1908435715 +982841547 +1045350961 +1528007965 +1214554315 +1770125271 +1660159550 +1150573634 +1706392381 +528883629 +1642263383 +1764941313 +1333300661 +1103812631 +974920438 +1444047732 +1085235378 +583764742 +457122667 +2011952743 +417035373 +1509181492 +147505101 +1691836865 +1388296605 +1953017769 +1373368921 +1461059545 +1834599184 +2028103268 +817025014 +1595551251 +863461168 +1862375975 +976075568 +2078015483 +1485017598 +488751470 +1081105469 +1043926331 +1017635099 +575885204 +661383997 +203452112 +1679697836 +1636304435 +1647499844 +617449566 +72585530 +2104622511 +481918661 +489620903 +1466320355 +629423762 +33974120 +707133313 +434957884 +1407343041 +20709210 +122073420 +1287962662 +837734225 +1717624671 +3940182 +552626552 +546216591 +2081955665 +2037644151 +1034968061 +1015577486 +934086834 +2052603161 +1591462690 +1595470831 +108571625 +1123676878 +1084291619 +1756071470 +1741126444 +1156877149 +1713210333 +75561457 +1646498052 +1032047041 +704985220 +1680472172 +1739180354 +1139943104 +940331565 +1759889564 +1262016524 +80810579 +450140141 +832157547 +84750761 +1002766694 +1378374138 +19222778 +892927197 +265858551 +1034800264 +1827014031 +170978064 +478779307 +1275001215 +279549690 +1602456185 +211809186 +2035621160 +1196098982 +1368686335 +1601347845 +1271660439 +867700739 +485911238 +1976645659 +400689263 +77607944 +969105115 +1341020828 +1837497509 +83637991 +1421831408 +140154002 +915795538 +1506582169 +1142920696 +146686028 +1525804948 +2035847893 +412544580 +413121564 +1715378277 +583522644 +891900871 +842895844 +863072334 +346873409 +1054705030 +751209846 +1542972391 +275907717 +205074044 +667149182 +1143608456 +690985282 +496311194 +1544297719 +768593227 +1465416309 +737834899 +458607088 +1549054301 +12182659 +598761090 +317366191 +1518764829 +1741681787 +464052220 +897086129 +1630046032 +876596800 +1310207693 +1197940661 +1460119444 +54624917 +2040836505 +175708131 +401498326 +948057887 +926917977 +1944470717 +1223965604 +1131992021 +464136251 +220090412 +1822977304 +960447445 +1764388131 +444086883 +278380107 +354739383 +902693971 +1827434408 +366922042 +1501455061 +2144800599 +1885686871 +1095653200 +461369171 +635289352 +578215585 +1337965971 +1945497046 +1776156246 +650601768 +2000121963 +1669509104 +826309899 +254136641 +470083343 +1753227876 +51123710 +1694048948 +737736250 +515259961 +1914139360 +413229906 +1475707407 +1531043844 +857316789 +1754087514 +1885783227 +1760010760 +1434038274 +105221621 +1113982173 +1431355225 +1990908493 +62151726 +1892724397 +478714197 +640367311 +1083206720 +276727595 +269039909 +1733808488 +129365910 +1938549013 +412634739 +383502551 +261148709 +18378968 +434626261 +1955197657 +756115218 +949886223 +1721853369 +1169345124 +278109982 +1105413565 +2026661913 +2032197496 +843713144 +1639189025 +1318752122 +948934766 +605687550 +602623699 +792359611 +667839276 +347864448 +1271073808 +1308206587 +1431071169 +1547801404 +1577246497 +1017396009 +1677167314 +1368311862 +1430030749 +2060669866 +1629460571 +1448409717 +347812479 +1437174580 +57041287 +1297698702 +1011544302 +1226386411 +1575808684 +2116957867 +1105564676 +1460522532 +813187364 +597270053 +631791006 +1762122130 +1202957603 +1234414706 +406998093 +1870796880 +1582279154 +1678071901 +1031519819 +865866675 +1078389657 +461282668 +1883262685 +608073324 +1829594531 +1165809786 +521259542 +1311571454 +466735855 +869072021 +601262387 +523777142 +19287076 +1612806689 +1750163553 +1595095760 +1582280908 +708244581 +908134645 +247984624 +1305514634 +1539925651 +2010106754 +360988589 +626856709 +269621199 +84301821 +61652216 +1947693101 +1115821641 +927518891 +878599110 +1577104309 +663297928 +1486672434 +1259215192 +1829107714 +2007931976 +423302999 +148359921 +729520350 +1024565386 +672137063 +748807426 +489888427 +274816968 +196419538 +2072169335 +983061549 +1104554183 +172670312 +141092535 +496996187 +35293418 +502081125 +1123852896 +304914618 +586382946 +1185505112 +105124071 +1702204587 +2113024004 +983723181 +1131825249 +628838284 +322911968 +243556793 +310462351 +183360296 +666859792 +458822272 +912880646 +1691425178 +1130959336 +1661688072 +33829957 +1405776304 +1858107611 +2105999293 +241354206 +815178146 +131185957 +382446741 +1312174333 +166479375 +884527866 +288543582 +471393993 +1470910813 +1474048694 +576518064 +1025631752 +1439589050 +1560241246 +9973353 +2068427335 +1883153214 +253530147 +231406038 +2066513510 +920389939 +690228310 +831910509 +464331470 +1821187646 +346114933 +498161427 +1079480303 +56738896 +456677072 +1320834509 +871917043 +587863029 +1703281250 +36607728 +754342405 +440325469 +325151310 +1225736398 +1911236282 +1799200005 +1802254463 +789384386 +1091305407 +1215012061 +799357740 +1012249094 +950681627 +1052887887 +1243655132 +869711489 +1973277826 +1933883443 +1701621998 +290125648 +1607587441 +2047736932 +788287076 +539584096 +2104475828 +1244964148 +1860418605 +828909223 +1832827178 +1416216208 +865516952 +439685935 +1856541677 +1190668262 +1665422333 +1620294311 +842384619 +1320193148 +262195049 +1933690027 +387721561 +1061552789 +798455473 +1338403188 +2114440676 +2042110606 +60631030 +1940234855 +1828510401 +1762253028 +82876855 +1288614194 +1662506312 +871163931 +1828198291 +1619498493 +2116128080 +1541133248 +300924068 +1801471610 +809865808 +1166441020 +93673897 +518923837 +209625635 +1759096230 +2139218148 +1052010254 +931805731 +253929550 +838216633 +1319527292 +1315482339 +1636672107 +510446833 +1282439368 +1531299065 +571077863 +1075190575 +1212325818 +185847243 +1158067430 +353456364 +1848353556 +2029231362 +34171007 +1320368401 +1997875794 +1575304256 +1621292469 +1651863756 +237686416 +640249842 +1745537653 +756610254 +849875477 +1357150235 +748344754 +1901885731 +141472318 +1002274304 +592618717 +1460999611 +170272996 +81807176 +1971446444 +1452712364 +1613106241 +395040659 +380419291 +677948411 +580887902 +1538486721 +1031404775 +281757810 +1420234435 +1065575783 +1602126211 +1270626581 +493396391 +1075935033 +775006689 +731082807 +1716184875 +373060694 +1487693061 +418576704 +1730210930 +88554168 +172978787 +1871683248 +1090828472 +765597504 +1185199211 +1261101468 +847404680 +1009162007 +566330184 +313027273 +1404202666 +946749475 +990975684 +1985090569 +337752549 +2022380460 +119364731 +1757986984 +940472595 +1721490943 +881129918 +1433868986 +649942328 +1656136607 +17468145 +218643555 +2029197302 +1505161207 +637220259 +1611924584 +1593715375 +810199046 +1336124184 +537060199 +1575796551 +373839748 +1798161668 +275717583 +1383001755 +217008204 +588744857 +639720774 +1163757680 +1579720541 +477327695 +1501510229 +1454617353 +596692426 +1112013565 +247606300 +170699721 +1993143483 +1681475286 +820642049 +1501796443 +1698943432 +1039285604 +1383510097 +1056620991 +1676505863 +847951033 +502852718 +339221262 +36591569 +1039912917 +1915017813 +410431317 +690590937 +43251748 +1793433073 +907599142 +631996605 +285670199 +2071356822 +64233499 +762997894 +1425383403 +1518850852 +1359690320 +389913320 +1766457153 +1530390042 +235573156 +1300448791 +203548443 +1737369599 +851908575 +1242834048 +973396048 +1908529566 +771856263 +1821347081 +263898636 +1111077525 +1857938650 +1303811554 +878611690 +120886320 +1994402491 +921863439 +1914319393 +754517985 +1553860044 +52505944 +678391159 +1618093543 +815503838 +2103774562 +989460748 +27710510 +346204235 +608434253 +1558100552 +581777391 +1908883044 +1761648996 +171663342 +613307972 +856999396 +1145059390 +374353890 +1628855659 +818922823 +638252527 +592449537 +529377825 +1942064081 +1471061227 +650264145 +1788982924 +245441018 +417099890 +396017262 +1799301063 +469605834 +1074408421 +1269910958 +1285109672 +1030699336 +111888058 +1312820183 +1376903571 +720322311 +723437087 +1958680962 +481721708 +337602435 +2130344304 +1095029680 +1194601831 +1127920046 +1469383570 +675973843 +1946842869 +2107636097 +1268423380 +328737046 +1902216530 +592000959 +979001192 +1543715807 +837441978 +1396101082 +1939733069 +489259393 +1865706917 +866657842 +1759170351 +1003332941 +1897357178 +1871058410 +168669476 +1126777101 +443897073 +892106564 +937974415 +925618781 +1229708999 +920835071 +2020648461 +276827183 +2048755117 +1342548384 +952801026 +1848114338 +1302700833 +73740758 +29367737 +1057433716 +665741717 +1008368929 +453665875 +1503183695 +256986363 +245915296 +1992443088 +2122693280 +1112573138 +1604129792 +978542574 +862446669 +1327704554 +1147212050 +1989223770 +1771601627 +2039318614 +779714538 +549736761 +1121543966 +1700549609 +422901574 +1398371149 +1601821079 +1765449958 +203688527 +1302451769 +920667144 +277429285 +1331819506 +1978100860 +943171002 +192704787 +284283087 +298871050 +449691151 +530198383 +143830490 +424900783 +1642771521 +1747960282 +1403443357 +357734542 +928181188 +403171760 +199474665 +552299168 +295006726 +979189203 +1102035929 +1416550692 +532255164 +1524937503 +667438193 +2134076243 +1142903814 +871126720 +1289044365 +2063570958 +1148556005 +473380223 +1894188170 +2091727008 +666085011 +30987609 +243114410 +1115776162 +561185992 +386944900 +1540676945 +56473865 +2134905183 +796636655 +414208408 +915602723 +1199808415 +613683073 +1467901891 +1494815141 +1592872276 +422454172 +763882186 +2125127440 +1947391676 +1431320379 +2111720036 +942811842 +154963452 +1253280753 +858899152 +1303519457 +1726660976 +605603674 +1247762817 +245262339 +636591283 +1490877227 +1361038501 +1197777275 +1877822128 +754231799 +1254251140 +1865243663 +1550868454 +1668459548 +633362738 +603193221 +134658973 +2101264630 +2098008362 +1727531249 +376235154 +714406900 +1705175042 +176143182 +2145727280 +1669411430 +1118955024 +153207084 +775208535 +1977854176 +1456726541 +354385863 +435974202 +557005711 +599648203 +1072565485 +2047882938 +1960686704 +122859112 +1778221418 +567434855 +1377110253 +1495981433 +2118303309 +898086153 +2129344172 +574012882 +1032745127 +2083125154 +524537597 +612792728 +311876660 +1238944497 +170484122 +488019843 +1237188129 +1839895552 +1606974867 +1390395213 +467620439 +1437345396 +699638107 +822006303 +1873319598 +1256643818 +1421654506 +798401436 +1157043108 +1234857562 +921260548 +787780879 +1802292418 +150887153 +136278664 +1773112079 +1048973307 +118139188 +199641314 +2081718434 +53780694 +724178911 +547027514 +365657355 +1963123408 +717511637 +853677198 +1052827890 +409923541 +313168417 +295739455 +877543981 +1750513813 +995377562 +1699550284 +1476349764 +104537732 +973721142 +127267552 +1261580841 +61095056 +1048528100 +2049361720 +1863387474 +1199415254 +38156736 +1489015906 +100904913 +156295925 +1688657220 +35139699 +210076619 +265352483 +582167213 +575733974 +80992243 +1299678850 +1429411172 +1133820133 +1709602392 +1742579590 +1429559589 +439662725 +1345609755 +277453503 +2139213009 +674475871 +381991236 +965450503 +801743423 +1643572077 +1026545559 +1850271524 +1545450149 +742449386 +902203130 +1583606885 +83981644 +1003108043 +1739902810 +1772638864 +1038247742 +1949979430 +2037991347 +1620414955 +378229756 +2118983590 +772610158 +1807640929 +1105320076 +334728902 +1402736871 +387396017 +774391627 +600862978 +664849520 +766120988 +1275338850 +1046840756 +1731571491 +2077082273 +542929185 +610633402 +1779870149 +2088379334 +1353082788 +534589631 +1524502572 +1437064432 +1537697674 +1116921734 +1062219648 +428461768 +919417516 +952727347 +2048876724 +1297647273 +924227290 +674003234 +957804554 +2029547366 +1008732136 +213057777 +269459735 +1783123763 +813920755 +934309255 +401761103 +2089259605 +1981150012 +2133332594 +2018858231 +376595549 +596482348 +1651244732 +317491236 +1949565137 +38350716 +1841993808 +1239145921 +1576048390 +811431894 +153881922 +2004510159 +1730849411 +1106609269 +1905903235 +881013036 +2030836559 +432422821 +1838817590 +1912900277 +1441154957 +2051875367 +34876364 +1076795072 +718312474 +969185620 +1478556175 +660088432 +802851984 +1464405121 +531463015 +1179447533 +2060887469 +35224099 +1496938769 +1862968958 +73574815 +1191448929 +954631232 +1649623206 +2002880824 +1108513154 +1506649717 +1586246587 +67638775 +1265069304 +319775975 +2098475335 +1697492125 +11109917 +1863891964 +991163434 +2062985284 +1898768329 +2067958506 +633814110 +720470301 +1399031033 +1293902542 +1523322285 +715952506 +1825365557 +555286170 +629356327 +1860589657 +2052224940 +344841638 +1934164472 +1096190221 +1299472870 +1436304030 +951587397 +260502376 +795470099 +390350336 +328141151 +2060539403 +710126311 +279132838 +1610547880 +721236228 +2143024803 +454227666 +636737864 +1894309484 +374702524 +1270551975 +467296137 +1773733557 +416970869 +1990618422 +342202415 +94852779 +398420944 +971558743 +1955442436 +303162236 +1316400381 +1742123260 +1399352458 +468389603 +1030943643 +203456207 +728891979 +1826413742 +593806544 +1057033130 +1739469498 +1303932855 +1336165969 +1202533730 +2025169084 +1331707124 +1656761397 +514423300 +1078532960 +2031463921 +1784975275 +1545829097 +1657713831 +54462497 +1388963871 +1999916246 +149315276 +1787384815 +823991341 +2104757712 +2090547052 +2140391722 +1699397324 +1342415862 +461297677 +582857319 +1545872069 +1190189656 +261787414 +2139678613 +99739139 +2001256912 +1296127821 +1435905108 +1056306994 +1173813257 +620128584 +565584743 +1688236557 +1698661544 +449565017 +1325728185 +1097006993 +2107278848 +1380190682 +338487216 +1959711446 +1529505958 +2125872031 +636219140 +1486780022 +2068935435 +629127214 +1038693698 +1263867649 +1090424892 +1621551018 +662256071 +133130900 +1883338432 +654451036 +232870039 +1737111696 +1950578857 +1668775147 +645935042 +976908466 +141420083 +1211519786 +517661376 +1840081627 +1661084803 +1843389561 +789604972 +1620880003 +1076096595 +1128092188 +1433107801 +458118905 +1106480572 +2069326941 +1944898927 +1027932359 +550970508 +836108977 +144316361 +1641395400 +310176347 +806572432 +1774526300 +46031131 +1461023468 +2007396340 +1783142827 +1264118678 +1528687839 +281594222 +93543496 +1670107923 +1493114008 +611204872 +1362705902 +1006715163 +307110785 +4827227 +480111518 +1383207380 +1132919415 +1913219319 +1841326285 +91916339 +1835062613 +1638741564 +1119848699 +238549473 +327366894 +1264165060 +1879944873 +637543241 +2070737492 +1506987525 +683574373 +1384277312 +1366900217 +319233552 +500912342 +748104409 +600827774 +594455839 +270728684 +2093941782 +1205660711 +1633434586 +953173297 +1512771497 +1638261813 +1433284815 +748495229 +623697581 +1199020487 +442337867 +715613920 +886599452 +2081079431 +1835462619 +1125148925 +260962677 +952144031 +857610150 +898505919 +875397875 +217114027 +1582080292 +112191540 +1584014245 +1901313844 +613103882 +184635006 +354657971 +1207559721 +455363690 +301116105 +265736785 +2088798276 +1254289403 +1778508282 +1579576442 +540090570 +379519863 +55790375 +1739111057 +821857730 +771404295 +478226861 +755453514 +459383267 +1603375786 +1016416191 +1411527298 +313502288 +1914922110 +139441526 +530616316 +1349518754 +251633066 +2114630561 +1103348951 +864736948 +151781919 +1458006922 +2072296670 +607145609 +1759123027 +190549807 +548460237 +865928782 +1969058089 +2128036679 +1406019353 +201094304 +36343406 +997646762 +1022952035 +807747702 +1475873624 +1778405549 +1267130969 +931765762 +647338092 +531174619 +1245268051 +414776555 +670616145 +1775884367 +1764295309 +922249211 +1743031280 +720160612 +1786986160 +1894813199 +30683886 +1711799182 +354475160 +1789806914 +1902348989 +902935397 +508252048 +1723923430 +883488429 +1914271401 +1925017734 +919831835 +764434516 +800486121 +1727579537 +92824492 +431408022 +847226858 +1024590254 +1078746115 +1378401478 +122374657 +1493522670 +2049017623 +1898259024 +1110334331 +823783187 +1493806656 +1830494944 +463285699 +1241136207 +1861178830 +27601233 +1595611367 +1503502096 +1929950222 +351063117 +2011754145 +1506390004 +1234551546 +1778541898 +1283924090 +6899733 +395492766 +2084410212 +1734479271 +488317258 +368334586 +434222481 +1512907513 +1447080701 +1812623959 +1635282170 +793119723 +1714157935 +1386057547 +1903454055 +390457474 +732380555 +1586465351 +853743173 +1973516763 +1300160533 +881344406 +1421644482 +656178982 +663810980 +1772707599 +520449479 +22717336 +859775497 +151507729 +1306641426 +866675231 +547000496 +1243567990 +453670854 +1035317754 +1611902577 +887893335 +400741619 +911499630 +553033647 +2036023790 +1704619354 +119707934 +1274597689 +1460589761 +510165408 +2006978244 +899571464 +1363908581 +1833011359 +52248349 +97769339 +1107172194 +708427331 +761580319 +732396145 +1228876810 +784297655 +1592171643 +1380384540 +2090939081 +311363226 +1927385036 +1187023424 +765034080 +815219142 +651442353 +1652927415 +1215960762 +1562941983 +58477414 +1104500904 +1120077689 +178185348 +231614945 +433183802 +688350756 +91109541 +1332755266 +2052259337 +1924120901 +1385003616 +2545028 +883809447 +2093430947 +764125347 +1616205592 +1174824110 +1548423002 +1060893587 +407725002 +1491878436 +1372256813 +187626390 +531418212 +2137290893 +1002845532 +1182860565 +1642734661 +71322646 +598318900 +1701212075 +1175823550 +1718396590 +1879397424 +1407438495 +4096744 +420264532 +1498548037 +1336852011 +325040222 +1275185290 +574371979 +327585250 +11511089 +520319278 +1091710598 +1627716681 +1695143388 +492649952 +541126621 +2102868390 +1984528388 +1913383434 +143011132 +368462952 +1903190680 +1145856665 +1551323517 +1398441693 +1217179311 +2158770 +952170120 +245519214 +1720555360 +684083896 +1652957709 +1724652104 +1104348429 +1004022098 +914020467 +1429388651 +131723740 +1488392446 +1756973901 +143234829 +2008711725 +701200851 +1770951511 +1556371465 +1193850804 +164594484 +1511756208 +1030895544 +2077977918 +1654767340 +1399358497 +1833684950 +653140357 +803198366 +1084642995 +1870319669 +805357136 +2036813116 +2115838883 +378428848 +573413364 +1621312944 +2103080953 +1677761793 +477851395 +869617772 +959666796 +609575135 +210526571 +569157050 +752809965 +71754648 +1270357901 +376277828 +1628126113 +316725057 +540872312 +992398673 +1347620602 +471366582 +499682366 +599495451 +157567885 +1152822723 +1402693817 +1242210880 +875658744 +60567306 +1131540348 +844013979 +438996154 +1704953713 +317843276 +394593459 +1235231858 +795694671 +1264211232 +47415007 +1405269806 +1474737803 +616572057 +10596123 +1546492451 +1886929958 +386873951 +1027134916 +56171368 +927746263 +2019533590 +1403791970 +1399112846 +371732308 +2003287421 +1556680731 +1524555031 +1258497590 +651407963 +252730128 +1319064896 +1782948312 +1096744107 +1758061051 +1340418377 +1414587383 +5170862 +428166587 +62798406 +1269382094 +475581594 +1468068213 +596636249 +1092153651 +1478664336 +2143128700 +831599962 +1865538288 +1022779969 +887771330 +645800903 +894829911 +144079652 +2044913749 +1266562219 +2147367073 +1454110832 +643633602 +1258381015 +2105518796 +896363730 +429962264 +1740983460 +1993107838 +40539667 +933918189 +1260211573 +45710529 +1362084776 +1323009980 +1315092624 +1837666371 +643594545 +1911728873 +782336374 +2122258881 +1907373926 +1613936336 +1840313521 +782670247 +354224018 +338630777 +1677500158 +498303670 +236060878 +796578729 +498187095 +1690171711 +1440212331 +1756568111 +1648206859 +189092414 +39046727 +1241706671 +34716604 +79586394 +28141212 +1294928177 +125296923 +1390225988 +470454509 +1440389547 +1080408711 +1114049054 +1204634773 +1862745086 +1088824288 +964525051 +1329197774 +781654161 +1747195298 +1683421793 +1120284938 +1277211808 +34241815 +1356345817 +2073790537 +532428911 +899033880 +1366519220 +141513374 +399757091 +1555611634 +180560101 +1641463762 +1590328238 +260146495 +1669604974 +737772768 +385443418 +912347314 +1208227277 +1825832966 +1992756026 +174792684 +882984091 +1708017464 +1263616972 +1847509142 +889731590 +2045271133 +1447220792 +425669735 +1018072424 +576948952 +459911551 +226934593 +503255841 +992340462 +1125968473 +1869775061 +1133853836 +1525725564 +1277903048 +1314413937 +1019705678 +720747638 +1574560432 +541827004 +1458520406 +1960003850 +1454174318 +519264036 +1638353168 +1299446696 +694056720 +373853611 +859980512 +1957673692 +73879105 +1749712103 +1855461177 +1521099897 +27898190 +726049953 +2098048849 +487809741 +952984546 +453821042 +1480150203 +2078953019 +176112456 +466520391 +1457194935 +1454015504 +1780934328 +329416965 +27279494 +1208011112 +871243969 +1485799901 +1020531315 +177934640 +2005063937 +511400835 +1477381336 +551637009 +885254447 +189878201 +361827053 +959133552 +1939590304 +69804582 +332749802 +1967488494 +795854536 +283315003 +307814588 +1748839082 +737136046 +1787964791 +1680308454 +913248502 +107001535 +990019741 +219780358 +1887935863 +1319436707 +247059852 +948463328 +43197028 +1732859753 +1968994643 +221131668 +1590440042 +332911830 +1698513005 +2142077051 +1218166277 +1888391206 +356420456 +29816182 +1680497862 +426225039 +362565984 +1500502708 +1222079575 +645880987 +1808317296 +823435009 +1383017033 +1448798440 +356259815 +148781887 +1555799975 +1346279557 +368562245 +1296252190 +518232616 +615622098 +97231870 +561429644 +200998203 +2066226513 +782561313 +1791438246 +251654696 +333590670 +1786031649 +1469820973 +74498228 +2142452106 +1499637155 +1754996090 +421193497 +1862203139 +1108015150 +1643273072 +360600479 +768848799 +319224433 +1743617512 +70163591 +675484249 +1892399400 +1625963566 +2021763806 +113477997 +774732108 +392512774 +729100095 +871963979 +953942418 +930098299 +790706844 +1736503731 +574052897 +1042361540 +2070094401 +212600898 +364698866 +2144592629 +207569356 +1864336021 +1752105071 +628762853 +1579055513 +712636574 +124552277 +1939655992 +1481485373 +443776711 +1535789856 +1551648964 +1119260960 +1280705608 +1030128882 +993541118 +1394183606 +1804860990 +1386053892 +2123283701 +529341321 +192512662 +905898352 +1320048166 +1929016394 +1479951249 +214926058 +1851627147 +1692552148 +579624924 +1848736129 +1900121504 +296477298 +1453357552 +381400710 +1875532811 +18510478 +505952987 +1667705155 +1499995851 +949729698 +1056011363 +904161167 +2068990658 +189233324 +1934290049 +915048128 +1583416930 +1591667392 +153618372 +1559216983 +2121008713 +346131035 +317631688 +1293573231 +127663781 +1797582937 +1508499290 +1979290928 +1342651437 +2088124214 +1680543409 +1095289294 +237117864 +986417314 +1476690004 +2112650675 +1004927792 +1982642991 +1632872182 +357439996 +784889042 +541399898 +1261601163 +706396052 +730633222 +1048407565 +1621444181 +166566504 +492591309 +1775062553 +1725783487 +466116374 +2121193588 +2043415175 +1759689606 +101373721 +1693514465 +1120705248 +2080664650 +888682254 +1061345814 +1613724411 +1983971548 +1298463679 +452658077 +1313177904 +1263630706 +1457585870 +1148337248 +749019241 +1815025866 +1933226290 +1290419139 +929143381 +492138694 +2021052361 +1977550946 +2113582875 +40135217 +322658607 +1741161781 +1765918704 +788774982 +1714871721 +1661850232 +400980940 +1816245443 +1207881049 +1521686188 +1749426445 +2096563303 +435548354 +1215667208 +1933051204 +1734012033 +1668325286 +1098745460 +850159092 +978427508 +99599060 +1599178333 +645969726 +2032825350 +742113824 +1575113107 +377480397 +615682537 +1405180406 +343579624 +655817754 +1727839013 +2084741405 +274252810 +369130347 +1652129479 +1936103042 +770111287 +1320891274 +996500443 +144313827 +922834071 +945580099 +579862182 +2138501279 +731147655 +166390567 +1659342917 +1829893115 +1016549659 +490286777 +1929492176 +468244344 +1136256503 +1814833878 +1210358168 +563885963 +44830627 +1826040705 +1969066369 +388410252 +334374811 +1549421734 +325668009 +608627622 +1918552082 +1977797488 +397247016 +541179721 +1151205114 +1393747460 +685493549 +2074039185 +191843911 +1265355731 +2065056817 +922991566 +1431746298 +1576916086 +605401033 +300812310 +2067202864 +387409561 +769056654 +1055975719 +54759792 +1979414823 +1619861682 +99590419 +1657971880 +1441444403 +488000671 +1992346692 +843382490 +813668681 +453490666 +614450924 +643982521 +850737682 +1155630645 +1795187636 +97001494 +1841124194 +1721743173 +288845405 +958996277 +1639316342 +1211836971 +243258928 +1068748781 +1817238005 +544071238 +988467997 +57163918 +1313127892 +2044443716 +111923710 +1145059067 +1516821751 +211514130 +655547300 +810782506 +699514801 +500410344 +1654164996 +1513183482 +953901010 +121132272 +9682356 +1804638692 +1276762918 +1804869992 +1901640187 +970403464 +1379129517 +43001944 +1929399742 +870962212 +1254838916 +25175022 +1939710993 +924593273 +569246260 +780695342 +981757191 +1882374152 +677655410 +1093680902 +879949572 +46993513 +1305195032 +1535496872 +857776020 +2004709833 +2035907216 +364457368 +1370409668 +842324578 +485589641 +1380092024 +499479622 +1762352559 +1037478368 +253636161 +585272375 +269124237 +296638106 +367188469 +1140086449 +1551477022 +392363491 +932313794 +328586647 +961609751 +1713009136 +1310343838 +696500256 +243180899 +256541092 +1576449828 +290174412 +1561736124 +964463052 +1147950432 +1418962310 +852886620 +1512407801 +641888330 +1695211198 +1997997442 +2021980354 +47207172 +1612866353 +911975074 +300843334 +50655080 +1181099311 +597481440 +417843550 +173702113 +1474814 +810207041 +1106015907 +330061461 +1771816793 +671541396 +1640405299 +320833401 +914722295 +1896946392 +1897283229 +1204896707 +1311198868 +714262633 +205363492 +582677530 +1567149253 +1717771293 +1224565860 +1114876803 +1568285087 +1099062566 +1162083975 +1033667792 +2011037640 +1462927309 +1084322872 +1044653304 +2060408749 +1502166422 +1218355417 +2061883563 +164889816 +176887676 +244461376 +1936706609 +848429072 +1884866676 +110056362 +1763151367 +1634329420 +2007339591 +820564427 +798044640 +574118576 +1025927919 +1380722171 +2141267829 +596215564 +457804383 +1108660984 +17017003 +1556866950 +123261311 +1050684795 +1420420942 +1586188621 +2135007667 +317590598 +1499113722 +1489690442 +1535946015 +1413513638 +1654580258 +1712833692 +1657975014 +1443803219 +413779116 +1395358042 +1553859581 +29446836 +882203814 +1413715524 +850011263 +1680248455 +1987834100 +1875939182 +913486978 +1981618281 +324671098 +1371291361 +942795617 +341688101 +780674663 +1066056928 +1392372896 +53611958 +504761901 +1379896915 +371202556 +2003875624 +722103709 +1907148572 +1269905614 +229200319 +1472498616 +780396980 +1673003538 +1886277732 +28271375 +1079379471 +1915724568 +910475189 +345611347 +618252183 +443239996 +185961799 +346707717 +1356726974 +20096432 +671378815 +580534688 +962892049 +1013066916 +1361209351 +2028948978 +257956164 +1414821309 +386227231 +1637853080 +1786023866 +242619207 +212473141 +1545688790 +1512524821 +441673461 +870703758 +145438154 +2114676999 +609497842 +173709529 +1046572823 +377738763 +1084184718 +1392184170 +995990946 +1527424715 +1578145970 +1342698664 +736668041 +1598242402 +2014077479 +1317202729 +413650804 +879660748 +530928433 +295116134 +1137616912 +1945749742 +681343365 +627986344 +1584289960 +923962573 +840459486 +982495102 +289003746 +1282132947 +1853198860 +434441900 +1249326298 +315213055 +608151429 +148415473 +692951818 +1692336148 +1540599644 +1688942764 +1072277215 +971261966 +884157780 +1808945256 +422020720 +750751612 +978664338 +835671524 +1630412360 +1509592771 +1130787658 +620545624 +1307858865 +1812131024 +1248531969 +744665178 +588609949 +2088991455 +1727160280 +877613695 +1223640754 +1432875493 +1312055596 +325483404 +1748088548 +1920207025 +473898878 +293556718 +1465059525 +2014498522 +1982499482 +389853092 +838276840 +719173615 +51314701 +1260297560 +1469925227 +1029979039 +2095969085 +952853939 +392088162 +1079273095 +1573399563 +1699947027 +743920471 +674447884 +297128557 +1332530420 +615955691 +2024288838 +62660468 +1839596445 +1309680683 +1374716064 +17596202 +910285583 +1147439441 +491495080 +1203842301 +465015319 +358509954 +1038858135 +854868411 +1196786794 +1758031750 +906183112 +309600706 +1080473329 +1936162151 +258086143 +2033327268 +180766665 +1337359239 +1459243184 +1880713693 +2081279710 +2133691068 +30358602 +1266326483 +602163112 +2054647440 +1328986951 +294275909 +1216844475 +556219367 +311872111 +2127130058 +1703658808 +803367191 +1183488711 +21190479 +1161877145 +74863199 +876058891 +211180291 +1832894949 +1782242003 +520780998 +765884631 +1570920507 +778867141 +651728251 +1751687172 +2116226380 +2110971435 +1484917217 +2050022443 +2097178856 +1515275820 +1168865278 +551858320 +1422439612 +350368581 +846134229 +491800440 +906587948 +1158006341 +471446850 +462763108 +1961373532 +1654935562 +483953588 +975767030 +1729798761 +1360012479 +1186947321 +1415210062 +994770834 +1707728319 +33611045 +418207693 +339111813 +685339297 +22411218 +307854545 +648827084 +1507328435 +210393340 +598522292 +875120607 +1379258618 +1150380612 +150076572 +1729627199 +1996514842 +641877012 +488731499 +1007037535 +1113323862 +951494608 +820927419 +620775776 +1435448196 +1796694449 +203090889 +647977027 +836158123 +1618300952 +1642747861 +396402794 +1651911997 +2060955555 +735514607 +189767646 +2083366773 +1043369153 +838594731 +1443211560 +1253762493 +1437117023 +170848520 +485537464 +440013988 +320925092 +67681015 +289045182 +962802104 +556412515 +1296082717 +2076125966 +1507907123 +2117010136 +549418095 +795871671 +1766220938 +752508984 +1443848698 +454895413 +223326288 +939112911 +851298207 +1875238286 +852584818 +1586812815 +2065005932 +788467943 +482698320 +756117015 +84195856 +1736460813 +45750391 +255044376 +74514629 +485764379 +575969468 +142195645 +774809561 +1538771572 +698608160 +2070892278 +1467413890 +59031635 +2040418766 +2016831985 +854903306 +1659156056 +621857322 +151268356 +2114051469 +845183610 +1090381267 +817866029 +572938248 +1942966086 +257195196 +490460533 +583950381 +739893516 +1246577548 +668146237 +328870681 +1292327939 +923190613 +403385311 +1778092318 +1499160081 +545580956 +405418231 +890448005 +1244189116 +328826861 +210378248 +1303220751 +221761980 +79726585 +10640409 +1880918036 +701583907 +161908765 +1847485858 +1546767518 +1252290032 +517868239 +2119705766 +1047772470 +775063435 +462682651 +1631722852 +1514956951 +1709260200 +152385441 +1843827632 +854104491 +1075576055 +99729295 +484713162 +427252488 +645310251 +890131393 +1317700494 +1889499367 +1218958255 +1528078742 +1045236470 +1440720235 +1607805327 +1055876879 +1174154623 +161905587 +1217785644 +874156833 +1708673105 +322592029 +1392025072 +1680895223 +1370364499 +19604859 +2143577875 +854603703 +1534561810 +1705354427 +1006989145 +1230905795 +411975270 +2082565200 +1330635090 +896688432 +362334040 +1975945342 +1786819826 +1680034534 +1717961061 +858294433 +1060629628 +615713884 +151531020 +520951308 +1671590763 +1325685643 +682856895 +741892760 +52358829 +244046352 +1064484789 +1444383901 +1924941575 +287365640 +1463988761 +1921035802 +1141969344 +851066923 +1478906581 +1474841 +2081972718 +1890881852 +2084040041 +1265124161 +640086636 +298890433 +1093585855 +279422814 +1978924968 +664063268 +1137717247 +892070948 +1279777152 +1289248267 +1413022256 +803884268 +467450263 +2095879151 +1545777028 +519809092 +192441855 +462778169 +1964192993 +2117383431 +750143809 +1280698106 +1890935585 +1892113153 +2131765030 +1222358519 +1893587994 +2066254100 +965756723 +1830144387 +1183894613 +1605843359 +2129034821 +129996820 +1885266174 +1960476141 +794060089 +875499773 +705063441 +2073837241 +17264393 +2118085698 +730237861 +484714656 +2066481201 +128531241 +1004523748 +111439409 +591309410 +821233093 +81339192 +1341453220 +2101931200 +1972274777 +1086082725 +2086212582 +1047149648 +832187072 +2004983034 +2012906371 +514847811 +1041394000 +1471266083 +496398984 +1171390820 +1209048609 +309391477 +1965450909 +2084548382 +1014454919 +1891804503 +2101812775 +985056969 +474558716 +439043783 +904054522 +603089958 +1443567531 +1015493931 +1194399368 +117316977 +1096833123 +388368940 +71764529 +921624253 +1474451666 +10493463 +1968773901 +159155090 +2015476497 +1834196625 +674002901 +909386849 +1157979060 +1170401886 +2080777670 +219544021 +1479793363 +1898744931 +156608755 +346764634 +1643065786 +110937883 +1331821603 +2117624503 +549981666 +88392478 +573230813 +1993549198 +1103886409 +1767630181 +2110866175 +53235885 +8515474 +35147056 +974860138 +1482967140 +45640519 +796150391 +1642122230 +2061117016 +482863368 +168641483 +823020218 +1640842428 +1339043369 +756314240 +1860386449 +671353085 +507575523 +2016995205 +1018117719 +3157662 +2127933088 +202455675 +2120782165 +530431106 +290848153 +546529330 +376496656 +1394734562 +166675863 +339879183 +1447970447 +175191337 +375026239 +275346937 +1658158477 +420666758 +1071497329 +1152797059 +334300127 +1554360697 +1321438543 +1157320345 +1047719478 +512998264 +1913634585 +760622279 +1184351349 +273726460 +630133836 +54985421 +276884122 +610583276 +257441096 +250182639 +1141014383 +548289249 +796711969 +1517511039 +1943023811 +963387833 +1857390223 +1243510611 +1138579170 +84932814 +1518857548 +649254000 +505599573 +442871229 +1802051059 +839899700 +1997231927 +976005954 +1997220045 +897467757 +1489004219 +1763370982 +1658090036 +525871920 +2037097442 +140740225 +580857341 +166497917 +751323501 +838298437 +416680556 +1892337884 +1386587686 +1213392526 +1262365276 +1182127850 +29296711 +972271851 +278154813 +1167875881 +1057204665 +1797012361 +1817129881 +1562804238 +92399943 +1471697293 +255220290 +2089631870 +300219599 +104956687 +839615979 +1789223818 +1868327669 +350222367 +167612091 +1757941464 +490962592 +748469432 +1924439381 +1242286094 +1586767870 +193636289 +987140330 +825871908 +1407028815 +102021958 +2007999758 +1436325526 +1074293809 +138670923 +456717760 +2131498475 +1935683285 +126363993 +1546819065 +2028083228 +1598061286 +1802039356 +1970231450 +1898280886 +1906996043 +662363781 +1540021056 +1627840065 +1012586148 +1707633147 +1238297881 +1503548741 +308618932 +1015253614 +598351187 +1895386802 +1208889903 +1585491517 +573775062 +468435071 +1687513476 +434291173 +1904760597 +614323637 +572962096 +213994709 +598338464 +361161733 +340358703 +2145157530 +241761313 +1938419989 +1799713238 +64509115 +1689217227 +1559225633 +726872896 +1081754636 +1039582050 +1739459045 +641904135 +130396283 +1095524138 +950523067 +1145649897 +1693875325 +698426221 +207056153 +1131883194 +1272201284 +675491224 +671913022 +1706492457 +432768173 +1286236660 +131970905 +646762883 +1884575124 +493132639 +987121586 +1882249006 +734893952 +778057927 +1534478596 +799403068 +319791507 +946220582 +1526275964 +1401546143 +1985802632 +1118251361 +2043450278 +2116198916 +66291851 +846489698 +1114365165 +1760167176 +1544915919 +1321421318 +744566723 +669633555 +1996912542 +1416479745 +228642364 +282197068 +555232757 +360613270 +928959951 +292324234 +853745909 +1916081537 +27089592 +1588639861 +546655816 +1561568189 +240559281 +866447323 +360305123 +1766835246 +120509818 +198624107 +737602959 +16476449 +167339375 +803894811 +862966147 +1281704541 +416578339 +260398418 +455642211 +1161145062 +930031974 +305071106 +430141160 +1158674338 +587268174 +985373917 +1519287608 +1516228125 +1277698151 +225549869 +1284826014 +1304787744 +1814189731 +1831481830 +718872285 +2054749012 +550445506 +1079177408 +1674100610 +670955324 +1277801515 +264219922 +687431773 +1445140891 +1068114733 +1550397920 +579361784 +1484693072 +1810796339 +1035003995 +498354487 +593344665 +1340075101 +928495647 +1752019003 +1927343275 +1913869564 +1123822964 +1296087752 +1044084068 +1349372833 +433430118 +201388164 +1016078916 +117428301 +920260449 +923344281 +667873807 +1999437857 +449961243 +1338829131 +1129755724 +714181165 +2026260905 +427412967 +1782295898 +1429175177 +1006774751 +1119505323 +1092487868 +2041778747 +1617859810 +1685832533 +1234370200 +398871809 +1290367889 +1014229828 +165257725 +266707205 +162833932 +1209341793 +1616080038 +596264051 +1410729957 +484675307 +713692352 +183506758 +1408019588 +1381566159 +35460967 +1857980831 +572911642 +1165216692 +424678349 +451688899 +1592629659 +59490599 +1880864077 +451920763 +1178995922 +825868297 +346215862 +649372084 +364217183 +1580586062 +1048243893 +1654585072 +447332242 +1213501619 +1921292277 +610166175 +275359764 +1389888667 +1206430226 +1686089722 +1874563974 +1920122578 +1869596480 +1135099914 +1154205089 +1905057448 +845597098 +1727116731 +922790492 +1270275447 +31321983 +367936503 +1329766046 +1912186060 +819857266 +361278321 +590570709 +1166073128 +1010650405 +954787892 +599175543 +2058894299 +461889316 +1046507785 +1124912270 +235697945 +1656673960 +1400272034 +1625586613 +715620538 +938878108 +1352666939 +488259468 +660990941 +340283206 +1642464557 +418564741 +1185880304 +1222097641 +1341355233 +308672103 +1253419624 +1709291736 +1638438149 +1018122036 +381665355 +1999716470 +1608692745 +1547738483 +862883228 +415996990 +2146914026 +774293879 +877886306 +1045938164 +1899206149 +1113584252 +555128476 +1151994535 +591687217 +1270749015 +2090872644 +1944354156 +1759008483 +604379937 +137153714 +1253989393 +1022944678 +1323034018 +328603386 +216816263 +1631706121 +1582023010 +1926107999 +1122660623 +452661398 +160289706 +974893445 +2061354143 +1708028190 +1837776673 +329867485 +1707458568 +464586904 +1207753792 +605913084 +216309405 +173854396 +1161041561 +1368303941 +765541613 +284306928 +1311692937 +562412121 +2043315411 +1916072874 +699565836 +1149821156 +791533904 +2022599854 +1478424542 +1008350167 +1506822328 +912963904 +786974518 +481999303 +1365625302 +947264225 +1456892748 +1279495798 +507808767 +1147185774 +1609363283 +67783687 +1611772678 +669633427 +673696772 +1828082084 +843487823 +1834738333 +1048902377 +1609029436 +2119045261 +213111666 +23957910 +2014877024 +2129184540 +723523746 +1017214533 +773234796 +598639952 +348155427 +1781584963 +2105462280 +1261119332 +421075833 +439977935 +479260986 +1368340058 +1896870684 +1758756784 +1876148825 +896572810 +1220636420 +1943932513 +360861840 +1890269847 +470145637 +41460276 +586274023 +157400322 +1090362653 +47819811 +128961935 +1303474319 +71777721 +2143838959 +1285175211 +795301467 +1013569844 +2058410007 +1393941420 +1361725272 +1692511322 +1351920052 +475360956 +2113587156 +1791897988 +954621942 +1334443566 +1541285024 +565895079 +1063108744 +290374186 +1786531499 +859557609 +651236026 +1529317698 +1329703246 +692696303 +2115591721 +1487103568 +1783058956 +15927885 +1616065503 +939049628 +87705606 +1612420814 +76741191 +883007074 +478507011 +2135151199 +129464846 +1840232283 +1680178873 +1481384898 +168109591 +1646282381 +1125799238 +1122731533 +833242300 +519600614 +1688626612 +1896351044 +809974800 +1327674463 +608425005 +1461210827 +709508514 +1938128251 +6423482 +677616587 +1277748171 +1789482438 +693544472 +746330026 +581048418 +781250079 +211267192 +657789610 +1664257153 +689774203 +645457161 +1793721999 +382522838 +178152386 +1127623249 +550632429 +1824434768 +105938840 +1673363963 +510193420 +625539454 +1214506927 +259060816 +1435514255 +394697743 +867485821 +749241434 +1104206257 +658130424 +755664916 +1781822844 +1935878595 +397663706 +327883669 +534724973 +978712125 +1109133748 +745992165 +1636501735 +625907253 +1435766369 +134475248 +272145604 +1818289207 +312627634 +1399768853 +221437989 +2137062402 +1505707693 +1894801952 +499772174 +2131247148 +961825231 +758832990 +1419277755 +1356522974 +1626318811 +21035541 +313245583 +136965587 +776700457 +2095068428 +2072844182 +1174364163 +275468449 +460085507 +5592640 +1384602197 +1206077673 +1642094375 +2010509450 +494360394 +1776569623 +135171406 +165165953 +2089197258 +1534940259 +386603942 +2078776012 +893164305 +133922246 +431064539 +876927805 +1095747478 +1189897529 +148721912 +304786804 +668732693 +169757453 +618032388 +805698280 +946457910 +565617168 +731058815 +2120822073 +841085617 +1191144322 +2126414714 +78204166 +249738347 +1621025441 +2088713616 +744098741 +1250111417 +76401374 +909264695 +1191825027 +1611341633 +1295868637 +1123117391 +357022290 +1429790884 +1554181930 +1233950095 +378054714 +596595812 +1382672007 +682841518 +1265328505 +1552429460 +1300873906 +2071026785 +351403722 +1866491074 +654601952 +324742148 +560093043 +1845746275 +303673214 +638297209 +2095484622 +1924698655 +579527177 +692099716 +1027326424 +655928551 +1601364411 +71667803 +119786537 +749749400 +1194785195 +476808827 +32056636 +601483477 +1710758923 +410111350 +1198079289 +945947282 +1092952869 +315924146 +350893095 +246343127 +239467284 +702296817 +2112834202 +894069236 +1027038965 +525443597 +592331863 +1330712179 +1163740807 +540332838 +1107927187 +1743267984 +1232432554 +2135253611 +251712888 +686313317 +59437767 +371499425 +1436062717 +1254222962 +848308252 +1468119354 +1855706439 +411583527 +1878230704 +906302081 +1357530810 +823699925 +1222226227 +1708423905 +1070043053 +1461693511 +263237074 +1035393607 +208279100 +1290276040 +1560837204 +800610963 +473504571 +577094363 +1340943801 +1581431758 +172878700 +425892707 +1569201722 +424591588 +1112206024 +1628639489 +796091013 +400785094 +735378803 +1644399265 +1868904448 +443601594 +2055982793 +1599651504 +1349903675 +1266029955 +275867782 +424646255 +826970212 +1345910835 +1886339766 +1090207286 +233820794 +2094618866 +232999678 +1794657998 +747746182 +706504250 +224268714 +2088689983 +140452360 +397147414 +367099043 +1709654082 +821739002 +1479305067 +1190809923 +1617830015 +1880090161 +1926188726 +1114745632 +1601510961 +222306673 +1023244777 +1053678818 +1572210348 +141791084 +1329546600 +1996856603 +968761296 +527973787 +1735712722 +2058968583 +761794581 +1682847940 +144484613 +408968931 +283110474 +850988863 +633237645 +224316810 +991441224 +1030385059 +591415853 +553611658 +1852124061 +2070720920 +1744421582 +1322470428 +1803327434 +1523126660 +289732413 +1257354747 +1745433333 +1312977190 +163549917 +1170160034 +1454768275 +1493096517 +1019532989 +276045923 +2021070304 +607762063 +187530858 +635381237 +143126356 +332015472 +1044350169 +426236830 +1183004335 +1677587814 +650553640 +26961911 +560489226 +1241969493 +580573570 +265129639 +1165206766 +177511504 +1587600068 +821050552 +1700638164 +1877332481 +2078405299 +1298587850 +1042826023 +94471569 +321264236 +350110650 +1587568086 +1340797225 +626156574 +1461154743 +1948559289 +813687432 +2096535980 +2091685645 +1145702904 +993402501 +370438827 +181223592 +523506668 +1020992468 +208185503 +1083995894 +115478313 +788759073 +1349125533 +1280685079 +966270577 +789241953 +2101735631 +519425094 +519090786 +2032657283 +1818012944 +1561916810 +2127128852 +2139277180 +1912027460 +1567213290 +1332590757 +390700386 +880884385 +1133666398 +1204387819 +829936718 +1077868395 +202607075 +1823339219 +1448307223 +383830667 +199362239 +321816043 +592016171 +1283358133 +437294356 +1380775244 +485000019 +1717979436 +199562174 +1274241972 +1672231419 +718987268 +1793332759 +1557405054 +389516564 +1207765921 +1537050258 +381310096 +972309733 +956779901 +1713900853 +1363010120 +1837664286 +700083604 +419914291 +520117356 +1777951999 +622521366 +195972928 +1078775574 +1006352034 +395335167 +1400591617 +1598368205 +1678693301 +1837885974 +831659801 +16209672 +1408381762 +1031221975 +1290451644 +933129533 +1750209243 +936300755 +343050940 +2139725807 +2144066676 +1880101198 +373552255 +968892762 +689397451 +2087453109 +184419234 +379578090 +640053065 +604333525 +899695446 +270521416 +1226854891 +1095668374 +1349296991 +85723277 +1491003542 +602404960 +1684091482 +1022213195 +292807286 +368267636 +1038422867 +1701189048 +1399489611 +181390863 +486834934 +1002215207 +1117691619 +829885874 +994457366 +1114274647 +562503424 +1368009622 +2083167409 +1251900876 +1307979083 +120102995 +1631478966 +1948032148 +724436520 +383690764 +71069916 +1951291412 +1479359139 +1420366907 +2037014689 +822879033 +2022771868 +1573622524 +1845092228 +168095506 +1941890160 +736031447 +1869284555 +1193896123 +917422310 +208635841 +48627682 +2035113929 +1038521715 +1043085049 +1001904929 +1601025139 +263611023 +937588690 +705442367 +1571590106 +1057691686 +189437685 +1372138606 +1782128206 +573128450 +1443208522 +1585935970 +2052487589 +716091782 +1475467012 +727882974 +591380002 +901605888 +425491554 +759475508 +696012400 +1161523001 +481276415 +1889908523 +2078945311 +689912256 +1938536206 +1966575593 +1728433971 +834137607 +820996874 +1181975463 +1097748630 +1758585564 +1887417830 +521855088 +668793602 +2076855516 +1893993694 +303438161 +502500318 +1189718568 +1889374131 +407504259 +1905810350 +1217357495 +1135387233 +349706704 +2118963383 +1560878787 +1109182213 +667492135 +574918140 +1590458628 +409917011 +506379803 +132887237 +200969569 +325471748 +1861321208 +1035107176 +1146468622 +895813023 +2132855806 +757570539 +635747206 +507227246 +1426364141 +565119074 +253737292 +1729802302 +1067619392 +1443455860 +1471692786 +1475123651 +1201782563 +541566633 +463027236 +1551489267 +513046369 +2023906023 +513187832 +1180538504 +451340515 +2103646461 +1590455515 +957720318 +89050050 +1791425084 +1283192067 +1950371258 +679048612 +282177041 +698700634 +664420770 +1039747580 +1334447840 +1171648016 +318628074 +1899566914 +1425385308 +2048430376 +819702658 +721357521 +1372639514 +147342661 +1923140084 +1914206148 +610369897 +1327145703 +279768869 +486792272 +1840333536 +1460307373 +938132787 +1796496349 +903279241 +1895853105 +1885546399 +547220677 +1031561524 +1688434009 +1226269290 +1313738566 +239650995 +1890690060 +206002498 +1574098835 +914854429 +524630572 +1326182101 +192756089 +425577301 +2145884759 +914113610 +1798216815 +145743772 +689770046 +1564939315 +756113669 +2016915750 +1844708184 +1242905941 +1709765638 +1157531910 +33555080 +1358778339 +2060811151 +1929408186 +1096841090 +460548180 +813486062 +637791451 +1686817470 +2127224628 +877442447 +1430023883 +185743479 +304057634 +197394664 +710374051 +1630239736 +390150753 +1135951352 +1628640847 +1304264364 +786684520 +1774384620 +1994034410 +204140187 +383014641 +1863466512 +2048848372 +1625920583 +1425748502 +1058896634 +1659475663 +637043193 +972224137 +1441400201 +1733884283 +1432772317 +107402616 +224192087 +972106140 +87143596 +1101634534 +254646375 +272887075 +1405692168 +452041039 +983261127 +888448256 +842191792 +2119212479 +369605456 +2146456156 +758413351 +2143990076 +1993006919 +962553539 +379521069 +1708989783 +863918263 +2005441652 +987254638 +1922814897 +1517433668 +1624297831 +747555386 +811350221 +1210698467 +32844055 +918752837 +1434890554 +1004950195 +1005896434 +389041440 +1259596570 +1278783509 +1794733608 +1711637609 +114560988 +535698217 +406345754 +86289820 +905303673 +405318262 +844703171 +901810101 +250841533 +1807256710 +1281331170 +1959831317 +523691325 +1139289175 +799602307 +299022574 +509239195 +276416490 +1046577960 +1320589416 +1487114957 +1079422016 +91858606 +774521863 +2084372211 +1097755040 +1163563303 +1196485134 +229054901 +810813264 +760639095 +343615890 +1346511481 +1166984849 +429905710 +104331506 +1572303112 +1274608881 +1006141607 +1823144645 +934381944 +139989129 +1635492314 +1458073269 +1279278304 +287610973 +1757095844 +1788517499 +564027464 +656190156 +961623268 +2051142421 +1735612172 +1053481874 +678180637 +1672500736 +3753266 +1841743940 +721502222 +232808167 +505073556 +1482141317 +576424057 +1851585037 +501642519 +1006329767 +1955916543 +2073945631 +133455001 +814574502 +1749606628 +1067836945 +954563632 +1237615295 +378426566 +86358288 +1525226268 +2135522410 +1874875788 +2089253732 +644228919 +689015408 +1992912506 +232357443 +1742497282 +523609495 +1904858179 +1746250548 +217869787 +478876753 +1979058715 +722943344 +1961018071 +407999125 +427044733 +315176942 +1414328892 +235477629 +241638925 +1547783893 +1050052131 +1991245553 +468137190 +2004615763 +1081377200 +846563757 +2090974052 +459119821 +834602519 +1818366192 +400889905 +1478831438 +359897952 +246318763 +1711188882 +2102395234 +769928258 +1468563413 +1701162134 +987798046 +1947440167 +1532737201 +1710741390 +1760974590 +1940736326 +2137786123 +2076151532 +1207581571 +225780104 +170306809 +607881816 +1275832236 +14068714 +1076019007 +1132964351 +1095445915 +1922582764 +1076454755 +1554565736 +609701635 +747337299 +1955455641 +2088533074 +1107235251 +54290757 +1652238308 +1062146837 +824219015 +973318073 +615825323 +1812017061 +773274592 +1078877 +1375274803 +386765534 +1941815203 +1365577279 +315433418 +1001913126 +1591357383 +485740227 +1609794943 +719705971 +499808942 +538330302 +1852670323 +1595254857 +313429418 +781641430 +1002336945 +923131053 +1528978730 +810308938 +864180479 +488730333 +864599695 +368935139 +1550877171 +1688818711 +1342253213 +19218846 +1353352124 +2115527805 +20297723 +581143280 +354809692 +1962112927 +1946720559 +670243110 +816542405 +1390594294 +1155983338 +278853700 +2110300266 +1655792280 +817184002 +1815486941 +1103563489 +1130613420 +449644723 +2105900434 +2053744474 +1978623453 +768725724 +770441305 +319870139 +1633325420 +1139376445 +1870747310 +1174660483 +334146010 +1889966156 +380528959 +302190167 +1910263880 +961672239 +656999859 +1724893159 +760909150 +1327242970 +393951916 +4019797 +335742660 +672805617 +2114320063 +1991534940 +1489989619 +1782323356 +947614781 +473119392 +84484431 +906031567 +379380218 +2063107885 +1674757291 +1149821523 +235494376 +1160599063 +141714320 +2106241686 +187775898 +475860330 +1848724194 +568304858 +778050498 +1611504426 +1529977097 +1435050357 +1188913937 +143402600 +614809679 +1582865854 +147422397 +950552339 +108187823 +114258812 +794603631 +1598177442 +1896582168 +1742218412 +2071296834 +1981066599 +500766331 +303193404 +1896690836 +28039975 +1453014928 +2132185212 +1188639038 +1594729248 +2090943250 +1376414937 +2070589579 +1792183797 +1944719795 +701156429 +1256204575 +1327213244 +2136206786 +297634865 +1470615844 +603532818 +1880500719 +1618038241 +1554085157 +1988688542 +1732297053 +201205141 +1439382336 +1481395573 +1943423553 +1363195523 +1314978525 +296706237 +1666388927 +1064185713 +324746212 +971920207 +1048887278 +1513385250 +419165808 +992346880 +742316539 +342271739 +637047029 +539552686 +1043428168 +1893251605 +1866765931 +1032151306 +43402822 +1189898127 +1635684124 +1923903541 +660452721 +1042285634 +1765108435 +245266126 +1243490775 +1057007123 +1726661700 +1039430680 +272718998 +894156577 +1336136917 +1939107926 +1958342290 +1660883129 +763544485 +859745920 +1026784732 +1182710293 +1852092801 +1769101271 +1524982032 +341656182 +161170310 +420926552 +87424139 +2027936241 +1453077859 +130826961 +1070350720 +941278335 +2054730502 +1730803441 +1983563969 +1672355289 +1976069568 +1079571096 +581878765 +1555247620 +2119001777 +854597763 +301920549 +1307655046 +646222041 +112779191 +821054528 +1409766527 +972525112 +1847839260 +444993172 +677134265 +1469456883 +1969975205 +1018790447 +1630627193 +243418109 +1106214587 +1511079786 +1696495968 +1237041548 +433946859 +490290656 +1144288403 +17266652 +326370977 +669160044 +1993336220 +1405942074 +1251038809 +1401100192 +1377460203 +2105636573 +1703020741 +537631601 +604374966 +1815799933 +1358686129 +2014141493 +640841397 +1059041741 +311651018 +1317975662 +381014977 +134142575 +189282461 +2011642170 +377560684 +1295497048 +1375238309 +2074056653 +385054949 +1809185168 +416863661 +1529343352 +1826451820 +743234638 +51019748 +1672304393 +1693064 +1302058558 +925920937 +1379153267 +1260211483 +481458031 +1916784869 +1864586449 +149774316 +1127987350 +1731244295 +790615713 +39545444 +2042895313 +2108591375 +420560421 +29554240 +150390188 +284718943 +407114924 +1445887237 +1659957252 +333687929 +1830942186 +1321658772 +750551590 +1212801890 +1000626945 +1493786229 +1263821638 +525447690 +1495479293 +418396548 +1451368627 +727148913 +1678608031 +1932826658 +496450134 +1395710833 +2082600974 +1624437484 +979471480 +725733039 +1663982928 +874883145 +686840766 +2084543349 +904437385 +837230955 +221778645 +1311552309 +135634544 +1881735897 +1645240239 +1966576730 +1055911022 +248308181 +1031894972 +2056537967 +1742094410 +148232962 +434502009 +1090090056 +566629511 +1885870636 +1817238969 +97753894 +1671213647 +166205455 +1493464727 +1606330973 +1790642939 +325452559 +184580365 +1307142220 +1200335704 +871421131 +1244201921 +2104773089 +1708652086 +1465980566 +1268841751 +1844286630 +1200232816 +766598342 +1663379712 +108660190 +1014906523 +547791036 +17714509 +609517286 +696023999 +452216518 +1699607342 +1262653510 +190603506 +1369362663 +1360407404 +1861817153 +1535568118 +706388484 +1320664479 +1178727409 +1031841043 +1505244844 +338385981 +84693100 +229182327 +1582587903 +41982541 +1937834414 +901084821 +1310824292 +1634637396 +2101317637 +2077422634 +1150533461 +62494179 +944845510 +1698324497 +80208688 +1554362796 +246864848 +532425206 +1106486490 +1509518358 +723028713 +328365505 +722442115 +437362218 +1863933623 +1428830599 +1758026697 +895177384 +313187994 +1115787893 +1233563366 +397881094 +1344970221 +668667621 +439863636 +1135320987 +1569752442 +1750687928 +622474735 +1523586432 +1680626915 +1773008196 +1586080611 +477988777 +1323849046 +1666289300 +2032351573 +1570713894 +51230858 +991354415 +932748605 +774259571 +1319719920 +1655190720 +1211621790 +1036169895 +936537671 +822164839 +1931347279 +1249725665 +1937952733 +1017426997 +1647606760 +1135439306 +1686094618 +2087470396 +123276645 +1108363413 +1690674676 +745751380 +484466197 +1223817943 +371275929 +2070546808 +1701806720 +1695124975 +1589352460 +1586674645 +1118355221 +1640583319 +430545412 +2051103826 +267359242 +1750265332 +1558810898 +1478981032 +638951579 +347864921 +153662224 +422815211 +1597590587 +2091614957 +1440242208 +1097713699 +1079570615 +978853179 +1037700447 +1202847260 +2087216592 +580891475 +1948598640 +424199141 +1804709419 +172390921 +347262301 +1359032491 +1867515896 +1936614762 +798223489 +838387470 +1429714433 +1228768901 +742007648 +1697073675 +831550586 +153334899 +1028571060 +1470502165 +501199820 +1182233284 +1893317376 +2098790407 +1126364593 +1186075937 +1049020458 +58451560 +17445468 +2086720905 +1261298820 +2104662060 +520128733 +1062413812 +381377553 +177354504 +1234804734 +728639854 +1536386995 +954836982 +517770968 +187126836 +1793224452 +1947485401 +1415895738 +387748453 +1497075429 +99962676 +541083352 +378162841 +1570464841 +1042283172 +1560396125 +1316298570 +993589932 +539277070 +354890859 +2042610390 +597728630 +372336327 +1981847648 +1859027450 +329514739 +354492733 +773957614 +710892292 +531847237 +2008762348 +1439532146 +2068234232 +816115683 +1957303115 +107877421 +461856487 +1757304868 +1523773159 +849604940 +1106896649 +1623735835 +1390688292 +1485059490 +1046717028 +285487817 +897971967 +215531950 +1279077749 +1437249037 +570422809 +1174204491 +2034977667 +942759136 +1008568491 +1746521469 +1272273875 +1363061224 +372995436 +1983166167 +1894908461 +234274136 +1275214666 +1815659046 +1050389819 +1085034133 +1923536467 +1512246307 +694855353 +1299825978 +214367599 +1801752003 +776078165 +1605055892 +1139327845 +1822795193 +1890543709 +2037299813 +2038327144 +1022137810 +1327065202 +461266305 +48858653 +1214559222 +1404025442 +1057427145 +813597043 +528815669 +273004721 +1186592479 +364498189 +20429535 +1420866616 +1639712855 +1836088581 +323772787 +577263340 +1612141400 +1836019094 +1272118693 +764483730 +2050386694 +926387048 +1540561895 +1507958938 +2065714894 +1215873440 +1251018999 +1955531059 +1106716936 +125673161 +1135112613 +1567983242 +174531814 +202188187 +824525036 +1231958959 +1015785231 +1353340705 +1504963681 +54894062 +1717838894 +1525393216 +1475760678 +1210068101 +1213998149 +1799533466 +1787331441 +678655901 +1488068912 +911966487 +1443139631 +1390971958 +1838353535 +836217878 +751447248 +1756584781 +2052091318 +2002466247 +1564632192 +1011324607 +2128139408 +552261158 +431824201 +155187575 +754449345 +1256349237 +1387146534 +1770234576 +462206294 +744626567 +1825128639 +32561541 +122536135 +1153405669 +1242629642 +1336534284 +805455487 +882477436 +2015190185 +146040752 +1794443923 +1310846168 +1537012710 +1485313810 +2147064046 +140976311 +1094414944 +2051671717 +2143442558 +511563488 +915512676 +2124098319 +1063824646 +1347336877 +131802246 +1818273992 +456202466 +1518948780 +1441024920 +918408760 +116091700 +1118669911 +950970301 +238627835 +124591933 +46116296 +1575162120 +930047420 +928593732 +1442868657 +1076088172 +575554007 +606231178 +465617235 +2060867817 +605811576 +606593546 +1007799113 +509999645 +602552456 +1519362602 +1425512321 +579167127 +435703600 +625365550 +710969373 +106493944 +1081568016 +82434506 +1547518865 +1999976777 +198526206 +518705128 +803463430 +437154041 +643297061 +849579726 +2012316161 +1573344482 +1778173458 +1307701171 +501949006 +206243817 +1913932349 +967566241 +119627987 +372260277 +1574159787 +1127427100 +882259923 +29228596 +499306054 +160288596 +608395723 +935009655 +785654147 +1319365097 +1041503599 +1867222163 +1401799603 +441538816 +1719715292 +1600325809 +960243945 +375695075 +2037479850 +1603541006 +1225274801 +1902312364 +1029401840 +855964612 +1062529887 +1531350847 +1062208429 +828978588 +351433440 +1181836416 +1201238865 +1925593228 +161779869 +2083498788 +1954821824 +661085923 +96303737 +415733899 +1596095578 +881957884 +1735098996 +490115530 +601696399 +989414951 +931654346 +173928044 +442257112 +1891898291 +549623119 +332253315 +1347955650 +1774897920 +87082031 +229873842 +483378884 +1149611918 +1761224689 +1545587314 +1978590506 +2112658130 +579940082 +1032345723 +1890767710 +741719951 +968360864 +1698105886 +1402805875 +1064664601 +2113839785 +851417805 +1946622485 +1701455134 +1341533335 +400835236 +543386437 +125704034 +574763280 +985643550 +2017602325 +1124386399 +1317896865 +1218074327 +751800672 +1404978896 +1447948170 +1235179556 +407107166 +1061689211 +633283222 +238214024 +1026863693 +1213223305 +1270559747 +770147755 +1954943256 +91436963 +320769993 +1210265483 +1156101564 +287126131 +2061683289 +955240401 +1988581265 +1255732976 +1356075638 +384484054 +1381437010 +1930838918 +1370127604 +1251555688 +907741670 +540540821 +322146367 +1659542342 +1945519717 +1770094537 +747238250 +205143235 +684300101 +1380521473 +443357259 +1711163794 +446261130 +1713917007 +333827902 +253720738 +1805353970 +654597895 +1463986222 +813971887 +941724026 +1378185863 +1769212288 +782821643 +486435191 +977804278 +1167305698 +1867872202 +761159549 +389949654 +971944242 +1668901219 +930490476 +1294090609 +1180959913 +728526545 +916701499 +1928198163 +933669781 +1601001600 +1161235988 +1377027040 +1164681746 +1607497118 +943460399 +1498509648 +1861217857 +601330722 +5623896 +1177720431 +1415302609 +947347922 +408422646 +1037031249 +1730169566 +894857837 +2014835528 +749991616 +615246391 +628511429 +1139941270 +1587190633 +149929000 +2070431746 +733797595 +1330888913 +651474644 +1650499094 +1111603428 +1585144425 +1104017046 +125355769 +814687817 +121215144 +1732852887 +1758148217 +1619724793 +1446587096 +211995291 +1625348689 +476823879 +1627297900 +425212963 +885246525 +516845501 +7898881 +1780104363 +384197381 +757890497 +247867106 +1012708810 +1897831768 +1835057740 +1162637810 +1820779866 +421371687 +346043075 +324770862 +2071870781 +1457646504 +1909915287 +1028404179 +1583002273 +577119457 +1149619323 +1168371512 +187784026 +621860468 +467474961 +399779317 +99725509 +944298840 +2027077217 +524938473 +1829545366 +396439070 +532837354 +1462166081 +780636452 +1290727852 +1710033187 +1793345262 +1041075972 +1397607279 +808499425 +714372190 +1818978966 +1154542500 +1039143053 +1743366099 +464705356 +801574692 +624286630 +2047707629 +1378694149 +1773905954 +1068595494 +1566478175 +248282774 +1536070455 +1966257492 +348008284 +332885647 +1845851061 +872946757 +14947365 +94806484 +1405784111 +1477113446 +875442936 +549028315 +1039662986 +521304550 +1590104287 +289786617 +1329803975 +156992830 +2108765584 +336862828 +1196135883 +1704648035 +801568184 +1997710575 +181451018 +701792166 +1228921077 +1955356972 +1770387660 +647915604 +56156098 +1158974467 +466689449 +404164382 +1491860114 +165056862 +1277111139 +1506807480 +259863346 +535411603 +836437278 +1135306282 +1084439918 +1876100264 +1656610833 +527060558 +18403234 +838931160 +684053388 +2127168818 +1175793988 +1880189271 +1684333205 +1977362173 +1730416198 +1865784223 +531670691 +811853627 +1673657547 +154574703 +1459769232 +1729813646 +1313549170 +1926458681 +2133978028 +657925636 +2091515543 +1263605520 +17249468 +203895242 +1799017123 +853686747 +1339201524 +735973393 +582303363 +848328709 +1263033951 +600706597 +1687259870 +1947087339 +580391767 +715570210 +1679792962 +117241325 +545448735 +1262725513 +1983025548 +1077119426 +2074579140 +1509199448 +1231694129 +1386864724 +1091529446 +397759651 +1165839757 +1078023826 +1055685288 +1109871653 +194145698 +1072934756 +1313766895 +1993162821 +1926621503 +505484771 +581652567 +361441219 +1353813481 +1844686518 +962147816 +893589703 +1644290210 +1542539584 +1609159913 +1176599524 +1659780909 +7125001 +291841389 +1495322809 +1084244427 +218936882 +857038609 +168454909 +1605801606 +1948568055 +566214560 +624157716 +879108234 +1621899848 +1734029369 +1073253932 +547350957 +900312616 +918933106 +326488812 +1405797387 +1500585673 +687930031 +612127220 +1197788543 +1650077848 +1505716923 +694595105 +1045133784 +967393189 +1871194630 +557431045 +974518190 +15552371 +2052753854 +2058762617 +234489253 +762308816 +79733878 +1840290860 +563393223 +645948439 +316964928 +1442501457 +120364639 +2050994297 +368271742 +667715596 +803823265 +1287204848 +994204409 +62137004 +640306873 +1682134440 +674264225 +1838095416 +1184728640 +32497500 +385206874 +82378776 +999890689 +108917856 +639809821 +1974408879 +124470227 +545080028 +1885687849 +358959481 +1307388844 +1965421727 +51766693 +1870782067 +463886518 +368731621 +1165799877 +584251158 +272242270 +1534071619 +1251966754 +1076065535 +673792819 +98687515 +1138202539 +1314099692 +1780821956 +1812466764 +1004711460 +818066948 +1844964265 +1389918334 +900445725 +697371306 +1498836190 +1540255546 +524296538 +1623306418 +2085335574 +262500739 +1982265899 +1245240770 +80438818 +2034032592 +968539190 +544325337 +255280565 +2134339067 +1128576495 +527522835 +1520927038 +233059601 +1603588370 +47236209 +331747117 +594307261 +1361335901 +2112569073 +259290378 +218563713 +783152373 +2104254643 +1608482048 +1683598098 +654142301 +959834590 +1076369997 +1178438839 +435657360 +1014221923 +1440939578 +270439611 +111979046 +1521378397 +156988555 +1080518236 +2065703734 +412269120 +1067373655 +1046796581 +939791955 +440817045 +1279856182 +395896677 +488053254 +1611603299 +990203939 +1849389155 +1576688724 +1249494317 +2067952868 +212357450 +1206265312 +1528951268 +1895955548 +1860407613 +341302211 +824841897 +891362805 +776959571 +1839063821 +184818735 +1047399183 +1951042867 +1706197132 +1204387738 +884077455 +1624417218 +1616656859 +1951451110 +523730151 +408965166 +244784507 +1803586334 +804861844 +732837761 +1267705985 +1795065783 +434743268 +696911062 +897076452 +355212488 +909268512 +2103341764 +1884163757 +657740412 +1816265729 +77982320 +1482582310 +560144886 +854941891 +1174162483 +744963622 +1902341074 +977721702 +303677106 +959245165 +1861799157 +1928094325 +428418376 +1665766619 +304340828 +837383542 +1910551126 +2107927162 +1642245386 +495905239 +1228149500 +1289827521 +930648507 +1925060562 +39420325 +1285860995 +686845426 +2142762089 +1022541104 +1344585838 +1811544171 +1100523424 +679684500 +224205409 +1955465316 +1853846983 +969169031 +1710322742 +684085037 +1272846138 +522084259 +398400546 +1053456815 +950502635 +2064167165 +1357797643 +1787886178 +1827234643 +1318241158 +1282647916 +175656234 +398907010 +424991790 +1106304741 +176483924 +464412115 +244682089 +863329350 +459690557 +1267223193 +60431540 +123751080 +220262970 +740116041 +347956489 +28244638 +446479376 +1317125521 +1738567380 +1130564414 +442488011 +113167992 +1528964960 +1495944826 +1063670627 +1445648478 +706258821 +704073157 +1125399473 +2024499979 +1986721074 +1301055708 +275923341 +264229216 +259876801 +452407265 +728641331 +504558890 +1315736615 +1188331888 +1771782084 +1376168156 +1312082968 +1992045054 +2116284197 +1660039458 +2020289692 +415279925 +829681331 +1611373424 +1545844339 +1272169342 +1724541416 +927325652 +620630520 +640728396 +225490482 +1326889341 +1344801553 +1350889955 +1203905673 +1184038979 +504462015 +1479829014 +1448268195 +764338817 +1932236280 +29425879 +1268897707 +1100489247 +1217757767 +893196143 +329173755 +382357088 +737757549 +297974304 +2042396546 +610563593 +713254230 +724594229 +74453370 +111614921 +1996763571 +1798994786 +1038940573 +469910443 +292239534 +1264431055 +1796799784 +1637041088 +467837363 +853221809 +673596419 +972299378 +185567176 +2121864615 +1736638195 +2117803456 +3806846 +858052255 +1070809055 +1221564613 +1751248398 +1399982811 +1603921701 +341522300 +1697957115 +1498834599 +952085893 +263727697 +75945180 +1026539263 +375342619 +2072708751 +678050402 +1414283192 +395135546 +970289936 +531230600 +44451683 +459847376 +999067963 +897673492 +1133443796 +1971367341 +1083240668 +1107824763 +1560521889 +1053560476 +1111631609 +271090496 +2124369532 +185712574 +2022338894 +1376868695 +1789634276 +216377546 +927342162 +1140985227 +1168463440 +1191069860 +1216930408 +47519055 +1566412479 +1142155511 +725569457 +833212023 +1537291058 +1695859394 +1364442623 +1581742741 +8223122 +216026938 +331932585 +1141666918 +39910632 +1415173254 +102008033 +1600432521 +321250082 +1213639642 +1871523017 +298135966 +1399352217 +1746378263 +1675004661 +1041502845 +1962755810 +454863176 +35004424 +983735602 +1645933036 +1251934832 +1031254657 +1064861867 +246606696 +1756824115 +1898073890 +1783897754 +1305199861 +1115032866 +1218156847 +1313422983 +1331059804 +1550089432 +307606254 +1370970436 +817779038 +409614287 +823919309 +1139029121 +1623253930 +547958678 +1437165087 +875122499 +146853294 +964686101 +1916625344 +2109609104 +1419549277 +1951629768 +945861058 +917998665 +1056080953 +1977115715 +1982860532 +1302687649 +1586456182 +1733450774 +939101755 +744172395 +700999992 +9774954 +2057595379 +2032059797 +1559864386 +217717985 +1255546585 +230159777 +627332272 +2079465895 +1369188898 +103102554 +479940925 +658870337 +978225053 +626794219 +1623556438 +747366749 +588919675 +895622067 +551512870 +1534780733 +1813620732 +1607593823 +1364412801 +1648997616 +762797824 +803385335 +1234964743 +1701899579 +1547557731 +1935964735 +1711674533 +1457669462 +1820540884 +1124055271 +1675387447 +928603822 +1354215048 +155236071 +860586069 +575920298 +258338626 +1340526994 +1234790636 +1236563679 +1967321214 +710863426 +1983930429 +408757241 +1606485494 +387959651 +1943537975 +1272622578 +1995553474 +1160467128 +774136547 +610867650 +1963852463 +2009101290 +165283581 +1363926546 +1797582377 +1876958114 +674112360 +1470639614 +853529737 +202016159 +251759788 +60261138 +357252231 +1112345857 +636181436 +615590857 +305389203 +1870972072 +1852154536 +125226769 +434351851 +1688601317 +533984011 +2040837345 +2076560968 +330038338 +1165976275 +1924630794 +1490505466 +1940112822 +388014796 +1306874281 +1801730464 +553298377 +523317180 +1451829194 +282772843 +1197429540 +774985160 +1136302581 +1399445700 +1026744948 +1196563719 +1756697931 +2139090805 +1832745155 +224805140 +296996360 +1556233580 +2076959676 +422223130 +1990585431 +1618077346 +956207141 +1883939128 +1547154666 +1286245479 +902431755 +1324301813 +629267297 +695060930 +1712316609 +1936141578 +349307746 +118131339 +311975110 +1801136940 +400904182 +1509404651 +428638452 +1537206763 +761366703 +1455383400 +586286834 +370580986 +1446990557 +271548342 +595386126 +1743986918 +1827781922 +524862154 +18726400 +1670883705 +2142939500 +974933541 +1407339185 +1542610519 +113695372 +162287292 +719428684 +742962669 +857348222 +284261645 +531620599 +1206655969 +402392984 +843595710 +860309261 +803297167 +205516713 +1288947714 +193020282 +966883416 +596847466 +779307117 +1337464402 +2043838024 +1050855459 +1932850528 +1640341294 +731153733 +310229034 +1659067694 +254553790 +305684887 +486517587 +1661892975 +1848295406 +600212959 +1824180267 +420240442 +1343175628 +534044842 +704502087 +1874796227 +1740700811 +1106895072 +570908289 +453526424 +1910192239 +776425002 +1742474138 +2103212521 +1743308418 +191837957 +735035990 +933289172 +88192333 +1785891449 +718656052 +1728533627 +369561534 +1028885087 +1240117673 +624115324 +1334569974 +1726635260 +138524651 +1035381732 +179364571 +1962704919 +1455622174 +1522540199 +349266113 +12640613 +1249852778 +2089966924 +1119535685 +1820761068 +396009700 +882244276 +449702422 +2138483839 +837973150 +45527193 +182838148 +1573009140 +978816365 +271030481 +1211416942 +1697472418 +1999564108 +1580978476 +578873857 +1092198133 +57610153 +1913443831 +671349745 +196134804 +801341915 +850714316 +11356075 +109480441 +225770867 +360622188 +122121054 +1475623645 +303105464 +1241656740 +1148901065 +699115165 +2123901016 +1598603488 +690115356 +814390518 +1644130681 +872953504 +239916011 +475463398 +1143983985 +1451332953 +25452168 +996064445 +884827781 +604326025 +2088262578 +942437934 +370286208 +612128675 +1138572739 +1171628123 +1462842991 +1149928814 +1281108564 +1688613858 +1510551003 +1403229619 +1016753855 +1813656467 +497402711 +18171273 +365287984 +473820079 +1616774761 +1055403340 +1288210598 +1113421794 +1928356844 +1528126609 +1588885192 +924857181 +831975914 +1614337361 +1920921626 +1716803695 +71179738 +1861700556 +511757982 +441465947 +326345583 +1650330721 +1613094070 +1789188574 +652775887 +746718987 +1330318784 +15843242 +2464958 +199588992 +1829499710 +499867669 +217760265 +47304046 +973687748 +1834535026 +1102707387 +114414698 +800473172 +883580583 +1642541307 +241874716 +1808437765 +327033573 +1856212077 +1581875743 +2043837269 +1927391816 +1296092652 +408111603 +221374115 +1622438235 +2058442324 +1834468185 +1264143162 +563734563 +433703524 +446978298 +579577806 +436168482 +646567290 +261593868 +936036151 +864327555 +308897914 +1909723900 +551378933 +1411605301 +2024138598 +1351852105 +147702237 +1519196258 +1593726822 +1956140002 +1846229831 +1302455251 +1390532097 +1742583452 +1082363419 +539141101 +3211407 +1303737534 +14095689 +2061653731 +990722072 +1278238851 +477904647 +1424425596 +1725217149 +1057482453 +1860594079 +224300792 +1319076321 +649146582 +1088628347 +1627974235 +411386834 +1640007281 +892095889 +288041785 +844375738 +1039798126 +1807238043 +290618912 +848454480 +1505984226 +1593074164 +91502929 +1101084031 +527953935 +630644031 +1104295438 +1831691470 +644739720 +1018465522 +674929894 +1922978571 +1496370169 +2099355490 +1500712072 +406368974 +1812465921 +1725012864 +1725445295 +314128856 +666157564 +1205935882 +725515690 +158681197 +2098031771 +1013557475 +1003056935 +990346249 +673311870 +1293675848 +1838800729 +31812449 +739266364 +1930303659 +1132896480 +1267220299 +413464042 +89708270 +951428121 +1058203762 +1108173792 +1626358015 +833698685 +457060313 +1578229858 +186927109 +863429287 +1243212131 +1911939974 +441390934 +1557340987 +430613890 +1647326817 +135373030 +589295087 +1597874940 +1148930505 +1592352022 +440737542 +1822242376 +738544222 +132054623 +1854054825 +1477810586 +2062358282 +839467657 +597547238 +328338676 +929175927 +1548975359 +1386542438 +2037349720 +1027849727 +72757475 +346926385 +458595937 +259684585 +1210355673 +1701808068 +24140911 +1651746607 +1111665408 +454754801 +1151589776 +1247038438 +1044049888 +601981069 +248485295 +488918262 +1042718611 +2070727671 +1227462485 +1174773234 +1777298848 +557789423 +1089647869 +469282857 +1155336661 +1417986545 +1398458785 +556828373 +657045336 +1288324857 +1584678100 +729802811 +1635251242 +2043274037 +989487396 +698123267 +1597598457 +1013628307 +202386227 +561780217 +1468383108 +1353976003 +1808818655 +364949348 +1955957072 +2057303951 +853867611 +851192035 +1980547974 +2081330096 +2025965270 +1610363175 +491635871 +968129491 +2079646032 +1646972533 +238632388 +1330621169 +56317258 +895677724 +471462378 +1640995358 +1625480536 +2106713621 +1536785747 +467484284 +657353240 +986900556 +1481112592 +859739467 +1548680774 +802012052 +66231823 +1210015781 +1166961401 +2022188895 +1119836084 +2020829012 +725897283 +952900411 +1954675460 +604378905 +415779938 +298827683 +1572508396 +347942322 +1945800216 +1811140784 +1678563492 +2002117474 +559334861 +2542222 +1495629184 +37331749 +2109255843 +884931283 +504816033 +619125436 +1871831840 +1985928625 +1478864903 +1273028966 +640457030 +1545096726 +335561099 +1807418431 +1419801974 +1455397184 +1680763795 +2145699257 +260813947 +1487955607 +602594514 +676593885 +1786783290 +27619262 +1024536207 +1585099859 +1838760046 +555616051 +1439733685 +250611259 +558158274 +787879222 +287943008 +519930469 +1672810505 +792759042 +1139055905 +1397158697 +631204019 +470437161 +522704015 +1271661049 +2015533887 +858265115 +931595832 +1287852213 +166178651 +464875979 +1286067822 +426992598 +1952831586 +1888662336 +1103586483 +1592131229 +1916281598 +2128122690 +1029747440 +1607557997 +536255094 +321997477 +1858169256 +1094413368 +1109876699 +2146112265 +1614343837 +635203557 +791387659 +605916095 +2032362254 +1422591678 +1076353256 +407582622 +546769080 +944403495 +1265847737 +1478364912 +84772061 +1432026388 +1943240892 +1370839883 +1859018986 +1748588830 +1112018572 +815121821 +1193236411 +880816522 +795760863 +75500203 +340890871 +1332015957 +397497681 +51576480 +278945677 +1507374380 +50205097 +1893289515 +2142577937 +841592756 +351721962 +2027456544 +116700786 +1428075218 +287555518 +663469866 +224995065 +1553403255 +2141834779 +309767126 +837945995 +1937592023 +1680607010 +549481333 +1538697205 +645141934 +1364603154 +584449969 +1525958456 +12880369 +659950172 +1866849328 +1344896327 +1057447853 +1918425808 +1623842004 +417338586 +1968630905 +1369647871 +412432875 +662740013 +1721369833 +292405771 +779440799 +1001961403 +579961289 +1442910666 +1226956469 +2133364544 +1437261797 +1536723595 +823826891 +1227370172 +1069846957 +1373308224 +618583729 +1714988891 +590427730 +1203033698 +1093463700 +603308100 +1862983871 +812829380 +1948204427 +772948076 +583771540 +1424562783 +1190286662 +404918797 +646727007 +1602719538 +1067658810 +220613192 +1895125309 +1847099609 +1222574596 +327602951 +1142526627 +302047417 +313483847 +432304776 +1838771012 +1137310739 +1659674948 +761134322 +363135315 +130775030 +328639565 +953563046 +1333808728 +1422103265 +1556871146 +1049308951 +87448997 +1357591925 +1822257028 +671220537 +634671060 +865060042 +1076139334 +1281398067 +320295932 +2143798144 +1502011260 +67937594 +1843414106 +577102208 +395540545 +838457085 +879149625 +709024392 +1270761862 +570436989 +1846335131 +782953162 +1331571311 +61986799 +913728192 +1660210877 +1015549845 +100053273 +934830494 +424937343 +1149362224 +1022279492 +1782529268 +824135604 +1693500029 +269716680 +1689195647 +622155716 +1551114748 +2009491579 +618470212 +905642360 +2077429173 +314400670 +1482744568 +325486070 +1152857756 +214410545 +1034510463 +276135970 +784847534 +733361946 +1059089132 +2116418846 +795348745 +1972817325 +1629146075 +1810898590 +2072870598 +416492921 +88352285 +1074749174 +1438772413 +1870881553 +1898884779 +984788795 +2140598234 +1440596778 +1606944511 +1544229334 +1302604709 +77931075 +302388046 +1232550235 +392331746 +1785132614 +1558036305 +1545189502 +1999543159 +445063120 +1821325472 +636907045 +1178425067 +732930956 +605842243 +1973773812 +558264633 +87504670 +1637188755 +483651583 +503997592 +1725541040 +1558400758 +1942770005 +1448938946 +1309801889 +780075152 +1442053532 +602915019 +239536015 +838799218 +1905519728 +317467091 +1141187264 +990586315 +709798837 +778836230 +401138973 +107504691 +630895741 +846202093 +1928830163 +1267802786 +2024627160 +514277471 +1873645030 +1850917325 +1072542105 +1961149700 +1340622432 +1556193688 +317663644 +918679824 +967110798 +112950002 +220135122 +129429039 +893025154 +1662188654 +732344058 +1132561170 +353504224 +490380139 +1450028261 +1494691488 +1480966454 +12343450 +126044070 +1882105427 +119848141 +756939811 +580823873 +2048678304 +2024742598 +457967385 +415472127 +1750903980 +161401062 +1488014232 +1564570032 +1502023494 +896724273 +1882233677 +273219671 +1863835071 +1995183679 +493354793 +1993264111 +740725185 +8059800 +578124521 +1873286355 +361564024 +1068504660 +1175830968 +1856255513 +401987467 +1188174418 +1982299583 +136609246 +1308022559 +591755747 +717433119 +1209217215 +469014697 +1175400505 +1624689343 +72435029 +1336801567 +965219927 +1637005061 +691341414 +1861944200 +1371755090 +964561085 +1578295624 +1219455121 +1457915878 +1424076087 +1960180307 +1465975678 +2002200608 +1685983014 +1827539703 +923221621 +714330335 +1536311568 +1325209088 +1902504753 +1371127503 +1461818334 +1063043665 +1962883250 +31767806 +124777232 +284414299 +1207168311 +1749466575 +356849328 +396486230 +567202855 +1993854390 +1087827644 +281663407 +1218125832 +2052388729 +1859959031 +290097306 +1362820960 +1136551470 +102793965 +681312990 +991268431 +1788776979 +361369045 +1914490052 +355623666 +1897680613 +1092215492 +110644772 +1121324469 +406550178 +1173688437 +936724071 +438317984 +1298465669 +1221138371 +1645486295 +900448597 +1577987699 +2041972526 +1467651452 +1424358441 +982316522 +1749314859 +495000626 +887221604 +1461790243 +785097932 +102558916 +450858065 +887891897 +783871906 +1442126496 +529185228 +1145240952 +1209132900 +884808895 +895437917 +153864744 +995453667 +2016762386 +560414923 +21658456 +806002810 +998732907 +1320124125 +2027141181 +496735555 +73089074 +1457645232 +391224433 +1540740526 +734520026 +1373540955 +1142571738 +1229520652 +113278911 +456878333 +2014618584 +215837827 +907736398 +755026833 +999709734 +202379247 +1284212061 +2144950686 +1411512147 +21537308 +892904955 +1565376892 +1016990975 +762183694 +2125791815 +1038649431 +1568186504 +977041074 +211289909 +1447844037 +1473776629 +284378983 +758005621 +1865001062 +1825119510 +1492525647 +1091058370 +820207600 +574562651 +1204337281 +1277085933 +441697587 +1420175109 +37338683 +1196724420 +272401195 +239717930 +333452834 +269868233 +1651230078 +354990142 +1162773188 +1069123322 +1371981118 +1924956882 +1047431489 +263146901 +1345659738 +2024472563 +474436810 +646020127 +1350765545 +758815794 +1404025749 +1068282959 +436451656 +749067748 +11857681 +1256659256 +1323630400 +1216194963 +386261541 +1765327987 +488886424 +423600224 +814568760 +761287619 +663318155 +1148021594 +1031155852 +167064585 +1503011736 +46445392 +1236187907 +727509206 +1971402275 +136135748 +990656108 +1169578365 +13124663 +1465092918 +1815598493 +1363890208 +76425064 +1072140594 +284689520 +512876720 +1821208342 +296547201 +1769535976 +997355094 +1512742164 +8313869 +615199434 +2001628588 +431914094 +1429768194 +615432559 +1095232249 +430306140 +1646588411 +1262296834 +1933317876 +1693033804 +351001093 +513343435 +1516952431 +487136841 +1503999543 +539047148 +500261504 +821608813 +207161993 +1864151713 +898033878 +1279302587 +1357585 +1410910598 +953027282 +297904786 +1032962927 +1950382376 +1810646951 +1041276796 +418098162 +1664791891 +1473190890 +1847866356 +132740803 +420939491 +130688848 +1779329214 +1683236325 +2064006725 +1324879370 +2034237418 +429866512 +694348153 +373890611 +1933866055 +1233395302 +874152116 +607991220 +1440557295 +590820181 +1506025098 +572376235 +592177766 +769452049 +1525403517 +890082552 +1802414976 +1328302245 +553245855 +696208124 +1746400408 +70554099 +21915367 +1446783116 +203294902 +442854858 +1577471965 +1982624116 +2126091184 +1493995042 +1160019839 +2012844954 +1923861554 +1854367992 +239251918 +1710243961 +940279646 +1113404034 +170751533 +233353294 +1704224215 +1676776632 +805729529 +148918333 +298745033 +183649398 +1039000885 +2101160009 +1511951643 +1592246741 +649884485 +1110868403 +1662800840 +671799852 +410167872 +1866095742 +1114654711 +1987639837 +1701236210 +1093262247 +1334151231 +713772401 +958623553 +1110529137 +420656746 +1197875471 +673289450 +1360936392 +163795857 +844040983 +1594289686 +1868020072 +373333967 +252535567 +2016938405 +672079000 +436184965 +908455643 +625755361 +1948136609 +353218736 +1275639847 +911521364 +2016019576 +1947439699 +1321689236 +1734631670 +914610762 +1161845425 +1288384232 +2007873009 +348513008 +2002156634 +819012915 +1459042145 +275329732 +2016888386 +2132331595 +1636266124 +33200596 +828888931 +1083072163 +1901220668 +1202222898 +1335607730 +1770675426 +1874301899 +1771792696 +531647421 +352573612 +1572445657 +884866157 +1628213459 +336483373 +753402085 +1428169511 +1658172610 +340550107 +195296625 +672534387 +1628934339 +55685987 +1021047396 +1483607325 +874698902 +332605893 +1758937057 +744103640 +317453841 +1247719534 +777304236 +1146342772 +183308049 +531041257 +201082022 +1518915779 +154233035 +2075383921 +1143224827 +685880456 +280473886 +568186836 +1570746613 +1908687345 +904670210 +176665050 +1189373208 +415359172 +517215157 +1384669834 +1087893559 +2146149496 +1440355821 +2108940955 +1482273174 +167571075 +294063201 +1093726583 +911674715 +611517042 +193962469 +1688978952 +1757859814 +377270518 +72536561 +1958941836 +1896186298 +226769596 +1886842110 +891927477 +912650052 +19832348 +1460114314 +335913017 +1928519693 +217300876 +512578067 +970409254 +632660048 +1029793224 +207595440 +1720553607 +1028459072 +1647951261 +1682010915 +363248598 +1815522336 +1976074116 +1456975182 +579713403 +440107510 +1650937651 +121208707 +50483676 +2028208170 +193745268 +2009425512 +1776910820 +420514864 +1748783974 +521354649 +1333164916 +1768616322 +1981468963 +1669077933 +1549652368 +51286191 +34172352 +372577974 +683946239 +1063965576 +580173414 +257016199 +2092424649 +80641027 +1939027114 +308189599 +1896163363 +1767617582 +1765164781 +328393118 +60241444 +1268618785 +449601826 +110725120 +1149343307 +643347094 +2120150632 +778770479 +1063861959 +1721450959 +1300125128 +249543227 +1342583633 +1134110444 +1918621161 +744752353 +1185396635 +1952793513 +1117330327 +1869342875 +869275442 +1697503741 +2126359074 +814216443 +1778144768 +1917902540 +1122406042 +1526824483 +1538036474 +740087176 +1855217602 +1598277918 +2008705961 +157335780 +1709003038 +1010565620 +800682874 +1681670022 +1789336099 +1864544833 +1255637333 +941977579 +2114088061 +450737319 +2076088023 +1885225574 +1195489672 +1114001011 +1690535439 +165336352 +835860238 +412327233 +1862840093 +814735664 +1226543676 +1493501214 +585154556 +201466071 +872842049 +2123191030 +941553247 +580576003 +1573985300 +802775560 +737911783 +1135504690 +1813341180 +1538594658 +669691064 +1455193631 +1255655843 +1925328398 +249687562 +1222260256 +228582069 +178291938 +960002182 +1424071741 +1292292949 +503053974 +1589408093 +2128153187 +915381207 +1304764539 +795405203 +2141924884 +650782105 +1380559759 +195907307 +1523624154 +1356267141 +1137460554 +2104200158 +782768793 +1940236114 +694628293 +1918273483 +1606093646 +85739303 +440480899 +913803629 +1341395147 +218325649 +1163491191 +416171755 +446907718 +1341783129 +1376173938 +1870979460 +486592430 +1879227912 +1312903905 +467261969 +647125471 +470184796 +1262667172 +641566707 +1120966901 +495743283 +837474014 +497107408 +1852010424 +1974934568 +453823918 +487295569 +1767687034 +1148452211 +258085404 +1226297032 +1234191515 +698566304 +2140100661 +428103014 +916891953 +1156108205 +844274769 +1363799672 +350407686 +72965059 +1087295484 +837000117 +1952192971 +252715741 +1304262086 +451834795 +722900538 +419445611 +1093401502 +1843867439 +915188894 +1930875517 +193491199 +619715671 +1758326437 +647315117 +1107011240 +1378529824 +1795767329 +1365096645 +457343208 +882475196 +2063662949 +449960222 +1310578210 +833071254 +1606068427 +7369331 +49387278 +1956476113 +80334391 +1136682762 +645992582 +2032527362 +1389398504 +1950254669 +336878509 +2112299042 +222216632 +1430280012 +1808682833 +1137405526 +1213671881 +2002174033 +1757121197 +824514670 +502005502 +716648790 +55560846 +150289183 +2081745435 +512904055 +1032764379 +1997924736 +962864277 +195858941 +683512342 +421449056 +203228273 +732899621 +230441521 +283562664 +1869582383 +876434104 +168606378 +1111497239 +679205125 +505484888 +1076312633 +901421757 +1935764900 +737511819 +2038827283 +1001953133 +592202204 +1648464833 +1826467803 +1094207706 +217629975 +1882028650 +1244496890 +151891762 +247449057 +129777621 +2332850 +1210313334 +325636563 +685845192 +1631762390 +528864836 +1418744813 +1862203911 +812427500 +1140843549 +591154367 +981033878 +104857140 +1270359492 +1486518766 +1181169774 +24297601 +1274800018 +1918681593 +2063124885 +129269503 +363400149 +1564106070 +1955737307 +1457607855 +1781736045 +1690282309 +554621097 +1933627807 +1937731366 +684398719 +1935960657 +1000561052 +1010035282 +474322201 +484839794 +1538900118 +1893067015 +199560057 +203843970 +886426916 +790714425 +1184877848 +991284056 +2061073917 +523912967 +24970182 +2085371519 +1798712985 +1943651775 +2001012756 +1927982489 +159568276 +1417635178 +1736236148 +1617176132 +1051887575 +1279034809 +24313581 +838031734 +1069282527 +708712300 +626508743 +2069843579 +1718747582 +1100830944 +407199725 +1110164052 +846414311 +606759782 +1314008022 +1732841227 +1397474207 +351402223 +576641636 +1311064477 +875315190 +601611818 +1248952348 +526544527 +397779946 +1102481456 +307043368 +557348222 +372632986 +2043279516 +27040706 +1424520561 +1174830677 +51354288 +115068647 +96629556 +760066588 +741577390 +18989487 +331330523 +1842408334 +426189212 +1441494575 +541338998 +1032948995 +608018950 +126696577 +282939554 +959421173 +703338213 +1594004031 +1834736363 +1304950032 +695472731 +213797242 +1702729978 +1797954187 +520840611 +112594552 +23103525 +416636479 +139635259 +1447624086 +1591467157 +190989547 +1562692733 +1688096713 +951056135 +156786475 +1707086201 +1282386658 +1999194810 +2133275413 +576397586 +393050160 +1018740760 +1184416536 +519746737 +1301680315 +2143837709 +1223084951 +748200698 +1831090424 +380551335 +1443673430 +2044887666 +2083281313 +1094143969 +418244629 +48392217 +1117247495 +834881109 +188027476 +417387933 +278864618 +379017023 +1980080667 +1966961331 +1330073159 +2136867142 +1526563884 +464976169 +1988578304 +1512355650 +1041373755 +234144816 +383612762 +78306643 +753891554 +1685293077 +74660704 +1976976505 +286010128 +1905751128 +210044192 +1729683558 +1803155147 +145841857 +676343879 +73916128 +194234074 +1793591374 +908797237 +382261551 +63495660 +1187661855 +761278574 +2043576327 +1007139539 +2091351733 +2032959821 +386219775 +408844255 +1874054478 +1898575425 +1450218010 +2108199294 +134704540 +1528524654 +714607200 +1819997617 +1603185358 +544100057 +2106007745 +1361452839 +754144249 +1688207655 +1017124338 +899986106 +217067887 +1091040466 +1094220181 +2010659261 +1999837704 +1476481732 +2074154921 +1040015911 +90276658 +1970247600 +2047155450 +34144744 +1855723774 +285891578 +442988999 +1582294604 +36983355 +1893207009 +1543010250 +171687895 +1274248015 +110133803 +1991685513 +729949726 +654233860 +1950209610 +2091402565 +1408378110 +1490933618 +961043255 +160880568 +1708001505 +2052083721 +1255100749 +1571177118 +1904437777 +584098833 +1497848392 +796970041 +674375492 +1320612344 +696641843 +708520236 +1028852470 +982533421 +1151509235 +463663426 +1019516777 +897232596 +2006673677 +1191204672 +23996964 +2116807480 +1035406537 +753946690 +623557692 +838132500 +697865607 +2031935802 +181582470 +1658908862 +45332723 +1889583975 +1563508935 +1300433472 +1313277445 +1320463065 +1884532306 +663642189 +2117433106 +411424150 +1984254534 +666591301 +1119944386 +865623356 +1649124723 +123969973 +1329286783 +521157852 +1021202569 +1188476812 +1712362524 +1045199533 +1157800644 +600285414 +1799146223 +1781358336 +1438417914 +349528182 +1665810491 +1620000384 +2008437044 +1711143214 +1362100711 +1424462332 +864093038 +527894508 +597441749 +601141696 +1191536698 +567391207 +1012565846 +1028307584 +1233982508 +2132510232 +1893930940 +735623583 +108996557 +1075734075 +1256781435 +1130199127 +116727239 +821660312 +27915012 +1274527883 +1421945726 +1827061236 +908402572 +712879992 +29105770 +426729415 +185396728 +2037542815 +2137872629 +1547497439 +1314521499 +854482019 +2075391947 +1911963248 +1455623716 +1119444997 +331870807 +320705914 +268933 +1565853315 +305732499 +1894199874 +153993251 +414729056 +822450301 +1410774686 +1544928183 +939177541 +84951350 +1572843196 +66221776 +1506897076 +1252420784 +974624348 +72293420 +1281526554 +1401353763 +257690148 +1171585721 +1391742744 +1805187587 +338623572 +98741116 +1733095887 +103103172 +1554364832 +705057236 +434973979 +1875070746 +705326170 +2000827295 +33319597 +452042396 +7336898 +448048654 +1274492697 +1418111584 +1992976837 +66186590 +1503062935 +1418336385 +132408367 +862476363 +523273521 +1107032715 +934769784 +1804800076 +360902831 +1192459932 +828902149 +1752645575 +850163872 +1167525722 +1851386691 +435776111 +1270628894 +1258267875 +1140833347 +1705602874 +985854974 +1846159517 +1558946521 +1019174571 +150718265 +1566283419 +1467223225 +1425210963 +836911355 +1312716415 +1491397553 +192490642 +583569152 +1623805920 +1054967006 +1106842674 +583354988 +1989736790 +764159102 +944257819 +1034713074 +1593061251 +549419746 +1884876946 +613103325 +253322790 +173169409 +1883732220 +1511590665 +1314002757 +1441851446 +349961991 +1012678626 +853314319 +1369136563 +1163396892 +272114090 +688876140 +441124207 +1109025445 +2001592555 +1932521760 +1301516088 +437678060 +1408844033 +208999446 +1544520734 +1992199021 +51252588 +161196188 +788973192 +1085965662 +1754257439 +1338392938 +823358961 +219877117 +1591715728 +996528370 +2103609337 +955822746 +163047479 +1397977135 +1305784737 +1175726106 +103807806 +527437652 +191639350 +375921896 +1216313793 +632763557 +1484947341 +1070422700 +417801669 +638979781 +1508100760 +1826645702 +847979227 +905137846 +1671361075 +899231815 +1066334034 +312850619 +1985197478 +673107826 +1651243558 +661072791 +892984943 +1095475638 +1657601161 +849110632 +2051298384 +1820648641 +99604119 +1209599474 +848891099 +203411925 +1737037126 +1040530449 +579333821 +805867271 +1673294006 +2064281162 +1876289972 +2091095675 +555777296 +1236907084 +1770257730 +1403756523 +2142044931 +1294135157 +155504691 +1060895317 +1606985777 +2140702169 +1734003143 +1110745687 +654291312 +479504438 +58737677 +164408825 +1328615070 +2110036062 +1985057466 +1428219189 +1172151888 +686464917 +1631631114 +761705366 +1726995366 +63481287 +1567572638 +1252805724 +2127762450 +1296378962 +1196417752 +536056098 +385802398 +819191834 +1939812621 +380363681 +2113326991 +2095317312 +1441258999 +1572829120 +2088535833 +1027778494 +536091159 +595343497 +1507282933 +594828837 +759752323 +688414355 +557381251 +597326141 +2116633545 +1729533139 +1283791059 +1600781011 +343754857 +863302777 +1664262299 +1911327495 +2116108502 +1644541101 +1060222809 +1165042606 +33113551 +1446025208 +1984234440 +1972926172 +1826388889 +1950077783 +1920759837 +1120164240 +1375423256 +1861812022 +459087 +1911514415 +309671872 +1507742020 +358859604 +1069424195 +48672727 +916240855 +1666750336 +17822624 +498290346 +803057747 +1618603636 +842045204 +1666360525 +1135382287 +605889051 +1634985379 +632439740 +1666111861 +652544337 +665553291 +964653421 +489295129 +490995815 +643558662 +291889264 +264272004 +1763722903 +1667312520 +2126084027 +1764181990 +1431343288 +288272251 +1124440362 +1790202892 +1357696446 +1173113089 +558960100 +876963134 +1190935714 +1057250446 +1680020882 +662055702 +1899295650 +1198897759 +1797437989 +357701054 +686399490 +282394081 +2023812915 +1338943827 +947947372 +840982688 +1828238956 +1438943187 +1484541350 +2120128220 +1703215192 +1100780605 +1639957093 +1681815571 +717478947 +923816733 +1970087822 +1841919309 +566535977 +1180300620 +867548751 +1125496077 +2057263754 +2058484465 +35262876 +1589800988 +573056519 +1934558526 +641215099 +223010860 +144775932 +1327614589 +505404941 +21105199 +519074768 +1453352313 +862087887 +199830076 +744811852 +199145590 +172474649 +300543396 +1299926195 +1812431742 +1982358967 +2017405143 +588764827 +1804963141 +1711840804 +1155300804 +837780113 +431905907 +133313234 +747560220 +342906724 +168576110 +189877560 +915963243 +2103134636 +831092660 +1138974103 +100426921 +11223601 +1644379044 +121532120 +530298370 +950247709 +983620008 +730128446 +1695059562 +1182765598 +902603095 +1995602958 +335208145 +567551189 +1830478278 +205129640 +1156316016 +1487957771 +1916970445 +164133173 +178254237 +201392704 +297446407 +925814457 +544299429 +466022517 +1115692017 +1460262672 +421673505 +1946784677 +451753128 +522100426 +1958008279 +2096132172 +643632547 +340823001 +898896234 +1627252555 +1070951447 +446472148 +662534505 +1973554543 +294591458 +997742650 +393622084 +2125069736 +1202872291 +1549938101 +1465543860 +972359088 +1714071274 +1643798097 +1173751792 +2011517681 +422128906 +1718051221 +330056550 +1537820923 +1030830246 +751730055 +1337121953 +1482583374 +1273830482 +1147646584 +1431231898 +1917463029 +1488469585 +182644484 +1397231936 +411937384 +629116632 +2059766441 +238008279 +923708091 +910025443 +631630364 +901294179 +2112897734 +34084817 +219354391 +937773174 +1748156091 +1863152488 +2111524967 +1612190124 +137797746 +1682092540 +1942246674 +1675618670 +565439138 +546493081 +865256975 +2048022512 +1820323563 +2012903559 +1331770763 +1590302944 +1353889496 +1514415247 +840051232 +1765826880 +2143531880 +752334025 +2003835160 +919756323 +1662359469 +487981876 +1821050502 +1627773555 +522066693 +2040404894 +418063082 +122739136 +1756073734 +382104401 +1734929260 +1893871481 +2064196941 +1529692286 +1422006503 +482152432 +2076185367 +139779830 +382691296 +1749025283 +5199741 +1714462059 +1191844579 +1359089237 +1081393659 +2031895812 +977432469 +1077441891 +636746189 +833783981 +1997198214 +151622010 +1321765857 +1670765068 +1779395566 +1843832550 +1563686314 +49975000 +1966571686 +1172276401 +432079401 +1554017298 +918664234 +348792694 +936225936 +193187089 +830945126 +864927656 +332966919 +1213636423 +466469291 +338166660 +780614834 +1658313870 +1697255897 +1862008493 +1542726034 +527204718 +791966736 +31988576 +1360988700 +641681302 +183610586 +535270909 +164962723 +1963006152 +231619812 +1728649037 +2012981152 +50707850 +753441790 +297576905 +1604725149 +1672106024 +646369600 +393467437 +1865293113 +1477314726 +1258395093 +50776384 +543467501 +1724864384 +388943044 +1324082336 +1235694607 +2086198941 +1038607181 +630936993 +465920012 +1830573918 +662925569 +1826908712 +324771572 +846536156 +214695973 +489734295 +662058660 +446315785 +70899685 +527556165 +497023636 +824341475 +825133070 +2101748785 +348963852 +1471502670 +347732574 +66773317 +801333749 +1606127668 +117549702 +1344801250 +1183508404 +506492746 +521399938 +271719363 +445208040 +1560007120 +902656357 +911128052 +1243097390 +1565581926 +590553116 +1567868962 +264634434 +805249089 +2057603258 +926693095 +1251564875 +2128502943 +1454249260 +1748588511 +805360770 +131898682 +1702853648 +1154324622 +1603401353 +2050586222 +1221097940 +257251454 +1509230242 +1338647642 +1602052704 +545254999 +1845140388 +2123452643 +816974362 +142864780 +1535976115 +1719630719 +1053992832 +631589857 +1137728998 +1644545948 +51975171 +1402363432 +302311390 +2109578429 +181572879 +1553876265 +2090597724 +1635822139 +1154981128 +748474847 +1767720822 +710351128 +1902799469 +1223638527 +613453702 +976413761 +1480889981 +2122683945 +167577755 +935459037 +520455296 +2012718144 +911428032 +1337429658 +8099276 +299920499 +909576730 +1062092109 +931510356 +2047305728 +559154409 +983485528 +1302185512 +861465799 +945580309 +1483758392 +267858416 +888694386 +972096883 +1422839544 +1637169233 +592334057 +2133190672 +1392485054 +1815972584 +599160727 +221415168 +1149378917 +574361024 +388992923 +2084837955 +1094816320 +254227419 +848782339 +284762330 +262326696 +1148702839 +1194339060 +1324418805 +2080213195 +1094161140 +1883573214 +916215075 +248863005 +597555366 +1861795385 +1732621397 +865413782 +603006123 +557234632 +140769679 +92691708 +1149568690 +126476703 +1485176762 +818057626 +725637430 +1706591930 +1967436544 +1299998454 +2095584854 +1904790851 +247331126 +202328625 +606089542 +532093457 +464655321 +1754792381 +1726432517 +1789074126 +1687521929 +673110010 +1525163693 +456253356 +921973015 +2122719059 +170565093 +507110764 +840649193 +773571216 +1064345396 +981418872 +866262924 +66430438 +1107895576 +203956039 +884488065 +1833533006 +1910547969 +704440961 +986047813 +1858649175 +461748164 +1233378939 +2060977801 +1067837706 +1765472396 +378149474 +675146440 +1344421266 +19739953 +215184721 +2017531276 +1544903646 +671438077 +792020643 +1520139057 +842003171 +1299131407 +213304602 +1615574387 +215993155 +1194723475 +334353664 +282423594 +155135403 +538309703 +1166911659 +1988668409 +301374024 +1871352620 +827232574 +12539552 +185617136 +2060611514 +2073517353 +1253454842 +1678600262 +304183179 +1928601282 +875537880 +323923132 +2143786003 +745585508 +1868826778 +667740433 +1537606151 +1241482187 +1509743604 +689253910 +1454786790 +977834343 +905247066 +502026617 +1312188007 +1187670660 +657162020 +1850497710 +207098671 +498346781 +4388087 +2078451291 +1325579356 +16927639 +116584779 +1238707222 +2090444992 +1370039621 +769823836 +247144523 +1151157256 +1645361717 +571067656 +1147459611 +243463577 +292410786 +1815200044 +1781069729 +1533892974 +1177460000 +322839991 +841196116 +7810696 +1228087057 +1343222733 +1319998703 +268274069 +2000384753 +1023012766 +475372740 +351247886 +1027400853 +406340383 +1676827242 +1044328492 +522925162 +768050816 +987289836 +1892964784 +1537874653 +1234434359 +896638392 +1035752722 +1805502015 +2044098003 +1279216299 +2097912802 +1711814400 +912802380 +1484322128 +741790752 +1235642372 +178034596 +749601448 +316245781 +1521257329 +2069600152 +584519851 +1374158434 +945129270 +1059892591 +1725406320 +1972530123 +1466232975 +1254749915 +869374967 +1989158137 +2022800731 +1856664803 +1734639273 +1413191736 +943615514 +483794017 +301460810 +601633882 +380408373 +1580677110 +552063036 +2092222773 +345995842 +2036385164 +686529877 +1581638214 +66936112 +1436131326 +1897883996 +1588193441 +1358247830 +334920199 +814868227 +155893452 +1394812790 +392790899 +2128423575 +713562117 +1647540814 +850314894 +555236607 +1522857898 +559496049 +142392232 +788565986 +1503111563 +626186250 +1090026797 +2104745445 +1006594623 +523220259 +509324833 +951333748 +869216101 +398226349 +1637863625 +303370668 +465162461 +926511303 +53771016 +2053355902 +137275485 +388691215 +720740481 +293168937 +1783504005 +1113531381 +274108864 +349582475 +613588547 +1124423758 +904819082 +2136446445 +1683919807 +1047211314 +777528784 +1039547723 +1673397564 +1867555581 +996809520 +532508539 +243292192 +1506134354 +1483842287 +1112508293 +1904360703 +974222265 +1415878961 +222039517 +1900733568 +1469649977 +127911771 +2038009054 +1858341192 +848652253 +183694343 +1494361550 +1962183634 +457803208 +1843944025 +428288533 +1582226966 +601279459 +417251331 +1118663126 +1648490773 +1194780115 +10727201 +1174404690 +914852048 +1007536721 +1706913229 +1158144240 +366187427 +1043271869 +123168885 +123064483 +2017494134 +1539047847 +345104000 +1770744054 +861214176 +473015771 +1661269460 +572071721 +1321668024 +1844963804 +2066433271 +1136368010 +155283364 +1762893648 +1564656544 +1737510330 +216689459 +1981907875 +708689808 +1865180232 +1029204342 +719417009 +892101274 +1944056390 +1726953731 +451530856 +954716982 +2093141158 +1494802725 +1077885867 +68721993 +1364813211 +469450066 +413825993 +988073617 +1330664243 +886841765 +501859430 +1902735964 +61026141 +199339586 +1821685587 +1197394152 +354622950 +1437095587 +614567048 +2092133280 +1653785046 +448991275 +653339441 +1371481630 +1478195617 +1372756450 +116099257 +1274768359 +952226533 +567630113 +82001693 +897884044 +2062432838 +1159887560 +966606037 +1279762401 +1629337627 +1380432031 +120352370 +812518222 +119790148 +622211800 +567770538 +180816289 +821551386 +241972477 +1378210441 +1176174336 +1679068064 +1992777489 +1120823969 +1185369462 +294285116 +1774163410 +409367444 +1772480733 +999436212 +525466701 +899765444 +1951662746 +1093096814 +981767137 +702063142 +1008046004 +2141654698 +1668669179 +140324757 +1623508677 +901617562 +260677128 +288543251 +1021407710 +882888928 +856313789 +1202224000 +1704440315 +1098286266 +432950793 +733131003 +629870682 +278244635 +1853954972 +1815240144 +572529751 +1480634734 +77123940 +197526837 +332587299 +602590642 +1097292281 +136766397 +1695687456 +2079059419 +838829539 +556249813 +2073230469 +360015070 +696574570 +1549255498 +1261632633 +957251698 +1837798749 +135556695 +1840140627 +546628890 +1337780695 +1397097294 +1644915156 +1770731489 +2130228297 +127302190 +2048976124 +1836699622 +1942542334 +474022227 +1169850708 +2019666274 +671549064 +1502438007 +474773268 +1768841346 +1639204404 +22977077 +1700417117 +330550295 +579226890 +1626163938 +690565366 +1275801460 +1027935788 +1952197999 +85569511 +718250889 +2087754694 +1925710138 +1264879779 +1278051742 +1175323784 +762311287 +901299583 +1158068433 +889613477 +802792059 +847284407 +684672163 +1276814286 +2017135116 +556854789 +1948363351 +1372089475 +1031628058 +1569721049 +863810232 +1054605135 +1122654518 +1194360527 +1633832025 +601334808 +1884925893 +762149837 +1629270596 +1689640244 +847719348 +200037837 +1629911291 +625945838 +1464917616 +760479385 +1801269622 +79745255 +1661778968 +811854408 +969358732 +317087379 +1659138815 +1654030895 +1593901665 +1528790283 +63402036 +1394781368 +753396111 +1095030094 +817018769 +1617206343 +2151581 +1939673287 +664083222 +1635983606 +393524447 +401525468 +250649796 +2022795043 +2091165712 +1098369144 +75349232 +1573593355 +1724314983 +1540266848 +186589092 +1378100957 +1620012103 +1848368060 +42471717 +441887187 +17971791 +1701610533 +2095918082 +1611873457 +1082917168 +11836471 +859171177 +1836313279 +1106866565 +1676189947 +1306035974 +1109018147 +1468379586 +1970119197 +597518105 +1861904034 +224161017 +848167901 +1737215429 +167843081 +1946537046 +1812564662 +1741436437 +1523368381 +1205347862 +1928025529 +753985690 +677876318 +1628909942 +796457408 +1119763505 +1646881733 +350584293 +1068197940 +1111271542 +1433501461 +1080034411 +1970442720 +1122331093 +39417328 +1499149019 +280883419 +1148435475 +820044957 +103518968 +1745953581 +534465343 +327679985 +446637834 +124197125 +495523067 +245691232 +1936761787 +89475856 +1769059613 +994626001 +2017501385 +375561656 +1672502319 +1498927679 +1172019064 +644782177 +998325765 +1522603357 +1712980117 +2109597307 +808621170 +645530880 +1932556379 +1930952263 +684948208 +1284221750 +64352035 +1833383684 +2104266708 +167871003 +1431853617 +491248403 +495550989 +1878491451 +615445528 +991074056 +2124182684 +404723667 +1080549912 +1745758649 +1399349669 +950567649 +2121320305 +924368340 +302011681 +1145855721 +1569150517 +1300337446 +520975430 +1134646986 +1262451105 +1329596601 +1780177866 +1047523837 +1113065216 +317642427 +184261939 +1177417251 +3542463 +141044999 +1345288255 +1435396080 +632293403 +1840839244 +1166403883 +1247738931 +684429652 +1143102919 +1652462599 +1764979564 +741377921 +904328620 +568063565 +715214578 +1828696960 +870075246 +1861070300 +1250363830 +22929044 +234562082 +237527168 +1285380150 +1564158683 +2017705035 +185420339 +529740252 +187863814 +369682278 +1707157503 +191406277 +510727278 +904962110 +1626802357 +1143020681 +598317706 +645722592 +243275964 +1282747358 +1788825512 +1895738563 +900243274 +382719785 +652583535 +1468306840 +1097934363 +333796848 +190898438 +811521015 +1584160678 +213827483 +1046083098 +1821687846 +1499207633 +462758133 +1691909233 +1684627972 +992498385 +1879773047 +2054310250 +552172241 +2071179324 +417553880 +1457134351 +1550498033 +1560574561 +2055452058 +48736978 +1803850526 +1190715768 +1837562490 +1552105441 +2090959043 +72798627 +57205329 +1411782235 +1170732990 +391002177 +1602680673 +1982254006 +1975162855 +1816508156 +880853456 +1649367053 +1168232141 +1343611589 +1193792639 +705376465 +188626327 +926082038 +612203068 +740798568 +849777715 +1029756948 +50449271 +252792100 +442847862 +2105901329 +301529078 +99214740 +1149133450 +2139091568 +1651320181 +1092608845 +64406547 +1708525510 +356907432 +1235139538 +2099527687 +1959588105 +1069909896 +1927206894 +1628612614 +1950763352 +1429090300 +649361107 +1146891293 +475399291 +1354737573 +1335517620 +1401481329 +1966940641 +2076316188 +103775396 +849213941 +2126765460 +356567497 +1292061803 +2085183141 +658096575 +1391276543 +1086832943 +649704496 +895113077 +31958140 +714111043 +456154939 +388865572 +1949250581 +408198979 +200970030 +871676829 +187922225 +1829582644 +674956533 +1617012525 +331460103 +1821847827 +2092411816 +1686197676 +1009881799 +1346409498 +1505654669 +938714340 +1450184894 +207384963 +917996152 +1806752391 +1499446766 +855695645 +317365319 +743239662 +1942528589 +967069815 +1638352739 +1974486729 +1681180858 +2094507678 +215868654 +1482947792 +355223009 +416838684 +207140973 +543145235 +98937680 +882097507 +12674112 +430397783 +556461686 +2105085929 +2116595460 +1566343485 +1304011779 +1474766481 +357574177 +606713025 +1682151444 +1275570329 +265981769 +1034114563 +2131265975 +583347088 +1777354225 +1926310916 +1550416903 +1268223316 +1753313997 +1084114113 +1215247346 +1969182651 +419578257 +1570470356 +238537687 +626719231 +2113615591 +337475367 +1508816738 +2126289703 +767873151 +2065278424 +2083891984 +736984963 +1484138261 +1240420115 +64267796 +1841712439 +1847133141 +1746419241 +969799120 +2113114910 +633050156 +953581447 +548978350 +262920733 +732408715 +2099395253 +1531144049 +338239065 +1036025718 +598907747 +159938068 +1455603976 +21894455 +398475756 +2082323207 +2135510046 +735951123 +1443656297 +2114316102 +1503824274 +1361451073 +2050724438 +93325589 +698105686 +1143660906 +157593386 +392334477 +843310399 +1904012627 +1362133598 +808941661 +389579135 +168231397 +1357920011 +652499868 +900640113 +1309831616 +36160269 +1238879178 +198373686 +635068016 +1398817246 +1653977662 +656962472 +1797293002 +1588817221 +644988870 +385760478 +884989870 +611821324 +1889584752 +98957295 +515062115 +1982910342 +797062982 +1658723021 +2140503728 +1189397459 +354549772 +1897032707 +404047409 +1163491433 +139128194 +572278807 +373927796 +791628062 +1472918920 +1683759412 +827788331 +564314450 +1882133098 +1462856347 +1963131696 +1388627113 +2119818819 +1612941051 +829960686 +617324042 +1998701529 +1714950557 +1229145366 +1740802633 +1813907852 +1744207481 +1576229327 +463487186 +1255446854 +1569249407 +1652884646 +1609996626 +1318798466 +2056932055 +626004411 +1457926660 +481727214 +999932207 +102071074 +1954646134 +536207971 +929859405 +371476936 +270857422 +245232105 +187124985 +1659484535 +217567276 +1800066036 +341961573 +834891318 +1651283917 +2056912130 +2064036685 +1244602902 +1723336335 +1660760518 +673348582 +39339873 +768723725 +95114341 +1692224519 +231236703 +1413912808 +1601672927 +857241115 +724355820 +2083400141 +1857173322 +826426895 +1890562628 +245897646 +1756286300 +114555916 +516755068 +2001518405 +301680901 +28755955 +71602034 +2101746937 +370717528 +906493352 +1605547206 +280146011 +823046389 +702666461 +2003482346 +336323260 +1376015043 +2042822219 +1105046985 +1471129384 +1587563091 +1336283688 +737558544 +1041752370 +46041155 +1461914365 +977668863 +1903214478 +140857612 +720747843 +1628476 +1897143912 +835303760 +518383544 +1751178670 +1136984661 +547139499 +1822780704 +1091247951 +917857027 +581790408 +549311509 +1198003038 +1404836798 +1251977970 +1054001736 +1741160058 +480509365 +949340308 +698723395 +1951638750 +389419751 +2035007083 +541713646 +1431172121 +2081048239 +2003628011 +261357336 +1836779069 +2144485623 +982105180 +1838407545 +1894145888 +1817408940 +209307441 +1497840910 +806909953 +756446940 +1173137966 +1898157904 +1674303967 +1754928374 +299985766 +724823358 +1012281524 +1551963736 +1778825094 +605957934 +2032473102 +580681754 +1304681329 +1836628204 +970101505 +1192204765 +230858202 +253789978 +1125769356 +87002566 +515147315 +815064777 +84004541 +1497252495 +505988674 +1978150429 +1167177787 +715296115 +1328507691 +1974087740 +1471743055 +354162009 +1724761997 +998563374 +2109090384 +2024747763 +1723386732 +973888260 +1429227851 +1354728179 +1579846195 +1314217305 +1935409933 +737043876 +1003361861 +758027791 +1929248641 +1234220064 +1011817769 +907534349 +1321222630 +1526965084 +1722599126 +1405227171 +876733931 +81104152 +1235893953 +2043911718 +796400267 +416917996 +1870515811 +120659674 +771080006 +1447794160 +1119223049 +732686742 +1325058275 +695126133 +1706575002 +606802478 +2049854312 +1138937549 +1921019784 +1837780598 +1875981426 +776897997 +448324741 +1657746419 +2011118061 +1460142510 +417797121 +1184857043 +839623947 +2140396247 +442600567 +1716357878 +74016752 +1678494520 +1612785949 +870417019 +2095412516 +1335818112 +991076694 +719008874 +636128624 +2110299743 +1451695616 +1961186899 +657942228 +1010786971 +420505729 +560312893 +2240872 +194041865 +250609843 +1878222298 +970939863 +698934584 +1388485070 +834574276 +11593446 +1806282191 +2019431320 +851217393 +1799194790 +314548239 +420091624 +1873211542 +1993042759 +2032877573 +596144914 +1940971627 +1221212037 +1587221608 +512496854 +1857340661 +1550037703 +1964192470 +1671043912 +60496283 +827495793 +2091549641 +620809176 +829736666 +138107859 +871419019 +560475316 +1109047722 +1570353603 +1948960386 +1943621998 +1581947050 +1607758929 +1815569670 +285680795 +1259470072 +2130117909 +705772419 +985197966 +1975677020 +591166344 +1581342880 +1769165000 +1812378381 +1021080840 +134178206 +1522235394 +423634895 +2098370676 +1045795658 +484131179 +778382822 +989861652 +1104940355 +1608119488 +1127969511 +1976359375 +21111156 +89533585 +1399229330 +1970071543 +2033155583 +833692732 +1430346824 +1701241606 +1119373528 +542333248 +1683875867 +1825145947 +1527531215 +1512069240 +268828644 +961390447 +1133750592 +2081207025 +1982471288 +1267928798 +1455958772 +258622535 +1218815826 +354270782 +742753714 +1997198648 +1344132434 +1847694070 +1457834488 +324618297 +1676569797 +1478945645 +414151882 +928315479 +1301533540 +299823818 +1762008212 +584396716 +2001065424 +733898092 +1126729965 +1537457643 +411560391 +506777532 +902043235 +680389035 +1468167979 +2035793827 +614112413 +1303155619 +1156238977 +2070071185 +1561778155 +227571156 +276858319 +157048221 +77286156 +1620990754 +2004742291 +1535120645 +1945609051 +1533828440 +866582642 +212277286 +314660272 +20632534 +512101104 +2076668484 +605029250 +365682880 +663082928 +1731759215 +1903140523 +1074643319 +91053099 +657700111 +1755032355 +1559221079 +546010290 +221661120 +714893050 +1702249268 +144248657 +129187557 +1929820424 +421106976 +286235779 +2007106580 +2042097730 +143494422 +1394743577 +1840223134 +1677322863 +113842571 +2052500420 +1991983135 +134475105 +417117876 +1921167971 +739504356 +782800756 +436767251 +323779923 +538457631 +1511410570 +414833023 +1196157742 +1118959277 +1974054102 +1742168033 +1340620397 +541463504 +1296933653 +1484869054 +670651062 +1079270429 +1905976031 +956886841 +938893361 +1800590113 +1100381263 +186153291 +1493329599 +630220478 +299995862 +1398346371 +474719965 +434470968 +1815464247 +248404288 +1173975324 +450781355 +685171539 +1497755247 +989238987 +49098462 +1912588270 +37913081 +1168057739 +1739158724 +1780081114 +361194489 +133138581 +929531119 +1846063543 +803789643 +2008801548 +1604555926 +1760676484 +800211262 +1257662392 +713574099 +986364553 +603508343 +1343794578 +1286360415 +2001854715 +1818514543 +1720831383 +1669835314 +2066918832 +747323059 +2120616670 +604606723 +97594659 +962372009 +653705185 +2010182929 +1000285090 +1821762925 +1601858006 +632882557 +35473766 +1734996587 +1562413676 +1881537309 +391302582 +1423731577 +1338609588 +4495418 +76459191 +448788332 +718069517 +1062823744 +1052296675 +2061864095 +201700511 +906667742 +1732894991 +1922531895 +429019409 +1652330175 +522371306 +402152431 +109453250 +619965965 +1364524440 +763158436 +482665247 +217325882 +437437713 +2084523253 +850208439 +472911479 +1672036192 +265138468 +206965140 +2063338774 +1688870045 +1545574728 +2067834192 +1765329236 +1994363060 +638420061 +680669332 +899176088 +552800509 +882369843 +1805843830 +138211852 +657418090 +87379591 +1790542027 +1179789397 +489532022 +1899995277 +1799755362 +1854056462 +515670065 +134936961 +2071382345 +953107778 +71976566 +774107136 +1426019257 +1744012758 +1039245604 +1632984398 +1659867884 +580632001 +1031075478 +1580218428 +198477589 +877954891 +71154842 +879146921 +1777130979 +623955351 +1761516765 +1435491161 +762167203 +271451207 +1522870753 +405225582 +1451240604 +2012402775 +157737211 +1103512319 +1718975590 +673407277 +1238449280 +1642874287 +1626515055 +1310425847 +269497775 +905050665 +906954957 +1308743380 +390551415 +419339194 +1889375381 +1421626893 +1999557622 +2087852971 +152098136 +2070712464 +819516244 +1929229115 +547184167 +433549361 +1217236629 +1309351370 +705000569 +592623734 +1714576952 +8757525 +457542861 +1872314164 +1112269844 +29034803 +398237793 +203235477 +1671909090 +2024752848 +1513661324 +1941406866 +782319865 +273132633 +1102666598 +1172871280 +692471827 +844558331 +447014526 +544545802 +784927654 +599112662 +467774618 +1604443899 +380858130 +1014958786 +2037993260 +1598094759 +176826508 +595510181 +43234845 +1891403461 +604267707 +500777706 +1616233977 +1716537551 +529812510 +2014471770 +1919773028 +54237952 +1891740970 +1285950704 +1995644818 +526577188 +1559083338 +950827768 +1699448468 +104071517 +1795386100 +2146462994 +648617319 +432830106 +598092009 +1116391938 +2037274005 +978950139 +2131350724 +1927783618 +429561250 +160693584 +375810151 +472796095 +2052097045 +980077858 +973573801 +1520847374 +549131762 +1503386311 +1387835496 +321421142 +1557624264 +1132092819 +1607371847 +1405785434 +1658670007 +1018971537 +209129555 +1210634827 +1123043054 +2004515655 +1209614174 +1771660374 +289862113 +1807706183 +740568664 +179652471 +639172674 +724435740 +2107436089 +1068733924 +885129324 +335762592 +1541530019 +789742722 +1315840451 +367620172 +163106448 +1864972213 +1871006484 +1550941945 +38909707 +1281147100 +535551116 +1646281554 +539448886 +46737475 +517769443 +748578441 +1257372302 +1640812498 +605610448 +319502828 +1264989224 +895472562 +2127209011 +2005557888 +1075125033 +618898037 +582509980 +1035077474 +1687631961 +1467639304 +1370840066 +1081678332 +109898378 +539196869 +1449298505 +273004827 +256685434 +1172821341 +1823946772 +295595142 +306484793 +212014240 +1941876696 +845933679 +258751715 +312162492 +1594512121 +1516124017 +1952974990 +52638921 +1835626846 +1070480566 +948111483 +1815352209 +928554806 +2023236516 +286766599 +1511064786 +910830342 +1974398560 +831220442 +134186761 +908593245 +941118821 +673383630 +210408102 +1214123648 +930069065 +1383229443 +890586772 +1225664207 +1689714236 +1102601012 +1020057255 +388164267 +1361352727 +1332219747 +1982676388 +729993096 +1137711089 +2035315310 +418136294 +60708007 +835943145 +86004856 +989262813 +711696014 +372771455 +352843951 +1622526356 +199686367 +1184064394 +1756713117 +1108279612 +2125183215 +282613100 +1318687714 +1191823215 +1212682165 +554433509 +2082409987 +290862724 +96664097 +1037527351 +1310919979 +484828365 +251396430 +495656079 +320021105 +981389526 +1633367168 +207852767 +1399525821 +1694075176 +1043795913 +1485530677 +535854341 +1755491927 +1858302132 +888698293 +1230534635 +2057988499 +2072762687 +839764105 +1018784464 +2050462254 +1122377205 +189988530 +1094801821 +187575722 +744422040 +1029728160 +478438446 +841086137 +2067255511 +1789358425 +1325914502 +171168293 +137530856 +1645935608 +1152557819 +1770898025 +1853788375 +404599992 +1317489553 +750100640 +1890130669 +1853343894 +358108919 +1600949153 +594558539 +1588643555 +1511454005 +519837578 +280924012 +382754821 +422816184 +1403301217 +572743351 +1517618005 +1590876939 +1317165391 +399862517 +2069315385 +10767881 +319634380 +1711190162 +1336682383 +490802673 +1848721019 +835134343 +1643360493 +1472135396 +541439071 +2047960485 +642141301 +1291539711 +1790607507 +348001547 +1649648631 +1244073012 +942560087 +1090808538 +608043369 +1462397665 +1371732550 +990798190 +1885213850 +627550119 +1563541542 +1255348207 +70943410 +733223285 +1655210725 +2140258795 +743991166 +1974845105 +1703965309 +2080673550 +318164131 +1405202680 +768324245 +1961524624 +729854428 +1309763316 +1862001461 +1371995729 +453819380 +1505125320 +1719997277 +2103468011 +601714685 +515073716 +1046792901 +1209758054 +1977471381 +271041803 +53072597 +1715201583 +898591922 +1616614139 +823066143 +969535332 +202353776 +330793220 +962310479 +946344943 +158154677 +518792140 +879534845 +476318808 +1923994821 +1647859090 +290359784 +506365601 +810138759 +4877598 +1878361331 +1263958139 +1510002918 +1450874960 +1219942502 +2111717603 +1965948676 +119251755 +1173992010 +1795936409 +390293558 +1227064607 +1363654345 +1288885480 +696195098 +39236840 +110937164 +898548874 +370030060 +1073247643 +1844893817 +528184737 +1592039783 +576945014 +1004503546 +1368550956 +77320457 +1294863330 +1874916558 +887459216 +1299740928 +1605794241 +3933707 +662260199 +909185553 +1223876209 +626494154 +727650581 +1343127964 +1800486164 +376103342 +1733421522 +880067123 +1739757687 +874823354 +1576262221 +1778994527 +985760518 +327327448 +1540939 +2059008161 +24737617 +529725677 +1503564296 +601682632 +1534229223 +724631605 +679003089 +681608905 +452064515 +1566462305 +1981349834 +2057858756 +1570396012 +496126385 +819560661 +646788573 +1122620539 +1547211242 +1989916537 +775623056 +1923314584 +1575854411 +1655690179 +1515588624 +303194117 +1084468753 +1147099503 +1288954635 +1411796201 +1148640443 +1200479148 +1436533818 +1678366120 +556559796 +2038216450 +1065111695 +1281191401 +569735891 +1746720600 +1733255916 +2136198196 +1580586786 +1643631024 +1559110560 +2076713171 +315708037 +58415485 +1051850063 +1862919279 +2048332022 +1827473119 +1638750216 +1476702785 +1335679650 +1006855192 +1779896902 +272664755 +6471047 +921367889 +1684460956 +1155111490 +2121847037 +973511127 +685993962 +530923186 +864243929 +1751105657 +1812114587 +1433979821 +1350342610 +1397886856 +1422694369 +783445748 +894034232 +834321282 +712675272 +1209742270 +892736767 +1764525335 +925177901 +793585142 +1444514806 +416444469 +122804279 +632710808 +1423299661 +1902701182 +905375564 +1429770709 +676585423 +442352872 +437398551 +650948813 +1415863999 +1123392514 +1181871999 +132624281 +727014523 +846502938 +1566604102 +2077357133 +96906146 +841814823 +713319234 +990940379 +1676136105 +1425994506 +53199001 +421389225 +1043036193 +978376902 +1214974367 +340067351 +1394821372 +1337778646 +972778159 +670637385 +1092996180 +1878153723 +2100408094 +1769581604 +173022948 +390322998 +273046769 +1588886947 +1513715512 +1454918768 +1721511228 +93246387 +153938058 +1140631682 +23119873 +250844205 +1982446506 +736439107 +1241784584 +1511098963 +14949965 +1294983585 +1932488188 +1057986158 +125876839 +999978907 +1398053509 +1520698211 +190273906 +223348020 +43851949 +1283270086 +2101501744 +2144260043 +905368042 +127041044 +387099393 +1178414811 +1715927991 +1900814905 +485849931 +1289955572 +1994061293 +639787990 +283103606 +2017181166 +890632195 +118066464 +606136625 +2132416779 +1629165428 +621086590 +1279916716 +1414169968 +1679072748 +1405793555 +266665228 +929642609 +779008119 +456939134 +1152990629 +822860068 +1740209220 +1107008725 +819636463 +498093615 +1234049769 +1206735857 +1676508426 +802494113 +960067114 +14874710 +2092449685 +806644759 +654662700 +228069643 +676342277 +1545294895 +346136108 +1282478902 +1530228026 +1975301536 +1903565492 +662661094 +1241987856 +1435154592 +2068454649 +1508653084 +217313553 +699979120 +1965592218 +1370304183 +1522839188 +1558317791 +329829260 +194992004 +2056411406 +1563879030 +1401727861 +1585436184 +218889495 +214311327 +1600310894 +163855532 +1020956087 +107489946 +391925175 +1697298364 +1652784841 +738061283 +832293619 +1035529219 +565879171 +588375463 +1698190313 +1807867028 +2023530056 +1619161315 +1169036464 +93359961 +171656787 +987145035 +1463664144 +1694495976 +397979178 +1793493405 +1889487980 +306906936 +1209888787 +1143732193 +1892343120 +1428778282 +1358043520 +1345170367 +1592633814 +231515959 +1452660313 +1984558989 +1928814324 +957961507 +575136625 +613624295 +1993490726 +1141015796 +1201999758 +1544197392 +801399176 +1078046166 +1015875059 +1970435641 +1171406128 +1187531846 +810097028 +487586624 +734544174 +1208076206 +133596381 +476548506 +1514983142 +1343485168 +1620280699 +1259842614 +624779802 +830840572 +457529333 +69929968 +1062356531 +1910189647 +2054488958 +843687207 +720667506 +482141935 +1457311502 +566674584 +1623157731 +511827613 +2110871976 +277073260 +1589873779 +979263387 +100025253 +613796259 +19311586 +910122281 +1101382884 +753855760 +2118198487 +1234979265 +1230404267 +1485697981 +430980786 +703201318 +598056947 +1055760588 +1534041890 +1055586281 +1125690557 +448914774 +818292280 +1032695867 +1292601981 +1538959786 +1514837802 +602429836 +2105634370 +990511885 +1114257449 +2069022699 +1267585145 +556647580 +900802438 +1367610398 +1170443840 +920114024 +130249031 +124343076 +1673969785 +100963870 +1359322341 +756890404 +1586661851 +1790303127 +1460091722 +37235151 +698580068 +846649965 +1092821432 +1824270625 +1295564739 +1911113712 +709482844 +440683072 +1302589850 +76836998 +1043112908 +1260740572 +1067348883 +9886709 +1182279623 +187450381 +566534290 +2083082062 +1555060779 +1736978130 +855712438 +1685309811 +1861321206 +382198575 +1786273681 +1073159899 +1139088979 +1225451885 +715979379 +451697054 +1262687036 +1414559447 +1298347019 +208024820 +1091346424 +446428110 +2119138532 +1800829268 +887111182 +1274244734 +1877666266 +1930224091 +387501658 +797531501 +1940110800 +1569781282 +984981882 +359161442 +1505379696 +392559014 +2096139572 +213608486 +2077868825 +1809977130 +595807062 +1716658858 +735653382 +1734896041 +794627095 +1451632761 +39109447 +2057314131 +718708560 +1337456466 +117855303 +1810054984 +1783884576 +89510187 +1463400604 +523512111 +1363754921 +1193583222 +306252554 +1751256580 +1991114723 +98879706 +1173554214 +828612958 +458041149 +531450262 +1221171972 +406697073 +745058748 +1151557149 +69190556 +1340865810 +720732359 +804843938 +928278204 +1515359455 +108993051 +967387651 +1425189938 +827701611 +157360470 +1543045242 +490272947 +1941245046 +1632555429 +1953673551 +317273509 +848826703 +999773125 +623526063 +452599635 +843404200 +722405770 +1626153849 +1672017158 +1180446919 +10120463 +745705482 +1587143992 +755179211 +1897262631 +1656334548 +2096045022 +470511343 +313694838 +876839578 +1985870798 +422687889 +1844227229 +1263577088 +1250389500 +2001587699 +659138682 +1740662447 +1795349098 +144210464 +1546852350 +2112622607 +993037167 +399141827 +588665023 +1445636802 +1242546028 +1311070793 +924307003 +767079538 +344034064 +934427466 +1512785021 +1931178056 +1689606677 +1262564004 +1440028957 +1638168051 +1733075347 +1753723795 +367523981 +1571462497 +28928037 +64267563 +687555938 +1279317537 +2065855262 +1346694620 +872496337 +1713720712 +1490905084 +271865039 +1678859672 +336458603 +671006867 +120041047 +1782095405 +1913552895 +1431111840 +558918760 +533148785 +1775145904 +1493346226 +2045933806 +1558840312 +1035469256 +1161014163 +851385621 +526153659 +746605862 +457625769 +893677641 +170584712 +486553806 +957945204 +858140650 +1765871343 +876316818 +57351622 +490884032 +442553883 +1548256707 +762749072 +2121413555 +1884715310 +1433755939 +93970954 +1519327068 +1199825186 +1525082794 +2078245828 +1732973971 +1152745050 +1424108407 +1631424130 +564101714 +312094015 +644954645 +1415487336 +838247674 +1391560507 +1873113105 +1731925315 +1562145219 +212183263 +542386871 +272802221 +1978054606 +1418703690 +330153844 +321454991 +1861257573 +1878410551 +1084204063 +1835187480 +1615642213 +370476354 +1929158434 +987485633 +1570301540 +1306757580 +918247814 +1155791863 +312018982 +194872573 +639732345 +876120696 +506966588 +1284686990 +144124384 +1345214262 +528763850 +2017237489 +929655930 +2090909069 +81937104 +1472042801 +216227643 +2059991711 +743262843 +546381487 +233963054 +457036768 +277308390 +1318167117 +144740600 +1892950603 +1688643471 +2073899034 +732952589 +1111461363 +1233172966 +1651200403 +119769578 +1545191948 +1846072976 +759501924 +273828997 +205555916 +2044188914 +417953381 +1550770178 +425469116 +287707223 +332942460 +368894538 +369644327 +1804985262 +585122181 +282152390 +400764457 +1131503668 +516115444 +857801226 +1408812058 +1834282561 +1002541826 +1154279013 +1375442384 +928957213 +1887231602 +339420099 +14646531 +1390948357 +459189678 +1559838480 +1089537685 +1218691602 +1833667477 +1295093601 +1115396868 +104137210 +698380132 +1540865985 +391844433 +1031322592 +1909760523 +761488761 +688824206 +347399056 +1043641151 +1089588664 +1478902724 +1559756596 +1947389890 +740231134 +1246555509 +802448068 +1894510147 +474514246 +1731405281 +1634258102 +813934345 +1746051813 +877722811 +1273124023 +1158406645 +1967260497 +344331977 +844590474 +1114870450 +1459728846 +948727684 +1813250582 +853111183 +1340572118 +697089527 +615388058 +2102060879 +1385913733 +962787114 +998218382 +328018749 +294206190 +410491330 +127924991 +1034437324 +1657046840 +930373060 +781463823 +2131561086 +514294693 +268238277 +798011783 +112862858 +1145961089 +2071135807 +1271269503 +965737938 +267984136 +2115859977 +2080608388 +1727712982 +917104014 +1746375323 +433340517 +110192484 +295981202 +1048728575 +64769715 +1681894935 +2011515689 +1062988097 +2009913685 +158238231 +1473479428 +2137838676 +1192675555 +983042620 +920728088 +1974139379 +967120058 +1435022782 +94894008 +1765131841 +1547885640 +1240855097 +1688784000 +671671496 +59109387 +1956768137 +640047825 +2139717776 +1536997471 +1557151839 +1738609451 +1970337989 +1667344323 +2034590653 +871582916 +1732114038 +1569001940 +735614958 +647618488 +1431431977 +893853189 +2121097916 +1421787006 +2086528745 +956656888 +195031446 +1913184476 +1923776946 +1630054228 +2008078484 +1541425139 +1030456221 +1101449934 +1082725492 +1702127717 +1160559321 +892009981 +194691894 +1152793449 +281523804 +1751843734 +743919252 +104378145 +1271704409 +631026257 +975961062 +856334800 +52544550 +1711576020 +1503953288 +1483976527 +457945561 +1477567556 +758279885 +396990658 +286740796 +953311332 +162691486 +63034094 +435881912 +23286323 +1604459233 +1466338133 +1124736257 +539701077 +1020982202 +137811930 +1431711058 +1215674097 +1290605380 +1713234863 +820034183 +2034524632 +1817613008 +2091738592 +518067242 +646090422 +800589744 +570611792 +210182794 +157059384 +2054588319 +668128356 +1634626940 +665384557 +1065119014 +1921367736 +1618695889 +1227810501 +1984401830 +2054577801 +1251096824 +1441377416 +1373432287 +228349433 +1981078493 +246930841 +366161363 +1265305904 +1462604938 +1656766743 +831057119 +135155473 +1543807728 +501186479 +79410418 +2061874970 +1147276902 +880000162 +485003114 +1357459696 +1037059547 +392107785 +2025588052 +524202839 +1057492342 +943223419 +298086928 +528704583 +23550272 +135005110 +435798737 +1274647096 +1576382526 +1809231024 +1502996529 +1409977372 +2056161865 +1869157892 +527799628 +1371283156 +1378440988 +1358856747 +1506438629 +774765068 +1860043226 +1585849047 +689156390 +859836480 +318365562 +1174159504 +69812529 +1355425109 +1566267289 +2095400581 +1879627948 +476275984 +891140352 +30231228 +1004980567 +914690624 +165236339 +1440779304 +41854072 +1741618865 +1102526680 +1544850601 +1004112589 +1011204898 +1266524846 +1531912217 +235004406 +497482186 +743285316 +1741443035 +1272247254 +455844895 +1179808435 +1961403644 +1315681375 +1498173997 +988079500 +1385493904 +706115458 +406863141 +1333410838 +438259758 +883139125 +77067542 +468490987 +1888119693 +991758167 +633727326 +1181415349 +1033612239 +227862543 +136458382 +430979193 +1231975133 +1147663280 +1697504039 +616403702 +1382667686 +47502577 +1359689019 +976627073 +1319749831 +1815533914 +8951860 +1133669827 +983731641 +1507125857 +2121749327 +221741898 +65757667 +381128820 +1555152736 +504017426 +1264267946 +1632220278 +972508413 +1004903991 +476494797 +1606235739 +38835692 +1510107037 +1834098282 +175294074 +1941086230 +918589767 +1322957354 +1491106621 +1534993470 +558141392 +1538609198 +747198841 +1534768466 +710875381 +415249107 +1543720326 +1844545208 +1398980748 +903362536 +1818810887 +1620722646 +969120203 +52456059 +1028391734 +1473137629 +1316724005 +513128365 +298162394 +174144348 +989623162 +1904398133 +212980041 +352246551 +1591012768 +388274115 +145849133 +362118887 +1711231470 +1636955754 +1897112357 +121889214 +1028081304 +496827550 +1656657680 +1738956685 +912076657 +1052894359 +1436018245 +163573758 +1956256895 +1107345484 +1784296404 +777893450 +1159801544 +665204491 +103547432 +329041901 +1178332856 +401709826 +503186250 +20472370 +158624312 +716166291 +372718922 +1749637080 +1104440406 +518568055 +2111755967 +668188228 +8040162 +1861384677 +790077443 +1036121466 +210728579 +299251475 +627594504 +1122805237 +1352145834 +2063612749 +1286378995 +1160919081 +1023474586 +923191751 +1938812532 +35792482 +1588396242 +2042359964 +364834383 +619245450 +296586142 +868020633 +639717821 +455210454 +1584186924 +1012436743 +57363886 +541143683 +1531004798 +21636206 +1209331911 +1539044960 +1883020883 +1999409354 +427682779 +2093749462 +151177182 +1055277283 +1069071051 +1503323016 +971406384 +207966398 +516758450 +1994880970 +1131158150 +308087334 +2030673452 +572070744 +202963650 +248024188 +1191316195 +499549792 +1116044821 +1831034016 +954760247 +552748098 +695987111 +1012124133 +1093891781 +79508261 +1033760339 +155740044 +1618553222 +769297574 +7665751 +2046236001 +715563389 +158842933 +954029636 +1784634440 +1662165949 +1925436020 +1992600839 +31440751 +1772833343 +976275341 +339528085 +1656023147 +1548346085 +542491735 +1904047335 +592178632 +1042041528 +872608509 +275729000 +1996801775 +1425356607 +971716111 +861442260 +371764740 +1051224373 +1895202600 +527504784 +522293947 +517016526 +535170535 +421046300 +1232579915 +694013468 +1375075936 +869730708 +208695770 +1153028308 +714847899 +240136521 +778378003 +1691123240 +579664607 +286917503 +1091985677 +1122156342 +43481190 +1684164310 +16714222 +916089699 +1959893310 +2013515997 +193962658 +784125774 +727474610 +565727398 +1835350147 +475193562 +1093232183 +210160446 +992210088 +1628402718 +631206746 +77306356 +174932539 +2006282682 +947037064 +383628309 +1011827342 +1661884963 +623764830 +1790205346 +1205524555 +1203429437 +2077122849 +150026584 +178102132 +2120604039 +1834190894 +194816354 +889210091 +1646600557 +60848704 +1083172749 +283242683 +788323314 +1648900148 +2118592830 +1263516876 +594648683 +181269628 +108243316 +75567753 +812476374 +185549672 +250500292 +671275408 +1132586736 +634128601 +1683102750 +646988051 +1257893432 +1325824448 +1852512606 +313839221 +1255463649 +2002539191 +491941353 +1228584041 +1689246437 +686757708 +2117794132 +1188363346 +747606412 +1053483233 +1471606029 +1535929726 +554899733 +1442715211 +651962954 +1149548416 +1623984839 +760206270 +1225116170 +288977565 +945755943 +1475616462 +960252973 +2078342679 +2109745064 +495872076 +577847083 +1220154848 +1821696524 +282876041 +1533994069 +929676526 +137931584 +2025935423 +10776919 +1827178022 +565209483 +2128571051 +868057720 +1312815895 +1034570636 +192180102 +701261973 +1589470370 +1634895313 +1353224927 +591535138 +1111396505 +2113431197 +1816651308 +1400374070 +911703492 +1144784123 +213143396 +842562524 +1107045539 +709015472 +1420409607 +179716739 +383228348 +1703285648 +1713710808 +1312904874 +1841217233 +1592162583 +1323681793 +1520911607 +9888418 +1304769196 +241485679 +1322704313 +191856185 +433665781 +2023966286 +1781326555 +2068561095 +1229707565 +225378045 +1032473952 +1195655115 +2042029354 +285364374 +2107358607 +1039329829 +498507770 +802437483 +2146375368 +1207523242 +75363442 +178608459 +1590751591 +1778649091 +1892319267 +756172817 +1472382676 +1336998203 +2079854611 +845810635 +1346886621 +1237140159 +1087296314 +522107287 +1428996344 +1520962096 +398589925 +1062839251 +1442039543 +1628297491 +1288217297 +327029847 +676468958 +1182763003 +612394221 +636343917 +74609184 +1110901992 +1438781401 +73500904 +170941586 +1514144843 +252109363 +1761693177 +1145310286 +2144428630 +370382347 +470209314 +1333943185 +302753310 +1316019949 +533346159 +1539893469 +255832616 +1055453446 +821406166 +1776794712 +1454043371 +1884245417 +1071350607 +934857214 +1024979066 +1398380454 +1611326172 +60258421 +2010774675 +100186442 +134867605 +974193019 +1538967843 +208368509 +1145134606 +905629038 +460477872 +759344135 +2050939325 +457422855 +1129726482 +373664991 +1791366040 +1432479792 +1689684941 +177228551 +824889614 +1945517557 +1232681997 +1646295780 +1574828621 +539241721 +1383057549 +498695580 +1474098935 +260552968 +1897076034 +937941460 +320811389 +1760367061 +1038127902 +455678995 +587076433 +429612097 +664047504 +1732211039 +1335241135 +1124525377 +344071526 +1238696812 +1581948232 +1473798009 +1612361804 +1225830624 +758794153 +1154563097 +1403059176 +1583683767 +952597006 +488257525 +1082495899 +379941979 +1027499246 +318069801 +878637559 +354114534 +578622769 +628229945 +1292055994 +899434158 +241113358 +182700248 +1355113153 +828189791 +612312345 +2019160658 +412917182 +1947553480 +996202387 +756988709 +1038766645 +430666971 +83303070 +503644801 +1656497595 +842097223 +1658207898 +912073123 +278297343 +463321256 +1400330649 +1360793242 +843263235 +280346247 +1678863043 +1721900794 +634460781 +110002164 +202647091 +1926516775 +1009436323 +443760449 +2109217023 +217065828 +1271950241 +574045720 +88742838 +1684867423 +374115553 +1084945225 +294372484 +1412882198 +1515612196 +377675554 +1916526999 +1024626144 +1219772778 +1427251249 +1936699267 +1498070121 +1890572505 +1189546268 +711379715 +586352092 +1469892516 +242759111 +160769238 +2104353297 +352761275 +363416329 +1883386425 +1362197598 +807176778 +1845119800 +1579263427 +2079127019 +271681873 +1668006265 +1616510795 +645797426 +605467843 +1910883279 +2058679624 +2121080039 +141075186 +1827722975 +998222535 +1360847964 +1107490576 +787438155 +711434437 +850579433 +1976984423 +1422814152 +1436931525 +1299393291 +1665573263 +1597700763 +1256262941 +2018334539 +1961117092 +992165718 +1233048489 +620810222 +689801870 +664828268 +552453594 +961483743 +185350886 +21480741 +1607281169 +790818729 +1932364020 +1518477145 +764415120 +2073439206 +1198716472 +1762637656 +1286803522 +158723400 +402592163 +1998237959 +1009302833 +232092938 +1273568464 +298750710 +1531486230 +791658079 +1896451473 +640265523 +662508970 +1710084917 +1632431241 +1895557460 +183411492 +174749463 +412902080 +735865086 +1136233207 +598252966 +757345827 +596030728 +1389071695 +542226199 +2114507874 +6003168 +468181758 +1165740698 +1768640824 +1754985280 +1324464099 +23749339 +1605739592 +186283284 +255842277 +731824408 +485033995 +1787328507 +1523482487 +234001820 +280110382 +38507810 +1944086738 +1912541623 +1934065270 +2127498230 +2087291087 +199483702 +715879668 +1076040646 +797736669 +1473225495 +1672071374 +39324716 +2015451694 +1639095600 +45327884 +336149804 +657352651 +1813968708 +2091135085 +1981816750 +1837718047 +1549391029 +20616386 +2093560325 +133731789 +505650381 +1733405184 +1657214276 +739652202 +2013515567 +1695722086 +536255292 +1778573542 +1482303708 +516269874 +1718380981 +1681787411 +1232149542 +646937979 +332040432 +557891389 +171525706 +371365148 +425859435 +1810621306 +416693033 +762009240 +320490309 +83178093 +705660677 +154823411 +1920896141 +107568058 +175439798 +1866972818 +241299847 +681090179 +1452894354 +1898514123 +1420742381 +1318926273 +1446752562 +1956997673 +950016168 +781572622 +325783899 +520913501 +315876385 +1557933441 +1167851481 +647916817 +2115824830 +1339377187 +1019281966 +394200618 +1002514845 +1435974999 +1156209858 +1323005155 +1519153092 +1861870535 +1477828566 +1292565585 +1969438593 +1653268364 +1012054755 +63254792 +186874896 +317465462 +1961768915 +1607617277 +1636391735 +1261037829 +1417131303 +438924255 +2042610452 +1742915202 +959837757 +211003189 +1153364996 +2127689238 +858920007 +1121706178 +1319582777 +1878201973 +1515906796 +174613974 +1166693324 +524633006 +1497619129 +538362768 +239019893 +827964048 +1830928354 +60974838 +333748764 +695499461 +124229630 +520623660 +1012964923 +2085998546 +2128240938 +501873011 +1199552727 +1397888593 +940797266 +1094679531 +993320147 +1900635023 +1305682721 +2146685143 +1880840613 +17119080 +1120907674 +1052939742 +1895321053 +489330822 +1227553717 +914530729 +1013963829 +577689198 +1452893497 +1252983722 +1405653246 +1136338203 +1313958561 +1739402011 +1831837665 +1438188191 +112542023 +697318940 +1376703089 +93299313 +1199191951 +428772169 +1491187906 +2139989218 +1523451700 +337024406 +1893140593 +681650773 +336225901 +1626497559 +698769853 +1457133575 +531953653 +446607258 +1946464398 +1759507370 +1361137987 +812944579 +189712921 +666547837 +2065928301 +1595366167 +1802886040 +1232403214 +1187284530 +1487240057 +523107758 +1299826554 +37075350 +1899810847 +1393125867 +1236267301 +181099368 +736830126 +1228772871 +1704551069 +1073854532 +974429817 +238718194 +1410080433 +453443728 +937488048 +719730361 +985397381 +1384095306 +518711111 +597421104 +597749646 +1331655690 +787134025 +1264297483 +1250100343 +235016544 +919699875 +335019910 +1422301075 +259456285 +858127668 +574643981 +296531635 +610454867 +1967769848 +1532798936 +791554236 +557116326 +614088160 +348621657 +1630970858 +1588517977 +587339851 +893567644 +2041961705 +1524827899 +1613298005 +879875438 +761439558 +2132009116 +1477296542 +1359189204 +1316181158 +116946919 +476003039 +418797853 +351963464 +1395702914 +753817763 +1774264539 +1655159199 +1611945431 +201424872 +1951690834 +74916651 +21711072 +1337006123 +866470887 +578827399 +1951094283 +1215092544 +62314609 +1392128612 +1802432395 +955882253 +1286606669 +1179776647 +421696610 +18998459 +1941216205 +406222078 +1496295002 +1152921761 +1722403236 +1613241921 +1628924800 +2141201090 +1965205385 +877144066 +747535205 +1591986276 +384819618 +211996989 +1793411148 +189026804 +286913640 +1815122221 +1526032927 +1153384527 +246465972 +1329643562 +220993423 +308780581 +574288526 +2023425818 +1264662835 +1860895195 +1055718817 +1686359445 +1879893655 +849451374 +2092581524 +1228705009 +2002373135 +1667501112 +694463282 +1483814287 +1661218554 +512185020 +213474706 +261270112 +2104171296 +598294324 +473267101 +1750098797 +787321128 +760180741 +1417737370 +165870408 +1913565268 +1664203342 +1495513970 +2134558691 +1972983923 +2069802497 +2010500861 +1090163110 +1783214044 +918736031 +629038908 +1515624051 +1768187405 +574136784 +596845412 +1623076893 +94154248 +1291308695 +959407532 +1755372803 +1803493715 +1172882238 +2016642915 +1760181363 +1771176562 +342426368 +1362796512 +411014043 +1102607109 +633050234 +576884451 +868688729 +149769928 +2072398421 +855763772 +2122753852 +1994717270 +718780985 +1065433314 +1630447667 +1637517016 +1694472222 +998588070 +1258220774 +121125358 +1595433483 +733814019 +215279607 +739258530 +1693221551 +1970652410 +395268597 +718620142 +1839811677 +7966312 +342313056 +34754397 +1370762825 +753327099 +1137361506 +2003813059 +1330211550 +2006050235 +6099340 +1255126324 +714330359 +2128853192 +1102359946 +1433111344 +1046802858 +585323965 +923144713 +593791433 +1583912036 +33881839 +714916791 +1031861871 +767695858 +930196398 +1771120401 +313433761 +753365160 +18905350 +1032053903 +445693189 +26871662 +1374366960 +480447586 +1397634487 +2127694059 +1617809092 +1253963899 +1310421962 +1476375679 +1260063239 +418064638 +43222390 +1241432783 +1520424584 +1476333735 +140751993 +2105748550 +251994800 +734543426 +1542176938 +285876639 +1449460218 +426555161 +1053572497 +232172968 +50191914 +1367006258 +985538129 +69097264 +251576514 +1431231318 +95968926 +1625943474 +1911678905 +1493603414 +1606153885 +1382004349 +600083665 +769092199 +710896381 +1860146904 +1187156837 +754118771 +954096039 +560097774 +82968858 +1094848032 +518362676 +334963658 +1829391459 +2060539614 +620840297 +1131368029 +339611127 +1674412794 +1363540997 +389803041 +893935405 +201595478 +458900305 +1145511919 +1632826797 +554869231 +623971745 +1397022054 +2048472645 +82641982 +631542755 +501072662 +851734182 +1342439136 +213735918 +2038891019 +2096557908 +1167831957 +451505145 +32043118 +115196342 +969867821 +367006777 +1944587801 +882923787 +987847074 +928472182 +1222534914 +514776221 +144529531 +1612337955 +1408711626 +346125010 +2071238260 +406739897 +1978951807 +478623844 +1030711642 +1228490213 +379612841 +1113353624 +1860032968 +880685504 +1965087806 +1054988457 +1094421422 +1856495178 +1004062717 +114769732 +160516675 +1036105835 +229966074 +1130384497 +1403112612 +27070227 +2013308284 +243476039 +955542409 +1088359551 +758252260 +1100071940 +553213858 +19480238 +1446196950 +476968471 +426220135 +1277665109 +955592315 +1456931777 +358671674 +1335205156 +422801753 +71220995 +68407012 +240405912 +1126209452 +1162828435 +2096901090 +2130272169 +1277598167 +109934117 +1018894356 +1507564241 +1240318614 +274523321 +1534634468 +1106143251 +517999360 +342693229 +47019154 +1276251620 +1442765169 +600233012 +1295731858 +741478472 +1077201483 +1721951993 +2019143581 +2032793798 +1031400122 +230331608 +1220515307 +1454201875 +301552603 +1288922319 +1694607787 +1427762055 +304267106 +1644025229 +1410550576 +1581865273 +1753959347 +281961284 +941945866 +846794313 +556484605 +329096686 +1952937564 +1074483965 +671789915 +1999956718 +203251937 +2114555085 +452706083 +1498983795 +708549909 +1529907566 +1073452140 +580209842 +1415217717 +2104852262 +810541450 +488249376 +1411570490 +1112094053 +1777171695 +958694629 +392372460 +2081438802 +455236211 +1802923036 +1515820427 +61711910 +2084884321 +310282646 +908506223 +493885278 +639379332 +713960140 +1568369244 +1311169248 +566433210 +1771621181 +1278240685 +1019139293 +1123121329 +1986790594 +401563212 +49089821 +419516788 +1816780929 +6458436 +1230058239 +157546657 +1418028926 +194668644 +1934718352 +229239907 +587041105 +1868673506 +684476118 +242480493 +1237010286 +746188028 +179881166 +1547292932 +1654694252 +673766445 +39188616 +221170744 +94652041 +1350357864 +787603954 +1866273222 +481114901 +1806743248 +841910903 +320421847 +60822812 +891000725 +739938636 +1877603741 +897459161 +1969996875 +2035150398 +168004439 +17181871 +1822385102 +397244346 +604222976 +1543574961 +1081720465 +846703470 +633101599 +1827908493 +1026584636 +32910883 +1335119097 +1700351081 +72099499 +1556289841 +1795003122 +1422457364 +196410148 +1513792697 +1903572265 +2003153396 +208219952 +76510465 +2063976208 +1099220677 +816449101 +1794096301 +1996679838 +638962328 +1681763051 +17200629 +656144199 +1356664505 +414444976 +1260367176 +752755818 +1496165441 +2107070646 +1385857417 +1176590286 +986171634 +1418768300 +364225736 +539039068 +1490867800 +1920515577 +186558542 +765841516 +2116925725 +1700351239 +521930133 +1972595473 +1908571192 +598440598 +1889088033 +860308221 +1414889699 +1535700686 +709504412 +2053852027 +1069980089 +726705041 +562512579 +279160947 +1141150017 +1822879755 +1031916765 +489831810 +1782466753 +270290535 +1666422097 +621154739 +1689058835 +2030647833 +1160193807 +1032442987 +1803679762 +1346752350 +1798284503 +1773121840 +899619941 +172730989 +1598233665 +660707485 +771171587 +1339838051 +1521015707 +38577639 +728055089 +83036471 +2092429666 +1798035179 +809741512 +507458597 +2077196126 +1950891530 +182854704 +961629243 +293239692 +1965321457 +1231919778 +1959661789 +438992549 +773494966 +1842825974 +1599186356 +1805937953 +1499022089 +798455058 +1456738809 +1124660281 +1698075000 +1629469798 +575410298 +211298837 +253157737 +1915248349 +1732314544 +291735376 +495819791 +1815351015 +236681395 +146371322 +477608880 +744139992 +76083800 +281016762 +926994697 +1037713043 +574256454 +744832506 +122149174 +386434596 +1183825055 +895644140 +81776922 +635527764 +554098445 +1580799011 +1433982822 +2010837254 +557975644 +984574174 +1492823404 +1133385943 +1195873012 +1745981142 +901150644 +780703908 +2037716518 +1396970435 +448571276 +126914265 +1543341757 +926180156 +871054258 +1619425557 +1207196918 +1798048955 +509654953 +1781453372 +395397813 +631804127 +20404320 +1579222869 +1527448267 +102181243 +67266985 +2081546712 +1682980254 +1501249807 +1944900319 +93472251 +338340334 +1290240075 +1226858194 +1534213346 +888737569 +2128008838 +167433606 +778970440 +1377495626 +616004882 +905884705 +773353735 +1542185038 +1776938963 +245295645 +601898308 +1427504270 +754950598 +235868033 +1822902084 +1386754725 +256272353 +1254641305 +766719344 +358453596 +1321908290 +700782408 +2041433851 +675674449 +498199079 +2134906102 +1014014783 +1788439155 +1214280648 +400744481 +529693076 +1194805838 +568178088 +1308663516 +424817816 +1184182970 +67064574 +1198171552 +578884361 +1844003537 +1443467197 +1180782669 +1124024160 +50934147 +1416650702 +799442596 +1437688872 +1672923056 +2054083901 +56924568 +2031376652 +1228508543 +757706976 +1925326855 +1904182992 +1255906056 +1912749309 +770714128 +896861563 +979546309 +1171458609 +1426554639 +26868500 +1739636697 +587734508 +451686316 +776336020 +654799082 +1649857868 +1355220381 +351318971 +945841417 +388519402 +1475343131 +996775564 +1805170105 +127302079 +286980788 +1330609513 +33902332 +343905356 +1214502517 +1262410875 +1101612333 +992345725 +1019110220 +210034741 +757611386 +1789824348 +1106896304 +1737157696 +813799309 +385967295 +1764026196 +405952359 +973701803 +68228864 +1182288379 +1628500885 +1718086733 +390025112 +1979819857 +516444502 +778544514 +1307679340 +1513220067 +436230971 +1434981420 +1800200855 +1766840484 +1468883752 +2144106212 +833859354 +583810980 +1098234897 +1826205079 +1602921200 +1308269638 +436332817 +1245261900 +267682294 +26006865 +2059061209 +653649589 +1790033061 +317529920 +1627351393 +1858261926 +1499818299 +1108368630 +1428865011 +1889843411 +940704839 +1945309513 +520904278 +100900532 +1311045932 +957135249 +1535881952 +963763140 +576492086 +857282056 +960385704 +1410351440 +1441093036 +2058620601 +1089072871 +896530588 +1219406591 +1525405688 +2141792488 +1487088885 +1551412554 +2053370050 +2140738474 +1193961967 +223416322 +1620606219 +904740245 +1723234622 +581491202 +186121608 +1465594385 +1522196041 +2131431122 +1986498663 +1623096573 +1294993406 +796150265 +1011494877 +111272898 +1372642351 +1868776934 +1071658602 +635510143 +1162386322 +982795555 +1724583014 +2058916911 +54718498 +1102505054 +2053225751 +1541807383 +506433960 +1959112153 +1535062210 +1700395928 +35044828 +1008184781 +457652525 +1758279450 +1589675983 +643774134 +1076390187 +964388377 +627721608 +915405203 +440001302 +1922715014 +1711555468 +1451496180 +2033987913 +936714171 +1172789466 +958162867 +1572224314 +187692140 +1940958423 +1149323680 +99125403 +1995676921 +104345086 +4867507 +1390000657 +610779047 +1963979660 +777579219 +163691327 +1999024488 +1785764000 +621343852 +1609820290 +1227956336 +1265117986 +538726830 +44861065 +1892839594 +1454132033 +484862367 +1668070961 +1018203853 +1936358547 +1554575226 +1954918024 +961664365 +365254445 +1379658690 +1149356506 +158729220 +381498722 +1248481909 +6922494 +485843808 +1253349416 +1396923151 +1096622855 +1069845429 +27018722 +1260314182 +921386269 +1812782722 +1881658035 +383722912 +893255410 +999292373 +922449742 +938116475 +744648320 +229098127 +1422978843 +265235633 +1247301980 +1211853742 +1819810859 +1054736356 +26034460 +37581656 +286911398 +1175390966 +196310877 +668410120 +276389227 +203233371 +1154253928 +1529738644 +1600156522 +103393136 +452100425 +1627175244 +1363707318 +1373486694 +1292474318 +1097881705 +1757209606 +38246081 +2097174079 +532175700 +976362556 +694338751 +761273827 +251857751 +959574384 +2008575807 +1463711494 +631901595 +915828515 +1489745954 +669483251 +1202739913 +517653272 +865794128 +1871150033 +794042499 +1069027499 +877920314 +176297495 +521700373 +981313450 +628397920 +1391969 +197537120 +2001884615 +1293866288 +1295418826 +1611610573 +1332112369 +1245109257 +2143786274 +160991277 +1939448008 +757576453 +412849029 +751538744 +618668613 +1876560523 +1383440339 +1534497128 +1218822829 +2052923590 +589753394 +1736476101 +771234071 +313419779 +383034952 +1840261570 +1191340093 +559332448 +214478296 +25169895 +1187730368 +215870265 +222707016 +1042131335 +1509736553 +1518125842 +506258261 +694365274 +615751451 +502560887 +855356552 +407715811 +1260137340 +1268205581 +1159254555 +1878805953 +997282456 +395211246 +1265819434 +68621637 +300651188 +1855572828 +1805097738 +1071885259 +21508959 +40649042 +764663182 +1212849053 +599981490 +979141478 +1238018948 +1787711859 +1195011743 +1460725964 +682359546 +557264649 +831368158 +1188617807 +1251629923 +1447119609 +1691178694 +2106986475 +1854835420 +803832387 +1227708408 +866606327 +535154692 +77507216 +1261817573 +1800974126 +146128853 +1562468762 +1509063306 +1951226591 +486870373 +1530572266 +1991875634 +1251533555 +595937671 +444373476 +83191385 +1833956619 +84601687 +1278203129 +1147198936 +766961234 +1835467778 +1978567094 +1955579041 +939614053 +1278203056 +1499274088 +899116881 +985554828 +155622827 +2126825289 +1852161156 +690777519 +56848858 +966495081 +344267998 +202977711 +381480195 +1853331304 +6720655 +868350569 +1236419922 +1998596289 +2119884124 +1832357593 +295486117 +55591862 +1518830565 +380087805 +1333794991 +518545853 +1147049039 +1021779121 +349629299 +955144432 +1961393174 +1627832355 +306934872 +713026407 +465903536 +462557699 +692368049 +170581044 +1153335219 +749216907 +1137076125 +1497603217 +952194618 +1518556321 +1203450873 +958915273 +239423242 +292387148 +810027914 +211823718 +2124744741 +1105514032 +267415580 +1496091658 +1485601837 +1601210571 +2014637511 +485167228 +475506044 +216783163 +1440311660 +289415571 +1844615518 +1747246533 +1002441978 +163035406 +62320584 +1694810027 +333616450 +1215655803 +296543286 +1470692576 +565775372 +1248737905 +841765249 +1769226246 +60169530 +1081188491 +2061613394 +870197445 +1293012209 +2038874487 +1975711477 +1560427790 +1387482498 +1313829666 +1014154713 +1254636361 +1798996894 +1489660758 +1471419524 +1091824906 +1779076329 +1168551395 +691587791 +634034659 +1331586801 +753908376 +181361039 +1665203252 +1969564179 +477904325 +988412180 +387855904 +1726642230 +1830177429 +9598502 +1786811761 +763882272 +2071211896 +509525558 +2056894481 +1962602735 +337753387 +1469838623 +1202601585 +1651583053 +336509689 +309754299 +1303096299 +1826170447 +1781173823 +247437557 +1457763128 +802241570 +939025349 +2091797787 +2133828372 +1692933725 +125675178 +1651547976 +1515014256 +603579504 +492476508 +1902870160 +182738086 +175170289 +1912468662 +1969549847 +939052561 +1836196910 +331591757 +848463394 +1651315998 +669345144 +170818370 +706433935 +173444549 +507328059 +1016188234 +1476540848 +186014858 +649878410 +1723978406 +1643777986 +1452119980 +515520107 +1588092125 +1438464704 +60970184 +1713767304 +942529032 +1575984440 +169863160 +1435005540 +1331370953 +352601246 +1610175829 +1096355967 +174667446 +401744742 +785069230 +506259203 +1250208137 +288901580 +1175604348 +1421026507 +995335515 +1349048897 +1928354566 +2011523750 +678106098 +2114369424 +513918512 +254600856 +1610663762 +1966038492 +770120963 +1051272239 +1257019549 +831091147 +617555895 +52064933 +259591939 +787419055 +1487070474 +1590962892 +1140020302 +949762655 +539835212 +1314687748 +1351507398 +1324904442 +1820946951 +454231887 +1613806022 +849067651 +1875258394 +461657889 +50632901 +1656129312 +325697991 +728738999 +1623015088 +839616503 +983339855 +1086195202 +658171348 +1753460818 +2137467441 +1915190897 +437068317 +607539689 +1967255830 +696660256 +1394958744 +1306842656 +140139501 +387495398 +109121664 +679974713 +1702183146 +1460629062 +2004879155 +1375646450 +1914860949 +1471201529 +77230453 +1642635695 +1932859418 +127863354 +1151281359 +111073762 +856602353 +626812799 +950690265 +1839942208 +1713008001 +1608861613 +1445919378 +1702991794 +1376568862 +1882987695 +163047835 +1196341045 +432164304 +1558006580 +355700053 +572303805 +1945501978 +464821717 +1252278518 +1500201477 +1925450779 +1109674025 +728364279 +1692828080 +433391906 +805594732 +1187980127 +218767676 +933458087 +191777838 +329841438 +1790060440 +818590637 +1280531704 +1482519001 +384114990 +741909669 +780954731 +2087106785 +2118478532 +516458779 +102670972 +1167335929 +948623083 +1660677552 +1523035982 +1520926888 +1458695883 +1987857700 +625721758 +811413712 +1765824831 +1735395783 +1539777991 +1311169264 +21304041 +197889075 +351665743 +240071717 +1131347162 +543443582 +569913156 +773923955 +1362034219 +1850444860 +108959308 +1746149210 +444870881 +889914039 +1685772347 +415865765 +1406372818 +1788443319 +1583201694 +207512253 +1301637224 +958754029 +1728439141 +612849459 +799128081 +206677251 +1424263171 +417469264 +1942073034 +816557514 +1728638528 +1963377075 +1014446589 +2080304272 +55965145 +2145793752 +476264206 +625878301 +772234059 +1838298425 +328839513 +881193367 +1436963987 +773710394 +1771107406 +975252686 +1189576160 +1029996577 +616212358 +625294206 +1237508830 +1917849582 +1584048235 +818464324 +383215393 +235692668 +1025141575 +1807478564 +653161933 +819730962 +476552430 +234316813 +635624389 +1490999019 +167137437 +691589534 +1489309123 +643401643 +1317467835 +114059534 +334216421 +1646307348 +995252901 +1771180408 +272534095 +618876660 +598949447 +1462110255 +1648873237 +1215161805 +2087404461 +738898419 +985527739 +1523969049 +1557362743 +1368743132 +1759661717 +435020671 +1028738048 +265340002 +1254751633 +1505290478 +499656816 +1890376022 +848805849 +666794253 +434481909 +190631325 +1310195897 +1751949744 +304690859 +1644412318 +1250773445 +1299943761 +1268109078 +1523307540 +1918820421 +1867058525 +837934147 +1420210010 +934736682 +777854960 +11624781 +1920264421 +154340361 +1568987525 +1141523905 +1914002079 +2004008196 +22778305 +31858433 +1111276181 +1528068783 +531515249 +854168555 +229390985 +1198309503 +1288650464 +420022310 +361021752 +893116561 +724713169 +2005434070 +2143890006 +2024656930 +1126059500 +1519713898 +1795993703 +845634378 +210164397 +1068720065 +1780371060 +988019357 +1080344847 +1553151834 +1142359719 +501848724 +547192091 +908878150 +358373272 +569970397 +940736583 +1469649453 +2098039180 +1472251833 +176334360 +179946517 +523077688 +1464984825 +599968827 +884099440 +210617738 +1324681997 +742049862 +207024096 +1201855279 +1868109362 +1726737994 +850365335 +566260092 +1936902391 +1919085400 +199147505 +777438100 +851946599 +1752299339 +1919797819 +1353795323 +152007782 +681192321 +1712168595 +721978179 +1621928905 +1034334400 +672533712 +946697090 +1210668761 +852480229 +1469774778 +528169938 +1452449057 +206390570 +738787676 +629647406 +948440432 +945811772 +1831502685 +669066146 +525066118 +534384372 +1235326239 +314484861 +305986125 +1434473744 +1091922961 +1157932724 +1039289435 +864237133 +364244400 +1191297217 +1545429454 +2076412995 +1913275397 +1019874711 +963263748 +438325461 +1966571801 +26448861 +1290805690 +1288862931 +554618799 +595771099 +1495253501 +1293406475 +1225418505 +296210285 +91734599 +909437543 +965276432 +616800717 +1443821915 +53119023 +931285578 +1749808040 +1487592767 +2023208539 +760257117 +379398554 +739962024 +1124501517 +1570695771 +137907831 +1053430864 +1336487520 +1157782542 +2016694612 +1774812981 +976870696 +2043143473 +918135024 +118249979 +450278624 +1513906123 +1613503481 +1743685099 +591840981 +1909713766 +1835419698 +1501278524 +727506550 +304736767 +797616791 +780625573 +1236022345 +399941184 +120734692 +1111747237 +1160198301 +500133246 +1851709261 +137216170 +2070829018 +1989617092 +1190647034 +1259832890 +999915987 +1059857999 +887162224 +1976786683 +955517824 +1805297248 +2095036662 +1405796449 +1171719723 +1561056495 +1001997900 +1763560704 +1323286614 +689933951 +1117355580 +2050793164 +994670718 +1914972372 +683935090 +83209416 +167429908 +804669782 +1194956653 +1327628209 +1304803029 +899182266 +1464844379 +1228148399 +741315711 +508007765 +340497641 +1741231698 +1567865764 +1227659865 +1570534733 +375899941 +885473465 +1518087747 +1781696390 +2057193189 +931660595 +636210642 +1673270245 +107463561 +1326144593 +643142178 +10773077 +173331664 +410630902 +694708167 +256541080 +578060810 +1499377950 +1451497733 +1905689019 +656697331 +203196351 +1223049750 +1884845730 +944512062 +1731057515 +77859723 +538260112 +1151439632 +1305519589 +2108794845 +1527339573 +43509406 +1479398945 +1161552315 +2100702595 +263575892 +1797762957 +1626489193 +371039453 +976423903 +122147723 +381812530 +1149755567 +532778625 +1076520698 +1406296647 +1110839435 +428415000 +710310732 +869044806 +1085112331 +913507083 +2092094556 +822474413 +1858019146 +1675668423 +900334136 +248795610 +679624407 +58370077 +210106808 +59480332 +101879484 +1689505753 +1221032647 +55098431 +1953081645 +871311957 +1681587624 +176637450 +1847735860 +1803735347 +558449980 +850007779 +189030324 +1634970678 +108820778 +1299869759 +2063385678 +819131510 +21430917 +1001014361 +1732638593 +2113525473 +1823488774 +1443174091 +1641710249 +576339263 +1691969702 +173851008 +634709340 +1902076510 +233331341 +736588824 +1444098615 +1454363988 +791687256 +1249696612 +178192297 +325791232 +1426334062 +2025928157 +2129526580 +1984784042 +728452288 +171073256 +1472271073 +837273066 +1470943016 +1388173103 +1656404576 +1492373933 +241703817 +1241559522 +1458415759 +2065192591 +537249965 +952642360 +494048206 +81736019 +1126493368 +1128757547 +1983812529 +1359824709 +1865346371 +1280427496 +666705050 +509549979 +382640460 +844897347 +835341212 +1808974522 +723341857 +817384144 +1646274917 +1451794145 +988457400 +971062342 +141583564 +311916768 +211751797 +1797988140 +1804290702 +453455614 +892064014 +1115222813 +371164558 +1429313980 +2067865173 +865212764 +1511049999 +1046874893 +1993970311 +1347378881 +259215955 +1711833035 +480322729 +925921005 +73899366 +862963190 +1770818352 +909240578 +524454064 +346676561 +1726624722 +23245333 +1798470707 +567598475 +994307675 +1940054271 +879515243 +1206059473 +1590558763 +536322297 +1659515087 +335139130 +1651545110 +2030679645 +1764453110 +1571926635 +748408762 +1128019461 +471317881 +594895425 +327914694 +730533836 +159244812 +808237424 +1656454841 +233144179 +1671200614 +1279789545 +1142384757 +48171030 +1626466107 +721525832 +71416364 +1277453166 +1289124307 +1065724039 +1070023789 +21155902 +124299864 +513098904 +557478200 +1783814952 +848238034 +61539662 +1667010949 +465207496 +1633466298 +267936063 +1593226958 +2104784179 +862831489 +1921141652 +687834367 +1022076301 +581895428 +196805560 +1255220480 +105612394 +1476595105 +250121590 +153783425 +955577564 +971647422 +225199789 +85547082 +113288081 +1290923828 +1155570871 +134443983 +1415223693 +1668669776 +691922183 +1051554997 +369424162 +753461846 +571082298 +834631659 +239444496 +839018362 +280374969 +196745027 +1701849851 +54032973 +884579394 +576442504 +635928402 +1081384954 +1831662985 +741540796 +410496411 +2081784575 +895324221 +1366073976 +905948349 +1120524010 +1451621058 +1019236430 +263964191 +459708282 +1153680413 +1679187884 +2128378058 +1845602597 +583259233 +350318572 +451580795 +1154341531 +1184950231 +691025291 +1993359893 +1465325200 +887770318 +1547726096 +1519358174 +1772349712 +2124168601 +7802928 +706251018 +1808347938 +749343724 +1116747429 +1742648865 +1644667946 +335337757 +501113566 +617708308 +1786958816 +1520349996 +881672499 +99183450 +526546761 +413376735 +80077860 +224665710 +996635968 +430396432 +676246505 +3493852 +1615346664 +1367271796 +1996853745 +933188216 +107558466 +1397096194 +305062742 +1879908178 +1373781147 +312865670 +438675548 +1034645437 +1062209395 +1555422978 +629810654 +559393693 +1890760735 +1130924220 +1177102001 +1530235903 +503790568 +2058774501 +1629419353 +1030337329 +324667588 +1709497213 +1255003040 +1321303557 +2139893646 +1931249545 +1324797409 +1607756662 +1151037694 +1174167506 +393461230 +1258596160 +423780052 +698523973 +991020691 +1797561199 +1011389643 +1429696239 +684722988 +2073599038 +837635569 +1314533642 +485509083 +580912657 +297974214 +1662611085 +2111148560 +801764782 +1573901938 +1593084266 +1832102112 +1898569526 +1155097831 +939621504 +1072389435 +1147507829 +723387401 +249703196 +607780843 +1874425095 +1423870703 +1001242074 +985537608 +1847650755 +1699766047 +1976558299 +1497728307 +563672042 +1258770890 +34967647 +489787433 +2096406460 +1349501290 +975296516 +529835469 +1647475504 +490423953 +493500381 +301756639 +2064325891 +2086584647 +2133858751 +1815411770 +1094198831 +925996607 +740317557 +94223012 +1649384008 +990020754 +702003856 +1376325456 +266407809 +1703245930 +214379416 +2114058564 +1255528329 +43454067 +1464303223 +1819200371 +1302224957 +1499270871 +161504156 +1251147769 +701288513 +1136800673 +1780983238 +201280369 +1627224626 +126999972 +503037008 +1544066870 +66100971 +489412111 +1211994992 +1160299802 +1415408718 +1952312549 +1254522815 +917309079 +794849655 +1956526671 +146150887 +1061257464 +1512288953 +360530303 +1027832381 +620333634 +403984370 +344651956 +292050357 +1706209327 +1843922827 +453554514 +809873449 +397727692 +1590355187 +443373039 +599008062 +1070096165 +570373011 +1102045070 +466679387 +636473983 +1591457182 +1678674379 +1796773785 +859382252 +1483503281 +903812952 +1776691331 +130869288 +712855975 +1922842218 +1192126753 +77661280 +135888873 +72475486 +697994914 +539873243 +417127442 +990045272 +98598923 +113566622 +1443599786 +908472372 +511294314 +886471325 +1351845411 +1110302376 +1956567490 +1922218423 +64863799 +275763230 +411208758 +1656320981 +1954437609 +60498895 +368219585 +1290457242 +964311848 +2144910917 +1421326531 +1677167823 +1920269487 +465969636 +1754829104 +2056158361 +538445122 +305340370 +448547956 +955572564 +1295385642 +547146879 +1069139186 +591501780 +1455619251 +1580433501 +1477973105 +659981015 +543252229 +1287056948 +434715790 +608116028 +1562820178 +845924548 +116953361 +1369774139 +906423443 +485172947 +512747734 +1870735291 +482600216 +1934074265 +1400419467 +255386055 +252560253 +1007764923 +164060768 +791005375 +1313105293 +612608725 +1746577939 +461007288 +1159755604 +668233478 +1052509068 +467891208 +101183331 +382998526 +1127872223 +644435560 +1670055474 +1562588013 +1252551589 +1085392004 +261028913 +1369504950 +307682495 +1167452356 +1854677897 +820430229 +890704000 +189794465 +607020846 +143639819 +445180521 +859581099 +1151404742 +609241289 +1650586474 +317026387 +1221850014 +1249680766 +778033675 +234121971 +1917914244 +1830542744 +702013179 +2019097575 +66057622 +1829885402 +516049487 +1736113096 +1244989767 +1768601076 +674021452 +1506018680 +990622379 +981703947 +525987388 +697816628 +1802134177 +1416691388 +887611094 +261671375 +1560331207 +1332791615 +1121252475 +564252301 +1942032904 +624355301 +881278689 +1016399271 +1874036067 +1659312364 +1250521242 +1644466663 +1342371460 +1952534421 +1516080590 +1408429082 +1634936175 +2032130078 +997058530 +732442294 +1653247506 +1671079982 +90977326 +496386237 +505300282 +616964714 +1194202866 +159950811 +2033656103 +2081813960 +421622186 +1446503662 +1267121927 +1542874661 +2010755964 +1061671183 +19746315 +744551005 +2078070454 +1893782382 +256379721 +1181108048 +1390765398 +1598751182 +986158821 +759362340 +859696616 +473611348 +644008770 +1856755147 +1206053642 +149772629 +1380351481 +1297030968 +646158866 +1885651763 +1913995683 +1840361732 +2045602574 +1800168138 +1774692044 +319741113 +1099188152 +894330323 +1862615774 +962460468 +1956001507 +1882362089 +1707011473 +1886588313 +1628660824 +1963391195 +920212714 +871942574 +1414658729 +1906371535 +1631304914 +126871697 +232499236 +127830037 +1983626844 +1438552878 +277602666 +1216494678 +588100199 +923761532 +954662793 +354612234 +616639617 +852781720 +7296724 +243848013 +1172522833 +1106484876 +1138178337 +887654959 +2068945345 +946696196 +622533401 +1628473170 +685800861 +103710577 +1444380717 +1606013575 +975653151 +711555798 +1364901463 +459474417 +838427496 +1597400699 +587304454 +674570692 +888469929 +864907120 +1891065370 +1476570128 +1788668653 +698244516 +1831182362 +257824622 +1551026236 +1838479086 +501672635 +576065421 +797480315 +1639850972 +1463720380 +718942012 +439063520 +2086253781 +199931534 +1124864382 +42480710 +1644312252 +583394309 +1018133861 +208384402 +1948295772 +1477608279 +1046811898 +1398212823 +2064912733 +1721382591 +139199105 +782336206 +1464964313 +1615769233 +423521211 +15725181 +1299467948 +681345833 +1566751417 +990463386 +1183018468 +2142816838 +1787943701 +675385793 +1459053571 +359402065 +1114449313 +1397823704 +559333600 +91830047 +1440304415 +56162204 +675224357 +310954628 +264546606 +476036481 +1788562907 +1311358505 +1874249305 +1705991993 +885257448 +2013448410 +340844551 +202738113 +1481733995 +764365762 +218463295 +633718295 +1445711595 +1785214712 +1624181682 +481246415 +1780547903 +1264641735 +1156632208 +1092117826 +1624043801 +123597874 +342457882 +35893753 +215427921 +1782762297 +92055957 +890652278 +2093716926 +356602563 +1366688760 +1734796185 +1667961068 +1093454417 +1293304530 +405734868 +959419179 +1634149081 +608472982 +293669526 +251031195 +826936277 +927387822 +1696742790 +464667341 +404085856 +30505558 +97731596 +1668727591 +1187137766 +1189849422 +1145287744 +1310735640 +1532307305 +1181181497 +1526163562 +1167585954 +1273237454 +269332192 +1113819232 +1629840018 +1636020952 +701131770 +1150317438 +581991721 +1994436300 +1556052307 +1541410900 +1481101734 +17041641 +1835080427 +1732132929 +843977918 +614984601 +1281392072 +1308645259 +1019070457 +1311897630 +1406376856 +540314400 +351551748 +448742630 +1685602145 +1662287389 +1981049935 +719299994 +1040967303 +1001152242 +1992537449 +1310299495 +2114971474 +1474893819 +798836800 +668619596 +477727609 +1380828521 +515572249 +2033779916 +774755774 +1996673983 +2050821557 +462352553 +1581323264 +747315827 +1077337154 +715231688 +2055961087 +2096407611 +2027129318 +1314854295 +489238363 +231197419 +1763596925 +27356860 +1893484808 +1597163213 +746656855 +786968463 +450831807 +591710656 +2097267958 +418319633 +2066604475 +748621110 +1086939230 +396848436 +2129449632 +1602511479 +283144705 +756721758 +1451701814 +186482614 +1219074311 +885541430 +933798442 +148927817 +1600773119 +842275881 +97851780 +1480418789 +9646528 +587090143 +1711616208 +1773243453 +614447004 +1457617368 +1222923018 +1361103859 +97102183 +1673754825 +1952814515 +46886494 +2092074459 +1871935342 +795507604 +1031530041 +121300130 +777473588 +486557872 +404444835 +1534195346 +1938259686 +590927450 +605786009 +676317468 +1524725892 +754713826 +129606939 +219518125 +852565606 +1610025729 +229164653 +1439655750 +1174158289 +2002408106 +2054102754 +484292010 +1077847477 +1267722965 +581394193 +604118654 +1073053832 +628280687 +548709465 +797505526 +1423788292 +1580239506 +918805656 +53778232 +2066797378 +1323250492 +1587973579 +1857573416 +1914177942 +46275940 +386407237 +1291420186 +800989767 +516014176 +1510938311 +1653555373 +2126039905 +1740102964 +945727475 +1152714547 +1595027422 +852346581 +1637006557 +525391251 +2120069546 +70917102 +1129509906 +1045639730 +699197790 +1678219371 +1843145256 +2122986082 +1110975230 +614467265 +29280666 +1030288960 +1937717757 +1617254245 +740378729 +1704412051 +1663530186 +1126785966 +848348589 +317036305 +1642800142 +211803252 +1970591678 +1621356400 +1951906216 +768835506 +626587299 +1399449990 +1621182087 +116110208 +1924841242 +1593767986 +187027310 +906867500 +491924068 +886225100 +437603223 +187585677 +861727534 +1548578453 +802052942 +891008201 +431383766 +592287051 +360778798 +1171762495 +149215454 +2024308984 +151064813 +997564043 +193861641 +1793864955 +1209367295 +16969672 +1267737707 +1013789863 +785805178 +1894325006 +265756205 +259503617 +2010435214 +43113799 +1853271603 +49978877 +949981299 +197712024 +936203977 +1387584523 +385297701 +1797931512 +788679328 +1187350643 +541456065 +1220063094 +1779637694 +902234863 +244341941 +1928853148 +779060200 +395406754 +778933543 +972921841 +41788062 +1988300838 +989891513 +1309525769 +854607053 +1775696691 +1056367128 +1120363258 +2035200309 +919318694 +1163477058 +1740988264 +969297571 +2113458357 +1938700288 +1905501549 +1353559232 +176514341 +1555949413 +2142238561 +1363864984 +2097405478 +1214818007 +996019030 +852156693 +1459159949 +777388530 +1631216893 +1854566703 +1556322073 +456655087 +1896354765 +1397139263 +1446546600 +1058396887 +104262668 +1074759644 +2114764015 +1224625927 +962476305 +886599061 +240619337 +555980921 +1855896633 +206594046 +347197562 +1613914534 +1560153279 +523711903 +1022380299 +1554908192 +1887576888 +972302129 +622242551 +736112270 +1824458822 +2081402500 +1513500801 +1308192068 +1788485556 +922339226 +1764847155 +1537356673 +171994842 +1063910107 +448269912 +276257510 +2138669751 +415550279 +1500883437 +953662408 +1302149341 +1741502774 +1509643330 +1010562326 +1948096821 +1856840892 +476993212 +1360766452 +233069147 +1499373511 +768190996 +2120646035 +324191992 +1390433547 +709274658 +1167166 +1324352400 +75291811 +1309359234 +965354308 +997631037 +926722741 +355227333 +1169625879 +1990632849 +803497246 +1445883390 +1981818952 +1219047525 +799283179 +787997713 +373713218 +393302306 +150157395 +1384275544 +193915479 +2006998287 +1861268756 +1554681931 +92583786 +1213158619 +175389279 +65746174 +1537350611 +1565822826 +775020832 +1538517778 +742691578 +850312643 +700393364 +1708045886 +1847943680 +1627116106 +2063273220 +870085912 +1470265307 +719286818 +168485654 +1304600611 +1938334343 +967768833 +2092598324 +164563914 +1361071139 +95272071 +1548839458 +1554986618 +2102270358 +1262624567 +962184901 +47370497 +328299538 +1137574180 +113116671 +1865650150 +555913359 +888137503 +1256684280 +1298604937 +1738450146 +1957077644 +859167176 +1438910178 +1436710102 +774956748 +161512442 +759491761 +1494243566 +329998096 +2064092373 +1285094261 +1297766930 +2009207049 +1449658175 +511354421 +2104479121 +851013986 +2066341040 +2059265831 +2113638553 +881042293 +2106636328 +294454443 +2018616474 +72269351 +12620945 +427046185 +960406854 +1269305225 +1725651122 +551373352 +1078899222 +437334650 +1990283531 +368125676 +1212291398 +4312325 +1127617438 +559051316 +334310422 +1044226163 +1844145578 +1632077352 +905949564 +1146320105 +2143431773 +862945037 +1997334091 +2062289165 +774727221 +1963488996 +795847811 +733879901 +110459792 +666980637 +806149253 +123080737 +1094026822 +1766556107 +1392385963 +672194296 +170445812 +323801537 +1109528947 +13245695 +691927213 +174336697 +17558020 +1819544651 +733388014 +351868442 +716287166 +430049944 +1983945794 +1622236731 +1576370049 +1979893920 +337698120 +1426220493 +1894699437 +1112425341 +1242225841 +543063600 +1846305243 +1352685633 +1210044237 +504970848 +1475766371 +156587411 +124043307 +720668686 +828781708 +294489119 +1044470223 +1938310655 +307734814 +1736397436 +2112647352 +325292835 +1408458440 +698551718 +677161277 +2124745606 +1128601662 +513623424 +1599498689 +557488064 +346033696 +1937196810 +1983708557 +93249485 +902138503 +1078450750 +636313086 +600960098 +283652736 +1846357323 +1105930946 +1759419107 +2002944735 +1229974254 +332604145 +684242795 +1524463373 +1377074368 +475069802 +1832198188 +965988156 +440233506 +10007375 +226962948 +1138785225 +687168652 +204224907 +119903239 +1200792076 +1803723596 +677391303 +1546825772 +1593436758 +513616212 +1640075258 +348091614 +1592066963 +128904696 +949051712 +1875719699 +1975262019 +2054982659 +1487655158 +1830723106 +1137473265 +1820259303 +367482253 +514452990 +1049850023 +842552055 +199167530 +2015838179 +1282785562 +209174905 +95317480 +274087139 +896343558 +299542387 +393990378 +2097135634 +2103265983 +1071381682 +1496477759 +1549219094 +1584997894 +989069369 +1897310708 +1029581209 +1117974065 +698878772 +757817260 +945752436 +606377783 +97988770 +628991895 +1743851048 +1918248073 +996474148 +110820391 +820614448 +1839026204 +309987921 +688968980 +974328118 +519162827 +784286460 +1248415257 +1415506385 +1083828847 +1642405635 +1365158371 +1039611182 +566303669 +714152482 +441346628 +3817916 +1703221851 +191173688 +1033399125 +673712268 +890052461 +1791216386 +1619464705 +1496430244 +1889205156 +100972952 +1092797645 +1659969582 +1097447100 +1203618036 +333100382 +788989656 +1513605957 +1022069362 +1763317774 +2032768784 +1806355822 +864249383 +1300791521 +742701021 +359171371 +518466245 +1782312204 +925475040 +1232618727 +76175184 +929292956 +788356931 +267348873 +1962692082 +1462069199 +1157401334 +1606424820 +934050256 +506347930 +1348146328 +1035023208 +1599145575 +860632262 +2132470309 +655279963 +1193732645 +773976317 +21402273 +68318359 +389810444 +2054171057 +1874674182 +1254059827 +1207478931 +469891555 +1613231198 +1725945176 +104720111 +391222591 +811080255 +180895296 +1320515547 +1599437186 +448244169 +1135723981 +914022738 +1605645503 +594665153 +1848072994 +2111993433 +1942811482 +735612555 +1563655361 +655960096 +720599216 +71451676 +1849692741 +1494575533 +92853949 +1918011101 +1884385977 +2147025007 +1645201635 +990962157 +1207020290 +2115093190 +456709707 +785481818 +72329654 +847932298 +1596562073 +253224950 +20964198 +1048515612 +701469119 +1156688179 +1962538350 +159630974 +1751353333 +1663127696 +124140759 +1546681167 +251256603 +1687796120 +55157615 +971855819 +1759247797 +1904850357 +318947705 +1852101746 +1675377810 +55850034 +1851643105 +1173095797 +1046812191 +911179747 +1140705339 +1503521899 +1696661565 +1213034993 +203970549 +1145739991 +1466259943 +224934747 +46771955 +20245414 +1381622927 +2009310305 +179876388 +985492612 +1524954353 +304017148 +384690131 +1776210957 +1991813268 +439847746 +600583128 +1603577417 +197214455 +919530833 +1308195516 +1872592265 +975380868 +1012354973 +898204414 +2022193059 +1923534721 +2038909754 +1378231310 +1472712638 +1104461099 +1582201860 +470968981 +423237395 +1807136607 +517740936 +443482809 +1041275886 +379567593 +623359198 +2026768498 +1904521947 +927376346 +263974981 +1533249256 +771705966 +703822728 +2133832384 +227799736 +901037183 +905879570 +1535995252 +626145801 +1881260438 +400866577 +1524350215 +1755969849 +176917650 +1415776321 +986717512 +1649630289 +372753773 +421435724 +2120599270 +795991168 +81088683 +490856559 +1239473977 +1122364570 +870424152 +1862833175 +1001649420 +627462451 +642725873 +1265624402 +13228059 +1414431840 +1969447130 +2147060444 +1642231576 +723000665 +905456366 +1030743180 +1349146466 +639233156 +1431609757 +726013034 +247719357 +1608527408 +2141789355 +1234436869 +1110674049 +367059480 +1655872593 +1083789671 +1163050648 +1736961277 +1574646230 +255040978 +711842199 +297586735 +2117874153 +1713491619 +925049186 +613116379 +831632373 +938277246 +2027548219 +653595855 +937854042 +1522296147 +1376596521 +1843310408 +405555679 +578259339 +335059916 +1837165436 +1304272373 +582779273 +1298209196 +1298578081 +1817216143 +261399597 +1665637561 +1325605088 +1345189269 +681204562 +915082717 +772351851 +936245540 +1626924916 +1069938586 +906636045 +1192932888 +1994987773 +1519752424 +2024565261 +785781371 +1399816995 +530677469 +1723635413 +774629494 +1907273990 +1419462173 +1180185173 +338049681 +1754522089 +869866962 +1642322055 +189817714 +20592510 +793416488 +2007033857 +281992108 +311570401 +1185155298 +1627181377 +992774963 +2100238015 +252049580 +1929020503 +1579679284 +1321988167 +688172901 +625128524 +1169492292 +60441677 +502210137 +1955273663 +1460258673 +1032887606 +1531425428 +87404519 +792677948 +803403953 +1267589693 +1130727630 +410442394 +2137456655 +625566037 +600260108 +10565517 +1418982525 +459810318 +292557625 +1730552926 +1644965616 +1919739002 +575844242 +1597719983 +24304935 +357381097 +1029915619 +1346293102 +1045553998 +1655044143 +368301746 +1105995676 +9770633 +176091761 +418770701 +1042658239 +1707517189 +506175220 +1835336188 +363437494 +1773764913 +818580170 +773879888 +1763737920 +1444146207 +1374139996 +1774303438 +715645084 +1833950314 +2066861063 +298714362 +1331432282 +1839116418 +874558604 +781668618 +1863421353 +1231939702 +1811584237 +1062230807 +130010052 +1319144733 +1430532553 +1236005728 +1328915366 +1606624314 +1654776429 +224089957 +1166657855 +13468002 +2059426145 +1530095349 +1787232915 +730522667 +156491589 +1403487188 +27185226 +1530631585 +1030306978 +742830310 +1217098252 +949684393 +1041544673 +401046886 +641317163 +1916103277 +1182715504 +357254868 +1000559331 +846816094 +1419485675 +1130569384 +18477179 +702534580 +219091464 +1347392545 +161675246 +1873867894 +1571482502 +1328333101 +1887335896 +1483425000 +710944802 +1527085163 +66464019 +867436391 +783088703 +93649246 +250584329 +1813395681 +836479556 +1467682581 +615596427 +1878024229 +1868729467 +1256913590 +1646643859 +903961324 +1614168459 +499719542 +1750777418 +886170486 +1630288926 +1769254597 +1588705067 +1849380391 +969163494 +1750380313 +1575764637 +393162348 +931229767 +1315616885 +1876587348 +1642174569 +695218400 +1943051368 +362127313 +1478307104 +2036700614 +612711642 +1144219137 +725696522 +2080394223 +1759815564 +456237104 +1801640042 +869245507 +2102880963 +558117718 +335930318 +455116857 +161411488 +1222100804 +2085405784 +1930666085 +663322223 +1787302527 +752345931 +266218889 +1215583516 +1145508280 +1197448656 +383716753 +874611980 +692139577 +1078935153 +670179700 +1054266890 +409758609 +559396666 +1666978532 +1553977747 +1285093189 +1599889107 +1166309663 +1741330293 +1254045502 +2035555170 +1696727608 +1812163220 +224001840 +4360817 +1973574709 +1446102645 +2089766601 +1756757146 +2109424868 +1729585480 +361619430 +228160109 +797685348 +1507127710 +1425608765 +1181402101 +234256042 +2117748343 +112853607 +904435743 +1024531585 +522612216 +1463832409 +544026470 +2076589963 +601441950 +2143915577 +1095415979 +195288595 +1250477431 +983487501 +1892016203 +915157004 +1207489342 +1896377021 +741248065 +506108339 +1838659974 +350521563 +468049559 +1420761807 +712140993 +696209669 +70963507 +71785055 +2121818434 +1252365609 +306041098 +2092083129 +1365219216 +1210476841 +969131067 +1887831432 +526825602 +1513157537 +1816937748 +1128267553 +1509589466 +764870079 +1323556148 +612583250 +1748357580 +1068088704 +1527740254 +808363274 +816982077 +121504671 +1314471613 +508158403 +472026234 +1782521173 +1928920210 +1184167228 +331247194 +1999883718 +1255952283 +305581980 +1104765679 +1561993381 +250181462 +322501247 +624986574 +1219312529 +62849031 +1151812177 +584986418 +1879786779 +132596082 +2094575884 +497173210 +1456152230 +559675486 +98047143 +376757286 +2087415740 +906410417 +1193739363 +61436763 +73398383 +1701897767 +533462998 +1855919556 +1483334329 +1717630226 +39683102 +1335734399 +826098861 +345265082 +293016430 +240608595 +595446544 +615517677 +865595169 +1814759073 +678366709 +2017407346 +252261843 +410669840 +2519780 +199354080 +907843051 +1458672011 +759029566 +1005890194 +1835429297 +698961659 +1912300611 +881685013 +760398422 +1985698994 +436099132 +1293861420 +1694134902 +1919433461 +864007998 +1733818004 +1107684213 +1690106860 +2079083087 +1400700643 +1930715455 +527045983 +2016218321 +648826976 +194321409 +547101382 +518750675 +446583252 +957771222 +521270455 +645937332 +1865614273 +1979942466 +1404966899 +724020819 +1667888116 +2103928558 +488837783 +402089481 +716843332 +327053129 +838188613 +2010704753 +2021188032 +610138426 +727229103 +1607522388 +1717822639 +269852315 +1539121827 +971039635 +53084122 +2066167811 +839774308 +701911099 +113005572 +1386875690 +1220661774 +559588824 +197163264 +1741932229 +1205526157 +2062777538 +1574391048 +463009408 +639314709 +1094795516 +419454318 +1128152492 +1496884997 +1136297650 +1455205622 +187589962 +999518755 +1328910006 +797728388 +1726747859 +788948746 +368067380 +1996600174 +180586926 +1339107015 +2049684297 +99271089 +31397675 +604111748 +212276661 +1418273365 +1824773522 +771865485 +1615436629 +1419222103 +1977391642 +1530730519 +846129503 +292917402 +22561581 +1940925019 +712371720 +1150714073 +1290326368 +1848669371 +458436047 +1477916330 +700704478 +1787346053 +128161071 +279968689 +428811152 +496228451 +129085216 +609398078 +1835335466 +31285865 +708669167 +1866733141 +635397613 +920945828 +1137522858 +312687487 +1692811313 +605475839 +1731909590 +1522719308 +2136206359 +430555446 +1815636710 +11284292 +223996817 +380524783 +1161998365 +1514323186 +81710506 +1620434413 +844755868 +782414984 +1260296818 +972916939 +1062383674 +1689107970 +1469145390 +1191468890 +151022400 +1156997208 +1222754755 +859691567 +876246701 +1858152368 +1780637395 +2013769559 +23356207 +1325965061 +471761751 +1755265797 +701200721 +460484462 +38337595 +369353783 +471768754 +262334413 +749878566 +1633767119 +1776657599 +831589072 +1106717884 +473929819 +1614004057 +219531055 +1446846759 +528904083 +1908639025 +768508501 +1720372973 +2059661426 +1925505710 +795644080 +771869345 +654268763 +506312800 +405023093 +520554675 +529669007 +1730988154 +992316426 +137451156 +284705227 +1452800888 +175788752 +654059010 +1924569642 +438123165 +1403937577 +1410853113 +67297116 +88043001 +370087350 +541226935 +1702047058 +589618405 +1988073694 +83467493 +350773782 +609098548 +1803840466 +262951560 +387120610 +452000898 +1034820906 +1041389373 +958313698 +1439843999 +1561944048 +1487982705 +1023348505 +406776826 +1625433862 +1308053732 +1859577714 +1801222614 +1962112742 +1636663708 +91862131 +1218566671 +900033174 +159159247 +1306609673 +1270120524 +700386182 +861173083 +1859738929 +540976229 +944640577 +63029063 +1150074777 +600997395 +325980624 +1537195387 +1052998294 +1360801530 +431101112 +2011311992 +653161881 +1993045161 +1351811050 +1676510386 +252338339 +829761264 +837080470 +2111916054 +483500230 +651709564 +1601096114 +575362361 +1870276236 +353645640 +734521608 +1029402261 +1623766164 +1434907790 +1890575344 +1336021445 +1975884019 +687732273 +1399050509 +978475148 +1288729669 +1725031133 +368186887 +194244315 +938349015 +799288000 +58072659 +1591510896 +644849513 +1409883709 +1120537634 +897187852 +92161325 +1957618104 +861620258 +575661555 +461844020 +315232725 +1151023916 +184636608 +668878365 +1885545524 +1214038869 +145160882 +1172969667 +957130566 +1481182327 +1001370038 +1644862839 +732749188 +1979845187 +786108860 +310296673 +200548426 +980353175 +1248645688 +999836426 +1038425835 +692672936 +1644685939 +300825896 +1813210570 +394390144 +392987222 +1623345026 +1256010402 +968648777 +2085189047 +1571243127 +2119672694 +122342007 +92637845 +1857734570 +1336380877 +237798727 +883220589 +146027795 +1718981054 +1884590628 +1790890634 +304246595 +1716952167 +429515847 +614543268 +1917500593 +1409869022 +1863188957 +769853372 +300811209 +408378245 +267055663 +601637106 +74105168 +661445807 +994624328 +1697450194 +1917456210 +1963273105 +1635155593 +1341215689 +1935462151 +1757497601 +1433853534 +1645713074 +946394830 +1671652261 +381450015 +1092422625 +1243149668 +118556995 +735829611 +1547396263 +1835509162 +1165345458 +14455883 +1605526108 +427730833 +1877644840 +227895832 +728542042 +138539438 +494951495 +1330179148 +212644606 +1156397303 +177319828 +1910094800 +926369865 +2140592934 +1397766746 +120101906 +1928571437 +1007780699 +1553955441 +1426800863 +1954175529 +1078124054 +1808250879 +899114506 +173790074 +1926807874 +1634944117 +1721186337 +1614833389 +652805928 +1735642221 +1072875849 +1080536761 +1465803413 +1300771681 +1809078803 +1604342851 +1795723176 +991774304 +1816987457 +804636831 +1169094132 +1579598610 +1731006696 +1162203418 +829881708 +1851108603 +943291208 +1837662407 +1257580396 +222608423 +1644354288 +188220802 +2030859302 +395985146 +362010877 +1810183529 +2030929263 +2083197214 +1277533270 +536251543 +1671355787 +202925471 +1616788304 +989675553 +1503697152 +1278383460 +446534756 +1151936680 +122674116 +116038566 +1956573512 +1291768248 +1695637176 +1540096560 +306488019 +378035236 +1243721515 +1249779227 +68213995 +353818263 +1472387650 +1712568283 +542039066 +1355763305 +2108553429 +904049943 +1018463186 +1991999044 +839763509 +148512808 +380766940 +363635649 +351438279 +1997555244 +1353311202 +1855135431 +1128455056 +1799845958 +859588463 +1251129172 +1915884524 +668678327 +395413773 +1464038052 +61291240 +701901792 +1842073288 +1305012755 +1951681019 +1910287283 +1658831019 +1276585021 +1475371918 +53386437 +484864678 +1436441699 +957436380 +1503327864 +1280957096 +1797199889 +1651840672 +1661724036 +13351890 +2003278951 +1511795632 +1366663092 +1710930734 +492767041 +1019025403 +423035550 +1743896213 +787426279 +1091713877 +2139309986 +103980684 +1153005117 +693728130 +1946053972 +310534225 +497925501 +1708857608 +1969365244 +1774510523 +1036745878 +2022751681 +111891553 +325703930 +832704413 +1615219418 +1606661026 +482420654 +1119576442 +1120901414 +495772545 +975371746 +485213398 +1862435637 +538818832 +977980439 +733977392 +961854382 +574393005 +1521403672 +2053568260 +566219343 +1625384356 +1059089729 +1259947474 +1423954680 +1369623954 +1757872975 +985328640 +1191505550 +1384899850 +2022074519 +1066773583 +1496791404 +200294801 +1899477996 +964527174 +1806955827 +234415003 +2084103616 +780373593 +730187548 +911991714 +1265586991 +445139537 +1450810547 +96083783 +1179116930 +265181281 +670476788 +553036954 +171265893 +1236696131 +30937662 +1230355623 +349159957 +1454892342 +452495929 +2107032933 +292737335 +1644001480 +1344449135 +167328206 +563291415 +693756891 +367623007 +315285764 +1658284065 +27095186 +549700767 +1594904034 +807468779 +1279888315 +359412100 +2073055770 +1725027852 +1810222647 +21655905 +756661134 +2075403929 +692132693 +1309698088 +99186174 +1928828825 +1340635750 +1329541797 +130505134 +648044445 +1782037727 +90054419 +940781780 +1278555559 +1434503555 +1108109986 +1841846974 +2128260446 +1475732993 +9649090 +1639060864 +1502828179 +559349857 +1086481250 +162813310 +1839238172 +1445893350 +88385432 +1416782377 +1108632350 +110041338 +25959863 +1036552631 +802174031 +1335657952 +1135738805 +583519208 +528810054 +317796955 +714024343 +1176854499 +2099834682 +804078762 +2117636279 +1230906593 +91098669 +1078262617 +925269919 +71875468 +406511962 +934919010 +1710936332 +1909340141 +1494268867 +649933934 +2072153451 +1186023392 +2095827284 +13055236 +455322121 +1056975986 +123096574 +481281984 +2093528617 +925270605 +1816939936 +1081783775 +1508789814 +198266343 +1399580730 +75330509 +1375120842 +1351931764 +879409271 +1345273474 +435354709 +970507941 +276052443 +1360624628 +1042383409 +682564406 +148059990 +605836093 +444420899 +1642328858 +1255770027 +369090703 +680868602 +1204113663 +382145939 +1136190723 +113606002 +505242513 +1617472707 +59650971 +1430513118 +1286928996 +1141434746 +791819284 +1485195339 +393531828 +867149793 +712832533 +1745463592 +1746559065 +2058106007 +33334653 +569583358 +186674803 +1393959282 +1611966767 +869239209 +1542019272 +70319212 +1313660108 +1036864482 +1326089239 +1682750811 +1717733084 +382719254 +2064896750 +706440159 +496325256 +422655615 +176429219 +555976228 +1853168734 +1463358215 +1697410974 +497504370 +801069906 +2090942803 +1364654164 +1513902439 +1688922747 +963729581 +1424524799 +1722257401 +1533312939 +1611199602 +968733035 +997796058 +332955163 +363268659 +1068115270 +1646615271 +1400133142 +246720861 +1181882435 +970382578 +629440115 +1099295537 +1676822738 +1125765372 +1521951153 +1853251957 +1681741600 +1227636239 +1169126524 +1231668926 +1725140609 +1970196430 +1175128081 +942311125 +1336615221 +716567181 +1906040706 +613656372 +291340934 +1291869997 +77372326 +1260073969 +142182407 +410327489 +1623342628 +1210297677 +2056942761 +875992122 +1457018538 +1091341548 +1846374701 +2086458654 +43153437 +1375713791 +1064740378 +1565104590 +1081482100 +598998330 +645257181 +103124976 +1830667256 +222914143 +2073321406 +858311690 +1165225268 +1262452979 +1574878871 +923782327 +1876109352 +1866219805 +68168676 +1953481678 +978810126 +210351084 +216325520 +454669106 +1420648761 +125784633 +1330661229 +730183652 +1217126181 +1029552282 +669158658 +1260279618 +257782425 +1733899036 +677900561 +1339264525 +185413718 +1323157742 +1442389501 +2016080974 +1546071885 +1368227259 +726909016 +563813506 +483196590 +154304239 +1487595833 +211822294 +2020524044 +1555764509 +17820325 +851850522 +1766115593 +234145845 +1306519629 +1039280707 +359930478 +489697210 +1769464359 +1577056659 +1519249492 +291139369 +689852629 +1777031917 +2025038405 +1367753190 +968812794 +62968475 +543427285 +263718647 +2079049449 +2089499170 +1631945906 +658474818 +505829028 +2115142496 +812779057 +1993424861 +179481143 +685819454 +1401705723 +197301468 +1537669976 +1020337668 +431447313 +696705957 +2059618375 +791377791 +1186403167 +1681599086 +220950802 +558169011 +1972738455 +910803431 +187717280 +1850293212 +131072974 +1156530074 +1913261687 +674500259 +1420248721 +1844827489 +616515781 +904710979 +355818659 +1122344810 +872369828 +1168597716 +968286023 +1051850971 +1854417170 +222508098 +1249152439 +1244603499 +1242845767 +1680599752 +1941309456 +1154980494 +324493895 +980228976 +689095933 +545444697 +1538397987 +514350740 +1456248128 +1726115268 +217160305 +1587321102 +735161694 +2130421992 +114337713 +7926768 +1827765833 +730853495 +912637747 +36100844 +1853198305 +1785007575 +1204698561 +674000680 +689374898 +911632083 +896508779 +1938527337 +8751934 +2139354546 +1471643441 +1950061391 +1146851392 +1796137336 +782806719 +1835947325 +194098385 +173721058 +202814418 +1650346514 +1899836326 +419974723 +1090183968 +487514373 +402913067 +1204521682 +495441141 +83195253 +1935375177 +1408078888 +119296097 +1641089834 +1045602816 +1323994658 +167606866 +1734977714 +88143094 +1064115645 +1526021404 +96895028 +1055986543 +850181197 +2046956419 +55354288 +498834886 +682279490 +1891301613 +692933271 +856000549 +2094116031 +195796137 +608353227 +366607106 +1285980106 +1095867600 +769520174 +343018140 +1591308741 +852715427 +130909669 +851903982 +972011524 +1771999503 +1897506798 +148522535 +1939606369 +1485000864 +236665629 +856238367 +863538620 +333560657 +1912224910 +1713719818 +233033429 +1967579198 +65071056 +915312919 +1711397164 +758004327 +1771313468 +1658029547 +953800465 +232183048 +2024636654 +92296923 +1328050648 +646673180 +435315063 +771875742 +1499388607 +566224732 +1623779724 +323916483 +190740587 +1373802874 +472439018 +2130346956 +711320090 +709104647 +839101675 +1574858711 +1042665305 +603842938 +1141094881 +1275698734 +423938488 +1206165937 +43528005 +2135335652 +1964170264 +1814841474 +1645881552 +770487081 +2047024522 +1523034558 +862784004 +1227591522 +22224090 +1298099067 +1999467264 +1521612697 +1864323799 +1475763340 +1845529180 +2055064386 +702082566 +170484551 +2037927695 +1413402657 +879589198 +729545722 +840777720 +1922254503 +1333388660 +1981872601 +1050469589 +1757327149 +1040554890 +1093997595 +1745179153 +857241506 +761355421 +1243577057 +1627728588 +660896295 +619127967 +343028944 +1888487817 +641352057 +1641128012 +1740471434 +15481106 +1357968163 +1068751126 +1861010287 +1265548902 +1770833693 +2031494838 +1155992949 +1036752702 +763600388 +1885538671 +1877530422 +538371244 +1071443684 +1711919375 +1588840833 +681287185 +604990617 +535354780 +278982690 +1462232123 +1296710201 +1522559748 +942477063 +1957606496 +2141687715 +1285506008 +1698610666 +635556125 +779150372 +1291598452 +651037231 +2137118535 +212865930 +364563870 +1255183789 +1983699623 +248575060 +263693090 +872968677 +1012175449 +1748114 +603015451 +1550546693 +1073191798 +167451178 +991903878 +1754478983 +772441795 +1527258659 +2033461673 +87190271 +676485212 +1408537773 +1029667334 +486608061 +1402741841 +167689694 +37735079 +2038297966 +946840066 +1329333531 +541851549 +936474954 +1542199461 +906415420 +44175095 +1378415437 +1154990480 +307868186 +103900466 +19682281 +309616300 +706915918 +1570228974 +1382808098 +874367096 +414649205 +989803433 +1646808892 +1941907864 +875781458 +1733999163 +470909428 +136835584 +616182849 +957517489 +1539577425 +783872544 +995252568 +1430391743 +1730712610 +177102451 +1972243292 +519703916 +1719301913 +731175064 +563879012 +950233702 +1886165545 +871747198 +1054134168 +1905847826 +1181363498 +1761050086 +1328593153 +416687948 +487933535 +1743242358 +1406491381 +2134742427 +1537666574 +134789191 +1721257942 +2008576002 +271624775 +189957143 +818609844 +1811202200 +973829687 +1813862412 +1094110295 +557058650 +1990964864 +918869940 +1076762566 +1562783129 +1650045004 +1640641578 +365533183 +1388726901 +364905128 +1419667351 +1147091080 +1546268626 +1033233790 +328200585 +1962956574 +1521167325 +2071442943 +1221964307 +1508426104 +1461625869 +1356753499 +1082200398 +1322718223 +1628378274 +1272157541 +2141328067 +1292096827 +98503581 +1807706832 +238723474 +655562231 +1651188048 +1157593414 +1732324797 +1066487529 +660154771 +1225482728 +1432020712 +2048881672 +1590387856 +704204415 +1048489104 +989172835 +1737438205 +1376689689 +804645761 +1111121882 +1300648984 +2026610069 +472064338 +614791205 +1235879920 +1554264736 +1937509429 +716774546 +678938630 +1931353848 +2008871373 +777442211 +1591577032 +100111200 +1433004442 +1095281432 +1257704614 +1017845591 +14285313 +1917859385 +95844671 +1446306025 +1819257410 +1686232528 +3026793 +720262866 +527921715 +1740464998 +2096952556 +1332567476 +704103233 +1250117892 +1211693897 +1176167571 +1864909098 +300090169 +582948660 +1654934879 +1016864716 +1261887290 +1438805079 +878252441 +2039329501 +882898464 +978363641 +1324850295 +1978179896 +88584608 +195212238 +1992465210 +2006443993 +291056910 +1291287587 +1678217755 +1977289438 +1294314380 +250996974 +357727505 +887295731 +200465882 +1690294981 +1591398964 +1450583774 +754505231 +620082887 +1168009224 +1054595400 +1203031547 +675460455 +2071460116 +317435189 +2114265535 +802228910 +209281042 +849680351 +1780592551 +1534131337 +680376599 +1869177159 +1729343576 +525358161 +1728137505 +2020400486 +1816645749 +1258871612 +1850206276 +963476481 +1509868586 +60450133 +1850772212 +1710334468 +1750745114 +1294687528 +1013434595 +357766697 +1914770416 +33960171 +1412362098 +970318315 +709420627 +1336338566 +1287753505 +676202514 +2138567476 +1497034547 +1525882865 +1771676380 +883682237 +58775816 +1493369891 +465542165 +584133978 +1074023748 +338459003 +253296079 +185411713 +41181631 +1216772560 +1695280299 +101631764 +920061125 +1258131120 +1852376878 +67265005 +124082067 +62659928 +1982035421 +158042238 +1475022026 +804870089 +867462865 +663876944 +2092623594 +1543665379 +654960773 +1442174493 +922064596 +279153505 +178373082 +980840413 +1772523396 +643915247 +1564974391 +699063497 +982374250 +1818270470 +884475210 +1023555881 +887559382 +432271861 +1125187645 +1807620507 +1690402981 +830080876 +1874885513 +1814485048 +892740804 +1709437286 +1972527287 +220279182 +366823727 +692506504 +884156126 +311963673 +88688236 +1539116899 +1754138167 +1010752832 +1818270404 +1932511249 +1991593245 +1443310153 +428942849 +1409083988 +2142373650 +1411317099 +1079870810 +879365212 +287389333 +1967430193 +1311637073 +1412576978 +1627567052 +854556407 +95174206 +1354968917 +521557807 +987915010 +916922556 +346601446 +1208194192 +1283746283 +1039107951 +2092350319 +1595709957 +1127796187 +1483983570 +1202364476 +2138549019 +1154770327 +987392077 +1982658617 +450596832 +1416334926 +1244258957 +445486834 +680168378 +176646120 +1324852046 +967557711 +2144076313 +489005471 +232651041 +1624159717 +1343561878 +327825248 +831644987 +1865119686 +1315740258 +1748567543 +64237484 +376450803 +884830178 +1103345435 +321317474 +333056487 +83657974 +1805301044 +1535420963 +74723346 +812587723 +375329393 +2057381963 +1263184555 +1791664319 +1154157272 +1708671389 +324349049 +1330803392 +886039787 +1291906760 +1327396057 +1375045259 +1524557802 +804072127 +571123489 +1852383050 +1635717114 +288759527 +1020639660 +1236801009 +352997012 +1397090463 +2121631187 +1456342447 +1718407937 +307204027 +1540000422 +1376225334 +1842624990 +1614723768 +41329409 +70470735 +1524622083 +1304513965 +1862135055 +531295707 +865701706 +39000456 +1862099100 +1751741494 +1330907217 +1042011509 +979303105 +707981371 +1846083636 +1550426594 +412880773 +1334317102 +1839186122 +1433520433 +423634463 +44699486 +683127249 +397782003 +1501041933 +254051538 +704986030 +893558707 +1630276872 +400127372 +360798827 +1671606282 +470598108 +1885420910 +828636599 +185249515 +269232970 +1694338305 +224249971 +2131332070 +1298596151 +1555157188 +1025859931 +130415608 +115654911 +724459920 +1680842203 +528535684 +2058777022 +1372544677 +1962056118 +334927838 +1417244163 +497699719 +732709841 +770802448 +751751257 +1437695871 +1664361156 +234544482 +1837823243 +2025159983 +1906150764 +160937703 +1763097246 +587303715 +346187218 +2032330216 +134158372 +570437190 +2016178638 +1432754524 +2125594378 +894554921 +1563170132 +93765642 +1619014841 +1096528687 +622301326 +1530308216 +321589716 +436873796 +1865236054 +1738833879 +934573515 +450462247 +362152680 +1686324773 +1888158118 +2026513836 +1920869255 +1578497713 +1904190171 +1679536371 +1739435417 +1519803769 +119356438 +2085622635 +1404650337 +253514810 +508576177 +1273345327 +1686269334 +486686908 +20416601 +1101955819 +580452550 +1639431442 +51000858 +1202753876 +1022256010 +372590575 +1639627673 +740008416 +2111424454 +426717540 +1190470663 +326093486 +2113042313 +931145133 +205123674 +1886427920 +362159199 +2109313846 +1418480643 +2101594616 +1481633967 +1537837081 +2039733603 +738800657 +1791351892 +400826133 +2012145984 +1330137578 +887513041 +2032562585 +284609749 +1467965591 +1524510380 +335610608 +523235819 +399282742 +708201183 +15379844 +1139291159 +672141989 +442097385 +182278174 +998235476 +407656050 +1113423308 +1203359150 +146600323 +1475582507 +1165189348 +1565080966 +1429693475 +499339668 +955434400 +1321943430 +1238140325 +599302644 +1722769563 +1102802661 +1929440222 +462798956 +987881599 +66566324 +1930764547 +364908331 +402176932 +306516719 +764191073 +1110378115 +321896563 +1903482232 +1782520104 +763993948 +2085760407 +633271932 +1171649999 +1051700067 +1836631083 +1318250322 +379798926 +854336783 +735847640 +1809492401 +1353676451 +1691282040 +983952183 +444333128 +143101036 +559238099 +1547135790 +2072541259 +1022037055 +387533741 +2139107583 +805317955 +752442072 +393800867 +1111834674 +1516633145 +1504178982 +1433731237 +1272631730 +1139215438 +50241538 +1210908489 +1772487371 +1221891537 +115124908 +1461634806 +392658211 +494923834 +168487941 +1128505851 +156932587 +1522164393 +672304244 +1140884770 +1966497521 +815405280 +1700122869 +1366149663 +740462891 +574676277 +1753683404 +732086826 +1379994232 +358641828 +1125887693 +344345258 +1875274974 +482583027 +1778076495 +1000423056 +1621798466 +1828318033 +63847897 +1246802189 +902725922 +178972805 +560953347 +1295384133 +673896639 +729441288 +276406337 +830829226 +104122033 +948710581 +1971713996 +2070619555 +1764115861 +1524353218 +1289285570 +357095105 +2099029495 +895485327 +1089181931 +1331540079 +1254127155 +67585977 +1675885337 +981918481 +550169004 +1306478184 +1982341537 +24483822 +987312570 +2046189434 +1271286011 +1890038492 +77678591 +1832239358 +1037938978 +751575230 +414196999 +1314345315 +1582404456 +518319032 +115572248 +1406634805 +441454939 +1879688109 +783504375 +1730740510 +89299566 +735050222 +478742189 +1178481498 +2066590301 +1732869344 +1246067475 +1594991990 +567304178 +1796236479 +753986526 +402162067 +1820720302 +1741299096 +300867854 +944522665 +1483853941 +378546445 +629278376 +374309271 +1130121676 +1043475375 +1688654586 +565042484 +1561794407 +1804226834 +1971677289 +2003249347 +1536431295 +607698016 +1586506209 +1625730862 +1342748238 +2065248398 +656728712 +1261854891 +1650634094 +1902796187 +709363233 +70454624 +1551549018 +1463349760 +472616692 +1224785672 +1057165208 +773484546 +21824690 +393535501 +1152030991 +651103066 +767844772 +134669019 +1694578441 +309015710 +699711504 +1108889200 +2113242544 +523905145 +964654899 +1502190192 +1131603162 +403677460 +980437406 +326867752 +321442210 +1637166118 +1588722644 +1972076305 +1392478657 +150602229 +2042530929 +796544027 +1613951989 +367663973 +2021329700 +523633550 +1141148519 +2043154390 +917169051 +145695863 +546773808 +1685013824 +280364882 +93868601 +1994029534 +980076386 +1202757801 +1959788431 +1503981532 +19929053 +1314494975 +488101046 +423606513 +147448733 +814968798 +745048724 +1784614851 +256207794 +569641381 +1029609860 +406810024 +464688662 +1826153887 +2020762013 +832352636 +1699999939 +396911915 +1973501155 +1595670681 +1314080967 +2119197018 +2142444489 +851611143 +252078253 +88829442 +698157029 +1232154639 +1291587244 +510461812 +588652523 +1311516297 +1824956787 +1076753569 +1735122810 +1972405520 +1891722368 +332687886 +1609536723 +446514 +902329267 +491662935 +407256538 +1367017930 +170333175 +280534904 +51886918 +1870333114 +677446819 +2025388073 +1318520148 +1991527786 +1997101444 +1313480989 +695655281 +101696049 +1402310432 +1393812311 +1333850688 +546414028 +1904274123 +1922503212 +1857930325 +1581747263 +851773133 +1445569487 +1406669135 +596011853 +1778257374 +868722211 +596458368 +533102993 +1360385146 +1003714906 +1900120923 +1530718321 +1284249810 +1952007841 +1253567788 +1961696630 +1829912267 +424604288 +1805740768 +1679530063 +1738085277 +353912402 +1781226112 +992912061 +1747724713 +967593152 +1539326089 +1504515188 +742612716 +1249772766 +938778803 +1594385850 +547858606 +197964291 +42914055 +178632332 +1066686502 +639372423 +711735325 +279588000 +1643087330 +464372601 +1810306322 +779853492 +268896794 +916390462 +594066474 +2098809061 +1340994750 +252323595 +1630855476 +931596379 +606235997 +1264597940 +1924508441 +206477062 +84707445 +1316350882 +1710992250 +827320161 +418640001 +502287406 +274222363 +966498607 +700251697 +317136419 +1145130939 +1766938199 +956508842 +1856866264 +2046526199 +452112524 +173755217 +1709348873 +1231966017 +442652012 +478255687 +1826032491 +393977425 +1819250437 +2078356086 +2024832902 +603363169 +537108435 +1141947194 +380387962 +743585497 +1226654639 +1696738844 +307094100 +2053974801 +2115378845 +809381506 +180713516 +934393804 +1509633203 +497849935 +2079524743 +1129087754 +1454358778 +1788907360 +1028130305 +1906471302 +1962662577 +589995531 +990953671 +257830941 +1068251218 +669502515 +651808367 +740018008 +600374953 +529157621 +1343381177 +1137483389 +1671104815 +1723769139 +1881068886 +750275807 +1273024335 +40679338 +656766960 +1240919533 +850060844 +837480476 +27829689 +212210399 +1335330412 +2107354433 +1341298153 +642205542 +1748778145 +221944811 +401193196 +1563957074 +811940342 +1392146868 +1821788016 +1880191560 +2061649383 +326112735 +472725920 +514540688 +855270356 +1816107097 +1652024077 +378891523 +1392392588 +1385609316 +1129167330 +517933276 +1426288654 +1785934290 +1758852809 +128865851 +475931119 +1786682498 +341076250 +1811261531 +1746553283 +1682374404 +305983425 +1347847780 +1904319215 +707176621 +764321207 +568775909 +2099323489 +438625575 +301483821 +2013489224 +764738310 +774209742 +380546265 +1620008666 +442833191 +2032570342 +1998900189 +1835225780 +1270696010 +980583872 +205675408 +549501017 +619034514 +1964528217 +678366868 +1094965633 +1603727067 +1019443118 +758743516 +1202796703 +554333874 +1064726941 +403160835 +311169441 +1771903563 +1167482042 +879945350 +1723743404 +1606107617 +1181429172 +1589748981 +223362279 +1955638914 +1970295246 +1843370945 +250988457 +1855381940 +1694787487 +2086214237 +978594303 +527887711 +144405997 +1528095320 +1146922225 +2108934214 +58978540 +94404211 +1565177634 +1078421658 +853147727 +620490689 +1632755533 +1917874669 +1023651524 +1943924974 +1542294584 +43649919 +676386677 +1118554340 +1649757536 +1857815849 +560819673 +1873119816 +1665971115 +383631271 +1569007113 +1916959572 +91529564 +1116310952 +1855690162 +1070123867 +1644198663 +2000096159 +450735539 +643637241 +1961546726 +509714079 +738041452 +1379240712 +1588135737 +1591189179 +1999731401 +1073407622 +1361580200 +875899277 +869848949 +756391136 +919549196 +1546235626 +1874945477 +421823085 +1256567827 +288281502 +147459253 +775055294 +671912774 +1716466366 +544531218 +763442338 +685293671 +252737732 +1833566205 +182008686 +105350244 +136818096 +825645927 +2066896970 +646532175 +1563687379 +1298654034 +87184264 +1007392911 +1150901787 +1160591887 +221489463 +2026801064 +2030440836 +977880600 +798866613 +1429192814 +705342429 +1220689698 +538276993 +993623931 +1368148951 +1313332287 +1665536705 +937131669 +1857863505 +281495395 +1622425340 +2110601238 +2115061600 +1804434027 +68467834 +104396048 +482596306 +2135364804 +750928223 +2046283686 +1286535190 +838112488 +906192949 +289953329 +1998704375 +1127682412 +169270745 +1881661563 +2105563012 +968137358 +1163370729 +663421793 +41343408 +1701647722 +1657045725 +1409492359 +867496361 +1175098782 +199140381 +577876218 +1456594178 +1821565721 +540993808 +1424172130 +1478516100 +609461642 +1528568179 +1961112407 +597342798 +132012754 +1859912445 +1883877988 +970125242 +618621746 +26347669 +821345969 +1746304158 +195618415 +555523884 +1704383523 +1163755773 +1718894613 +220321668 +1205099182 +1273058687 +1877367393 +467107893 +2140555048 +904982528 +666248274 +570947619 +214093058 +340330348 +1111941427 +1638265188 +1818846448 +1721403070 +1019349719 +1632475207 +171262220 +1151362474 +1344904004 +2055140209 +2121487716 +1963525750 +2081487878 +795350038 +1562346261 +129622645 +1350873922 +1119246136 +1293378419 +922284888 +1339567804 +350993953 +47859927 +1069451550 +818101846 +40931328 +1974434078 +1484350121 +611878947 +41043488 +1824680469 +1723820374 +1679308676 +1496043269 +1297739796 +551174748 +981034829 +1469002017 +1702537222 +178455185 +1376658578 +1676541290 +2141980936 +1310662808 +324407680 +1556843549 +1440285454 +1675281603 +528606037 +586180225 +450082843 +1868173841 +937174178 +497942770 +790141743 +1755276024 +538874098 +617092173 +1092142497 +1150753045 +658135661 +769339318 +727089772 +189960690 +117898940 +2024829568 +741135438 +1098933769 +1346347937 +296189012 +1277388954 +575522867 +1972730302 +1271886242 +1886185676 +149654335 +681246143 +1178987482 +1824935938 +1209852180 +1765167707 +127535133 +930542374 +554858237 +625477903 +1720684117 +162650613 +1164352002 +190292643 +1254793111 +167621399 +848428304 +2024132429 +894711171 +1038388994 +2142031369 +772057092 +1779524432 +1093481490 +2118405029 +2075713444 +223386797 +546444249 +1900960099 +1495273039 +285146277 +2050614434 +29035535 +1464133759 +1728066724 +1238887715 +1081817818 +1855601857 +21946441 +1636676055 +333596112 +1742630559 +1799326668 +1497948114 +1932923202 +906636131 +1665569514 +633867858 +783284913 +412797037 +1672256853 +777832634 +1184854129 +1304297637 +1871314125 +1155775511 +1232527434 +2094700922 +1702219760 +986003885 +1442490313 +1987366037 +889134671 +1471525848 +1304016148 +469717747 +562929916 +238350318 +177835956 +584876357 +1875026373 +511432068 +180023268 +1526869393 +2009380183 +2112946470 +286021877 +1527466049 +599330681 +1069306790 +1940263086 +124103886 +1847139424 +977633568 +1428401523 +1570969901 +2133409079 +513445309 +1518187175 +1688145191 +1499449194 +813193841 +1528027580 +241100217 +137236041 +684560080 +710817964 +700165957 +922910398 +888653920 +1285042315 +650453123 +1400085989 +1465065583 +29838868 +1261982524 +1430528406 +315860745 +641964925 +2029859087 +1385167535 +434744363 +6479325 +1084823312 +1412377931 +1434880848 +508309565 +1398303362 +1948326158 +2026496741 +938964905 +1300291704 +692206934 +319508837 +1541391922 +829442975 +1004068917 +104726238 +1529608933 +1926979315 +993380159 +667167600 +429948790 +245982500 +2132233183 +459787659 +1507965024 +1415277941 +775648404 +2446301 +1297653380 +13332292 +437190664 +1304132705 +1098155604 +1849568596 +591529906 +1606465169 +1100388310 +392372416 +1485478262 +2039353216 +1692664120 +30201548 +211378405 +1086572394 +859644524 +1215447323 +1191298633 +241769809 +994942990 +37195144 +908937409 +1424891781 +283177644 +893686944 +1884679440 +1791142668 +161481238 +512844196 +1793588969 +1459134618 +526176488 +83295985 +615783676 +1624332092 +1932864581 +1207313582 +1083313614 +885769244 +1599685998 +421308228 +777638812 +1144866470 +451509777 +989017217 +83955217 +1311154301 +56980892 +1275253850 +1552924110 +1051923883 +1312448994 +314377871 +329332016 +1595626638 +1208064815 +66527808 +1239285658 +1369546053 +579372004 +885390979 +681197024 +1105548493 +968686964 +1296980700 +582396937 +754067898 +356810634 +1665710551 +1639837142 +1956496632 +2087018780 +269992306 +953879454 +391044909 +1259009523 +1037834671 +1702199210 +1315990416 +165604873 +1107639672 +220430651 +1478053867 +1422017543 +549762667 +926196857 +482598710 +616290475 +17998867 +1852144764 +1195662479 +903389846 +385858140 +153727324 +1872076811 +1682838840 +736124262 +478661061 +2039649474 +254351165 +2118498203 +1848662458 +193886297 +241006861 +655058264 +584931206 +1500016384 +1692892936 +139646768 +668523152 +1858497809 +1247286440 +888953803 +1189068029 +521820335 +1438716470 +2115264886 +1004419046 +2055006945 +2133263754 +709080162 +1103185777 +889169952 +1094938302 +1256913101 +613763115 +630293494 +1993037363 +1092424176 +522459320 +99904881 +1063438731 +223638130 +293791178 +1304445592 +878696394 +878722385 +656978329 +424105682 +1018369153 +1325501481 +135119844 +118171946 +66971637 +1324187873 +639992281 +1505688107 +1291969111 +1644411327 +1413211405 +1277749217 +206007841 +368913534 +19435522 +1300946143 +1625826635 +633198637 +1931239637 +1471380351 +1725622814 +306215309 +1571285232 +641577897 +529853439 +1865076410 +1946023490 +1408549834 +596315147 +455518171 +1832655516 +1614684301 +1781019652 +1967775360 +1732856247 +1847991289 +1144479585 +225364880 +1206195749 +288965049 +1869776208 +471923506 +1566714266 +2075784049 +840837040 +1586149788 +1229246545 +319180027 +71864778 +1013002534 +1790560378 +1797487592 +1319217844 +1214361962 +291581841 +1849071283 +931954725 +90121683 +1110137469 +1528269872 +545639854 +795309338 +995470525 +179175859 +615601050 +580843124 +2027167148 +1760080636 +806208005 +1085879249 +2049045685 +528500565 +1557802755 +1468276303 +456800966 +251156147 +906942444 +1686047511 +570336175 +978807222 +551566398 +213412905 +628811166 +1870784242 +1427774868 +920393007 +1572371877 +212245945 +1010514691 +535025699 +1740515817 +1556154545 +1330335037 +588502695 +1735330404 +1945936087 +1169345819 +1615013905 +1558533075 +1975553824 +553409506 +1460095112 +356570741 +2111212262 +780887768 +813371708 +214884761 +1687830212 +351935571 +785220936 +519153786 +903501969 +998633842 +1147964952 +626802563 +278925062 +2068357959 +51690793 +491171007 +931389002 +586716492 +84203176 +340059900 +1917051529 +672705871 +2075390304 +1715503968 +1842051691 +1542920561 +1126553396 +1670121867 +2096330068 +439164860 +2026692609 +2060058682 +1220052628 +692580669 +127459795 +760399192 +1044516240 +912680732 +1279552978 +1948018210 +1911314574 +280034282 +427337125 +42755988 +200908594 +479027918 +533926995 +1132297596 +1065744410 +618130171 +1472357496 +835312291 +1290836043 +1400264153 +403332612 +985404086 +795701066 +1529886008 +508042305 +744547486 +1969050868 +387251266 +657122520 +1041619849 +1079831935 +784582316 +1802019041 +2124348176 +1697263048 +934088372 +1924882738 +1461093974 +1214122654 +204736215 +1503849962 +1415031248 +683764134 +2037776957 +399845197 +1749508544 +508423480 +1872202693 +437337188 +1799259523 +1124983198 +840669800 +637179961 +1920684265 +223072160 +1145222267 +517748103 +44639380 +1532473533 +1174870624 +1086259229 +464821821 +1959452940 +740794623 +441686349 +1509232340 +1674882995 +219085439 +822842666 +741522001 +423821654 +179208980 +9069602 +1107585788 +69502289 +408914799 +709610685 +577925769 +133633844 +1146947873 +229701645 +1258617043 +1987617673 +866881606 +1031817660 +63206185 +2012103873 +1549565763 +107845565 +1397093759 +576952739 +1194104795 +1861915580 +388922031 +1934899418 +156118281 +1898154371 +1462298765 +375203720 +573513389 +56337118 +799025374 +752722369 +65406720 +1906611163 +822224658 +474321519 +468738200 +1400150428 +607955364 +1615686073 +1629852073 +1866572407 +1455820098 +349250031 +750906419 +1519026283 +213870257 +152988534 +1626871848 +1610964016 +729941274 +673492995 +1325395948 +1118863305 +460908765 +1481514229 +869534029 +1923207530 +1856717949 +1443047418 +1979544649 +508259675 +48286140 +2044951369 +267387190 +870510798 +371789241 +736125390 +123177578 +979744605 +204327815 +1753029651 +698833364 +1660147913 +2102279683 +1449739783 +1031690548 +168666292 +1602728317 +511078749 +1779630308 +185185943 +1184571744 +957542608 +1304049249 +1645480510 +291573189 +26099630 +1421204392 +807490 +1469147048 +1253265393 +509067165 +1517433188 +1150733115 +776454356 +240460339 +1522522356 +1512579746 +363637917 +354783313 +1716907562 +2116667569 +1053616677 +1229571827 +2071463604 +355872812 +113778728 +92646248 +1958601129 +624857477 +1872276556 +2143787073 +1809429221 +682335516 +1300352674 +1307426083 +973908705 +1326452304 +581146828 +974716195 +648115704 +1834412221 +1483783360 +18065245 +837661688 +112754068 +258525584 +212700396 +1625333815 +622163501 +567483709 +1194757729 +591347422 +1621100386 +276845908 +515327378 +1976973198 +390624636 +607973626 +1788090680 +1015482113 +332766534 +1784394105 +677427687 +1015102050 +937263131 +1984853770 +1989010755 +116231787 +418516950 +816243302 +764347491 +105445524 +152543015 +782412736 +943107212 +265297083 +1040938320 +1155807609 +1890630898 +1663101822 +1723291318 +937904979 +106965596 +1196908057 +1214750888 +622292975 +1026397607 +1605375524 +1230266601 +667004639 +473373990 +1563033136 +303915096 +1150801677 +430651538 +1241178227 +988171799 +272178646 +1357410014 +1406688750 +1088421948 +2121757506 +1512134274 +1240964963 +756686594 +307757838 +1506262047 +1797624915 +1463565447 +1249409297 +1313243089 +1039373118 +39830629 +1420208685 +88797527 +1254581517 +2042501660 +1115195134 +712473393 +1125284614 +1782199774 +1185847383 +540834102 +2086114870 +189165412 +971485640 +1179809450 +1177337212 +1243664286 +389735816 +436542314 +184602587 +364009674 +1948676588 +1425567550 +1120696269 +108950778 +784345949 +770837536 +1572516226 +2033755247 +2084080625 +464405696 +2073585876 +1356805662 +553203223 +1180683745 +1251823675 +1668398357 +1893157138 +229624641 +1303114483 +931520874 +770458743 +1241745706 +1120686286 +1741944383 +274071508 +150539850 +838125022 +663807324 +587082164 +1022727609 +1027816999 +388275104 +300811511 +1029620 +497225883 +1085157461 +771867156 +2069742109 +971429060 +708464133 +386664157 +897531288 +2065269795 +939867380 +2078215033 +1169609822 +460782089 +1823888523 +1399234463 +1763896573 +607925749 +22209558 +858158631 +1728612036 +1764153942 +1132230139 +1879151886 +454795316 +1796037463 +318750403 +1477522925 +676370814 +707025507 +1778334436 +677400434 +1204251390 +716008249 +1449267590 +1126509851 +1687437309 +10248075 +1513174008 +437484949 +2075517871 +305557740 +368216334 +1097644045 +766339830 +44621210 +349394861 +382752755 +652546959 +371604419 +1240911386 +233675347 +2135758361 +225657877 +2112827234 +443070029 +2021695340 +284093989 +1920592954 +550582507 +991119496 +1551443743 +1227982941 +47887239 +119968344 +529766884 +1174397090 +1807405654 +540014959 +540087451 +97406955 +468049182 +845645191 +465623290 +1565693228 +1611985021 +510244500 +1915088089 +1994737776 +1162791459 +139208860 +1088165514 +1396466807 +127483574 +1313823391 +1361810393 +570553603 +1188035084 +1645904382 +343662910 +1738617591 +489540230 +1895106653 +819116884 +537427469 +2015074997 +1348883768 +1711824560 +1674997003 +1888898728 +104428363 +1772403959 +209464262 +950073554 +90543601 +1775157490 +414574928 +600788101 +1542761931 +261829056 +1763579560 +1681970792 +1349994571 +1012562719 +1809454366 +516334314 +226889464 +232524321 +1704369398 +1872793846 +576187231 +1295503341 +214850429 +323810236 +2114620226 +752277898 +191401586 +1316020346 +316618810 +1866398589 +1057435426 +421047173 +1491318900 +1266899689 +1371120728 +1581862501 +894573531 +1785695656 +35166954 +289851815 +2047524712 +1798746515 +1971822607 +1250035635 +663825586 +1633793325 +1766369950 +890715051 +1866317646 +1323255700 +616025249 +295021230 +471275394 +830875678 +618831466 +438411972 +1583153577 +810233052 +1754432318 +1899772387 +529147994 +664384097 +173335913 +2020466894 +1931283786 +1544456641 +1454845748 +678373669 +1182668649 +1490012702 +968225484 +1082709713 +1141275569 +792564443 +185261701 +1805101156 +278874120 +1951631651 +548332559 +2145191767 +1127403703 +1164357808 +292729349 +1598679097 +1995233487 +911560815 +2037091069 +1430903416 +1721793868 +1644039740 +1183192155 +103458214 +160940189 +1356528068 +2123925108 +2092223975 +753501061 +1431287208 +623113996 +1936169710 +773816263 +1591339481 +871395776 +1915091832 +236420276 +1056657477 +1572709340 +515294397 +860805480 +2121041899 +513002516 +1988209183 +1137916060 +805731865 +1439404633 +985665899 +1717292680 +1329012054 +269085667 +1291602900 +825568146 +1452277822 +1395061114 +986508335 +661322243 +1371502575 +931248662 +1414823304 +655306135 +1554362659 +1203509367 +1429122398 +998218492 +2074905143 +1196730583 +1234638768 +984078972 +621956275 +1749933165 +1844884452 +595514527 +115452033 +1685609987 +1733430587 +921183898 +977530972 +571612838 +490992931 +159059379 +840698505 +1782595831 +984627525 +145492679 +1030173298 +1971135861 +806814922 +254192225 +754900875 +74154579 +909498360 +161779886 +1277663946 +191137111 +1159998378 +1205085441 +1387867694 +247153499 +41680765 +2009823969 +1997086664 +1886565217 +457854848 +2112538698 +1424691556 +43801787 +886238948 +254738881 +615414625 +1377231879 +413798260 +1456113130 +1012344063 +1398425785 +1601605810 +2042517361 +1222077998 +260937084 +149225938 +1976978874 +335091663 +1058724298 +2138758760 +1612755609 +1249861409 +1151273491 +670357402 +490245455 +1398426990 +712038167 +352585777 +1248030006 +451119736 +810440625 +1213085056 +1875811293 +854242413 +2099324005 +2130550174 +1469657038 +1329072236 +396864786 +778286521 +193932651 +1795290571 +232408683 +88966364 +869884922 +493345767 +238192302 +699380148 +828437431 +1296916601 +690655260 +293709392 +399294362 +1841928751 +964066795 +889539818 +1092872093 +1676104962 +1242125595 +193418452 +2127224699 +2052566220 +1406503508 +1855552344 +759324985 +1358343865 +1838618870 +81498376 +539932454 +88000008 +859784897 +733865105 +1883290579 +1092193580 +822831470 +605691853 +1585539347 +1061023772 +1305072001 +266493130 +210456725 +1995727262 +560202523 +609751088 +1690172365 +1524269318 +1499290906 +635560811 +1052890632 +593932853 +828979263 +1032631683 +499015425 +87999123 +740700379 +1258340411 +1446342989 +431835601 +1339838787 +1986275443 +519835609 +52140036 +572656900 +255642541 +1144333616 +1395488370 +861334394 +582389315 +309028495 +18922748 +848882446 +519485220 +2014650010 +1409084969 +1129236308 +1557338727 +785870639 +481043566 +45415890 +1838761271 +1074976419 +874395153 +723909307 +1573991845 +962394277 +1464609686 +684848608 +261253618 +1896445288 +2024687395 +100045413 +268797249 +2076827431 +672702313 +524439790 +1073677399 +2068190684 +1385774185 +1656066714 +229735531 +1404696933 +357465512 +749220751 +1271863295 +1766550481 +1878457060 +681718374 +404937472 +212016978 +727134265 +96215096 +1286993398 +1601529418 +820124403 +713501595 +416440047 +137250441 +1398350203 +677693665 +2033695729 +1275553950 +777739078 +155009331 +1204897733 +1450441392 +679449121 +131091484 +1371148428 +2065223306 +1787158198 +1600883959 +1322436591 +2144623711 +202621062 +446816238 +1763690544 +2081078122 +1128534613 +21144369 +145611453 +1855668878 +117359465 +1432604851 +1309714648 +937483868 +2146106446 +1726154696 +1074734309 +1396973001 +256364713 +960946391 +525043303 +1034103792 +1115955722 +1729941036 +337061536 +1795404843 +1861032520 +1708209964 +1713144502 +1500707070 +1161610275 +888097445 +1497847133 +1364231337 +1334913684 +1114054030 +1297825812 +315964649 +1135198399 +1443437265 +24149879 +1252557864 +728558468 +1333864527 +42558084 +727181266 +912535575 +1117292393 +2124154267 +1168900289 +2078238784 +501713922 +55520433 +1046710858 +84171310 +392581969 +694632054 +1945203830 +2100791933 +260292908 +1298427252 +1114918560 +1148390353 +648790738 +331666249 +335820389 +1762844768 +1629492061 +651785038 +750559519 +925445678 +675934917 +2003117383 +1654004146 +2009799445 +2045675467 +233701764 +774851372 +1015484212 +210372383 +1943751661 +946239349 +712086305 +1999272094 +1992950207 +796257615 +244370415 +540098613 +593977797 +197678700 +800391521 +1892405050 +1312597260 +1948781875 +393712140 +1644263510 +137118616 +9073260 +1126271923 +788903655 +759632779 +2051717602 +1464838572 +615266514 +1558238100 +1327154369 +513458333 +1791939865 +2102005742 +1528942545 +2002312248 +1898273755 +327698246 +566914906 +1750062202 +173164806 +1363172521 +1994432617 +713263419 +1957150319 +44627670 +1513654941 +1702071721 +1357224930 +1314953168 +2095783861 +854004792 +1452071784 +2104857121 +1980276716 +93491791 +717006252 +1884510670 +1558330364 +1332272766 +1295265122 +738001085 +1845731099 +939721339 +692523179 +1227189996 +794549940 +443313287 +1554888243 +1361464846 +45891841 +1728053049 +577153719 +2040324458 +293832820 +386820390 +2084952128 +1807487761 +2088892111 +1294693411 +974957281 +2037192324 +1214555 +279545418 +1994565797 +1981491271 +373037209 +564088401 +1718518293 +1931367573 +1896361167 +866299768 +521885011 +1594608618 +1806021107 +1214408190 +674314967 +453087399 +1657721477 +81719562 +1814552245 +1703613318 +1809772611 +244222317 +1596454129 +2103605431 +631042707 +1533922609 +1763609545 +572451171 +681132372 +591083178 +462159847 +682346928 +870628596 +309241997 +516354551 +1243665806 +873330398 +87389197 +1027549731 +622207918 +953688965 +1549434742 +69332888 +612226424 +616359285 +743647855 +1065313824 +126597114 +825367417 +732382421 +1830210433 +487656380 +976604738 +1279180914 +443778164 +1607647446 +665619875 +59904061 +32614969 +1346752248 +650987239 +494774816 +2029099176 +1521615836 +804016813 +397970079 +617797994 +1677347212 +485359276 +1645347725 +152071482 +1439048241 +1047298820 +221404370 +2051274666 +1663658105 +965052226 +969104842 +1790255219 +1790419643 +1701487263 +1472982004 +130592376 +530608354 +604679270 +574370540 +2138255800 +1270299146 +634274601 +23387121 +469567746 +1285261840 +518161937 +351183274 +659394028 +1322178751 +749153353 +1277192022 +852042315 +1234512630 +775056100 +1004113797 +526077223 +1822354920 +1225518167 +429868241 +1338529377 +43086745 +1398973083 +981300948 +1833506389 +952976699 +306799305 +1964098765 +1483585053 +911478575 +390985657 +1474357205 +34294073 +1025260258 +1497744326 +503861819 +163038450 +2015906263 +855045093 +822432479 +1190601366 +1604198447 +2099624501 +2042643681 +691227429 +727196953 +899273830 +1217304652 +402068225 +2124791998 +1647172894 +1740597602 +20395095 +898662329 +574414903 +1853901484 +1851639028 +881214208 +1670516601 +1187740433 +1792692783 +2061502258 +514613990 +1826986857 +939278868 +2012358316 +183365028 +1102317319 +1880780932 +1038410122 +1924749798 +923898650 +495124921 +1876890651 +819058684 +1186352350 +456603957 +1718332514 +256173354 +858672182 +1695640864 +1903346248 +451786137 +1716035960 +654524930 +1026201040 +1422453796 +358680310 +1907415248 +945486750 +1546420744 +1552624383 +859505360 +2061034734 +1232127592 +1798784229 +1925909403 +1415492621 +753617900 +1659206687 +306419095 +530884050 +435621689 +801544016 +260291053 +1254680373 +1987896366 +716895010 +825529240 +96586072 +1575567193 +373686456 +1999932321 +2027353330 +2089722416 +506973603 +906070722 +1364692565 +865653913 +666002322 +162695667 +264591009 +71143057 +1022201027 +178142096 +1303270650 +673501608 +2104051499 +571279623 +1427119508 +1615774538 +877698718 +1958003558 +2051396227 +1679242734 +70810964 +1158592953 +1519655452 +787705974 +1984122193 +1616241524 +215789519 +210325001 +1468690197 +95659201 +152563770 +1975663800 +1001729923 +1517256335 +693834066 +1667732245 +1679952002 +958425075 +1738875303 +554669381 +1136567171 +894662305 +1228170990 +1093135022 +1465941928 +507806850 +561425912 +196156998 +318326761 +465338492 +1875399732 +389137725 +1623931445 +1247571536 +1176843699 +1460569990 +716329412 +1392633219 +1670894991 +37535962 +1488292420 +1823458761 +2013199762 +342538696 +1193231448 +559550180 +2010270941 +725699802 +1517975256 +1601662596 +1280369184 +507058779 +348841253 +361056526 +1600193802 +1814783181 +868863376 +14136066 +2010940179 +1187190137 +479474558 +1738856263 +1576327862 +2103406003 +838944151 +605687914 +1416492345 +1555273564 +1998321133 +939903689 +1592809526 +1339129905 +615878802 +1458525640 +1681668601 +1809110251 +2018075821 +1544455895 +387326405 +1388567429 +998634843 +1667695589 +1895626208 +1347476097 +2028752115 +1348336362 +1014775630 +750131844 +1362472429 +878232162 +1937321981 +1841946987 +469604777 +1366166196 +1797869343 +1308548929 +1971854110 +1066878040 +716338845 +1822691595 +2006781729 +161664723 +1014337852 +475176884 +1620190363 +548522806 +136803487 +1490782536 +2092978701 +524129892 +731866317 +944129896 +44341834 +480008878 +144122345 +2073093949 +1828345240 +1158897976 +675742145 +1043334021 +2037130138 +465580479 +737797361 +359251267 +1831746675 +388183056 +1667800196 +1656117137 +1455061096 +236655393 +1331325084 +1314359178 +398320116 +198179288 +1789536062 +2018510480 +746702094 +1926339549 +1361809368 +692197147 +302985793 +2093675686 +1636327044 +347327627 +426200916 +1780449389 +272937929 +107062508 +791863717 +948680074 +1150396530 +681510207 +1414260553 +1888193891 +1040761475 +1098523580 +128893299 +561078023 +607157069 +1583954395 +797733417 +1938482153 +750829925 +1196053533 +2136661442 +392882339 +1067080365 +735879888 +171738240 +281406086 +1428077036 +474724034 +227598124 +916920432 +822051661 +653799040 +549886173 +1094989590 +760861548 +1341749891 +2043669665 +1911258078 +2023260098 +1310446570 +1651968321 +916537925 +261486503 +1780861620 +1477615949 +868643572 +1217332368 +127865718 +659642078 +1968162293 +1323919251 +648819872 +213560985 +243515969 +1384699760 +385299225 +524922055 +665293148 +860023259 +752520179 +1582213580 +1682074921 +1406319219 +2132099754 +629580863 +19697119 +1326365997 +525766880 +1930955198 +1202142447 +1836213451 +1435439871 +2118680373 +2097699954 +1068817844 +1448812674 +818859878 +138666564 +1576678392 +1478501956 +2106828857 +753113995 +2127321828 +172906194 +996629964 +1364537941 +558205420 +1521552019 +2029831089 +1418228679 +126588550 +1464561022 +952819952 +1532907769 +1449177128 +1582400816 +1552604889 +628059477 +2108167696 +1336076439 +1830201924 +1796897499 +624032662 +1801398649 +1747113805 +1692850506 +1102727675 +418490036 +1831517070 +531922419 +1896991992 +1790862280 +1285036415 +1876830173 +1963768474 +134182731 +1093884466 +374490246 +1655734751 +976231907 +1792718926 +1782323301 +293309281 +598055230 +1167747423 +1742486409 +32972398 +572868664 +223062238 +2141140095 +1908945103 +2053264163 +1790553946 +385494117 +1707179164 +1390184104 +2078344624 +662423192 +1808674140 +1762378046 +1194345611 +1558182484 +1405756678 +331898378 +1287529009 +1222041505 +466081110 +233929827 +1596531751 +2121815861 +1210161735 +1241767029 +1756655514 +1503471016 +1839822260 +776919289 +1098473778 +1872794658 +1349787953 +1321536016 +1866451105 +1111249408 +1227316531 +1509521404 +1496743526 +787012048 +752221860 +1427604502 +1449435240 +413412352 +1042498900 +496297203 +1971594836 +300771931 +828195582 +1111640198 +1522813436 +1294276692 +1345570025 +971861539 +1268608905 +408248112 +66144921 +877780771 +1911719129 +1905967181 +1654700061 +862709259 +1631278191 +857004366 +36761627 +1350245649 +1968253775 +1264078159 +712283405 +1317513653 +2051090207 +1464505265 +597634507 +1353041799 +1877917617 +1640133407 +1849339002 +1702028805 +1940905338 +530050936 +666185355 +1316235126 +1824327628 +2011755381 +140613018 +945452885 +272519845 +206757939 +1823233657 +36755326 +2112725120 +1330450070 +899464585 +1596519663 +39970788 +936226213 +799281664 +2008224563 +52820724 +1511565069 +1178254568 +2103910931 +828586686 +1775889075 +1309469082 +559020655 +1268538835 +1011324436 +113565813 +1061960525 +1541375373 +779751168 +230712004 +1218219353 +644022901 +371325022 +16188591 +916542747 +578082961 +1839422248 +953298073 +543324433 +1022388670 +1852762659 +2139844096 +1062359458 +641505224 +791642113 +923100374 +694325948 +155723534 +2101354942 +650753231 +984310221 +1729760370 +1960222313 +1543330876 +850815557 +824063101 +1656896689 +1912776082 +217954826 +289164210 +2143488086 +1436174180 +933187111 +367329460 +1452362771 +1849729858 +945412421 +1144301371 +655544284 +1488736854 +19206393 +360823295 +1481097303 +1081565851 +1002328519 +125255768 +2004666225 +1696654467 +280979302 +1958537520 +199924050 +1265289523 +1540814242 +12662715 +661136752 +244146151 +836725816 +170549793 +9438585 +1054680643 +459714003 +5443024 +343371175 +1392901115 +372772484 +1795733946 +1095147325 +1318184906 +792551669 +1750691609 +659438112 +811758062 +2111514904 +2140535415 +1893323913 +966359775 +118307535 +1750506491 +515530594 +399286838 +1561560363 +715454644 +1664576361 +954890957 +728117359 +178229465 +1199037108 +1564843176 +348779259 +1208475693 +472040171 +808493262 +1213918717 +815411346 +53910729 +1586691202 +463661644 +1149058055 +757392460 +1256213313 +752266016 +1416830572 +2067971375 +716297273 +1409882340 +1813811640 +1682657048 +1528189875 +1416834483 +50703995 +1927476713 +830911198 +766158639 +1444569427 +1785802155 +1494275999 +1622798892 +837355615 +911635527 +1971578151 +2045831309 +1383675698 +632587766 +1112266378 +51603396 +686498495 +551473932 +515265040 +1835556550 +1308866392 +1771478353 +440338919 +578213317 +1691966080 +1156636192 +1988095657 +1358294072 +691809592 +1368801884 +627644908 +742513587 +1148794950 +1458556106 +1508672227 +445880729 +1096874614 +855464578 +2068679621 +1934230229 +1767100105 +1892774125 +1832577890 +1003292155 +377878243 +797360621 +1054895551 +1064376738 +1348834553 +1570160591 +752449641 +510217298 +1194155296 +1192788560 +1088430615 +738637728 +201941104 +929042624 +2096931800 +893750696 +150360860 +577093060 +1636264284 +1299155810 +2035649167 +997452863 +1745036539 +985040133 +1852917441 +1666232513 +771786714 +1472533898 +1411522990 +456880957 +328342405 +1789401233 +1254241578 +1383237956 +706294323 +455592483 +805914899 +1458743964 +965809781 +2000070195 +504048876 +2054240396 +591224275 +705989980 +835799372 +540672427 +1599740677 +986160233 +1117765488 +1088521313 +137832395 +1005931007 +2085974176 +1882868935 +1990971140 +1791407969 +1401617800 +615274206 +1116458219 +665657142 +1072155163 +1444800624 +307574727 +178913093 +680554932 +1013869050 +634505577 +1486469831 +325129367 +1600315358 +1339056378 +829178243 +1507072107 +1930280653 +1535168224 +195387831 +323469432 +987425253 +1181548064 +1441234920 +2075946566 +1319380460 +299682279 +2014437094 +1054765747 +143169771 +1658361415 +308899899 +758443978 +627335986 +974557041 +1830599141 +2072136610 +1282131768 +2009512235 +605207894 +148517170 +496534164 +2091677725 +473646537 +2096849522 +1283250455 +1302824781 +1456437981 +1066047460 +690509357 +1651825813 +1389516892 +1677934610 +685890229 +683268165 +1606397528 +2005270689 +982950444 +1473350974 +912552788 +1126120216 +984228741 +1221452687 +1884564194 +1611564727 +48526080 +1567679687 +1536217689 +1330657848 +1429708274 +2141425583 +1479175019 +1926242438 +2085619660 +1952821556 +1875608313 +1221386467 +1108162689 +1184562646 +139950279 +1798672046 +688904811 +1529467171 +1329123008 +1374795041 +65251688 +788036888 +1232582082 +1048202133 +113904214 +2145134871 +26838701 +1098132955 +1219103910 +1911402895 +562214034 +1267629991 +1331598934 +2098431723 +450804191 +613823561 +2092373658 +1929979210 +392582351 +2030509670 +1735317119 +120707016 +1104412489 +695996160 +1305269663 +1244362768 +347184559 +1994174474 +626346292 +1676307567 +1221485867 +691597980 +316860808 +306584302 +1739800113 +430765022 +304235525 +1766638814 +1528897978 +1523339435 +1530558061 +2091112012 +643485778 +714673348 +2042060088 +1094289970 +1328496909 +1986950098 +876785532 +1721079260 +1869976121 +464619003 +1841786277 +826904962 +1160615164 +999572292 +2071267731 +1507799723 +846263118 +550130375 +1036623642 +2067748986 +1241728355 +1353484450 +226849640 +834044821 +1784249473 +531085165 +453199987 +1165663803 +2054424600 +1983758049 +1109292167 +550426731 +550947749 +1003868607 +1644716701 +1879444658 +843335058 +374018585 +1453040270 +565827531 +838637589 +1147342899 +1392732493 +1999252753 +2146915191 +1316516576 +1359568828 +845694662 +1866646951 +248708822 +765960000 +960891659 +1602193273 +992809640 +1794936480 +1238959098 +1523894805 +100652819 +257139253 +1430835757 +2084410868 +1366431420 +1981262488 +487874969 +222816380 +1478495541 +219835979 +1066151438 +1852514127 +1672876250 +1631978969 +543668068 +672735501 +877227814 +395437173 +672167045 +46260743 +1755006001 +1517861707 +1912907694 +2003714823 +136338059 +726315705 +1458424448 +1129147699 +373768537 +549899898 +505558856 +474421357 +807039151 +1936394613 +411348577 +25986924 +1770173454 +899223547 +248803304 +1101185347 +1119059526 +1314954742 +806215826 +644452128 +799450063 +1349883894 +1317187630 +1676677877 +1745321067 +1989354675 +1722938620 +1352843420 +1359732734 +1488362667 +1209074596 +1496070793 +67194724 +520015396 +477734844 +440963262 +1069915295 +983293700 +915384619 +1876954446 +772204665 +1326733196 +1902941370 +394894471 +78473095 +4261026 +1496079819 +1197532622 +1319215768 +154811997 +1841984750 +2118665831 +1504695892 +1011688732 +1647860061 +1102533311 +853559759 +1223315033 +307893084 +65808845 +564194052 +1516967680 +1561879638 +631388777 +2036983076 +2039614482 +1072352039 +959414723 +875424534 +1987736658 +688885522 +1647629200 +1166986206 +444343244 +2042523671 +1245459302 +448604271 +1391119842 +295508276 +1767820039 +1545931840 +2137493026 +1739002223 +903144084 +1001698111 +1239378636 +2005677395 +1855257870 +315210021 +166086831 +1921066716 +879404074 +1683054511 +1335462706 +1510792851 +1572553940 +1227593541 +435661242 +384485015 +2103018075 +275914252 +1073370537 +1603163627 +1442900458 +1517713782 +1498203651 +540876112 +1966318053 +741839845 +836384388 +1586654444 +140288037 +826393767 +1178173019 +1043432121 +1828091878 +270068007 +901625869 +1535866100 +585278029 +1067712700 +1309449168 +1464682103 +603283564 +497428227 +827991306 +28353856 +1725021768 +1263652548 +412838871 +1680556195 +1539566800 +1486209409 +1136236175 +834983610 +856439543 +486956178 +1375859723 +675273948 +1228796023 +64760463 +114444744 +1369084061 +891154230 +1292617764 +265032534 +571762460 +1562685771 +1166658403 +2107628561 +480152 +86887456 +1269594081 +1465162255 +690171020 +1767022308 +145669913 +718524876 +1344560428 +1409322461 +1131363747 +877632976 +801405613 +470089508 +2013869151 +1636389224 +1326529051 +353341681 +864765299 +2001802999 +1582137704 +929525762 +2116247744 +803738117 +1820679993 +1261381860 +1068770652 +244958805 +676583983 +87945407 +205103718 +677064136 +174832863 +1474697800 +2142226391 +865003883 +1094236460 +140412657 +1583528759 +291313241 +1549735118 +567408859 +1168946217 +203657084 +1037498367 +1035331720 +1840046308 +216543771 +1388673401 +557327959 +70863122 +823327457 +1486853721 +39627218 +1627065575 +1160050066 +1301009078 +548352579 +1405008872 +1977593062 +636297986 +1610112590 +507173550 +811130850 +937326742 +501916293 +1676134733 +2031563203 +642328950 +1112179845 +175392796 +44580421 +1679588704 +1344339013 +248237505 +569603423 +232187085 +2088283813 +786147194 +1620860486 +498128124 +857010317 +296704295 +1984981845 +896637535 +1923769870 +997548264 +50162966 +324638801 +255073488 +2027756028 +960936788 +1865186078 +387445930 +1772067638 +655029173 +889362223 +1300718723 +539108728 +1531691174 +265414920 +714501524 +1576271595 +1945003624 +2058840537 +1824509100 +367123400 +143543974 +1765309265 +1153270594 +1764404460 +115953741 +2010280911 +2061108755 +2100935586 +759434799 +1837394978 +951000202 +809597765 +14550131 +1206073690 +689870145 +975486919 +923776121 +1077316075 +600070909 +1578805294 +1966678298 +1900789633 +2117914022 +1350885824 +18720905 +684931898 +779673771 +1963724530 +596288787 +456699223 +183364282 +739832761 +74524840 +1336634876 +356753573 +190478581 +1199432140 +270378680 +143930520 +1958866939 +2107773658 +1094930722 +620981056 +2122323790 +153520765 +1310851201 +950327061 +1077296886 +240683628 +1550397971 +508618532 +59878278 +1303703956 +479048906 +1410764103 +1322424861 +1163980804 +42954226 +1138665743 +1760269591 +499653450 +1322030025 +352618704 +574178290 +511181254 +709372277 +764656872 +1710613394 +979750957 +908587392 +1521996685 +940040968 +2003518114 +2142977741 +914881110 +9555231 +1306345294 +1865208171 +1086852117 +1547028922 +1268122494 +1595470649 +1606907200 +424342802 +2074519555 +870187655 +1746767664 +1091016711 +913141882 +737949759 +703802654 +1412795332 +2059979785 +1056421358 +1986973622 +423677391 +1765793635 +604146846 +2134290785 +598060945 +1512734238 +1508803822 +1538101913 +1368768705 +1504297915 +305499375 +1378323936 +663159561 +23223898 +317692406 +62704835 +1291346393 +1913163055 +1669612035 +1715689195 +1840198963 +392316043 +1314973211 +783732026 +1305457925 +2052922971 +1487534681 +570769609 +1965419108 +396472391 +410259583 +241612851 +14782379 +1014406430 +228419988 +612843324 +379657020 +1737223810 +3461589 +1748425725 +1094038077 +308960964 +979266014 +1757197638 +332184862 +1296958420 +1819902473 +1623531255 +1062637827 +1342030860 +1191736803 +755353142 +1734346903 +359226366 +1539085169 +892321180 +264665689 +879136202 +1463090789 +82601149 +1275608593 +1873350373 +324214000 +1290390972 +740273155 +552633988 +1903234296 +1119930175 +142374150 +1906695885 +720872253 +1236412227 +68173201 +1700138267 +846126217 +400358064 +849613039 +518545042 +2023889319 +1912250866 +1860575903 +1068142474 +520120361 +1447439158 +1427368841 +2059205530 +192276691 +1692034530 +790858084 +1655367480 +1774635680 +2066466677 +1381234205 +2098849680 +1209374002 +2121507360 +504000021 +965124650 +1093953888 +646374171 +724336888 +1814826141 +1882786399 +792510089 +1367480760 +581428968 +1192868153 +69610151 +1099974011 +1069273825 +1981861017 +813066266 +2137416299 +354497730 +113021776 +1417301492 +266219612 +305298467 +961852375 +1057077696 +1960665948 +589004407 +976060726 +1194416505 +540370439 +37951080 +1168440218 +1044370460 +1003075730 +114910458 +1690744632 +1727412618 +1929736599 +1426047383 +372439060 +1149733711 +2007476351 +1565307213 +1219343862 +959966714 +487097390 +1053721231 +1773032980 +477030042 +1408218962 +1886054757 +1894331534 +1674438574 +43869576 +708700261 +584032623 +2004535524 +1297704668 +1560093349 +1051468382 +1838075108 +1598044429 +72424952 +734961920 +453636511 +187335410 +278222904 +33565482 +2117072009 +1704270287 +406004542 +1119322072 +1564262991 +1971311755 +191182286 +376746057 +310925498 +1244903517 +2295390 +787955540 +505638831 +1888350147 +534803426 +32593758 +1932219723 +1243503688 +616626381 +1789271600 +393724708 +29236082 +693256334 +84316168 +1627280511 +765681286 +819278089 +2080917022 +953016696 +1097500993 +2114482504 +922605057 +654287633 +373003398 +2041927129 +71066976 +196831506 +85625767 +447813033 +507757004 +1330529284 +450108423 +1295712544 +1836168116 +190974922 +1830515970 +1868761874 +2123194646 +926536010 +337904607 +1764982598 +1320260719 +367140689 +310755284 +1404576887 +1994421200 +1076436570 +76371328 +1927854574 +2029453266 +1173872322 +1894853431 +804574675 +1828159955 +120373181 +699018156 +1899226931 +317204687 +784643923 +199556316 +824961691 +2115173207 +649664740 +2120674235 +1803857675 +840639662 +1803706558 +1525135901 +816350660 +582758920 +1863040508 +433849610 +1903019639 +82697549 +744604894 +1160112879 +2077118749 +1821041464 +1236484207 +1857489676 +1703011082 +262872881 +1604859459 +360102109 +2091032836 +1725232640 +1059120265 +1842776119 +2042437328 +1843764188 +2042332436 +719915371 +1811453748 +544513528 +693105959 +1467827775 +1385153190 +349328869 +845480029 +54020203 +932087789 +561036889 +487869813 +687623781 +643734439 +1232474708 +1847736660 +573369540 +906032524 +936737219 +283375568 +461559959 +1199610101 +1888235027 +821662068 +1143159289 +1465984020 +1880782334 +838451761 +1360937700 +1577062874 +733300549 +2080853071 +1241032974 +1277814077 +626475382 +561377102 +515483619 +975804251 +1406857131 +569503822 +1907892041 +1967894020 +1057373636 +448032174 +464144811 +142364696 +148285186 +1037514352 +1048397220 +1085022405 +1320889920 +1509957179 +137148858 +1061641300 +184135600 +1280308148 +380141672 +2064917934 +2118759909 +1741079372 +1494497160 +704576810 +1674448795 +588046487 +1982390887 +153440530 +1149423589 +350390858 +1129244781 +408797072 +919894681 +889653174 +229207444 +1977268317 +1337685348 +693352256 +2119633013 +1485970534 +1730866608 +1020546585 +423509292 +904272880 +383020117 +560658150 +1965914180 +567155717 +1840966298 +198572204 +484590003 +1812242559 +1939651576 +1979087163 +369335721 +1466616724 +419650002 +204242960 +1620057254 +1569073591 +554633819 +601818387 +1977870663 +1474528500 +1491471562 +59594460 +1304313169 +681673262 +752946716 +1276462534 +20160149 +336329676 +149525471 +443669441 +1240602556 +532545588 +1004327591 +1059033089 +1099701305 +697810242 +1257605293 +1584291308 +362569153 +1049773222 +1415894824 +731904875 +368906298 +1835544826 +936147835 +1988963552 +1257134770 +1490781654 +443298291 +1087521785 +817826506 +1934769853 +1147116245 +2122139675 +468959468 +1900062961 +1251118561 +489119617 +88908989 +1400644033 +932789058 +1329511546 +1933189621 +1937116649 +241060987 +885407279 +487443243 +1498666280 +322214939 +850012397 +400955854 +1738109763 +1581917272 +769862152 +1426170942 +370581459 +611342056 +535822064 +1861363114 +1054640348 +1623343849 +531705972 +841926553 +622976447 +506362000 +1310886021 +375555760 +1757480561 +1800005638 +464464750 +1010640946 +585311048 +1793976296 +796346920 +374944050 +2035037283 +1681754199 +862387293 +1386219915 +2003969138 +1712399690 +1787175770 +1594595254 +1146833314 +409554274 +873282548 +1517414774 +1020896331 +1409104612 +1231294240 +2075536679 +884964813 +1763000212 +769979584 +1507941260 +121878564 +2080865606 +1883497021 +1879359126 +1733387596 +200478123 +742516424 +171214997 +1994454419 +1538863344 +546159047 +1882008054 +1073133895 +1408546340 +1120744321 +929619386 +973462383 +760436443 +376730992 +2120295697 +1169990718 +1250013540 +1490226823 +43403401 +511634504 +574037415 +2118940080 +1396599317 +189553980 +741436016 +757056930 +311432544 +674817974 +493070303 +43308022 +260721923 +693548426 +785824447 +431936920 +540519197 +177204143 +978095967 +275043603 +1250338039 +239158659 +1395787924 +32473777 +1212621042 +8740720 +409204769 +1185433092 +1178731438 +1659218309 +528176267 +1222134839 +23369165 +1102213683 +1193591271 +1419968482 +1291767663 +1935027287 +29541764 +1603200207 +462361614 +522612067 +1646508230 +723083537 +1216160493 +284849029 +1155020457 +1756679690 +462053172 +2133116424 +2031723293 +1712391211 +224791435 +1280027570 +1744864988 +1437412478 +1288768290 +6586109 +475361922 +320016080 +1665804418 +1003538189 +1542150919 +1689173583 +2105751872 +588258542 +961658418 +1250035887 +375802181 +991200182 +705752447 +838163795 +1513812250 +204777029 +1561247332 +582489095 +489626058 +568784141 +191685138 +951679230 +554416917 +75924783 +516586794 +779208353 +1355952353 +113968134 +69137183 +497236995 +120554244 +544499105 +817253075 +1786358662 +1548037294 +211920346 +1328048598 +1506305519 +800178888 +142223368 +608857758 +1175981070 +1133423550 +1314610205 +2014144865 +499752152 +1519387234 +1427908550 +1082241248 +2009013292 +1996692691 +1273926386 +813208875 +403625961 +1349851169 +1329795669 +1182834314 +558319875 +1443763803 +1251971497 +1055556870 +1564318047 +1796470602 +1872809946 +1203193062 +1197024248 +2084730292 +383758012 +555846119 +737425533 +525981380 +1164703878 +1913406603 +1659404930 +331830435 +1780067820 +11673435 +1851217670 +1060492722 +1093914683 +1712747314 +909701766 +220357421 +378472541 +1313327727 +1570208590 +1708268210 +348678393 +2128528465 +1004548366 +1600649890 +1036601688 +421382765 +1249636844 +761927986 +1624575827 +299177444 +699174630 +2008333839 +855023564 +1436600163 +386831571 +2019727442 +1202523118 +2046236502 +204074229 +835107291 +2057909937 +2055291899 +1895600013 +1004340972 +1620555566 +657818131 +1224698393 +1999028107 +1971145858 +647423335 +1559812670 +172340603 +628468153 +416877388 +1772990493 +1665069841 +838260153 +875143689 +279514179 +315352333 +1174321134 +978688809 +176202524 +2029344698 +267805325 +563034096 +1901588492 +1470328443 +461786950 +2105662721 +157952086 +372213239 +2013470973 +2053552100 +1376554211 +1486542891 +563886583 +453768956 +1338087350 +387548794 +1101192291 +750416372 +559889397 +1729660444 +1167293760 +185396243 +1247246637 +2005553914 +1060539932 +1526760816 +173422599 +87377418 +357965978 +349625123 +2116722116 +625771303 +912659219 +1870826960 +2096099746 +1374446169 +1829006034 +106568185 +1746659408 +1694993359 +12636637 +975729971 +1034052602 +576523220 +1429498927 +224656304 +964072014 +383207571 +975072677 +1523961412 +2112868015 +2142366437 +1709357655 +1212631005 +2000436703 +622413939 +591908173 +26375654 +709791358 +949874151 +376000778 +679029826 +1575645454 +1288659997 +402373139 +1524261553 +515622519 +83895525 +1630829738 +114798279 +1778888884 +1643466375 +1090528251 +665457838 +72505947 +372543530 +890114142 +1036577962 +755751101 +1865186819 +413055726 +721135469 +1860069609 +2122413381 +1933766474 +1713022664 +597343672 +378190999 +1739398319 +1307135030 +1328065151 +2115399097 +1986164857 +756226957 +1256575446 +241054348 +133004862 +1772197965 +324949873 +1763834600 +1886996245 +2103838757 +1259817327 +830040848 +621812947 +1332323275 +1202584378 +1511927089 +221417589 +1958335480 +1229630261 +634473315 +531987301 +942216222 +609403048 +318270127 +507755238 +1206746720 +696461126 +99669909 +366398103 +2024526277 +67585358 +205079312 +633269587 +1324160805 +446133660 +766274449 +948875122 +771083533 +382625402 +688387719 +727438642 +1642442729 +1518428567 +1349251589 +827282356 +573529298 +713695030 +1048699945 +384381130 +1943325291 +1683173260 +916368431 +738057865 +145092660 +1234638558 +1245813104 +1351839381 +1931099684 +1345483013 +1718237484 +1808142314 +1413068372 +1923316796 +293928253 +589745529 +221966808 +1060202702 +1538620651 +993050341 +1442828104 +79524723 +1720488983 +937787186 +1597953290 +922256924 +1765069542 +23998940 +1635951954 +666285840 +408380070 +1431793598 +201975452 +1324748501 +22367815 +347068113 +411903411 +1268180919 +1698907494 +195519448 +466180285 +1269661330 +2003661762 +1879248657 +1045494478 +150106367 +321510538 +1267461286 +1210309069 +1860131189 +113027979 +505653526 +1939655912 +1833516962 +1443440712 +1390125555 +608290238 +1061026606 +1414124495 +96758544 +1727312446 +1822504566 +1528552142 +1929287899 +999769419 +1550919958 +128872364 +1411672831 +671617229 +1827779858 +1607192279 +1137797514 +949957540 +1463370393 +869562523 +1995452018 +1613476760 +1191073061 +1115429656 +676302181 +903720603 +1228457635 +1181955707 +695892867 +914490949 +477912771 +2086018422 +1522781187 +1538939378 +1352659270 +1619539731 +1118768176 +1027680188 +1000608226 +900572427 +2027449607 +404044536 +1029444791 +1291638790 +1075661765 +709741001 +751347421 +65975632 +1659698541 +67234166 +935538155 +1507666911 +1680710926 +2126611217 +475612919 +209529460 +882848172 +1704070554 +1391485167 +1578741039 +471077855 +1869397939 +1517275814 +1993859042 +1260853669 +722451436 +1465915126 +232138197 +1750131624 +319039704 +1132710625 +1630097583 +723084240 +14671768 +774252726 +1798746005 +724412770 +1525600147 +1864721637 +236627663 +1592834314 +652776145 +1744294575 +1126061592 +631903714 +72423846 +1335591052 +1514751886 +1776494401 +579592572 +946009277 +100088608 +301506863 +315801443 +2093947651 +1562360532 +1038252879 +1412379129 +1794498729 +640900855 +1731418833 +779725706 +123514791 +307019425 +794397475 +897767517 +2105765430 +1518810245 +275884016 +1823003420 +1755437908 +1868718330 +328295917 +1352248835 +847296275 +960199631 +1424672682 +35403679 +327467869 +1053683435 +614996251 +1273477146 +1153772043 +916503114 +1589278590 +1100236046 +331379998 +480047821 +365131527 +2125878728 +1120948677 +2096550360 +758120786 +1244463468 +256086137 +1552518261 +2142230985 +214367920 +923844858 +270631353 +2037371340 +531799119 +2139349684 +218183609 +1884047954 +839162311 +1178383240 +1161236988 +874565990 +1505851109 +67436775 +1489562242 +631844607 +1221208819 +258581708 +73639549 +173961217 +589961707 +553687371 +539092745 +568356787 +1674636048 +488159457 +1326477573 +771615868 +744245595 +731512187 +766363205 +958613515 +1655357045 +1036994558 +848501207 +39672516 +1028860594 +1066684816 +1923720471 +1868022905 +97584408 +937473811 +595105248 +1603435517 +1004910587 +2084667490 +87796476 +78635758 +195765550 +161436026 +252596975 +785727257 +715123397 +791689720 +1354084044 +242275797 +1279849178 +533077970 +1013891665 +2024094773 +1264590157 +1780254870 +835224640 +772463554 +669765780 +1683725847 +812136071 +1698626375 +602927015 +588372894 +1419165632 +700511423 +1525846705 +2014270880 +156463292 +383273644 +1951454722 +244259768 +461909402 +2147220273 +405695794 +714506378 +785463882 +1120819191 +1506196098 +2139547927 +1363094988 +638561628 +525142249 +229503005 +515172753 +1789732406 +2009757875 +1350397393 +414712312 +532040008 +886639592 +1226848383 +83182735 +1489566607 +1815221277 +1502348367 +42594382 +1193584335 +1369135600 +199057674 +1576857979 +1173106674 +443317443 +2038767382 +1172843299 +849013237 +605790112 +1958307182 +1969832429 +2111986210 +1950371461 +1185443769 +603064191 +328030062 +1414946775 +1118236944 +2117762468 +1277221002 +321150690 +384991132 +1809261010 +1207790282 +1611839516 +1892443745 +549873242 +1279577145 +1247308465 +592467624 +325677832 +468960417 +791525299 +1902535812 +1642067091 +1234842742 +1793819546 +667426743 +2083855979 +252126010 +478250277 +1906204760 +216628572 +281138090 +944164882 +819692763 +609168152 +211628009 +1937929708 +579446972 +1488849011 +111596750 +964438104 +1150626374 +1319387032 +428793972 +895586471 +1869260274 +1708371118 +2142894936 +314244251 +2034048950 +464371705 +1105769550 +1789101114 +2106438797 +193128644 +1435437012 +626381892 +129500975 +1687563022 +1104632169 +2035705736 +1904191595 +1385770259 +832386970 +576400710 +1994938411 +1044014979 +366846770 +426901735 +385380342 +478443520 +1391339839 +1536006716 +1797830553 +1820133812 +284109540 +1519607179 +1381021282 +279520828 +1833851430 +1267586584 +743892534 +792137332 +909204051 +702847683 +985265976 +197157415 +1329229575 +1114766952 +1884720438 +286378096 +1002989040 +1641428385 +1672148355 +1835376010 +70345447 +1519603118 +731907341 +437192218 +1946504853 +1117287683 +915635738 +1190361044 +505810752 +565982643 +863011208 +789920292 +2085589823 +96548842 +1069441120 +1771957605 +1364135427 +1813333654 +416611290 +125855830 +368697689 +1401877266 +323013245 +1697927264 +369160570 +60250035 +1984305360 +1372149610 +1701678420 +1508970067 +1060041972 +1772023868 +881089537 +1791949313 +61732438 +680110742 +761753349 +977368176 +1870471787 +1267564101 +1543350820 +585999347 +2057484393 +1481456995 +682548190 +979441865 +1105930952 +2046683617 +645291872 +1522542242 +25055799 +1013989561 +776935861 +348069044 +564433178 +1146096431 +408319080 +401254890 +370762394 +2109997500 +1910224958 +1430804366 +1734537720 +643830847 +1075270032 +1796270158 +1323941590 +1837023381 +626154687 +1046929729 +957103834 +22021859 +1632929076 +867104579 +1503478854 +167993618 +1846546444 +461926158 +67193587 +344354668 +1984468401 +92249386 +1358344230 +613920614 +440318431 +1922777408 +1760017045 +848637511 +176548650 +2130779439 +811151363 +2086773608 +1414100158 +398205436 +583120808 +341886542 +46991946 +1907062398 +31426275 +673146633 +806508479 +988530109 +695168492 +291953907 +1855634688 +51163698 +459947526 +1554697484 +513089857 +527141113 +1899052153 +350074610 +619390500 +1109912735 +963995224 +1059708931 +885206495 +576528621 +1908346442 +1061755145 +559824413 +572014157 +1001045106 +1973924571 +970219593 +1584165914 +168327465 +1017211540 +1343744664 +199753740 +1690358173 +2769495 +1188283849 +238043018 +294723402 +896434889 +289206716 +754670928 +303648725 +802296573 +1281812042 +55217230 +1152371183 +1901202542 +1165129965 +2116366407 +813427825 +2050336460 +545411381 +574290619 +964607958 +1105235794 +1146304776 +1965653064 +931676717 +2116524370 +1402335330 +1100004182 +986252262 +598596346 +1299757922 +529126787 +601365841 +340558123 +767169805 +896089243 +1236993012 +1056376522 +1650760172 +1540641737 +1858673095 +785088566 +1595858968 +863560631 +538807460 +613505285 +832443390 +1352235285 +516358098 +1377854771 +1926525904 +1480966056 +335606917 +925347032 +1299135472 +1267283634 +894387754 +553987154 +219804168 +1880640016 +1152583500 +1519562090 +262283156 +1753949341 +1860120213 +1029452961 +502554936 +949629577 +2085829483 +5831460 +342787667 +1797018931 +790920026 +1938646635 +513095914 +1329727486 +404668272 +1345539304 +534479123 +921026370 +575910428 +313521379 +254508778 +911517345 +1238868412 +1553644250 +31317332 +2133256166 +2107631404 +251121500 +1866412535 +1112731256 +1770683591 +2128695691 +719196949 +1483320156 +1010665004 +1221751886 +285466086 +949010840 +1227583346 +628253753 +598546123 +2018503373 +419416740 +1111642037 +1200747211 +824085012 +309697693 +1735226335 +1745111383 +885608121 +2048747714 +1999620161 +1797125467 +1140132478 +1405780764 +1828442799 +1125904997 +1365928520 +2079564299 +844833884 +331176129 +1702764242 +826045927 +1050373078 +1038600751 +1836710931 +124641316 +1324066837 +638238123 +1352224663 +1952320590 +1236784246 +1223244388 +224253682 +200942635 +276507951 +1048338694 +510640329 +2011734286 +645966429 +1396248450 +1912998353 +498102943 +1045890269 +905647183 +1903883707 +726849420 +2031552180 +1122328579 +658930072 +728902416 +1453504708 +214210666 +1554948343 +356394139 +1252811417 +1244175627 +481035455 +429394606 +1882413750 +1833260118 +234231548 +971714349 +909020858 +458485230 +1172656984 +1185528810 +1506823925 +1683297313 +1049779448 +5306706 +932062116 +815294153 +503409649 +1977952385 +1720941337 +259809708 +557318158 +1605009869 +1382138288 +1216248230 +186428638 +688159348 +1430458896 +1741376981 +1044553487 +535786666 +838068960 +1525588943 +965181272 +572999063 +1211365413 +1199412821 +1544713412 +2120386272 +1657898051 +569886748 +1158431434 +1017238328 +105700414 +60727234 +1022545035 +1037762530 +876021388 +1525954684 +868231267 +449479077 +1785764393 +1425549425 +2054488946 +1020419033 +494314007 +93433936 +1708578381 +1924772904 +1834810918 +605648221 +313075922 +525396230 +2131237164 +1278257194 +1098395293 +1195118929 +330186367 +495625057 +1168021553 +1988084419 +1065511806 +178969339 +857839099 +1171212220 +239696574 +1880384134 +61491102 +1115717962 +1258855171 +929722369 +1565197039 +897135916 +207788147 +1472202337 +1917554949 +702102154 +1565636274 +1478649682 +479391410 +1252963544 +2084297903 +792467332 +1778359774 +2068051419 +2070724527 +729271420 +1115686701 +253427246 +1224896477 +136224606 +94028017 +142924635 +315193946 +951867117 +1314136855 +554890520 +684767603 +1375627957 +1670608482 +1943622774 +157866679 +1088321873 +693275042 +365654826 +413040562 +463346343 +1067756980 +1978676836 +1941996026 +1547148391 +1084156732 +1878810281 +192132075 +715032859 +1799378053 +115372954 +1444304279 +767581106 +368800201 +521717108 +903805712 +462828218 +664641744 +1218999658 +1414695335 +1978778599 +1773890178 +2099462939 +1206922909 +1297015012 +1895602065 +1364789588 +237853237 +441393460 +1730444414 +650893800 +904739803 +650717746 +482086988 +699252181 +50382489 +1566243721 +430578815 +242514565 +133792932 +82473220 +357887519 +1578097211 +850054326 +726687720 +2099814319 +1753860038 +1189515939 +616972415 +825376049 +456727626 +448267367 +451782579 +408706917 +1655190276 +1748797592 +156825335 +872496216 +1986650829 +598218795 +455456982 +490060981 +1502958598 +1106174728 +972147970 +54727132 +1156557218 +390908043 +485305947 +1399071783 +524700975 +567779167 +1756959302 +2102798186 +1417833493 +336163375 +2055128857 +1024209883 +1525679314 +524617625 +1849585932 +1982406940 +972884992 +153884864 +243630210 +480591620 +1902682456 +400455545 +1353087836 +1741849637 +998674340 +1808544818 +84426971 +354149290 +767235898 +1056574941 +408876422 +1923793116 +1447482984 +894182369 +1175381251 +1972183959 +1461961536 +784856906 +1927498497 +732311381 +1121020281 +1835143706 +1756521265 +499215947 +212277683 +1458623549 +334139239 +1185162675 +1612508413 +577769449 +1665754295 +1367707221 +978224994 +871358483 +962073211 +1976899334 +532419653 +1046500182 +183564977 +1299655552 +2103075123 +592441399 +1075965020 +1403074459 +1486623769 +103862624 +1227774770 +801101657 +888719530 +1007789619 +1533413039 +2009739811 +695449677 +1142450656 +361472110 +907727361 +453590557 +695611349 +2092890036 +2066098971 +1273380799 +1611160684 +1286322544 +104122145 +335035519 +100912107 +2081021480 +867455173 +1147412289 +117102809 +19627077 +1103003764 +709544208 +1095592097 +358594575 +48684329 +1199454721 +1586369345 +849785987 +2088174251 +446675316 +235715378 +1950430414 +1142124994 +1378166034 +164418876 +2049852355 +1831756591 +860030226 +1995258743 +1750371914 +2133411025 +1458935779 +889210811 +90049522 +1793971299 +990122918 +23587354 +513942824 +2137535208 +140690163 +533569901 +1093055324 +850234372 +1629161998 +1451649900 +898918701 +681133072 +890535597 +1748704688 +621823675 +1337210914 +1984420066 +424770442 +331852260 +1215102452 +589189318 +234220967 +899375396 +1449219544 +81996062 +502263662 +1435146921 +1540931842 +1391474473 +1525196444 +1187419493 +234113744 +1548783798 +1701362317 +224165304 +1689473962 +87448570 +1317220628 +392224686 +1716610568 +621386880 +1291143387 +250259992 +1511922478 +892364428 +872083668 +701649744 +729300846 +1296854110 +1033502004 +1944403299 +1886043428 +1267722971 +696295047 +1187779325 +1349719033 +1198558709 +475442598 +743167227 +442549535 +2000639042 +1930586720 +676663279 +1401939193 +1484465389 +900828583 +943929507 +1571913959 +70565563 +1336154193 +1141040880 +691952444 +479813932 +1391300872 +56391274 +1372178360 +115900892 +758041018 +2101479207 +1412755002 +1791543022 +1898398858 +1151314783 +911782345 +447210257 +191610460 +114017730 +1645768966 +667053058 +857184958 +2088318501 +520208453 +640288030 +617498132 +1922147646 +2124753420 +1518326715 +718593505 +1549183731 +1588892279 +2054747698 +542740963 +133361075 +387077982 +1934041836 +189752349 +1759256343 +2049942728 +947793367 +1713251902 +1315214083 +591852741 +1464167112 +319045218 +1503635086 +1911377369 +510655678 +1617652816 +1409662687 +1177708736 +327354126 +1350497541 +1697917189 +967642157 +1967995673 +1472581187 +944911929 +1338838741 +43691044 +346612012 +780247372 +2098438742 +889352976 +913608447 +338033077 +675911164 +1103360796 +2097289420 +578370244 +2051154163 +1663057674 +1893584327 +495523256 +979741138 +65145897 +1999158342 +743634859 +575801575 +1469327510 +5813898 +1753510312 +1796681637 +1356311439 +1303943853 +616840146 +1176823465 +629041393 +1561752075 +368178558 +672732437 +1908364087 +1148425930 +623687532 +650233415 +2062034377 +961720609 +1326144579 +1017911525 +911526381 +1904514824 +921582040 +427100407 +1650615503 +1417105296 +1406841545 +1715761401 +1268779990 +2992756 +144079328 +590623852 +8806654 +1897589640 +239821841 +1365118094 +1054049846 +856661987 +394457911 +1683091239 +270930414 +762636469 +208340028 +31810854 +1911062399 +832027560 +682044269 +1825613128 +1793748169 +2008188849 +696041005 +557790902 +1765220025 +1617623045 +984891309 +1268351880 +887244693 +244249206 +836629633 +8541035 +247241962 +980708962 +599164887 +256048617 +730814954 +838986729 +1621166711 +1784864800 +1695648716 +2015624622 +1320472391 +1966579131 +630777443 +1528812420 +1998389985 +394356194 +213356332 +532950606 +72485674 +2007104502 +393655807 +768526679 +417411756 +11392184 +238666076 +1402303066 +1279744065 +1125910769 +1646552272 +2116373698 +1134451804 +1893794235 +949599012 +1733616691 +2359204 +1680413967 +425119772 +1623525915 +1317795119 +2120768489 +1491666889 +490783863 +1939863972 +2122444332 +2019596283 +1790770309 +369316878 +85468967 +176237267 +441802552 +2092573469 +569893075 +1210329231 +362501578 +581285259 +1448995307 +1764804644 +1861029324 +427422428 +1263873268 +1829919375 +1561874232 +1010183855 +632034739 +1148007275 +1012543059 +164965058 +1573127048 +488585326 +1482760178 +1546411889 +1980252215 +1973544041 +1338792213 +1955212899 +1845656676 +982078874 +177046129 +1931125643 +1158316141 +618848681 +1876215465 +1728209216 +1829177912 +91233395 +162010828 +1130689571 +1856038039 +2023040152 +1558111999 +972427659 +1705475879 +972502583 +1982611515 +190026971 +2120509859 +847670926 +354992029 +1546153259 +1336256253 +1837752207 +945081500 +1169024820 +1663812600 +136390065 +976754072 +1361985628 +1118468939 +1153800201 +1145627624 +129301432 +1772648883 +874359441 +1857510649 +1454343147 +965592836 +2019521477 +437549071 +674147227 +1895077981 +1995661070 +1646574886 +1453070213 +820680006 +1481702753 +1643097184 +793706217 +181890032 +1998089213 +192375828 +1518146285 +1688357773 +1137457328 +539687457 +1204686725 +1273847393 +1516441529 +419188706 +244832684 +522758083 +1564816330 +374134116 +147923318 +291692123 +84161117 +1602266465 +1257284959 +2103682594 +2039815536 +1931432186 +1851276928 +1887992959 +1430523424 +1156863493 +561189317 +764742530 +652477029 +1354895534 +946632562 +503082594 +1547271362 +317295199 +43956719 +537245042 +856982656 +1248643445 +1811092435 +225940538 +1667832151 +2055925119 +748698621 +1085164833 +282575587 +896621939 +1376856956 +366736705 +351404756 +486658267 +322935651 +243736645 +270606805 +26728931 +2131729604 +1701130229 +1183592424 +545435273 +318389111 +1836069453 +1900330807 +1265021673 +191668400 +1300118521 +1582316872 +235625119 +1837363563 +291815881 +1484268564 +1500972350 +517756419 +1004617067 +1409413821 +1266455040 +2089781900 +1691989408 +15593331 +1319155208 +2058726113 +366998087 +1805813475 +234178117 +610734732 +2076420280 +260907048 +594980688 +1630066862 +1444499473 +1140415961 +1948455973 +1133085278 +893263120 +1065993999 +1324753678 +45897993 +500827223 +1560378798 +1883261556 +792643104 +897163714 +1236750258 +1310399523 +1901780782 +498680431 +429370915 +1844079034 +43186192 +444964246 +1015750595 +2101912305 +811962334 +674080422 +188606774 +1422697066 +603017055 +449513823 +2017677755 +85600269 +1894013296 +1010610068 +2034056242 +879614926 +1903873189 +952566593 +56884957 +1949771182 +1453393817 +1617263755 +1685549091 +98553273 +366943821 +774815701 +1408952797 +121240955 +1273496133 +1838323712 +1965319990 +1316682325 +135804311 +833586937 +1271110982 +947766645 +1507667359 +1459717757 +222980063 +2110684414 +1909231580 +93174170 +48801035 +1655761228 +1103784239 +2082857278 +387892506 +860173780 +887940223 +444777463 +662461314 +193850392 +2062041218 +200526757 +292403666 +281501392 +975342459 +1701356463 +402742347 +101354944 +1392196527 +220578689 +1418037269 +1528000838 +1054165626 +541664603 +328283835 +414349338 +2001382360 +551263899 +377550104 +1763130292 +644438069 +426351140 +1271407872 +1748222308 +361724770 +1659300379 +460912440 +1249664993 +2104077842 +1123373755 +1443515386 +2018635413 +1323900512 +1735919052 +152653157 +151759323 +1289791867 +555395504 +253114267 +534504746 +775974194 +1671151536 +2062505585 +1830139820 +65332492 +243305772 +97005510 +2066714852 +794569671 +474555615 +1682361497 +1439007741 +900906755 +806285721 +1039746401 +1262631525 +318102452 +1500658842 +364812870 +274696647 +476548949 +1808328256 +145848412 +1800449461 +1396763660 +298501569 +1952208785 +539071879 +853897073 +57839404 +1073576626 +1629871267 +1728990941 +988598563 +1312527440 +1794323433 +1231904335 +1409532950 +1713554637 +2026474007 +1884088565 +1248432486 +1317998100 +637511672 +2054718208 +210260853 +1900143197 +225337012 +1710919695 +117472420 +500033659 +39984996 +1925800676 +645882071 +1840434458 +1175080689 +944383640 +1645159595 +1714152568 +1798280714 +1702998999 +640245546 +1280668333 +1284506292 +1628844109 +445712125 +931346077 +713264797 +1855245076 +497417067 +592255156 +1591849993 +1745849553 +1910253256 +81878018 +1653084113 +2120514109 +1982021215 +1878421126 +1683950157 +2099493635 +230971137 +1723935153 +1877810664 +876853209 +1416885963 +905407705 +1821236849 +914561910 +472076625 +1472033915 +470077262 +1112322172 +605218601 +1754583554 +593682633 +1050930726 +538445984 +1306947430 +758692154 +1035863051 +1899202586 +203058500 +634228956 +1661972194 +284936518 +139829422 +1635002656 +119474085 +2018250548 +1171469165 +71484073 +101738037 +747920670 +1949294737 +978591246 +17322986 +707218794 +652344448 +931884896 +1179295419 +2124378363 +1401962158 +144133943 +582113316 +1009062065 +737816577 +1633044043 +1547508049 +2044764007 +244252549 +435887452 +1796482946 +447311049 +1070116408 +1310971492 +732247567 +1209945830 +798490500 +851721653 +1080712730 +1969959665 +923205726 +1182450768 +570396688 +725016815 +13558366 +587719674 +1432235609 +665902814 +1519604570 +464047380 +642797530 +774083081 +608181324 +1224910846 +1783145146 +1345997901 +710471241 +1183169547 +1243278260 +954723791 +1619056999 +892277558 +1402034840 +541689759 +55765403 +2134282408 +1751635590 +854255903 +838520413 +684864672 +676731921 +1761726139 +1867315440 +1247128609 +339259306 +1880873807 +1834848283 +1771494915 +399292973 +1206969205 +88058647 +1042090503 +1981052286 +696239971 +119517702 +1616713784 +2042237872 +829988943 +652399683 +1138032485 +1784712734 +123973034 +2030310043 +1039263927 +665662794 +2086075446 +1026062687 +269814736 +792847702 +1864583100 +954679408 +1469579623 +1478825591 +674511201 +569224584 +1818084897 +407901360 +256589219 +1442096164 +807194333 +1463558424 +1530154811 +1849284837 +1297127063 +78911135 +1968802539 +766357199 +2121149007 +651307834 +1418756883 +1111697844 +288536921 +1542729917 +994524240 +1327800848 +60909063 +933116038 +206379887 +330723799 +1725963740 +2070962987 +1285403208 +1048059715 +1402304930 +1959914409 +1617284299 +1072906179 +220332121 +1873873518 +367518695 +1027526454 +1189948295 +1897673506 +729327643 +339591710 +1976584641 +550646534 +1105948909 +1950250001 +1201954369 +377222144 +914464197 +1490491290 +1919952062 +1908988437 +670808490 +1980861125 +694620828 +877188377 +164101277 +273100920 +800667716 +1449504485 +1321160636 +55488998 +1261935246 +790961287 +1128395177 +1482267367 +517351158 +1495913872 +362310173 +1707299453 +1246103730 +1091637817 +2046891163 +1075204724 +1642284351 +1005356424 +877971077 +696755072 +1382578569 +1792435274 +39762714 +1155046983 +1553940064 +710571204 +988424460 +101077244 +1587759581 +1152525737 +374178164 +240943649 +454546574 +1695338800 +296432647 +1716481820 +338816440 +1424827824 +1051265539 +856167598 +773258048 +1413575713 +415983403 +2019361779 +357729882 +315390918 +947082855 +2000014233 +1320747342 +1825053932 +549285658 +555842263 +1470005558 +589048372 +1710889246 +876461974 +1299619577 +551830059 +977539218 +739895510 +1704355796 +1351717383 +980839160 +11418723 +899572535 +1277271807 +1727900543 +1238388975 +554615984 +631682435 +2094556573 +1327874032 +2045258148 +363056328 +1199752163 +255504382 +678447246 +2146835018 +108034967 +1999194589 +1824405302 +657320625 +407553204 +1146927213 +1246368998 +2118442451 +2023389187 +398504927 +522788862 +853444758 +1138400437 +79661010 +57678493 +2119239597 +91079733 +957251028 +1249027757 +1818980277 +48156356 +1803643741 +303179064 +2142712929 +984034125 +200953564 +358285610 +36302641 +456457946 +1036732856 +35654011 +564492913 +888443797 +1860059314 +1221813539 +1295997002 +859502879 +320698889 +1266955805 +735408418 +719203816 +1789744667 +1588853176 +1857604253 +1869405677 +1646531669 +1829360203 +1960485411 +456299050 +930904312 +1631982040 +504455406 +587064405 +1935161104 +499684687 +1571098530 +2136114668 +857970297 +1607401171 +445088966 +1894703154 +1643055183 +1009581879 +635663303 +1355630849 +83911770 +1931660305 +67650080 +404610659 +1051132462 +803058498 +1123814475 +693393481 +244428027 +833935081 +415315511 +1890959696 +515811636 +228317274 +199775098 +1446715948 +1860299314 +704230504 +2033780353 +1647976770 +1203915192 +1457395235 +1636607790 +2061885489 +917312759 +2081696756 +1809104995 +412884294 +943794987 +297284651 +1768515143 +1027706758 +81461308 +1836165223 +1432317417 +1132593771 +491740073 +408648245 +1825987252 +736168100 +1242583326 +93819115 +479644149 +1758394962 +322136389 +679419247 +1057627262 +34952055 +1383649752 +943923967 +1682928825 +440081296 +253835554 +1172052967 +354483137 +1171148313 +1106266075 +16104485 +1584032607 +2050061063 +313389136 +1205064102 +930284173 +394850444 +893745677 +215117942 +1527444215 +1385485751 +623766187 +1205947820 +2121653851 +1866349513 +1299766935 +453814352 +1477260827 +1621903325 +1133233600 +387404441 +1656855380 +369399704 +1331328408 +1192300558 +809481000 +1585163963 +216869877 +1163964137 +608828628 +1323135953 +1180068622 +45377588 +1225713368 +1493457758 +1250441690 +8513893 +1888308203 +2144187368 +223631835 +1268268770 +1382189471 +847398023 +326732942 +1356359674 +566263888 +1626499878 +1810174027 +2043524716 +1100919555 +795923979 +283445509 +610291287 +1165323683 +1614773918 +1802591845 +1974804683 +1052454233 +2019461723 +991285172 +1661282861 +1195114028 +23870147 +1706660449 +273343748 +1517327905 +809618492 +281857641 +1258152460 +806322212 +505489476 +378937583 +41028035 +1352887499 +705670525 +1397387709 +1919151388 +184686755 +1060078088 +1815192456 +1285606310 +1856002067 +2098637965 +1895897598 +873842102 +1565928235 +1551005795 +701163137 +470898820 +1422983870 +1692448310 +2132181682 +470614250 +1716318457 +1691358483 +743957998 +1086162714 +353493327 +1025815639 +196831527 +1159815539 +1531305116 +575769110 +1200843574 +736708967 +1281439635 +450747636 +508376707 +1466126391 +1510825724 +176085515 +604249053 +1219344144 +127239833 +352663003 +2093186246 +1693168068 +1903668799 +646865736 +16583241 +1179169021 +191830398 +1281275 +1649783272 +1908148855 +1692639758 +246257622 +846827921 +2046133086 +1272073262 +1043659448 +1058464977 +655894730 +1619428558 +111824904 +1392603697 +753384546 +562572540 +1900980405 +72027289 +2073398264 +2077065920 +676276342 +1145258760 +56822105 +1028939346 +1090961359 +1749990174 +785124497 +1737827095 +1766573415 +1964293518 +1929657493 +1767854690 +1466593142 +1690322700 +1313010800 +1712850765 +389666973 +1211660238 +837440379 +1433326422 +122641568 +1493335109 +905271332 +234466472 +738455158 +1658655878 +797039012 +491951915 +1730683167 +722953628 +421534188 +259475862 +1868212389 +478356293 +1288415208 +811690100 +80862819 +2073539705 +402033547 +1847436234 +1890349575 +184207392 +1467807276 +1209459070 +1874530092 +633334429 +774826187 +116713417 +1844994667 +1612266566 +1550039839 +1967636235 +958118027 +307827524 +54619059 +1696573185 +1966483402 +851658071 +41041453 +1549682922 +1574611700 +462575641 +1809158784 +1295340441 +940931934 +950090344 +2107030541 +1021794754 +876146401 +361580440 +721747340 +619012328 +545787832 +42070969 +1828471398 +272834276 +675405398 +455813937 +389547693 +372916417 +2068080503 +1939587533 +193069005 +878714882 +99931409 +247688064 +427804420 +2066414811 +1099346136 +468845873 +1468614085 +526474188 +931421514 +1130289221 +1821814629 +1872353448 +2080379565 +1781361522 +746664554 +809042318 +2142941962 +1468411895 +1428054647 +541246146 +1510482864 +1109042397 +814080422 +38404614 +1564856335 +1203628115 +411321031 +1485453190 +995732000 +604390036 +216684425 +1095663409 +852078101 +644488845 +1014594573 +1951424237 +1113334718 +335725010 +330414777 +2044756232 +1466014232 +4745758 +1769626032 +1398910149 +1786107280 +368806939 +60468820 +1781565594 +1837218834 +1488523467 +175328092 +1200218050 +450082216 +989408514 +1238622664 +2014938551 +45552981 +1649943695 +1352908094 +1041284982 +106850084 +1569592519 +2136948391 +958928185 +66597716 +1004059316 +762868774 +1179932434 +1339784327 +1093283551 +1077205018 +658314911 +1098029309 +699347402 +2057225060 +736652941 +1068154341 +2117693880 +370734887 +757889527 +1458733699 +546062979 +1958107577 +1908815916 +1535471493 +1049246593 +1776270819 +1581024474 +551706641 +981695265 +474825808 +658556725 +403804136 +464290552 +1617484910 +470401852 +1468349868 +232870036 +1650334286 +660650547 +1326153587 +580055656 +1318965458 +276699248 +1279403059 +1228706871 +1013352189 +200073752 +1198917103 +1384087076 +957963280 +510167155 +1930150055 +768587209 +271499423 +1318137900 +1817833803 +2047770242 +751678726 +222056796 +881981860 +1226504535 +880613521 +1285785996 +1690795087 +350614783 +1756187849 +1011661307 +583484819 +1259038487 +1672311855 +1909638406 +1839094144 +843793665 +38854006 +971013555 +2072500536 +1052206195 +1171087307 +1123933992 +288809623 +2129050587 +1634101147 +71476030 +750154149 +1905600570 +1389613930 +420504304 +1805887164 +2141292656 +642561100 +540385376 +1220313543 +1523174621 +1826171373 +763624982 +1873789404 +1434875574 +1775286290 +309790575 +546430413 +1300114497 +71945333 +238040909 +2143908162 +110799339 +1209054464 +2068925051 +1163005534 +232658124 +1045375395 +1451815157 +214225063 +531992894 +1523291187 +964379212 +290109816 +765421469 +1384883516 +2095996980 +759230477 +2027444616 +488898709 +1979544021 +1403135589 +167586434 +595685355 +1129441345 +1602462008 +223487997 +1439231920 +1408773 +1523602494 +1511177253 +239449683 +1520027009 +1621976592 +1448504147 +1441468412 +637498478 +1681162271 +339360159 +2089313635 +1895387335 +871353053 +1465121174 +712282899 +1161462869 +83058995 +2097166416 +1109976201 +842289473 +1977127384 +1598874910 +674349846 +1232779326 +1766461344 +1270035201 +214737023 +1221439704 +1493523199 +1653968944 +1222848478 +869642045 +1017662549 +1462298161 +242185406 +492155494 +763318660 +1683653818 +1129653972 +296997284 +2023013977 +1071483960 +44900971 +746883382 +389121486 +757183870 +1908346251 +472180482 +706866638 +870838805 +1314469955 +536510375 +322230067 +1988819801 +1769289701 +2088691412 +1111371354 +1984026724 +1162647468 +457410905 +1490512020 +238012298 +1327052951 +360690922 +1700310459 +1569238357 +852846416 +316145472 +1105408528 +1982500388 +613142756 +980938857 +906500700 +658043727 +1727822240 +1295622187 +1415227597 +1488684843 +1767802669 +2122094236 +212040000 +934788976 +511120963 +534270068 +776125129 +132927016 +475477832 +1887496483 +2116953740 +1638125300 +197423741 +1459982113 +1876137599 +1524476692 +1820673035 +1428964410 +946231401 +526035803 +1745109882 +2051639929 +361052543 +210768990 +885095139 +1267553244 +868812717 +465433731 +415691783 +136556667 +1954118574 +36010804 +111167255 +18674927 +970799780 +622288218 +552944995 +1746924909 +755215234 +1028422827 +1486937744 +724685326 +519064479 +1684361485 +37183791 +247718430 +1061354529 +1857856826 +1676682841 +2007585931 +236408981 +1274309075 +1911742212 +597461525 +1485078066 +649353703 +1865014769 +206407135 +1114787434 +133222904 +342963802 +921422361 +169233708 +454131057 +940097288 +1140033488 +1076419275 +1493042283 +739474749 +1831634509 +373981462 +78928845 +408836188 +893045941 +1763290331 +446019979 +1140764372 +677161212 +156393158 +669963565 +537263495 +392802139 +1944272640 +301522060 +990263664 +1281867058 +950875763 +707794785 +1488274194 +2065663198 +841017689 +1831237996 +839601911 +1010251397 +137885406 +1779699199 +2801237 +1214304681 +1125257834 +742275986 +898455543 +1499239296 +821204832 +1307291731 +244801589 +437011515 +1753311710 +1385565961 +1114172727 +1909704868 +2055529526 +1651436223 +155023360 +1852318519 +1952958283 +1145287024 +986701929 +756350398 +1853081810 +327492475 +674529948 +546615851 +11246824 +1514131859 +1556867249 +149132230 +1146347410 +1559668486 +1363436911 +124121596 +154460825 +114408806 +1623360892 +975665657 +1421700537 +1868162482 +1412677172 +1027528600 +1106244795 +379366251 +789749820 +1014290674 +2030802474 +944773180 +719125545 +1836277109 +2090060205 +1705827474 +445143860 +1795658367 +2033319950 +1119673808 +194790570 +2044566774 +486322020 +1751657819 +46215356 +1632669430 +1163842658 +1409652267 +1756791027 +1318303483 +1524061074 +1232668271 +146485492 +798277963 +953347105 +1559162664 +1825806563 +2059591901 +1938528915 +468072736 +926398927 +1821847742 +1412845916 +1645524472 +1510641203 +1355422473 +1203868298 +1955785063 +1003597192 +1089704600 +927975224 +1198387763 +986787726 +1414297244 +802561934 +1033003082 +899483026 +1966404592 +295171702 +508790405 +1137224427 +1819232776 +1741458677 +1283709919 +470027091 +547322134 +695388935 +148350007 +459430387 +486434203 +616422743 +1385829314 +160798297 +2029268659 +883870138 +1671439500 +1237207485 +2087738437 +1479740916 +93321029 +1029959389 +260232492 +1291708792 +2016747116 +1674529736 +2094270727 +902266550 +426529114 +1913191671 +1197438252 +935319520 +902932451 +869187380 +529294549 +39158722 +1339214472 +1076616683 +734547658 +1487564479 +1536047071 +1220981861 +2103987222 +774392737 +1381780158 +1985772233 +1658262876 +905736010 +1075496070 +1598517665 +237993278 +1168817100 +480993406 +498225770 +313042244 +350256874 +25271858 +259829323 +1252523425 +451800973 +25537347 +302478029 +1387120493 +928469798 +1171665410 +1916415042 +967628520 +363396234 +845548077 +1702176178 +1850960713 +234111500 +775674391 +1807464287 +1008504238 +9970901 +1645752872 +519283466 +915706912 +573765295 +2117801131 +1153700190 +1742582395 +451310889 +1651925961 +2055624639 +801567764 +1677197819 +167970315 +2054091189 +2128998792 +193507662 +209085570 +1368635637 +1121977460 +1380750980 +1137567031 +2089605980 +1744147214 +1983115109 +1644298511 +1447624279 +69742961 +272489254 +1107604918 +1078247199 +282460156 +605874143 +1597530665 +1198167068 +1179639438 +1567848148 +204383610 +774738185 +2019159038 +1856309571 +682879176 +673243154 +1386023743 +850849491 +579850695 +1367538887 +1044357153 +788936265 +588690877 +18850965 +22203598 +1726257908 +2108456946 +1766350812 +1561889369 +1605271809 +1066491444 +1631632331 +1877761063 +26612714 +562395882 +12737571 +632486857 +12442900 +1210904639 +1812126295 +1580291048 +1415288250 +439380832 +1451966438 +1124114173 +1122260009 +2125209592 +362654268 +1973109500 +557576639 +1730193156 +869983006 +1346512905 +171400385 +888833971 +1368716503 +1897658293 +849807269 +987583667 +1312064015 +307595430 +2054075111 +796212698 +37872846 +2080687826 +1358608580 +50610417 +565691035 +1371051480 +1261515057 +230333683 +803858881 +529319659 +669714515 +108341671 +1653433832 +1791974524 +86067616 +2016088101 +1617600377 +643644255 +1598797609 +340099735 +1990157160 +1770197994 +1228933706 +1211390015 +1520372639 +2078740976 +51490035 +684953006 +238852758 +2105565146 +1481165704 +276725604 +2038769324 +692290637 +327336022 +456976712 +2063342117 +1588851079 +687310395 +719717350 +2118170738 +1357024910 +828059022 +1624120922 +1001515787 +914126638 +1492725375 +471632516 +1557770893 +944039336 +811732251 +1400444406 +566753682 +2040665957 +464350773 +2087126322 +1971923285 +515840808 +624595680 +63292396 +473922307 +2105761385 +340018000 +365207983 +650568374 +667354022 +822184695 +566426843 +108721453 +1509495090 +1286144194 +79408543 +719036353 +2114203216 +1703529466 +1720552140 +880846206 +1048771193 +44701008 +291133451 +1992810530 +856433259 +1691577857 +412080564 +749615568 +8444983 +351723238 +574055206 +524285791 +976318919 +637347602 +998208098 +934596656 +977365602 +1363416082 +1585165030 +1644719625 +38117129 +4108225 +1753441078 +1547612220 +1290252419 +1832849622 +119164925 +1256971987 +1388895440 +1839717065 +2137818193 +290182985 +1884418073 +281467997 +135509867 +593367684 +1973045854 +547590432 +1342983252 +1981490837 +899313670 +1917038458 +358292981 +1875632589 +406902412 +1356501079 +662745597 +1384268015 +572433513 +100426979 +881503992 +610550643 +104535205 +487461422 +10679215 +1394787624 +172827396 +129844140 +504275964 +1561722836 +1969561205 +494610509 +1851905822 +1706495630 +776078506 +1987415689 +152379666 +601640713 +387522473 +1495362918 +435647902 +1286836144 +1264917729 +793940883 +1014985085 +1671820141 +2958315 +1677730683 +908604508 +575391828 +1778157662 +1790108500 +1185942471 +1882692867 +130086275 +1196621686 +1129996844 +302913671 +1326465826 +1634272808 +1864636508 +1148543383 +2128883317 +1569058682 +707555365 +757478176 +1408990723 +859935031 +1359118889 +1796513197 +207814302 +1794766791 +935865693 +1472732031 +441224027 +1950850778 +997068524 +444182342 +1481097813 +1905673033 +1019574170 +1111771828 +1548297885 +58032994 +846981047 +1678384160 +1254654680 +1976977891 +1981297832 +433636859 +1463767051 +1698450692 +1582180242 +1445166721 +1120025726 +142251960 +55161249 +381532801 +1002186991 +1414280138 +30562350 +1210001293 +1061563281 +966428043 +535249676 +1502787308 +769795174 +1532318201 +1946969650 +103409339 +1290507586 +819060173 +1215181167 +691321823 +877093167 +2062162215 +222222336 +2131747847 +1891656458 +56036520 +417901058 +1207939862 +1754487212 +2000081301 +505622935 +727029290 +2142333261 +560784184 +1108562091 +997036604 +1975064322 +1139124442 +59554250 +889143955 +2105552485 +594803926 +244447616 +727864011 +2127122127 +43933618 +831273351 +1270146065 +862993791 +2046454518 +1961467889 +1740086958 +1961133085 +36206577 +1724351158 +1705305896 +92243097 +2142252216 +765762110 +1846730309 +1994849869 +1271385045 +426275951 +1989699482 +1832169229 +1534838042 +839252439 +1659749903 +526478836 +898806689 +401410210 +484547674 +1493610615 +645857826 +1212411685 +1473249095 +689791445 +2043685036 +595911512 +1552785236 +1942655907 +409895753 +1145388547 +1756305344 +446102330 +722256057 +1314127592 +538345427 +717024625 +2079889702 +237592088 +564390847 +1203791099 +663868039 +406606681 +888476680 +51222434 +1245859120 +400742935 +577701270 +2144665809 +802153146 +1062248944 +1490792777 +1448010972 +127176982 +816558224 +2137802417 +23378370 +1412469736 +1543104006 +1966034277 +1822365490 +541008905 +1574855974 +120984172 +1263264962 +741499918 +659329600 +1980289587 +673905973 +896921688 +397196786 +1877697072 +1560789728 +803803468 +618690105 +1612012162 +2049662588 +1019433040 +42229784 +2046844750 +1821586186 +1104478729 +1390153879 +1122113511 +1231655711 +59228455 +1112432280 +1255034081 +1471698191 +508052638 +1073584711 +1146580033 +1049061543 +500957037 +1267564206 +164842857 +1242456955 +1926893806 +2145132445 +1916362928 +676331846 +394845583 +1646576353 +89637926 +1198649051 +117782810 +1701650088 +1100827992 +1137215850 +1743879873 +1000189094 +811318389 +700874954 +242859325 +1933431900 +1932530665 +302087780 +898380532 +1040081098 +1773785971 +1406433171 +2113665809 +772882357 +308011066 +467139198 +2040446563 +472853924 +1709596154 +1819856721 +470502721 +1478475434 +348704919 +865348304 +977568139 +438342846 +2063997356 +1095350949 +2139992934 +1017341700 +85083152 +1736389159 +2017530794 +896401541 +289780465 +112906471 +682349793 +74827482 +414994251 +1580730325 +1114908581 +41296574 +839679848 +1081090742 +814178931 +1147690915 +1548229941 +707141846 +1620544839 +1110342447 +379514919 +2091047560 +441334233 +728219839 +808912216 +1418902373 +1166562685 +725425924 +366769674 +1159071971 +1742767624 +451852826 +747977483 +1612814770 +1348254367 +1037757948 +1725721241 +2030604160 +1112585431 +2140715492 +1463850838 +80010364 +34528419 +156047038 +1161101106 +848707350 +1303737953 +561847399 +1555849197 +776799144 +1672189846 +1935364116 +720363056 +2113524080 +516100307 +1529275273 +1384942805 +1682662992 +107217549 +1751712479 +694251316 +1849985174 +56081658 +1442228799 +1315316296 +1404336025 +332503099 +893553890 +1287456538 +1445088530 +886785734 +603823728 +1525098894 +921314153 +759870766 +538716353 +1770021504 +2063608720 +1100563752 +1178387053 +692924216 +625269951 +966267521 +1413287273 +591310383 +1482367829 +795078898 +1976253188 +1017547173 +902296447 +1580482019 +1711798489 +604797973 +1636563677 +1006543640 +1920114270 +893416055 +1339046740 +666184512 +33388945 +636651622 +1552970246 +637212673 +14266869 +326800752 +1397083439 +552983222 +2096822256 +1313208511 +1653546974 +1127725661 +2006132728 +131333277 +2093993182 +1271936353 +722643660 +1428877363 +2067015251 +551413200 +298940889 +821828050 +2131895220 +2010739378 +1426626024 +1620975249 +869799371 +1199256646 +366907656 +61362463 +1865441158 +400296601 +698014085 +1270927756 +1037509274 +712280954 +1597728508 +287109066 +1265264176 +1547067116 +1600317577 +771327503 +527309129 +1458966657 +902660780 +473818664 +583419362 +1625304441 +1902696027 +502950965 +29233993 +54153268 +1324779016 +13645565 +2064892647 +603921392 +1634620815 +787208370 +1803178038 +2001528471 +848570833 +1521135548 +254341425 +1546584918 +644579656 +1291850699 +111382225 +94824517 +1578959765 +1376646401 +1641891633 +1031793695 +490256 +21717115 +343276704 +903151037 +495535779 +926696067 +380971830 +250748158 +1429647032 +410205823 +304901427 +606942400 +423851389 +222310426 +1210863792 +2058472204 +1009518796 +866558182 +1912517027 +1858089629 +240210082 +19374804 +1257190899 +884789739 +1311225504 +1368573124 +979614256 +742701621 +597735878 +474022241 +1774495316 +598226134 +495739356 +2117772021 +1501377171 +991275135 +896984440 +1882349001 +1242023294 +179147824 +145071177 +1546924721 +786090225 +568922566 +1769235147 +1996954017 +479911122 +631270295 +716028552 +244944501 +341876276 +956238634 +264319306 +1599067175 +1841028373 +1575544810 +820156652 +673158981 +170762783 +1417892530 +1147181223 +1945258100 +2016118664 +1642920579 +1915546473 +1370012188 +486712067 +665047265 +1104877541 +1728735361 +844195089 +1249948718 +1128176434 +1630285314 +1818871284 +749927933 +1479755684 +151298758 +1381198228 +48300588 +396243260 +1723074504 +1004539222 +660562566 +1174658031 +698083948 +88623728 +1994814683 +1371242929 +259386511 +1265223565 +370940504 +57160963 +1133858582 +2013861084 +1972707436 +356387122 +353089503 +490271053 +1461264663 +2081824864 +1334466143 +563729734 +1062517650 +817267809 +235117370 +1812445583 +149539845 +386416129 +1046160163 +197840433 +782659389 +621751019 +1202379656 +1443221955 +1796409050 +1900463604 +1531845683 +1643740086 +1124222885 +1791232194 +761480003 +1495163390 +1848393158 +1895338585 +1361540826 +1673616946 +104242059 +1714630329 +16404352 +1565506723 +1648971545 +1350870495 +2129236457 +564005547 +20654656 +216870179 +228967482 +170194502 +603286308 +1275127645 +368034935 +1385945697 +1896878664 +1570414591 +681684004 +1545804066 +1323394547 +66046039 +1042060504 +300133785 +1857278234 +1803540508 +1795297175 +1558187744 +1551395445 +1009354353 +1084321042 +1655637505 +576501034 +1100725394 +1073660580 +77988931 +304112241 +1055413389 +641994478 +324766898 +1272283568 +870961960 +494961400 +1875569877 +2146089605 +862996335 +1114031926 +1895484621 +285927279 +1795715931 +1293805039 +1609321826 +1861761970 +188381896 +1909455611 +1571556556 +1991922404 +1557269138 +982260652 +1395834201 +419139843 +2066581695 +903988058 +995640877 +1019823441 +1977648638 +1073629808 +1323935683 +885578379 +1715624286 +1648702581 +10378300 +439102598 +2143663981 +1885948177 +437708555 +859176668 +852496455 +185709528 +1145103947 +500728738 +1479514568 +606942126 +215007061 +1667896464 +368914089 +1786563617 +1512335220 +1926183228 +621340622 +760685773 +197839423 +540438669 +1664673832 +1193480301 +1560262110 +1494838822 +119626461 +736714145 +232933554 +1835250748 +237933078 +243311854 +126869698 +234113411 +2129260031 +564578254 +1093290080 +834272838 +750287782 +90910379 +1335001577 +82318702 +697852505 +1550008638 +1750215166 +1066766595 +1189088607 +1115066738 +845466175 +1810429229 +1875752512 +1043305598 +203384250 +1392942696 +89302251 +1763646361 +740297870 +208928713 +352876858 +973231424 +2044179461 +590809937 +1216543278 +23565511 +824923348 +1198319661 +588143765 +1918213428 +2032592500 +1338431548 +2009123808 +1220110429 +1420750250 +559492665 +622635419 +1023481769 +1626259260 +1811724026 +2138548507 +324241787 +1474669608 +1866817371 +1367547386 +1678053858 +1112276419 +1456849637 +1294216571 +1852574290 +1665778350 +1647093430 +678322066 +1562474163 +90419719 +1894865345 +1586039675 +915343067 +945701358 +26699792 +686072848 +830810210 +1365131340 +547713008 +2050920639 +638397943 +1107205673 +526072410 +1661879712 +585981286 +190312789 +1652944571 +910223073 +1664982397 +1372278295 +130286811 +1195552607 +337071066 +1587136449 +342285531 +42161708 +1105431151 +1989378961 +720483775 +520421667 +2079798680 +467865472 +2106461342 +847658099 +1413566830 +2133161134 +1533730947 +96893393 +1350808827 +2081443955 +330384 +1989206770 +1041165981 +526402795 +1503602834 +1627147267 +716715584 +1009063757 +389886692 +234214333 +233858404 +520173504 +1429766940 +570929471 +2107309953 +1772052471 +613091179 +1065257456 +1613947784 +1333574954 +1585679123 +1546262816 +1801440426 +1544656817 +246437268 +1067523609 +1530334304 +1780168215 +1164417002 +733659483 +1714128523 +1164747386 +575382605 +607810856 +1691150181 +2078985439 +87474475 +260382117 +940565548 +477361167 +494596450 +1174423953 +997534671 +1924363391 +1745353424 +957360976 +1548932214 +210960955 +2022618433 +1015396351 +1544535910 +1460813908 +414175519 +1198492688 +857987078 +660612787 +118532649 +240837734 +293297355 +1282949651 +974497217 +2007425878 +300213390 +1549879822 +467753086 +1991363571 +1481381613 +555227561 +104262041 +274463513 +1032588728 +598858491 +1448887466 +2030123400 +375738234 +1046757242 +840000728 +1924670449 +1257718198 +715135513 +792583152 +654770460 +28465774 +1206758671 +1853263148 +886452852 +1867371459 +1971795798 +1127290586 +13185166 +1107261801 +2101787803 +2020611044 +1407475191 +1504183977 +340880482 +1251355115 +838081942 +896108043 +1355617156 +1112545455 +1928696771 +1954475647 +413949274 +1811336523 +182730234 +1460706516 +503853604 +2107400683 +570941066 +1218989117 +752500187 +1225711526 +1247454891 +1959258858 +931491027 +2133907743 +1679146669 +755803177 +1113714681 +1692331835 +1863064978 +1068018836 +1565459231 +1123056522 +424719165 +1906339713 +226927989 +1262801107 +654964108 +1582545145 +227862915 +436177232 +1389537144 +641812189 +100030107 +1572267378 +2102518705 +603883711 +1532184413 +525976124 +1822872829 +137200952 +1751687650 +922844072 +2096459811 +535695029 +909268168 +1628122832 +1291498206 +2022982849 +1172971020 +1007079537 +943518038 +590946603 +2130136059 +1368237203 +349802669 +209580400 +483554663 +1004766777 +1792125545 +711417578 +1440944009 +1034179041 +1353229767 +1540974117 +458962772 +1308264824 +2144857828 +1991147185 +1834240948 +1820247009 +2128348138 +1438444951 +595607434 +2077324301 +1974139980 +1504875602 +1557963485 +1118154539 +1380374803 +583450857 +2125234076 +176409193 +1174397461 +2107886487 +1544646397 +1524200130 +169983239 +2028201060 +381483259 +1962108784 +592134990 +1822427269 +848804177 +1945364757 +1215917738 +1307766949 +1106145933 +1213291918 +1151430487 +792903234 +886055280 +1132294977 +83864537 +1481662714 +1062135630 +2058004517 +839054668 +472615467 +1028675408 +71945823 +1056066325 +1006425836 +248355017 +82980138 +966828675 +1793001414 +1607180268 +1136811914 +1673718826 +1988663527 +951437050 +118370168 +1663607148 +1800241228 +2063734925 +732041238 +960524529 +1022397210 +1945333157 +2111955016 +1815300444 +683904789 +1096766345 +1899164981 +18083855 +11418327 +1809685851 +857138523 +484033795 +690877611 +929084346 +1540100120 +1697303448 +1177439363 +1623080258 +516648475 +822957129 +1082776878 +1653460390 +349192307 +923956757 +457413792 +467562475 +440080258 +110171372 +383813752 +1172121496 +1070695902 +1406210963 +969971005 +1035167270 +1074027759 +1653875794 +2131933616 +825709093 +1671959649 +2143351943 +487911296 +381614524 +479902090 +1178788907 +1310698871 +2020002210 +728608707 +340654586 +1495598820 +1245257183 +1163611716 +430892050 +751233925 +1512804023 +1354848808 +1208647717 +1980366499 +1794929066 +1318819090 +216696603 +819566914 +242031344 +1622907566 +1789537920 +1277198614 +549451678 +1295930066 +1261648582 +1375160771 +820406068 +1257516878 +1863072067 +1202020592 +1737418968 +894377326 +365235815 +1609937531 +1622986034 +705890402 +958052703 +720759569 +1869502118 +1388944754 +1471993494 +1234822493 +596309914 +533157563 +1067705344 +243755332 +1851976653 +1284401948 +1063322246 +2094007997 +759825866 +705376518 +1223722964 +1309277544 +2001306585 +337887898 +536954667 +674229005 +1595404776 +252543086 +1876249597 +1185340097 +1146920413 +94001765 +647793980 +622422799 +799892167 +1605846683 +1343182368 +521910637 +847307789 +667692214 +1756733130 +1443617703 +1200849777 +676954827 +1687373035 +905342783 +1961356775 +603211634 +851867132 +573698993 +1308588152 +2075590096 +1882976538 +1162411089 +265994347 +272447557 +1836640094 +1861399123 +524990644 +1565406044 +899255572 +1671911057 +1659407809 +1547049552 +146850208 +311816328 +1005412588 +1490032576 +833726965 +1852720377 +10241142 +442976447 +1148854433 +1211090919 +1119931274 +688743820 +2116433702 +933804401 +1291955454 +820817187 +1507503395 +453059959 +748923635 +1242996285 +1615471048 +1014917982 +1515443842 +1304627495 +728833458 +2040434486 +722549891 +1628089030 +1564861895 +234474052 +1027654935 +1711712103 +546290380 +2033067523 +1054261031 +1380017345 +1738304252 +1064502173 +1822993792 +739675037 +128109445 +795441419 +1428418858 +97059499 +1729245820 +572890664 +917876686 +1089265567 +1025950623 +1666800322 +184778204 +493938024 +534234656 +1700222047 +1798565519 +1263068114 +1593172885 +373631762 +743673497 +1010551133 +608105814 +1771328432 +574779588 +1154396194 +1656912307 +1629040620 +386929891 +1247732911 +546059145 +62440035 +1987407949 +674168590 +857881454 +1268343159 +771228090 +439643627 +1841233823 +1689104776 +1528909194 +719700799 +1208421450 +1713687399 +1213638823 +1742656107 +1266425798 +864720694 +858240573 +712115035 +1238352456 +1601914070 +1722666168 +1846458270 +1225758854 +149962109 +853370816 +735187513 +1779002729 +1240300707 +1982920425 +177578226 +1302740742 +1822844726 +851746817 +13138549 +943704237 +1622974907 +452782176 +637454412 +1164596035 +1981691370 +1357155211 +225533838 +1547895121 +423310386 +1968189945 +666837271 +1288031080 +678946870 +1378952307 +378899888 +133377293 +954134827 +77874510 +1359136147 +1104096936 +931245326 +2094323661 +735616017 +24062385 +1929760438 +913194244 +1326803128 +1605121516 +1764941061 +1339941677 +401342105 +1240432320 +1792723853 +1038796517 +257544707 +1626931575 +248468081 +483078545 +1027343049 +671778467 +303784842 +1694180320 +1959809548 +982731713 +925648979 +191225788 +1116109006 +1879783807 +269100299 +327761505 +836397095 +1200345625 +274601518 +1572013113 +1224408011 +56878308 +337723709 +403727491 +1661999824 +2102664770 +1743669168 +2063341929 +1195613442 +1388909373 +954654799 +1453158149 +868357300 +1203122880 +1936236695 +1895700349 +1874901347 +92537889 +1442397022 +1687227247 +1075269602 +220562353 +1878453036 +43894960 +2100346160 +69687 +371656466 +789259608 +1200415312 +646257984 +213789073 +277339675 +703136293 +551512782 +681067166 +217652469 +506693904 +277252686 +133510751 +1702307346 +1666162059 +1088165550 +1007981847 +387035712 +143804782 +796734894 +135252413 +2018706129 +889272784 +1577649435 +1558449729 +1964542386 +1798211789 +1289419117 +2008437347 +1751074301 +1289488804 +232610165 +392850261 +342420468 +878868149 +606639334 +619760144 +1582004442 +1158152116 +1300827310 +1799656912 +1664846020 +1578079997 +1933167663 +1219669718 +1096758408 +873849565 +80167918 +1483794120 +1017654347 +876902812 +1619046534 +888876828 +1766175596 +1049212321 +299842909 +1583234335 +699940462 +1589262026 +1444188034 +303531116 +731267182 +1676798199 +696381377 +1073687651 +408182700 +1303020712 +1693447795 +1990187143 +313689180 +846791457 +1642360407 +1978535201 +277387806 +1428044422 +1050721271 +1374146215 +154410339 +1130889189 +710456687 +1172064686 +2007792002 +182019573 +2060941514 +1626483950 +1231231895 +213300776 +1062234637 +1931172357 +1802562802 +358939023 +87219825 +386346337 +2035737222 +783601203 +1460033988 +296436275 +2086621915 +1005998135 +139139770 +252827447 +1852789592 +1781500177 +83879000 +2130177399 +1062060951 +1134600272 +1356839966 +1216471290 +118005813 +2067296653 +241052328 +2125797815 +101832579 +154510194 +1604798118 +1333064474 +367810970 +519549107 +1116753183 +22890125 +878488131 +1203973009 +409236462 +766741705 +1987574212 +1869270450 +1063177980 +1926712479 +727784937 +1202317750 +32056278 +433090881 +836334279 +115935279 +415784632 +1898395230 +1250535551 +1772624598 +967382872 +1368541364 +1692437604 +1208435200 +1346855532 +1794270183 +1362945395 +804170002 +979851009 +1730756365 +1323719109 +2096604192 +1753646490 +54723592 +1153093553 +15399304 +821465298 +993184117 +1884669754 +1884643278 +772412948 +464971043 +939477381 +804469227 +898061925 +1775811660 +920404506 +1313846557 +1526723243 +23456409 +938987508 +346622467 +1391997773 +483941464 +1555057668 +591369657 +130727999 +770519415 +1395539659 +1110579008 +353792132 +571775121 +1059699552 +2107438623 +626498713 +65309458 +2122837927 +1447964011 +1058493575 +1860024034 +1185123642 +1830906524 +177511429 +2124601023 +487892103 +1075573354 +1752929035 +1408296609 +241936264 +1132168630 +1431753018 +1180923772 +1478791098 +676267143 +1664865236 +886365118 +1267636801 +1795593235 +1656884533 +515692812 +758688595 +2010676665 +1087467933 +1818388147 +1970631640 +1713966647 +1883697605 +1945985920 +1014447010 +794707533 +1658526306 +52087004 +478130409 +1836037735 +29204379 +966022512 +764127442 +1782133415 +226835473 +1006063706 +766818397 +1658588491 +39503830 +98125847 +187371986 +1704369066 +984490965 +1455008787 +1352478653 +493891850 +1970701600 +2111167248 +357084868 +910685885 +1782071747 +180232860 +477168884 +1518285705 +2126218780 +1491615895 +165509590 +1637261438 +1543702899 +643639999 +1325815526 +1572907279 +1609662511 +2089942968 +1207557046 +1836497984 +948523026 +1974375443 +1347602827 +988026856 +2072501291 +1534974813 +544912274 +909508608 +842499953 +1897390927 +1403400459 +665717905 +1861074527 +1760485327 +1576403790 +1495662626 +1940718187 +2053572675 +866464683 +1919453320 +1397704922 +1031974273 +1409231110 +793924173 +1675614272 +587562988 +219347804 +1137793135 +530022308 +1426904850 +826807471 +1478545334 +1253796646 +26926650 +319088542 +1178814289 +1561901464 +864000816 +2088322897 +256917769 +613908095 +1344239708 +922635674 +327498974 +957241387 +351555816 +1823161601 +750475927 +257644843 +542142636 +522445599 +1655349765 +1574116910 +1931676709 +301790291 +1102247534 +371756050 +521138095 +92557022 +901778358 +1948042946 +919364493 +232840045 +1054355944 +946291144 +551928587 +85686585 +360708960 +1415929404 +26525834 +617626729 +2029837499 +1370765543 +1540262403 +209852826 +180523282 +1891818219 +2033014427 +930999209 +1979415 +427673415 +1453444808 +1657329180 +2001790325 +1237637870 +1959119471 +956554212 +1609393920 +332773919 +1049111234 +363688630 +133333217 +1968475727 +596528675 +1187689161 +767283223 +1148457263 +1273375746 +1127992183 +416903019 +1299901580 +1745618912 +299256870 +523183475 +1138397667 +509109696 +703706758 +882732239 +394640475 +1634705967 +884711654 +822313891 +940667128 +394557186 +676620568 +30821350 +206193010 +1633174780 +1640215270 +538966929 +534802366 +2003903900 +672300146 +355794446 +452948928 +1859989307 +1123077669 +1601406191 +985881405 +103586205 +2018309210 +138299337 +1849205117 +170082432 +661482813 +840119137 +679192129 +1365189571 +1722851376 +1073832604 +852411890 +460079382 +1896146495 +1793079018 +854636568 +425283416 +1823900368 +1060829578 +2058458196 +1316631990 +1599796507 +445776915 +1173052243 +124613005 +801571361 +1626001171 +1984602312 +1924649030 +1079923714 +823000069 +2028235235 +950749276 +961299407 +1729956705 +1120831708 +1622782220 +422592194 +1800023837 +840488143 +2145443570 +726372794 +1692900033 +458039304 +475035641 +1338495404 +1312675872 +900319057 +1014912124 +226021803 +811293606 +184060467 +1825818310 +1257070521 +1357112710 +1950431316 +2058641882 +835630233 +1787549980 +1835807264 +1915553947 +463066402 +1716558852 +718819575 +1424365809 +1299031909 +1839651283 +899664381 +1721624103 +1492191473 +1740152524 +1719584025 +71080619 +1285568909 +30139681 +546116260 +476580665 +1342815553 +1446435318 +1491492790 +1568837356 +110245276 +1675553257 +1247172019 +1367315797 +885182319 +1050119687 +1278474031 +1720812552 +690186019 +966797647 +1488882851 +1153252421 +535872851 +60218778 +430134582 +1834904760 +1899870061 +1329798963 +1409045215 +1244577886 +922467839 +981145592 +1315658505 +60553101 +1011285273 +1861774766 +537133766 +206617179 +1160726436 +2028626556 +1775454535 +1270971712 +1556696165 +875142906 +490803861 +294394836 +1925262593 +1769277892 +2015207388 +467964965 +588591891 +1356606591 +1621217386 +1124464743 +1416825369 +2051351969 +811885855 +1169211783 +1233667284 +73447423 +266306021 +8651476 +1054593015 +1581964527 +69204577 +2065878289 +1296255645 +606338343 +125011820 +309498433 +487481252 +1900466355 +1580470145 +2044177417 +628125614 +2071274006 +191088606 +405904559 +1693068250 +58812346 +873869524 +134176493 +1415418938 +347603263 +1258641236 +684760659 +251471584 +2070527092 +1853972442 +1485138868 +2143974515 +2120278464 +1493790344 +1051083882 +1554759343 +1562994921 +969478523 +703531340 +21849617 +1094490343 +1013029773 +509330869 +847473051 +446016270 +406024638 +1475598665 +369806628 +597113244 +1881503224 +2062874878 +655925591 +607889101 +49567723 +2071344529 +955492364 +1308208960 +608621540 +1206963948 +1231252404 +315110335 +544619168 +1227743271 +287905151 +2038409513 +131343505 +1842664494 +1453920786 +1100822029 +398712186 +1475770403 +47828724 +1411741959 +1985101272 +895301775 +1857758229 +243642263 +223416792 +80081209 +840755507 +2104920017 +2142956087 +1496681098 +565325470 +45040162 +1420541979 +1520817834 +1353249122 +2029163520 +580298134 +437017878 +196790207 +1124917302 +1664761149 +484695358 +1015843167 +1796104655 +179876204 +322280306 +749443036 +578588390 +1798050709 +797271760 +1990330349 +1635668334 +1692573536 +1700604930 +1879310597 +1915990328 +1780686139 +572582456 +1873426697 +1776158578 +2069263555 +291268519 +1821198740 +1342321886 +1812086353 +1026964215 +1224001758 +244900839 +1463982093 +1420791965 +1369818142 +981259595 +1905487323 +238177661 +629880602 +2085363527 +560457967 +1379323638 +516468269 +211025029 +29111750 +359314970 +1846693363 +1721685286 +2059919900 +1578520312 +1490191967 +1693122391 +3619120 +1216135016 +1321797321 +2072882675 +1507403536 +995512414 +1267720914 +1172006241 +2022476629 +344239024 +1416907081 +1338975074 +1765030990 +639241575 +172751021 +1523034665 +877419236 +802631623 +1460914545 +1437877204 +34471613 +1977382814 +1648902233 +63583364 +189214137 +1348111948 +1785268650 +101650389 +779148612 +1127976969 +1794772781 +782767732 +196628338 +969086454 +708166760 +1704031874 +1964598868 +1975887674 +728554467 +1839591849 +172643050 +2145461548 +1031083276 +1937674040 +637219475 +1203834297 +1313225058 +1514638712 +2006465921 +626655955 +805032268 +2040937534 +456555121 +306450853 +2104520898 +645769258 +1654562801 +1742305901 +747419648 +286227765 +722799222 +394708781 +1068995497 +919427560 +1363795235 +1777162257 +475975786 +1180910456 +1605566283 +1204530254 +873018657 +1778209334 +1202508154 +1904101933 +1568399726 +1839727630 +960452583 +734141136 +1206882694 +819434856 +1360797091 +2011914962 +712888742 +1817352213 +170882167 +669925993 +315637823 +1825444968 +264748246 +1063057471 +2111672733 +987547468 +1457766252 +1033184582 +1906975029 +674077840 +662863192 +235467167 +1854988296 +120945827 +1439997421 +580523305 +1899155161 +495021928 +337141591 +1320071240 +187265910 +1297594174 +2054212376 +1394148604 +2117029030 +1267525820 +1258579918 +682434124 +937394385 +1429462085 +1352360117 +1253032208 +1107423405 +1617108363 +168606032 +1071612490 +457172184 +1626372284 +2104797072 +216663565 +152966476 +620176616 +452130732 +2007954772 +741122444 +1892128154 +440994430 +492793957 +239666434 +778136021 +1812865197 +426932344 +2075730195 +1719593926 +1821080948 +2045275577 +839636098 +932177218 +580226053 +1777030483 +214155655 +1932586171 +882579043 +1321579060 +1402210886 +1051185075 +245707902 +1859383070 +530073712 +203021326 +2076046635 +683040188 +823197943 +380693720 +543511313 +1564320387 +125338226 +984505743 +2057114344 +365004660 +1762641764 +1722495894 +791937004 +1690888311 +1294606172 +465534304 +1588680240 +2134242270 +1397711522 +21422645 +1763789105 +1611867177 +1954008816 +498884500 +785962589 +1208736055 +1550069576 +1031670491 +920635477 +2080143288 +1234691817 +849198465 +615699828 +2057889760 +1229892185 +1159211141 +1474726499 +1355230411 +2143716884 +1384357196 +1720235071 +1758875000 +959369442 +364688427 +1302279663 +106491966 +830222731 +743476255 +93250588 +80450605 +764898901 +1857039693 +1692317782 +571424069 +208440545 +330796723 +1780160124 +1758510121 +1362467214 +553311954 +1691169761 +449675383 +1402510419 +159385942 +360081496 +484918956 +1318597083 +1834807995 +1840149367 +1314830320 +1071681543 +1412900790 +926221672 +2031050985 +1777589217 +81017688 +2137542951 +460328300 +824493943 +83309891 +540778905 +1589392844 +1940349584 +85613039 +13333266 +1306482 +416409762 +1793493390 +1759816603 +1778876976 +199321696 +1303502717 +81068711 +1601832115 +1462888659 +441150207 +2086751071 +634002094 +128474555 +1779416790 +1948832414 +1200156098 +1044833932 +727570439 +1083723436 +674939501 +808588127 +1073782739 +1135267801 +1633082070 +1157092631 +1676046706 +1074991267 +949958567 +1761659745 +1088324533 +951265049 +30585859 +734334275 +563598005 +1809462835 +933655972 +1867100722 +1890531547 +388004439 +1182505733 +184198106 +327271863 +1816507827 +312672661 +2106688653 +1617856594 +1512828760 +1004038938 +197943385 +449068548 +1678978439 +1006531512 +1522851287 +666762593 +492129934 +532460270 +195325651 +1567121201 +1482418838 +1956985397 +507962086 +286200239 +1987571256 +1242296362 +849798244 +1649550444 +28468686 +569415318 +1392598343 +416473125 +1751921051 +1576796449 +743744988 +1420945231 +1889469111 +702949994 +891318177 +1254814223 +1706988932 +1089261562 +1703882771 +1238483723 +2095793074 +1079250410 +1905246316 +440439360 +1611710681 +2100571968 +2007560562 +946645871 +1910073717 +368039000 +1232846110 +1750161325 +1610335362 +2082644355 +1252228121 +1638804048 +504576025 +497342816 +2055277174 +109013429 +2074139266 +651538514 +1529958660 +1816124729 +1354488508 +273793189 +923455304 +913993792 +1363054751 +479854427 +4993868 +1311364177 +1559104837 +1910240184 +1751803537 +1023331870 +1863328504 +1611880451 +1969977741 +1625918573 +1979919452 +1055340204 +1228596251 +1442771166 +990500911 +333340724 +934091567 +1495076936 +830683541 +841885093 +1604090365 +757339159 +1493423607 +986565377 +425980240 +700428468 +1260358566 +1349435544 +1614422260 +475929669 +1829289971 +1619416128 +1787293846 +1240911160 +1382172665 +1391613736 +116759383 +1098017521 +856010539 +2086737124 +576452447 +688446343 +994593680 +1805048698 +2131217510 +1985094591 +2138389422 +917825429 +1332687880 +821589315 +1759710522 +789294597 +1578928474 +1105650481 +1775859975 +2004908714 +1806078949 +888734893 +1206860610 +1273017562 +1364664563 +888666933 +744950042 +1004474761 +2129578094 +2127122707 +248604849 +98853829 +1077656581 +1104615389 +38107305 +1654109028 +1793061732 +1032700986 +1311674078 +1776795594 +870311929 +1302579852 +547137375 +55516161 +2124169168 +159364249 +844810759 +1555613994 +1265014731 +473187086 +1413039061 +923610032 +1361921979 +472416023 +49143946 +579102894 +1361082957 +794093989 +1583577656 +1343177403 +773733048 +1832182505 +1442031232 +1851389629 +789314246 +1480138537 +1358015009 +434892331 +365355875 +522205439 +64204277 +1235667805 +1824785292 +611341653 +1291183966 +1801470812 +770705902 +2135994725 +1209601158 +2035720633 +461698163 +475156571 +811847018 +1823620143 +947572595 +860990964 +255239389 +161171904 +1655084953 +1838817045 +1504349307 +281334354 +1523515903 +798896891 +2132723983 +165346501 +131551780 +1343255345 +600238832 +496907656 +1865460784 +664443110 +1732575461 +1542762428 +1275784763 +876275779 +1196749592 +2046490665 +864786857 +258867103 +1934727651 +1326485020 +734023674 +599091021 +1002621515 +1681596269 +1460081985 +1257860905 +1842768173 +967683291 +949194302 +1199633832 +1249017645 +325226557 +1998530723 +1234257980 +490573059 +2130082504 +430029677 +1090811891 +479506512 +148006814 +1755255001 +64598325 +1690769242 +883556116 +940874104 +740035187 +782563134 +1805660961 +998902290 +569807137 +984662334 +1732925964 +1168898158 +1987283849 +1267038586 +481496495 +1097661106 +962323111 +1449179786 +2046855409 +14473296 +550713783 +224598318 +2013004019 +1784971764 +715171377 +1995602875 +67517793 +1805983269 +327625739 +215524607 +1413754622 +392224064 +1906293850 +149827091 +1333098169 +498845389 +932390225 +991275482 +1497747679 +1502197362 +1975937816 +1083189995 +523611872 +1815738018 +202744933 +1005108367 +765915476 +1165068045 +306804506 +665287237 +1179541341 +857518289 +889885556 +1045061712 +495006405 +1605056933 +893180940 +562524199 +1263556554 +1220806679 +778048806 +529827529 +1613030744 +536859008 +679654620 +798645265 +1035704397 +1612044845 +1789920747 +385968428 +966758559 +1618374916 +1469158424 +1490370431 +1286629286 +1671903357 +347995150 +2052544762 +689487754 +654799656 +570348352 +1869029095 +1512317946 +1460233908 +766607160 +2007324351 +917807193 +1659788100 +422364902 +33880100 +733111131 +1200413709 +563707629 +198658227 +1737272717 +1243362249 +997303492 +625493467 +707923446 +639740592 +1011461895 +1674682005 +110631860 +333136671 +1017568788 +1397261146 +2005040029 +1365563938 +1302322260 +547044135 +2020363595 +1872670612 +268589583 +1385197893 +1185420872 +1035196743 +1245038596 +2103228066 +547501195 +1667403499 +2137108166 +1280612326 +720333560 +553332147 +1479270554 +310122629 +1796694396 +329090398 +935616096 +357134194 +968830990 +1947077992 +2031816199 +1079462850 +132731015 +901901339 +329240348 +2137771044 +119981629 +1631562609 +537331532 +2140345224 +1356749573 +805921115 +1378059469 +394686798 +1841117858 +475614418 +350431216 +241135405 +2143017917 +340055734 +1521747731 +715867829 +893387881 +853534637 +1025990458 +542598629 +1182625036 +1961606555 +899732823 +3972378 +1761200899 +784065374 +1083435229 +1893931914 +1685966713 +1412675577 +1884219311 +1805948342 +896754538 +274067195 +1798809919 +106020464 +1079988310 +1029385740 +500707262 +773622520 +1505000158 +851138478 +1014757925 +1500534427 +1191194212 +389022008 +68918608 +2084582093 +1242556646 +1094909067 +479697074 +277698034 +909031974 +1379429897 +281670412 +522749225 +16011623 +1365105641 +269197491 +1701978336 +630297571 +5933154 +1360443030 +1527052109 +280000349 +1011769301 +1633072573 +1359988659 +2041155042 +2133779835 +2133611179 +1398671552 +837434665 +1000885456 +751722332 +2028628877 +1389907465 +820640940 +1965727322 +484980463 +1915550007 +297940748 +762678497 +677098333 +1677370645 +1044348909 +1199847558 +1693382268 +261970903 +1469045050 +1247876956 +892268474 +1474978204 +460836339 +271836935 +1754978554 +1472605640 +1904909509 +967483565 +1366277034 +1891205696 +953611097 +617464939 +581156714 +1954496553 +1369187271 +462301943 +1196920370 +42344563 +280545618 +1681900833 +1957894571 +578486366 +297095682 +487509256 +108373364 +1341444592 +1687356815 +1801755632 +1603415495 +1008918217 +902148941 +348200321 +336412773 +1362985280 +620037256 +2091391327 +688107272 +377463117 +911391245 +2054384307 +121185166 +1865002342 +524365598 +702341880 +1672015247 +1893552869 +1164643823 +721451970 +1935897432 +1445189441 +255869155 +1746308355 +2023675808 +552964838 +86333964 +2132049172 +1894409430 +1773690779 +1786321156 +1350341277 +635125348 +540986449 +1698541598 +971538121 +1903971729 +171095206 +915445801 +444595354 +548558324 +1826837046 +351496013 +669743490 +1544355740 +875861611 +1372085370 +1068887339 +621930832 +389245545 +1790339309 +410344616 +1834434987 +2046208465 +9169324 +1710627147 +451689655 +95503288 +1695192671 +198615437 +1869194067 +1334030179 +1548956714 +356835767 +1875016629 +1100014664 +1328373888 +1631504710 +1271109870 +96336041 +2076100064 +1819668194 +1923173087 +280112429 +341928036 +1320045179 +1155974040 +1714013406 +241448871 +1777904872 +2103258952 +2031788180 +40765841 +1790210291 +1930512997 +49935165 +1353353790 +234719004 +145438453 +901062813 +433334441 +2014632520 +87609344 +1982291155 +223984639 +1962625973 +934822171 +1552358527 +1446647036 +58448394 +1648694569 +1375263452 +1878116588 +1424384008 +1655375882 +72560977 +596945540 +663866274 +1786574383 +838394411 +294287499 +1742349687 +722698943 +335053340 +1385076330 +505728293 +384988505 +590946472 +740447297 +530426958 +1492009285 +1173781739 +397575830 +1579618630 +1008589246 +621560469 +1394760955 +1943411418 +26435348 +693924343 +2001859812 +1675129917 +2069187796 +1732492752 +952030278 +1577080030 +1805053729 +1548975818 +93462656 +1444144465 +239886581 +387750155 +1039010504 +962585524 +722803495 +276603187 +1468313817 +1107792000 +867549659 +61277467 +1638218958 +212075297 +1235059206 +2035794788 +1791693927 +96164804 +509871609 +1038971234 +2039576222 +536306958 +1732895578 +1893952386 +63953227 +1654599726 +1478961491 +1015983505 +1084196108 +1136531572 +417475675 +1177658764 +433192389 +657362256 +1565408920 +1472202894 +1619947781 +140728767 +1748806081 +940777950 +1248520768 +468872092 +1002055417 +739256078 +680947389 +89630975 +627567219 +325157668 +185795780 +1137438828 +1364128903 +77888354 +1673745786 +949540833 +1971840741 +1737699014 +456656911 +1303318584 +606198871 +1540853019 +292366508 +1023674547 +571028135 +725558898 +1681036803 +2136437055 +50278144 +1153500936 +129682175 +1799084225 +2094278887 +1378202943 +120472669 +948850656 +2117459021 +801420059 +1038481632 +597542592 +1126577727 +1224277412 +1734981421 +343222982 +1302165766 +1261243559 +1292763815 +1126522859 +851458925 +1749420726 +282357795 +1457657797 +1142790097 +574724304 +333848696 +1713818233 +1300283202 +2014885499 +1702771640 +1350561346 +1020902788 +1832453815 +1002161923 +967698027 +1063173110 +1122634592 +1916548683 +1033148484 +1924054651 +807546667 +1630691076 +903148731 +2031824079 +1218188849 +1246371713 +1186506198 +331948761 +391651881 +165545409 +1183407686 +2141072607 +447903205 +493581835 +1136379057 +1022627509 +827430531 +702713642 +175427063 +694832383 +258001634 +1525988409 +1715735171 +2090455450 +380666684 +535949550 +1006144912 +1503301276 +305014585 +2039293396 +1279872280 +1112561253 +1522500825 +35537363 +996901684 +593206026 +1281909076 +35924234 +925154787 +1673560957 +201469644 +2108562474 +1667149917 +649372849 +454660661 +656045326 +1672000358 +1282091193 +1358758968 +1847427421 +1976923576 +1616760602 +1225932182 +1545175099 +1559732404 +1606598866 +2081124649 +418393669 +962416494 +238655586 +310203417 +94805126 +1351216839 +1832704242 +130342489 +200634876 +278426621 +1412251566 +236559110 +1203581408 +938328875 +438028754 +1164660234 +457995144 +1087401603 +1619320896 +1114040470 +611918313 +753928441 +325315790 +311862086 +583368369 +1942076393 +1537794268 +2128543468 +1354325149 +996909486 +2062184469 +1772718818 +1959325981 +153356407 +2082922236 +2054131107 +1504573247 +1768142830 +36989949 +1705208123 +2046569451 +1449241515 +1941767233 +1102667212 +240086742 +232312340 +119843798 +698081887 +1319713943 +1739164694 +1812122357 +1931632257 +345609487 +2137438148 +96010695 +928977856 +1932030893 +1633804964 +910037676 +1138872394 +483230802 +824738497 +764107565 +295073135 +978094905 +699546153 +201720595 +335184504 +320205335 +238710544 +2040392627 +219291139 +1687952059 +1834676212 +1321958351 +1928038801 +2066988552 +1441802149 +478637040 +1239218848 +1033483196 +143275750 +1023367457 +1379092683 +133230250 +1119378152 +160586892 +2065261143 +605699468 +1070624568 +1056649889 +1088930271 +1895363066 +1820757454 +1384003406 +725974323 +372819959 +1585724001 +1061158827 +693025295 +1824434545 +954067806 +912316434 +1364902956 +641260370 +86791137 +1145458110 +560765275 +1528593286 +1624095150 +1799984123 +414592834 +1767370900 +675867932 +1793685518 +1900601150 +1795246084 +1954272410 +1818378645 +253461905 +877413330 +727544887 +1342392176 +625292748 +400818693 +578911934 +1351267071 +773638653 +17152288 +264942250 +1466663948 +1841586833 +1219010056 +231496734 +1059006142 +1860270427 +318287871 +56980604 +273552054 +1846881157 +1681075754 +2073536177 +113990344 +1300963007 +601920461 +1907675862 +1054080509 +249682897 +1714464624 +724975507 +503144802 +444394306 +1452520394 +1845536978 +1069687055 +1853339087 +276965265 +273470478 +479494092 +294117553 +538412729 +1946158040 +2135704386 +1757422785 +30171126 +1047226880 +1470209564 +348458997 +1104207484 +1743761618 +47856507 +637799591 +1669814147 +161846851 +1938762598 +124250960 +2069522713 +845359459 +373933858 +1636503689 +1570334966 +877078660 +2080897995 +875371712 +575131991 +1003101402 +581227152 +852097256 +1276571881 +1060721244 +1146214809 +1814984610 +859395637 +1134435547 +1424923747 +889566763 +34178780 +747649664 +1238025761 +1138386264 +343927634 +1285882268 +1776185855 +2013741782 +1447729119 +1567464805 +2137992742 +1369768184 +265340617 +364442952 +858788225 +1835675583 +1241521613 +792202572 +563563648 +1816653604 +1795303975 +1144790800 +521267212 +924392208 +58028396 +1667482021 +591893170 +917424033 +654433920 +2016816917 +1806990797 +688612700 +616982933 +897532910 +1826998965 +960910568 +35931530 +1455701172 +827168702 +1483660649 +875682330 +817677796 +705945185 +1141022947 +1182120749 +1564733410 +829214882 +276158714 +209452334 +1392778530 +2092812318 +2004756309 +390085682 +466595882 +781664869 +448114079 +2134077903 +1373558039 +1365538112 +641028175 +1242891309 +1025045261 +1329640876 +1859874242 +1922578171 +1009156193 +673301162 +1958509701 +317373717 +1500469864 +1294686702 +1193056047 +170664013 +2000631887 +186595346 +1352784762 +1417881649 +1015810229 +1628943476 +1627333984 +261105111 +1574272146 +1484606645 +651190794 +2040868028 +118787867 +1099304873 +2027462283 +1492345906 +317359337 +521006810 +587753567 +1342404599 +1850647686 +300144162 +1117499122 +712320231 +973445324 +928525176 +1029693949 +326431541 +75728230 +75266348 +497095554 +2076360118 +261861695 +1849880316 +1346758119 +1277671924 +1331340144 +826608455 +1538777035 +758128642 +163731453 +42484181 +651513022 +282519320 +1141789054 +531491657 +1774865226 +1459148392 +1052498467 +215135146 +654069343 +755662506 +515279308 +1771568465 +1467982737 +1488724632 +552609993 +350193038 +1815156173 +628338224 +425459387 +164768079 +557214694 +687321082 +2014648395 +1903972813 +1964993006 +1198504891 +583097621 +1356286393 +1956633533 +746829074 +1398770575 +460662907 +1029348394 +393075981 +992154564 +656729972 +1852224373 +2044653032 +871865118 +358810068 +652831890 +1387144426 +2130378534 +2120814627 +728385411 +535504879 +323524018 +396057936 +1163843103 +748983405 +560826016 +1721057797 +1436304487 +427990763 +1477546963 +1253813845 +1626495655 +2060644584 +462616590 +1435645540 +659990010 +1861387165 +1896308448 +1689338404 +106979499 +740979364 +198584728 +1959203872 +638148748 +1070449847 +170530293 +1290980638 +310110625 +153425179 +1264311618 +1038496036 +688930058 +1587835636 +1434553973 +1852773162 +189335393 +1995379989 +1426347311 +1625639880 +275887104 +756410626 +731970077 +1902382759 +669571562 +1194586667 +1190544652 +1329561572 +908490185 +939369452 +871416328 +1015469684 +1680348816 +1070001057 +827189908 +171013917 +2140450904 +997720201 +1461994555 +303077881 +1151145380 +578822525 +1341573918 +1840075439 +19174513 +628644243 +1545364953 +208509906 +476540584 +824228616 +1834149786 +752427688 +1580639243 +418636215 +507326800 +102727157 +1613222883 +1697871452 +1432288730 +374229420 +489757256 +156221410 +1389699104 +22622424 +1226222467 +69405364 +193636341 +1219189723 +1067125566 +1655630897 +1522267605 +70787298 +86969774 +716357875 +1910862737 +106144288 +1345002118 +1308744042 +314654194 +1821542702 +2132972659 +1320333 +426486742 +1566128254 +419956548 +933813542 +1668855411 +2033179431 +484201346 +953660493 +259925203 +973958602 +1109881904 +1649624307 +996581027 +188620723 +1719029672 +1190217368 +1407810447 +638671590 +698364617 +782594404 +709458888 +785334392 +1498952279 +472837978 +891478680 +696470749 +1781582020 +1206132874 +370529803 +1767071031 +1207453207 +797016545 +1185715637 +1627409756 +1730830088 +707087401 +1513105539 +67547786 +1660747894 +1773030743 +1041506389 +623146150 +1275171402 +2038087416 +811766874 +846717426 +1080821136 +72093673 +1485389016 +1779185754 +854688077 +47364257 +417036498 +206156708 +520202235 +1308515178 +902627457 +154300607 +367164404 +1273157260 +1921371639 +1574617612 +2070173805 +959603628 +1054543720 +1653520245 +1666691029 +420165611 +1721068032 +1179955276 +45712706 +615090773 +1803101426 +1320884109 +505694541 +467384652 +20117887 +1586515677 +539478325 +1505506904 +1218217783 +1394166402 +1552871161 +1635254281 +1600323110 +2073073396 +796285811 +355466919 +79890355 +1163450216 +1628624179 +2001261994 +590584180 +1551314337 +813381975 +1645127900 +1057350934 +332589356 +2065293511 +630935318 +1512544632 +2111006218 +1246026091 +1168162411 +1284406679 +1751720632 +1635547063 +1304524566 +1190752662 +27541741 +662547822 +261486797 +1421708143 +67935335 +1896741079 +874547606 +2141008731 +545543242 +1230014525 +73415439 +1708993458 +711155057 +2074677433 +152093990 +114985746 +740575760 +1797221890 +1172336680 +1073165117 +1715031754 +1803271999 +438226101 +1678554324 +901814442 +1606388512 +815477355 +506051427 +1094451928 +2120001921 +1696804089 +1121993669 +635066096 +1958290886 +396218164 +703001431 +1707548317 +1270765770 +696526515 +105607912 +353296648 +769941954 +1814601370 +1064451705 +697135739 +1966695361 +1179437451 +1437711500 +1616433603 +204290483 +363392969 +1183981709 +2007562482 +801619070 +715052385 +761893277 +260523935 +1530529740 +1267944704 +1354975863 +1503048014 +817265145 +329485884 +2138114110 +628072383 +725704048 +693631893 +188137053 +1996469819 +1390158408 +293744965 +202282819 +12616714 +2108346335 +1266734524 +709752454 +1927558048 +298688327 +2147463954 +1396508004 +502978810 +363373275 +433006065 +363057645 +1164992345 +1148058451 +1124950922 +1425516280 +531104543 +245411978 +633008495 +2034152557 +1062677123 +962494379 +2024783019 +1690749506 +1688198428 +570931265 +1878886559 +1537184599 +1961089673 +25147876 +1739467418 +1973706388 +2133494212 +858718294 +535975194 +1913568612 +1157406621 +535955500 +1162592968 +1660385431 +899328775 +1595599034 +2023443076 +2064321120 +596173837 +1000910350 +1342353753 +1127278380 +1246322328 +1975362248 +1013947290 +161515803 +790372980 +891246661 +1852265310 +331087760 +1462177926 +1583668221 +1868272359 +1275783952 +1608816098 +1460256129 +1102006692 +1594826662 +171490775 +1637981886 +1360911626 +1328897396 +26453738 +376020947 +841799179 +925782513 +1971619981 +717758608 +842619985 +420310170 +1718668958 +37490090 +1547588550 +817507639 +2012852339 +414052192 +979023442 +655741671 +1305298854 +683805104 +986829431 +619993132 +119989678 +707618142 +1895777084 +1728805776 +20390623 +850300128 +1176148790 +191881398 +340798366 +389576768 +1520778794 +367252104 +765597715 +215094325 +1293034617 +589734048 +932852933 +2135654603 +1010044218 +504038244 +25661045 +410149121 +1321545883 +2038513384 +824201313 +153085677 +546771407 +2129500167 +836890782 +1533600838 +602009652 +956880460 +93735332 +350303088 +538202588 +114125955 +1200603217 +1714351378 +306007353 +1541401583 +2103928146 +1826786147 +1908653688 +722042214 +2041880473 +1054204657 +1311776262 +827249758 +1042375612 +174336833 +1331288002 +1068036658 +584485954 +505350237 +959066394 +1408687267 +658435915 +1505837802 +1390703787 +1495326697 +891954992 +1992713439 +304723509 +985690325 +195532879 +842926097 +1099816280 +1396136096 +409793827 +1405823634 +790054032 +366238325 +1085126133 +551224072 +1088280539 +979522958 +1605428729 +252573154 +1806772717 +500320694 +426909987 +990577071 +1568357352 +1011395941 +1495927309 +379940098 +272599560 +6879576 +1885777900 +1663303347 +1502206273 +630249245 +1508533138 +1806929782 +1615939570 +1704066018 +502372231 +568272202 +952718466 +912166058 +1974095836 +1742772498 +1278404383 +911738322 +146512922 +219201275 +1891261280 +1751941652 +471774429 +1550550349 +104778698 +898684416 +393643773 +1673136050 +1910080357 +1889571082 +2053076148 +35196269 +1896450658 +1791370401 +1698499617 +1251173283 +274135998 +1059549107 +910619417 +1890075568 +616131477 +1412991648 +310864122 +1568849944 +177674058 +137476311 +1164138794 +1456078441 +1049214633 +1310651717 +1675279716 +792992265 +915109721 +2147054145 +196058967 +1019888419 +898254913 +589702740 +545540821 +660851622 +331790174 +451133321 +696047892 +80757184 +95020074 +247063861 +1331930467 +369156072 +1306612968 +95066236 +111747992 +1922744446 +1508057884 +422612115 +1344110742 +1685731942 +560088426 +360765888 +994326735 +1609303059 +1671417605 +522122804 +254811676 +439043678 +521693301 +450870643 +1458932097 +1419948215 +1040573383 +2004472918 +2080799837 +1372363557 +308122592 +629364081 +1453120741 +403142666 +876427942 +637567560 +772298739 +35557263 +732633796 +884046731 +1958301709 +93208032 +1306658846 +1154928803 +1778939974 +1866747272 +1515694691 +625783062 +1328566683 +1039628649 +1147905866 +1583378360 +1478672327 +1669599167 +2034249003 +790120777 +942063734 +927338739 +647110047 +875379924 +152218648 +955232639 +1504744005 +1605339390 +1358375306 +233688300 +95423302 +2130674045 +269245563 +828057099 +867237128 +80063624 +921265131 +26412327 +1234992427 +552721458 +1893159599 +603203470 +1178504520 +1074242635 +1642832119 +178926738 +510137347 +974020799 +1848525905 +396902702 +1764141576 +643105992 +1324241441 +263767975 +1518485916 +1476460090 +1219000615 +875746273 +934315832 +429892273 +1109434573 +1029739134 +413082670 +1378680136 +1857796233 +1280319798 +1458743760 +631577717 +1306732125 +546252539 +1184299175 +1052408077 +1149456010 +215320047 +2126650712 +644804481 +394246785 +489304411 +1618825280 +95289042 +886207113 +1235483208 +738395034 +62964907 +1499251184 +109397302 +1539424997 +570768151 +985143576 +326257181 +1000660424 +2094578149 +1355996315 +1413743094 +1325774638 +1066308901 +546579244 +637034750 +1697886618 +1853311370 +1183287290 +734702145 +758235799 +185259652 +950022192 +737402863 +830064133 +1344268977 +1226707274 +301405766 +1439558019 +2112914387 +1536888974 +30469406 +28395646 +888656510 +139866708 +1567820643 +1459424661 +1125010284 +1894077824 +312601437 +1072104786 +1102590492 +1726344531 +250395776 +21415745 +125440128 +887430526 +1719302363 +1978751498 +2070717816 +306520860 +589503649 +108493820 +1256543052 +1326906512 +938557954 +453328381 +406130138 +1239963720 +1892886400 +371560877 +629369046 +1923355806 +399956524 +1518025557 +2063222515 +1967777167 +829966570 +1040749151 +1714371344 +1142568008 +2112853937 +669478188 +721428891 +215766065 +690893933 +846869019 +1103196592 +262712648 +678136869 +1026430760 +569233508 +1267640518 +1134924581 +1825776560 +447063382 +2073482535 +131621293 +853193520 +1165962607 +2024507693 +1224754398 +1795331653 +1800379852 +1624710922 +1165873562 +1716118719 +1445004441 +1995840133 +609384222 +1011892137 +990924493 +574754512 +1681370325 +1712353384 +790520577 +224780610 +411738756 +1893717169 +487493258 +1089875625 +772664282 +1056726766 +210032496 +1907588863 +735019678 +657095878 +1833587750 +866640971 +1510289399 +852066709 +743665017 +587560149 +499914714 +396561221 +64787423 +1665788277 +2112679940 +1509791864 +1514144762 +574580514 +374200354 +357585607 +1149335026 +2055570679 +2069938991 +1939855604 +132867642 +334194099 +1686089125 +620360900 +1424069725 +311269759 +1677087667 +1634102221 +71374974 +264623697 +143714451 +1904962724 +1131264669 +1654003850 +609545785 +1874929686 +94080351 +1109460500 +124007259 +158867774 +627765129 +89203551 +1668659639 +2141909891 +663784065 +2042859993 +352011850 +1813119092 +1950947024 +274467193 +1605491048 +2083814666 +608661293 +1144096525 +556691919 +2032731018 +1455366285 +86295938 +1519349591 +1526741259 +350919635 +1663064042 +1284220336 +1482184304 +1169584245 +1893766121 +1209630342 +1263664596 +855742973 +1333637601 +1422532371 +1483508102 +1422841152 +943708362 +1477934345 +2086625218 +839084707 +1829946195 +1752260662 +642548083 +2104413389 +1210268062 +578879102 +565591034 +206880939 +1135571021 +450838404 +1662247224 +1221866959 +1970187995 +1041504836 +1572786594 +1485768389 +178241524 +907487251 +507868986 +2072007645 +2117117593 +1771533583 +780266971 +1303271547 +1046582306 +116291425 +578629051 +1990290668 +1594225771 +517770621 +681891727 +1276688318 +122547635 +1324439810 +1233618059 +1332815697 +1903318912 +1799209093 +1539696637 +891406285 +102563849 +1054460213 +2113273244 +2072751844 +2095965049 +1538576191 +1411036586 +126722925 +298579794 +1918905572 +51246923 +268213739 +1542955507 +831513894 +1571485286 +442054165 +947805319 +2630690 +284861185 +394547442 +520401311 +966752912 +1671235761 +642948947 +143709075 +757370172 +1975764644 +2047027987 +409095618 +1367977633 +790950625 +511659467 +274954199 +756740221 +436927664 +223435600 +147832764 +1847964250 +350158526 +446412558 +1619386174 +401405449 +714626298 +1014858034 +1232919343 +138627936 +1456912199 +33241014 +141258626 +1741773385 +427788457 +661659938 +561042649 +2099024218 +1304608885 +704751724 +708910742 +1132889881 +604296064 +1118006360 +353383867 +1395246689 +1629665828 +628338066 +4503262 +2066593492 +851773666 +152336027 +1767074094 +1201932192 +598748585 +1238976620 +1603337641 +1313374883 +106351006 +688773336 +1452002820 +1563263206 +722014351 +1593261446 +1157552943 +1149802808 +107437736 +1718595592 +1101343378 +1412046621 +275863669 +1810254120 +397452855 +880159733 +780776833 +750836722 +127922774 +262959013 +1379174788 +132426036 +182068857 +83464806 +284762063 +1949142951 +1285396999 +883510649 +1040635923 +741250992 +49401884 +1146986930 +1430024329 +1501404704 +562766488 +4555032 +947182503 +1720319431 +1154357840 +1054620239 +1291431375 +108217570 +319183213 +1567295044 +1918471690 +716636068 +299971129 +551764875 +1467472790 +427893903 +814723888 +699163930 +560319940 +996792745 +782628736 +845082003 +798452048 +2068025735 +1728592652 +1839087972 +661793080 +1777994537 +838591254 +2091817409 +1131915593 +1401357742 +2096372441 +2079098096 +974193525 +1103246633 +986234688 +118141252 +1211464203 +1305417901 +1685436297 +982452245 +2022053969 +1985407426 +1534217121 +1342043111 +265817682 +201457361 +2041207041 +826137622 +1198250107 +676352129 +1671219625 +1996702155 +596894217 +1252328630 +1688306479 +1258687297 +882839519 +379414085 +1203021058 +2014755112 +1780771827 +1151909851 +1946369561 +607481704 +107672836 +785120601 +725622957 +1319137039 +2090538502 +263575606 +154105636 +1965108823 +101499384 +1688322757 +1159668286 +367317066 +1889780119 +1053391679 +1193454688 +940546578 +1729743808 +717190666 +789765085 +179154377 +1969519296 +330587917 +1437841674 +704875167 +710002002 +493379084 +572146631 +343290182 +1645288935 +371032544 +950771886 +1752961771 +1156153145 +1676394843 +924615162 +1099207999 +1939970449 +1078720799 +916833174 +2041469834 +619559908 +2076501460 +261303252 +361856379 +982409491 +1454757941 +1302402957 +564669652 +24464959 +2092168043 +743824029 +1993984255 +275272312 +34182056 +551375774 +985274314 +527561140 +1123522405 +1328564496 +25366428 +1494554950 +131852735 +1778328199 +503224447 +1808247578 +555459714 +1602432447 +1600734380 +1634180513 +371781973 +1494720566 +106256773 +300799786 +1756023818 +468113153 +1283209277 +1063298111 +1770516110 +1847878929 +1087763070 +1715200505 +444219311 +934263677 +1990472817 +478401367 +1485639451 +828263484 +1005962507 +461678209 +9344332 +1031328935 +1956233159 +141197067 +662173487 +311973958 +1949444646 +1217633201 +1914406405 +1402695378 +704330066 +138704731 +749932296 +810586839 +439504517 +358472466 +1278699992 +1722713794 +1421770578 +901732455 +1423109076 +362050000 +469449312 +1867328387 +1296313678 +312438482 +198246106 +634469481 +1140701966 +1204208613 +1096147690 +1150046298 +88053901 +904897201 +1291243366 +750227388 +1216871160 +1093204364 +1967860589 +983793917 +348416094 +524707007 +1122498648 +1098348390 +1335293846 +1562003165 +1456820856 +466510191 +1137233312 +731107786 +1368242646 +412858740 +1093157787 +1837691958 +132703479 +241987817 +2646792 +330949585 +876457298 +1143348758 +1535158198 +1972604989 +145911409 +1623212099 +730018542 +1437154775 +225955839 +1946889702 +382875491 +46332780 +783199972 +731291585 +571039787 +1905698620 +1829639975 +1906333634 +1320218138 +1138977183 +225360177 +309967802 +1870084970 +1593602823 +722826542 +815759109 +1283811133 +855530021 +1057746926 +1286457926 +1186479606 +1934204224 +282323036 +574154156 +1759325565 +428234445 +49882608 +341860460 +1865389220 +275838447 +141266514 +100781063 +322171228 +924466486 +832072648 +893211015 +682681459 +514228975 +652061001 +2002899597 +1653206159 +877421178 +165383751 +1375807481 +323540353 +888210293 +44082942 +1607351487 +1743740314 +1101829868 +746325765 +782736272 +888550444 +1028648801 +1356890428 +500392362 +1456883247 +1406773036 +842252822 +1174788819 +1682611484 +983519336 +1275569883 +2004782712 +1907985823 +2107642531 +750510079 +443183634 +474387859 +1402571081 +298599583 +2127594018 +132508611 +463983334 +1355917851 +456048965 +1352193627 +1400000793 +2063400452 +948450293 +354347013 +662242569 +1731186565 +1242897457 +1690891370 +940593345 +1743289819 +1000290969 +199882734 +438058993 +27596141 +1882494218 +1421578330 +1303166024 +1739793282 +1182080505 +1263324907 +342819713 +1625264139 +1737712766 +1745390794 +1923863722 +1717823136 +1877899406 +240363408 +926257339 +186464723 +1592557035 +178774484 +102381527 +393523680 +533121497 +764624096 +2124710245 +1776018955 +308031818 +917819942 +1371825126 +1308322788 +1117702676 +1809884120 +1335918929 +852713246 +1083978802 +491601305 +445022880 +118575659 +1754926212 +787842594 +1743839798 +1345155331 +385749740 +1520219872 +915494819 +116165498 +1760583280 +1841752159 +302630221 +1205656667 +2020526643 +405011748 +1599180347 +406164493 +1169635844 +1576406944 +34699800 +1477667663 +346743238 +1406524926 +638506803 +1464445915 +1068925398 +1974425732 +169675513 +5420552 +318543389 +614698394 +123996211 +2073469601 +1402540988 +1867836009 +1271141284 +1788290728 +1240572233 +39152456 +1904456227 +853671865 +1880904615 +59602800 +2059328532 +1753947610 +464614549 +1511025231 +12628455 +1634250393 +939948527 +47328255 +964434408 +1286691766 +1453853182 +1602941211 +603654033 +375294932 +1429883295 +773329546 +380715485 +1748426684 +1388027940 +504711696 +1674412638 +643085280 +225064058 +798070274 +283892361 +1465636291 +837222730 +40864940 +171824509 +570643697 +100467740 +83669393 +177107660 +565082289 +1594694625 +189736115 +51849035 +387159504 +237064371 +1016283443 +1673851270 +1690917553 +471741007 +130021655 +2066212485 +1901624302 +903351202 +299444322 +1502567339 +143895494 +804156019 +1029496329 +786980775 +1029220077 +1827566603 +1070873136 +347372720 +517305686 +1111738076 +519197229 +1087949383 +1212205816 +602866623 +1265057043 +1777288106 +50077600 +1454793159 +1829137141 +437237104 +1691857530 +697936936 +2111088375 +1235291435 +1169677943 +93626382 +1154020272 +923818598 +996977584 +1453464595 +278902289 +1140873079 +110136966 +1308398618 +1927853854 +1139357043 +988481573 +851243342 +1486729763 +1505787259 +1962981418 +2005926993 +446252995 +1027703586 +461309968 +1711310038 +657508044 +511387568 +1018619549 +339161537 +948624672 +562993431 +1037098474 +912229399 +1798284866 +59292769 +1005855782 +804821491 +983111367 +2002833366 +110802438 +1262013656 +996222797 +220939404 +422928626 +776593003 +1360296447 +1411410200 +1627836345 +699542562 +769713811 +1443334115 +557985907 +1215966806 +323554054 +1019295875 +779793197 +981062098 +1530683443 +1798412746 +1320223636 +331824468 +213922530 +209838462 +1244053867 +2012207396 +269131231 +102426001 +669545239 +1252242599 +2105259368 +780347677 +366772607 +953998517 +1001287081 +789701234 +1730591521 +214099880 +53627786 +1210944218 +913642443 +823341597 +506794686 +1471628350 +2039308404 +830348740 +343440578 +671617953 +1811410838 +1874124021 +322547051 +984150826 +58464841 +536469581 +1193989288 +1302518709 +401193330 +1463120520 +1404944710 +1070738569 +567879471 +1362720430 +1851086247 +934652078 +169235300 +704889680 +1724353312 +1899826821 +918989561 +1777981098 +963287391 +1832632004 +453839048 +1470082077 +1156776706 +345663804 +152947169 +1500217284 +1017281757 +1964358008 +1226857658 +1339828808 +801025186 +1285322499 +1876298390 +1995014475 +440357560 +130008072 +1310651347 +1845302271 +1200746641 +1878530818 +1060539053 +904349240 +665699248 +1229774353 +1609238921 +242568913 +982117526 +380744834 +2020550011 +1945404918 +65893190 +326905411 +1268003347 +1222669896 +672569215 +1420950517 +575403533 +1689850972 +1237824877 +1802261191 +882196133 +2038850063 +940100042 +611010875 +1886380890 +1380457603 +741018947 +1049548589 +1078276226 +1941765588 +780595759 +2138815279 +698631181 +1446295008 +1221105985 +160386454 +1688863921 +55739863 +541131288 +1561930284 +2001144781 +607024478 +1888835696 +1121664481 +1829694374 +413921263 +395131350 +257614259 +2103772236 +1632956227 +2059875450 +838484721 +1524322642 +852491845 +1449495596 +1263219885 +85465800 +43030895 +165284826 +1163742026 +1984796483 +945880586 +1155073657 +535944016 +244691946 +228695994 +696330470 +1933555867 +284435858 +1237461758 +1348002503 +138096991 +1844486236 +1089354551 +1259761472 +1526696963 +1503275815 +1654892822 +1784311222 +1459564403 +1140365401 +1696703025 +150565476 +517204396 +401711222 +1600061072 +1780424281 +487177022 +1643091967 +1945709107 +1650919048 +1480404802 +744106045 +658509057 +2016348819 +988797991 +887205052 +565195641 +774870210 +1171640910 +1802657400 +2122872714 +1309737901 +1499659988 +1064743617 +422015726 +878873303 +420535784 +2076908548 +515700878 +1880100187 +1069790302 +64920255 +2030665663 +1586994698 +466631477 +1483243087 +1219935331 +953808499 +978851406 +1018160790 +457243899 +311772561 +1762266836 +1115752956 +180637732 +603581179 +2002958008 +745833373 +1378451390 +1027115270 +401007125 +1353840456 +189369524 +1900667114 +271100425 +611385250 +632056769 +691636210 +540810150 +1147757647 +424252749 +1610600452 +1212677902 +307434765 +1050111502 +1679309379 +1790677852 +122563185 +485634230 +622045611 +1140723976 +942878129 +933818172 +755507164 +2058631086 +1114455904 +1359088343 +1914105446 +1860289277 +590056085 +793737069 +113812755 +1943896541 +983106593 +2014479869 +67513319 +1594491843 +499052990 +759149529 +2135301993 +1646810638 +1183402278 +1598418798 +712004892 +1490837043 +501046652 +243830624 +1134031248 +623609838 +729464854 +1756076859 +1764333814 +1672342984 +542411383 +372357330 +1583490422 +1656867287 +1731445673 +1350112220 +1369672916 +174018111 +2143849289 +1483485671 +2117914652 +979472234 +1350481892 +37944323 +426480429 +1849534883 +797093852 +414298775 +1348861873 +1980496131 +2012717573 +2060866765 +1323849526 +366280577 +157213741 +310397126 +989890415 +886678596 +2066473985 +606740581 +411537932 +461401720 +979097911 +1995028354 +2118269007 +563059937 +1197656926 +1340458276 +737078048 +1194022568 +676460299 +707509052 +26011154 +2026942192 +745453376 +452491584 +1728993427 +1542547228 +866790359 +930371652 +1375559711 +732024284 +843754769 +551925590 +1098304861 +1000968511 +862322716 +2088195277 +1887647107 +781313054 +547452210 +151701391 +1242714774 +1526550122 +2146729745 +1213500134 +2089610059 +1196903023 +406474762 +679204459 +243441943 +1082935061 +1386713511 +269453098 +962393605 +2132166887 +721944682 +543903384 +1527230468 +1588735041 +1474275036 +755306531 +173275677 +170546158 +1307232121 +1271580538 +1171514669 +22071190 +1212292167 +911678128 +803384244 +1759744378 +1063379519 +2046099018 +1138810852 +1062625616 +1112115504 +1080937263 +112044991 +1518590266 +1760141722 +355486935 +454041680 +999371585 +624940033 +1416435285 +984054825 +1346884715 +1960338670 +363801645 +788136108 +1287130058 +1119108176 +961411785 +1457676216 +278856650 +85508675 +481707237 +300927840 +1297800843 +1393385365 +1104312084 +910061573 +309281236 +1002927454 +2048872425 +1371906852 +2115042959 +982326040 +1483951844 +1486149577 +594984114 +1839438779 +1940191257 +1594355699 +316895164 +1209142895 +430926876 +1663779879 +1021997917 +794728521 +304432339 +161644327 +1913836698 +1265844124 +1619320544 +45209700 +1351352799 +2101027781 +346137540 +501669994 +1346929499 +1450449624 +1411731567 +1656210735 +305893430 +1313120344 +880633940 +273452741 +147962736 +217102136 +1759602319 +742946850 +2056540915 +1552309928 +189818902 +225952431 +613969175 +620745778 +1889732310 +1635967092 +1415474300 +46681001 +1797611420 +1181827350 +1312525125 +1269448316 +1227037050 +516394276 +1222992449 +1573174590 +1018064271 +422438300 +876140566 +282312190 +2078649036 +1182033996 +1595432535 +811799328 +1455486738 +1743395271 +1028901464 +1067605409 +338858474 +937958731 +472431689 +528677376 +1163911162 +1086400865 +1149423154 +906159824 +574884309 +417413806 +952840825 +225012081 +1599241156 +117882302 +1494460397 +678794558 +634276578 +569969199 +104485500 +1652340849 +992407499 +980626066 +1934653040 +923572887 +15176415 +1382601927 +1735372215 +1470663153 +978513550 +616790031 +390784914 +1317372024 +1554748762 +863216603 +1846049400 +571176276 +1949617468 +847988907 +1477336100 +377018130 +1265402713 +282693277 +602030211 +717160222 +400575579 +2096490609 +1395954780 +1034852158 +518976160 +1500440281 +539709359 +1511383659 +333582699 +326878751 +287472899 +348759114 +1709480678 +2022845114 +1819422267 +540510581 +492151498 +62723533 +1857882605 +2046900260 +925940137 +1556448358 +470592889 +728073957 +256953617 +1947928989 +1105092087 +1522356330 +83138619 +1707122299 +92032904 +483714198 +1656129260 +1487987685 +1518566356 +27621772 +840944318 +2058275716 +1539005431 +1174527017 +237670819 +1826478330 +1523286132 +1947151498 +1701839797 +1195224751 +340178431 +46507647 +1257948285 +50577388 +2093407907 +36404774 +1607025746 +416517148 +764478731 +1863979363 +216962490 +1869570819 +1238852046 +300101109 +1429209470 +1330884950 +783815307 +937855082 +671388987 +154898016 +965476854 +1512333305 +65690084 +356998637 +539376675 +303360903 +35993320 +2062662807 +103028753 +1737833117 +1110403910 +443207184 +1784340764 +220868547 +493784573 +1730265023 +257273321 +2100810319 +2146782172 +1021752053 +1817306035 +216261014 +743839224 +908674433 +516362123 +25565046 +92075735 +1300177430 +963420128 +763464723 +1455075446 +1928896982 +128314380 +1520765530 +138411971 +667691055 +1824126434 +174405291 +582870214 +1927155187 +1912238408 +1693274125 +222878724 +1549095524 +1914142672 +716663297 +1131876900 +23932346 +669989968 +1131175424 +1045684399 +339812355 +1347436438 +1789523623 +1248486788 +1863798561 +1815088669 +1340562524 +1016492343 +631025149 +2104027247 +324084142 +412438483 +84857979 +1844849672 +550850454 +752549035 +1521492458 +725255746 +1335419249 +1301163998 +490010506 +881209726 +1524042722 +2039106031 +647868751 +93222371 +1023499283 +671801097 +763212339 +7191059 +1717485496 +1103024695 +1354627497 +1359525471 +204027835 +1070942410 +1027130492 +1544590359 +2087434753 +1658155641 +1501133958 +264035247 +2070594124 +1585991938 +2108884920 +473960930 +191057325 +1482893730 +1199216676 +1526476574 +636574080 +1689227183 +260202653 +13133154 +1580849566 +908071404 +106355525 +456865201 +1579872501 +869567865 +464056260 +1149874349 +1972592560 +1818683757 +361916172 +29136747 +742142519 +1389046664 +1573727107 +682093624 +899718657 +927377417 +946128872 +822829133 +365885707 +907530144 +1296790063 +556943032 +242940226 +348523092 +2083419607 +879514307 +2037750275 +196138612 +892647461 +1471116193 +1104210016 +999002987 +1927981394 +536598869 +1868570852 +244554006 +1686473218 +1693679764 +2063237763 +2048389390 +1722816511 +657896634 +1289952406 +1149059970 +1339990258 +42187415 +2076437388 +138635482 +865016548 +294839447 +1046165626 +14322963 +851782480 +1289105853 +362846055 +787718439 +21136512 +253112682 +983857051 +913783973 +1724228875 +2088067067 +1912786960 +1504726621 +477182288 +1633874164 +1749280627 +16171858 +1180070280 +1665034742 +2064561248 +755403144 +175447728 +1207030006 +1904463114 +1515437987 +1249217421 +1833416854 +1654073469 +2114233969 +2128256302 +552755448 +2128556932 +832555134 +1841861301 +343919340 +1620273573 +1862997813 +597032022 +456646976 +629298138 +173777250 +397230395 +394601451 +1678503871 +874412683 +2028475615 +1280300851 +890584541 +1061062248 +797851945 +807662141 +1816465392 +973299674 +2014692147 +1573444858 +341254013 +1116425920 +1259378065 +1995327482 +1083176241 +1240150719 +400599282 +1064249525 +2072705853 +94976935 +1408168865 +1545495778 +1957974748 +2005200888 +2002142754 +439789239 +31494490 +251889501 +834390690 +1709998361 +1126302184 +715382657 +842815564 +2016886725 +1776444905 +1640667510 +677065218 +1445426649 +466483536 +544273717 +871387860 +807737549 +1660699637 +2130765925 +655581383 +596392230 +1223432996 +1056180666 +1660641755 +1148655201 +1151157601 +921326973 +546667331 +961648702 +779044213 +401326437 +1401437941 +810538703 +653215938 +88344983 +373053416 +1779518122 +803727640 +1215868981 +1648921199 +432688898 +709052843 +178502769 +1878115547 +1175536379 +722776486 +602019759 +1983273928 +235992475 +585302036 +491371663 +832384705 +1808735032 +1547552329 +345542812 +809906585 +551226283 +1266869785 +1356573916 +1512874985 +2045913998 +1757900353 +766829278 +708969053 +263632643 +855174261 +1082022470 +2043150765 +1658901901 +150407803 +1544588316 +2091590799 +859460646 +1723091085 +1822222699 +2034997025 +298383923 +276758810 +1870787305 +534376398 +862060847 +214675320 +1366761103 +523312231 +1762227650 +1712303916 +1333218817 +165970285 +831690053 +542309085 +1678845270 +730120404 +152725791 +298190900 +1439089457 +416358434 +1153365161 +373628279 +312025552 +664783414 +524036082 +1856613868 +608890566 +1383496728 +1432221306 +283629617 +1271010105 +1730605229 +560388427 +994313762 +117497980 +1422449274 +1208989083 +1484259083 +1945761506 +823733085 +1049079351 +1131496675 +989703370 +1880769405 +1673805760 +521064992 +463406161 +1826531551 +819255892 +1902495618 +95406338 +1972621053 +128640250 +407431890 +489920819 +652676332 +116562110 +1098811385 +2036173061 +1548783416 +1382441002 +1159699518 +1131904998 +1942829430 +6529633 +1249402978 +1217795056 +1215518716 +586178413 +1016072914 +2039251801 +1635257765 +85941 +881471523 +1368543522 +1673891702 +1402536515 +1831949683 +1352939605 +74308759 +1586961653 +1448345943 +2046929812 +1715601903 +1855777833 +389366983 +220794588 +1972339944 +1488178369 +109484001 +1373639712 +723135723 +1269183519 +358061062 +518481505 +1275713152 +1607464040 +1736276562 +343748220 +46158806 +604865828 +235516373 +1681416571 +604951770 +1116987896 +902476445 +131359824 +372040763 +586942480 +1484299429 +446349522 +26420485 +785161725 +345795686 +1742022389 +493455910 +735162670 +1962816977 +318312206 +75857391 +2072300978 +1691951919 +798993114 +1194000849 +2050012981 +1317474620 +322230354 +1509993374 +906267534 +665978574 +1556152180 +1511133362 +901494948 +1090085103 +2116085132 +2018482844 +1992561548 +99961308 +243039960 +432020380 +1584260738 +689389482 +458440865 +221938815 +1035185169 +52979606 +715394725 +1770347839 +2015796583 +1033706932 +1846205230 +1940613913 +578175203 +497714696 +987131115 +480704536 +1815189316 +1309361469 +1990697910 +573973202 +1975340043 +1399366442 +2085106565 +729351343 +341967897 +2053708049 +600350540 +187045797 +6185710 +843390500 +619066177 +1590446448 +1532779982 +1077507043 +1812385263 +420481503 +1130486649 +380296340 +43345694 +998799585 +1414003272 +1889550924 +791929850 +1992178475 +239781973 +1779060965 +325399364 +2054971289 +940938786 +168613626 +481460844 +768795182 +1567980069 +419083761 +1498146525 +1909947966 +325308162 +2098497065 +2096993764 +331493872 +794403917 +568576293 +1921940320 +179700252 +1646083336 +1586841935 +600181755 +629086338 +1967138276 +643527450 +1627885923 +1233657900 +385594726 +272332125 +1078352728 +625376699 +2051393091 +1403752092 +532864341 +844848229 +1572365718 +1014325185 +1613643411 +992862139 +1433408946 +964306289 +755326458 +1758717108 +915319706 +704836574 +2090210981 +1709723624 +1273412867 +1864667653 +1889423876 +772012556 +1304025941 +342121983 +1401098894 +1123680569 +985649433 +881501169 +209854821 +1371244160 +1153833294 +1288207549 +1996620859 +1057742737 +544475993 +382001552 +1902590967 +2116841712 +1396326737 +1368750730 +962220203 +682252035 +185573371 +1717546661 +293485496 +1100893078 +274899587 +236212829 +663133054 +1548312455 +2100880482 +405073282 +172841363 +1257422775 +747195265 +1573940257 +233619696 +1732844699 +307957778 +443474518 +956605211 +1461791072 +1731682067 +805742422 +372050162 +128674413 +1187743975 +127157481 +98032477 +436587064 +1495908211 +1060252680 +1118839100 +1681481583 +630315694 +1412324596 +634891013 +905215281 +1648537425 +1298024067 +306044088 +1601934259 +1703097349 +478885451 +711873387 +302808966 +2052825708 +945493083 +2035653665 +213299838 +1388967601 +844775228 +1675090911 +973166021 +1650517651 +2047141073 +1101840434 +690777978 +26814906 +1199872911 +1127365042 +1522723117 +112641943 +98720494 +1056721052 +742957637 +1511045090 +1691612065 +1648172919 +1012098867 +842152484 +1954217007 +466549479 +397766185 +285618811 +1178422866 +700575152 +190960871 +2123915949 +588745169 +404260710 +1365399903 +1433520398 +2079351621 +191082276 +936554401 +1979009046 +1292922710 +1627332379 +2005823952 +345311973 +607213773 +1381063421 +457953916 +705934268 +290300826 +1200911554 +69495710 +1981912891 +701600825 +1081594578 +676581728 +508334184 +1548144057 +1074347913 +793952995 +579083275 +1774923065 +984913867 +555515576 +216184587 +1389174577 +1920915479 +1649704985 +1321042550 +2111997755 +438775738 +1152567948 +1257436817 +2066108117 +1010908252 +1602748790 +525838242 +244488025 +2060702707 +1231772510 +534788851 +1114130613 +1301268221 +369218095 +1815731438 +235379151 +1045799823 +176581974 +1783523208 +2120147736 +970534970 +215122835 +1747587154 +1955448837 +770638411 +1963771741 +1197139766 +544070243 +1465993078 +370698668 +508584350 +1904768816 +1523266616 +1766021168 +1823393285 +386691220 +1221286310 +201747879 +631179245 +1134505369 +1433520390 +1165968097 +101152334 +587304963 +1535186192 +1916883772 +822684114 +433502367 +2093465747 +458723674 +406166455 +916517069 +673846509 +6269961 +724482258 +1444484920 +1970041702 +1921622024 +1988555163 +1288551132 +144837044 +349655866 +1045836300 +1668103660 +2115677034 +721745937 +2054794880 +1189479696 +923493817 +538490477 +176501418 +209530559 +1704458574 +277653752 +796835522 +1092161118 +47053877 +1619519636 +1525663485 +2140519624 +2078243310 +1931829941 +909553045 +604606171 +1938099902 +1634035303 +2049091091 +1760657957 +1408173679 +1890162607 +901725441 +1553010723 +92334825 +1947561742 +1073630735 +60528211 +521824031 +980941967 +1250007907 +1445317848 +1519432444 +1426509325 +1654848407 +1076407371 +1704163078 +304200281 +21084841 +1751216955 +1923719917 +1546748327 +1744252931 +1854479579 +1331094620 +506322328 +311602102 +1121710874 +2140357631 +213209546 +734885183 +1401047662 +2103372153 +1636610625 +806574737 +48223330 +1436688719 +1880205472 +108751541 +1958512750 +713663791 +1358759448 +1256346951 +85612587 +637785126 +763711710 +1162019958 +194464556 +1067911992 +1183104800 +1945681511 +844148261 +582369479 +1542450794 +551144193 +1913464099 +2048773122 +862746295 +887691325 +2041647105 +1075955841 +1622576509 +1295211119 +1031844346 +1111703486 +2101785856 +1080067676 +400908557 +1834507680 +1188819217 +211937659 +400687823 +400095018 +1468284610 +486300410 +1037880144 +84512673 +1648320369 +1232344700 +1152424665 +683941521 +1030542563 +1996572926 +1266311000 +425509709 +400233471 +1032291451 +326799183 +1262979767 +1919982776 +220962640 +191451960 +1395075637 +1516173759 +1223296307 +359295475 +1470475967 +155880335 +760204032 +1157499999 +1344699553 +972141692 +1558187822 +1744794571 +292942654 +2044488232 +635191067 +377455327 +1545324953 +1867535767 +1529879992 +81782826 +750594682 +1378969271 +1348093826 +1176104391 +1779202742 +232901629 +1502903574 +894698861 +5400758 +1723866214 +1086150822 +1400476395 +1092556325 +161963481 +1759771871 +415548644 +317843816 +372492255 +1573048643 +1662543369 +1344633947 +983752817 +1259854292 +1637576602 +880757401 +1895045359 +2015031929 +278598707 +1615097478 +1397428274 +360381533 +218208512 +628913897 +1708475360 +1394312903 +260632991 +1941376989 +749732829 +1155331853 +1946777747 +326115395 +93999027 +1199770495 +1418671720 +255962508 +812058718 +1834220364 +573806324 +1184550973 +1259785359 +88866046 +381701273 +96054528 +1348720338 +2019277875 +976811930 +1096282050 +1886826156 +1255410637 +563895880 +1136770782 +1615792170 +782104393 +1765684679 +1176783882 +28933648 +2026317671 +970677224 +778666478 +1034165876 +769971323 +1104781873 +1128164903 +1969741818 +375969946 +1384127411 +634316888 +62706662 +1957933735 +1818867862 +1322492022 +2046799781 +53085487 +1418546550 +1248036472 +2072363362 +247874832 +196834874 +1811705870 +1503285469 +760730754 +800993005 +971593992 +1542835147 +419194036 +894226 +1571768796 +298028059 +971571450 +202951626 +1332193935 +1741542774 +1307733499 +312875190 +1563800944 +1683703445 +1697002601 +50634185 +1746410108 +1507452689 +1869502047 +921418482 +1406768822 +1922587534 +192481384 +507321646 +1847467248 +440356217 +704156520 +1511689470 +1943641686 +1464887275 +165198827 +767752030 +860238774 +584392864 +768646257 +284523922 +882420923 +1740217707 +487475548 +67131211 +1334276833 +1795209048 +380006401 +750594130 +1331428845 +2077009003 +801228315 +930355305 +1436978044 +523246714 +1851773787 +696263218 +298350600 +2044255172 +1203584865 +2145817848 +337127741 +1907741385 +1510023670 +133285779 +1225145012 +1675222498 +901037810 +2085383787 +112131714 +1669684067 +222424061 +994552637 +1262418126 +709899610 +1061683848 +449211312 +357625010 +1441690250 +1199805442 +1689053855 +1371215605 +2001033757 +471925513 +660710001 +376796823 +176215652 +1356973219 +675147423 +72987176 +413074436 +673481623 +410114917 +173332174 +36021645 +543400697 +1398477186 +1711244143 +1444438507 +1336377325 +1823375857 +966638926 +1558801387 +670444847 +81573404 +121217349 +1732128695 +530784716 +478842359 +1026335297 +1730590158 +20412566 +250067254 +1584140267 +492338079 +910777255 +1960937090 +668553732 +120266827 +488600865 +741540908 +533341263 +1162082488 +1151655826 +706673437 +1198104134 +1695056523 +2105150624 +761864629 +992011382 +1294044301 +437756839 +1958650308 +705362040 +1108201686 +2040223712 +826579389 +692846733 +423524781 +1305421748 +1719182031 +6631291 +1325834315 +1969249285 +1590771559 +1818172394 +732542893 +1404225001 +339242478 +852809720 +1892825867 +1080783387 +1386150983 +907424707 +84955565 +2092824421 +2105528841 +1780012088 +2050491397 +719909823 +624539822 +1197052050 +1157666662 +435706482 +1902414091 +118384700 +328446546 +581509832 +811231433 +751971327 +1886931581 +382929816 +758602619 +1065282248 +204695454 +201890530 +735970994 +937238347 +1606115531 +1075213473 +1790048067 +1351457750 +8513212 +1028715402 +111398810 +93468777 +974056175 +69444003 +1873480865 +877063924 +789353826 +350537039 +2074115975 +1947020488 +786243521 +1829046418 +2065405188 +1114690067 +263072602 +729152974 +1866661395 +2520535 +1112082790 +477780366 +1067802783 +1316778244 +679670896 +1803773778 +106532943 +138302779 +731503603 +1896581010 +1489760530 +740016815 +777812765 +1601159340 +833485592 +1751868940 +1670603343 +559482809 +481449217 +312473522 +910019848 +408081544 +112010362 +1696263369 +89644314 +29931903 +663469788 +352716916 +759084877 +382647535 +355237452 +1871167667 +860427901 +1423040235 +1040462264 +1540098797 +1079330365 +1146995207 +1678401577 +1810833968 +896092570 +1020678459 +403367135 +1673905335 +474354151 +1236852727 +1278290627 +2144957494 +1796335536 +1759739844 +309947368 +558871736 +20337740 +421957731 +107651457 +109982054 +451889634 +771121246 +462698971 +1210974511 +1153768781 +817936423 +934658530 +2014196683 +93493010 +1975120794 +1406811832 +1172823376 +974632354 +937729761 +836173696 +1870724924 +1958408220 +1239540832 +1397146611 +285278723 +328909911 +527953590 +282752570 +2125245448 +140209787 +592699938 +536633536 +160547527 +1014657669 +644284994 +270529582 +1466547303 +1415406240 +733228553 +530038166 +421691373 +1551164976 +1464696697 +288404408 +1644657986 +1292333843 +1695216241 +669997714 +119482549 +485462354 +1506171411 +1990207473 +296386927 +598228595 +1239870436 +581665650 +927138506 +1767824027 +864418220 +904900306 +1908033814 +1457118159 +1441533843 +2068581341 +324292180 +2085818837 +191627275 +1790839484 +1353741429 +924855828 +173394002 +1775432802 +328537156 +1638090699 +2063837211 +1973195143 +782940895 +1611569804 +495709209 +902423444 +2097032158 +2001880620 +745147270 +245935437 +452625567 +1985017706 +827601088 +1379764074 +1605358085 +1692019308 +137180732 +1365908251 +1001653819 +1578714575 +1287005945 +1325946000 +1517049764 +1478633220 +969301836 +723307545 +256005401 +1142695838 +351256700 +584542557 +633302890 +267610263 +410254052 +1416243785 +1879180067 +905963262 +171183581 +1828728577 +760360234 +916330851 +2074664015 +1212985802 +753864910 +754781455 +445266228 +211739347 +299317115 +582446960 +1577647599 +1300970935 +13677888 +717169896 +479433287 +1530727652 +48319468 +1448735123 +106551550 +304324869 +443947313 +457808250 +888867427 +1077250203 +725418513 +1299121479 +346010340 +457114932 +57601093 +517193922 +138359861 +817961328 +1433524773 +65540228 +2030947130 +39906035 +820321683 +328729710 +251645383 +1119638799 +911176670 +1829292982 +273126086 +924854558 +398979230 +752559373 +308098563 +447298698 +53810848 +414650113 +751623568 +497758161 +872458363 +1640490995 +1575008365 +1597876876 +792128826 +1921018705 +2054991808 +849729920 +290728979 +45868021 +1667691248 +1724253753 +111408250 +1551154730 +1764159788 +931729933 +1879884440 +2015805171 +2051368732 +643577462 +1697614505 +177011170 +1568432021 +2096593735 +929570543 +1876530584 +396408786 +983381391 +143697049 +1148032354 +1481139553 +1016155412 +641039701 +908664270 +466548640 +1433168527 +682199327 +374056800 +135414799 +972928307 +419924821 +1803106047 +549698412 +531333071 +1206777129 +166374552 +1463063005 +939177921 +34696076 +1366948089 +1582755384 +1732310581 +1543959260 +1003703757 +1681420669 +326046155 +732750693 +2077829455 +1309427547 +876447742 +1078378161 +643083452 +1892603154 +1719417862 +1551747722 +211668146 +1005102741 +86463401 +585724946 +1140517541 +1059391708 +1005649767 +796139940 +1609090120 +1536982839 +2002917070 +1775464673 +852562196 +794611343 +1810160749 +72026637 +229883079 +1394987682 +1615985897 +1233586836 +928924703 +1942032053 +1966337529 +859270510 +1103975952 +695301623 +1937648671 +1747059404 +440421129 +1509582885 +1151323478 +652089275 +367201979 +1237786879 +1237814221 +1507719520 +149694940 +95980341 +156375812 +1758785060 +1632963180 +11809234 +1386766085 +338041728 +806420578 +1049443186 +410068365 +1036303657 +296947221 +2026054263 +122406846 +1225871924 +1820602668 +2088744375 +2085142435 +777094972 +636562351 +1875307458 +376670728 +1076983480 +1237406696 +1527994206 +1729072756 +1604608675 +618297437 +819403329 +964844547 +767992377 +915383670 +1121220359 +379293790 +400863202 +1133029594 +1766059875 +738904930 +1939450172 +668019414 +1148973296 +828270181 +964966635 +1027543911 +950677027 +43354911 +700662931 +891937755 +2128497346 +1477757903 +1528500106 +1856321157 +1854428631 +457999938 +946244205 +1234939189 +39589046 +403369232 +1853236626 +858992376 +1368213779 +473745356 +1774376046 +341950490 +853039146 +27755601 +1474980084 +471615373 +766660531 +1266946608 +1139634787 +1915633827 +2095216790 +2104601422 +795694090 +898410169 +472686 +1496357021 +1790347924 +2128970032 +826631276 +1171364382 +1837807541 +533576259 +1629364321 +636568098 +1768515448 +1668953367 +1039937330 +1474268427 +380462095 +260667461 +1948013783 +7354494 +602617952 +653569281 +35110095 +2077598036 +1125184654 +801770626 +1197060997 +117335794 +569920806 +1144794139 +74453568 +1365614896 +2043204308 +74926254 +714488270 +1686068585 +56412639 +1541119546 +709949319 +1894220180 +2074695806 +191829992 +383304631 +1695727606 +1860783360 +1423241961 +1022512385 +93761807 +1683909423 +823042520 +101116301 +139043727 +1476611801 +136226396 +69158115 +454312808 +937997023 +1266219112 +571648602 +1507917829 +263529603 +646102170 +726049077 +159250264 +721028425 +1440537347 +1845318849 +777441064 +834173246 +407784520 +524177596 +761385404 +599614513 +907482227 +309629362 +312914225 +183240541 +1332141748 +406676032 +1867149964 +7700620 +507792334 +2006193691 +1484312422 +644018730 +2075351806 +1938625230 +1582015753 +1194087271 +362790184 +942449934 +1457616874 +1008892354 +1668499012 +1616867138 +1729920779 +961552711 +1314702339 +359878195 +1795725957 +1722486860 +884055792 +409627713 +174617725 +1791538019 +719257076 +487531950 +1974778560 +2051398824 +894207982 +1694444876 +2059099444 +1402000316 +1553154919 +1395928218 +2046019047 +1481023078 +1187069800 +1480551152 +527626701 +1549859984 +275517439 +1985243575 +411268691 +1944016451 +1454627066 +2141189470 +758085514 +621845757 +353584018 +406327824 +196848969 +1237639810 +815955537 +371466694 +881694181 +1535212613 +858998644 +708989094 +1439127789 +1753206627 +255950322 +1350743586 +1007723295 +1809105242 +599188156 +906258694 +1142644672 +1786257957 +239326199 +1670271373 +1188634293 +514843638 +1508031300 +1599902984 +311376441 +815174718 +1593608807 +1069461955 +1437020476 +1947192825 +1475789779 +1633869445 +1037348987 +144261669 +2005336140 +1919043168 +1679474282 +716851136 +480548614 +971118424 +322574115 +736498937 +174378362 +1330297411 +398120531 +773566518 +89072457 +1540765203 +412340827 +328398656 +1063552928 +1600975121 +843242294 +424100580 +1053394457 +1154618735 +1239275299 +499519616 +76597043 +528812127 +299228793 +1552386822 +15197924 +1336577780 +1696648491 +2020534064 +1108137301 +1228639126 +589901553 +1588685915 +52273902 +912475668 +177701204 +226652264 +95289431 +575821735 +1000218782 +184361889 +2116586938 +1412559610 +512760545 +1032656218 +866051083 +1356002840 +1456756799 +1919445540 +363137927 +548548450 +271481509 +439734970 +1077360577 +570710302 +1992121793 +1092558501 +1907288083 +1541286636 +965608918 +867941736 +622442114 +1555510471 +309144003 +674716016 +320502491 +486845208 +901368280 +415791923 +1062666943 +1901587063 +600153812 +1031770234 +1166663025 +1112914357 +2064426452 +2032714108 +321433549 +1373699603 +1804676000 +684571477 +1922248053 +2076157509 +1124306447 +852124982 +499384164 +968944592 +1944683484 +259188599 +362747581 +762808754 +1127130335 +985189695 +170835577 +1436274338 +1659905712 +491338068 +1923119546 +413790344 +907129991 +838302842 +167893759 +1507283803 +1870073076 +1334556784 +472714513 +1787015880 +1219787244 +794148062 +1013231836 +876979597 +1478719539 +787996241 +805653458 +455542339 +1640121224 +1305037622 +1424486931 +1437321060 +1564226221 +1787234512 +52646166 +543872908 +624940560 +223481743 +1980147247 +137362624 +714819811 +1755783145 +551152968 +1621949803 +446602339 +719046728 +981749958 +169191767 +2053603512 +1454464471 +1956207648 +1125907109 +101128886 +821955836 +2002886706 +1579848425 +1609952077 +661056516 +2035390764 +1102589653 +1966094139 +1312394048 +392427065 +1382836712 +952144912 +445073231 +1926709621 +1577085472 +668554974 +1759373220 +1714448096 +1383374786 +1367672717 +118117417 +857840941 +1814275057 +837164145 +1839590899 +1983466824 +743284009 +1146571723 +1792190824 +1869191118 +1247700609 +466663012 +1724594176 +680065386 +2076615090 +238167045 +567972503 +1031721095 +56777536 +1880366551 +1424148161 +1439614248 +685027815 +1869221392 +1218840221 +114629640 +390292719 +830729793 +1829077736 +1773667505 +50918863 +1947195153 +484024798 +1865193920 +636875650 +176132049 +1701177096 +1380159660 +1322703772 +1345884273 +1101867130 +422920733 +1812547285 +678977659 +1102986120 +1741678727 +917144704 +1670958623 +625916175 +973922240 +1403841526 +2050064336 +266052840 +2088869341 +1771802080 +1484893062 +56015333 +14611151 +168139207 +1885093070 +1788278656 +219058070 +1684804575 +124819806 +2084251990 +174196578 +300951856 +1637945439 +1554356238 +1623655628 +836346064 +508739720 +2046576362 +501409701 +1187717379 +1002078834 +95604781 +2104862083 +525553809 +721520956 +931300675 +1929395335 +624101644 +1197353516 +1870781028 +248420076 +534762930 +1926796362 +263031228 +702902137 +1664405784 +2051309884 +921960208 +1201726711 +28646043 +858728550 +1375923289 +329597899 +349190341 +782795879 +1953253527 +1185536405 +1291535600 +1852346241 +1686946107 +331769331 +706941427 +1782550888 +289147767 +1232495236 +356588196 +1220448442 +1014406923 +980689840 +270318310 +737704304 +1229109916 +805081240 +517017018 +1492141144 +1507983378 +33939154 +1395967381 +282459938 +1235665865 +1424613424 +1141188488 +464105507 +1754211323 +1490378830 +1246901386 +1559981202 +528431587 +390953338 +1264843796 +67894046 +722722670 +1971785223 +1850444934 +1011870437 +1056796812 +59549482 +84835231 +2071203735 +1040239322 +355153542 +661424391 +121865591 +1160234782 +1178441409 +1614006735 +520734512 +1212380563 +862490468 +803194450 +300562781 +139620244 +1944382939 +764668288 +1893831567 +1287278121 +2011569674 +1306329122 +1815709708 +255039365 +423689270 +1883603755 +977762035 +247990845 +1586565041 +1989632472 +1304787657 +1646114524 +2074467703 +1228507745 +538870198 +282137597 +1889932136 +660735789 +1442372380 +920889898 +127258877 +1963106892 +2133270461 +989749345 +618817695 +286349594 +1129369590 +415716986 +1051017882 +875717509 +1702995107 +915103909 +34562983 +1371221167 +1170143274 +458252253 +1107341274 +421661 +706243099 +546422668 +1990054133 +2011030756 +45053544 +1917038188 +1092054853 +583923742 +51692138 +834503342 +1244659532 +1494064518 +1755393240 +1371918409 +1309687762 +1741180053 +214184106 +1928505457 +2027529648 +1343553696 +196738795 +931063882 +71787558 +1899733902 +1846167791 +106350541 +1123471422 +868827417 +564602795 +83329048 +869249078 +1270845894 +629751716 +711819563 +1134393002 +674805260 +481374104 +78964208 +1258729003 +533066242 +913467550 +355904887 +2027130760 +521377142 +1727823296 +1189334874 +115073547 +1942007402 +970356684 +2142603195 +1138077451 +1167095479 +926183430 +1209865009 +919345734 +624867573 +1316215550 +2042817156 +1493694991 +1880818345 +2126146204 +215460421 +1004180591 +608414273 +927279985 +2138573594 +1283219533 +1408654089 +70054154 +394464888 +1941720331 +983521704 +750369775 +1821367443 +1504898846 +330709423 +863218669 +1619972393 +125233178 +1833575353 +1615091941 +1263310629 +853187185 +393791723 +325691990 +1772532919 +1018659296 +1641907540 +1667866427 +364870639 +1375242238 +1646528983 +580331061 +231939181 +107459608 +1507611046 +223029127 +1390679142 +768781487 +293083281 +1785144030 +563018170 +1276604985 +388030158 +236901965 +634020183 +718739581 +1100120634 +106508929 +843972759 +786212340 +1721600870 +2107283388 +1639399525 +2115392593 +285491730 +1264448796 +986568241 +1927399271 +784831575 +1351438881 +1155157861 +283876910 +1931769942 +1387097042 +391336519 +1291897340 +1610126170 +1782015661 +2060678827 +1903209451 +1419676043 +476213349 +1032330789 +1807706201 +713115314 +1666350972 +378962135 +1813235948 +1772859901 +1222934894 +451964640 +1346977123 +1182734635 +2091364165 +1314886068 +1468226365 +1208329313 +153970662 +1248141988 +1993160888 +1505409543 +255816201 +129554151 +1289695837 +1642913244 +520890670 +434109529 +1105555766 +155422683 +347304708 +861281569 +1575098726 +823518057 +1893612358 +1235321280 +1536633371 +1412479683 +1614283415 +1202385671 +1037855936 +689734661 +1654350312 +237349412 +1872469296 +1598230829 +1552235480 +1193212014 +659076495 +1706206142 +293870354 +504753735 +1064132037 +549686556 +634307886 +206344226 +45116152 +1155198556 +640453755 +1150671918 +1310621239 +987758463 +2011953487 +738236318 +1811276520 +1758082198 +1973557598 +1200426243 +1023078233 +1440357365 +255328267 +2060934169 +2130092026 +1909678579 +150799933 +1855077675 +1360425760 +1703035414 +900806041 +2019502255 +1261757908 +1194676395 +376772343 +178406298 +1744362951 +1011080229 +384750524 +1789479103 +18795138 +1025204280 +792667373 +1329416377 +2012962743 +657137213 +2067652695 +1676755616 +267735763 +1893726645 +729698211 +1290813996 +1186600362 +985026478 +1204264517 +1169208741 +747221409 +1355064451 +876802768 +2107647170 +910616217 +1777608809 +1979665777 +24890477 +824801556 +208954472 +203296775 +421680860 +1220034702 +588047300 +63676315 +1238829840 +1613251580 +856343689 +420762569 +1478730675 +1513480902 +340931617 +1008002643 +1781216665 +87174614 +1737700855 +924547013 +1273774977 +575243685 +2128811530 +295500070 +1322465095 +1336392333 +1172302838 +1282628617 +99524902 +802427999 +1114810746 +124415380 +1627229555 +1323765219 +327712155 +2048910415 +396316273 +915759455 +2112586731 +1635146113 +381527387 +821446772 +2055908682 +1860258063 +187444026 +249356651 +720777058 +1968660691 +336531266 +310994265 +745724056 +1610306243 +886237951 +727051938 +1905806313 +61219398 +2063444272 +930625503 +1343848015 +15485526 +1733053502 +311175113 +139900906 +1212799409 +1634940332 +467613062 +1114226177 +2031256605 +1383372517 +1079329260 +1518919070 +1764899905 +1900776032 +1427344105 +1477674320 +2088220058 +1676700756 +50967730 +1909397101 +2013232022 +361961996 +507637509 +1476054617 +1248199947 +1234689447 +1234377282 +1309419345 +1150650071 +17519137 +505783712 +1166135598 +1750572639 +816958825 +1306036504 +815888401 +304415510 +1773649566 +1930114578 +188188467 +1009538436 +861960190 +1707107538 +626954693 +615252574 +986967995 +2104629013 +555988984 +516185103 +8113095 +317902437 +381933478 +370075091 +825539946 +1857988095 +1618275038 +2060229393 +944881730 +780210735 +1063395817 +962400867 +1285994447 +82047767 +565489859 +2102953273 +1388084271 +1381378260 +259885135 +1014250190 +1164009190 +448073602 +2023788626 +2025969380 +7697492 +503259671 +493738306 +994665487 +460405036 +1049727290 +1510850591 +468518131 +1367629727 +1892784069 +838593223 +45686025 +1603288516 +309384613 +2105915418 +400686598 +1089595349 +1021827587 +1363087466 +228106148 +1103875354 +1928577325 +183575773 +344475978 +1162471937 +443460908 +1358726168 +178997479 +891534511 +1235031146 +57483211 +899232003 +1738290817 +551221517 +1893897491 +51212205 +1600948807 +1257264434 +519730336 +821094886 +1002564855 +1358323559 +866780911 +458369723 +1667708173 +825212681 +859056322 +609819874 +1847040269 +74660140 +837926022 +803431975 +2003237465 +1021501796 +1147907953 +1018225754 +1464962704 +359150473 +1197223233 +209013567 +1594181619 +1254706444 +1108245571 +1184988788 +1805927961 +854659414 +1236200993 +1259393120 +2111923848 +1755931330 +2080488006 +967005055 +966771241 +799785269 +1425374778 +486995766 +1624997950 +136947452 +1096815640 +1324554571 +211607592 +1934741663 +2127986547 +67361409 +808759811 +1128410852 +1085587163 +126238867 +1487561326 +135326748 +335252435 +934259297 +1390033192 +1443498006 +2119248086 +1048477505 +150673772 +1207965431 +160386977 +115113972 +816413113 +93391335 +1082119027 +1783184355 +893176604 +360010157 +122696473 +370690907 +496957610 +1219512114 +1695245478 +708565202 +1006770129 +1675748377 +775926612 +1815529940 +656675582 +1861513775 +1941768807 +2144236908 +1996840524 +129537594 +931012557 +1239390068 +1573035600 +902776995 +140383926 +1723709372 +2110742427 +300770903 +1838823344 +779671892 +394162239 +773458723 +415372599 +1287338843 +1133468881 +538069073 +1658029750 +1630426491 +1757581187 +1205791581 +191508045 +616867668 +734056310 +967434657 +284913960 +1390731892 +681464785 +79199119 +1387485152 +530821661 +208736714 +171014062 +1770211729 +1781772314 +1073791057 +1910595655 +1357998039 +1037049836 +63882911 +1049337735 +1816721729 +458045150 +1822796459 +84610680 +1745383993 +808781692 +622679753 +1255930096 +291724535 +232777292 +314238029 +483232580 +849644960 +1048294339 +1450667238 +1134558920 +291542584 +2132132023 +1213758040 +1679027736 +515470036 +1422494754 +1850041798 +138198117 +1056783420 +776349208 +2048793773 +267297811 +1813399044 +2112676684 +1316635547 +1482637125 +423238186 +991948358 +1567247806 +21138531 +1800730050 +42443911 +1277068627 +2092454585 +275221204 +1591306656 +428203517 +1124866164 +492117348 +1878870755 +111941437 +783659932 +1863519130 +1325699477 +315204020 +231505518 +600710583 +17762171 +369703636 +1657494003 +794111379 +271013761 +1924791815 +460026775 +236206797 +1093943714 +1942663901 +659444983 +2085892072 +1362428059 +680583514 +1739138474 +1404871970 +1957652142 +1684109411 +1680093174 +1401475150 +2112312928 +657475691 +1893592498 +1843700036 +769417128 +529768782 +1559735518 +2095116605 +844972803 +1791241037 +548343540 +862734974 +13461025 +58353895 +1656846353 +284474786 +1983145710 +2116873128 +520681583 +929605776 +1912053381 +1180126566 +868014200 +1126997792 +1860710080 +459669026 +384386115 +1670878574 +2143778437 +2064479289 +924870077 +2108607718 +574471332 +670978927 +1804824106 +1343888460 +1200747710 +1217075976 +1291521417 +2045720513 +860833365 +1839864957 +760971839 +874294390 +1898218853 +270334544 +1158769176 +1733880915 +239724024 +1679450759 +516003044 +4293758 +712093677 +1384017244 +1131291550 +425320110 +1843686271 +1515677665 +2096198684 +1839981060 +1432673307 +873585113 +1801105130 +2007144639 +1544564041 +1458445588 +1203549452 +597828103 +528037917 +347587221 +496064968 +1388871282 +39968531 +1257036807 +115682025 +1938187384 +1527371351 +1274451201 +1524584651 +1767095375 +806418313 +2040587695 +1771389133 +1518511990 +1277121292 +755197036 +1943832100 +973323915 +123391053 +1892547137 +665821327 +1556064360 +618648602 +319442810 +1415725352 +15728995 +1777888398 +471791156 +613557098 +158442667 +819378377 +1109622066 +1547313950 +859346908 +219175225 +1662995975 +650050644 +1746546576 +789963528 +27151648 +1366158304 +1596381841 +2067739343 +990063789 +967410184 +1197376987 +1745260825 +763758636 +23217254 +1868651879 +508822125 +689038582 +1277232591 +1127470728 +1008481392 +545474295 +1143199723 +638886142 +1017265451 +1756756822 +797328810 +1836643829 +718895240 +197159112 +548507089 +938070466 +1860155087 +1198557734 +537133394 +502634967 +1225709382 +1903291698 +2099016809 +1145965077 +745871840 +918943345 +195858417 +343649017 +1682701981 +219075671 +64817248 +44040459 +908114253 +1342049840 +1171511187 +1916595645 +1887524135 +167227262 +407998140 +757305939 +1923984084 +1205326950 +446466120 +495395677 +1402486062 +994973209 +1433466143 +1115157501 +46047295 +1970599537 +1617792468 +1271756677 +1726407588 +1569325629 +270238107 +324795780 +340785326 +466096524 +668444797 +2023487308 +685172195 +733262046 +2067527767 +1593286449 +2075311886 +1091555306 +1362398446 +1815352373 +1258782568 +1770396586 +425174664 +1035283005 +828239888 +871640784 +1530678682 +83242302 +1866613994 +816661177 +1198399803 +1912661289 +639777066 +668708624 +1036934319 +218701006 +90550605 +1307172426 +543496786 +431335932 +1773268950 +1211941584 +307339592 +310957497 +1945203630 +227383711 +1904243946 +1873031868 +1318939017 +1119158745 +1540900593 +430237937 +742071683 +1966075258 +1465520942 +1570311572 +690232394 +848715976 +1653553874 +409362740 +1665377153 +704470030 +174540382 +157670572 +1373178654 +1211474701 +376371578 +1463729259 +371163479 +919868365 +1895065191 +2144432429 +2131809949 +54921135 +307906278 +1929529931 +282304846 +64666577 +1655078151 +1601243863 +1183825322 +1048495096 +2031481801 +1925897005 +867086706 +1349519095 +1348724929 +1557319101 +50751424 +854795156 +1966681841 +1716128577 +1559265186 +2141222223 +1873799149 +784960192 +1205213276 +102687080 +101205803 +1576376755 +1022555445 +1996270995 +1573325536 +1006881746 +2051192130 +1881231815 +788928029 +186013329 +1945898392 +296522532 +1787257192 +982240066 +1345017628 +1671255345 +760653423 +64620687 +873290793 +2109378353 +1621939788 +924042217 +816689861 +1441137981 +492687146 +228471399 +1434876557 +219002648 +1013431591 +492606185 +321689728 +1114637394 +2068982941 +1344245173 +963424741 +1494824829 +203643271 +867133224 +1228572996 +992571300 +1053146553 +1026987740 +1289093832 +692920097 +2009227806 +486627812 +216691795 +622397582 +551248499 +1089982588 +584292287 +25704639 +2014024805 +1400982148 +1466842621 +359228303 +1629453547 +754235530 +578230951 +495401490 +1246841715 +899920679 +1610038884 +1168341008 +96682204 +425979978 +515682190 +300325475 +1293113202 +1744255186 +1292896775 +198776107 +623759279 +434506959 +891696204 +485503437 +921134772 +1108387999 +1107901019 +1472383271 +50886939 +1692193306 +1498087911 +2064911744 +945691806 +817446884 +276656400 +427661705 +1571682414 +854887351 +923063195 +671040481 +1754808031 +385618432 +1839381490 +1851490235 +811598410 +207580032 +4332063 +2104711612 +1951835218 +1297228838 +156004071 +428110849 +1731735798 +1047700275 +913614287 +505386922 +8604627 +2021515306 +1977770193 +59491566 +1566224965 +1328374456 +2124403311 +364433123 +2145821340 +253576063 +792094829 +1570020106 +1108463414 +1715158024 +93576940 +715787797 +2100776456 +1932958430 +419794385 +764891218 +2140538462 +424126448 +722119182 +1944890032 +1721355286 +878123253 +225517234 +1305607436 +1925823529 +1139131521 +1810994358 +1934428156 +1013163179 +1641280904 +1993919722 +431904496 +822171712 +1970839385 +796337620 +820509405 +76931800 +1588432449 +243045863 +1185395215 +1156106825 +336622803 +1901183012 +1109399634 +122097585 +173493749 +1874290852 +115152399 +597620197 +448926387 +2060042432 +171491836 +1327049640 +138076018 +1477099272 +1105389521 +1277207539 +1140609983 +892334029 +142887070 +634407239 +738770104 +574791567 +1456578951 +562125841 +1371129187 +129604708 +639057642 +812077988 +372650572 +1824452857 +1968184813 +709273375 +1578152221 +930100799 +831370961 +1751645971 +656908004 +946523360 +201782520 +1105834391 +859082144 +373274356 +285400383 +997158162 +1850373629 +1390789905 +126882053 +843499964 +135640286 +269769124 +1477907203 +874410390 +844560691 +787002506 +1436536232 +68206230 +916607215 +2075593874 +880284218 +1289257787 +1752563083 +700985383 +1998531162 +1183231656 +1631086183 +682418475 +787393979 +140510539 +1628941836 +989176500 +1246344930 +340540332 +1362450856 +1531745313 +1337698495 +1065340837 +775051570 +1464580548 +1908840801 +910691857 +1734349672 +1239264356 +1785102247 +431426715 +2026266863 +1074154831 +499632945 +795390430 +1002265057 +1379917163 +2084648217 +607344492 +2080902547 +1935695731 +1790576149 +1564505082 +470630559 +430486480 +1705015621 +2099572395 +1419662980 +803876903 +292629079 +634630189 +188138568 +1630327574 +1699971026 +963190139 +947424475 +1461328180 +1873881996 +534290499 +553108888 +1511500595 +965717215 +431892103 +438171779 +1465350160 +1227282533 +1440436836 +697783676 +1164447102 +2047781329 +631202575 +952659186 +1690873830 +48224009 +1423289745 +2121360310 +1753239630 +1375378492 +1393539643 +409632885 +1668007571 +2028169832 +597771453 +1150851498 +1580657210 +1560961592 +2098275973 +894501742 +1287359940 +485082824 +1447610631 +651376888 +1450800039 +1879502734 +1089548667 +768666552 +959301620 +382501855 +1466450228 +2123748722 +282799536 +2097652803 +928924260 +1973673366 +2145876812 +204730357 +1947550029 +1751632794 +1580108849 +1193606024 +13782031 +1100632773 +1074292208 +611553484 +104000623 +507465770 +25031429 +54792948 +1401967513 +1312391369 +539875772 +702094496 +1963768257 +1990675812 +434113582 +905833276 +611858716 +1393415202 +1288335132 +2078308944 +1369680277 +1571134668 +2028478099 +151120889 +1397324387 +2026871263 +355851247 +1197390768 +1631020409 +1935960096 +243513144 +1644802440 +889109221 +1317805352 +108872276 +993109844 +1825271122 +133903705 +1047902792 +1079754987 +1446295075 +1587778565 +1781849483 +1262579684 +1430970729 +68479418 +20929313 +2042829445 +1461894620 +1309264445 +1973654741 +684091249 +732915465 +1854649192 +835212139 +2130239852 +1734036807 +1191063386 +1180146972 +1217573568 +979539834 +1423660116 +714892360 +1868649056 +593981820 +823764636 +714275252 +271769295 +957668342 +1762178045 +1351524282 +256479769 +1202472962 +985890118 +1519059453 +485960043 +1054369536 +1539988766 +381305840 +368780508 +701769563 +207476933 +1052871758 +1434685029 +2062126125 +1888083897 +1417441233 +1648679284 +931663635 +450104558 +718769204 +1911203469 +1873764674 +1433661564 +1632368877 +320262847 +109942552 +199160482 +592032142 +1067610894 +1961338527 +1943556424 +1324090663 +1016327841 +781962894 +695666469 +1502287884 +1836332430 +88171587 +1883593724 +57629291 +789941151 +2091070657 +1110501049 +77142532 +2005713134 +851101298 +1494583765 +1506908770 +1782764933 +1944688323 +78194326 +1546484754 +1670969350 +1511855890 +1031369984 +1991232197 +1621798442 +1230530466 +435780691 +541925689 +1044385345 +231853467 +1866016352 +2060713186 +1013816362 +414199173 +1415517422 +702665144 +502370761 +1151627498 +760294435 +1292311912 +1095214507 +1870795484 +1369454444 +953443993 +574413134 +716554561 +312869115 +209694419 +513759237 +391063441 +1756179174 +37244939 +1902919331 +640065510 +2028477136 +1377234125 +1870595976 +316774179 +1919159814 +767497673 +548627646 +1637692519 +680727211 +1562444008 +2051891692 +2096244633 +117625505 +406778805 +1100388483 +877919940 +1699090717 +48119342 +601231777 +921061513 +1001563335 +1175644911 +1637616075 +1314432450 +1385339331 +3891664 +1705495891 +994034857 +41136603 +1460931574 +1634100367 +2069613739 +690682051 +1357212695 +238904270 +462358218 +2124710368 +787531916 +2100050737 +657953931 +202492277 +2004458781 +606714916 +320117782 +263753939 +1707103399 +1198037722 +1962844656 +1755222741 +1799269499 +736422522 +609302428 +827430763 +226554949 +1923734878 +65286446 +230446613 +1481747121 +1059321303 +271583216 +795195047 +545938022 +193713307 +1485877098 +1903150717 +432617577 +1948235316 +1880377437 +1220149493 +1900802405 +390847720 +1422641770 +1757777539 +997562636 +1742759552 +2021531478 +557182387 +793313627 +1836892486 +164921480 +445099478 +425831360 +774223908 +1272530241 +652386309 +550475138 +1337816687 +882832922 +2032222259 +249654342 +1154416138 +679933658 +795592364 +1348129445 +18327108 +551259433 +1780747022 +1966562425 +284153222 +853412868 +1719881182 +675000942 +128570990 +1330175073 +1672563578 +1871330543 +1204222903 +82262317 +517160522 +893631742 +247183797 +962260000 +1319463102 +1021407705 +87306594 +1971849412 +1571882843 +1425123281 +707198686 +1456621454 +1674777624 +1861614825 +2136555112 +322886340 +1062260622 +7398573 +874145774 +695523997 +1973960998 +1158298996 +1548936865 +1546358532 +1833299939 +1677507855 +729049958 +1358379869 +1401354750 +1933272861 +1440642187 +1918515272 +679420955 +1687825984 +733291625 +1998884058 +561750042 +820598219 +1823249822 +2133632885 +98237852 +382964860 +1442770692 +1773015476 +97096037 +1431842156 +2095901817 +1159356660 +1439240729 +822563943 +1854880657 +1265718079 +1980862939 +1256333874 +664592964 +1666679230 +786358081 +1393642922 +877575452 +40229184 +1179432135 +170733991 +1958744456 +1858853091 +1858559975 +544552433 +1710253501 +272826369 +1365150652 +1386019675 +258975607 +1463388505 +1768984535 +1701746299 +1088920333 +1866080573 +986104807 +1037338502 +877953585 +277861889 +1859902445 +585350594 +1543579968 +1693281737 +1841684468 +60689284 +1212477319 +480558901 +1454332206 +2090052771 +520788085 +486280694 +113303114 +332048894 +197650137 +1971863090 +876601327 +1907903638 +97205811 +94268332 +1146439665 +356181418 +1557656837 +767940552 +2057927717 +499093522 +486537477 +896548877 +1536432025 +1364491062 +1174410766 +1248850822 +1949841656 +570507086 +794648911 +1644042476 +631196371 +2007126231 +2124601378 +2085528577 +1949695354 +497905815 +424325623 +2062998469 +829954709 +621975760 +1887377911 +1706556037 +382395750 +1984583722 +1800824369 +1528835415 +193281493 +1210997558 +149292320 +103725562 +1710091080 +635829797 +1000274439 +1099039457 +2000320860 +27201557 +200406632 +1802678868 +597708644 +995055543 +1299237697 +1228905015 +854698126 +1276355427 +1166949944 +656909833 +1774261242 +1591275568 +572424654 +456732304 +65767680 +312318917 +15804693 +448163431 +149418991 +1816629062 +1976998846 +342700484 +880142972 +2126291166 +446426047 +442750404 +614637316 +1446700486 +1541789862 +467474528 +1473902044 +1742196494 +122669748 +2071610688 +589768389 +1421907445 +1153032055 +1444466516 +550779224 +172498351 +2101376349 +177556819 +1763773919 +526317355 +634289123 +1829541600 +838636272 +650093816 +130221383 +988055263 +319239230 +2107220229 +1330755748 +1199382202 +2086027748 +1777181795 +1642132606 +553181416 +1076398633 +1036438820 +1020655944 +402817029 +631151666 +1143325692 +326944069 +1220920056 +417749490 +1479976124 +517902924 +968528714 +1652474476 +471795625 +1146085533 +1268764747 +998112980 +1780374656 +950822699 +1836749252 +282984824 +1081044082 +677320867 +602224054 +1040780664 +2008076615 +1801606256 +979324764 +1637774762 +1296255215 +1532506180 +566689748 +185210387 +405678476 +969506777 +816362054 +1549004168 +1296450847 +2037282110 +1966753658 +628943323 +407701386 +787798725 +133934151 +879497011 +1933884258 +1402698899 +1877609991 +1566775267 +206037950 +1566875595 +1849760091 +1287082033 +96712814 +304500498 +180379049 +2104789430 +2106106754 +1159703813 +1595080544 +1254878321 +544726345 +14286644 +1440088709 +950404821 +983793422 +108967115 +351925341 +132760621 +2146249225 +171195352 +761703944 +406466963 +958994077 +895638096 +1285963974 +745394687 +150853347 +1016090317 +164686306 +356891297 +435482264 +2014446398 +1643973330 +532195078 +171463248 +1824352379 +489500860 +130086354 +836572544 +2084581405 +1384964676 +1381298889 +2098868049 +677569737 +184220062 +935177823 +786536852 +536145404 +1067938444 +785302429 +707340756 +1829642389 +1191769392 +1666334833 +577796837 +330249718 +264245872 +728650184 +1346340035 +428932179 +1085541481 +1781822299 +295894929 +582031164 +166533729 +467358177 +258899895 +656034590 +597444531 +1095472440 +593132347 +1982409207 +329287681 +544516748 +512495296 +513507744 +1479694572 +1299032148 +1049653148 +400149368 +2084334577 +1756993904 +82308109 +1128620321 +1275845089 +660104946 +1458870039 +1540090961 +1388755130 +657726426 +1969023140 +326812964 +292065077 +117434421 +908844128 +458598807 +584792598 +1167744023 +1114633397 +1182237130 +115732815 +1707765744 +1017162689 +445020497 +104798844 +1529657986 +958528241 +1584493416 +681206486 +2008181389 +1984642785 +618057416 +1617691645 +2066950894 +1746677737 +746053086 +579572193 +1058064129 +138660399 +1968327323 +1715790555 +2107683540 +147656639 +2007855633 +77634313 +1056500767 +318970792 +662426912 +76761143 +1433604189 +1844664042 +192493958 +993886285 +714343083 +637514455 +1098685129 +96517421 +1596042696 +535694898 +777723908 +1456740437 +372854035 +1395781324 +926948434 +292321281 +994975413 +1673001520 +871893474 +2053039542 +1811661920 +692737150 +1621346450 +1771861812 +840393789 +1481718435 +1849496125 +1896894557 +1800689227 +364439389 +1973655700 +1086809768 +61619783 +18666010 +2080696053 +775962867 +656180466 +1031897534 +872480288 +104739514 +1567592432 +1650204196 +1561479952 +1940446467 +898501872 +340944738 +85284101 +1893477286 +2013946259 +957177575 +1799033180 +1678124531 +1649914725 +1272895982 +1302502695 +342824867 +607130769 +1004515172 +92235776 +260336348 +1368954562 +2065891476 +1347146116 +1430574345 +2084557486 +1280358521 +59053564 +593254304 +164772408 +931533853 +697993819 +1732364840 +434254401 +111990123 +1525327660 +1332756274 +452934861 +1610611761 +1078749912 +319397472 +420305688 +730299444 +1997522003 +2070220414 +2003195427 +1152541050 +265561633 +462842548 +9572575 +357797409 +723178897 +1378527137 +276205237 +2070325013 +661617834 +213279075 +1203199887 +720671399 +806533380 +1367972295 +1652205252 +1504527199 +952853487 +2086459653 +1616517322 +330697499 +1271732279 +2069452183 +1941309260 +202998543 +241366008 +214131301 +933297988 +91404363 +136868067 +789009767 +1243945414 +402429700 +1251852315 +1253517989 +760227109 +1975031212 +484561478 +1036432346 +1897872578 +1146179312 +1249711421 +953588817 +1866850711 +2056244801 +174077464 +1371572315 +1413288352 +1126930951 +1310548321 +882322026 +1457628451 +434796952 +804290562 +1251454063 +637795496 +1045656570 +1465585364 +1571093484 +1137060933 +1602453431 +212619603 +233522699 +2004883131 +1464471918 +1487040688 +617626592 +1292019483 +1971602166 +1654058938 +1042408413 +970297831 +756286712 +1995997230 +689664894 +665047865 +22591046 +2061237210 +2078336218 +1149521997 +1224301883 +813174596 +459666800 +1659098835 +1617465158 +1711120864 +149410683 +515638080 +1029222580 +1720504167 +1652699014 +484192364 +1933123770 +1886221713 +341591847 +1250112041 +1225778754 +959218440 +394647876 +1049897272 +465793730 +1437056289 +2020195103 +1222080442 +1285569871 +562376350 +1887128308 +1308160917 +476129912 +1817980878 +310199266 +1700431795 +483671826 +769866067 +1212046982 +2101136985 +333503283 +1361457666 +469291417 +1362725863 +934478185 +2121990431 +1846918227 +720118308 +1860728497 +41026427 +1970230349 +939023603 +1000244867 +217394577 +1988920875 +1466038597 +1654450866 +1861632331 +540635392 +792537089 +276525033 +280280052 +2100698006 +752654945 +2098260930 +263413624 +305603092 +434449108 +1033279691 +1517650074 +388102445 +1366782974 +731624092 +857393863 +582025190 +1666102278 +831900646 +281459769 +238736938 +545145495 +322486196 +61483639 +1484169098 +1322731063 +278878216 +1325606326 +641286013 +1933329082 +1039755009 +1181921405 +578382523 +1316280042 +1462201457 +531596881 +2068934987 +1412978739 +795010505 +227054431 +1847427847 +1828290197 +1744704505 +88046645 +1047589523 +328844950 +945440508 +1629614713 +1994947228 +1777341154 +1911074483 +86200518 +175003002 +86077031 +147684157 +1659172100 +1408808095 +426562373 +837294778 +2050094108 +212407807 +1877049787 +1084531865 +790790330 +1045846181 +399249674 +1322387211 +967297520 +1812228413 +2117397716 +1194351951 +1512172612 +1798204265 +791572809 +1600219257 +698310141 +1120417759 +398176117 +180441206 +967881339 +28033624 +2091515689 +1054081857 +203036626 +30109073 +1201766014 +1862208726 +1438917168 +1628328387 +552019857 +1341527628 +1840736194 +281585996 +278575845 +484042876 +1327432178 +677825519 +1806430087 +147246050 +342570284 +1776344155 +1341598002 +1854742896 +1427064773 +2133170811 +1307478506 +2125374914 +1106104922 +1705654623 +158332472 +2073986261 +1733688247 +102364514 +980584470 +1936724873 +132473587 +34866836 +1651449952 +1571390755 +1663195223 +55986161 +765434735 +1356447769 +337572157 +1044010580 +1840490645 +1665004335 +1721836099 +1499437084 +1812250386 +2064406383 +1128297591 +1006364740 +1771665631 +407878716 +992051903 +931660489 +385769982 +2098156825 +489831465 +544102455 +2024659438 +76036064 +646466969 +857760260 +2012760938 +778940556 +892627096 +1516727242 +202847663 +408338671 +1572713403 +968282398 +1764786440 +1910285560 +2012292978 +1457793437 +1427806248 +1586645429 +809746873 +1092572986 +1503568164 +1938044464 +2098937726 +1127750147 +198439533 +943505981 +2059410637 +584209515 +894179158 +401758454 +1128311970 +771354948 +477794518 +1774778939 +1629115208 +343071808 +406235847 +374258656 +1859799050 +609083510 +782597327 +1285028805 +1577365908 +399900119 +1047830718 +1442175238 +1857693556 +328153318 +881337019 +519956781 +1420726304 +237421535 +310517597 +1372180382 +1365171683 +508957130 +168202715 +1277098672 +1093166646 +1062381873 +1678857126 +73994968 +1833736821 +9167996 +1848773908 +1315368381 +352239805 +107526107 +1689627037 +64555207 +716609618 +324740716 +1349584013 +146491878 +724640835 +249931083 +1588667117 +434850743 +578084401 +322520488 +954807524 +1998810705 +559942024 +1265325121 +1223507439 +1925113707 +1774282252 +1391710154 +1054728731 +719965250 +306608379 +586102209 +793960218 +2140345200 +595270205 +495250478 +1308229933 +947510010 +602776586 +850373322 +1012065218 +1319386204 +1175114038 +214165583 +1465878082 +1899754873 +464096666 +907061551 +187121968 +1042181067 +1229582040 +1141929492 +893508124 +1789524064 +259770965 +2117015563 +1567154123 +2034053217 +1361242069 +474399206 +606534819 +1667850448 +1060501415 +1400495038 +1660712000 +1655771620 +1895745516 +821458285 +455797983 +351038454 +1671831607 +1467863201 +1670424658 +699461997 +1682028784 +988819093 +451733222 +2146125450 +1895880644 +638855190 +1040822869 +977979036 +1780784682 +1934330993 +620019452 +2040555647 +1903862908 +39689927 +1927125217 +1117621329 +514089133 +386176388 +637988129 +1574590548 +1786671426 +151216481 +1082878521 +1534933295 +972674766 +1538676504 +1885971749 +497022725 +859056057 +1408912760 +1196484722 +393601193 +250248205 +1648217944 +392242995 +2146128849 +139589486 +1433065864 +976624238 +1920374168 +1219913209 +1596643690 +1813446167 +976292469 +1636333618 +1593087736 +2093913798 +2939103 +1979264125 +584418279 +1577529652 +1618451903 +735634760 +512924525 +1005901550 +1708309526 +2051601029 +744389652 +57848603 +763173438 +5818764 +1254333325 +1156774631 +256066969 +755067621 +1549017626 +254712170 +894657107 +834599842 +1231336408 +667547627 +2054513051 +680496451 +333510146 +883321872 +169346421 +1926597883 +829752022 +172285524 +1758378360 +1414170301 +1749815176 +1229346615 +2321413 +115256053 +87764518 +1710630939 +19373434 +832154170 +1768479542 +782546872 +837972934 +875329219 +1939321503 +1094039903 +1630396840 +1340855481 +1348752073 +377570299 +27971675 +432604834 +1045117926 +2082484726 +1113101285 +1378628072 +818322950 +1282447706 +1157742307 +1648074972 +1454733230 +768637019 +914761625 +1057064759 +1997983635 +917083038 +1172320812 +2085748153 +480230329 +1191694247 +770418675 +101226223 +1974241119 +1608391609 +976555442 +1766078975 +554947864 +459468634 +959450808 +1903699937 +837038933 +987422484 +188821123 +1882156859 +922423562 +1301922408 +1113301284 +1740746513 +436886466 +123559943 +1241337837 +1891619697 +892196963 +8615815 +801200808 +742696950 +925698853 +1973521620 +680961455 +1405929183 +1017732219 +1451380130 +1507155406 +844489691 +912288091 +336227201 +463085018 +1467235955 +795695835 +1422535826 +1223452244 +1632734769 +262474662 +1412273368 +1367407980 +1184898225 +566712128 +333225616 +778161090 +1003598595 +456785560 +2019498927 +747734644 +1348982523 +2028114742 +1548935452 +2091679473 +806329948 +1374973424 +625157280 +64775483 +245221996 +2076537410 +1571930889 +1089711687 +841341853 +1908158090 +1552796705 +161094160 +556370278 +827848883 +1384546404 +41621399 +1090323546 +649336124 +1409029379 +127738123 +1216048253 +1742254996 +905899213 +72163200 +51556908 +777914492 +819897844 +1400539431 +658545587 +221349648 +1344735256 +1464875535 +1596323072 +1969892536 +1529651018 +1841545068 +1898946298 +954098259 +783773107 +592804503 +714772702 +189086164 +753898663 +1271142980 +1016935048 +2138445067 +1312764379 +2107258594 +640297544 +574310110 +87513069 +1856345797 +169081458 +993412282 +1928508997 +220638366 +1771326774 +600923193 +1621177797 +282388713 +822272841 +818429405 +1747264248 +271112265 +640838293 +1129431618 +2112657334 +392300943 +2083529878 +748946793 +985105446 +650818932 +938032958 +1739004109 +1921961912 +1954968006 +1729965529 +1087242643 +1914742952 +222779425 +1661552753 +2002256021 +2079125222 +1830634212 +848184655 +1860150571 +2051272578 +472027781 +313590116 +1524966728 +754416495 +1135862957 +195912485 +354197095 +1406975222 +836750779 +1483628714 +1372148908 +1229051722 +1419674944 +2121095702 +66673521 +2070493876 +911645012 +1805677630 +1844972140 +719129370 +1388159511 +784731135 +486388674 +1610938936 +298800240 +341161047 +1542580510 +2129434452 +1189345702 +1255247433 +2033223383 +1661373483 +1568837549 +1410706463 +268306330 +557216858 +1606618948 +622503426 +1964192081 +295886079 +2106132140 +1188857341 +1524937802 +1378323436 +1162469395 +1591611323 +1301333664 +2074114407 +1249805305 +998822156 +645760129 +490481169 +1783553291 +1132148803 +2101420105 +2082353531 +1473309850 +1496516968 +2064304336 +515171904 +604280753 +1950044071 +29061740 +25634655 +1213266886 +297368070 +582851513 +672402186 +919871496 +399559946 +968288266 +878519988 +1588417288 +345742420 +109359776 +603403035 +1937353743 +1410693440 +530033795 +1039675400 +262031948 +1175793924 +1530156569 +2045585239 +160459080 +1484093027 +1980455123 +1633768930 +833126347 +1897275811 +1457187 +1437407100 +1699836234 +30518927 +1463041755 +765619472 +327886997 +2045893269 +1438021658 +1247758494 +297969567 +258826276 +2126278482 +1886386855 +604568696 +88154611 +342306243 +394438791 +1498848051 +872340038 +1434114192 +1760880000 +2048133962 +816787113 +1658981591 +61109394 +153396492 +1491953066 +1694878325 +986522839 +1241745229 +1696335512 +276446292 +794097815 +1726854439 +1739488047 +1559717287 +2054741436 +1637897668 +850255298 +1155016282 +1935867236 +1109081574 +1133811117 +1674770443 +1713650271 +1221965728 +2017076686 +2108089062 +573330131 +741933076 +1394719606 +186726483 +642583391 +64023072 +1845708075 +703692785 +217419564 +1190177493 +251087462 +1203942404 +284439075 +1947422974 +1480388696 +1078536890 +1526793765 +1072393095 +490770530 +1434051554 +562807116 +1341025828 +441584188 +351190704 +302623754 +1575395305 +2025961147 +2016274025 +649877385 +1895554186 +1976879440 +1223207517 +490003614 +1224115398 +1409934000 +1132587005 +1288138470 +1108158427 +1836279791 +1505558035 +150852273 +2087367253 +562016791 +435291348 +1887306580 +2042405487 +1513828238 +1266616697 +967314934 +2004598768 +553184603 +1530122050 +1198140948 +994768792 +1881312754 +1500764703 +422680449 +1759790254 +1369555080 +1072557835 +1507860792 +1198950872 +148281704 +1997864406 +275582623 +1558215704 +982967764 +1563721093 +518890484 +671763907 +921795480 +669742757 +611647512 +1483812271 +1105034105 +351470444 +1378734110 +471378695 +1618087142 +198565397 +328493816 +23788097 +1728687447 +1526634764 +1018556889 +1462516554 +879915819 +1441237339 +1074823160 +101987252 +366311526 +435200304 +1300938124 +514593230 +285581062 +1576520747 +2072808934 +1268548826 +992758193 +444215770 +1940312733 +1914553673 +1113958527 +404476598 +1250882297 +71508984 +755947042 +482132759 +542887680 +226550536 +680698156 +871381496 +250338634 +261901956 +250532612 +1268895523 +1724418510 +1130448432 +562649214 +651758022 +1232435684 +928960740 +1086958326 +385890160 +1443553970 +1372539388 +1962410908 +1368879257 +493604567 +807685453 +1813095027 +286433652 +574755478 +779569907 +690910250 +1825637775 +851078891 +1446857293 +160286887 +1393966571 +1673407829 +840985043 +117864419 +1923746463 +1102886999 +368397032 +1045158339 +679821861 +1498845464 +1607807553 +1331579883 +583797500 +389284646 +271054561 +969687660 +1832838616 +1643593950 +784614920 +1054234225 +2137198517 +1592300373 +719845605 +276148521 +19572204 +1499415512 +967058772 +1845209979 +203010755 +266432417 +2005496866 +1596977327 +1939840246 +698998262 +1714841746 +1716103062 +1801885261 +2083238778 +613777753 +334223475 +1434600594 +74101658 +1665803358 +2018398094 +463386304 +1936857920 +840602107 +148741273 +1432968222 +1625217027 +1202975498 +1422683091 +1070033753 +1922821103 +1698831612 +1089605957 +1274752967 +518406736 +787332288 +1477763723 +784839153 +645345507 +927257402 +577195752 +1344343769 +494615500 +145815166 +998745382 +430370631 +759592919 +1332968857 +1864971225 +833694577 +851288568 +1735885672 +1297080882 +640662840 +429004131 +1445822155 +2073631062 +2054221158 +501314005 +1348830505 +976771263 +276651461 +900178469 +2066377220 +1551404428 +1418585206 +706225861 +881684503 +55940711 +1351571368 +1808941905 +633136463 +548431489 +156073758 +778951629 +1547176871 +586444389 +1538544548 +732662081 +303931966 +224755478 +1583950649 +2039817638 +1521836360 +77129841 +321338121 +820174867 +3277255 +228075632 +1321488872 +1352107760 +1204846895 +1598140333 +104802581 +1123740468 +1002061114 +1523387787 +1829966329 +1883745617 +1579328499 +1034054049 +1545203875 +64981314 +1582485538 +1701277633 +843932944 +982178761 +140238374 +234993844 +1714840842 +444170340 +459749322 +1151307843 +336504331 +1981585682 +1228437684 +657842452 +654276901 +1231714939 +885918084 +1975765774 +436339051 +2090764980 +1426422459 +541141633 +1067021800 +280999925 +2064529420 +749504481 +17261895 +1496374271 +1783558530 +1562465770 +1561355586 +1218560420 +1116259755 +257804882 +53255533 +1256498129 +492798726 +1768096376 +1700668469 +952548049 +771920571 +2037172800 +786650083 +2000358256 +547531605 +1440926985 +1084589547 +1433449689 +1269209111 +1520928599 +1376731021 +548147922 +2062070232 +296269173 +829147848 +1979116004 +1045773654 +846409743 +1328006628 +681848536 +261391865 +741878566 +1900408956 +1377651620 +999683448 +1953664490 +486666101 +1492482174 +1574277218 +39850922 +297546575 +198714141 +2077023723 +1084196659 +51588749 +477071680 +377639996 +1136178297 +1910521369 +1646849107 +509623248 +1139768743 +47513381 +424209832 +1436037916 +876661229 +255842188 +334327923 +1723070972 +1583848816 +1016176459 +1984462837 +178243734 +769101768 +1214630809 +1177927182 +575282610 +1701296910 +522925709 +2076180 +1741147833 +820472284 +200790321 +1670687908 +1904668943 +252379071 +275940 +134825291 +1388557368 +1910797309 +1781674398 +1898180616 +903082404 +1829187780 +174906800 +191636673 +558365361 +430748988 +525964596 +133952686 +2014597805 +1542141055 +2118415523 +45357891 +163759175 +1185562685 +1223285074 +739041785 +739375947 +1746210783 +741117965 +333040132 +419199419 +941908287 +2003728040 +176384715 +1194287358 +2004003980 +311210006 +435361078 +1767317642 +2092884405 +186058046 +522916398 +1774588537 +360964846 +714553071 +185470250 +791713834 +1240517667 +319422936 +658827991 +635175075 +290354812 +704185883 +798934250 +1475917497 +1927470957 +1537976036 +67809796 +1526198092 +131610353 +400849929 +1945397511 +1073518640 +257094321 +2121782226 +120322350 +113614654 +285508585 +555683428 +1880932296 +230909342 +741741474 +256365046 +2005497879 +1102706320 +970918118 +43484481 +1894420155 +63952137 +362907418 +405764498 +699127212 +653262230 +1109950381 +1498061463 +2129179727 +889937690 +888553851 +49505875 +268652134 +1020164204 +450355804 +66565998 +2093682845 +707450126 +40864576 +66521547 +821064780 +326373161 +622204976 +554513428 +557282503 +1363946450 +810878474 +415296734 +319169123 +1781796592 +458781216 +66105630 +1845748730 +821688634 +471870128 +397392294 +1474950864 +1581820510 +1895453757 +1456646943 +324274552 +636523960 +1506152818 +592926687 +1656688165 +1956508623 +659492685 +1602887362 +516475101 +700357261 +1669408909 +1337539881 +1026730423 +144130237 +1892053309 +1584012926 +1508076688 +555448135 +1999309661 +1827245811 +189761080 +310607229 +1893351441 +2035509810 +1132295863 +217737921 +285418456 +459763079 +1799558431 +33388566 +1916410022 +2123832984 +669912526 +1275079192 +569276023 +179117043 +1084104167 +1228768708 +1782004405 +1600579268 +1929125969 +1303929667 +790635501 +808372744 +1448059904 +535205162 +244902023 +808652944 +1090653298 +96728036 +488415107 +1280414378 +407335265 +234282900 +1168440540 +1539631128 +452020822 +1453858996 +1999394207 +104095605 +1487247562 +1768320581 +80444941 +9676441 +895916125 +649720964 +188793484 +1980020293 +1878489672 +1970797890 +1433115913 +1660131994 +1127243909 +76267767 +321021090 +427820165 +611472929 +565923113 +1236473110 +1702126227 +662651149 +1724888217 +835056957 +1069986414 +1959171118 +2003497497 +462133894 +263708292 +1309872846 +314044453 +367803897 +649636760 +2082365034 +448248839 +659313201 +830797512 +1097969803 +848106686 +663334157 +828975828 +671420928 +2096450070 +341624174 +1798664837 +25234189 +662645264 +79001354 +636707119 +1228568378 +1315474464 +191349698 +1891219527 +892879034 +1026406656 +813722294 +704566504 +882420505 +1275856188 +968274796 +44809703 +1589900642 +1336078693 +694446464 +1524782028 +1784327532 +1353759665 +208095892 +734813688 +54382703 +871430049 +1563789516 +725803631 +820396472 +1905413690 +376984820 +845630661 +420575306 +455986175 +1482337780 +1649143684 +1771460639 +1673687479 +1392879564 +516856025 +552610487 +59118210 +1221422529 +1435030992 +1334974398 +42213677 +1479840696 +777391392 +1378292371 +26803512 +154689773 +1015136255 +1380563177 +362785665 +1749949943 +1434945881 +1234215715 +1166255811 +13265864 +2054612187 +924185853 +390250685 +752759200 +1344761160 +846236860 +87613333 +846421196 +470213851 +1761300812 +91817112 +987069877 +166427651 +150935322 +61008758 +1601458643 +1485909721 +103222436 +933815691 +115817465 +1481514807 +960619203 +270507238 +349167414 +193698733 +633292904 +2099117358 +1628644614 +1867508619 +1117889521 +1641910478 +1774637158 +2042075375 +2032161163 +379912710 +1239352887 +730914375 +467526043 +2085774083 +1201128227 +81343207 +30107548 +40714456 +247770858 +181042870 +101723214 +1849229502 +1666952591 +204945650 +635561545 +1782770057 +1686460457 +1596180749 +2053277295 +2035627872 +1789879482 +539086551 +1987261582 +1271040448 +259111522 +957667455 +765467278 +2033748680 +852259182 +650144794 +266177743 +2091612069 +1381059169 +733703786 +2029902505 +434703748 +815046994 +2060010053 +475418204 +1062817852 +93569275 +577141419 +764563706 +1760521867 +782087069 +1400125252 +1395808276 +321063879 +848822353 +1301601923 +209208103 +491218187 +1840688475 +48986037 +1762258635 +2099799997 +1006653492 +380242265 +1986065030 +1858912675 +1030387059 +104759125 +1803041096 +263962581 +838462911 +1685459953 +698666329 +1653509905 +1597986358 +1174084534 +568844110 +1691555634 +1751225953 +1333407816 +1304593853 +385829374 +586049420 +552918481 +706893253 +1434871773 +1854520404 +916101356 +1926089960 +1547725231 +965087393 +1540864947 +1500041581 +1971740886 +1921107213 +1338622963 +1683169913 +804010624 +1443382088 +1338727361 +1067973205 +134361351 +876703667 +1766639535 +1787871257 +327206377 +793240421 +209231719 +2018762011 +396982726 +1542639535 +1175872216 +782812100 +2128688956 +1728790697 +1489705354 +1416077081 +1435827454 +258323062 +1194683394 +836069037 +1223410456 +588064693 +188626970 +1047667694 +361688258 +1527249933 +583353959 +1165698883 +823148373 +1922081320 +86188440 +957509725 +651301339 +1852827975 +597897334 +978507717 +498584748 +807129053 +849786080 +895567474 +202284940 +2025658297 +1678379575 +183490248 +1606965346 +1020601281 +1599567330 +895309152 +1278924343 +646767076 +1731378190 +354851151 +1234831769 +1920005160 +1402518845 +1596520028 +1299771446 +1985872804 +614735263 +2122919819 +1760470477 +700923703 +932945896 +264288168 +406268031 +1530843230 +1242795885 +904852779 +190488635 +2092581966 +1800420254 +392773576 +1970756615 +1331316181 +576263824 +1430238313 +204433814 +28347506 +178063818 +1483358157 +675114582 +1909442008 +1838209309 +1909946352 +1681963520 +1093244506 +1358982732 +834251318 +931633663 +1973717995 +809687490 +544620492 +527158050 +1742633386 +808908660 +933426081 +1125992969 +2051704546 +1838278861 +1316481604 +1996802864 +1491215467 +1709255180 +1820075831 +675048000 +138035357 +1102830496 +879481814 +166382863 +1280894314 +215356323 +841497446 +1042852674 +2053565632 +603960150 +577332547 +999326491 +1962942882 +1411583865 +1930960154 +1789177229 +73787707 +328096998 +168851631 +1816421094 +1137005658 +1102277713 +794930415 +1041226556 +793072926 +2111412019 +890545772 +136804745 +1673183552 +563137955 +811852745 +1811218909 +1665968452 +1691334559 +1977601772 +799379118 +1906690882 +671615570 +1842231793 +1812772867 +1275575720 +272080692 +664615710 +1091034954 +1683664557 +448092216 +732728535 +1757452265 +776189214 +901580167 +1426389711 +1913194872 +2003857880 +73836478 +806937781 +649447158 +37764849 +1697483553 +786251903 +1710948401 +113137861 +1598104648 +1374683662 +1779106313 +1141955559 +1204801787 +431001783 +901162793 +1876417357 +125749928 +566452012 +1004509430 +397830620 +1231067722 +2095544384 +2081495178 +1679159938 +680789272 +1691463795 +307865504 +1582369439 +970369858 +73576729 +1438743671 +1044206336 +880514510 +2088190829 +1081971185 +430514415 +726959084 +645435939 +543652276 +177580084 +2020119601 +175274941 +1319535643 +1077437740 +606276725 +73214788 +806371450 +732026653 +639666801 +1810880880 +1129857274 +1870734523 +1758941616 +1063868804 +1402410814 +292247240 +607848951 +1710276318 +1874616679 +1578218809 +1783853047 +1165876702 +474941497 +516883909 +1106583883 +1556912682 +947398325 +1833542967 +54864973 +1491050601 +2011123051 +2074984575 +1666325543 +1183175046 +1004938667 +125118620 +1256389835 +1811310117 +857145273 +1896056636 +1474707349 +1987002547 +1619307511 +1086165318 +903387703 +874234677 +1378412558 +1511236654 +437027348 +1105545590 +941971815 +73396747 +123938644 +1416913312 +590280657 +1230522528 +826342347 +1537678982 +916581847 +881207320 +881245935 +780221251 +808708247 +400087830 +1963396297 +1813646915 +525206450 +1072302484 +1477473384 +1382351724 +820875472 +804697086 +1221870623 +292699336 +1890862404 +2125258327 +1166934013 +1121791314 +1489011333 +1603961361 +79853256 +283499501 +1677358109 +203791901 +1700412813 +120155118 +1434314429 +379271512 +1657834100 +203412628 +1260478833 +391596387 +983633879 +2069187080 +791684218 +799546529 +1735350347 +1316890668 +1871849013 +1065340084 +551758744 +545240838 +1870037170 +1773629368 +837940174 +1613415926 +1751404047 +2004874187 +587723592 +1092931732 +1461351901 +667576849 +1376431233 +991226362 +871368750 +929360399 +1111381480 +158199531 +1308631911 +621731932 +361612159 +421627096 +1013328319 +1345246039 +343330529 +1805012537 +2144792568 +2078680876 +974419558 +1869157933 +996537312 +1526178302 +266915123 +719090834 +1152324022 +1104855297 +185023112 +756244421 +962245837 +772746705 +1849176154 +276114090 +1440323554 +1078123739 +1267340452 +164208656 +2007484138 +231238284 +322408187 +1168632402 +852970216 +684020346 +1590259498 +1866298535 +2029266385 +1933590027 +1523827425 +2026575305 +1864787256 +350763335 +1748249591 +713840920 +1876941637 +2015164714 +1432931755 +881782012 +972536364 +1617954867 +1638026433 +1934782201 +243217924 +1339718939 +63412643 +1683541478 +270359031 +1330753095 +1847750134 +130359521 +1561991379 +22674673 +1298991923 +267477947 +706695020 +741767774 +2133776482 +588477757 +527874153 +1510120259 +467569415 +245177761 +1860883594 +68335358 +959018682 +1590341584 +2083500072 +244466789 +324639948 +908552788 +1862421656 +1962666381 +695851341 +2105639581 +1154901673 +759263984 +1641697411 +1425260704 +2090017079 +1341963898 +1555620225 +1504524810 +1364638571 +707128501 +1772002757 +2071333591 +1448896275 +1758295592 +512327701 +1976770428 +1120932203 +979897116 +74464542 +834332150 +1048232474 +1033483224 +277190086 +984248898 +1277950013 +601830034 +1892801687 +992888021 +417012767 +441169380 +951043954 +1571914440 +1200433365 +445257718 +849691496 +1142966796 +1787221616 +257828074 +500007959 +1004376539 +964956575 +124527068 +928226483 +266369202 +1882822660 +1440554184 +95655982 +856271216 +272967652 +170120524 +1690603366 +1321200126 +1203603748 +1967793452 +157965376 +334070113 +422139838 +2050767063 +1326958135 +839152605 +344452796 +130518441 +263583398 +1544886161 +575776159 +1113274894 +540369309 +215514127 +1371102968 +1040377268 +1219890667 +188575895 +1164904337 +633502 +454945097 +900243349 +1441187686 +550601080 +1756514565 +1714155338 +720721604 +1299634283 +887871816 +1924325353 +1119944087 +1045837192 +110911818 +1542083925 +949120608 +1437869953 +233752883 +1293573404 +1568388395 +497336281 +690975917 +2144164554 +1610611175 +1231345226 +212195034 +834230496 +124238847 +1432085701 +1022806391 +1289143184 +1432719203 +1477751489 +41902885 +726423241 +2028352569 +1798417451 +293094931 +601590525 +950568086 +1180966747 +378432230 +2070512174 +79320291 +489344049 +1465112451 +1028440899 +1927214002 +1698865334 +174530655 +1348118749 +48717967 +865506572 +1344799656 +1659329143 +2096851799 +1556994690 +346075991 +73606998 +841596743 +1368882382 +1362750182 +126832298 +699150223 +1404653067 +853255539 +580019144 +1055586870 +1146350470 +1181609670 +2006154957 +179833569 +1560041900 +1929183483 +259153860 +2049385949 +1246812286 +1287594760 +1829116304 +798193973 +1462125415 +1029751405 +846911940 +180148340 +227067413 +358757435 +129516491 +1784062103 +704833426 +203123489 +478175198 +2073715809 +1565873671 +605007496 +625382384 +823043090 +1458263035 +1205401529 +1878629961 +457129857 +239527551 +1737301270 +636963426 +1799569451 +1519001105 +896117287 +1701471753 +618329743 +36228399 +1383104409 +1416523716 +1498353814 +265372166 +115952009 +1678502154 +492439580 +474709444 +1808018645 +129018035 +1179542871 +2011142134 +607193234 +1105775032 +1429532157 +1212200730 +1731157416 +105091600 +522980118 +789075297 +1983721561 +980109975 +1028602848 +1573539183 +1617073402 +680688652 +945056640 +365707041 +234676757 +1563386383 +401935440 +1617781166 +832426452 +1900289254 +1883153332 +948378461 +1431307761 +228109264 +1423087905 +1091842758 +357127300 +455147128 +955501245 +964320534 +1560922160 +237549754 +29037616 +1144595929 +342641354 +552017734 +1933671226 +178879267 +1532127710 +814790427 +1752418450 +1001717464 +1495479079 +549991442 +1367424505 +1730155836 +2113377826 +1769359945 +1200453354 +798320630 +1522165551 +936123038 +1746699091 +805989664 +1164232303 +1022303348 +1897832423 +1521359603 +1477450477 +705850020 +338196489 +890888989 +943399774 +367234105 +2035484918 +1286041129 +919251840 +1821672497 +1464920396 +303895902 +488979276 +1069855199 +1305613366 +1984458355 +1619846641 +525554223 +1567130543 +1585740819 +147430520 +620100249 +236577801 +1669596071 +1556223287 +1983276892 +328102088 +572971942 +858096593 +78450863 +2094331545 +188063422 +784300883 +285044386 +1078952411 +1727700657 +652278492 +966953682 +866258138 +1571530332 +641142531 +183694887 +1875426234 +1130121807 +1253550086 +1033555952 +967096514 +725913079 +1559110175 +386743409 +164170251 +1706540695 +1006843658 +400748052 +1228653118 +415583297 +236541297 +1556755206 +988555240 +1094637890 +1635206069 +935403137 +1282701312 +272023304 +1220447524 +214170075 +1999723962 +1872726016 +1181123757 +718498452 +1296772700 +1822266288 +902193339 +1024715286 +804904447 +8259777 +2058271238 +1772000961 +734172857 +1469897765 +11260722 +898343108 +1028954812 +1018104380 +1299091160 +110124282 +1433687678 +1535632457 +1666879489 +274759270 +482786699 +1154601910 +1210162407 +1765488011 +1426625215 +283126283 +1979658087 +1278865529 +8368651 +1013298196 +1997363981 +1305141351 +688080837 +752073673 +182372989 +1492985284 +760333450 +93160579 +1117502598 +1494506307 +1563058344 +1128763320 +245365767 +444529508 +2146867701 +1544456928 +554653791 +1433071731 +932605737 +74049632 +1707831001 +1415392437 +1228651542 +770509760 +1033396800 +507793109 +1053636044 +865571239 +1786658638 +1062004695 +1878869436 +1636538972 +219662399 +419466625 +241128997 +402035388 +1912451909 +1001462447 +495195968 +882470859 +348485107 +2058254312 +2011234180 +593850874 +355300173 +2010618233 +2138307802 +909953964 +1296206316 +923429892 +984003596 +856553669 +191338681 +65171490 +1627063429 +1224735481 +572964600 +533215825 +2090306721 +212139590 +1595220521 +1821692509 +1848678562 +1814882920 +93675486 +2089807559 +69434660 +2006127395 +943786359 +564630628 +741114607 +1292271466 +475401293 +604865139 +1886122340 +830701466 +467999724 +1876946495 +1740655430 +1764206040 +652892739 +577175378 +473276061 +844231420 +642346868 +2100339490 +2068966901 +1215311468 +486071668 +2011789974 +1427451059 +2081292189 +1685998835 +1128645973 +1748691461 +1779674321 +1070969885 +1818126121 +1638318069 +2014756244 +235273102 +231949028 +1159544062 +710674395 +836814167 +898182754 +1541375861 +1304813891 +627645601 +1134547643 +921536283 +1280538340 +1711723021 +1394812344 +2124769760 +206586241 +1347668186 +2046253014 +1421897710 +1833739854 +1910559340 +701865121 +1767548395 +1449074528 +1830511094 +1368756208 +1081265201 +753997331 +1039398682 +572099622 +621269927 +1274671784 +804048650 +1780813989 +1985346179 +1640862817 +531513096 +1379238392 +798193060 +1159158697 +366302387 +1719729343 +292213390 +2078025408 +967058039 +269499502 +137128001 +167242578 +168268868 +1559025711 +2000982432 +2078828209 +113407184 +1621047180 +1380419089 +1943918279 +842319740 +314200642 +550431962 +1881718422 +886300265 +1171701890 +1008906558 +1690348915 +805032231 +846769089 +1183728085 +1336545327 +78523833 +1981921145 +348220377 +444826220 +1554166841 +640433767 +375367980 +373741232 +909933269 +512495982 +540983810 +1078202138 +2071521693 +394482595 +1009546699 +37445230 +2015529775 +242482140 +1981363509 +710365867 +556682782 +384311823 +444600642 +1442983047 +1556013713 +1453507200 +985848315 +213562297 +152792642 +22092752 +1550107624 +231316475 +2004013897 +1898328001 +676142696 +1410697090 +391278120 +1051510676 +1784438323 +1301211390 +1564006658 +177938485 +231929880 +1488044704 +572421080 +1241476579 +1525489934 +440467207 +1483958719 +1359369795 +1150833075 +2040641501 +1743681618 +1595433717 +1336140901 +1152211684 +901457269 +174505568 +1365773981 +1054249911 +196598320 +768397957 +1285566387 +53128569 +519242311 +1961709083 +1463825660 +910520431 +865736111 +1100780335 +64248173 +282259122 +1278718820 +296178053 +1770303826 +1851139901 +1537654632 +1148310112 +144123460 +874129703 +360196259 +1294956535 +767287557 +2103877877 +742906604 +2103428458 +1108605913 +1644363874 +130450378 +326896246 +551130137 +327048698 +1095294204 +1836696524 +380177267 +1614536515 +1650921959 +1844002927 +377573298 +369174423 +797299614 +441821472 +651433545 +2076018435 +737999525 +274253723 +1779674688 +128170510 +1422563835 +1923798148 +1002300213 +1782760094 +1071271036 +1769587770 +1739154323 +1814177640 +1725532580 +700276589 +1311057866 +1855982958 +1027172835 +1862188004 +35548008 +2122467039 +1551400880 +415725276 +1589519906 +1054839192 +112244555 +1967093205 +1424013615 +909544170 +261431029 +2075447160 +838078957 +999430554 +202217235 +470269997 +1127601064 +1624781070 +246584497 +2129901278 +1260057516 +1317855533 +1752005400 +851728191 +984549526 +1330054333 +1552004780 +148123744 +1038553643 +431693968 +2010311748 +1074101652 +406677359 +1414228981 +1489826928 +1996197266 +321584525 +1602071483 +1815806823 +1745598140 +364132005 +2077237852 +1673561652 +1202210962 +929184758 +1875778887 +1672480959 +2056785823 +1353076309 +1919065457 +2039203453 +465650177 +1089437342 +1643725205 +1317378368 +2073986868 +826295890 +721899501 +74626965 +1864849534 +1153593469 +2084938713 +791467538 +1560270828 +1351684046 +133810818 +1408984446 +1673268571 +1735882301 +1077307621 +1271383063 +2100014307 +1007061825 +797461067 +1154741621 +1936246584 +525756306 +679738933 +1845548759 +1878832615 +451320742 +1737268564 +196999144 +1540758084 +1233510121 +1514377513 +1467261305 +2059806012 +88793366 +1541888270 +1777171898 +1242386835 +1479343335 +421155788 +655174015 +683543734 +554966606 +2064158462 +209328657 +143365259 +993982435 +1480711721 +95895918 +2001044261 +130689140 +1250637540 +1789807197 +656445447 +1930376473 +1487872308 +387794414 +234213567 +1077657224 +584793559 +1774971651 +163683697 +2099171072 +1094749308 +76006061 +40480790 +489153930 +1853177959 +1282867625 +1968497266 +126850099 +1938041640 +504557352 +681816705 +1854716454 +713886009 +825181965 +701215242 +47114082 +921077883 +554775855 +177803223 +24231775 +197099404 +834248670 +1954608248 +1684971712 +1222043084 +41338167 +615145288 +1806836643 +1816309819 +778828985 +1758524067 +763575479 +854835047 +1799004857 +1252729410 +560529358 +934388834 +1073743028 +687379458 +724946827 +1578300380 +1369196163 +432179633 +144702741 +46894480 +1133394875 +191816824 +967972364 +1688170730 +369620047 +992204139 +1885270134 +1203868717 +799328740 +1422758198 +278428153 +840666907 +2037903486 +2085264797 +509493078 +669248824 +1696305216 +1273068558 +1524083871 +1347826426 +378314320 +2084613229 +134731612 +1452057348 +624509039 +859678439 +882874080 +1993705203 +1291858073 +1027576821 +2040599683 +277769300 +1219393645 +861088399 +1965940031 +1589013692 +1853292539 +1703726517 +645398761 +505137631 +979001068 +923826915 +1345804538 +869420906 +861608064 +1855297617 +1538669730 +410429632 +980882527 +915269953 +1758256058 +1359196847 +852399535 +1892987671 +663770547 +1476908574 +605182462 +1546644627 +1323130129 +1897040535 +426737800 +1216246165 +27326188 +1646131446 +2077334564 +1993266219 +1087661490 +1783143455 +1549509088 +1733060252 +140797438 +381026508 +509403519 +1486601977 +1250447415 +1371011583 +1194415946 +641633497 +1781441215 +27814825 +1556903451 +1392213626 +1387011672 +261819338 +1137717649 +2050782219 +1738727912 +1742900111 +1449943198 +914374394 +1492456999 +1876680998 +2130620559 +1519783187 +1375328796 +2060471475 +1365565758 +315506639 +1696131283 +767591198 +2048566891 +1836928721 +1148617707 +410486762 +1176047050 +251581474 +1781498345 +222979348 +893214971 +1415455912 +250794173 +302634774 +660185890 +1637805845 +564454112 +1797903539 +1541104416 +155698377 +1393320003 +843563966 +1070072771 +738293354 +572761317 +1053209682 +110592893 +1948090113 +966197509 +1476158651 +116113104 +514845144 +96266201 +17196347 +204290218 +1244883908 +427683109 +1380337268 +1496465382 +61697806 +1603316617 +242196706 +1477153719 +1854110790 +544831480 +2137339609 +1344432988 +1109285593 +1787759501 +738053756 +1264983970 +1033595856 +1581617723 +187573093 +1771889210 +6895392 +1240782775 +1882482103 +1954985505 +59496636 +1211157106 +2071098610 +574341781 +1307423307 +2088294957 +778631999 +404823568 +368494419 +11485619 +1901288950 +430192225 +1614802236 +2143485656 +1907345944 +1321429379 +540833489 +1897201906 +518378719 +1650119082 +1537477759 +1256432475 +767619404 +423589967 +690566550 +955192497 +47995529 +697461942 +48491624 +1930477632 +504963800 +107988260 +994151090 +428578762 +682330041 +154090749 +369390071 +1460962040 +558914317 +737884490 +1472447660 +312719620 +1168076716 +939766248 +308721628 +927939012 +113711979 +849555117 +677657270 +632090698 +352190551 +67651381 +1888523174 +1119809955 +491241348 +431606076 +2075002452 +539236877 +1129068019 +2123494076 +322230861 +1634031819 +83998689 +1316381951 +2062610581 +766328730 +1470472701 +284517004 +79807123 +2029387018 +1022401495 +1552254783 +194622990 +42994563 +344537383 +503344619 +970933575 +458249363 +1352899736 +1648590846 +1090340061 +1705090288 +1716242227 +831379587 +677416595 +59999928 +1262985664 +604935400 +599236805 +244570035 +580945828 +921467667 +1878601854 +664944517 +90365970 +1793728787 +1431273248 +1560838671 +2078245791 +1511080371 +1442742042 +953163638 +915851506 +1637365032 +996158201 +1260388889 +2140709651 +1967091777 +1718638252 +1346125740 +1468198975 +661494666 +903732380 +1036957554 +1492874253 +1581148975 +1096957482 +608376269 +38600727 +1696194288 +852946304 +619546556 +470178307 +584064510 +1284491073 +560544277 +230309649 +568280673 +2121382949 +161071793 +2079361044 +1416641343 +1114235431 +847728902 +906522727 +2110393633 +2108117792 +899748731 +1930001762 +1679272396 +98390823 +1250717089 +193283414 +1002123203 +140190995 +1686157668 +435788530 +1237148478 +147050289 +474389258 +785859118 +999996594 +1093935814 +1256037425 +1584061104 +230943239 +1816581702 +1814370754 +799223913 +1790481003 +1975442547 +731101309 +1059638698 +942194330 +1578830212 +1966161426 +905104315 +1539464356 +718426509 +687622429 +1071253104 +816817332 +1938339518 +1264536519 +1818940535 +2078530514 +803210539 +107245417 +1168195344 +950260828 +581634675 +1954054462 +1950257422 +1675570489 +1062608239 +1386834879 +1906513729 +731706293 +1053721985 +558253994 +374703649 +881680884 +1289355303 +1434342347 +1823875214 +720701867 +1253020125 +581495882 +112682575 +1971446634 +1269118311 +1183935680 +640780318 +1059974182 +300988551 +312237205 +991021048 +1104199090 +419482623 +11732744 +2054459918 +1001117298 +1965787206 +1857233693 +529204140 +880911797 +1096584924 +288234221 +1612618090 +2823261 +846488215 +1987321739 +884504145 +2135843518 +1274180439 +560895711 +709061738 +379716916 +1142391593 +821744313 +203679903 +264026257 +2005679993 +844460221 +1324000439 +159184896 +1156697427 +167537839 +1263383986 +1576180050 +179270583 +1170360257 +429813700 +2145057789 +880110302 +959017840 +878485938 +1976695226 +1247252061 +343620380 +1979518487 +2093740276 +183458472 +716538984 +2082100147 +1457638911 +1277434695 +643678237 +1837355827 +272342641 +1465422550 +2041035730 +536368898 +1323618896 +738012304 +1860369337 +1482803792 +1894709731 +2027907176 +598704131 +1323406133 +59694111 +1769064388 +1753219833 +57268252 +501691042 +564754026 +935754190 +330902620 +1812006087 +1279374570 +162937459 +1758262716 +1462833042 +879476443 +1692879215 +772988305 +9427490 +189073804 +462860485 +281770131 +1654496354 +356412567 +818139029 +830631602 +1094424871 +531024718 +165951747 +841650954 +411448246 +764655878 +17573439 +471142357 +386236618 +1770793273 +528410609 +887927660 +188063651 +1464164799 +1218830280 +2000069738 +596055722 +1381767739 +1610848806 +2058888764 +113760534 +1156244373 +684393422 +123188024 +1345318177 +1147253907 +404958156 +852330884 +1503666474 +1223097185 +1682962486 +450607698 +1754121904 +1848914233 +1292258652 +18086502 +466086463 +1309832092 +489228860 +852323081 +933141717 +1017639469 +1740250741 +1121205368 +334320621 +811597373 +973791458 +930376343 +45881464 +437156617 +841781459 +159641998 +1593400990 +1526174881 +282830023 +791235520 +525945140 +687788179 +1643566404 +2029611615 +1910885364 +1179045242 +332735665 +1517523620 +880475828 +1624994317 +1535610123 +1346562291 +787342761 +2024838983 +51401725 +1720484478 +894994804 +1791652466 +694206198 +1229315425 +455766192 +1667997657 +12208120 +501647656 +2105154274 +853989580 +661289655 +1551071616 +232680813 +944119678 +194823488 +758625954 +1631907857 +1838389892 +640753921 +1395309573 +869951487 +973489586 +765349546 +1750427315 +451000255 +153476021 +949505958 +1238343017 +30831356 +1000907683 +811343847 +925826160 +645076502 +1505550046 +7657938 +1100842694 +1026064055 +19866058 +1602490350 +983734681 +873855638 +116296357 +387322649 +1106536452 +1060416035 +582146138 +1865162406 +544840244 +273052382 +358432679 +1940149818 +1143003869 +1331922265 +558015716 +745947536 +1782922520 +711491737 +1695453495 +873781889 +742323093 +548877530 +1685125737 +1668149253 +1193954032 +1043192135 +1675807191 +147313078 +2069256190 +1695673250 +1749803429 +905507223 +422045240 +1866099786 +1292829872 +1528581692 +779032174 +1874976010 +1246260450 +1323872418 +544745 +1604693129 +1116538588 +1143548614 +789131746 +1674554304 +1889496151 +424570619 +238562393 +1437465998 +1298352508 +980885486 +1986343528 +835994597 +501551092 +1032813913 +1879186732 +29874635 +1180126991 +1800959274 +1725547885 +782446772 +558982849 +109478 +501062911 +1851812722 +1528691170 +1280095085 +1579305084 +627467973 +456483855 +1579849829 +84677454 +1573022444 +575914796 +873809201 +1100093100 +317927299 +1298379820 +1338655494 +1755393297 +449248680 +172057332 +1594253177 +1285243278 +673608424 +479583442 +1016946362 +703483060 +1659710434 +670421989 +281547297 +294673558 +1229404838 +281656775 +795736469 +933733912 +1810347946 +2075831554 +365555349 +290332271 +384831762 +1945405178 +375009725 +1957854206 +373836326 +1248818926 +910463658 +691763625 +399715098 +101635504 +299673274 +848963779 +273692837 +1893926452 +2134207057 +947301261 +226026246 +1003669771 +1650784321 +1885736680 +1674091760 +1932331619 +32926591 +756012951 +66504746 +828663060 +1689746863 +1876852692 +757010967 +2055302212 +19701315 +1141842729 +1853223743 +394711041 +952213287 +79576421 +1643529967 +1862676945 +771340047 +2043245066 +1964312450 +1071013321 +744725197 +90521639 +817456125 +731448606 +1037822900 +1043482372 +1735118377 +541123574 +781735404 +1261726490 +325971545 +814661995 +2017739441 +392476291 +1643325056 +1560002656 +121845336 +252852375 +1467821221 +141546651 +1394695104 +1173561316 +536257692 +199424743 +1253137737 +32304012 +2062101688 +2024477784 +2075549078 +1878930490 +948007458 +672790627 +1969452129 +1765463583 +1404239233 +859791382 +661462307 +991873962 +1400914956 +1443197712 +106116804 +1726886501 +110376059 +2123856245 +2119362792 +1753701115 +1536375254 +93724480 +2006553490 +856712827 +235271132 +1253764946 +2030274143 +771528824 +1453189689 +1135928232 +803832836 +1367807730 +1012922369 +731898266 +1099254572 +1960929827 +1404688893 +921223054 +1578909762 +661444478 +1781014436 +92888422 +1653318441 +1034445744 +1536086134 +1759435245 +613848597 +1646462193 +1735807843 +585727741 +1252679661 +1124699449 +679452222 +1111749503 +1981412276 +914723354 +218030802 +1864202771 +1686252178 +1671220491 +852647355 +342601367 +891544573 +1865569724 +1074499633 +1990799146 +1679015903 +331704879 +764538552 +1110442018 +993149357 +398069340 +1203330440 +498984150 +1432515084 +591932926 +110935748 +2046363681 +90911471 +1846743591 +484607774 +1343591132 +823959392 +1164059996 +307856988 +657888020 +2078783350 +525887790 +374607143 +1617551881 +49624633 +1227254498 +1960153248 +941169207 +945340575 +887169233 +784484705 +476872830 +1218874112 +1549023257 +1587314848 +64539822 +1947092597 +643161640 +563523972 +1232124033 +1235094566 +674459720 +1131004066 +1326006038 +373719663 +1615611840 +522113522 +1197679055 +632188189 +829970510 +1855567075 +563487891 +1355858300 +82690570 +33556124 +1405482934 +1309945069 +1993709372 +199168493 +107801996 +733394958 +983653198 +584674826 +1952269070 +385192807 +24506027 +2016808892 +184801756 +667667667 +432849217 +1416925789 +1902762234 +1107308937 +400446207 +1081284624 +1481028601 +2016058047 +1603398146 +531224008 +500762588 +285885009 +239307436 +1064250480 +1641743309 +321998006 +1097806604 +899742595 +1631943075 +944032329 +1098911088 +1739745071 +1677427287 +2082564286 +176936250 +1482212709 +320273445 +201442277 +1351537954 +505075201 +869109944 +1784387171 +1922000990 +624388530 +744212460 +174963549 +1705673154 +77757413 +43537949 +1161587653 +608981422 +544300537 +1447472662 +848288858 +1608551017 +941732323 +1170286864 +558873974 +1841474919 +654746292 +1502906303 +792902359 +247007715 +1032849942 +727982998 +423943965 +367579003 +1048256443 +625386242 +1719116957 +1553331645 +1494496187 +1356020480 +1327848987 +2118884717 +2100232941 +1502812537 +1677074224 +30506706 +1546350486 +691178229 +639488128 +2090651023 +2138650891 +1487776986 +1551718393 +932899566 +510580203 +2110592367 +626890837 +1165326495 +1466015022 +1419793197 +1412334210 +351381316 +292547 +1836278176 +718960319 +1048548990 +314180770 +290593629 +454396987 +1808676957 +1646614109 +1782245975 +1780078027 +1599363402 +1137574864 +1309668603 +1629870109 +536441702 +2000846832 +121874589 +479609077 +1992014075 +1609651576 +2031327470 +777429993 +2120231779 +1994436189 +1404320831 +1138074626 +1312967563 +676630380 +402925188 +1664348879 +676922927 +91719716 +235825551 +1725471917 +405900487 +526419180 +32385257 +67093796 +25549641 +1814631232 +1847171823 +1624913044 +804722448 +1009356778 +1107299505 +1341164150 +862719962 +1229174094 +1820773227 +707250389 +691342022 +1704617050 +1484680383 +664090153 +1551569591 +741517566 +1802164779 +717053507 +1418147946 +57606320 +233918738 +2095070873 +149326036 +469744289 +1673059142 +555226523 +996163469 +1705444399 +622320320 +1021713111 +1372591983 +322008495 +499142507 +29830783 +1331365274 +1606442012 +1370994933 +46601588 +688132458 +1044284513 +753851978 +1379474481 +601417915 +91048713 +2043564634 +5503858 +832566279 +1698245766 +722557365 +103230577 +1755852086 +956476104 +50817802 +1905178122 +1426220393 +1723876944 +312920998 +274900215 +1281837696 +935241318 +1296613326 +506946031 +1257249813 +1795755833 +536776815 +441131439 +1254714197 +1907771748 +487733028 +1942846655 +804572613 +1241585006 +1174837488 +1405990528 +1332633719 +1070918475 +1411494387 +17716350 +621680593 +2134051752 +120946927 +230049031 +943044208 +171764729 +2135227153 +221780954 +1895641673 +300664503 +496681169 +1029995721 +1235905821 +1793294495 +1536941753 +345671987 +1441566680 +2073718568 +786803426 +548797229 +1834006668 +1274536454 +344160236 +491095634 +368637812 +1518997725 +1897086162 +1701271531 +442432552 +1161096901 +1718987881 +1064113145 +1147665006 +1839934808 +1294162176 +2090709214 +2011699537 +1281905681 +165006520 +1759857563 +1582570185 +661687689 +642369636 +670992358 +307498536 +31827741 +1016664345 +1749065216 +2105546309 +1803467772 +150378797 +1792069330 +930520578 +494539034 +135681316 +1299158391 +2013536759 +2032767478 +852946274 +308485663 +1046380732 +424450508 +1372598808 +46562090 +116901668 +519277336 +2137271304 +2128601206 +1801183017 +154794177 +1740975121 +1236269554 +816481866 +235861109 +1907261913 +1123980403 +267688851 +776442610 +725561971 +225751512 +432426734 +875940769 +2017820842 +1362947313 +1370479803 +6018510 +514622056 +1236532914 +2038785989 +1367568330 +1545018577 +937683073 +1792018838 +770133737 +984245163 +1908920507 +1289411073 +974032819 +1890038065 +943110442 +1128826996 +1483529538 +31896349 +1945308863 +1719390647 +1939158262 +921805618 +1987079498 +568117224 +1647367589 +65347363 +1000543959 +375824710 +2083168205 +216007624 +1746304513 +2089186716 +730629680 +835353779 +1980489057 +2098198010 +232888708 +770688482 +1742733201 +1003022445 +1754933645 +1504170060 +144949870 +581482816 +1246724477 +1088060313 +1710309813 +582770367 +1119956662 +1508135028 +154677366 +911631276 +282456998 +2141756865 +1479748500 +1929824587 +59620580 +332808811 +158165650 +2142788785 +548816435 +1904470163 +2084491853 +1279446115 +592340295 +1917497262 +1230160478 +825229003 +540702096 +825410031 +1828251449 +148152093 +182096443 +1973201319 +729634910 +1428820920 +913777984 +292461075 +2011591287 +2033734646 +1800596103 +18785005 +797882274 +2083053101 +13058222 +130147127 +1865394040 +72678802 +462955938 +2023559690 +67983940 +1011772374 +1780546206 +4992145 +143734841 +225402853 +1922489408 +1373895319 +1050631856 +315707856 +51821702 +731399657 +463859950 +233918145 +557117329 +1193494860 +1662739065 +1470895313 +1485955935 +1526846704 +1357146312 +1139068390 +1545631710 +7544938 +1074637843 +1558689932 +137692065 +792548235 +1631368735 +600648004 +668624278 +1699352675 +1612420378 +301686836 +1704344820 +1756155219 +527089689 +1479350580 +982566891 +1577721545 +1795058437 +1034388593 +161637555 +111434739 +1268306739 +718754884 +1304929599 +783562156 +42166549 +643401886 +162925213 +1399312861 +1782470276 +1708556923 +1406857800 +709624471 +1119763207 +1544549865 +1502172706 +603648294 +2145197869 +23313336 +155517321 +1610134599 +325000172 +1859862142 +1218806171 +852089861 +1191729074 +53889414 +282327759 +839303863 +1088278007 +443965314 +950738602 +209101098 +1162720198 +108184553 +992663255 +1204886747 +751586439 +1155588468 +456715961 +386573067 +716661743 +1863573761 +1096197538 +1836424950 +1260639978 +450886597 +292589597 +1258354200 +474199933 +448106918 +721005151 +799200106 +160485412 +1939811322 +1651289967 +1352214487 +1993700736 +1933617726 +44034702 +934495096 +230099392 +994773305 +1143596194 +1392819590 +1102957858 +2136259449 +450222690 +1854544298 +1144364269 +906938651 +93633717 +1861026012 +623028764 +1189831256 +1549967315 +1883668742 +1640717853 +1842556912 +994539294 +2114917786 +143180182 +1715544446 +766634244 +303665595 +1507872120 +270440564 +1655880082 +1354089209 +56574642 +1699914784 +141100657 +286674035 +547204441 +1284696851 +1679493625 +1650162300 +1273472653 +2129716315 +1357222950 +270353274 +889171318 +1450856667 +2131379287 +1512200082 +493204275 +1533862954 +1248385177 +2133922128 +1228936218 +95440823 +2101356267 +1372116400 +1810985269 +720506863 +1675781995 +1171373742 +990947427 +1184178429 +377979303 +1047522070 +736609566 +519079960 +1334196105 +1283814007 +1803776811 +866206082 +786492659 +929765816 +848438750 +2143715609 +1200119091 +1737610068 +1447088629 +1184014730 +1102326503 +1940292904 +570394036 +203228032 +1926731385 +1799330254 +298668855 +1880604004 +1023963006 +2109654125 +453627219 +552261354 +1133544219 +1444574647 +1736439783 +1511523522 +344613069 +325565701 +2030603482 +1678809174 +1609379709 +1686896645 +397531608 +248388720 +469178814 +1245970358 +244620682 +1669297905 +836096779 +1691709311 +705828987 +1938423282 +1484518567 +1276223023 +2141651314 +1263766304 +928069629 +292836521 +996886660 +1952032635 +255006998 +1450513880 +356810341 +1388551217 +747604879 +2093250125 +752591091 +1092217948 +271332178 +635710925 +623543474 +1880711887 +175123923 +1021075082 +2129100608 +644302737 +119561793 +226237642 +166116994 +955658572 +1917946953 +871945981 +746598206 +1254981872 +685356 +740765872 +371264529 +928754985 +1033602393 +1368151189 +733303972 +1288609392 +671181421 +1090114314 +529676961 +1418786300 +1035880791 +1282268053 +363520600 +1307212969 +1917978978 +987064074 +1040441209 +2093102901 +2008139157 +1022058169 +589921990 +2127700950 +1248295811 +756038984 +935875874 +1018759116 +1627984965 +1682474080 +126257340 +1628670321 +275756304 +497521869 +409941658 +1309358697 +1865673059 +1143245631 +450484441 +389370832 +85876297 +980161403 +1808157133 +1121757088 +114945808 +24194085 +281486409 +2032924786 +1011258160 +1321927618 +1978544040 +871913669 +196502139 +420982382 +852130971 +1444797950 +1177021367 +1788006845 +316073418 +657522684 +1322997277 +442330759 +138709358 +1598753581 +939852628 +548651016 +760628630 +658042039 +1691896647 +1211113072 +1047412872 +1777772944 +43790827 +708086357 +752046384 +158736635 +732280442 +1033532794 +44177773 +1743538602 +207976764 +2022721813 +467968623 +404478904 +296220548 +1320099594 +1849276854 +1473241915 +960622791 +17866625 +2130764599 +136136420 +460197384 +121990309 +1734890001 +1400050012 +670641326 +348034984 +2058092052 +215054325 +1559148056 +958021276 +1992827270 +1602938883 +1666107633 +597390006 +1761675518 +250904427 +1630922800 +1805853291 +1994443030 +1838899565 +1681091457 +314928005 +95894821 +1977312005 +1635027600 +1945171675 +1303070272 +448166743 +1963038300 +1286351223 +584303164 +275752036 +1408341533 +171709517 +1675802049 +2078982859 +519744501 +1586410453 +146553536 +2078892557 +396948081 +2139380806 +1534347792 +2063055714 +589287165 +1148539662 +166476493 +72726317 +806909306 +13435875 +1911625882 +340517115 +328363881 +2007520703 +170345472 +1963391481 +1805208731 +1473415744 +264074576 +1620763383 +612283319 +848377740 +1896515420 +2020624852 +1020087258 +1424833821 +1952124063 +1539831759 +863760626 +2098677600 +1471240669 +1260708707 +2090574758 +858104813 +1176280773 +532378275 +2006644476 +1342757266 +605104593 +666070134 +1356193142 +369246827 +1006587249 +1684557023 +229283883 +1176932721 +1500464856 +2034492614 +502864817 +1764539432 +1507772349 +1115148136 +465433525 +1256804121 +988289341 +1485520783 +534154294 +792929756 +877868894 +1397914920 +744123708 +201625915 +511139979 +687214819 +1059730729 +1687420752 +1219593094 +918891557 +882694371 +1824697687 +1584961691 +91403865 +46460867 +444065292 +1775960888 +275744750 +1620998013 +1128942096 +162753716 +2123862830 +745997880 +1670526065 +1091527318 +1211431405 +779846539 +2079816659 +549468540 +1314000833 +725262768 +1427337435 +564432106 +1469386476 +1628963350 +1075572085 +9117647 +541210431 +615509190 +1228710742 +1460101988 +1498203561 +905924781 +897580031 +1589607426 +952385648 +1341645323 +1218084666 +1228130398 +815159688 +199543114 +1390884114 +791538870 +945540994 +913926532 +1883066189 +9488752 +1693773071 +1815399200 +558957292 +860290256 +393178320 +1986294727 +1424722362 +1862564797 +1467774430 +352810800 +1871682444 +2008984861 +968319990 +952909538 +1321603202 +319039903 +1858834320 +71699585 +1908647329 +663736320 +1413344909 +979248347 +1891866719 +81020949 +1178791461 +1135267185 +872559820 +2124332455 +2049193717 +608142361 +2133821207 +1595483140 +276057913 +545294852 +308289749 +669236234 +384105931 +1733012111 +384317383 +1851880361 +2085822911 +108516179 +1713381575 +906659253 +1061425718 +887501129 +1225699156 +772776390 +959200714 +986862837 +1436512710 +225061975 +1966111184 +1180895781 +306082925 +997418997 +168679319 +1178642745 +974267805 +70389388 +1786785106 +960605364 +1665872529 +2062843019 +1505900216 +1974162278 +584595605 +1890006148 +1559690741 +968912988 +1594402861 +1498030005 +1077429168 +1160300788 +257205610 +2138854886 +2047801917 +1482904767 +764147628 +859518984 +322283956 +53176690 +1084580959 +140911493 +1234072472 +1390663884 +1138330490 +1402751791 +421822981 +2112598295 +1473141179 +61124439 +925720012 +991530060 +2123967459 +284136580 +818208690 +561079416 +26659080 +230415784 +1529992405 +1621061942 +1728445789 +459937925 +633879082 +1985651399 +451309163 +534197352 +1321072518 +1215456791 +1393716336 +1643356475 +1268633481 +330813647 +1784267968 +355222305 +1721477532 +775114810 +1757974096 +2143300513 +740229458 +1083631628 +56941305 +1665949470 +2075161688 +33425116 +1950086050 +745886731 +594504532 +1976745131 +976302515 +2124496937 +1450323425 +557264656 +436951214 +2084202507 +395432407 +888260377 +470916211 +1716504926 +2103717168 +1864632547 +1212377753 +1224867002 +47962547 +849162073 +1580089307 +1769440079 +1624276883 +1190579756 +1765256944 +217022693 +126727736 +1822198249 +1882972163 +54405776 +1855623365 +1685574566 +800292507 +302644250 +1514836049 +1776595022 +279657539 +817675826 +186376030 +716608754 +754394685 +581808438 +1604869131 +1225310897 +150829716 +1561102652 +942459796 +1363207469 +638486006 +990422343 +64885894 +71091665 +612378774 +1689162777 +1261671421 +230152071 +1906185471 +1388399157 +2052350320 +1641673986 +1442804934 +1760490038 +1179764904 +95613793 +2063134288 +547117305 +1872208816 +195308179 +1364793131 +2058584846 +911916933 +2119187817 +492909636 +369302417 +1197015066 +643739352 +1930405069 +2139474862 +2006946821 +421407427 +982413558 +2071832715 +492499092 +1594792332 +1613511845 +1754170514 +1824944403 +1372213668 +995086023 +1729811076 +866404006 +290407309 +1342817466 +2046168911 +386021103 +1258468106 +445802568 +110746271 +1453776285 +1810595700 +21847469 +218209571 +1782299869 +514757106 +587511988 +831831287 +1158496458 +370433409 +823822501 +1017959632 +791840836 +1806236059 +942308699 +1284339928 +1253544744 +408336896 +891026794 +931005499 +1780550564 +1886112818 +513332927 +499470923 +29036479 +1856150393 +398156186 +415057582 +967134851 +843958754 +525803853 +273427489 +507070806 +547651323 +491637060 +141887027 +1062408429 +1079149048 +973718314 +73421239 +1449582457 +1797540816 +1091380871 +93939645 +1456293227 +2033689571 +1378279573 +562354323 +294542819 +121822720 +1493359823 +2075093384 +2007935538 +2006692750 +427080659 +2036972017 +1715359496 +825236845 +304545952 +535010699 +1669195599 +830349805 +808438188 +28782758 +1378001128 +1300075248 +170669785 +292925909 +231740648 +1144388100 +366347149 +1681323105 +794445268 +1457728020 +1775262750 +103254847 +1343933943 +1006058676 +665609171 +1638476763 +1127881396 +11485346 +1566086499 +988333286 +2018178096 +1993167158 +877821655 +1586053944 +670920355 +1182367607 +2121064644 +192632306 +2012717413 +782019184 +221415064 +1243234893 +2082094433 +392084850 +1536160803 +166351433 +1536472950 +1902507952 +1847674539 +183434570 +1212752324 +1475453641 +286689417 +409202620 +334028669 +952298588 +2047679383 +1461910065 +963783934 +1466282234 +302759703 +834478383 +1311965744 +1180581359 +273048679 +1982886099 +215465318 +246629675 +28034757 +80699083 +1028648860 +249449822 +1323933977 +963259645 +641534672 +712611132 +1129611078 +30523974 +467635436 +829801969 +213958544 +1680387760 +157771963 +500647961 +2089590380 +491800632 +1452946550 +1989786115 +1953710698 +269246836 +1308584701 +108986753 +1103725219 +473066797 +1289568112 +1376773899 +308469248 +1505033431 +1623403574 +336504006 +1585732514 +504568786 +585953828 +762182843 +1467828431 +1227488500 +1474793975 +449955862 +1258012474 +1942429411 +1279757831 +1471971018 +1475333524 +1437529794 +1972618979 +1417440256 +1929330427 +1278081881 +1259742724 +1735557477 +1547328718 +420843777 +1844544230 +503570289 +893910575 +986628695 +1880344188 +1202379823 +344178478 +1356264115 +1538883829 +1929910992 +1860832901 +2124837657 +544610188 +1181177685 +1204842509 +2019404163 +1631133547 +315371335 +1814349927 +763407730 +1787342353 +1142199803 +53453877 +1612477685 +412156411 +1982784304 +743075918 +1671899135 +1570858133 +142920988 +2092742913 +1267918715 +646491278 +839169840 +107063762 +379351818 +2041549663 +451242240 +1735615933 +1432949845 +233669585 +1448965187 +1410303854 +778279773 +482659224 +467662716 +650200288 +2113792771 +783034051 +317066567 +729716853 +422892757 +1459266370 +783170730 +2035370442 +1871422782 +618471386 +630962712 +1395838269 +41845871 +773883701 +1341097534 +1309764587 +1420374979 +32783726 +1416828349 +1799726797 +2074333390 +1868070590 +1387859083 +1359799587 +2101740175 +689340622 +622619793 +732536300 +1171999846 +1090282509 +1382736588 +1138308969 +1873316561 +1699803156 +1868025822 +148725670 +1011585878 +503712905 +36612464 +735525012 +1122184291 +667575176 +2131363282 +1164030163 +1441458877 +1324977168 +326311102 +714350208 +1357760895 +1743139451 +366593358 +1284610637 +1463726393 +1754452441 +496926576 +1417982920 +296309415 +1119546369 +3035572 +1468309261 +62345231 +1385772161 +459134582 +1935661792 +938091669 +179676756 +2084387462 +1949677547 +683389661 +2120999926 +537718912 +1805573953 +641091454 +521598546 +822120468 +2082550332 +1846575714 +1148431570 +649416892 +1056852961 +744087373 +1016010250 +193979950 +60330119 +622979043 +690906526 +1478313039 +919288458 +1810452896 +1481348612 +240114071 +1872798127 +719637125 +699248653 +1660976271 +1657728794 +878925410 +1597880085 +1459922693 +1562315071 +1571396363 +1997641605 +1220405376 +65004169 +371756503 +2042525844 +70853 +70848570 +1043473766 +649487746 +1127701531 +1787561140 +1665497996 +1321681482 +1847891259 +140993392 +2012588008 +1178720650 +1060281850 +1675557256 +512585614 +1300395922 +1400871735 +1232222739 +1999644575 +914364358 +742467885 +731086337 +364760795 +54906931 +145917761 +1936157158 +2052548536 +1366323137 +2001161328 +276821392 +1261365334 +2001232181 +347669962 +157355452 +503236279 +1475371493 +1944916592 +21250628 +649569327 +1645324203 +162244020 +514673688 +676561206 +1222525870 +42747296 +1189146820 +375438144 +1443619032 +273885912 +227599072 +210499742 +1016353797 +958685409 +575260538 +1071260728 +1104603170 +363934048 +976325617 +323442660 +217611728 +1253147009 +1584807994 +71360262 +1600816971 +1742163446 +574596541 +928704816 +1539596391 +595847169 +1578274144 +1037436946 +758091189 +2092947832 +1713998152 +1980617060 +2135695128 +755661325 +208571556 +1431830512 +1029547237 +436170628 +1642330255 +2045901034 +1394856038 +70107145 +969678115 +351975560 +434041193 +1946003732 +675418220 +651652922 +1051667093 +112742566 +723013184 +505000416 +1854906013 +1297609725 +1433705232 +1247018756 +1893456895 +864495728 +136972054 +504064436 +809959912 +1850970207 +337197848 +798171393 +459147884 +545769405 +82518257 +1488695121 +981940033 +1724848512 +1387112507 +229312423 +1794955657 +209306974 +581287984 +81513203 +7827058 +1256706204 +733166125 +1059494151 +1369448771 +1456179309 +1564494567 +1076871136 +606305386 +850716152 +176406244 +352278633 +1715211880 +313378298 +856343070 +377688145 +16864857 +1193540918 +1175859538 +476012741 +1739310323 +1258377795 +1964707862 +573766709 +835742660 +1204336722 +803079132 +483214669 +1413643696 +1384367116 +564727872 +1421470755 +493589673 +1297893997 +333481258 +1863038444 +606589658 +1897975826 +792425932 +1212895045 +601208330 +968832176 +1565173678 +168936562 +1282210474 +274033100 +546624707 +1299075332 +1467574019 +1722484245 +1775088073 +1059400694 +833378393 +1592312288 +1633167403 +1669121053 +649165362 +288762888 +4852074 +2062809058 +1673130004 +569579947 +1336796165 +19236029 +1867473944 +1670277424 +1882274473 +326579955 +1420769602 +527216757 +1539475000 +2021977932 +1496048933 +957165030 +43430846 +630775760 +1231198131 +590055554 +1929851092 +551288502 +165056151 +1557455517 +1610689196 +998434544 +1002284157 +1096372952 +520071949 +1651449519 +1385135840 +524924024 +1566774930 +910782196 +1094503971 +756087447 +930018226 +814494267 +278881223 +664809051 +1141074222 +1699650825 +1192025809 +533065574 +1574145109 +540591094 +1490230605 +1617575956 +1171366854 +573945088 +60147862 +953734298 +1125233590 +225204013 +363706168 +588439138 +1223638558 +1365990325 +1684812090 +1743710507 +869956197 +922464282 +121150883 +289247479 +1833246479 +1215654854 +1045334926 +615781057 +2030149122 +1324216150 +1280590108 +1023739696 +876383327 +325132269 +1556805271 +303044789 +865723364 +899552228 +1920620745 +2037090218 +1473497316 +1980768607 +843340869 +451247258 +58488972 +1207047037 +1039686396 +1282127530 +425553714 +577014839 +878354390 +1295509911 +1499479121 +999505273 +1584757390 +1185241952 +67676480 +482608669 +1801023009 +2097825602 +1806824819 +934129470 +974081650 +535724498 +1259261739 +383403273 +838769287 +2124985103 +1282955501 +611906384 +2014591674 +608969169 +445191343 +710448895 +1060216427 +503680316 +1917495932 +2099902824 +1785807846 +195565998 +529434015 +516678588 +1491075910 +2028913136 +1516183862 +928349652 +1066671441 +1583860342 +1410958321 +720210802 +1534202296 +1070299492 +1654340272 +360800298 +1606023991 +766118364 +744203572 +297309630 +743619819 +2027159073 +909216015 +610727845 +488644595 +1354407358 +1321176740 +1548861022 +1858087674 +1091189024 +1501280198 +1496411873 +1286755023 +2030714213 +2013090461 +630347285 +1912143702 +1381790675 +1558696937 +831331495 +818167369 +822171611 +1551542297 +204886017 +1892471103 +1058398922 +565686316 +1351011446 +1824517286 +1309889888 +1648321077 +420653457 +1189565313 +410053444 +1031381303 +1678209908 +1764460802 +205074395 +1079587283 +1475064829 +1296263420 +433383833 +823993054 +435534795 +316614399 +689599867 +1065882080 +81274453 +2071390543 +477095369 +912605948 +742074264 +1299266980 +316664597 +946960282 +1044254436 +1375063519 +1512646598 +247782234 +1052097157 +675052838 +1896103311 +1472750615 +1864618151 +158673107 +356648270 +1395344412 +1923133910 +561722665 +327448047 +1250715091 +1857986085 +760831880 +2074708145 +146037232 +1077446279 +616824364 +1211919312 +1158720732 +540731259 +1689014682 +2071326680 +1282805524 +840798014 +240507630 +82282158 +1885052450 +1615571149 +1594928756 +2132834685 +520184659 +122497946 +1881454348 +1992935274 +1987116097 +2040127456 +202099896 +1234976861 +1815777718 +763822561 +1562424908 +919009161 +474324999 +175773141 +846233658 +620362231 +1253219420 +1463058022 +1832281544 +264456505 +2003789282 +1373812578 +188299537 +1139111158 +67126944 +428807167 +1221393316 +1952179395 +2044378317 +668838424 +1937530432 +417079328 +791336370 +1671501132 +262530954 +630968819 +1564144940 +464630850 +1865945681 +1232439010 +1228453411 +1280886941 +3964523 +1702778410 +1456660082 +850198181 +175656994 +562395855 +165772556 +2007938538 +826852360 +22078190 +1234267468 +1015151897 +1161189348 +1301394412 +1443959065 +235099016 +1106090159 +1340853734 +903937440 +896136943 +1757933062 +1695273810 +420154428 +2020464016 +178758981 +1984299368 +337611218 +2044704662 +1069254731 +1566064629 +1178107956 +1073219254 +1121359392 +487284390 +1923417436 +1297016386 +1049680245 +2089189992 +1157471276 +1876532605 +2111268182 +244255096 +744200855 +1124973882 +1545649508 +40676272 +1360072898 +504256020 +1381530006 +116526690 +1400392963 +991979420 +1811800500 +1820547391 +864959788 +1990559481 +1657363112 +1202571006 +1887780496 +579134195 +621151987 +918404804 +1652353449 +1742511379 +1405689194 +1428287237 +892044117 +307885792 +1369993581 +2049515393 +36934749 +1333778115 +146286841 +781135604 +311268349 +1691936350 +821811876 +1671341247 +48708722 +55858234 +1787867937 +1449101685 +1047837654 +1452184789 +1122165429 +1912797442 +1295260623 +632044893 +967884800 +1035557471 +1211179088 +1589036788 +1953962275 +716048889 +1184064519 +1212167821 +2144336127 +2076108637 +1520053613 +1366846060 +1978140382 +1556988363 +553140528 +2124427224 +190640319 +864408877 +1668879926 +1012452196 +388266477 +1717588648 +1068310430 +28650766 +1019206685 +2116148085 +1480835556 +2141372114 +1881461879 +628612531 +625933359 +701863032 +1664170002 +1837112447 +143416172 +1470648629 +405677689 +1327480691 +535332802 +402530168 +1256105680 +2055386416 +1769376228 +1086762415 +1464891131 +175033108 +1063705991 +1655531450 +1039441986 +585102269 +520499998 +1427708463 +155207269 +1588810429 +1456359229 +1174413954 +1557474866 +789711137 +1168302421 +1291453097 +1418323668 +1794235780 +1993316129 +935010022 +1483864580 +2136732301 +258175003 +1889542269 +1316729345 +793507806 +144588789 +425351377 +701410574 +1913965017 +1512113792 +18818057 +2088998126 +428336135 +1674349507 +980956464 +1013438404 +47365858 +261181279 +1168645673 +1636176287 +1717540508 +195575980 +1046167505 +359767998 +1363878401 +190136954 +1778091666 +1010630533 +35969436 +565618041 +347011465 +25218089 +823793044 +89070086 +1341947434 +1617300850 +233658875 +1767298812 +171227776 +140245 +1131928956 +190045833 +2089138371 +1560265092 +1864395341 +922611187 +426219848 +1911761199 +1183792466 +1594865522 +1400453838 +753849326 +1790441502 +299137695 +1113617324 +1006836255 +489274649 +744225343 +2017466788 +525244085 +1309843384 +216994606 +550462175 +2133636428 +306064692 +1892409609 +1603453631 +539723568 +1512224773 +1774681407 +539863813 +496670082 +1964727241 +481518536 +2056935174 +1681638934 +1404129723 +335671374 +1445916485 +440438541 +1930536896 +698886675 +1194287867 +1573494750 +998024370 +160421544 +432847357 +1487299019 +904646887 +302830498 +2012543105 +67006623 +519825104 +415521632 +53159403 +825889796 +160447593 +1656613034 +1365613364 +1672672367 +1283810794 +1905477177 +21858801 +1101054387 +239512065 +2078793975 +635209673 +1643641788 +266981701 +2081126158 +2084080329 +50034950 +632529185 +1130884549 +1623529700 +1630553555 +1291306093 +2056377058 +970368926 +48469332 +211723908 +835428383 +115475955 +731549012 +1250950015 +168635358 +1557438808 +1411397609 +1825248393 +775568525 +936586328 +961575539 +533562054 +958445129 +2062629926 +773074120 +889755456 +550355951 +269232260 +1156737157 +483998461 +205828942 +1206772107 +1116527646 +1336713491 +682818160 +599597553 +480535936 +591711570 +1569966479 +529005268 +803435478 +257911215 +644481223 +1534984490 +1508861230 +813116581 +944939650 +772775191 +490881326 +1720508175 +1709361519 +1452456865 +106586582 +520323000 +1367603143 +879660702 +1410078456 +1917959094 +1148892962 +419331966 +254473907 +1354721904 +1626104073 +1371001553 +543951747 +161438585 +1970599106 +1024487683 +753150155 +1393081938 +1553492951 +1556585633 +1650993153 +50490526 +944086475 +1012370735 +863607108 +1889026126 +1785145927 +1354488434 +1462050653 +1347023798 +659461652 +1568637235 +1867346799 +2027064795 +300814289 +1129941607 +1797540242 +1449707252 +1549273573 +2052014149 +656945508 +1027893999 +1275532055 +1200897256 +1189332584 +1098647513 +77901291 +1942482740 +344245803 +1631394243 +1351584725 +1995238956 +1681884769 +148187553 +860126044 +398008229 +2037213679 +497788323 +1752496664 +1351780684 +1844812121 +264474668 +772934272 +1564675272 +144055815 +1073748561 +547133232 +1941596057 +375972165 +2096406805 +1846126559 +1032917674 +976817156 +974174966 +86331282 +18666093 +2072822479 +164232573 +1961148833 +269584635 +1795626816 +1165249910 +117339943 +1330027938 +1313437463 +977465987 +1728036167 +1203167494 +1475254310 +1333049183 +407464531 +1172582784 +1597523851 +1180398803 +589774408 +1741579667 +106663716 +1136907640 +1535692076 +482635882 +1085830798 +1234334987 +1515553556 +2062647954 +61026305 +1601884838 +2081314047 +2133848785 +1766117411 +1894979232 +255949772 +1414260580 +912745495 +373289715 +596804870 +78699310 +1350755703 +177357389 +1281866805 +678526365 +1510406573 +1689331336 +1851109149 +960446776 +722246491 +293399910 +554542795 +828910207 +1430307550 +2090234872 +1311546089 +368654700 +1177086211 +679615997 +283819007 +1238112517 +134017187 +217649406 +1224477654 +1900134599 +2112628639 +1480427426 +1166911531 +877890486 +1853717141 +1763716401 +956589796 +1056989196 +1941073790 +90972953 +1735515562 +1303996715 +1780304289 +1439141063 +116959844 +355067132 +1732540973 +671502639 +1183977340 +1015364876 +614253863 +348039781 +1384019576 +1791340075 +1027655779 +1667838583 +881968944 +1161672966 +1885487990 +2106446598 +914323917 +1850632981 +1439390376 +2081235448 +581039819 +1145623869 +1697468201 +1537629615 +55129418 +1491058344 +1628602569 +1790644980 +647571411 +1261423210 +1082302395 +764531255 +1616490343 +667359721 +1436033895 +652984035 +1682724597 +2050287758 +1001023816 +919260525 +1694144185 +2028679595 +439615461 +428629481 +1042868914 +177619803 +387592431 +1957192831 +2028252784 +1826982807 +1890944632 +461808955 +825123029 +1440929185 +1999438570 +880252447 +784503881 +1480557491 +523413779 +1432075293 +594497054 +1605716174 +49122900 +63503749 +125592247 +1485156795 +716487784 +1808316844 +1387960906 +1717511600 +580093722 +934621443 +1598707548 +1019709183 +1363250925 +494092814 +1197328986 +1750843356 +303801997 +1078098122 +1430342516 +47262981 +1539907077 +107981897 +1488192167 +1391861999 +988234344 +125212400 +724935843 +1511648123 +1557287693 +1319432897 +969880649 +1606410594 +1382936646 +1095472897 +944083741 +2099424430 +756306093 +184560999 +1669452382 +1336399815 +1119182443 +1120676282 +208625350 +334949720 +1614769096 +1405954336 +2085793076 +1918571094 +336568810 +1368651944 +1965834075 +1876475887 +1476633841 +1306542594 +1120854239 +317384537 +1431754995 +1845790082 +1829032660 +841559040 +1017739331 +651429662 +300485986 +253192329 +1746902559 +1244569728 +205133111 +355725004 +1429130727 +1874585493 +1692124820 +400829522 +847778128 +1900750170 +735779242 +315063576 +1159220859 +674088671 +86151022 +1495789669 +2042740615 +2051985098 +1224781909 +1371890809 +1211044044 +198152500 +1689275346 +495315391 +2043942582 +1370824359 +1336874432 +914198265 +2022254021 +1637360418 +1167390594 +1621672932 +734446498 +1372523705 +1977397936 +16093578 +1099625550 +1522039108 +416923100 +1947403678 +1275305631 +1152702343 +114983607 +287042842 +1826791014 +201134629 +1782832511 +1722047981 +105636079 +860130772 +946455142 +1316680124 +1058283272 +488246841 +1811995515 +954742206 +1859071200 +1001386299 +1868940471 +1733841573 +491263070 +888847417 +1208030857 +1225709568 +113887474 +1037945145 +1241803146 +1213513025 +412500606 +1658726247 +1013433055 +1687806237 +663944942 +1128416662 +1974849079 +343252308 +1329551292 +1610197942 +2065300289 +1435187371 +322845067 +864271784 +604383847 +1381128339 +1352518625 +268895715 +188386898 +1064106177 +1270282014 +2057327369 +650464102 +1761545084 +798691139 +1858494959 +839771005 +912578613 +748956456 +2081574151 +2126091638 +1161457062 +1592816750 +992041046 +701779651 +109278044 +2120457708 +529145082 +452530352 +1302525352 +2139343025 +370346994 +590229076 +314704444 +1234618778 +1194612923 +1695832783 +439653755 +1463508638 +1884219681 +1503759932 +586307005 +1794063403 +6740386 +200368441 +445270894 +1865235345 +1040139446 +1357849507 +466708153 +974229950 +1336457498 +1628165216 +419563052 +181014896 +182461219 +528841097 +153988956 +711606302 +981371449 +1456514309 +703465679 +1351718443 +2046743385 +1018170123 +438853573 +1093872660 +566519258 +878507328 +409897651 +303255292 +234783612 +996204656 +2097318695 +241523998 +1196573097 +395105941 +2106759343 +89228896 +1752955448 +425983849 +1063458846 +941929298 +2054149065 +1483021898 +1122944194 +89126636 +2011862995 +1276933151 +800732938 +845750797 +585963812 +1504198617 +49985592 +485223549 +374885092 +488839166 +1579096209 +941404351 +1367346494 +1988993860 +1244659643 +1602130107 +837714868 +1194494690 +1843654105 +2034287966 +1589600631 +1802929801 +2123516862 +1195072431 +81430002 +1039492060 +2137001730 +2135579067 +375030310 +1112462276 +77222055 +239409658 +241911779 +877954994 +1085160455 +827875591 +234669963 +1135146047 +1313099140 +609555056 +1623985213 +744711702 +1550959407 +843848060 +586221914 +648135402 +298494519 +1423936783 +1842630092 +2142148624 +1310741101 +1284747075 +1797594777 +1286774315 +332335858 +1879024779 +178782727 +321853940 +1867120198 +553813037 +1434316217 +1944342254 +793222695 +1676227996 +674813600 +1878383150 +356619940 +909483563 +866045550 +1669719080 +1519038619 +342547115 +266947134 +922514378 +1186395175 +853169049 +1570649780 +1484889694 +129622184 +1265796224 +1479554671 +1440363285 +403059651 +1129665800 +579653952 +735395510 +861206932 +758436679 +1057249450 +580843482 +1312249716 +344082019 +377702088 +2105472412 +2020310016 +1052515688 +1836371914 +229446308 +1961999252 +554933816 +1899165388 +1333554223 +897480932 +18628875 +108584954 +2083876107 +871797924 +1679234734 +1421282154 +1001420108 +797547311 +753353177 +294299745 +1200606962 +1883018977 +873953697 +1936002472 +596742261 +1632390376 +845768275 +1177585744 +797156444 +1189850294 +1555287832 +755145208 +1062676662 +460319873 +444033475 +1292122970 +274835477 +998967291 +1043804711 +1608389700 +1896448223 +1062433586 +1716974654 +1832840683 +1934231510 +1248725741 +1106639189 +788167970 +2046273052 +1859992366 +1082467715 +1099396366 +1595527695 +1956421412 +887915191 +44786309 +1441328140 +1733683466 +1222372053 +91000936 +776050112 +630176237 +846146145 +1838726775 +1090496110 +1290179620 +983366097 +1365331587 +141663263 +2027170808 +826237640 +2038111487 +942120746 +395728646 +1723468522 +728868608 +1644454387 +682624063 +1517036578 +1543243791 +395132781 +452020645 +495156510 +1990660476 +260958409 +1383071701 +2035446785 +1702286549 +969271519 +1110335190 +1793287486 +1745321631 +1740511428 +491949983 +1436564758 +683523890 +1782129603 +272447208 +2048855478 +1923792866 +152134368 +727609470 +1814420705 +1094255115 +1123338116 +1390405579 +1823123723 +620308856 +2073029642 +1192676654 +16068999 +320678775 +1644697299 +511225509 +163855604 +1905655709 +1894297210 +51818741 +1460458610 +716085081 +1162153932 +1106262448 +313923065 +755181712 +1598212431 +1750487823 +1438705602 +1232858386 +2022935031 +1340077432 +1009167605 +27585752 +2067686902 +676104662 +1121840867 +1043541371 +2066510242 +797480942 +1663850227 +1992056236 +1990157596 +1679919226 +165251364 +1487371248 +43661088 +329106968 +1245543309 +1937958298 +380925709 +558518271 +506559732 +1543079641 +1664780720 +820482797 +150777705 +1115509503 +423486972 +1589483308 +200884242 +298938356 +782077092 +1210051847 +326524108 +702280347 +1886156509 +1448364975 +1745821718 +1805183103 +98362269 +1262188297 +1649755692 +2088519866 +794623875 +1815007056 +1428407466 +838284963 +2144114024 +526467127 +628759614 +377556085 +1084985398 +1135319346 +1920635727 +602282470 +1955802143 +2071413432 +1717791974 +231805467 +1513413092 +1918676216 +530743823 +148006537 +981244415 +857267931 +850286884 +719917276 +158149258 +448624954 +377616732 +256511528 +1710813251 +2027372424 +197547746 +357953478 +1694895832 +1625955212 +1196238442 +1691526208 +4938691 +1824998056 +2069082293 +1089924089 +812833754 +1842234372 +1692206560 +621152249 +1766164157 +1262514886 +852957716 +1132093601 +1033707454 +1383701540 +1280100138 +2014951869 +93485823 +2130387022 +587385497 +251635082 +431528328 +965002229 +508146610 +2142341579 +844891005 +705694356 +352811410 +392303189 +184165920 +1549049852 +2083829397 +189104611 +1226564260 +2005428043 +1279028700 +2039398014 +1700178767 +823751612 +513066615 +1318859276 +2086266498 +1366024331 +303469230 +972490304 +602242223 +1583569368 +839958525 +695728047 +1566472743 +1427344023 +947363129 +1998001071 +244862604 +1455509739 +1992859003 +1089753610 +13720447 +198186765 +1482056799 +197886367 +1747236617 +1418402549 +386990978 +826317229 +1276346944 +1666019678 +718231595 +829042063 +342287643 +1231298210 +417692 +281070493 +449838893 +303886922 +1253560798 +1052081117 +1887456290 +2093519323 +1747809164 +1306445385 +1373379698 +547688645 +1156962809 +1618242303 +2003198384 +1002338164 +560512265 +2016918831 +1200524929 +2042569064 +67321550 +800277898 +1313487965 +454312528 +1626595127 +442351261 +2120332206 +197343074 +1271393325 +315136201 +1428641284 +1271811017 +596206695 +1878480177 +1575697939 +1849767493 +783077646 +1315670581 +1795803168 +383403162 +474632319 +1021699219 +931091807 +1631595128 +492457874 +786806543 +486449644 +1052970139 +656241726 +1686974573 +948055555 +723563276 +339768823 +114059873 +1177875804 +1966363950 +556411134 +1150724363 +16223376 +1827804459 +1465860564 +1444864660 +952131828 +2062067259 +1175861189 +380346119 +1764351104 +1958938836 +1696016701 +1412670625 +194858350 +23165372 +286886196 +1125950158 +1654760500 +779344070 +1912756701 +2141210144 +1832314209 +421514780 +1680701069 +632886116 +1145078056 +2020469892 +746945989 +175470213 +1839350194 +1303357124 +1326194576 +1855573570 +983677935 +644571492 +1152954582 +1935809764 +559155104 +181332123 +168672235 +176022560 +2140270959 +1864688936 +1588693185 +187645662 +1887854308 +1875579381 +1313595820 +1395131160 +507439803 +1078868873 +1388857656 +192270364 +1500383653 +922075077 +825156481 +497978062 +795061321 +1572102470 +673448275 +486927867 +727975946 +1999642851 +195017789 +1711653882 +496730695 +1347972371 +1499979998 +1055885799 +1529304495 +1668652233 +1231908360 +1522091806 +1385857522 +673117897 +1709737468 +1126228182 +401213631 +875849640 +373875695 +908653434 +1954718514 +1762733351 +1100923799 +1307618519 +537324781 +1926080280 +1805596581 +1332386102 +1350699102 +331561208 +1819313970 +2078675049 +183720411 +2014331759 +1642845283 +680451107 +1214820483 +995341633 +1736336906 +596641330 +516510218 +820761618 +2118733136 +1902367740 +1493879516 +1680986957 +881112275 +1895093147 +409352949 +1254987970 +656262933 +216587815 +870237673 +1757186732 +1524206335 +1407562454 +1535783364 +1182319268 +592464909 +738998819 +1513880477 +264295231 +670190220 +1697600888 +131143342 +165551855 +230568347 +1345963825 +1160893488 +1966905254 +1942605155 +1677403706 +640183224 +1913854644 +1432287799 +2134062740 +1447357953 +165916426 +1881672239 +1856710902 +1420904396 +390451525 +2073298718 +143658421 +154609 +1450021405 +1551220876 +1535937974 +484857025 +2143685785 +127453145 +1998737502 +260497368 +797643365 +1548854743 +391640710 +963195220 +1779423090 +1737604536 +2124088708 +1598844696 +1532726043 +1654008766 +91544273 +1299097039 +938812917 +78123365 +598971344 +1104729343 +1959795605 +308198599 +378150091 +202763482 +234013669 +521808513 +202918091 +1684035074 +2073029389 +1738856065 +21408451 +2069231526 +1866309210 +2020145954 +182245246 +516468927 +1421517049 +573885956 +1479664147 +1053456491 +164006844 +1456269207 +504817540 +1696732888 +962794326 +596361813 +848346279 +1901607243 +674485178 +1447317624 +858852939 +486797135 +1755516223 +1237003030 +689560617 +1989529892 +1758811543 +892478709 +1526081318 +1684357284 +483851126 +1547489769 +1606105162 +202676689 +1420152075 +1788350408 +719145616 +694185476 +214752717 +51326116 +1747641968 +378759561 +1507595323 +104975860 +2075492449 +322906001 +701337673 +776355081 +77029597 +1375822851 +76189057 +935882536 +1862619987 +1831705280 +25401918 +404696956 +1673751524 +1784213462 +1297175665 +1052349194 +1321087098 +1781026792 +452355315 +779708613 +1983703481 +1872507391 +420575373 +555365449 +419209219 +635328090 +606691565 +19367539 +1014087652 +2114286889 +124343399 +942096453 +289709242 +825681072 +1718451534 +366738839 +54020276 +1794640591 +1302621375 +1916640263 +1478862223 +1328023294 +173853571 +1005130099 +964753108 +1471029237 +2057479293 +138356558 +1104572381 +362350961 +918065171 +940792214 +87374704 +1338640545 +1496157663 +506583923 +1973968635 +2102849229 +525951463 +840572639 +2069652470 +650294862 +1782669093 +211878064 +1475975935 +1353636979 +578616904 +1529996211 +1000793923 +1881238279 +1299152826 +332172498 +1061777925 +1473006397 +1337302598 +2026531033 +796551986 +1247298243 +17403944 +1901124367 +1609649204 +935469115 +694432933 +1697023908 +126626012 +43106949 +56124184 +2100594648 +2145956178 +582075647 +793683639 +2068125000 +1232370509 +428869084 +132519416 +560862796 +1782506064 +711136320 +2090859007 +635816339 +444890952 +1242528185 +967988837 +1506668877 +568050935 +157807787 +1385716263 +1364602921 +1405106031 +1403120207 +1118243641 +867271587 +191105674 +1812676574 +416811848 +317731687 +1855783523 +472936032 +270842687 +1854256053 +1055011679 +1064526326 +1774897405 +139898540 +1493395411 +1907416822 +700761337 +1128417827 +471069494 +644136696 +1764234166 +915960446 +1886664882 +584739355 +275145676 +307232169 +742547143 +1660861939 +1671835090 +169526 +916498498 +642595083 +867441113 +1107604172 +307788010 +1284252961 +1425335859 +16087885 +1757188993 +1696178546 +1870343939 +664717024 +613221225 +1497757696 +804615565 +2106616636 +1257690870 +1505376902 +1087550815 +1728760365 +2029950 +704301333 +497237163 +1888694832 +1289040688 +772382839 +48443353 +2031587831 +285761130 +1720278444 +2031757357 +1202259628 +215389879 +751714823 +162380153 +523177889 +2035967784 +1587716012 +539265775 +1645673130 +1136410911 +262126066 +162906506 +1749632136 +1759883762 +967522071 +1708765124 +870090985 +325415325 +648832291 +451367702 +327445276 +1353133624 +948604865 +68656460 +494690664 +1720987705 +117099814 +378794848 +2006748835 +1837378258 +263068557 +1061524816 +2052768137 +1014783380 +1223904969 +428462379 +903267517 +664137333 +967728154 +401456999 +1800548244 +1229854220 +564363505 +1402696732 +842254334 +1531885577 +963978208 +1712345319 +1857300902 +1612810499 +16229373 +37262530 +818460475 +964834239 +105918991 +1313151140 +538338296 +223018805 +1691945988 +397603483 +2060397063 +1955014545 +1459128299 +1965681552 +822314278 +535549620 +246660283 +1725581795 +1199686954 +1214388437 +2127038794 +852751550 +296759009 +543918651 +107964635 +1139013344 +2075804228 +1071942843 +703875015 +1785621483 +537269695 +720104389 +1822884013 +1355730170 +1684938628 +1928803004 +521397662 +75793276 +4338161 +65860002 +473396759 +2064735224 +2020874548 +1932525059 +1882933129 +695705178 +320591031 +2129593412 +273803325 +1520277985 +1196498202 +253358471 +225545888 +1493257211 +797277122 +333510523 +484786907 +725597703 +1405453366 +1188661923 +363735538 +1942723061 +1908766312 +39135903 +1150969584 +1446221292 +1967938908 +1672367246 +1522014568 +1972277069 +1738227249 +1995411327 +1889528646 +1611618149 +1780452738 +1624978127 +159839679 +2101043770 +1607087891 +433643004 +1473838107 +656102445 +687001475 +1699383995 +1876009 +1484278597 +2032894518 +486662916 +62392652 +1290864237 +1675324839 +426128190 +1086103650 +1436607503 +465264094 +89589586 +735345147 +285719354 +1761956833 +109876067 +110512775 +1352700434 +2105287395 +2000041421 +816834935 +1738256485 +1477535900 +976674614 +1691816607 +937140144 +1410317618 +1018171067 +1593242589 +2097319093 +570071414 +1595118598 +1434114042 +455482285 +2081781515 +1496506695 +1746346522 +1609622706 +1922634885 +684966524 +898746562 +240415331 +774556111 +1634091709 +526134685 +389029296 +1743967777 +636647461 +1741729730 +1701771524 +489205234 +411081017 +1292544361 +1966741135 +1387755631 +836877321 +756397631 +650589601 +1855048388 +202156572 +600425046 +277636154 +1797275171 +2034539088 +733118439 +1731573038 +1383562135 +331981313 +1193712096 +1158713373 +1016947838 +2092458658 +1399128704 +1791503949 +1579066720 +1925263390 +33049597 +1175550849 +414427203 +1774779327 +729838725 +903632437 +38376696 +2022383086 +722889924 +1426132327 +711776759 +1479287555 +2076721928 +419341499 +1681444128 +529663326 +696977654 +1331235651 +416718766 +1430096093 +915325041 +1800280902 +1762077407 +2109037137 +811510627 +631541597 +2054012148 +63155683 +275561898 +1485595220 +1988419073 +308611495 +513662421 +255362628 +2083390822 +1243501146 +1158995066 +2121767518 +1118400584 +1881884990 +1400416197 +1830177344 +1213688898 +1329654477 +102035195 +747649378 +1859317803 +799012849 +2078885029 +128552921 +81625295 +846726422 +1928833823 +1843702702 +808279911 +592860802 +327760651 +714808411 +656016486 +603322549 +52919983 +496951911 +911934044 +566582404 +752314540 +847841218 +1810083550 +1911309606 +822125088 +781000487 +1645710948 +75057637 +463694183 +711916198 +1404712114 +565729378 +1459565576 +1116546269 +1364742228 +1390966957 +1245099190 +1446367523 +90209731 +1026449366 +1142586577 +898489643 +1619310168 +1470347228 +1613298054 +127843006 +2073669777 +1666218038 +624794918 +838120173 +85316794 +1377109458 +1685961391 +1895400345 +1140935416 +360602831 +528917184 +639162716 +435660468 +992611367 +1351078915 +1840372582 +1558340745 +663160843 +809435203 +775599325 +2054127801 +2054534393 +74483200 +2144337532 +933500111 +1217069777 +895343527 +405326632 +539933357 +361157934 +533169638 +466119486 +2027375972 +1157964556 +1304239659 +2112692766 +387590366 +842717402 +1860609463 +1528525782 +1203320233 +242042999 +20204851 +1638980701 +1234654366 +1371283766 +1331869635 +645511464 +2034444609 +2141304838 +1421110789 +1941088762 +2048355584 +1495593990 +1937942647 +834372047 +565180119 +685802526 +1239698679 +1105113477 +1046960460 +1772868318 +1571232963 +926852784 +783349226 +727988975 +892061903 +1170939593 +1570706377 +605187718 +551981727 +626542963 +847230718 +572186578 +118040016 +2081885084 +1943470344 +1449909652 +579912900 +1830431306 +1443730842 +2001023690 +1624036420 +1344602778 +1349134032 +1414495419 +31491178 +1914314151 +2100297946 +1271189857 +871943980 +999774758 +896574527 +295693296 +1926627543 +1679923754 +1023682271 +671205798 +703379699 +446905000 +1276393516 +1255361426 +1073447963 +2123624234 +1827548005 +1191487980 +2058025671 +1623534701 +493913984 +490454923 +1306482359 +1937644826 +343994965 +783035132 +1134763957 +1693128997 +50046903 +1166255135 +1459959501 +2861201 +289961344 +184419833 +1002635960 +1186535872 +480113129 +781779855 +718975978 +1503795400 +1452985653 +1422355677 +1950700401 +581895521 +530233455 +876664716 +558036108 +210297812 +2068152696 +468578131 +1833832514 +414583032 +959033054 +992831225 +204744211 +1303028020 +1775866357 +1339508168 +848673369 +1825913261 +358279655 +161149222 +1828774462 +648240999 +345569056 +683926774 +1834776871 +825682185 +1465706629 +406269201 +181993938 +771208634 +1828624878 +2132694339 +1353104156 +211374686 +861875407 +1911140264 +421672498 +782544456 +232234747 +108021364 +1197127488 +1191267801 +1100852590 +1401871699 +346812173 +729235299 +593896219 +1195485543 +407664912 +952175874 +1356634765 +88955727 +1600416874 +1702203821 +772882501 +1287710097 +380402359 +91105483 +1693979299 +562396297 +862314117 +1375120529 +547606988 +67934625 +1586495215 +1409482395 +1979074889 +2008167714 +44543203 +63825988 +2116189078 +1241670692 +1255093790 +1069558020 +496058743 +1601905963 +1798793320 +1089954963 +649907858 +58974584 +2042130837 +2006542624 +147930311 +1495064063 +1561262797 +920812813 +635290513 +1941665156 +1011918296 +181786164 +356577805 +1874232413 +1556906693 +904184793 +1942167039 +995918261 +166183541 +1773758280 +856602327 +210726744 +1837584269 +825307757 +1452397436 +945194411 +1894865778 +1948456180 +399616726 +1546175450 +890927495 +1049524585 +1605150034 +785574684 +908583561 +1753080346 +133155100 +322362710 +526409511 +768445613 +116544219 +1538327807 +950231777 +473122024 +1265076572 +359654822 +1377306818 +1059759963 +1355573083 +1543490359 +686034596 +64691762 +1754217103 +376135217 +889999520 +1059130892 +1321329628 +637381650 +860103424 +1720946354 +36073452 +1751030919 +622987291 +1641223486 +389121955 +1531570852 +1246820184 +522277055 +1853933563 +1773229695 +1290722668 +1970477782 +1164073854 +93470797 +296116158 +281666779 +453125620 +1673422976 +1341426742 +1808698703 +1069429687 +2027461338 +1873390466 +676163143 +256112907 +615906338 +1735294035 +1577442535 +1253287988 +447913811 +1150905242 +1289361440 +51461082 +1773892533 +783101278 +440583037 +1157979738 +2029921463 +962860093 +864429653 +1655667510 +106099113 +687423787 +672257717 +199569911 +983539945 +953924496 +652695531 +509479274 +147867590 +313910586 +1578908961 +27845281 +39817404 +107588456 +283958188 +655723742 +1842882491 +1861400724 +1909011730 +143312654 +864822318 +1050889522 +194773736 +491231203 +1833990801 +635356774 +1649210941 +1716428616 +1598216867 +366156946 +1224612478 +1704315980 +1053580733 +1896870195 +1903885891 +2037120679 +703311043 +409097774 +399116305 +851178634 +723008361 +1978025266 +879023915 +762825765 +2085613723 +1162982103 +1418549508 +1781012566 +876899179 +1180077590 +1924325221 +1741721497 +83483465 +2119098957 +85469053 +1917474266 +606972083 +1734679994 +1486419234 +57705302 +2100836941 +563548064 +1762021283 +1006934026 +312934612 +1518423526 +896571057 +1016245655 +1927521301 +1295687362 +1867424289 +503046014 +1126228981 +598964556 +1265871779 +1064359056 +1761946660 +536937639 +697887974 +491362191 +1717015230 +474729547 +85600041 +1800498695 +446344857 +171069094 +1570489313 +1053316940 +1905749088 +909424899 +1111022243 +1859102381 +1472972963 +725559878 +718552760 +1785907575 +96499756 +1615123817 +654669583 +2024021057 +763327532 +374610224 +379583423 +1889556513 +973574781 +1645455203 +806431921 +588037793 +34909194 +1504319895 +1079399984 +1751924424 +1979049443 +1165000025 +1404939471 +277910652 +1336069119 +827945136 +1331227592 +1094334560 +1737370035 +294766187 +805953293 +1062859351 +1020326065 +1524506053 +701283278 +1116825822 +992146223 +1355952861 +993363231 +1755473755 +1730563086 +1372946655 +1497546620 +556654219 +870918210 +156494893 +1144692012 +905827404 +1660814788 +76608348 +510268181 +1492380583 +1241608374 +1915207652 +1770291235 +430193845 +595669141 +954035180 +1524528405 +185555528 +1248801367 +182998051 +1248414879 +121643785 +1707504104 +1949698158 +1238469607 +552166679 +1158167371 +84349190 +160156786 +741246809 +1457295845 +1657703406 +1297901028 +180730407 +1814198299 +295109392 +1086557812 +1327529440 +371717741 +1596825993 +672426375 +1613326115 +1364549997 +295233963 +2043519960 +1960219138 +1249269143 +1420564718 +2145774667 +350586862 +1603562769 +1246705898 +472230647 +1163583225 +1048920408 +1710700254 +1715749905 +59604132 +1795049445 +1875906691 +800850941 +1104861642 +1386126450 +2098751970 +1285592050 +1052841101 +246377714 +224666214 +232886893 +618095455 +1821492207 +905313269 +83937922 +1038558556 +1200547232 +2127457883 +851294047 +302332727 +1400538953 +849585066 +652919589 +856618074 +2096290964 +1125150237 +2020201299 +997727725 +688366843 +1588467556 +1057331857 +335932640 +1316890600 +1858182798 +1440794283 +555533402 +1809451120 +578902685 +1608374503 +2055828835 +803568899 +1841261397 +526440642 +477577458 +599091018 +610378565 +1516136014 +1799638250 +590352800 +219946413 +2101970977 +1990891753 +1069531479 +607406918 +700026179 +1018338796 +1732557155 +572743830 +2016066521 +273440351 +13727739 +925914730 +609372991 +1330618339 +636613880 +2050167274 +1886151741 +298581353 +481586311 +1347042596 +206926540 +1285155210 +1040820345 +733367182 +1762732668 +1639911363 +1343745747 +1131385035 +1292065965 +1934098547 +1351331448 +1246553294 +1777506652 +273379280 +1853960213 +330049183 +1291718076 +1439033720 +902793014 +1160300949 +1712474071 +916520753 +2086215679 +174363415 +99655444 +575345911 +77047041 +1985807185 +873927264 +558633353 +1185366133 +1080853804 +1843788563 +78702831 +1814220987 +1459037584 +1718614194 +1010483086 +442938971 +863196512 +797097986 +1794270419 +2109749806 +427120990 +2067649699 +1816226371 +757170174 +1211884127 +1107776444 +1659963188 +224701428 +672766867 +429000293 +163433459 +847130282 +528655737 +738779371 +924177324 +366979274 +1612706635 +1482810677 +1552345407 +546076792 +1179115592 +1631048238 +212814131 +490669528 +1202178785 +1223297217 +933608499 +2065375297 +2020395203 +580395271 +2027641455 +300032546 +500561322 +1696384179 +1057202720 +1712445450 +656676975 +569682260 +1937146878 +1329443842 +998682553 +2100580338 +29090477 +1527338290 +691876061 +953267801 +1894317564 +157099048 +288594830 +1299179323 +703175840 +1467710422 +782743914 +915989971 +1958379951 +1984922699 +2139287189 +744504802 +1902814348 +2012198744 +1324900073 +1782972155 +164747642 +1825461396 +1331872686 +1221950362 +1390423198 +1988549661 +1791632622 +1180086428 +1170509856 +642831527 +1133183118 +1199600333 +22686169 +1825059179 +5384486 +1917003733 +1982158228 +293979316 +1068699409 +537850420 +1761689738 +1851443323 +1453840392 +1572586041 +1688882374 +1445643933 +169607196 +1444213074 +1310359029 +1494507269 +1079701581 +1475106672 +1172485017 +264090620 +549573386 +415424567 +105156633 +193722361 +1595510996 +1275666489 +836553888 +581210466 +327783174 +859240058 +258785998 +333167660 +628760143 +93460578 +627146976 +1697459552 +631310998 +241353067 +1401419227 +2085151390 +1813939108 +942817953 +1383311675 +1983546304 +239547379 +546187057 +1330569926 +1319248961 +2021293729 +355571295 +1583339581 +423383467 +770995863 +1688496214 +617105828 +219023211 +816679056 +1453659717 +800233677 +1144462230 +165416127 +1059019675 +1477629891 +794176270 +1152480253 +2104776867 +344152175 +1783791252 +198646286 +1745571402 +1721458994 +2012585395 +540905708 +957287022 +1848648051 +780453087 +1503474079 +1031734329 +2099702048 +1377284160 +1387305625 +1535557981 +1800667627 +10817840 +1076570548 +270289808 +229841051 +1893249604 +1723949525 +1030074728 +890228186 +1889365652 +2089094404 +220374429 +536058274 +1094091009 +177667649 +880210449 +730398613 +376313935 +478298204 +304373960 +241415682 +1019203912 +1261660982 +2090063734 +1799656999 +617651413 +974314415 +1751875400 +1994935573 +214136392 +1139949733 +1648119552 +224954232 +69036633 +1918409360 +454795283 +1962286237 +1494875237 +1484870012 +705030776 +1236757241 +1426480768 +925405205 +1772815516 +373088129 +1103072854 +505542317 +1103486743 +1479386790 +983840521 +1407860703 +1720802472 +2003044433 +522038037 +1663382558 +1655217785 +1139689450 +490213326 +1259609537 +987141375 +704349718 +252075622 +487777279 +929303951 +321112256 +258702992 +1384099234 +135914845 +1753578229 +721485598 +840945621 +842851823 +482718 +1766350827 +468183691 +373570848 +721940033 +973726008 +1477057591 +53843175 +1957566530 +737434646 +1774645648 +1813127315 +1259472683 +1290544558 +1320861452 +251678485 +1780757884 +432987341 +1238819860 +337623955 +685062964 +1726597139 +1266927906 +1006175220 +1985300131 +503543492 +1142090065 +1591394713 +1225029091 +1983035687 +286762888 +1225511809 +1601902866 +754946579 +1599082657 +176359251 +1728672587 +928656600 +230202427 +1538755469 +1666091246 +2004848075 +1204399137 +778080281 +1147908985 +377776941 +1029758766 +781183222 +810764283 +121094978 +1118807177 +1495827247 +1847692118 +238251435 +354518819 +1685508601 +741794927 +1496608884 +1129419666 +1966824018 +1332160923 +1416182554 +1044852180 +786580141 +23645485 +496451189 +962939393 +1752318073 +1425107790 +1193141820 +1143589894 +943715388 +1050506247 +200505383 +1721795670 +50931584 +578282325 +604070788 +832114806 +1389046608 +725165767 +1950921983 +737390207 +425374237 +41689770 +1091909026 +2110882838 +783484698 +441034262 +1092818857 +602825068 +1773195186 +361517763 +1647677248 +412291679 +385163249 +2144128438 +1375231072 +2137481322 +1421752580 +420889244 +1133587568 +217984320 +1471395491 +1334092952 +1939779990 +1522327076 +1912375277 +396367131 +206958234 +1153938237 +1121532898 +10396570 +1891328444 +1546907135 +52086340 +835753822 +1510306325 +835571038 +1276788084 +455641534 +1438396107 +902499622 +817159298 +938589707 +1314791302 +1202322547 +935234497 +542538726 +1192320221 +209503429 +963427971 +178424141 +427487750 +287339814 +1512517093 +219784092 +1809666890 +1277408722 +616151223 +2016625125 +283863311 +1737684121 +2027021695 +27708107 +1137107608 +2079108035 +863461929 +499930286 +767195426 +2140250014 +955571820 +58107885 +895265988 +1772731118 +996697592 +62573642 +827570017 +1931932090 +605112369 +2019890238 +2141435519 +1568540340 +50830732 +421439621 +1855880154 +1563347825 +641223714 +1518063397 +693272900 +1257374937 +1387204874 +977136211 +847575411 +1266742921 +1004844319 +1984683019 +1198367308 +1868306248 +337129657 +1965562734 +1861072614 +1292701478 +2023670619 +608854955 +917948948 +872884564 +671428597 +1745518966 +657333006 +1276540966 +1617925556 +651284877 +697597658 +1668756288 +1072724499 +405994165 +1084620466 +1713948213 +1924057562 +1777893366 +823839502 +1163778788 +607545929 +1671414913 +283038061 +1612390248 +1508614285 +1481405369 +1333212849 +1845743942 +1299484456 +1046801815 +990961772 +1175671427 +1655656770 +1908910721 +2048555991 +179601720 +1506946039 +558405349 +1456142686 +977387947 +1209690227 +6256697 +498660588 +134931078 +412250862 +1583281054 +1848879291 +188824776 +1213690772 +525235145 +1352603564 +1821236701 +49166411 +1635641625 +1286143302 +1557780696 +969563346 +471872503 +1256040990 +121564154 +1518674318 +99519115 +1297235582 +1026847441 +2008429836 +1198307925 +1206449161 +1367892227 +1756713275 +515108199 +197796526 +818919854 +521364896 +696457114 +953850932 +933615758 +132254520 +655246575 +1122440534 +1345945292 +1180481720 +327560450 +1019698346 +1229648131 +1963202075 +158358000 +639945179 +785281774 +630230503 +1895986170 +906845928 +1421173 +1995505285 +56597862 +1028268614 +1856451473 +1254905788 +87234127 +1076860052 +864135415 +602342327 +1274656578 +1683055269 +1123707223 +1971113693 +489422553 +2057322982 +2103368213 +1144669128 +1032279868 +1301829858 +177667200 +1359840319 +174044556 +1407315332 +1175558746 +332402556 +2047260511 +1960840520 +962633059 +1795763033 +720202801 +964054232 +1643784670 +776800663 +1992322847 +1352752495 +2031706451 +2079556974 +282128899 +748358218 +534415653 +1556785478 +283929839 +1658122877 +1380415523 +773352392 +1567962211 +1336300088 +1918021520 +452758431 +490646298 +2095688721 +1812598750 +664690854 +1355520405 +840673849 +997093410 +1255297268 +654030721 +1959726469 +903576654 +1374233522 +776297054 +399877676 +3550538 +621136253 +1752630172 +2035256989 +553209579 +2034759071 +636131560 +1087625233 +1444060901 +920061399 +598264462 +676992776 +1693413792 +18743025 +2013292865 +1463951664 +471501456 +356455515 +1412156737 +136616559 +1021146370 +620193494 +977290408 +2018239780 +1875490763 +1631321129 +1830482602 +631583769 +858071004 +459296008 +1031461445 +861621542 +1080432261 +636607969 +749394883 +1633641840 +523883393 +1385526443 +573783425 +1967944294 +158104195 +1172047887 +497453423 +1851517987 +1190790912 +363262640 +1167986003 +1662292369 +719718155 +432659093 +1798908928 +1740864525 +1052852587 +628715688 +1611620658 +780859702 +112553169 +1294619612 +1412443471 +970624173 +1753915620 +296421269 +1832245715 +686864233 +933029238 +434156951 +173022425 +1456912631 +1819683394 +746805851 +1277373278 +1977787589 +1918853738 +1774826701 +1681821928 +962161003 +2138089341 +702324284 +476969724 +710323848 +1134983377 +128395004 +303704726 +40352316 +757110692 +1915325384 +821212019 +869663861 +1062461348 +86171842 +1840288035 +668893320 +382593111 +1525050102 +1355757553 +1315622350 +1959207053 +1528779978 +625051333 +1631406800 +128102181 +1902424611 +1461710741 +2046955920 +1529767664 +996049022 +861633275 +1520373357 +1698373306 +1338602999 +83213558 +685873035 +1466998003 +386918284 +726225351 +76625047 +154760020 +1547437370 +946288908 +1217221368 +1633609213 +639093295 +1886114688 +2016202324 +16659750 +1094388593 +1184341026 +1975866803 +475684923 +1809392360 +1459789955 +603787105 +1564333323 +774017049 +503259377 +946617340 +1770066071 +1364892652 +319507049 +1320955729 +556012003 +402720607 +2006828764 +2023010006 +789638891 +585570467 +2099635053 +944398911 +2133007838 +898440313 +14136631 +1619133403 +1537533609 +1900251319 +1487852079 +1554193359 +847156264 +524709458 +1382576514 +1322841188 +186618170 +694882822 +1926628293 +1750951493 +1468899871 +282404022 +550085185 +1091482294 +1647296674 +869592235 +264954375 +55825029 +1272312842 +124299491 +2078835035 +2061951734 +709869958 +2030986440 +858866997 +695394148 +781943105 +873003629 +167043903 +171993066 +625771300 +1654895983 +1726186425 +1472927565 +32121793 +961279292 +648285105 +218739963 +1656162114 +427429750 +1969691456 +977578337 +709833772 +372292994 +2069060631 +209646798 +1241885229 +186531358 +265471827 +366714423 +310830849 +196823214 +281182509 +1020700807 +80326006 +1140049507 +1716094956 +862269111 +2013053136 +1883138859 +1034262178 +491340788 +1390551194 +612964955 +1964268353 +1422672987 +1574244247 +465069810 +1641412950 +1082922713 +892499560 +1463620759 +2060501050 +1602333332 +1835913753 +1982078033 +1811980130 +930315334 +21125743 +2077451957 +1297029757 +331956592 +126791523 +1578212267 +1352657400 +207117529 +570778126 +921268708 +1069386641 +436347614 +656923919 +2103648819 +927688402 +2047475114 +569130126 +744473108 +1322664453 +2143374374 +1209542918 +816593756 +1078813439 +2102042479 +132730867 +991830842 +1556892163 +1968644620 +826425227 +1221388646 +751476306 +847550971 +1151356955 +2048506063 +1179507563 +1278148479 +1479234682 +384681315 +1485266008 +2050012808 +1305950023 +407169001 +338876774 +1962873943 +363334172 +1266565177 +1862865409 +932464299 +2011038285 +1038046214 +928355025 +1073097555 +1854639970 +2007168464 +1027656386 +1987370837 +851515658 +437064902 +1808531809 +1677940886 +1658453548 +412524467 +378008209 +662326855 +313546883 +1557515772 +1940475334 +1792781565 +1942197088 +1278257695 +1695310726 +1100663463 +1685426696 +2034187500 +916053758 +2048760869 +1153269029 +631435519 +833741520 +1016823666 +1669481734 +1762096545 +2089921222 +1376638056 +1621781361 +970093960 +1216525246 +325813372 +1407158862 +877573407 +2003754258 +918128762 +1290097875 +234278819 +1580455618 +1603644758 +1791794591 +1373447304 +1248942675 +1586508031 +504221351 +796769753 +539687847 +42164400 +683473606 +1455741605 +2090925269 +1836742635 +2087177125 +777183141 +706082654 +1609175211 +391796038 +648520228 +838329619 +2013577399 +1618614188 +2054854865 +191907123 +878289403 +784944625 +48177733 +1796418165 +2075042500 +282456552 +1229390135 +1531203610 +2074251144 +455353792 +632662637 +1513275527 +959575143 +1429432391 +2052963374 +1001739543 +2112905997 +1361221332 +945181164 +1802164984 +1300914809 +1722364305 +360763990 +762606372 +2114160343 +1009284218 +1600935991 +1980254095 +480414759 +1508307209 +24677570 +1358704162 +145768186 +72855304 +1007638679 +73327038 +355311856 +89545167 +1604530648 +282079352 +544898959 +89709637 +1795354880 +1504474102 +1519142028 +1700834606 +358729998 +1484564377 +914572290 +1303911162 +1139245714 +68003451 +878791820 +1500009704 +830609823 +845468515 +361810275 +284062167 +678238962 +842225034 +1792369376 +702916533 +53445548 +1938137562 +775771837 +1061084227 +2011464600 +1131083693 +1150629394 +1468511600 +1413163046 +1695528353 +1558221237 +1061034278 +1052518808 +929879618 +614385236 +1411248806 +266960347 +1528957527 +567676320 +1406206061 +1596960978 +1446468140 +758732118 +280087154 +144453008 +1120542393 +564149321 +822691970 +1962767427 +209035049 +1525608503 +2016212975 +2147172611 +153896692 +929813554 +2011153563 +1284980386 +2080442949 +1332181515 +550659784 +1628487654 +742919104 +1611694062 +533522814 +1672798722 +78595650 +1944771620 +1939759070 +1607553177 +364964293 +1198481483 +1057030508 +1811432433 +1957213601 +1337117662 +1955885441 +930272346 +1901266983 +631093764 +745556125 +2110302032 +9218619 +614285452 +2109990995 +163115312 +1544099007 +1973660910 +1448095698 +1477058308 +1158358777 +1998755482 +958062314 +1901277881 +1462965896 +1491585129 +1426592956 +1541561546 +1288873101 +1218868378 +1001631076 +1653837394 +269866213 +2058661584 +1317786180 +79596167 +1248295598 +1126187973 +1009868513 +1002078933 +1757281737 +1755424639 +964897317 +1766500357 +222226443 +927404664 +1929615669 +1766325450 +753581926 +1230227719 +1095900110 +1911940703 +1081499553 +2053962425 +1665734936 +396981801 +1398063906 +944844244 +1938543347 +539453359 +16228974 +792690775 +45807106 +286095188 +703868711 +1363593286 +365691355 +1952164309 +342297611 +1375559868 +806759594 +2099579349 +983500859 +1771656911 +1718596058 +1205727303 +551577927 +1500728079 +824569105 +1305159853 +583472150 +1920469216 +1069616908 +1664971703 +1826947993 +587868197 +2061953504 +1077528251 +1532712441 +1853013203 +1616981610 +1548941416 +498220331 +1662788716 +1835036604 +1202089042 +878898354 +53244311 +1006769704 +1221195966 +1428804179 +1813529298 +1173291667 +264821391 +1437702562 +744404077 +1470548694 +1989280489 +97648508 +147634151 +1146956695 +681120658 +2068103367 +69089955 +198608713 +1747567712 +656958152 +113078569 +677612315 +42186946 +1966091772 +147110278 +1591128362 +316828455 +1809898994 +1278681318 +1518917498 +541313701 +1331925629 +378203554 +1762509667 +613246160 +44249204 +788317686 +878067551 +1481951766 +1532721763 +201132597 +1323748608 +1630370271 +348766749 +323221655 +164007281 +269386468 +392311610 +362615994 +2016954181 +1049269763 +475694563 +547082848 +1091456709 +294302687 +694193126 +535101423 +611131143 +356608473 +1813782741 +2130048641 +897922174 +998224722 +360768547 +512948193 +1611470882 +405017751 +1301265879 +342054786 +1886969518 +686503994 +543187383 +1063234478 +169390617 +891954132 +1386456133 +333397898 +1161340601 +1778767743 +696013892 +1030811134 +680553858 +1171708455 +1577893982 +1772010567 +1466011142 +124603461 +159628342 +2077142285 +481211934 +1973411083 +2059707278 +1379134108 +824152157 +272992177 +1892082301 +288139392 +678009929 +1045864532 +630194178 +417495799 +1732368526 +1173381561 +1480730277 +1901759143 +2065335694 +719702762 +87673393 +1079192647 +350986857 +783687285 +2110003781 +1031540716 +1955395740 +1540414115 +656067635 +1273923234 +1665017576 +815695978 +1203581872 +2146229510 +641623413 +1115805502 +1377879970 +1465775571 +1388797680 +1122478623 +1753914963 +2066807609 +20859507 +236625493 +336819760 +1753228033 +1410007054 +1817550037 +1507503528 +1327859100 +389769151 +1595176921 +259568099 +740756008 +231380558 +222088232 +1772296724 +39292650 +1762502348 +280880712 +1313215885 +1280036276 +1096576690 +369314109 +1278782139 +1738200103 +1485119611 +509178461 +1056492026 +726433643 +1631657085 +662923341 +645757604 +1652516592 +899548834 +982577364 +1258260978 +162072241 +652643753 +618280858 +1489931341 +1042412904 +65974132 +1749499441 +1783168913 +297354690 +1971587673 +1407981989 +336647341 +1586606373 +1688862701 +1649863226 +719159002 +637955743 +2019177335 +1997941141 +228672199 +1356813298 +359635954 +1285164225 +2083246942 +1991293039 +1948087567 +581520898 +1496325984 +700152753 +1564098263 +607103314 +862224994 +69258368 +1225384172 +204672688 +1111671273 +1291358304 +1954172129 +747356538 +1588712995 +1778276154 +7854879 +1925360336 +1217398880 +1696717581 +1427739914 +1936557882 +187189676 +1299433601 +1787015375 +415861875 +508763251 +2146651329 +1701026101 +444526545 +1990460721 +1501630020 +1026047444 +1339303057 +54299125 +442662059 +1946406371 +916524120 +511920427 +1024306895 +1121196808 +1623591700 +168181552 +927885289 +223464590 +1756894547 +558677795 +231319470 +1534771235 +1776076675 +1928037051 +815027501 +1565150909 +2115226727 +2114461102 +1204682636 +383604955 +475740705 +1203850318 +2084631056 +920267251 +1046827391 +1438777428 +1946314695 +238646800 +1493076553 +241493106 +37569523 +262117025 +753413533 +1061876418 +1383313833 +229521586 +1230057970 +163715474 +452986176 +839468869 +722393270 +684305646 +226756456 +350986297 +464859049 +1041783957 +1916137207 +432602129 +1008761411 +973336195 +816207084 +1484502117 +29702865 +753354492 +257285720 +1076530256 +44648272 +56116767 +1315177056 +1537724825 +297609873 +1352746579 +1799841851 +1051023406 +267139350 +1035672036 +1280544992 +1497197320 +1199387511 +1733531169 +189182542 +1921780781 +270353167 +415938998 +125283430 +735212217 +1457722956 +2041420637 +1167814346 +319000719 +867273185 +1984021430 +1803502836 +896976050 +589892274 +2060788556 +1973506307 +634540546 +2116905323 +1141199715 +24781723 +267031548 +346462647 +1824623574 +1318054955 +613601997 +712811963 +451116299 +2110799317 +1912199474 +37163820 +152498211 +1686496607 +307516988 +568437210 +1811780037 +1042729205 +2026160166 +1705717027 +63059903 +197677237 +425506564 +2047081333 +2001180074 +1322482614 +489489959 +1914484982 +1148505273 +1124030505 +1883906658 +142221341 +1148812228 +3454558 +488683988 +825952155 +1321509513 +1102285985 +1538764118 +1772625813 +1065601654 +1303479944 +1809789633 +1218099866 +842492903 +2117306621 +1786537076 +506789292 +1012552178 +1665213594 +65022671 +1075612081 +1862890831 +490529235 +975209766 +1716587257 +1813011850 +1464699725 +1483588592 +814033475 +441246582 +1220011602 +956254816 +1590058811 +1223466160 +1444938804 +268527318 +397492026 +399741141 +1807291436 +22634191 +1465342796 +963287732 +1832423824 +535959014 +1805780635 +1802246798 +175012442 +165086279 +667315328 +1840226036 +230108951 +1742927410 +1555633219 +720638186 +570653528 +1124736829 +386166388 +2035353254 +460841773 +1200199864 +329116188 +1680853375 +8971032 +1919174999 +756835887 +1453909837 +40218669 +1154327913 +1853650978 +1847510105 +1176962104 +1171510126 +663314189 +861902281 +1707469140 +321611176 +516665431 +1882481582 +486697456 +1183980759 +1575223970 +716806407 +779424521 +983373542 +1437444593 +1350078050 +2108110371 +1823610982 +1237947656 +421468496 +876327198 +1567063844 +2102321871 +885298230 +1338755196 +711674110 +191724419 +1378973865 +1866002024 +2045375398 +1079000323 +895480480 +1069401876 +1742314512 +1757382761 +629387369 +2063925689 +126564544 +364385303 +403139497 +1310545304 +1939609274 +1119945904 +2089969825 +775499168 +409906849 +1292564227 +736125891 +86034183 +383028235 +1157594387 +962361381 +1950092080 +1112432610 +1847659612 +1141363628 +1824106720 +2039384031 +372853845 +1542625096 +1937275781 +1451854168 +290621929 +859194010 +1046685033 +2048004690 +1488581379 +963127074 +27085587 +1852966682 +1366266571 +1337630891 +1645092308 +338728827 +1280117068 +273107828 +748635676 +425197648 +1009233719 +834669860 +808225883 +19344458 +1797031241 +610834315 +1131777068 +1497207205 +1752197943 +808400141 +1389107589 +2125051789 +203541589 +1178899722 +1429422309 +494163518 +2038093732 +328623694 +394684561 +1379191463 +1291750768 +421770148 +1084674498 +510533691 +1759401039 +582283158 +849262518 +892034459 +855390987 +1597898195 +1317232107 +1864624706 +285084407 +2125457991 +1883969165 +2082115648 +588808658 +868262585 +1431839206 +193522954 +1676662726 +673463147 +171091095 +1880204316 +1852362869 +1600513404 +226884186 +1742972954 +1929137099 +621568747 +974680769 +1073404219 +1043338895 +2059355267 +1583937911 +655256286 +494154778 +285716781 +1547290746 +1349545765 +1883614976 +717039205 +1066686823 +21215735 +695013548 +803172340 +2103331384 +1283822207 +1671434926 +1387686942 +1477345161 +1200614004 +2061150089 +1648436256 +933334672 +1766029310 +1101466012 +1160218859 +1361518616 +883119463 +1781787606 +188715738 +1956523683 +677642854 +100587357 +1392977946 +1332899140 +594742135 +1678694727 +732706238 +1944287900 +1414826056 +1449745444 +863491076 +1436041791 +2144758992 +1666663416 +1391889527 +1281097551 +1190614694 +632092821 +610959064 +243745051 +545759262 +111911672 +1177079723 +164304925 +1213377685 +189814934 +1525823541 +2096497148 +1971602541 +1714539279 +1905537183 +501761747 +1815126637 +1151031481 +1834660887 +262385124 +682242561 +419883478 +59189377 +2097068617 +1869628922 +922680453 +1385626760 +1866904266 +441860221 +630032640 +1000518170 +1632474916 +1262125461 +1611477234 +1876219967 +1807884724 +1723388907 +905816042 +1972189649 +789282944 +1095630977 +1350529542 +738296444 +919749870 +917585174 +496349980 +1421511617 +585228163 +1647381461 +1108688856 +847613287 +182140374 +1528572334 +906802664 +131725343 +1250717608 +1829483117 +1517352104 +970138227 +123859691 +2147384744 +1970656397 +1756334607 +1262026557 +1434649983 +1485070926 +922427633 +1010555242 +243403320 +747133634 +1799838186 +1339034297 +2097663177 +390650983 +111300519 +867764703 +887000963 +1532812136 +1452992866 +386898776 +494017345 +153122505 +569039151 +2022589679 +1059925170 +700764494 +1125823640 +741924639 +70632950 +2095961867 +865784330 +70534046 +1919134616 +474635289 +1332560604 +1206300951 +1959706215 +107504589 +69372546 +55625888 +854638224 +1869210732 +1394660185 +804817753 +112378067 +1505960705 +1672582456 +999379030 +891289193 +978091674 +1386277807 +1385306538 +1131214179 +1955316958 +1260412570 +43655701 +508597804 +238752562 +785580341 +579230755 +187230781 +1651364671 +649764801 +2106365397 +2125999961 +1982325405 +1165182700 +1938222528 +2089829995 +1234555246 +1993848416 +796984571 +956282331 +1241024954 +1601802324 +1068660398 +599502011 +1126901132 +2068039429 +1490791204 +2104992806 +1306833588 +728614095 +1088723337 +1114666898 +1989026665 +1132379039 +1623264702 +80295579 +1917959380 +55011809 +267526360 +1421840403 +704776611 +226408109 +1400356716 +539618368 +1391590809 +1191095597 +481964715 +478662408 +1037460365 +1278949286 +1434944739 +131001671 +733267962 +356121489 +730503682 +1860169094 +276677270 +73811239 +1817678252 +1583510858 +802425334 +758917942 +550694108 +643968351 +1891296981 +26475163 +724263930 +1661772713 +81486972 +991790290 +936129468 +786263583 +1218198399 +189002537 +1325881952 +462305560 +1380098134 +1807846667 +940967968 +270074851 +939312306 +228429059 +401076523 +1672580268 +584550549 +1131580205 +1385265715 +861227819 +1205391444 +1055460319 +297255030 +2007816778 +1814378261 +847949138 +504301481 +1558191594 +874424301 +1228565411 +1072480659 +955911274 +72872053 +2008610128 +1742174857 +1291070452 +50129017 +920573161 +1753376013 +1430227151 +580936181 +546860333 +1700302002 +1520248487 +775289393 +2101378525 +1045345107 +1359839942 +1085475083 +283127174 +73584113 +143382879 +1338587494 +370839143 +3716010 +1005482107 +1218788282 +508017491 +416190054 +2093212583 +1736582903 +1488670713 +901640209 +1809454956 +1349797193 +496331419 +953041761 +1399926210 +1416904580 +558934126 +682669713 +1997840761 +1105794459 +235488068 +1370605600 +1881083852 +189382945 +268467060 +1093440146 +1274858028 +551594234 +1167024260 +1418240908 +1890181728 +1537863403 +1421956918 +748180188 +609168037 +1929974409 +1164370242 +554896973 +1519073664 +505557307 +1456537182 +1181044973 +1855354501 +1952868601 +2134086734 +1107797063 +1222289534 +545537212 +1790466777 +1072646647 +1651331671 +2025954845 +295768600 +1384931876 +67854142 +564235660 +330888374 +1342712171 +1115829894 +1497912634 +613469431 +858527975 +888292390 +2035426349 +1606708163 +1497460427 +1817917110 +623594757 +2052357400 +1189507127 +1129152064 +1361410935 +223068452 +837022917 +1166795888 +209671538 +1944819981 +241601774 +755208750 +1587803110 +1314248422 +259056773 +1466274307 +1610017022 +1643988649 +1534128449 +26769034 +1974877024 +729356972 +1142598928 +1325306010 +1342826403 +2001126903 +66114752 +1230769104 +1460351418 +1563575180 +901202567 +2083946175 +1468448932 +2090709694 +1065614592 +682376219 +166294498 +1902637509 +1849172108 +375966036 +1699973842 +2090773882 +1131174786 +1140293304 +1257538656 +1390231559 +459083963 +720072030 +886736561 +1993212413 +746841064 +714129937 +575085737 +1889439993 +2039435947 +1917912141 +1743083248 +2105550700 +1001197597 +1055951019 +1521642232 +1902400164 +992413546 +842607516 +1845626210 +2058028138 +1524983736 +2011920708 +1813182000 +1226672196 +240403096 +1365672194 +1169962430 +1371577882 +358481851 +280017439 +614325794 +817565814 +1000089469 +1501062355 +663294579 +1746930534 +67708644 +1238380317 +1488886879 +2107144591 +1008808810 +1084486479 +2065211643 +2010006407 +2140437498 +1439370227 +1764922924 +985367397 +134494096 +1463065486 +895911887 +1659477832 +1327502547 +561610239 +738666380 +1567905643 +1927282434 +1908628810 +791999878 +138280637 +41162601 +1406325672 +955846451 +1041252071 +759904379 +1619141031 +640698957 +827613023 +710037700 +2129585836 +787273966 +1718846510 +1066588667 +705001962 +1581369269 +1059542518 +2144372189 +1198808545 +2044909915 +131382637 +514390384 +793338154 +1790860469 +1841892931 +1354948394 +382043201 +1262314926 +1134747180 +143188364 +2054314804 +1273027817 +184350965 +1313156828 +81390620 +1225603036 +2073061207 +1700531651 +1866301993 +753190582 +263085703 +1848404181 +1540464549 +1981932213 +767509201 +97982863 +1415817835 +1827051719 +94871404 +467142732 +1724477986 +226254042 +981533116 +370332492 +2017114511 +675942399 +1725280886 +251674065 +1938257326 +712544418 +394862429 +1845088482 +1985572235 +579213394 +1010761663 +2066962856 +1804816431 +936339222 +1620010859 +1523634776 +1689529805 +1883096563 +1224555310 +1082510706 +1717545128 +1992064511 +1180493569 +985879315 +1671632582 +1275364973 +1453022048 +1248626920 +1501619015 +287071516 +1618959412 +1371249879 +963013916 +1196756651 +1622923944 +753787594 +1909301069 +2017786373 +451392428 +1747389657 +449516119 +1462154091 +1666868865 +106848902 +251009666 +1139396076 +1630483679 +1940539471 +875008991 +707555341 +875566529 +445070472 +552136204 +2056060098 +1430949787 +76285138 +1183941423 +736488187 +1324912058 +538076791 +1023559704 +796387822 +1909326670 +1986573620 +1993144473 +1384766966 +592877566 +1754961895 +1255069691 +1044269994 +1354867904 +1704585810 +358940438 +874253121 +1811434713 +609950104 +2013649197 +1294434744 +403005927 +741174541 +2001990085 +1278572456 +1186245013 +406642641 +1187148906 +469711152 +482927779 +223606681 +1206199340 +1807839837 +761683472 +82275396 +456744011 +523526494 +2068849016 +302404837 +1908293460 +514242934 +2057366732 +1015879503 +1558512928 +1264750988 +572981666 +1917453366 +2139004109 +236932731 +379919822 +2005169658 +1531367475 +782925749 +598860551 +1385873912 +2061498205 +1785105564 +1792516553 +1101163463 +107333069 +127960684 +1324770145 +1313532409 +1935800521 +2086453617 +1395807805 +245060884 +462496464 +1317173173 +547465721 +223306276 +1831416107 +457348805 +1239185780 +1242445387 +1722099793 +1812167446 +1012415106 +1713620254 +2049100177 +1392334928 +1571306265 +1432984004 +27777030 +22683168 +671374268 +2089275235 +1807788733 +316407173 +1042955051 +1915121802 +444367857 +220241548 +1081170563 +232684730 +159211517 +329494720 +477745614 +621707981 +1646667893 +1025211336 +845014258 +1330600352 +1482560141 +2084200038 +425562091 +1057176287 +1748883836 +1437977197 +623312893 +1650500365 +682828478 +47135510 +936000721 +710605508 +69818679 +1607374989 +652397095 +1877607412 +1923782162 +1695352146 +1645245566 +220666371 +1915593694 +578932481 +453351101 +2074805212 +908427201 +931096715 +549029545 +407611446 +1956308051 +1394043803 +1738211798 +1291384545 +1330760193 +16290241 +201077184 +932160381 +1454267439 +824390077 +435177098 +2137095917 +871525588 +1371177819 +700217777 +941344267 +831069160 +1352614872 +671468031 +607367674 +900483371 +169229949 +828034045 +668593417 +748162430 +1281385146 +595914981 +1656589631 +64998214 +1144944527 +2064201077 +2021306265 +391504682 +1654929227 +1165207162 +1722264876 +1671219468 +1366284346 +506941609 +978003259 +43190776 +942118708 +967615528 +914716364 +165812879 +1667833305 +1856060631 +996882040 +872964530 +380045014 +1604249714 +1773447901 +549274963 +284800112 +294557670 +1297437393 +1566185258 +890472652 +806543376 +1631183472 +2035417179 +723260805 +1505006090 +279438213 +230706384 +522729604 +2001703089 +1901925852 +1889013951 +361161051 +732445464 +1932204727 +1303279759 +1700060992 +699437443 +1469092638 +1220410650 +408014426 +318491030 +2093375180 +788059440 +1922740745 +1719339433 +1337334403 +60057209 +2013897103 +487288148 +1626242467 +756886107 +1293831524 +1109942292 +644819638 +2017092329 +467464734 +924257852 +100315065 +990194338 +778477293 +2002240917 +731724641 +1139638344 +587202733 +516445720 +295434455 +139780078 +1215883163 +1764527094 +1360190728 +1623897589 +2083018124 +1306082260 +264473381 +1858275221 +877938045 +1601807784 +1918332430 +744351500 +2089095932 +1397091250 +1501237608 +1235443808 +359549894 +2146057246 +1105052489 +827014628 +922831450 +1205367554 +1817208966 +1701308744 +1060124824 +401449960 +693463440 +1647327557 +917895680 +988897896 +1787107635 +2133778844 +605941342 +999814715 +1610192785 +541475818 +158413327 +1874666167 +252267392 +1036351372 +1328990303 +23116174 +1780702873 +1270602588 +1420207424 +1134456833 +358562748 +1779757318 +1133030431 +1463615238 +459288298 +2055861882 +521499144 +129013617 +1609686978 +1581623968 +530463577 +155666770 +1081467878 +1448359257 +1144564666 +721091865 +1434654453 +1750506008 +1720906581 +897363591 +144498179 +1879319908 +624546110 +396765571 +768187633 +1953536413 +419881745 +401406858 +1076655353 +1840089170 +1535863691 +1435218102 +1472362840 +521410474 +751349692 +1931651139 +429788708 +1272848836 +2060664756 +2039475686 +706989157 +443644685 +47658809 +1788457035 +1892003942 +1192223475 +362065252 +1179174748 +795245836 +2082971833 +2076538339 +939744015 +1814808094 +553600801 +1336509586 +435512079 +359653566 +1756391331 +836918937 +1436308920 +1448996853 +225298980 +724043374 +773876046 +746709454 +1475393066 +558043537 +1176498163 +600758254 +471224645 +1068490201 +1307747411 +914869330 +1116149010 +948720798 +659389624 +160888838 +1310786051 +1838564372 +956134674 +1246274236 +1767619063 +1895878689 +913598682 +173736216 +1084904627 +1349110761 +533389783 +693812310 +38546050 +1969698703 +2142809164 +263845030 +546258429 +769201562 +1010554485 +2021651495 +1327245099 +39569000 +474926101 +1798469744 +1108059201 +1782673513 +565855426 +76724564 +583910663 +1225245050 +237613402 +1894696714 +916325775 +1193748076 +993487303 +536461190 +942143117 +1907085985 +710197407 +2027047744 +1108713099 +1243587190 +573376406 +1147259149 +1065802245 +568701922 +1411104180 +1612060674 +1337903484 +274175017 +1486228521 +517664935 +313744017 +1961154622 +168651031 +1421803218 +1596344487 +734506457 +1498527782 +32771503 +1959751508 +1736141184 +1927468217 +728593635 +782405612 +773471872 +1265054825 +1724548729 +533074210 +1975252232 +1604112825 +1641787309 +1071355774 +30005584 +641562810 +2137158019 +598707506 +2052666990 +1601735045 +1936610991 +179358359 +940479918 +306792278 +493102376 +754150893 +475443310 +1914905595 +203011732 +1209949767 +1265949729 +235783235 +1022217627 +854607266 +15767805 +1750811262 +1637012878 +789239677 +868382440 +1214077960 +1322313887 +696151024 +670707137 +816617548 +1767506799 +700712721 +1458180359 +1757181170 +1299420228 +1363363701 +1211432568 +1088547571 +1542722061 +4428838 +1395339849 +2035824437 +758579731 +1870783159 +1803246384 +961591464 +933249279 +921712466 +1197374699 +1955466906 +1776319732 +1213142504 +1558794521 +1265848962 +2002382182 +279693313 +332443274 +1177212421 +975844337 +1003150412 +1993829970 +595867488 +1703863133 +1304526681 +205565011 +855799713 +520406734 +1416997579 +1944347284 +2063128795 +1421426417 +1192203486 +1951469585 +32522501 +915502997 +1607232321 +994113965 +1848752276 +381461139 +44005016 +1656735535 +10297223 +1257147521 +1068046408 +1276146186 +1112046055 +1347739721 +1608589460 +141774828 +176100410 +464256224 +2135604798 +771967899 +20635710 +1292647831 +977532910 +876435423 +1813054566 +247046841 +673299060 +1728699713 +1668473258 +1865502546 +1532685650 +1700995759 +633521895 +992434324 +547626076 +334790524 +1373895463 +591631093 +1991526059 +1384192687 +1848778614 +912088819 +512855225 +813341021 +112344892 +2121444685 +955115849 +288445302 +438217262 +943237000 +1060413201 +458852972 +88401183 +2037946111 +1335288395 +1901455749 +137509304 +2008587455 +1482671815 +1805982563 +1726606353 +867873817 +1359494674 +212644601 +1860308141 +1907120751 +547435125 +1086719957 +351268196 +391477536 +323428996 +52563162 +1303566355 +836284221 +865904183 +1415911247 +810245258 +1821020032 +1704356549 +1248462520 +616773384 +617286103 +1707315492 +705174568 +507748566 +895120240 +459146669 +645257871 +756224047 +1941818484 +303756786 +335346753 +662208654 +1663251460 +547991354 +375033147 +1422888563 +1095426479 +1461753104 +1774156759 +1486904015 +1785182100 +1826719921 +642986722 +473982673 +545140456 +2058897969 +1284227932 +218676841 +1615770870 +385206804 +835450225 +85573325 +2092522297 +1540624793 +593321892 +840158889 +1999771463 +1238579763 +1596382936 +1794106299 +1542336549 +1931729689 +308831305 +1058104361 +332237395 +683864453 +333509277 +1427663874 +2145617557 +2107666036 +767084241 +1783316010 +1786902310 +1410070963 +109815035 +184559118 +1321485284 +1394042967 +403235959 +789772507 +1779249772 +1238686185 +875345832 +1724288421 +631827330 +1468667724 +416963662 +484115145 +559763839 +2013346598 +130737797 +2102100388 +1797592640 +439569102 +1012721102 +2129830035 +1123433555 +1346230379 +1410010262 +1121567465 +1306412767 +29610855 +757399827 +945831429 +1439681819 +867214862 +1130390548 +613683455 +113774182 +1533626507 +1403455962 +1893023954 +624829044 +131318147 +1469828727 +1256656375 +1599985871 +1886792389 +1740771520 +12266063 +1752655339 +1871509317 +2114366451 +1402764331 +163594772 +979603905 +1385110719 +1287028327 +178350636 +647637333 +261112144 +1484763404 +677248188 +1018511971 +283111185 +2116930007 +1885726834 +1413501733 +583129815 +1999501016 +799644593 +1986585777 +1745041322 +1424473637 +2117903924 +1067386401 +533646364 +1570406148 +806695142 +126934237 +1582672211 +411866833 +1998443554 +1549555014 +1814631165 +14554678 +381675272 +1052258236 +1301583006 +560025908 +1699895569 +1562695150 +2044789312 +229660109 +433723474 +180416850 +199106469 +171966660 +1593918583 +782236284 +23984028 +246079528 +621338413 +1769025350 +1670553166 +591758690 +688928103 +56715882 +14681190 +1495623245 +183650119 +1597353401 +1907490078 +34610026 +999424767 +1574637595 +49164704 +1381100039 +479412183 +1350747710 +1941125948 +31824104 +765959213 +1838431612 +261484214 +1199682687 +2018848462 +460590683 +1371649347 +1465283398 +1242826967 +1395633375 +1711362926 +1864165380 +1017175077 +1234432444 +308440422 +1706103180 +1291148327 +323121612 +1054242777 +1474798446 +1920475013 +814249207 +1509408472 +772416133 +241403155 +1558573177 +6032524 +720815338 +761837239 +1947158472 +752639443 +1527796452 +1638106437 +1014123657 +579995491 +1509471251 +1474714340 +1951644838 +827271001 +570057659 +1199794565 +391150280 +286739391 +69485994 +1625582724 +595179814 +1775589174 +769247403 +918301426 +682348303 +96562202 +691292792 +1496597511 +1605970674 +1463708925 +1738000666 +1017060203 +1469741449 +311332356 +1778897443 +1269416274 +1063971799 +1159210247 +760039063 +2078095456 +1739205739 +122026666 +1405326148 +1543366929 +949297668 +1975383807 +595677847 +1340447948 +114639551 +665163841 +818547024 +709819365 +293269368 +1587794428 +1628120791 +975617671 +1684356630 +171929935 +324731534 +1142843656 +1635638860 +2062732200 +12420212 +957896662 +226580909 +1791317655 +79829288 +1290552708 +803044254 +839868351 +1221164517 +394766345 +961895017 +479007017 +1938133275 +1911192685 +306907177 +386327474 +1104156985 +421546728 +1051491315 +1922704010 +1131366093 +1344760683 +1363014790 +612003236 +172894707 +899887772 +783933172 +497626241 +2042731428 +272088384 +412874794 +2055151640 +1229985046 +639455703 +1698985647 +1309814334 +1930008411 +354546254 +2199037 +1003689280 +749312599 +964094055 +1482696298 +539962226 +727803092 +1789603475 +926289700 +1831960078 +63666555 +1977781016 +1607180440 +1195032648 +1175058051 +822711582 +1807035884 +1347952758 +1722599354 +443485408 +1845579000 +1617847134 +715573793 +110970146 +1525515127 +1945558839 +750425849 +1077017126 +1107889526 +532950612 +1431563380 +1110088563 +1536639893 +33392332 +2074182618 +871852543 +573354558 +654502063 +513972370 +1499644259 +338978493 +577638925 +1329941627 +1946158933 +1772671573 +357516030 +621386867 +1432223809 +1705468789 +196502573 +1875709218 +1403564141 +1814349707 +443799363 +1514534287 +1192381186 +241874554 +117476488 +121914665 +1349764080 +650427100 +1553478045 +312368996 +39583345 +1586870377 +239067966 +911435888 +12741288 +893570029 +1425408258 +1512385547 +1232548522 +2003047183 +694843526 +1031223807 +1628235108 +1052359556 +1652610674 +912975270 +610344697 +1849113247 +641200840 +2013908838 +1515979307 +1085000203 +1380959477 +560876845 +1326874757 +1498435965 +682791510 +529155190 +1379418 +88785908 +841524186 +40962763 +1675656285 +1080592152 +952398652 +1688397573 +1974162182 +230323262 +1053299472 +1059227056 +85886798 +1748142998 +2090450864 +1714121906 +653018907 +1595577890 +479613528 +1263363604 +1297207490 +1120814368 +1129788795 +665703149 +58330923 +363264624 +1226579994 +1385205681 +1861700590 +1909371505 +1914360871 +1863080008 +1998157413 +608401409 +1904042771 +1526330050 +1688993561 +708957775 +1067243976 +1515672095 +939281038 +2120543448 +427415504 +1025167836 +1721202799 +370382720 +591806094 +226738058 +1965960610 +1071419623 +1490101662 +1115684452 +44750343 +472406809 +1781387601 +103081267 +835671434 +860483948 +1488286948 +549888376 +622371805 +1255164171 +265484736 +473045570 +1863565580 +22043859 +1999375620 +1405075493 +731001635 +919135948 +773263941 +1670282673 +892195749 +1200679445 +547966861 +465914900 +1571062165 +1139772955 +692652958 +1389539127 +63708930 +35270972 +357739932 +108459274 +507677782 +2139127533 +211540541 +1343349216 +852127833 +1699827489 +1893237592 +1474499638 +807508012 +11238680 +1947545208 +523589944 +33282539 +1799437181 +1928665437 +764284174 +571089481 +554445730 +287083199 +1463285230 +1755125175 +835050060 +1929200130 +1178703692 +1974823016 +474369440 +420759172 +2038531946 +509640413 +778499104 +2146991220 +1017318195 +770142989 +211048113 +213183763 +1622270823 +1910875602 +2106421355 +949286813 +570899966 +2117660035 +749348374 +1094489910 +3458926 +401301907 +875671700 +767743101 +972391388 +1430117430 +1054826300 +288192971 +1037758958 +1889876361 +69909453 +68979002 +1717215729 +544278894 +489738174 +1608264027 +1053919307 +1268237278 +1607771600 +2071237502 +2038380268 +1818819713 +136937617 +1513167443 +1582211668 +95875324 +314970608 +5627986 +66051711 +1064318982 +1100117897 +69510637 +1465620889 +1975789597 +837253738 +290528630 +1258423379 +1892080039 +578721601 +148698689 +1634472752 +648631054 +217677692 +1204204833 +1192909948 +707415866 +664985212 +99345607 +1975653145 +125273164 +23099461 +1866549765 +1944092878 +160037078 +1232233560 +1378820898 +255912402 +1547204168 +1384448884 +321964113 +464039503 +337083133 +391474751 +1929660392 +165389082 +1228728489 +72705374 +1423812462 +973324880 +651426975 +1572511151 +460313984 +1300058030 +1790188843 +1664518817 +345484330 +350121062 +182020382 +444829938 +178290559 +307293546 +467929399 +2044840324 +103902776 +627966478 +1129590236 +1482723674 +883878880 +529310756 +719688911 +1205842994 +993350259 +1056772044 +1597317745 +775527004 +1222161127 +678562586 +848232378 +498489941 +1651887467 +1499659354 +2071001092 +2112201451 +652233736 +1713706288 +1629236621 +997718066 +2063827350 +1811257003 +1442548004 +94634261 +2118550549 +1910477404 +2139474585 +74969678 +390960234 +1121581173 +1557693352 +1274839114 +1650891929 +129898615 +333198460 +496758541 +1186670660 +1930516205 +1272285545 +261348139 +461595144 +2120517923 +759838080 +2113482611 +1472693629 +683355524 +2078200414 +2124927365 +249578164 +1559953387 +975161784 +165921866 +1223726742 +270226140 +260556127 +1194793644 +33219896 +252547064 +1269763322 +424180130 +1374128237 +679973026 +1699019245 +877536519 +809871642 +2032217705 +1374295060 +1996542302 +1815250263 +499096957 +110406793 +129361759 +472131232 +870244873 +95360722 +1944824862 +1553600397 +26077488 +1922268579 +1803178562 +1586030876 +749946715 +1969100428 +662273970 +1020172856 +82172908 +1857067614 +1053392752 +334719972 +979347288 +1477572883 +1708848210 +1659320315 +1029108480 +438901081 +321708309 +913842537 +1813196141 +170766963 +581609152 +164809450 +281173756 +710970911 +636940682 +1151418629 +806331633 +434281896 +557535378 +832409122 +209066828 +213230292 +270956350 +959013543 +34847073 +933230320 +1979186399 +117019981 +642814287 +885095504 +451739953 +1622161575 +215184739 +13104515 +1133998242 +1244293219 +452005596 +1455706551 +10652108 +117718089 +1626473514 +592261261 +282527539 +1907647270 +1303232172 +919468222 +911582251 +2109563806 +1353750118 +1469117630 +794489280 +1562816946 +1682347922 +1065445630 +374346842 +1717194995 +1998675950 +206049593 +1834214976 +494006589 +1091145097 +138471282 +2116168165 +1306329836 +151575797 +1102682759 +403139407 +603581394 +410905663 +413791516 +721299483 +2037379177 +1006052777 +1003827023 +1797542800 +161801301 +1923295245 +561641403 +123881459 +1129561715 +2030759033 +918370739 +544895014 +1565623308 +1983816369 +919241856 +1135334655 +1835008672 +1125291449 +822065984 +181531613 +68952899 +960537266 +150216130 +1375282735 +1112113063 +1252898890 +1778422143 +1715694457 +1663804553 +44730011 +289510293 +1553700082 +1050782788 +1293337316 +1203759234 +1212584089 +1069148913 +1765400638 +1336465549 +51226980 +1648676023 +107352640 +596121994 +1066815683 +2091169010 +1515363850 +54666691 +1778694034 +493171652 +876732675 +1960225647 +562124551 +1837269941 +2110441778 +1937407286 +801899356 +1215857020 +1568345781 +370110166 +732177925 +1613075792 +659620459 +138394359 +516374932 +1952957775 +1342153594 +1728959022 +874623040 +960070584 +917940923 +925850020 +461262959 +1025293563 +1521972015 +1528078643 +968978925 +889852217 +1582745334 +600189311 +1383023869 +311994361 +412931311 +1945148420 +1780654 +375889441 +1735072059 +803680010 +1591746461 +1155934192 +1173790176 +176440738 +621526337 +1833410635 +314835097 +1137901269 +1638884762 +1656988691 +719376643 +366024154 +469575627 +1637317566 +1291874175 +930838587 +515127482 +666362542 +311433582 +1484106407 +1556214759 +1894178916 +2084295719 +791754981 +58689629 +349743382 +589419753 +60470283 +725632823 +177008164 +864150293 +169895636 +1332942357 +2037940470 +346336374 +1954468694 +1723867457 +661171471 +944886315 +1215268572 +170676515 +1664262959 +1581292726 +640252142 +1154096877 +725683253 +1571090729 +1669224359 +1392045795 +1882524311 +1005847119 +800776907 +1629219579 +942659190 +1592531888 +1687909208 +1292402572 +34467993 +1748379491 +2018035395 +211476158 +465046137 +40447383 +1544418515 +355502959 +386783757 +1351403561 +2079370416 +1047955228 +148806228 +1147155340 +1218631743 +1813069187 +580964419 +1858883886 +819682417 +1306647672 +1282490967 +341423128 +551209820 +1017531631 +1347270247 +1351986727 +499267562 +142445789 +797034967 +39693123 +1434848361 +831502960 +1788072614 +1305400108 +1042979118 +105635103 +1345847491 +439913985 +461138062 +1732631248 +1791317546 +393024831 +633102829 +1940123775 +1540180171 +1851734572 +1605709314 +2121144590 +1563134810 +277908083 +1280308615 +698142130 +619331212 +1831518435 +1715673761 +1966601459 +1036021514 +67457675 +2109047249 +1833056481 +107150798 +1396411962 +517075793 +1895223413 +554328423 +1560054912 +2000858516 +1900175914 +1999968897 +314512931 +1485323515 +1643802796 +707537762 +2118426344 +1436442923 +100234285 +1822677268 +894668589 +73895228 +1238328431 +1172576673 +1354203843 +1936470561 +1791907885 +1038238630 +1504660674 +1611025696 +2074260144 +1572118349 +1572589297 +1759832977 +1679269148 +821517612 +129425122 +1427008913 +1375846035 +1689480034 +1280383781 +1128538301 +1541965284 +1594896712 +466378168 +1038284432 +154950826 +437320864 +327243707 +255185112 +112514485 +1221912296 +329080340 +1350842916 +247005321 +1683284183 +1139829829 +2038913206 +574039165 +497006855 +1502455255 +500815661 +2069125204 +927560904 +113164990 +1600910704 +1749078516 +242590112 +880435969 +977440903 +1932070147 +13336103 +2105979205 +1326551783 +1608232815 +424873725 +217352567 +1763183642 +862194590 +544596274 +2018368754 +974709075 +1766508570 +199965446 +178068343 +2013513892 +1883249629 +1317898172 +1904943450 +309805146 +1814905027 +1259915057 +810620807 +1736546583 +39992314 +923785797 +1189973640 +1789070830 +1166375909 +2070409609 +619028086 +950962408 +2083745712 +577523643 +130030543 +1544494880 +1002397368 +347383110 +1160194874 +1864591958 +891979384 +1031079980 +691817385 +511004307 +1231045426 +869885728 +377034551 +966811407 +40300252 +134494353 +1276616553 +1855205279 +1394409411 +2087237360 +1444268215 +1434401725 +863539509 +486758207 +1075988907 +2029915418 +409684168 +1695016993 +833394179 +345946233 +125056988 +963424722 +1890441113 +1127454357 +1310807833 +903152339 +844562667 +55303569 +1934232319 +1536380053 +566307876 +1017794097 +258782133 +943342427 +1984605504 +299082386 +1077836781 +1113738409 +6804017 +324762544 +1053492121 +1451072232 +1759164269 +1917031630 +1937830439 +687669528 +1799463400 +200030960 +235202874 +485373931 +545977193 +360259862 +1448798654 +288934658 +1487714219 +612122839 +1192086997 +184793239 +667426408 +978835668 +1721173292 +1233734285 +1996629765 +1979955425 +29593064 +1833751621 +131554163 +1107429845 +800006382 +138358181 +1432192389 +1853498503 +1589430413 +1043873010 +1623046485 +1379777205 +1731542539 +1275026237 +1579808165 +1966745413 +1760400169 +2125785358 +179521627 +1061715175 +267236368 +1667235847 +1673838014 +1459323365 +1852029086 +193780774 +290675385 +1425718730 +1427515059 +139821502 +1258190507 +1457108124 +1973573123 +1389744671 +417054321 +626095857 +1528102852 +1849246711 +332110712 +970049617 +745636073 +1955157197 +202343174 +329694964 +1082699786 +1782151339 +148956729 +695616307 +1760453049 +328478357 +1757331482 +2027689417 +1995714204 +1283685848 +1339529134 +1700259642 +1477466623 +1630204519 +978494724 +757498034 +1770026021 +89201583 +67122510 +1596115496 +1478946254 +484176832 +74727705 +859565458 +185939895 +406838417 +1829615076 +931575968 +214511966 +2031958250 +1261270933 +1297211753 +1666625942 +1410227662 +1992828060 +1279595343 +1738706019 +1602675895 +1159801113 +1586936575 +738878095 +351846599 +1139712569 +68861070 +1982051119 +2118207293 +826359105 +1604593492 +59925229 +893481615 +1053225341 +1538871483 +1377658447 +1127953046 +250953294 +1563598342 +1534791464 +2080568370 +347690663 +1749303430 +1965042972 +1608961596 +899031535 +1484185266 +871705610 +744375948 +616296962 +462927982 +199568195 +1776098075 +2049864557 +938446290 +2127944674 +1042093479 +1007307361 +1962512145 +1012817124 +1833666466 +1419621990 +1072742353 +579664433 +325363683 +464130189 +1957322881 +1453316729 +715083483 +1373437575 +840624545 +648168205 +1721128238 +442444328 +465727529 +1182606186 +1341475863 +1949912796 +2054311797 +2085851811 +418726110 +369756131 +137936358 +47340537 +272137040 +1076382649 +27801563 +1314230519 +2083690010 +1990313709 +179563996 +1769872828 +1262452051 +1252306349 +202053613 +1587815734 +1716436538 +11892846 +893648815 +284036373 +1385330422 +1734273361 +932204578 +958975012 +29234041 +1397932108 +2141581199 +1370709904 +1200361256 +2048409348 +1309078068 +1619087366 +270681831 +1447014426 +1666427903 +542818871 +375913427 +1694229466 +1857049391 +312119789 +1537059527 +2036613387 +2081992617 +652027930 +1141436088 +136562583 +92360016 +710388979 +148455429 +986008832 +994425352 +1533785851 +572798545 +1926629931 +345277216 +602032586 +1177078391 +339374767 +1972742490 +229955999 +240300467 +1134336910 +1849043365 +510982298 +433867689 +1367987620 +1053801169 +809781116 +914733438 +763366912 +1121900906 +304309318 +652496651 +1056409875 +956337248 +1793932740 +1192972458 +1048697265 +356838071 +1341427888 +2034706097 +1351263423 +727730091 +460020994 +1130409706 +1073007307 +1062053580 +160004449 +1412382074 +887312422 +389960448 +1652682541 +2021649333 +91520165 +16181191 +308033374 +1459507785 +1069982361 +1117814490 +226757576 +1833349273 +92231748 +531066894 +338362277 +1148641624 +1487404142 +2132295017 +194130434 +388617759 +341649440 +1535558322 +275840208 +1692912863 +115804766 +735861202 +675838922 +1188812073 +1797914782 +835843371 +453710500 +537743557 +1225803820 +2106393041 +411909242 +1317323985 +2122574233 +719942616 +629348123 +1045072946 +1837757106 +856105699 +730938571 +1929988855 +1387172593 +1069300848 +931146831 +727093087 +1054112217 +1125277265 +1115710847 +1395761657 +513351940 +1391551055 +941190873 +629156706 +2127412258 +1617029795 +1817968779 +1777843392 +305389518 +124195631 +168103301 +1531193338 +83105025 +580012543 +701033676 +58195610 +1299955159 +1330381799 +1103268556 +990228618 +39003850 +1834207127 +772733825 +1426176443 +756024328 +1703880656 +5785882 +1810136545 +681674273 +1121496729 +1058414555 +1195026213 +365564137 +1999605428 +1824182919 +345492747 +1469151575 +1494668051 +2123336139 +1774541093 +1618863682 +143955793 +1158250784 +1701968707 +723968336 +1859284460 +1760164317 +2023923496 +1042182611 +715949225 +866668466 +1081186461 +402672705 +1639402291 +359879256 +1158697033 +1195799299 +365665138 +821349930 +1877473572 +1487161868 +1879764485 +925016138 +1852726005 +1731886265 +601715409 +50735104 +1053554192 +2096383460 +26587595 +680611638 +1567763495 +170543388 +1838862422 +1122248554 +894511725 +1550663234 +734929224 +770951573 +445362197 +1450878449 +1637620039 +1526548658 +1853551154 +1129538682 +1886427914 +864764539 +177854333 +104609404 +1686114470 +2055327905 +1591771272 +1418395307 +832860395 +1297013629 +1002797925 +1434575805 +1347748733 +2056352117 +1383475617 +1374336329 +589480107 +803755464 +1544879717 +280858881 +1926004019 +291907794 +1831522115 +513449595 +1062859367 +129400664 +1964328044 +552995758 +1655949322 +1670395551 +1682534440 +1394893588 +387676442 +1860388773 +1499502993 +2073790912 +1768233031 +943790617 +1344702572 +453609778 +93320599 +200016849 +1888185583 +1441069332 +108885318 +1124177553 +667922013 +698365426 +1927933017 +65318083 +979224307 +1706453388 +357225877 +663262775 +72419335 +1420085245 +792663439 +2036747380 +1973081003 +301129114 +1559659283 +1508131796 +1696022702 +1947335725 +1221036921 +1048042047 +1873642990 +841786304 +1991832665 +1070861914 +1295396083 +2085153264 +1270878763 +1036098018 +1378738948 +1379764081 +12791923 +2046660962 +2078129507 +1940724941 +2111979045 +909870167 +1499694681 +321721274 +1573132942 +1572114017 +1741806519 +218312733 +1461377749 +1567403875 +519441847 +873553384 +928052023 +67980902 +673405461 +1605296 +1116022949 +399564803 +843391601 +960371966 +1470426717 +2138787684 +898041582 +593821832 +1027402054 +129296883 +1973585914 +1040193978 +28474197 +1904231773 +833435271 +2140453242 +666618292 +185646304 +314690868 +92267586 +1757760321 +2056497388 +310580320 +1071654422 +1476417615 +830022167 +1945207806 +256985990 +898003069 +471129620 +258591286 +2014026019 +870694423 +1101982887 +826914337 +193637493 +1093286923 +1724955920 +787459325 +2120688978 +1854252803 +613561591 +1013399308 +1882727000 +370309717 +1846834579 +1875696594 +1036928009 +2032480883 +42903814 +1129195596 +1642757557 +2099401202 +1439775916 +566928331 +1428335169 +122314435 +364652490 +1685321159 +1020317505 +835782110 +1943912446 +886859876 +1706476533 +898411685 +1713774213 +1900114026 +1991698609 +1291246485 +540089704 +1964903939 +998015640 +1153651295 +830819599 +733258992 +1523961012 +530170530 +461471938 +413405374 +415167765 +504375753 +1542600970 +2057925322 +456293307 +834893238 +477370006 +1884628477 +957207673 +842022496 +1422465988 +1977525178 +1677804606 +1218894786 +716901406 +1236797491 +2117306472 +283191972 +989427870 +1961521433 +1574438457 +1529517574 +1778941724 +424970450 +535685221 +462277675 +1158229442 +2059646234 +992448205 +1619701381 +325567960 +1407615970 +2124077134 +1868168930 +1318057645 +432886793 +555578520 +1795427651 +170031622 +1512786193 +489966499 +1592497611 +1342827724 +20287457 +663908749 +2059729130 +1257084948 +633731573 +195437454 +99029170 +447769358 +1769875912 +1628546744 +79227434 +47362714 +16748318 +541505109 +1205592156 +2076394552 +1533953314 +677809889 +254478864 +794085637 +654403375 +2122647794 +2112143282 +1087290169 +530742666 +1760087285 +1257321791 +2043528859 +102570136 +702335754 +1238872935 +122857593 +1366244504 +1151118418 +1379942541 +1999976077 +1346555872 +1478971712 +300261788 +968948136 +960034808 +379489222 +1016310850 +976783126 +920994332 +74419359 +905694030 +307463998 +752229248 +1160172894 +1101549635 +1406632624 +1135337040 +1066209269 +346439145 +1666079706 +678812906 +1603760936 +1562124918 +781383042 +158613043 +653514205 +904240635 +1524857547 +1804632623 +136699529 +1377349976 +1003704848 +1615671241 +1677611764 +1972652984 +428222401 +2057100987 +841480187 +1405005528 +830611671 +915899546 +163215910 +1138075669 +1668128794 +1323388805 +92141657 +927277770 +311242197 +1158350926 +1273716915 +1977321904 +1837163833 +729994204 +1391963174 +471063227 +888607247 +2045477379 +1375303863 +265981146 +1702626355 +1512003392 +1643331122 +558847555 +980190985 +1173459239 +384016891 +1408413386 +1083076578 +1225497078 +665935266 +1913688249 +2141396624 +829151177 +904280270 +1662041771 +5056334 +996421927 +441835893 +316298531 +7289206 +1715552809 +146136787 +1844453039 +298063365 +1538099961 +168032618 +1186670612 +1436093693 +1543336481 +1452651758 +991236400 +907856225 +948499232 +1550083955 +1888047210 +2121958471 +1934100846 +1148976949 +1057551401 +1012114277 +1814912215 +823756002 +1006027253 +496579744 +1728036273 +520585376 +501636078 +576974552 +962421270 +817934610 +584263758 +530490431 +964071397 +281233149 +828553796 +354687711 +449265768 +2015224408 +1790781404 +1992602249 +1320392518 +634534156 +752974827 +121408102 +37134463 +493538389 +95882926 +1971235309 +1642515338 +1153434327 +835865938 +1309943906 +1977190330 +1841893192 +1806523650 +1557742955 +214994920 +160676081 +2134717507 +1177416190 +978610691 +571497618 +1707906621 +1942682088 +852730767 +388976769 +149886151 +1301996535 +256717529 +1940667555 +1147115137 +1577110047 +427718063 +1900089964 +1698518150 +464852526 +246144705 +1794401076 +288604188 +1888660044 +800351755 +1124470126 +1051120302 +630058437 +818879670 +710160304 +40317744 +1033874591 +870836385 +27551604 +63807133 +1849447076 +599049222 +1771713755 +1644645517 +1451779989 +13206876 +1794531668 +606292877 +269924406 +1587715576 +1753408014 +1847034453 +2015433639 +1506014330 +1398068955 +332802518 +1752159035 +1044986383 +621406706 +1493335431 +1845338139 +1745876832 +396972085 +327912928 +417272855 +1107132390 +368230673 +1451147446 +1977968775 +395782277 +1514954579 +1679932204 +994831499 +1139184686 +1177094073 +299127840 +1152391563 +824142093 +905420717 +1422315969 +264374021 +511345083 +1121866774 +132324013 +2017359413 +372452082 +465126531 +1622034801 +1417438465 +1086533237 +967886584 +1115292956 +684926421 +1364858670 +1443205885 +1102199276 +324507412 +1811436558 +405863074 +154992539 +59735187 +1920817654 +1834924743 +1054566686 +912518692 +864535168 +1353694526 +2064910255 +1688677262 +111631596 +1339742576 +1953051283 +622976679 +314125703 +2085375296 +492852445 +686577785 +403018179 +2114887246 +2104016250 +1489551416 +935290182 +1071825559 +26994190 +152665204 +367547796 +1129193466 +477172616 +31500706 +1535056541 +632165156 +91235893 +1308390547 +319606251 +1145802579 +73425591 +1184141420 +352013457 +2138335847 +725335034 +463645053 +1330594775 +530902669 +1086621733 +1644720478 +468794318 +1579474178 +183814615 +871812497 +1546877776 +140347218 +213880266 +334684310 +1212172777 +240874456 +487349515 +1579720573 +1370067922 +964522131 +1611221279 +757640815 +1596687287 +1702457172 +2066031362 +1916293539 +700776103 +2139456954 +952951311 +1052789560 +2130309153 +1678286345 +1516434614 +1313420280 +61705366 +455572699 +810657111 +530499684 +2035046877 +994471726 +1402312182 +1434441005 +1134818944 +1616192448 +1769125315 +199508073 +1857066904 +108991182 +1779228646 +1079651178 +1073513314 +1242966277 +1837291994 +522716953 +797939801 +1755839708 +291526844 +1498715904 +1747813014 +1244478155 +404021817 +1730638519 +775280852 +1920456431 +896575152 +836986219 +228545482 +1707232263 +1367485903 +116108711 +554220341 +622314437 +1550549716 +1689039286 +91023237 +1172191383 +1888547359 +1948090141 +1281182566 +1520292358 +880257672 +207212232 +615774987 +570066018 +729929185 +1413714789 +178422078 +1021456030 +764947045 +1926235093 +118450537 +1168968862 +1509389964 +893731390 +941941645 +258481468 +1730717609 +1170487127 +1965713731 +950719864 +1286595838 +372450425 +1573034302 +689661906 +2061489711 +1664057539 +1861853290 +1802553422 +1464664033 +995552208 +1175362132 +197438057 +1202764440 +1791137120 +767504075 +1932693625 +1057368261 +945926153 +806666007 +1822315306 +724677598 +925116545 +843800521 +86583915 +1818847935 +1785742166 +345065383 +1402081896 +808745646 +163295467 +205318112 +2095341484 +535745892 +1778352414 +637519743 +449751955 +1294926306 +351889385 +104821729 +612106691 +1347441593 +1280183862 +809544748 +402722385 +923837334 +1577048823 +187932362 +1981205595 +375491328 +994598370 +1656037253 +1100168927 +1919714915 +352354126 +1186752842 +1591079202 +2138096293 +1531818225 +845677450 +799358291 +1695113692 +1050995562 +747216127 +83375936 +681864329 +1384735870 +533127891 +1976790635 +1736625255 +637949621 +441413678 +936583200 +1918133483 +1250958426 +1339305585 +694487169 +680523601 +1527237948 +528209116 +1056014929 +374352670 +36762721 +8700208 +146583937 +389116848 +1195453050 +1737663139 +379729493 +579787628 +435856941 +1179087784 +127417672 +1486852503 +1926303911 +210793609 +21233184 +1163556134 +743921500 +1998023819 +752697741 +1381871121 +291953849 +1689280942 +1152520956 +1542912275 +881102879 +1847008125 +75952228 +260857179 +227733593 +1131967158 +635209849 +264496315 +1140667366 +781793786 +653613163 +188636769 +371973277 +1033342656 +768424397 +807830218 +64946792 +895842069 +147199074 +1991250703 +1106635678 +168432258 +1007323189 +1850557179 +18972430 +1760020931 +1084944652 +310926279 +1301818225 +89981961 +1853838555 +35437456 +1936990086 +1929790783 +296294636 +17240032 +914274293 +931504485 +281736347 +2054941660 +1713298272 +935349510 +96094781 +2085271549 +1968692166 +864519178 +745618120 +2033638958 +1760361247 +892817194 +1877406013 +719513278 +1061249452 +737245555 +422586809 +1080221882 +349782838 +1507531461 +1391148162 +1651601063 +1597513422 +1097503069 +1687038519 +1387019861 +879810204 +1983333155 +1404259893 +1794084498 +767353993 +1685996240 +1701542510 +333168617 +473862102 +1797637291 +270956518 +295070620 +514672821 +1016574638 +181225930 +127550420 +1909391832 +2058631943 +847063698 +823157637 +648393850 +1269650507 +1903379519 +998176688 +629698321 +1147044033 +502294103 +79728095 +97063454 +41848975 +1466747956 +976873659 +2025182130 +723524201 +623474509 +645052475 +262036793 +177533371 +978221092 +735898895 +1975170662 +1249177611 +1030969515 +342359835 +118268601 +1212195445 +469910255 +2027660434 +1123343741 +1316973954 +703334423 +1771737591 +439140813 +459230294 +622430632 +1068839134 +1606274328 +1124724735 +1148567230 +1703337782 +1166573710 +467831538 +532727793 +1044272193 +1191355740 +1156202302 +1689324668 +1453392533 +1333735673 +520062113 +41807781 +1161422687 +1769239724 +1072777296 +1503782522 +1887508325 +137489094 +1973692778 +1767685111 +1260832835 +1143183084 +323535886 +885086778 +1582323897 +782766181 +1507517410 +503679384 +241556861 +484758498 +1652246614 +1944894643 +1651332208 +2120078152 +330138789 +548120753 +1163950244 +1486341091 +89961774 +469859130 +672593117 +610023887 +511666911 +1834015804 +231779963 +1584444207 +1190314679 +2119288288 +1721933301 +1016523809 +1739489752 +835282488 +12223245 +2063025638 +1720369267 +1594547142 +698308171 +1080403029 +2098226526 +939865032 +1565161527 +1602989492 +737276028 +1069010088 +1575583997 +1067414817 +1617130841 +592050593 +406272260 +1707092615 +1061909723 +1078865377 +169632854 +1573576634 +765397534 +401412817 +1010537194 +1955712213 +373217458 +584986847 +824752374 +2112707210 +1420269336 +836975619 +2028249200 +993154955 +284039113 +579073724 +2073557984 +234781992 +1518938756 +1491235864 +1837771484 +108731136 +412762304 +1265871833 +1176145953 +2029893145 +1857922427 +1582418214 +1589502113 +772348502 +513799943 +1759134967 +198441489 +1279197477 +13064137 +1208978683 +1087426042 +386281595 +1793965530 +1912178416 +351505157 +1066751218 +601670387 +232270709 +2059906173 +885709501 +811344433 +1985980510 +1120491493 +182799542 +1329732726 +810779329 +291530678 +1742495030 +2076651163 +1467676632 +1624904527 +1787089942 +902611198 +1066922992 +411954796 +1416411141 +678574312 +610396285 +548124971 +691638449 +1819374968 +1635551013 +1077920044 +1465856851 +1400245782 +1429425201 +385124421 +2001916169 +1661695910 +297546947 +740142022 +325556696 +136043809 +1860633515 +508356238 +1465776535 +523929197 +799886916 +1060787917 +453096712 +120079900 +538208796 +92703006 +1022691098 +1605131789 +504657802 +291618592 +136222453 +1115054088 +839743563 +827860902 +786945408 +327810928 +1905780946 +105318611 +1728056710 +1187722499 +490443033 +1582489232 +701934761 +787989980 +175147606 +1027491457 +924033789 +2035781122 +1535847695 +242326676 +412226671 +188250964 +1303114593 +865323383 +308330864 +1841323389 +958026389 +1331021963 +1298971530 +1462684191 +1622640555 +1435193983 +430254631 +314900470 +115571237 +1217200040 +642711398 +2021352183 +1322518651 +223284461 +1061591034 +1812961684 +1805773693 +1763525796 +453468016 +1980921299 +643533605 +1377501805 +1869218773 +31897653 +1619828481 +133961796 +220148617 +775459426 +999285179 +528479481 +469299168 +1957311568 +1859501444 +1768270698 +1272512112 +1334658351 +1055981034 +1702766743 +1649558821 +1171552271 +772483135 +144786572 +1045420807 +2095001787 +368071033 +2107011841 +1760479823 +26361078 +1723053989 +66464192 +2007282377 +219103947 +1443965997 +1729017503 +251001600 +916310831 +1862979299 +471150217 +1691770257 +714780831 +999629698 +13585777 +524608751 +711647495 +1781856476 +1797120863 +2046305846 +690353862 +1352403959 +1548381020 +1861906133 +2124887094 +1693167592 +759843292 +2072405233 +2061238625 +719371486 +1685401409 +2087599703 +294941827 +1751865601 +1947398432 +514045774 +1048347950 +1528932287 +765047374 +1964658781 +1244427939 +1236197591 +1508945391 +1959208770 +88343642 +1522531168 +336333873 +799991137 +1156903996 +2133454737 +698813335 +1847257858 +1338375048 +99710707 +1561680344 +1315778494 +1792878299 +174039988 +1240700080 +1706633276 +893411474 +778617841 +1646749331 +1188353302 +382999794 +1446664116 +1702399076 +1431347744 +828112755 +319962803 +1248522878 +2072540694 +1556160394 +609984621 +1884265816 +1644504036 +2132515789 +73116042 +297011525 +1141936138 +59087131 +995824861 +841710348 +1397462179 +1095535568 +255907044 +565757025 +740930220 +429947033 +1806457105 +300079848 +1323358507 +437591298 +1946829180 +364228161 +820591092 +1246009648 +2066627238 +104455189 +2074122403 +239106393 +1352978067 +1999179450 +1795266787 +1962962688 +1735961618 +1292287176 +1947994829 +1809077660 +1589298701 +942447319 +1868164791 +437639914 +1784157668 +1118143322 +1533175483 +2040064712 +1683900348 +126622055 +322528097 +1342873805 +426701903 +1645886605 +1780465104 +226047435 +2010114766 +453572548 +1472057083 +1929258356 +558027737 +1398695839 +20881101 +1911005804 +1250391641 +1816147889 +1726484844 +838869611 +960951417 +1526996026 +500463624 +402766470 +321959697 +221144767 +840406385 +2106117365 +1339288090 +226098220 +1998698430 +875704790 +352720275 +173742879 +71094947 +779422178 +1819629484 +1851560051 +1005469614 +1682260603 +157648952 +330043049 +1464035311 +715676689 +1728738888 +1484916413 +479198846 +831646881 +1153580654 +58200042 +1670516493 +2114532071 +1585196068 +23496469 +369814893 +1907155766 +244641236 +1210221278 +1865789483 +1583929326 +1436319498 +1717004265 +312150468 +1789039773 +1890747145 +383245416 +420978304 +1562892981 +87321819 +1426447918 +1097669936 +244970771 +1756490967 +414221600 +960647461 +1337746208 +1899138013 +1439846307 +21909441 +905235019 +1498046349 +1692425934 +872283442 +935758770 +1715922403 +1242098335 +695430888 +1960563640 +304835966 +413736723 +1397009318 +1741155464 +2130740989 +1709159787 +1382711590 +1874004486 +2092405203 +1803689894 +1289413819 +32243374 +1082654164 +239600108 +277214146 +691661483 +653821708 +1237861607 +2029407691 +405476073 +530224266 +2051317133 +1310711092 +2028270615 +1596259419 +35510886 +816545737 +1164698175 +1277609221 +1511976625 +977778167 +1582445187 +1925713349 +227303837 +1176117004 +1908970690 +1936463624 +411344946 +1635491528 +1881385179 +67551192 +777421699 +1913628554 +1150205356 +1017021807 +43359052 +1841866839 +1670843515 +1281220659 +1723790883 +2076319588 +1811444925 +1627624368 +1239547032 +1692231892 +1076400139 +1275057918 +361293982 +93614666 +405183492 +1873270607 +1071392833 +1987628679 +1651500308 +1298696671 +1016262035 +1412987350 +1087676647 +1427606981 +900995230 +821578179 +1495158173 +1678416930 +587723085 +497879881 +547955089 +631082137 +192263073 +71314957 +1912302796 +1916053956 +150897 +1576264073 +1396194676 +1239697930 +1121012317 +325111167 +367272200 +1482306299 +418725834 +772455692 +1208093259 +1490118667 +612600724 +712109919 +641331690 +1628862759 +2125097270 +1729008338 +908986093 +878608852 +403102869 +256660618 +409542134 +990825954 +754540500 +957497224 +1621908091 +946803573 +1028812181 +1386727239 +715373881 +1028963078 +815507664 +2111568557 +121177360 +1936519981 +289196076 +488449561 +1271342633 +707921910 +1260905253 +331952244 +50556930 +1873505977 +1044062163 +691888620 +1354885089 +1021675785 +273413310 +116387534 +1900284638 +676516179 +373048152 +162343124 +1667342133 +1127588652 +1119840348 +1141766576 +2074392225 +1168881 +381010167 +642282458 +1030131960 +1196517831 +606367367 +1151309320 +985554165 +895563444 +1639758881 +109413150 +1603485354 +753180487 +441365394 +1654042284 +479202816 +1485427557 +198447257 +1834087905 +359619695 +471860567 +1950475439 +112420685 +1148376747 +176039944 +274763809 +668235232 +1303628596 +1394604158 +1810001809 +1230537174 +1395773039 +43528328 +1872819632 +278421351 +1240046160 +331703352 +1429730672 +78116677 +1227266796 +922005905 +187529827 +683268502 +1675186392 +628895221 +189827139 +6905561 +2114322778 +388274396 +1840993466 +326458825 +860134963 +1643985258 +438879510 +2008511710 +1820025202 +713643320 +529263295 +976170150 +2108247478 +191781456 +59223676 +1356536869 +235309784 +1932043309 +1634958221 +1475355944 +116263013 +917205245 +1553472621 +1343529809 +1839211150 +1741002448 +2026798311 +1366913895 +222414021 +69141802 +1373819456 +189253152 +457416198 +1067329274 +515711977 +1317551162 +563830884 +954591488 +1178579224 +236372438 +1668234808 +1707842519 +1212542589 +1628998638 +1899623975 +1271766265 +838051859 +2134933760 +1056325926 +325526432 +1462806056 +1172588939 +1242731677 +868795030 +368635100 +934459180 +462313830 +247949764 +153889427 +684727852 +317091566 +1527708883 +873981004 +774507765 +447554509 +1389692981 +2092058927 +1011385394 +196800821 +1123154503 +1247757832 +1865035629 +683513375 +312816773 +1346550619 +435653702 +1584583039 +37118831 +423103814 +493425317 +362645263 +1885909871 +1666014257 +1605376941 +607221253 +2034649357 +392352473 +1069535083 +135115473 +546241900 +1754262935 +452207040 +2073950783 +480760291 +1226714805 +374021644 +1870453273 +1171290084 +1385407038 +2067254094 +146960939 +485681223 +1784806076 +830474314 +798497996 +983873047 +1266128017 +235597387 +1020991878 +1689231831 +729022705 +1383637142 +1427658054 +247553314 +841530435 +2034879307 +134719023 +1233882908 +956930743 +269834497 +1780124808 +563710030 +722041537 +1706591943 +1044470322 +1948756342 +2080613587 +767439947 +972562778 +1318536978 +687210393 +1119523717 +1804218201 +324532821 +1949998032 +455232549 +1308405869 +1068642401 +690829937 +181914099 +610390584 +1419852642 +1565551241 +2038048639 +1667405956 +259598028 +1925444298 +1802124979 +1493480936 +734891393 +2071959476 +1126122096 +1298601424 +646517365 +685230391 +195588098 +447790059 +618360331 +963028045 +1420352837 +1936897309 +1650238438 +392392907 +1593631862 +1974771260 +194907291 +2048864411 +1135693481 +1263549692 +592210700 +1317607580 +1873940276 +2012063342 +735675174 +1764505267 +1531985650 +995273202 +1542465918 +1186626982 +341270491 +129873663 +1111102810 +1467392587 +1428475087 +1757620176 +5139331 +1624063185 +57926587 +623499662 +439607582 +1478279425 +412913323 +2089846021 +1870672332 +2006545185 +1917133633 +2065579623 +1907925948 +905343466 +1181645667 +352653001 +75467398 +908102295 +217232695 +811142572 +525123915 +1749218346 +1806415775 +2067589833 +788361680 +202618 +49979848 +1899464490 +1467595205 +1478454936 +1509601018 +1472734536 +955034473 +1567527606 +2096234198 +1394642056 +898323383 +361663873 +1337004429 +621512067 +220725410 +1106654414 +539608042 +2128651359 +2011997880 +1721253709 +333820712 +2087465278 +481872356 +551053407 +751124203 +1006996271 +152788105 +410056330 +927102456 +941149785 +410258948 +977082305 +693130628 +1877854153 +308053593 +55247998 +1203105042 +1263088066 +1622775604 +1151855592 +510246474 +373615339 +1513519466 +1847250903 +995127406 +1734244876 +806421669 +1534735448 +1715412587 +670935901 +1108505509 +2049233299 +610917532 +1590377866 +452803059 +1362041735 +449890489 +605591164 +1772098065 +1376992946 +1546740950 +34873365 +206591603 +92387930 +1912727518 +514645196 +147635928 +968348912 +1777733262 +1770411533 +2120204505 +140496089 +2144026872 +1486240323 +1987746992 +991670631 +1073001551 +646685014 +378922431 +640930491 +1317620915 +1487427941 +542680142 +1928538447 +930322159 +995483201 +1143096534 +1380212648 +1601074366 +767710951 +609721946 +1000331668 +802584316 +816313549 +1092719598 +567828187 +1330958745 +1240355526 +1536177099 +961208360 +863283411 +1508897956 +1101704449 +859826636 +847654631 +941967793 +1851497267 +1920656183 +1588652807 +82936050 +414103026 +758790075 +1570363991 +956783168 +539844874 +353202502 +1952266370 +1682941409 +1733415151 +1405857088 +303168712 +195653449 +258705108 +1105753029 +1011966999 +1351424706 +1673581216 +195442096 +444296584 +1062274667 +1156650456 +1307579996 +423688976 +110871257 +19922984 +1271343607 +1052839051 +1871420251 +1044516142 +494008210 +1954356301 +1458619168 +1252798285 +1377236645 +267918689 +1792643160 +1730439147 +72701411 +1328100921 +1316370650 +1478558499 +1631269633 +1512024100 +1737263607 +589539014 +376507451 +941204665 +115636582 +571949547 +1385501249 +1177911250 +1728600004 +545597597 +1601600226 +1839471261 +565520581 +725460185 +744826664 +289457184 +1769976328 +1238834875 +96329838 +1081111848 +344149512 +1473566483 +1349030537 +2136792672 +1056521982 +1421731948 +1317409945 +225408985 +752806799 +801195931 +1737433085 +342586758 +1390734945 +2113940536 +1283791423 +1506371528 +538406435 +521809025 +536799130 +119522791 +1067406622 +2138399356 +1958994053 +1632927204 +716375893 +556337069 +1922384388 +338868573 +1795171944 +2018714226 +1419980422 +2139321457 +1344797061 +621527311 +2128630481 +253835396 +2043259260 +1298556779 +479244381 +648582411 +2099752710 +69193818 +991169170 +1343004007 +35650706 +127476945 +701891887 +574057141 +649285970 +1238691017 +693579933 +1716692593 +1229606725 +505090338 +1202136149 +1945982619 +1061427407 +977036889 +137367544 +709115704 +848267468 +1557347966 +700953513 +45580881 +31391630 +682100346 +299416277 +2074650890 +1980657125 +778660658 +575749653 +1932926187 +847854476 +1566918823 +1128446547 +883505182 +1694395769 +1830338434 +1457562324 +196198091 +921545804 +3658609 +1912890684 +3668881 +508748947 +967543185 +1949651500 +1570176354 +1944580075 +2087019045 +131808410 +645363895 +1496883363 +832761923 +690944776 +1528274993 +1514862270 +990361054 +1455442235 +1348035747 +1769021712 +2031191889 +1133478287 +469392541 +1450627064 +114441186 +1352897723 +997539185 +1944779620 +662976399 +1193737277 +718841776 +666635008 +959144313 +722510658 +1175383955 +1926687499 +524678510 +598076662 +1723783926 +464213907 +729885072 +221664173 +1961097271 +1562646996 +912608949 +1341888616 +930025618 +1902970003 +649847204 +130577717 +1524508068 +533555445 +1264056004 +1993900609 +1984182509 +1378497190 +1199314684 +834238047 +1175793163 +1862291084 +2027975324 +1894634939 +381442444 +839635989 +469661949 +1556826400 +618839840 +994340460 +7419414 +195140118 +1458554367 +737304486 +416804291 +1272167990 +152467834 +1329413241 +466572959 +1082493452 +1084899596 +1116420163 +1213071170 +461924016 +1649975608 +329643526 +308340977 +1486674469 +1708140717 +1507655662 +173428868 +736450232 +1222463098 +53920544 +483601523 +1603905542 +893556534 +953263473 +1013248294 +1512396374 +1947603933 +1020667708 +1707536493 +1258674652 +1757972195 +2124340784 +383358995 +1910440029 +1306270377 +849931954 +845449834 +243686326 +1966352117 +2058521004 +705610342 +1468844077 +240680882 +1013951320 +808034898 +1948821599 +374123334 +981463767 +537788183 +1596586432 +1035384311 +1021389707 +1053008326 +1928940845 +1974653180 +2066256621 +1293853572 +1774773465 +939440681 +853906417 +885964469 +549929228 +830763553 +1269323464 +312885610 +2137033931 +2119255418 +1158335444 +233236609 +1938123887 +1069372800 +938846951 +1259484316 +1310053682 +1952798271 +2067519215 +1111391634 +179437957 +901499334 +1649179817 +1776024389 +1936883645 +523085876 +681549068 +1718340843 +350255408 +600322041 +864710767 +2125028873 +1539762722 +1718617184 +863509695 +2089691951 +401897089 +2132833159 +255093913 +391447372 +2104604930 +1413429357 +624683981 +1895245169 +335318509 +1563530933 +1007245838 +1645372191 +1368845556 +927281405 +609280177 +1548283514 +1828780739 +110976347 +1176824255 +1618180736 +634062223 +1858373323 +1189037931 +984317632 +311211716 +2053748698 +961862857 +1850974439 +1624882234 +1825372552 +1793182742 +2026779324 +1810722064 +2048276655 +270743048 +1767843346 +1314222364 +895427030 +1515604867 +1649540873 +311474315 +375367057 +1147429416 +1680319871 +1302648462 +1756709594 +1081119737 +983945553 +1867685941 +110460345 +454642642 +354264516 +1968833668 +1643680573 +1338582148 +132561737 +1549945624 +152961358 +1983536176 +1027344210 +1978333910 +1629235270 +906639886 +1641572326 +1530028277 +1177382935 +1261932024 +696766993 +2072809965 +630053244 +198824218 +236800632 +1005420301 +1346253634 +1917120503 +160585116 +955479580 +850756593 +1144530669 +675681873 +961216938 +1599173311 +1029946390 +782566958 +1095370237 +221044890 +915128695 +497832213 +374006248 +751181223 +1525176423 +204856511 +232932845 +284332662 +1846428837 +1762961122 +1461715597 +960877214 +312244467 +1387041914 +1590930458 +511068685 +1623842546 +448867111 +1857322320 +1393479401 +609452227 +665318252 +96752346 +1753982897 +1341000126 +1057969284 +1205672560 +223462868 +1840536243 +153559149 +444507758 +608181290 +651391362 +818514007 +1359362514 +29084138 +1023370518 +1592295359 +313416800 +722315707 +1207772834 +1775132397 +1683192921 +1520017301 +1014690663 +1126639731 +2031085987 +491049561 +1575506843 +1740924659 +1884528962 +37475422 +258759263 +1981281309 +1791458319 +1599759389 +891766945 +849647232 +1823222257 +584819540 +1003206381 +120246368 +1193000831 +1654597744 +938760375 +404879697 +1683681882 +1962130893 +1997175056 +1997098682 +536962952 +1057464242 +1624747431 +72672226 +429997896 +491954446 +1199311957 +313600235 +983004007 +627335152 +2054524894 +720049321 +664810575 +165800509 +553846982 +308785246 +1765559899 +1445613928 +1158432478 +1441298508 +2030433468 +14155212 +1561544876 +1075950651 +1668752956 +352821603 +1480830348 +1204951190 +167468848 +1330521757 +1054566224 +704431801 +240502351 +531830007 +777104027 +670500247 +1023784453 +1976415984 +984100482 +2006788460 +456267489 +891141728 +579354133 +1121078064 +1056942238 +1133201116 +1429863310 +675018489 +431331396 +440812141 +2116316997 +314281216 +454967353 +1530378226 +1390231868 +2123720309 +1883199829 +723578568 +1181187851 +2050668678 +2054100325 +88270427 +607616831 +147119029 +620100434 +1384720858 +817619276 +1643884887 +1213653194 +1801719759 +1503189699 +1669920683 +545377839 +2082543832 +643515099 +1602320077 +1068261300 +2073378410 +129854918 +1499592696 +366706903 +98688268 +1813873913 +821674256 +1629066494 +1056622133 +797910917 +1364782675 +1780200701 +1979098768 +1267967705 +1686817379 +2067369195 +1875584536 +1833936408 +539985981 +1112821746 +504072036 +36387220 +178991293 +158308147 +1539576919 +1848911976 +703685987 +1474637103 +344943428 +158522416 +395414756 +270838190 +288377335 +1895007452 +637545093 +387065603 +1561397717 +1459219349 +2016132097 +470536202 +109646618 +1233431124 +103253256 +2088745386 +353915182 +1790070635 +2008630933 +82016070 +1476523395 +401133266 +1194837817 +1980595431 +437520486 +1373829110 +2138903579 +1977097405 +1075257438 +695105918 +1304250860 +1420200866 +853628334 +1699665616 +1691039056 +1142005669 +1447189421 +181100501 +1529071272 +861103490 +1640319850 +1397719721 +1331639693 +1749966468 +483667198 +1434892949 +1691228206 +837582380 +1077479936 +1552375491 +919598450 +406519683 +1953508757 +2114436267 +239631466 +243545595 +1340781729 +231051397 +73159352 +268555520 +926157315 +1377410213 +1688756386 +1779785650 +929592181 +1232311795 +774307671 +229297954 +1413412296 +155895296 +1090401445 +906248499 +1553615017 +274557490 +508731319 +2037282215 +1709450439 +52475878 +727380947 +639446727 +1604851369 +1646979398 +1045966410 +1410876479 +1613932017 +1285597876 +1654422074 +807230099 +1516649274 +1727581427 +1075785619 +295322941 +957507992 +617058357 +2075108591 +1887100173 +1849370152 +701932615 +2116398128 +1115298801 +857827911 +1059315925 +2021547300 +263959280 +1333873415 +382794971 +153757848 +895840206 +435270849 +881138795 +1535286933 +2040122219 +380634545 +433769695 +1303515050 +1994566563 +1719367571 +810453476 +654313014 +1088533197 +390551255 +1730098633 +1383856139 +1348059247 +199673342 +1311481082 +1087675773 +2049043495 +2013413697 +1056590253 +1016858648 +723757960 +2115906178 +890922300 +987717241 +1302295945 +1273717271 +1141475089 +50652503 +1708988121 +2022613884 +1585939436 +1601626692 +255764782 +2019709131 +757658094 +102847697 +1591593054 +1568111570 +757160711 +532642604 +1958662826 +339775696 +1916498743 +1159238425 +539449038 +1080496177 +99430550 +441008885 +946426227 +1156020803 +1457867533 +1670184187 +1124443333 +201306185 +510417780 +279255630 +1475023457 +1651892869 +329908133 +1036527930 +1527023106 +1915847569 +490670974 +1782787888 +1788073052 +1248329068 +1885635585 +1232182459 +668956990 +495312648 +1764825063 +480136168 +835088344 +1533840158 +1639374594 +1374537382 +466852687 +1738805144 +1815546268 +1413278914 +747342300 +1125930153 +935979454 +1871785633 +1327236339 +1446397234 +3557616 +654776148 +950806456 +333465749 +1691304078 +330345914 +101829671 +34491404 +2113133802 +1889902723 +1282820472 +1851285739 +974601534 +1951777462 +199114739 +591942949 +284429983 +1034203083 +2125783107 +1923804577 +261256817 +445152147 +1515126073 +2076803085 +1858431061 +114984725 +1055249591 +646926867 +1986770359 +235002282 +2093324102 +1990327975 +889778430 +896646910 +176310076 +433598860 +1226992824 +278139747 +468090264 +1192642978 +20558823 +1750910736 +896445069 +995160357 +1555204550 +1095559808 +1587103307 +1839634533 +2129762891 +1565402766 +1615955462 +243536060 +2010554913 +983597888 +172855498 +1721502327 +1098582613 +1228105089 +220945546 +937869324 +1463107371 +166786000 +780713651 +205402153 +1063432910 +957023728 +639001013 +142942086 +1235163475 +1107091277 +1335585064 +1255722298 +710518365 +84546485 +103399008 +118239267 +1180106293 +1690502315 +1957873801 +1162385536 +1108421433 +1426345615 +1405921597 +971492699 +262459855 +1578777095 +545511378 +1361042469 +659398536 +766456924 +151428145 +2122505907 +933242925 +932141797 +180424412 +1996675835 +1889165525 +819425425 +2139617922 +976845352 +1926516702 +1327719338 +85084003 +489551419 +1412265824 +188483011 +607790686 +444888469 +1878985326 +418180839 +1607274006 +839923111 +1844526455 +865711955 +1811415810 +2106986310 +297005402 +209443540 +1320545131 +956403938 +975900465 +1471973277 +931426197 +1909143390 +256631426 +1111850609 +1758335577 +2145796951 +1931276034 +1750469851 +975158655 +1710309088 +930705542 +1060242658 +52376859 +195487718 +1248725669 +660167545 +640376187 +980227347 +1078348385 +100166545 +1820150459 +775391192 +965878500 +1484082621 +734893854 +1262883902 +1693526162 +2055438986 +71804192 +521942979 +1379928615 +1003230389 +283602721 +1636560041 +2115080998 +2041938298 +1634873344 +1898873384 +1644924502 +462548351 +1461698824 +428146396 +1522791010 +1514075683 +623634114 +624033031 +26759581 +1264010301 +1604260379 +1105107966 +1364176847 +1276927190 +1880499158 +182571699 +613526163 +467909364 +1445455602 +159568677 +375864702 +1517259794 +681511656 +1755793317 +373006536 +965114377 +1244869710 +340603886 +859569028 +732259406 +91993623 +357009882 +1194807758 +1553692447 +785156278 +570115120 +920284483 +1408790392 +1194148151 +947044064 +525317045 +650924882 +2052152030 +1889493892 +1927852072 +1785167540 +2072065592 +393894588 +105593256 +1370037546 +553463265 +481457959 +739813692 +1234974922 +89767628 +1112820228 +52605651 +1334637339 +1453424115 +912174679 +2066896745 +1545417738 +1269184561 +1114220855 +951626537 +2054340839 +1684335975 +1871911020 +1315647583 +731000479 +671471436 +1840964629 +1381925361 +576139818 +1582974873 +1162293786 +213823710 +1507556817 +1556188374 +319416967 +730110715 +2109651639 +800874926 +1469924408 +1197142913 +890642554 +435260988 +1249748565 +77796245 +1888685103 +14439596 +2144692991 +1286619193 +1283624158 +1111430198 +90762083 +1190481349 +648282526 +1962673103 +358645285 +1379283005 +486660892 +52126266 +613724718 +1062800710 +1635101139 +1776018504 +1276624421 +995174309 +1184723230 +1596041388 +1725285024 +1146891222 +249432666 +1047725784 +196550487 +1140075220 +1482986773 +1446299052 +1217871466 +1224188228 +1460738649 +1215080809 +363323774 +596879159 +179027359 +454085857 +1787360508 +827309885 +269275312 +2146005793 +59109242 +755936204 +50648411 +672833961 +1818736915 +1685749551 +301368817 +947877688 +533440212 +1486092048 +396435428 +111241588 +485499622 +645868094 +1158967373 +682050109 +1785943314 +494470498 +2128349162 +856331132 +1718658726 +1441604163 +2071411941 +2081982500 +2038483322 +102955653 +388584709 +1678360182 +930265538 +657860022 +1676882328 +989374781 +1413796226 +1727530739 +1662208742 +1085049493 +1265796642 +1963577559 +2032927181 +1799236854 +1302185959 +281878961 +1910478443 +1787685581 +927747055 +921962168 +322252043 +566206722 +1416432666 +303117557 +1422537854 +987607744 +1744721720 +1346466148 +922106597 +1635721394 +1449421801 +1310691306 +1166597928 +232203691 +1968551328 +695996608 +1221578472 +1234863907 +276043700 +736303566 +172429752 +1541840342 +552397478 +57873286 +1193593549 +1854583437 +339752247 +956588344 +1494785371 +1267499303 +1878550512 +1817037414 +1833706025 +1147499530 +2120154971 +1108760231 +2135107274 +1717393043 +307742731 +909730223 +1205630789 +1757164532 +72937882 +224745069 +1989368224 +2041489210 +920741678 +1063463048 +1128869469 +1196785378 +1799766615 +1301299222 +591142072 +204680445 +1359172508 +1784735621 +2059263882 +1698924755 +593840317 +1406565605 +818940410 +324907181 +1076119371 +505162787 +1472406711 +1048790694 +1613923019 +1460030338 +618700089 +1921665750 +222276913 +1824330878 +1531346635 +295214795 +2049075948 +1373231211 +189220358 +822333978 +289210611 +1318089827 +2019119356 +2088977226 +471905401 +462777780 +146174023 +1831077909 +100029754 +57954258 +1382519017 +693870071 +1464519863 +53975779 +1018777253 +393155587 +559138567 +343700316 +1441946281 +25577938 +1803730654 +2060646371 +1947243688 +2026007568 +1737493601 +1331106675 +173738715 +1639085901 +556854238 +362959073 +313936231 +846064850 +1681048901 +185571939 +787558428 +5470654 +648349720 +933732452 +1836548564 +748379474 +991686710 +1071583933 +1442249545 +308722925 +1125559712 +313543150 +701878512 +1684698279 +657243467 +2143824794 +1710276217 +313490473 +2056987517 +1510036258 +192014393 +1646997470 +693659285 +365753109 +1138599724 +1250513524 +728712182 +1452535955 +2096578374 +262277435 +1638107895 +736653154 +267748090 +138973967 +1670385606 +2104296654 +887353441 +514588668 +1028396939 +182119338 +823311594 +6473003 +495662489 +1525190106 +1691171283 +1152905956 +1521531252 +1253963852 +1466396429 +1431035121 +616516462 +1658410823 +930548944 +1310175748 +2024163932 +2069148668 +413205624 +605392466 +1374200975 +362300350 +867669902 +864825222 +1098953504 +1135417992 +1003799189 +621855463 +1092230998 +1891152630 +1136444131 +2120627937 +2073271969 +1959755725 +2127100940 +421450810 +1337462184 +1670788575 +1574356766 +711509788 +777268780 +893269547 +2142544910 +1393785242 +404196722 +925610206 +556477342 +280877006 +847275226 +969682966 +886269473 +73992553 +1331983316 +1753939375 +938817776 +283453173 +741873719 +1942616965 +905308636 +1834104717 +1686285948 +2041752767 +1807249006 +1612074269 +1854024845 +1786866298 +2033525079 +1044003381 +1310171226 +1460398197 +1755513169 +2087440006 +206184096 +1750574431 +1333741600 +610380819 +528700989 +1890218943 +891257825 +1375976215 +712418261 +1777527298 +1449968769 +2044401578 +1383983025 +241302897 +180371103 +2125856744 +36436214 +1085679739 +1812477813 +1722722162 +979948858 +1472243171 +1187312783 +686490055 +1111625822 +1073354214 +1730493436 +274313400 +386268763 +1338522958 +214269758 +592452860 +941613741 +1548011358 +1202833679 +1470314731 +1290746653 +2094091504 +698807298 +2003164915 +1724135155 +1292419 +1900082845 +960634532 +242595316 +2080453948 +939007629 +279031531 +1018650039 +604001794 +2001753693 +1998598897 +2076244966 +1041582829 +537605305 +1040387140 +2114937043 +120615093 +1314700540 +353722159 +1459138051 +1528970298 +946175019 +253268145 +929498008 +1525050 +1723582876 +72761014 +2095616554 +274906526 +2075925929 +1672268061 +276198946 +1828525126 +485418946 +518794262 +1761495426 +1424426575 +797825793 +632661817 +2028428369 +652095839 +483777066 +1957189687 +1693678668 +1021382371 +850093179 +1661132063 +1141997465 +17310071 +2014854222 +453651868 +1546280369 +813545593 +706920013 +328294730 +815070643 +283019241 +401055744 +763203550 +557925768 +329498025 +287987963 +834124714 +10539503 +773406909 +1352918976 +1772034929 +50349836 +3261122 +257213098 +2078778206 +655356961 +740990164 +1888484245 +201551981 +1762372536 +591093777 +1862684044 +756886353 +608403848 +1730054619 +1210538221 +7200570 +396116564 +1917458235 +335495300 +1211187208 +52993828 +736551044 +1974390758 +610919596 +1066049069 +114895073 +1445044310 +1076588572 +888301983 +650479639 +701139853 +938651819 +653740761 +958352951 +869946377 +1309097722 +1699343115 +610946975 +1510649703 +1314232003 +1202040752 +1225850099 +2071118356 +1810444600 +808421070 +1134172930 +1817645170 +1204537635 +904147517 +5656822 +268241195 +957141345 +742207866 +95148305 +1568060942 +1808256935 +210043378 +865621604 +737361859 +1098345361 +1516101243 +1438501712 +2036997181 +22358356 +249371015 +759459910 +1331456078 +1948714131 +1370406885 +694622133 +1115462486 +424963989 +1920472233 +1039097195 +87924942 +581409655 +25786477 +1905570112 +1785947290 +929933994 +1911226935 +2054188485 +1887075339 +505951153 +1853142 +1307652633 +166724441 +211896521 +25790590 +904086300 +1310241882 +1541891833 +195104365 +1199755415 +1564250190 +444475380 +1959215326 +748222620 +245705863 +1182138563 +1442844754 +1361168350 +1607102553 +1215833339 +252781897 +1695027495 +1797242994 +278568374 +1453113959 +1435706637 +1208502368 +1216857246 +1342411474 +948094059 +1722808400 +1344264617 +108263045 +1889532841 +1556161138 +134053635 +646135493 +718919372 +1675945468 +841239858 +1918674788 +1092712010 +1285715239 +1730406466 +1840934631 +1531421102 +765061381 +1136295737 +745105804 +224680286 +204645428 +997887701 +1919707781 +2001888422 +1276456075 +1225338093 +1290111411 +337474795 +294711691 +485039238 +1285568855 +2017520091 +1829303855 +1393831900 +1759569284 +1237981345 +1527885535 +258221130 +1956900717 +1056347355 +1099460988 +1728091857 +1575718 +237692579 +1311014675 +1842510349 +1769113682 +2076076057 +831322438 +366735838 +153272695 +1035967866 +1364623540 +2072980477 +890372640 +493595967 +1150834922 +33000404 +831070763 +1445546613 +518039642 +2116639618 +1315583057 +199859849 +1362987870 +927668693 +1437841194 +743389757 +1185889823 +1247258263 +1799737112 +137867164 +827866473 +1801312830 +375559743 +2138881148 +1496339531 +2144673425 +2067473557 +180178321 +363925616 +73262605 +1216146187 +1728549156 +2146243082 +2106518828 +74661475 +1149594356 +2139519232 +905732238 +447657321 +510075226 +874888208 +1763240378 +709935075 +90392430 +543425424 +292621 +833782187 +1729315247 +1247550884 +486035652 +1867182411 +2075417357 +139864834 +95258507 +2066814858 +1636204366 +92448284 +1986804767 +1816382687 +456373900 +2060067372 +885045227 +37439408 +2058826806 +844080407 +112100884 +1060937514 +836115991 +1017833122 +1508594836 +1346191217 +1892721331 +1124351566 +2056126292 +1983113761 +1667776990 +2056418913 +669412301 +1249608590 +1156486149 +1155447953 +969307353 +1084419859 +1295312787 +1064565860 +1003751069 +784033505 +1157014145 +843072188 +452932545 +1613388045 +755655913 +1337977772 +1650827454 +666999071 +34574531 +1762928338 +1727936586 +870690522 +633277812 +1089047774 +69398091 +378515495 +65915692 +2125524383 +214145609 +1733692683 +2034459648 +883557910 +835817625 +1043462149 +2039005863 +1805124978 +2127882008 +1186835002 +722207191 +984149429 +1970868508 +1879221336 +1827221618 +276317405 +1345125733 +435393883 +1614295177 +848469539 +1102392954 +1648869708 +463914229 +682845892 +372076582 +1097192042 +1771893666 +441474673 +1475707537 +1837809359 +419515408 +1689853146 +1424018394 +306491408 +425927408 +112352371 +1349953557 +317449623 +1917477349 +1330351918 +1504284626 +492200892 +167017699 +1327669486 +223938580 +1994239317 +1603986891 +1569064314 +282149552 +1070798420 +270050205 +1384542507 +572184480 +733964435 +2067388399 +944261062 +1831156477 +1691798418 +1385735735 +1159380366 +1382124129 +1805251143 +701749865 +658658875 +2111742551 +1127677273 +771011246 +1314212460 +1445126897 +541004947 +497080730 +801927875 +1033205840 +664098430 +2129597361 +1257144420 +510854099 +1586100604 +678725086 +793003652 +509415376 +948775292 +30062511 +1081599856 +1682739727 +2097450910 +2025860918 +1366412556 +1641765680 +1264113005 +378309274 +876406161 +921880500 +1080059139 +1535065036 +886139403 +60252765 +158592634 +52868215 +1505379662 +699597582 +549948946 +159823889 +1732803422 +1214047376 +141937602 +842464194 +1724901475 +1728038206 +1521189281 +370421479 +89969934 +322480925 +400483990 +1171569790 +2005220652 +350451253 +1049947060 +1224149560 +1992216933 +166576417 +1602458834 +721139447 +1088456917 +535034326 +108720835 +1974596320 +595287091 +267313470 +2027464535 +2100666753 +966911052 +429929833 +113006994 +552230826 +1643977209 +254944596 +1394695020 +1221395037 +1982982802 +768400653 +1591816516 +2072952736 +1090881578 +1992300507 +1097038878 +948618582 +195268112 +2146985938 +25284494 +40001397 +166078707 +1627743329 +761140844 +1254535624 +15294007 +869861680 +1081648296 +610581098 +1137175150 +961629183 +563764203 +2104086202 +1391559017 +676771197 +508833380 +888052578 +931715793 +1903528400 +2109447615 +767214947 +524445406 +1553780484 +692684035 +1615326984 +1398597343 +1789722913 +416461919 +1593865455 +1789225203 +441746413 +1633866852 +1955303910 +2069489742 +247524049 +1062355886 +2084783749 +1117385729 +2144004182 +547881199 +107077231 +958149717 +1111645402 +63679785 +202225086 +1788416599 +572513165 +1090277665 +572648744 +328557917 +1052241632 +1339863691 +853003323 +458538468 +2032547726 +320846660 +1857135811 +1674786991 +737308579 +1303517618 +1316528546 +1179054992 +789900823 +1124348808 +1101061087 +1037424872 +39221046 +1038361188 +7326953 +35741580 +1586242388 +114404184 +993891298 +550404142 +178083969 +1196116384 +191337094 +750597134 +138910401 +763985838 +1079155051 +1191152034 +2103849530 +1932158375 +1649690502 +1988913608 +105521387 +1359342666 +1516216952 +842829966 +515376636 +685261850 +2021884958 +1305277459 +1809610659 +975462397 +195218683 +1848831705 +2013823586 +202545636 +1884573286 +1452582326 +316949820 +730980936 +2002986468 +495033789 +1927097320 +46839914 +1245630923 +2066007722 +810825753 +177302327 +1109676108 +767191635 +2109460702 +611882962 +608621595 +67498441 +1971225628 +2124838547 +910328407 +339118617 +662616750 +784729717 +1644396076 +324743761 +1760192115 +1839614760 +26091818 +1626532053 +2042160396 +1910665104 +931630731 +211626569 +494162392 +787133551 +706660358 +273776065 +833973466 +1952291282 +192300139 +1644799219 +2129593609 +1301976247 +264507206 +2091570663 +1913859209 +873128801 +11585456 +1737601190 +850483701 +921913863 +2076719807 +1513100451 +1706643580 +1573632235 +1837844212 +1319352047 +1265763347 +1863936030 +798400452 +1160440096 +1627117487 +1730031183 +1372066665 +2121279879 +369681087 +2078727023 +247572296 +1203654553 +1883534657 +439872435 +700970124 +1865644618 +1741848682 +965477330 +1809731633 +1508224244 +1838606131 +1821317089 +1098341786 +541606184 +595747304 +1027577945 +2054706635 +154907237 +453726532 +1745067199 +1474259284 +1719489880 +1461519582 +125176089 +732446328 +941153421 +1855207272 +2104512993 +914949652 +77404711 +2035756368 +1162521949 +1281059264 +1771807378 +1602394384 +1982029388 +1489968348 +1196759419 +800023070 +1152216334 +557500015 +491145554 +826049775 +1655841801 +1032751738 +1421797080 +535936098 +939974726 +1576704317 +989662630 +537558277 +903479953 +561668862 +1999077859 +1028656042 +1294115190 +792747632 +736379667 +1251144535 +1707697285 +813784378 +1139417256 +722735586 +2094843643 +763740986 +177646322 +1929389383 +106225686 +1374405741 +581928806 +1258442020 +1931905756 +1073074360 +2084491796 +1440263909 +2105826098 +1358805228 +1976200007 +898317176 +788025897 +818378990 +1435875454 +1691505850 +1380047852 +1287469665 +572678245 +526679395 +2080217298 +1309057912 +1777823930 +1640430935 +2122842290 +769757538 +215682873 +2070202285 +1533498524 +393329195 +1852108021 +1639724211 +1767734937 +286553179 +750682583 +1552157045 +1359627539 +687690731 +844937307 +1317969989 +2046495959 +673653666 +68803518 +687038208 +1492032656 +1504678972 +231060411 +724596861 +644664989 +803738656 +1251276256 +577398639 +2112796568 +881616538 +70345926 +2088155210 +1651374077 +286028799 +2010873848 +1037388953 +679357995 +1715498221 +529629516 +299609284 +2002051400 +1280312100 +1851766329 +1214195291 +1968002831 +549219988 +384681632 +1867015143 +1222873655 +453485150 +406569703 +567422663 +1958164122 +637630114 +1292019524 +455345464 +1441368770 +395812132 +1032744103 +1406681690 +1277428671 +1103090030 +1347353253 +781319100 +1389118829 +1210743453 +1818708053 +2068476824 +778758026 +200853922 +220602460 +633325778 +1481166022 +2072368790 +1847521069 +1301685205 +474105130 +84719053 +1021216700 +1696978785 +538204204 +1427786404 +116917801 +348884678 +2065416518 +1408937325 +804230142 +1359301641 +1804749458 +1836974246 +618499683 +934694481 +792580628 +1965852936 +1716013581 +34215809 +1029112741 +1387237986 +2102692634 +1807870767 +1588091908 +175811446 +293712897 +921774282 +100696588 +2141233966 +75975840 +574801719 +78469372 +1097192540 +124296856 +616673576 +377495296 +241214657 +965558254 +295428167 +1650151983 +1769788397 +1654729808 +1307417793 +1459278995 +125745843 +94628626 +104375975 +2091598780 +1810642207 +138591784 +973227873 +1050396545 +93800770 +633614993 +491004806 +269612217 +927327890 +1412779088 +370308805 +921078209 +1488754928 +945110524 +999547581 +438463821 +1069407381 +1616221157 +815959117 +1310622038 +434295763 +1111387284 +813290373 +56600512 +618633444 +2120708166 +1515879507 +744379288 +67853144 +1620255482 +688494420 +1878495351 +1758847267 +1661722293 +781408249 +1852648037 +147853638 +1272413055 +2122260254 +1075181529 +537708495 +345085412 +1996259738 +2026463424 +1290195936 +848323671 +317443597 +212119669 +317061180 +1133402714 +1522741708 +751356943 +97306351 +188548433 +807957456 +715939795 +161772952 +176353315 +1460319083 +229626096 +1796608798 +1329855 +2108121448 +1407972417 +1663052149 +742046049 +1113136806 +1810905787 +2014459104 +1087913413 +738603668 +404683951 +1432998825 +587379758 +283663727 +575711113 +1435703429 +601107324 +787830783 +1752764609 +1734510039 +163088843 +356637905 +1831816390 +351637276 +1164595361 +400272537 +513410228 +1340948676 +1860591621 +743036325 +990073826 +1861921476 +703674125 +250562595 +1377489977 +1445720174 +1363699402 +1040912117 +1312695630 +304129167 +1779515785 +1717379581 +1737127992 +219411896 +2001043309 +165355457 +1655115325 +454666985 +953186240 +1260396287 +41693376 +1116275083 +1617034192 +1873509766 +1467912360 +634145905 +126298656 +1981322588 +1975094581 +1986890277 +576875265 +817684760 +1701328105 +1280549390 +1068247355 +931334435 +578785916 +284463109 +1972246552 +1891481546 +588592276 +1604278689 +1461377480 +178236620 +1823690585 +1314937141 +343592078 +1331322263 +1769604126 +1296778318 +444234902 +1811297503 +265569754 +2061269094 +1537323621 +1733482114 +547931351 +1663622277 +1567321054 +375542284 +1503028906 +2144196320 +1193227044 +1056873364 +1277262062 +113990752 +1988207799 +1856047979 +398453861 +1812970703 +1600045877 +987046138 +1269765744 +913939709 +1165282758 +945972682 +81393202 +1508874836 +129811297 +1850997329 +658169507 +574046199 +1514811184 +923739261 +487831645 +904651157 +509737727 +1035762996 +420789787 +2077058781 +1411305280 +1923818693 +2073771453 +457048677 +833208409 +1203549868 +571039429 +673932560 +912114199 +969493290 +339419615 +364676428 +1956539428 +1609185360 +1278616138 +974338539 +407674394 +1360009340 +335729727 +537485691 +1063523021 +993899234 +1111531890 +430850557 +1917638495 +1599363535 +1335501715 +279892574 +487642883 +1756291502 +209467708 +1898948163 +1532626547 +135755513 +208513192 +218351309 +1339305381 +779552621 +892283869 +103935932 +1749045912 +1231703485 +468612361 +1558101692 +693405197 +1747228499 +384956583 +1101079591 +959754191 +720686311 +1638565282 +2023277213 +1714585545 +602613524 +306644122 +1484740393 +54493411 +1642145837 +1764632967 +542136294 +1250953691 +1974100675 +293600809 +636096591 +2109856189 +502114002 +854447900 +1301677922 +1281666623 +1746731769 +1405613855 +883228887 +830951606 +1874226216 +293846932 +1524356803 +1473971067 +678803515 +477952746 +286241610 +1399489826 +2116518028 +162035175 +966591724 +571647904 +468679298 +303848469 +626141315 +2110825135 +2068481436 +1168277609 +1214295179 +1895098464 +1461878419 +1850391770 +1857471005 +1963992421 +557356022 +1011665279 +1098175396 +156604143 +269795486 +1981404284 +987555750 +2144021702 +127767568 +364428905 +1470509121 +806571083 +842381652 +1756750732 +58577262 +811416032 +1918785907 +1025168986 +1383063937 +239981557 +1329017455 +2009205252 +203323045 +1250015243 +1029999214 +1417618224 +997630059 +344393985 +1120526346 +707617416 +160902758 +1677882368 +1719282696 +1259078154 +1834486511 +1989078182 +1092998790 +674558613 +1985616237 +1220766358 +1038987519 +1308641710 +2027337442 +1881369171 +917908794 +2085914704 +545301555 +689211054 +963600042 +1928365492 +929192611 +145133849 +1790087097 +1132515656 +1395149092 +672602663 +402650232 +245295504 +1016996648 +1523176578 +952912920 +1177899406 +1053575298 +524711968 +289493912 +740578162 +366306503 +1382492703 +1415136775 +204439092 +455775413 +306640646 +1513080802 +335629207 +40526169 +283505949 +274060263 +585827725 +972717003 +1237660305 +366709569 +1901909614 +1382794154 +9313018 +886941623 +630459599 +681915681 +1289591855 +875755103 +1698912329 +665284786 +1828668023 +729328087 +1718860084 +205896344 +1018822000 +311954598 +572202847 +253831055 +1727091374 +776641939 +709606468 +2033732020 +142239093 +1045235676 +2074258190 +425745042 +1319295939 +512602267 +1398462045 +409472597 +879311836 +1152888012 +1792266751 +888624855 +2039829635 +275242702 +1570540536 +1181937842 +1150997805 +1121969218 +1847222628 +832182181 +1851297305 +1418599065 +1038078525 +722635657 +1730553663 +1610281372 +976466712 +1310161389 +239439663 +1686073181 +1196409762 +381678756 +583825209 +1123184304 +807423799 +1903121148 +1635786571 +58402196 +165110097 +367614759 +1211290208 +1957376849 +1256239614 +1103636195 +85135903 +679296503 +138090390 +1236133709 +1801265721 +1985313018 +2068315890 +1505079378 +1256428435 +958910767 +80231388 +839498451 +421708491 +1056698100 +2176192 +661148154 +595287633 +1198585954 +1042826910 +1179112842 +174286610 +1850250709 +934750343 +1810073181 +1908652906 +1099860440 +30204293 +972459466 +909753641 +1286443907 +2076095662 +994889545 +1965740410 +66702404 +83539606 +1619522483 +2052015422 +4371848 +977118214 +1160960210 +963282615 +1057349602 +2000458661 +1384991106 +2114047702 +2002634853 +2046139260 +561851688 +1053737160 +941482522 +1740964530 +1228023770 +644249584 +528231225 +890613304 +405418842 +1628091666 +920817597 +1377878308 +390361659 +59777856 +1306490322 +1385251204 +2025518267 +1373192726 +1468790810 +1497557102 +1277724501 +1473162658 +327191668 +291201063 +288961625 +1384541270 +144176076 +1673952731 +1351105325 +2146810929 +1572608343 +1912957013 +1053064441 +366607218 +1506437895 +133604564 +1010856802 +2034669121 +1024217868 +1416275644 +1515277139 +1945035465 +646670304 +1905638798 +2004813321 +1953160627 +1143406355 +1882847940 +1178869705 +464713517 +1232921395 +309110558 +1937876176 +1560113063 +600311621 +79354153 +797170686 +744487697 +1753306885 +792363 +743814979 +1178431580 +1913749376 +1796879420 +1545038798 +1272703623 +1930483984 +408411952 +1159889096 +807218204 +1824687596 +527682587 +604770021 +323874253 +285837738 +462099695 +129551232 +1429244093 +197463987 +1308420937 +1893957610 +1430385382 +1617531496 +1684350138 +843014798 +70359469 +1763704292 +1640185484 +814847167 +1369527529 +1640977847 +1558662146 +400475461 +1407243575 +1208057918 +1945514260 +532463550 +991058255 +206442564 +1692352647 +1798276459 +2031130161 +72551586 +255562833 +207520766 +358389324 +717662528 +337071998 +1787633417 +915126515 +1645492935 +1534107380 +198028250 +1115540783 +1070973870 +1041043048 +1185900253 +687194514 +533744884 +2000747420 +2056722043 +27239083 +1411925918 +309713857 +1434482658 +472500188 +107744469 +1966946208 +1463558443 +314187033 +1511815207 +1114351255 +197833546 +1584366794 +1369914088 +405354312 +1942756118 +2087576616 +742426310 +1582905888 +855219483 +240435598 +969529620 +1053247733 +1355976381 +2040503490 +2094290781 +394392986 +580214357 +480552017 +247656758 +489452752 +507791100 +1659582676 +799166609 +1942273758 +2132082865 +906911078 +1761736319 +1448157660 +1221098112 +1126067878 +415025267 +1418931658 +562951024 +1784939355 +1824285971 +358223495 +1725032323 +419228633 +1941129383 +432768159 +659664231 +763175355 +1486015892 +2015640613 +656195197 +1432823026 +262549951 +1236409554 +1913375043 +510206710 +1725862307 +273682496 +22305738 +377545268 +68472606 +6904955 +1284456347 +1830208925 +1455062616 +358070811 +808793156 +1870087883 +1777002469 +1371744180 +1507543591 +1453804792 +1729967675 +1085092266 +1873033426 +1523613410 +1517860425 +385214009 +139305117 +856392670 +253370974 +795500315 +141732048 +515920926 +2031909869 +2055107091 +1026127636 +1610288528 +181305939 +1048433374 +1987833797 +249778546 +1055338330 +1124806496 +2079987471 +362917298 +1482877307 +741296979 +85521533 +1112396128 +2113041160 +1593065124 +418717273 +1695525187 +530673743 +144267051 +1071654950 +2048534168 +529481060 +1210960067 +757443190 +782852035 +2006460382 +899175238 +1298772961 +1890886604 +806798682 +177416949 +1353691484 +988104621 +1225850323 +1194041633 +1237883167 +133705005 +171364481 +1170386991 +496622303 +1654241788 +1911683970 +582143837 +619154269 +1877241482 +27725313 +1037871542 +1425283022 +558399056 +1182138593 +349454324 +459449577 +1711619653 +1560414391 +1216892767 +346988040 +1419391126 +2116068006 +1645761001 +1162794082 +775383040 +1823177950 +369001918 +1763487661 +901544626 +1563043552 +853887181 +1035249631 +1734408033 +2024274172 +1531871935 +1241166174 +1788474494 +2114015772 +1860320443 +1518232329 +2141741085 +750708337 +796031703 +552656494 +1932846930 +1145486027 +1012106071 +1496982935 +558416770 +81515190 +1843970976 +1977807896 +50099548 +1342248329 +993118330 +825482588 +1017942632 +1362120249 +441486602 +1919487258 +777680153 +1295373783 +807253241 +364604538 +1172164307 +191641528 +1605770712 +813155153 +158173652 +1318607507 +183903834 +152431090 +2069315844 +979935537 +705087584 +1854679126 +2125421564 +1717193655 +1204178414 +536354687 +1798708845 +900665742 +366678935 +1848808394 +95430423 +1359797266 +526807334 +1113373055 +574433867 +968293936 +885376665 +1352114020 +116184071 +1692629907 +1716718558 +1288348378 +1884271435 +1175005623 +2101503532 +2042445088 +346129482 +137923718 +47392530 +267961679 +1117859256 +752480114 +2122640805 +1095797172 +322190121 +1179335571 +1632151859 +2120898966 +2080001313 +1998830795 +1822223712 +27948089 +1211144413 +201547399 +1141321144 +1785578280 +1169841335 +2026697810 +990208652 +1286025407 +1571844069 +559443562 +426890137 +1308631856 +1734449185 +380910021 +1203593296 +2080578668 +518833740 +1250985826 +201056699 +1636692996 +2003465940 +176213856 +585006520 +178172413 +1355549428 +69674732 +151587732 +1288067093 +2068505527 +1973811444 +1316015182 +1132166292 +27875195 +309852679 +770260924 +1197716531 +189066841 +1760469576 +336258290 +1760910910 +172429490 +763148427 +922059118 +1906878676 +1144058449 +2125652415 +1839973696 +1662892189 +1229154593 +2041030395 +1152101537 +1085136886 +69760603 +1737108057 +1263309299 +1425310031 +1806782789 +1414897031 +565893477 +1727804668 +1241224828 +1881908659 +712487312 +1269100023 +44277690 +1482748236 +319332906 +233344531 +1095734164 +655591196 +1994255441 +1268163655 +1418739624 +768830912 +1027558683 +415314425 +746999679 +720048731 +2078206614 +1976154272 +613595478 +1082824503 +913807510 +683356081 +672448912 +29633162 +2108666113 +331748054 +1444530193 +527075942 +2059552722 +538271373 +261500953 +624556387 +1807371397 +305778644 +2107304623 +2126704303 +539123175 +1055555140 +634811852 +385894969 +176235147 +2053551476 +1154725881 +1203793830 +321382253 +1901725560 +1923842561 +252105219 +1730396184 +389954391 +1334929722 +496720047 +1073310472 +2007378634 +526353209 +1034492937 +191643040 +1970883402 +1561568879 +103712115 +361671128 +1823069833 +728268502 +21558877 +2128848477 +688089477 +779532 +520488004 +1743644617 +635591384 +906382973 +1919879764 +541659212 +2061108854 +976189946 +863041465 +1815350766 +752548859 +1115146684 +1398263303 +1142503250 +302592758 +1894983350 +68330075 +162487745 +273852911 +1102823012 +354130785 +97252665 +516908244 +457842900 +458923793 +192494429 +1186111402 +480482670 +173859258 +1874200880 +481262203 +694347262 +1470361849 +1116853587 +1600730236 +1242757966 +1658512800 +1514355442 +71464264 +374070617 +1182222561 +824013124 +1489217302 +433002216 +1966516374 +1791810060 +180501918 +2034846449 +1954297805 +454354829 +990185814 +160944943 +551607494 +1507094058 +618787843 +1010531288 +1699588487 +1804899246 +1491013958 +1873447745 +1531616478 +1972276161 +420311359 +854494679 +941646101 +2021041595 +2097252645 +452675253 +1387913390 +21233262 +826745870 +422652303 +845246386 +168479524 +855654519 +664279112 +1960289585 +1036156437 +551641914 +1767103742 +1490511266 +1541827728 +1928048685 +2042118760 +901438138 +399352881 +905166400 +453542977 +56768479 +248696711 +179507074 +1588384957 +73489224 +599818433 +295395988 +1015135325 +473376381 +245164986 +1467810578 +1861289771 +266398248 +147072801 +136458426 +1111644634 +315552325 +992112945 +1775923746 +128358262 +2028269382 +180082012 +1895462005 +1371297000 +1721909740 +1676027042 +1265932112 +475864230 +2075379923 +23614865 +929407207 +2132148402 +272311576 +1108914281 +1573049711 +345800800 +1708732715 +1868445700 +1360936126 +34625448 +2113610686 +681263056 +1895915219 +232525286 +828335857 +2032373645 +1344169920 +1143888183 +877002942 +972610018 +1272246445 +757788676 +1152692031 +1020224802 +2129085676 +727118123 +548768197 +1247534140 +1202982354 +476664472 +1271149005 +2132389561 +461329227 +1543460581 +1093820195 +2034378938 +1889261382 +655069262 +1755340990 +1102713860 +689694710 +1721468028 +1783976916 +438126281 +1953993314 +464829126 +323016278 +1150679586 +1608717309 +1200019220 +2123289605 +733480106 +1957807896 +1128497988 +1753704909 +1939409924 +1855616111 +154989458 +1039460416 +911114817 +631653930 +163125774 +896020731 +1092983157 +1706586355 +1989840926 +979878448 +1448364089 +497426540 +587735790 +403594301 +1187121250 +161720171 +40087570 +1625247531 +2115713485 +504916696 +1948263809 +1118909424 +2113634005 +1000799381 +1094715381 +699630463 +811123629 +75729721 +305851724 +603049905 +1931345832 +460841182 +1642510321 +694977002 +1092495113 +1805636095 +1590997733 +37994622 +1364738803 +1433355011 +1017873070 +665619244 +1930781551 +1605608861 +1069213546 +970419153 +1767329032 +1109301116 +448183036 +1735558869 +1614217812 +248963197 +706984645 +1580368169 +1249762578 +1801700026 +132514984 +2060886207 +1877429747 +438366709 +516452464 +1661291932 +899207891 +11479137 +208785286 +1991703004 +1817115233 +1799783019 +2029697627 +1034370388 +1085654382 +900087049 +1699989632 +868952285 +358212262 +621719530 +1839371438 +2125541294 +1731020646 +140070826 +1713616516 +1197754810 +389034023 +273117513 +630639331 +1638796601 +2074817540 +763154316 +1552199160 +1804763639 +1201521025 +2068651624 +1318571923 +2100728916 +2080130761 +1527357209 +1944948273 +1749762346 +1179656580 +1827162252 +636649086 +117827314 +579765653 +189155071 +986779599 +937977916 +810874601 +678667389 +916035562 +394411600 +818738215 +482168430 +1592166410 +1207772238 +755285944 +75322094 +699085191 +682619836 +838476410 +103800703 +339899827 +2039997435 +24968679 +1658471751 +1993242703 +2105099441 +1038345312 +1790707328 +1707378139 +70518245 +1470385932 +196543578 +188345559 +2050151586 +385698649 +1175125159 +840645854 +1196573250 +1853792548 +1756681416 +1590984850 +525047116 +91366199 +1035667613 +1732819354 +846652143 +1110989707 +284420898 +1529271979 +1949466117 +388221601 +1869171806 +1841979904 +413190281 +1380159909 +1687738959 +370806074 +271021574 +1330962640 +2078184213 +341539819 +653864924 +127244143 +529885378 +556532862 +512942792 +1705010537 +1397178716 +1709516043 +1411319438 +1006376485 +1153017245 +1936366554 +1097742684 +41201210 +1521702260 +1944394827 +1152190917 +1806123158 +1326183158 +954173386 +46861112 +1047871316 +648669642 +460051393 +280547578 +188924954 +830857467 +551569152 +1519887594 +761558032 +893108971 +26268870 +888802176 +1422994349 +582801733 +1401744968 +980521239 +1979980449 +963777363 +244357029 +838873286 +2116794609 +33239935 +1936615970 +10512171 +1554942195 +1733527149 +1162703089 +1213581706 +912226659 +2116876475 +1260442818 +1960097976 +618062470 +1720494211 +93161906 +806987424 +403868030 +644731058 +179391370 +1165426062 +1537840029 +205660240 +2054228238 +813350730 +788461973 +1308489559 +1793871969 +620958775 +124783274 +2038228998 +1459832061 +94094235 +2071468933 +1248964384 +104606407 +1478927481 +835007885 +1267309496 +545025539 +1747234545 +1236702323 +1805468357 +1559848873 +1854764793 +1378478920 +1653010779 +514268569 +1782346950 +150258189 +693659939 +800289364 +1688098218 +899320180 +707033955 +353965300 +1687782153 +2015523514 +353622 +161257280 +2140306788 +2038582620 +1621089342 +86917376 +1962567906 +722570078 +191523783 +1294011739 +1557577963 +1458833279 +1839037278 +1157328860 +548051954 +1497021987 +569694085 +255333100 +728017259 +75221216 +769601669 +362880561 +225479405 +1463261609 +1163169925 +1913577623 +215098141 +1870203880 +120059276 +1902880294 +1738243746 +120412898 +2064137575 +1731066887 +11511870 +1537743269 +1817984263 +1974079776 +112829699 +2009508046 +1120607867 +1670407662 +1320857677 +812161497 +680252875 +1868909631 +161699836 +1249946960 +2124242731 +889717095 +1325168177 +746360753 +1252597656 +1550647582 +62138714 +268283934 +1316741558 +277236855 +2138487814 +1436800834 +32633501 +1729247913 +1557213732 +2096771076 +1312831152 +1568725602 +1487030697 +983331767 +1395321731 +1599860396 +845356165 +368445950 +1122784411 +18730194 +1180607448 +1803037286 +1887639825 +1342307284 +905500598 +1864398909 +84540732 +83185127 +463276014 +1337138388 +1633832710 +525414728 +1605422322 +803090620 +802651583 +1596426489 +92407806 +835285084 +1178190754 +1649621538 +784572513 +343538258 +1070863492 +124119562 +1326870025 +318701575 +1723979959 +24742542 +687147526 +699280722 +43472736 +1867754974 +354834360 +1931112561 +1062578610 +1260334958 +1648027822 +1147119342 +1343520086 +2111303836 +336774083 +829869148 +489234916 +1942196405 +1632959768 +1291886499 +1391139246 +1725367574 +2127171584 +421846352 +1227505464 +764260449 +765384610 +150885308 +888380011 +2092254635 +469586884 +464876322 +2116997177 +1156734410 +1164157044 +12986265 +877005736 +1518991404 +1944098827 +1939584346 +631842715 +1444643001 +939220041 +1975362801 +1408463190 +1275994124 +657748301 +1897698106 +1070706881 +143224421 +1042100958 +314362480 +1868591995 +1021788894 +736208832 +948613811 +1786049343 +1501593443 +1099499119 +526945706 +1446364430 +1569086003 +991822029 +1415877960 +578336765 +8495425 +1428864225 +1455342501 +1527486830 +1225479404 +1247443200 +11845897 +522638758 +39179593 +1987208698 +1931101948 +1315173717 +497473351 +1681316406 +238396950 +640697772 +575933716 +552759430 +361806119 +1597722610 +1288968263 +1310419930 +1236288305 +643078058 +262435401 +1763234012 +2089442488 +1831521405 +607572393 +1357836800 +262374522 +616067818 +639217378 +1717717024 +2143554648 +1864696782 +817676576 +7916897 +239851892 +856856169 +1995125595 +23470192 +24546238 +345115298 +1704786599 +262943188 +985813070 +133236667 +815702619 +1347619189 +1730959278 +2104670882 +510555471 +819763935 +600265292 +772990873 +435514299 +542224132 +457028630 +1043086692 +1900060933 +719403152 +1659154511 +391794663 +289636528 +1655225511 +109007797 +1107313104 +1663142409 +348859690 +1964169273 +1510784356 +372329882 +1988715511 +1855899655 +2077116481 +104175052 +694229077 +62869501 +919877671 +2041848267 +1793828779 +877064905 +404920090 +466109066 +1477330197 +1177910963 +901623366 +2019554329 +1634939593 +1944710058 +1772131614 +206859098 +1456380921 +16442629 +496495626 +964122785 +125450427 +1603808731 +479781546 +474310117 +1420494356 +1990565902 +846639999 +1261726220 +1698981909 +776272833 +1365901272 +245727339 +839142334 +138295295 +140091958 +485487465 +1015360200 +545012048 +951596531 +345206749 +1722923012 +1853219897 +217277430 +1210378957 +1650446308 +1989409045 +1417238055 +959343581 +2005851674 +1913733682 +1923466366 +2131302101 +1370058765 +255764264 +458128570 +643069473 +98846519 +1304768570 +1904795693 +1797828428 +2081041403 +1123213317 +2043555767 +772700089 +1261508612 +36164077 +1258187554 +129385164 +581176126 +62300437 +474591913 +156615490 +1915520335 +691869344 +1366994447 +1418482995 +533794741 +636748855 +230342928 +392162767 +402998889 +6325647 +375981221 +1773057654 +262089911 +834109791 +268643479 +360936430 +2138878361 +25955525 +11281211 +2072436116 +1149168842 +2054836978 +697652557 +263193807 +2091001056 +1955840111 +392578971 +524693534 +2018140549 +867170885 +681309024 +1786177236 +1559040229 +2048303471 +1057176583 +2092834970 +537568678 +1287519511 +337514089 +940567567 +1293845158 +713495310 +566141573 +1555935070 +1547605102 +834785053 +1916871500 +1538999815 +860740578 +1928152711 +1463952284 +2009909420 +1835506042 +14121193 +125619579 +1779023450 +1969961305 +518198551 +156233336 +1840618206 +1385369436 +837542360 +1479311794 +796926017 +738362183 +389004729 +742277339 +1275930862 +1676524240 +1079791428 +69014781 +822885751 +1793286739 +635156355 +231337173 +1193408193 +1469941408 +725025 +584924360 +183198338 +1928877737 +2048876644 +45624110 +1616900131 +2062997838 +171243690 +1248439933 +1885475495 +689442241 +1404673269 +1578610053 +2074811677 +94731981 +910438199 +724254046 +833094164 +1299442928 +1466531385 +2109025026 +828483520 +398839165 +30556160 +1651369271 +44642256 +665712515 +1882706444 +1238050449 +2135653923 +1883431470 +1822974810 +171368613 +1664825559 +1724367806 +216992723 +1134242042 +1639881996 +388236413 +235198327 +1377873843 +1077678654 +1639871596 +809000248 +1005006683 +1734603577 +1719438447 +1729260729 +420214093 +871397727 +1048308466 +381755472 +1699881248 +1447147632 +412311632 +1203766871 +1491789888 +1078024147 +938989668 +582356690 +1066194422 +674937490 +257847852 +1237563035 +192279401 +1982215658 +1454555758 +1326521443 +1474614007 +1842792172 +1561719770 +705004202 +772987178 +1054107718 +1514004451 +1777993862 +641227647 +1085959250 +1359770943 +1061441740 +1957356978 +260595762 +1443197212 +1509754578 +1707743394 +1855508844 +566037801 +1052049634 +786049343 +1505027469 +1634406324 +1852243765 +32481311 +1892254176 +942323152 +224760712 +1726986187 +249395263 +1551282155 +1054116546 +2092187435 +965518277 +1759120748 +717690965 +2019625995 +1125641551 +348201179 +513369994 +64117154 +1707972123 +1574811735 +2021474132 +1968567885 +870525299 +1383745062 +1528827631 +578550496 +1949782863 +433393617 +1364599839 +1307326685 +2067799942 +1069359957 +1339807996 +1812570470 +2011683109 +1564568709 +1392073009 +113594724 +968367216 +298705907 +58298511 +1933885494 +2057826656 +775989477 +1806027841 +1035984559 +1124190656 +171914188 +1100101713 +684679131 +1746725923 +974092197 +505763368 +469767574 +210353611 +2034590999 +1048318070 +12652827 +320500969 +265434262 +1319979512 +240817263 +1334794219 +512303860 +2053387733 +1198993680 +2076872569 +1297977095 +1312588405 +897756138 +1596683002 +1370886916 +684157984 +1507026010 +2146876393 +342702177 +395526922 +1123583402 +514616365 +1495628635 +1808262533 +113858640 +322237185 +166542254 +583626215 +532590796 +53649605 +1631944285 +545243623 +374150574 +1897378547 +1865223135 +614967837 +1084689118 +230043348 +520871923 +136199151 +159432269 +1818849018 +1448787556 +1057188407 +1268048372 +672190824 +1741346391 +627590735 +671583570 +2084048569 +1023117657 +1795166972 +451181286 +371262644 +1455945857 +565039927 +693499829 +1622488111 +1148666142 +1226090626 +1676137717 +633126779 +1771334249 +2050288291 +383021679 +1489073737 +517772481 +1467710797 +1719117085 +1038644404 +1603909948 +1878549354 +710009774 +905213856 +788254114 +1978058146 +1577404681 +382116857 +458165233 +101504603 +318681778 +1481282890 +1896671575 +769863065 +1852545535 +1205133784 +1334902992 +398561716 +680138248 +336085486 +1624652342 +208792317 +969212265 +1248502944 +111596960 +1352233944 +590093033 +629369441 +672461094 +161726470 +1668013845 +128887394 +2040275824 +230539971 +1034101251 +681046290 +61114470 +464022284 +1063163148 +519279703 +565526887 +1381844926 +2000562594 +314714814 +4224343 +1705624481 +1519848598 +1339127335 +2104186197 +52503198 +1675212821 +1581354892 +261295515 +496941439 +682374188 +372892476 +1849175383 +1272467221 +1002261917 +374152829 +1434193691 +522792115 +503040224 +1326985867 +753332086 +1537141475 +2008032158 +814446556 +2001163759 +923711658 +1333726260 +419206998 +158072936 +1186805206 +733921812 +162297280 +744946039 +106286762 +1501424615 +701648588 +158789961 +1029153789 +135519832 +420085476 +1526095228 +817894020 +792977952 +1227786963 +2090361241 +1795239870 +1601939793 +1377071284 +170548337 +2104980017 +556573504 +923880423 +1494637844 +417122014 +1738326980 +1348317955 +1340833672 +924569592 +1767524953 +1498906608 +2111374798 +353963117 +1661203888 +708837189 +460249879 +1015144856 +1410485777 +619039840 +2044298645 +1546005610 +1039125317 +1422910225 +216415982 +1832103269 +503213540 +159293576 +1479859491 +2105153333 +1536364860 +1650407828 +2062649702 +2092938364 +426804604 +1409803898 +362576730 +17647936 +610638205 +1703410402 +942217528 +230679510 +1054833363 +906108678 +584642627 +568553603 +1614945867 +1044892507 +1583698459 +877947996 +1663932347 +1480513456 +276469958 +555574016 +755940033 +492885941 +240193638 +1259153574 +652179517 +1720053129 +1216823259 +41060729 +1222977310 +1131989314 +2133999094 +1649781914 +394309564 +349092176 +1667429850 +1004947770 +2052502579 +462163730 +1235627280 +959852294 +1368272408 +1820269908 +1528405897 +835734627 +717678767 +964620709 +1713682623 +234127466 +297650517 +1990152582 +789701483 +1053590551 +335554875 +1029895121 +165260477 +987734392 +602464602 +1382083736 +1028795121 +1825441912 +366589402 +1015310567 +1327740178 +760898967 +1364402744 +847686380 +1765846737 +1269421675 +1309850110 +853990369 +81790321 +530638870 +526776629 +1610196218 +1366373497 +1244455396 +427333279 +932572473 +1478582863 +724983797 +775241407 +120800698 +1778574348 +1110796282 +1150695819 +1943834825 +2098530674 +1753160421 +1178434913 +979842147 +1431118686 +1545024316 +1995152715 +611375216 +158439635 +1212071811 +1459061597 +1924286372 +334009838 +621428059 +630793093 +415800159 +1152066930 +1157569723 +2025996377 +370956779 +254541471 +305846009 +1303529252 +1733124334 +1030829806 +2078770659 +1853925032 +661920506 +1042083293 +857137203 +458271683 +993130319 +462813977 +1636706596 +1972972467 +1893932663 +1034247264 +1820641534 +357824231 +1192686899 +885229697 +1816885828 +969489623 +1219239535 +290830240 +1600282717 +1635039694 +1442897170 +610368792 +1513552423 +1813853949 +864910263 +1819398432 +969899554 +450550950 +702744590 +901186565 +156992334 +1364665096 +1943269859 +1014129538 +1822936779 +788916530 +1476943515 +1312159728 +614405349 +1223392530 +198923344 +287563235 +1581216761 +1391610244 +1172792932 +1250618942 +213616219 +244548819 +1541449182 +1813898936 +1879588513 +836862704 +276784080 +1245657289 +503233005 +1141694344 +917572073 +1473132559 +1592245294 +1620316664 +226835477 +1749237628 +837498112 +22621688 +615883518 +512951244 +811538218 +2092827033 +1825110972 +1425943568 +1168735915 +2024034316 +1713506803 +602469029 +1268160912 +738816088 +1853087971 +1481777132 +983364907 +1247053505 +1148192420 +715469773 +2083916209 +1424976501 +1961127062 +439665566 +419187197 +731215487 +1912798126 +2011432491 +204048503 +2139633603 +1613186471 +1041546616 +14771643 +81586342 +1554497860 +826309861 +26929727 +1232125184 +104769781 +1195665643 +1108675852 +1818276585 +1798134672 +229353117 +409609025 +1503738995 +1711130249 +1392973932 +603308852 +711839021 +2108443705 +539741413 +2136815522 +1922087119 +979406979 +408519071 +505818959 +744721457 +272467914 +709867462 +736871412 +1885654386 +1751414078 +751643055 +1967240728 +1158428290 +1577952917 +1994170455 +243069826 +1682722698 +1042352450 +1351745679 +1353515635 +693003474 +1581098796 +1763124660 +49258821 +1144745397 +1008614945 +652567673 +1856584418 +969575002 +1192309086 +1845916293 +744178474 +24232418 +106951716 +1249997433 +768953875 +379419631 +1959864895 +1505825288 +117590369 +1563795326 +109984695 +2084831097 +574739968 +1687937612 +1931517904 +817809795 +1223176663 +826386707 +22071826 +429208650 +1519390181 +1603170622 +44849663 +1568649003 +600432371 +1053464608 +73733028 +309533141 +2023039610 +1266042115 +7965786 +619734436 +1290274533 +114917503 +1869731869 +2059228408 +494337134 +1682113117 +1417570048 +611927503 +1098424795 +1527554744 +549274952 +1673164763 +1068008708 +333309208 +343490910 +143701723 +1159695915 +365562736 +572910374 +531602449 +1968733358 +617760037 +2100251452 +421682081 +1671224645 +26500832 +731215223 +1546780607 +1292542947 +739181009 +19031396 +435333832 +854098512 +1888763265 +347078593 +1348435646 +1423392734 +1764648641 +1960363149 +374333881 +1144719737 +362154453 +2047498645 +65244798 +695463662 +243505907 +208946521 +1855159577 +609068644 +781856895 +239278378 +430318354 +1399616932 +192046182 +852000436 +923357929 +218547015 +1583215659 +322654889 +1511089962 +174913020 +341686285 +1946423795 +1029011533 +82965902 +146018740 +229963531 +1506358637 +1910667381 +42843033 +1880692518 +907903471 +404997486 +1780707515 +973148269 +1100461148 +2024213423 +1182094790 +808137078 +485798419 +1963951686 +1047415456 +916116773 +1216084970 +1239461639 +1768117209 +2139442900 +1458008654 +1203849220 +314614141 +821614968 +1378762241 +656300426 +620555115 +260290126 +739266328 +766573855 +490253657 +98141317 +529757589 +533096690 +1978833836 +1437661060 +938094177 +1612057703 +263325681 +2038555325 +1488787478 +1445420471 +699208755 +1974585897 +1261888509 +1746624212 +743219023 +330489832 +838602203 +363852584 +322449084 +149127209 +1567701805 +637063225 +970742177 +798980398 +1293363651 +1591297293 +1059270524 +2032629979 +210387500 +1549524181 +2130771297 +740145089 +2082620872 +1962121485 +30322501 +873231401 +1426695540 +293648182 +764303078 +767999371 +1739068654 +1463511834 +595101620 +853473515 +1062652398 +1338320643 +1183963347 +1901254601 +1702173228 +1506412431 +2050381810 +1122391385 +2143475656 +873640339 +1921371783 +1289355659 +317453984 +833158659 +1174501991 +527841485 +235199192 +1157789640 +1267986574 +170336416 +972427477 +1298309076 +1043567817 +251639369 +1591957258 +1807870896 +1019638740 +1183542264 +1123899082 +1614740361 +2037015780 +39067832 +805577356 +1073495479 +1940322433 +360266936 +432424263 +1843220595 +1482658321 +428416271 +569377286 +1256546456 +1717771931 +886831271 +2089705115 +744790274 +1414672756 +177420660 +1902579914 +535175682 +347757076 +727523743 +1833484758 +1391324894 +979163112 +1277958369 +1051712142 +1998801853 +314016985 +28127576 +1466058566 +203549117 +67195408 +124152274 +1277044597 +2007517841 +484419211 +1709468860 +1703254788 +1967077532 +2137885131 +125148426 +1076140341 +1708173414 +1011979697 +1018361808 +305480040 +279168805 +1195782468 +60576306 +814344488 +1543539545 +788100049 +500345598 +787380791 +1767263162 +1778303967 +1839092933 +1618581367 +2092320953 +1867220509 +937156285 +148386422 +1934415917 +1061308559 +1425431019 +1794450110 +1545727770 +987416231 +1350221250 +1365321655 +977817715 +1475369676 +293978348 +538507481 +339865726 +1312340156 +843987522 +619034531 +360638977 +904563828 +1433379019 +1904178522 +1692663878 +1933724618 +544075665 +1312443392 +1564544937 +235684950 +783541111 +1509382242 +2102905459 +1720697396 +1657768665 +1889837728 +634522307 +935716036 +1536804190 +32766430 +1923132268 +739541792 +1398088085 +753466335 +67427820 +1692066433 +1291973816 +407293546 +856922941 +2135961338 +1026328078 +1217561918 +893041519 +312223449 +974256792 +438221749 +98464419 +1518332457 +1750665141 +1663009357 +1754017407 +386722604 +1024907951 +1709439218 +2107420000 +535192968 +1451793298 +594458659 +1470909005 +841113840 +627225089 +1246557625 +1580655632 +2025313174 +2000023960 +1648083453 +1569895959 +1144514128 +2055376999 +279335253 +1132991819 +934221429 +1496897171 +2026033338 +1246444879 +323670316 +316771439 +1344909298 +1842002773 +2067436580 +860435007 +1448536533 +306675536 +1885342959 +1010492103 +266611888 +273052279 +314801754 +861070547 +1743961284 +1155915594 +1488295637 +843035261 +589087579 +1366125163 +695575573 +89687384 +788537475 +1840089702 +2145064383 +1067872728 +825597873 +931802165 +417286251 +704147563 +30763396 +740956567 +1020919002 +1375672694 +435475693 +940871934 +88624054 +1884012226 +1247547470 +1973967013 +747020681 +1514159358 +99535644 +1061822435 +227746257 +1843496929 +70254382 +1716041894 +539048542 +659341961 +934683410 +1234624116 +749029345 +1723220885 +927230170 +746610080 +643609965 +1752828043 +1678412245 +1060896216 +309491958 +1709175641 +1801852784 +1330410960 +937364688 +89844829 +123799246 +1025988742 +1973857055 +1371346716 +852472107 +573394088 +738022426 +952007751 +1635216524 +965768683 +648021032 +1705470906 +534326930 +1187069575 +217329219 +1469010340 +274210043 +966358564 +1044747577 +1201440213 +1712968644 +1688357542 +806784608 +1243897242 +601770110 +1116276566 +805589235 +256139246 +299203878 +1742953923 +345984075 +423003124 +621459017 +172357482 +1794349840 +1473931124 +745751571 +384888618 +278455228 +233484447 +1350657301 +926476260 +1938955353 +1884984231 +2113545835 +8800924 +1206510923 +240272230 +975159488 +103774852 +1441712443 +540644484 +1792132394 +101013403 +1784541726 +246418857 +1217289969 +442647314 +502558103 +1516493847 +38117589 +848542179 +1939496971 +659576607 +1020899661 +1586363163 +2133507731 +1766651232 +1971251781 +264479311 +2000135679 +1174425435 +1190955572 +1791607384 +911926018 +1157017759 +1800408308 +2118436942 +1397289990 +628084148 +74728146 +691518785 +1168728633 +1866860541 +792532189 +805786711 +2113279398 +2009822158 +1248434025 +468353853 +1378832358 +1286551615 +1316896032 +1170845681 +1946128222 +190312046 +609725197 +1932152305 +1956963278 +433493330 +49147969 +1809615310 +1607918765 +1240103541 +1453739046 +372361136 +249637652 +1106663707 +343314430 +1646927642 +1734747855 +418042576 +190962780 +755992840 +137419469 +983494969 +1561779552 +103215219 +845833479 +662729929 +571569073 +77182189 +1949281544 +1888465105 +1248027871 +1747926118 +2078777151 +1857753068 +1532594776 +1888256782 +143762750 +1581742745 +1550388444 +1751681516 +674362638 +856643842 +2124042652 +924000290 +1963307549 +319873434 +423444285 +1550571757 +737916010 +614407065 +159080949 +875335480 +1597902034 +1720860501 +978550699 +296251865 +236106783 +1550119772 +373434055 +37904679 +1291101230 +1621461926 +1785830798 +1222394733 +1331731346 +1170941926 +963167867 +1475494096 +605201023 +366072663 +1079691964 +1279563661 +1222716506 +1056250968 +56080303 +1038540407 +1376124402 +479524588 +441628516 +2114040413 +1093931653 +600709466 +841892245 +544350039 +174086319 +1820442944 +840601905 +410193102 +1223079069 +1214035960 +448097782 +366696651 +688014238 +86444932 +1589091384 +2019745584 +1257386858 +404775604 +1347756032 +1862587881 +770848267 +279964349 +994667894 +1993564773 +1336215317 +1050748197 +884621533 +564856072 +1530272786 +1326250049 +531412837 +476720791 +1926959515 +1373305082 +1021070831 +2101045835 +1046264378 +1861672736 +363755289 +121859799 +928225048 +811853071 +488556450 +1616239286 +898298003 +2077647835 +1488501222 +8201213 +334939791 +688773606 +1870789094 +1105788058 +968737955 +717973340 +951869184 +157469625 +1768721538 +1836490717 +722325697 +1151510676 +1015257118 +1253738534 +1628231467 +794732986 +479559968 +501818650 +748295173 +1525824346 +216007738 +1112050462 +1647684146 +1144232786 +1923903534 +2136240596 +612988424 +674717889 +2066404783 +2101489646 +682919103 +253860926 +642779605 +406224549 +1359648985 +1611517560 +1124197890 +164034521 +1768987185 +745435780 +2000525238 +343829234 +1896946456 +868298708 +1597567768 +1377694275 +1663031694 +2077127736 +1879512926 +263843219 +1455468435 +2095520664 +1375893682 +955668933 +1092269803 +1152313568 +944425881 +1705258227 +1827031457 +863347017 +1659264226 +362466912 +1117207943 +154560183 +768691462 +329373280 +1766077743 +1892889352 +493407801 +1387581281 +490841484 +346449391 +1731410515 +240304292 +1214748100 +1181494636 +1617998567 +730296146 +1111138724 +1350027845 +994139366 +419123511 +1298064862 +222549400 +1374792444 +242851017 +1374862968 +171734678 +1948109244 +1054410777 +1035081695 +1459889822 +1416877690 +4805990 +1614450005 +38085504 +334179271 +1233044101 +1930974856 +827587072 +473141734 +274332692 +1174036464 +57068601 +514636984 +241300916 +1238563237 +2132635551 +971597062 +202218314 +1335179749 +1965736428 +621341825 +485760963 +40802180 +1996134270 +728611980 +1415665148 +20385300 +529237576 +322592278 +1055466995 +1989127399 +1739469968 +1060272985 +1456093756 +1777555472 +1394452256 +541654209 +1561046680 +74555681 +1014795943 +1835379372 +1248592145 +1071864545 +202532708 +1489893061 +162944134 +187684611 +314006475 +365162448 +1522864360 +132259256 +986504274 +2008625323 +173061436 +835154896 +589753655 +1588726585 +855540196 +1118991232 +1911318863 +1911007191 +960634983 +1503305183 +823796528 +269245091 +1133377007 +70765137 +810899301 +546940039 +145320818 +1825695244 +234835763 +1393912963 +750076141 +437368471 +736322376 +913020276 +625053082 +1050328851 +1278182724 +433795 +1182588107 +117203350 +2009059118 +1355649544 +952358246 +451329126 +796892481 +1807898442 +1570320358 +560727696 +1571421985 +383471693 +2064032879 +247734866 +652716784 +1049926238 +318500003 +1463616085 +1596866277 +463820821 +1141827682 +1831702040 +1857733784 +1891903823 +121586863 +446572512 +657440451 +746639945 +1496901363 +1935623176 +747073740 +532005823 +2052826526 +608649211 +1887655367 +857701125 +1059978337 +537064200 +518115919 +482815047 +1097791896 +2089537905 +866286740 +1014341127 +189789123 +1519003524 +2064267365 +508289126 +835135962 +1513649994 +972109947 +1976963644 +1197868386 +682360083 +1721383819 +1319455249 +1128932595 +231340623 +2066095194 +478350310 +19480151 +665685287 +1010356133 +2072306677 +1274334498 +750527852 +782524154 +186829187 +1287592052 +1300640074 +669644234 +237900300 +1242694331 +1535930974 +1252241427 +1432483454 +907450850 +1169025144 +1940772580 +1742586812 +535191490 +765398879 +1572066808 +1733059876 +1447758962 +1145966980 +905031477 +429207909 +1377307603 +823643024 +907558219 +1396787754 +1489328311 +1917914353 +1321610783 +616179161 +520958557 +2104134938 +803008348 +1808550610 +1257291364 +1472652582 +2046450910 +352502047 +861099908 +1151208690 +1784985501 +1768550758 +172750186 +1578274433 +1363653923 +707941677 +196189664 +788237083 +293517905 +1643948626 +1934204063 +1198549383 +2073156535 +1164028018 +2022192407 +833231106 +413332124 +1364037070 +603661811 +1734942908 +1980216231 +1124620369 +1691594198 +635740931 +785687331 +801401914 +2108393513 +684654593 +1153903961 +822009773 +1835863283 +791405814 +443076883 +2008613470 +222196599 +1806730806 +569071499 +418386263 +447484242 +862589404 +2062334889 +234204657 +2061138787 +1988007776 +1398232676 +1935847546 +673755234 +1811564800 +1152400968 +1277417046 +1399024060 +985133551 +254553767 +943134610 +1620874482 +1040241098 +1744536524 +1581784347 +1724895691 +750956837 +256310472 +1413275327 +1542362651 +699387356 +1274405149 +1764559250 +358634514 +1843476648 +35461865 +806118756 +558582404 +2097796754 +1040323414 +472237544 +1938320882 +291072442 +260601442 +464592469 +2102637242 +1413002411 +1742009515 +1354177655 +250652314 +1996563282 +149828617 +1871526797 +889320732 +1894365142 +1305827496 +466732775 +497838331 +1562137969 +1880008102 +2040200983 +114041677 +1006929603 +1657276585 +472676191 +702922603 +1692738451 +1278794948 +1261505008 +1643051557 +171634714 +1733742552 +1433888792 +462707156 +1994343994 +1898481261 +417860750 +1259862757 +1493007128 +1772038405 +1510515072 +1342086762 +1921867023 +1234558221 +83923846 +1668748517 +392902069 +550656621 +19103200 +1955040038 +283181076 +2059304183 +2069081715 +1290110679 +1569097121 +394274259 +1993033283 +1114351924 +1673069207 +1107054643 +609919833 +1844703921 +693313547 +2043808625 +159927429 +540173893 +1794806238 +577788179 +1800036651 +1140329718 +202342937 +1163068075 +334932832 +2124209960 +250142648 +418856678 +1645474829 +643044717 +969513300 +1664578029 +450601108 +1252694376 +1576398565 +372199175 +395321407 +998012038 +766473434 +240871042 +2112363962 +292058993 +1347925685 +574800147 +2136762914 +2041239232 +471125125 +149206695 +433929478 +118447715 +726994875 +86482481 +1258777434 +929337812 +1249550556 +1593710266 +906064124 +1499693204 +2012566945 +404055305 +2142737921 +834596597 +2068633334 +445855381 +2087290973 +1497548251 +818054557 +335128732 +348076641 +1584527991 +575999775 +312956955 +1876586985 +1923925460 +887757103 +1865866251 +1817681045 +1358882228 +2015072947 +104126875 +1477329943 +594584174 +190609356 +588623729 +1523921986 +1440159912 +34850348 +282502462 +792369468 +2047417293 +686557767 +787623741 +734530242 +607707453 +1233479123 +674337567 +2105255705 +2051533680 +1009466299 +305848698 +1488578023 +1585466074 +618805654 +1217681360 +1361907887 +1506562757 +936063964 +1032105284 +717961337 +803653263 +1136232159 +47807632 +1398237437 +1326841515 +636431362 +774675775 +619517779 +671281710 +1057178237 +1411887247 +571215355 +1743736004 +52027340 +1305745597 +203959809 +1285506463 +1980083164 +161731866 +1189556495 +842065815 +467580565 +530650871 +280048242 +1086386219 +1748332231 +1641956129 +445465328 +536912547 +526577765 +1163426665 +1340565810 +1662809924 +1211234297 +591319599 +842167791 +1847665659 +1365995374 +1461685570 +371463721 +275689963 +726089169 +942679076 +2019425967 +778116509 +100941025 +75902129 +2063622973 +2081024189 +237633995 +1105695820 +775606357 +705214560 +1636346691 +1055654599 +1791600779 +1237195275 +550127080 +89582459 +1774107822 +1076704845 +1253009124 +967189985 +592031121 +316759774 +1558509584 +1434198912 +16941785 +777021311 +748400834 +388405507 +1052711274 +1474490003 +1331084583 +924653594 +105122864 +1432025609 +1000555723 +21262189 +1365566150 +1238189718 +1126958010 +2141172507 +1943404279 +615821053 +1049343458 +1587521410 +1853016328 +1599470538 +1677103870 +1479640503 +528691735 +782629346 +299346840 +1120722856 +1099389120 +1857856424 +407438120 +1116330906 +487394087 +1155838954 +1504736413 +1540105362 +482845309 +688337348 +317275308 +587968174 +2120362957 +1317831031 +609230363 +1338445460 +408537101 +1736188373 +1332134319 +204457732 +204525779 +233994130 +1791979143 +2057542107 +1833464668 +1321599365 +1389698962 +214672756 +2104228711 +1689045802 +1335395612 +1056134184 +1399418579 +1742833733 +24981442 +1886812666 +751189039 +1529717855 +1279434380 +1234034349 +70571555 +1596709688 +1822002523 +43450865 +767057071 +283749238 +1381896325 +1175594173 +2019937612 +566546996 +1380051905 +76979743 +800541126 +1024547400 +2134521850 +486522147 +198663117 +1376737165 +701194903 +155408181 +918299319 +2036590515 +1211542365 +170234250 +1631940600 +1236523807 +2057046917 +235645992 +618758014 +1188997649 +1469680341 +689329569 +638223690 +1144199216 +732780434 +1405280761 +1427948454 +2114676759 +433391286 +1300402418 +533740108 +1813443192 +1377382161 +1334281234 +690506944 +1364420364 +1820803381 +889170062 +593673881 +374514636 +1044578243 +1511973200 +263621504 +108636960 +1682207451 +1895562104 +1345160767 +1591770720 +2131208096 +1963918781 +633284721 +1453404789 +505764702 +1271508411 +450120357 +1238545137 +529305525 +1878068812 +1205738248 +962696811 +1030987582 +1739478356 +628656355 +260886096 +926275943 +1319163300 +1625306460 +599595676 +60849714 +71496693 +974110313 +1105427957 +1583469893 +1237731817 +1214064917 +1118193696 +985810273 +411742036 +562480768 +969534722 +228177169 +1195765490 +275455863 +733941871 +319790253 +725576221 +1972487008 +849095778 +456161385 +1030741609 +1811792590 +1487148967 +622736317 +292965297 +1748035063 +1549012260 +1612128597 +1225857875 +1124289 +1672978311 +1297354568 +975234602 +630922620 +733340814 +65482771 +1844987537 +1851534510 +1051293044 +109245925 +266531631 +2020827766 +337423094 +1462297121 +148799982 +1071364966 +1782087374 +874376203 +896368326 +483699505 +1330537588 +1927109935 +148008447 +670202907 +402362605 +440973744 +270754323 +1951374865 +2053102342 +1496612198 +1952499154 +1578597005 +646483119 +780250108 +62035978 +1379823933 +845732879 +1907023515 +1083874795 +1897025924 +2016269441 +1350406426 +1770370042 +206208887 +665219899 +1919170024 +1277573853 +299823626 +646062579 +26458532 +783523131 +1976600167 +1953568467 +931531578 +499319427 +208447424 +1372505322 +770073750 +12338642 +1278124016 +119202300 +1964837796 +709237374 +765685419 +597604257 +771273352 +2145509352 +1443337136 +530813219 +1081900500 +1192879412 +399599012 +284823278 +815765807 +605807900 +950043178 +587452183 +1883381753 +1249866804 +1233514763 +1909840285 +2033389935 +1062631282 +1715925105 +817437865 +1561950709 +1924372529 +42459539 +184540811 +1936711171 +1320583556 +303743112 +1754065320 +2029820930 +1069428531 +204185929 +653610634 +1067454236 +1647523065 +1184423853 +1871088 +692918830 +1584022866 +286694366 +1508684637 +42347118 +1236737544 +2096136820 +1925728871 +339120700 +1182167935 +1688085509 +225026987 +97315570 +1256526966 +1042464852 +1659266279 +1033415847 +1084924392 +1843807091 +822643371 +258024300 +66555 +429225043 +140361582 +1069495086 +633410972 +793972216 +2136949322 +133450389 +1978396069 +2138820410 +826369219 +1414935287 +278031129 +187570208 +1457282405 +1514768673 +136223381 +1235527629 +1853889374 +1318391316 +776129490 +2078916361 +1415706886 +2032656456 +973897566 +927489518 +918588655 +2058821958 +623812961 +1741232026 +169362610 +623879516 +22973421 +309724192 +1693374602 +656384393 +1103696408 +1682840277 +789834783 +934608829 +1674177039 +1616204002 +202060469 +1952208168 +1803774211 +1659342874 +1319493194 +1939997592 +747386855 +1025898920 +1110905260 +1523516345 +957331633 +379128499 +1408689153 +1931229199 +1306618017 +179794161 +1842567509 +1930430978 +1921026187 +2011930119 +406826846 +1943999609 +174170663 +2100201448 +452900354 +1277867071 +1635558077 +1242735137 +64992253 +1162251469 +711455492 +267052722 +966975989 +367746055 +1926395596 +138985535 +160259999 +526298804 +1164884455 +1271165259 +2049815149 +2122216089 +1650293758 +1311020655 +1905961640 +809428127 +1490814816 +1601045502 +592375457 +1264357355 +1465491973 +999202303 +1060873316 +1639662637 +951920104 +1513773671 +770046060 +439994533 +609025160 +835038313 +1602246002 +1320480652 +1102091035 +421738344 +1688226707 +881002984 +560723879 +1848486706 +1407301788 +1725608335 +972168318 +1309633289 +1700340776 +474978428 +473170296 +1458818768 +1284406556 +1963985112 +912380622 +1876782013 +1080858820 +230388948 +728500669 +2141732136 +1870051585 +1680420773 +1508022159 +492613997 +2120415306 +2117047320 +1327652311 +1575177661 +1290044324 +282259698 +1996916005 +830787384 +1163262682 +410156236 +531790442 +423080822 +2135764571 +1503958760 +1732714112 +1688621699 +1978937189 +58400760 +999956820 +1115860097 +2022385873 +1912337442 +845158462 +955761045 +2142726390 +1573659131 +950009533 +1865294327 +1106596256 +310548045 +210424677 +1079527915 +280111717 +1538076988 +507221928 +1570156041 +1820336686 +356654285 +253459777 +836115721 +766810521 +785250220 +1259196543 +755091445 +141725332 +844427007 +296229496 +2120662521 +902827768 +1296186316 +1089038970 +777729993 +1061040111 +1934197433 +1733491038 +1056282853 +1360372916 +536016923 +774093533 +319485525 +846564968 +984518210 +1399013440 +1126676685 +375111550 +1906235368 +549349079 +47964588 +115406005 +802808856 +884080309 +882216526 +1588059076 +2143276853 +1637307971 +1729784409 +840220212 +1933537468 +1702963282 +1743047980 +1082240136 +644518605 +373294325 +2143280247 +431232390 +2106785363 +1052079453 +1791605306 +495318639 +1826172986 +2111090831 +1341883607 +663207548 +1362620623 +321076645 +1038319098 +1121372343 +870425724 +1086283686 +1236778348 +1673234580 +1970363996 +2118994875 +1113810009 +1966157201 +1608819198 +696110770 +658893765 +1394873018 +251590404 +254458098 +329629507 +896109009 +627752423 +325426106 +1327341399 +587054139 +1377505559 +971463058 +1082372778 +1056194897 +935070241 +276772737 +1719402445 +150207217 +597849382 +610237895 +1271579560 +1468275106 +1696521582 +360874261 +994026039 +1519401930 +332385488 +2107836048 +1338075483 +1941204686 +656463170 +1996969248 +1188594057 +908053574 +103943698 +1518223564 +1804162584 +731696122 +1843649670 +984020335 +1318750261 +1073671582 +1955483393 +253639391 +2129866479 +743069987 +530412128 +1701785277 +893277204 +1128261511 +164539524 +17373116 +449052969 +1861061106 +378247377 +1443079008 +1232979388 +710632865 +1403431408 +423571223 +504353904 +2059894578 +273056824 +1692947961 +820464505 +377000522 +1063687877 +477143441 +1108696644 +759853899 +1461163776 +279963257 +1833525481 +1269163522 +533602648 +1815908313 +2012233509 +1064014777 +1370209942 +758027065 +44792640 +1534749466 +775400181 +493845609 +1248326925 +1153647559 +1936924618 +333822665 +1864280424 +1192872378 +757393889 +221150680 +1105283309 +1030450713 +1914098641 +1925747814 +1407451235 +830302870 +255407607 +368664232 +1590156770 +1716571383 +648627489 +1276198603 +838251257 +1182230138 +944623268 +703001118 +98761267 +167349562 +1461028183 +143553907 +1702099029 +88944717 +637399516 +802942306 +1242592276 +426840486 +1136764971 +959389052 +1619712865 +1894158860 +1180539733 +577512526 +777125925 +947154726 +355776692 +37093513 +1777457597 +611184299 +405757745 +1220130719 +180272034 +1054385234 +348845674 +1018523292 +89131724 +1293468943 +1721524410 +187892991 +1460818505 +1035068946 +331446898 +1015433886 +1124013663 +968846415 +1818376192 +219122291 +1395686901 +807657516 +1178511343 +867916118 +554332728 +211567428 +1445428644 +1331458654 +1158722155 +1801205336 +1368552167 +788696104 +264905987 +1774309912 +2008826823 +445178022 +681211498 +210188849 +1463701314 +770343223 +1503657792 +1037742076 +958236214 +816992650 +2072811022 +1289683113 +1832426536 +1049341037 +111045880 +1503319081 +1268463328 +1506732781 +163492949 +299491024 +227165252 +717825677 +511058452 +1672593896 +2049284331 +1669780607 +1326315585 +1270352850 +310993063 +1591221572 +897179114 +172336238 +2036399594 +1578390613 +382525088 +1352617260 +201250188 +1886182880 +242875689 +1159486402 +555691882 +168203063 +301685867 +240634771 +1217544101 +412731747 +1743953852 +338523781 +1919464529 +1907446801 +638014805 +2146629781 +477788830 +1149073258 +1671740029 +379589514 +671370217 +850571966 +1649942364 +982363281 +294309891 +399637831 +1154699519 +183225837 +1978028444 +1537224607 +1535843098 +31794984 +1275923840 +1778718787 +1191281386 +1831615722 +1946921850 +1492967254 +2072250493 +1016982303 +1905699001 +1668720697 +1355506085 +1677679882 +1428683850 +1993520890 +1676826015 +1906472681 +995110500 +1201082397 +138578547 +1666480718 +2051654363 +1788520911 +501360351 +198480606 +40675094 +1656059870 +381706444 +2018703538 +1045800830 +1917549542 +2050498522 +174241022 +1548784681 +1094296261 +2005856744 +1348222883 +439779867 +1930623590 +217721539 +197995220 +1451860639 +1573227624 +1875675103 +733060842 +1419264866 +1405017470 +492049875 +266891719 +458616219 +630628422 +1933372437 +362786935 +271665685 +287249140 +561267541 +312340780 +1943309010 +942973985 +183560670 +841626192 +713039879 +86575545 +1015867214 +114340912 +1180871806 +874240311 +1462563796 +1620651673 +657380253 +1680285335 +1818646893 +2109240892 +1106029311 +1546838348 +694818086 +377810529 +804372171 +1186867961 +644702248 +1262988390 +1817496383 +430591037 +1625775325 +2089162069 +717840177 +39559219 +254019201 +513665540 +982533204 +437579871 +1355291732 +1695573084 +524155416 +223675299 +1809913996 +1705027222 +1097915610 +1124994144 +1178195247 +1755295863 +657795831 +849358493 +1717053107 +1763825142 +248713193 +264387546 +2141635672 +1053085364 +1451255507 +638854272 +168590107 +1121268243 +1069445310 +1794365432 +1062946664 +1787285487 +1833924651 +1316965865 +153467379 +668974208 +1754545736 +1508759112 +217063644 +131217505 +1732434411 +2026977640 +1836244727 +682866373 +1004488137 +866956327 +290678588 +1662283968 +1716314820 +2007731695 +1278625463 +1965028013 +124635593 +1272777487 +870629730 +1575891101 +1911631759 +1039219837 +549675696 +833593421 +686101621 +1612622360 +473395261 +372542625 +782104577 +626862640 +1041516833 +389166665 +2135621752 +1258580477 +520384170 +1720572515 +1138074469 +209145250 +255955240 +2142562606 +1076101577 +546633828 +1657362927 +644932749 +406881876 +788504742 +462477114 +531517469 +2061282229 +1333106844 +2107408570 +1825430340 +224843033 +509600618 +511540114 +910944655 +2122222978 +984935375 +1283487280 +756843907 +1611798015 +177520465 +1146010573 +1599936120 +1436100942 +1666394743 +1173024987 +426691763 +1875539993 +1428980228 +421770722 +804157922 +1975614056 +2079133649 +1449090671 +235012284 +720154743 +1911567786 +766529754 +633953324 +1097190982 +726454676 +311900016 +1322034016 +1236055295 +823440130 +85495023 +1210794625 +1808375505 +1368982303 +1967638533 +1272689873 +1546502768 +966165458 +725142345 +835120062 +485076553 +1898167332 +1261811825 +213132899 +1179663912 +1683582547 +1017290821 +1007794321 +1615232548 +318897845 +1242806605 +187903643 +82981983 +2009336359 +821856967 +1180172965 +588307388 +1133756984 +354723333 +1824362683 +1957197114 +440218356 +887673660 +1618088972 +1809200659 +707828545 +743295197 +1208219779 +1673994003 +1468437542 +2043339841 +11586909 +1219121226 +1157668019 +224719808 +251301491 +693766918 +1242010629 +1259095812 +161515819 +1560908474 +354418769 +349419462 +1643890457 +216271481 +1171276430 +676579775 +804578869 +157549766 +1031303108 +481457904 +2114746880 +1471521465 +1369131564 +1585352204 +1133238476 +2076960110 +181163753 +193974608 +1603470465 +1649601295 +89830801 +1615057374 +721238874 +1247498820 +1839777182 +972540365 +1941265739 +934304164 +84152529 +2102781558 +347728990 +438571298 +304717372 +1991619448 +654842779 +1475993802 +520715575 +1459421648 +1633543568 +1552018683 +1940879552 +1600806801 +876056500 +1162527469 +1038675357 +2009294977 +1092003931 +1219839111 +55785937 +547990748 +721956758 +145616738 +15564475 +1443195632 +1393115559 +1855341657 +268252349 +1186897650 +642162173 +352404878 +1142195560 +989891164 +790976177 +1446912932 +834026964 +1445818956 +775423087 +1354742539 +757756957 +261483007 +759277574 +551152861 +1862289808 +1635334075 +1713680330 +753481518 +1497145404 +658200613 +1973320629 +1552931341 +1206191362 +547793739 +1698548079 +1221755837 +1990989372 +944179990 +929613846 +111758073 +2131077640 +1571776020 +464162952 +1125789552 +414183536 +1255139129 +425218837 +1248210500 +553474437 +1200641924 +455469391 +1311231394 +1462124931 +1214746965 +1862384256 +1176931092 +702597392 +1428580938 +1930412610 +52259148 +2086781552 +1756249591 +1605190489 +1145489266 +156559682 +1156254921 +219761455 +65406 +2100434911 +1149375301 +111823480 +2084028904 +573667673 +575986432 +1062334808 +987851209 +1831125561 +1487553645 +88578061 +237116350 +540711921 +544047452 +1548347745 +2002836853 +1758794418 +1263248353 +1032284297 +313908162 +544345643 +815213259 +366167311 +483643547 +423979202 +1971357800 +1629132813 +580538884 +980129073 +1848894268 +580604291 +933080337 +850785922 +692427771 +869625593 +1424453595 +1268414203 +1931960401 +264821157 +952056116 +1272030399 +353399218 +1189172466 +1812742320 +897446671 +590036563 +1668095525 +508757441 +1853284916 +552896174 +822665603 +250146912 +1368109433 +1188832914 +733790459 +1792088635 +1012707067 +215439625 +225143872 +1992836140 +2064333893 +805748163 +778432829 +767636167 +1498175934 +1648058422 +44606115 +619106489 +1432535176 +309427272 +1571162605 +557081927 +662826490 +612851423 +222340599 +1560273161 +1202887987 +1890436125 +2069030602 +908689255 +295848651 +744212558 +1158836167 +1663958085 +1933045472 +1892626627 +1308563072 +798268891 +2108066252 +1533706944 +643621384 +2024916497 +191971459 +1422054213 +645069017 +1690147393 +922628988 +689675132 +161770234 +207680516 +999102404 +1732932839 +764762443 +1661928894 +198300615 +987103042 +1074718408 +1401188602 +730055519 +996265362 +162394209 +1025904171 +1740477920 +1321230377 +542378608 +1526039745 +1066373356 +1850941680 +176824988 +1026955960 +1237164977 +820446372 +904388809 +1429136436 +95016938 +1549457826 +971800182 +1017645926 +91649310 +1133570416 +1225326442 +1090751714 +719019608 +1990088885 +605196961 +917320223 +829708279 +1679915369 +171025177 +1559763799 +528697083 +333419386 +438184322 +121691356 +1654649763 +980562930 +1647731101 +573539471 +684020962 +1824556089 +1600495431 +1921185939 +497518814 +357400593 +1202838728 +592535752 +1906858419 +27155262 +1610181678 +1998507730 +1160725678 +688024472 +941775796 +1879745286 +530629709 +1546972757 +649581861 +1360337988 +1079404478 +820607038 +772618139 +1608101562 +1154026425 +1210802461 +1729792918 +661192540 +43881743 +1230040371 +1234732012 +727902706 +907112812 +687743795 +501604997 +1404631626 +1045144388 +1704443725 +1997167378 +804519160 +1731598987 +1459865408 +655543242 +744841018 +406232 +1597319038 +477102656 +531035941 +996808148 +1126684518 +1891373930 +2076212626 +1947291556 +516508421 +1536830540 +953834333 +1727310883 +1119139810 +1615026874 +1771192626 +201696533 +702275238 +351611684 +1108809346 +1390019033 +853216682 +365957324 +287679774 +410176759 +215641055 +1092198934 +2141775747 +1675506463 +1747742176 +739133117 +1675912696 +1197577566 +1216235773 +59464989 +46902066 +195436643 +1950838919 +2123114693 +2142728200 +319863693 +1512461585 +949078885 +2047174576 +484117748 +416622111 +1670883554 +685814281 +1118897349 +2022495239 +1794623627 +361432735 +728228273 +13097304 +649112509 +1138405032 +228738359 +1741311443 +1132697131 +1904244822 +1341569971 +1871830248 +1432673870 +391663889 +940582374 +1492138860 +438565956 +1136019017 +1295494131 +414197001 +1131263569 +1615357824 +1926658586 +2080342455 +1515048752 +263292686 +349480918 +1038448659 +949106968 +1468378268 +913460250 +596246947 +1829811003 +1641688523 +609344251 +331439864 +632609907 +838082610 +2072751307 +1765307039 +594843785 +1266837630 +1489653639 +2027517655 +1658501519 +282752365 +1372172867 +2097067475 +1418771383 +520183351 +363780828 +402551304 +2135541175 +142955767 +335410111 +1503106280 +406248453 +684891030 +394071291 +1355355421 +5785650 +1307531541 +1951602369 +1835596653 +801736416 +413462972 +19552869 +1434346323 +1251545583 +2092304176 +1052169714 +1846389368 +1211658158 +394339706 +1726423375 +722676029 +677092071 +951112595 +672259857 +2095863454 +1471295946 +1036040685 +350931111 +1459353473 +1178996452 +686341222 +814976105 +1585244906 +1371232252 +1209047396 +793116679 +1377017902 +369095289 +597235400 +1065130907 +1170831705 +1010698373 +1084683776 +457694381 +114760308 +1029504304 +1509864095 +1961149676 +93678814 +1904203801 +1540089403 +816354844 +433812225 +343718350 +1488614701 +382192031 +1815014296 +377171738 +733123142 +1126884122 +1556168191 +1419464365 +1941860227 +993929449 +643212969 +1003423976 +1787046128 +2020230872 +1372519265 +236797881 +937878131 +395867323 +1247496254 +2022561908 +853561704 +1362256562 +904582564 +215942151 +1175922590 +998261379 +2120145953 +568528345 +1814616223 +406474530 +912246696 +1155747276 +788666561 +579777344 +1532919014 +1521789704 +1706661466 +941603557 +793770421 +1501038046 +1935533006 +1436983390 +356978374 +1575095487 +1309730614 +1729497639 +1811893368 +100125098 +2125364962 +911905974 +2122687006 +831443018 +126678888 +879785922 +1047385170 +1302601478 +1878047301 +1020047475 +1871129823 +1545179876 +1426522005 +635892871 +553443504 +67704918 +1215670216 +2086362519 +1589494622 +774848034 +880482428 +235781395 +128402432 +668531787 +1672764786 +485380806 +96143626 +835011752 +67394798 +1908036994 +935136850 +45276112 +672459320 +910340208 +876719131 +799138208 +1790126131 +1924104301 +2101739686 +1520689784 +796668128 +1825385861 +918386013 +75706485 +313795085 +1471829517 +143411403 +1529465301 +1410708388 +1732906026 +156829687 +143707169 +1968687421 +285232120 +812238956 +1493968559 +770612926 +908382582 +181496664 +838007724 +668935928 +1116633514 +883283837 +1341395248 +2026973723 +1760002968 +2140533456 +1669616206 +1536623621 +2094789494 +1042822342 +185808101 +1772691707 +1961208355 +261514586 +2086486792 +1285554225 +404925989 +1468468445 +548778965 +2137832015 +1625298133 +692486134 +1959035789 +1910530253 +1504725090 +1305520700 +533659531 +265624024 +1487017364 +1371667256 +934559952 +456167231 +107467445 +128471552 +335657306 +1867470413 +121521360 +2005273512 +1256610386 +68827206 +900612206 +1442418487 +1841518914 +714336914 +1703933073 +1780522058 +1999891139 +2108859062 +1101506856 +401186456 +2099207430 +579321341 +1093672591 +1910759571 +342367946 +450914033 +1068796623 +876027477 +716538058 +408330340 +100211085 +1651098010 +864497571 +207678530 +1779569563 +1200154877 +2075148943 +1901090923 +1057944741 +1184275681 +1969918130 +1958556947 +479210520 +1663953396 +525410213 +35659945 +1296991806 +377817704 +2144519008 +251015014 +779004161 +2096242790 +830336355 +1872676752 +1859518713 +1172704301 +176107137 +780831688 +2048731779 +892645195 +1189162028 +1459216 +396259558 +2053659599 +209137747 +28345473 +1106330828 +136803042 +1929436396 +16791921 +1321078724 +1751870878 +1975348869 +1800289244 +1268340626 +353275434 +1835949190 +417848785 +731093139 +1832984550 +668863799 +1510097300 +1781743692 +1499200155 +1235290404 +1493778757 +524420808 +1411397541 +127126797 +425668939 +156559089 +1316288826 +427128156 +552818647 +1222464777 +636265903 +581164120 +181311958 +773068945 +363116868 +198103879 +2094147669 +2114987747 +25969100 +1746953266 +1235844725 +379244535 +1435418808 +1653693510 +1110337674 +1120919710 +175073662 +472951326 +755179754 +1674273817 +1708241730 +101474863 +51210977 +972155623 +228601660 +476879917 +1128714712 +1544890486 +904008073 +1681533359 +619871616 +1540273976 +115213831 +801183574 +165859273 +478330700 +999287453 +112523295 +445834799 +1025256554 +1859476561 +1681679524 +1404501089 +1147411721 +1187889387 +367355115 +120847783 +1362963049 +840306441 +876027537 +889753218 +401064523 +977502400 +940964195 +1373220146 +1206104060 +1417844112 +354451211 +603510899 +174368537 +2035984570 +1223382515 +1714642513 +3714754 +2024566089 +1880501787 +482045454 +876369894 +1993025082 +927880253 +1901626448 +1705017995 +462076129 +1158643889 +704946068 +1649965516 +1525999004 +825793851 +865444917 +218821797 +1701821388 +1755198135 +619886320 +531840140 +548678683 +1993106467 +1737944200 +1966522795 +200074030 +193971451 +2140891333 +88574952 +1417353966 +1708050198 +92289706 +1294436407 +1441068337 +574335160 +23322654 +1286609771 +1502215413 +1924949102 +844144118 +1964291543 +936109344 +1549090186 +1466773411 +314624700 +227400389 +184734681 +533446498 +1929221777 +1939932816 +1153332818 +313578269 +341127851 +998955637 +2051522470 +160166999 +1199029667 +98010273 +153574684 +1287604620 +1515364240 +1861624882 +1379894326 +662316999 +1155209572 +1954229487 +685639653 +294335695 +1308961252 +463105108 +1138479814 +1125769147 +1399214452 +540086352 +445058911 +1713839152 +767486742 +629793592 +99802002 +549224871 +422242760 +1253134821 +862803141 +763370612 +104606810 +766841963 +923537611 +1303636478 +864852236 +1077112295 +443757450 +232732828 +791253529 +1823651776 +895049828 +1946463101 +1630397615 +1580689481 +93315149 +791875220 +2043794589 +1231794963 +1917644367 +1295525393 +1771881315 +215219630 +861880898 +391884409 +845013222 +961682900 +941109281 +1267255983 +67334073 +1803912422 +2030626595 +171940884 +423270737 +806680558 +1475577362 +1288122973 +1883792853 +1919334812 +1520855802 +527562734 +1595502940 +268421982 +326542188 +1078416908 +1849111463 +419857337 +1870292128 +1745422405 +1651652300 +1640452847 +893464150 +1276049967 +1855672478 +1755345048 +1667934377 +553202052 +569544301 +461560010 +1820458035 +636878374 +117988784 +1703600982 +808819258 +541259521 +362797892 +136912972 +1829382494 +99107097 +2056247784 +1202754648 +626669832 +1504267077 +1471176630 +953212020 +435200337 +1172804446 +1373069357 +158008817 +770743203 +877238009 +1798461664 +1664207353 +5804328 +1506650494 +1272068754 +1673738705 +2059852547 +1841613055 +2135298715 +1732826934 +331007781 +105803851 +1288944269 +1139827040 +647063372 +1651742161 +1276740012 +328962219 +1750849259 +1185504149 +1531716867 +230035443 +542287578 +855409850 +1183247463 +977487915 +2028214296 +408833172 +1135496732 +651473851 +1286071181 +786474748 +168197556 +1291875509 +145641595 +1440266310 +818130567 +58010494 +1134395717 +805945634 +1790837428 +1465403499 +911749486 +932298049 +457746891 +1558812858 +436556563 +1734486903 +1887775077 +39922174 +772507404 +1272008297 +269957617 +1314794982 +2127418147 +1453205080 +144799249 +2008148795 +1862038252 +1280295981 +512138998 +1000625785 +2066770730 +680336554 +145017646 +64928677 +2120602865 +963148213 +122939171 +1107514934 +1769093848 +1913776599 +425434785 +533359686 +698591001 +883181676 +2092172544 +1135147564 +470184932 +1832463974 +1175069738 +1242692336 +956988623 +1445027355 +410003671 +936923122 +750748787 +554802920 +797588269 +465303391 +1835098902 +1309727267 +1465929176 +1754385984 +1990063821 +1610946822 +1819314661 +1963183038 +426611388 +1942253832 +923214325 +48221588 +1708546783 +1348649110 +581581274 +259654136 +84347139 +526270170 +1394801700 +554532071 +211250496 +422387790 +1797224407 +1168239119 +1867415145 +59744430 +2105162241 +470680284 +614547351 +755266862 +935983675 +302162605 +2064994129 +254429203 +2056548589 +1907574303 +1865376026 +1728379602 +1723273693 +144503766 +1523149786 +499004370 +192725354 +1084212921 +1847653481 +774306628 +1343867058 +1932000620 +1300576798 +591185110 +339049043 +1511827295 +1013572901 +2136273450 +532582766 +733504398 +48534233 +490261360 +1204184683 +663081584 +1245528222 +2140168358 +965244189 +1163038704 +247113914 +874309130 +923129359 +2112489940 +455205084 +498919404 +109510058 +1978354870 +997923775 +302235412 +915084143 +698093608 +1076542040 +111467553 +482610580 +229635190 +702652664 +821659623 +1741462485 +1716225565 +810449425 +126561604 +302246315 +858983658 +616822964 +1506430998 +1522065242 +1862351186 +1499115709 +339825783 +877906242 +1746229623 +1214134913 +1801035601 +1711235915 +1669339997 +152471358 +1820745973 +1500211219 +1150395133 +2122981385 +267811715 +1848488741 +1052039777 +379279268 +183615673 +1281674967 +1081931932 +1005275296 +875653805 +650673849 +1815724721 +1002215409 +952920165 +527224732 +1619038373 +311867515 +2049289974 +1333905911 +1810983224 +241632110 +64328506 +1409729199 +1455767023 +1865364107 +973481466 +977623373 +2017835465 +646743791 +330350944 +1020746950 +622241528 +598162659 +721752043 +1674281305 +977441928 +905367716 +808472625 +2059373860 +1910643012 +1684126430 +562564062 +1578884086 +538858191 +1515484227 +2106108818 +10412916 +1827351742 +2007915144 +1344318827 +1490851319 +102063606 +1408647333 +753096870 +1557830630 +1126527793 +1726578337 +387970355 +996879610 +225838480 +718321299 +2017626561 +848080009 +1316483959 +591894956 +374877666 +146442239 +1497262673 +1183350291 +58332451 +1260422037 +719993073 +620896513 +691822475 +1258851264 +2136380740 +650447645 +1269264180 +1816248835 +510879142 +466099360 +1159616506 +612942748 +1874746693 +1912713376 +23289730 +853790838 +1491808065 +411260085 +1850670449 +1717646546 +1129581385 +1720813362 +418242907 +298581696 +165224670 +793120573 +445023935 +1662487343 +1976470865 +503356386 +775425733 +548980290 +1124252900 +1467248208 +1807831555 +1113149992 +2117695854 +929612087 +781915179 +481091348 +1395711447 +1941531685 +1094034096 +1122974493 +1706761414 +1117323827 +1976765331 +1051085831 +1528583912 +1679952132 +621248729 +510681649 +1253281846 +1039491636 +809263345 +1418506517 +1832612210 +1254287280 +933510212 +1661599427 +1757643667 +1708935945 +63096069 +734412919 +1028700506 +1870927624 +1847562911 +998912712 +653056064 +481994443 +1480004060 +2048767511 +276042480 +426554508 +1024258356 +1982803894 +1543878335 +853540040 +886406078 +924978600 +386008524 +1507654807 +1435660249 +1639290371 +399662796 +97439947 +910313240 +84791358 +1351727227 +1843823452 +1746390785 +961887246 +1405275750 +1809486854 +1696300165 +286492608 +1532930831 +1396379429 +1285405320 +38503247 +1878373872 +617925732 +2087270758 +6932704 +1044480240 +964045467 +1989736599 +440874928 +1817585507 +728659029 +1365853528 +56110383 +88830188 +654030129 +1695400754 +488492984 +751470076 +458230346 +573284342 +2103197304 +154570151 +172191479 +917600902 +1559845901 +1981678334 +466417420 +1846338509 +1367125517 +1862796849 +984260181 +1405628764 +1593687073 +1602185913 +1345415874 +1600619777 +499182505 +161977693 +1442872728 +940057433 +1979563200 +24048109 +158427313 +2035673584 +112878298 +812457443 +1583590690 +601371282 +1563927519 +2041821037 +1174655625 +1519641175 +48907540 +1346847104 +289758430 +1608753441 +1181041790 +756175850 +1307608302 +400683659 +471489051 +144384835 +1806312423 +2065176124 +1746570748 +1004244650 +1518312253 +98269605 +1166222343 +813701334 +1038327039 +998301896 +837749443 +1196754352 +886491832 +950627741 +2009211795 +322598874 +1551999024 +1425655667 +216936263 +579171001 +797813194 +265843803 +1926018105 +1087571624 +1874597244 +959576248 +1843747474 +1034721898 +1360259907 +167752877 +1179106733 +1019088683 +85445353 +778193833 +2023333333 +1603757607 +876463439 +1042072028 +269975293 +1914790478 +2040373924 +1107724736 +964061182 +779382108 +2058352478 +825789330 +1101980983 +1462867854 +103961349 +1318917246 +2042038855 +901774543 +1584761050 +1820573312 +1989346168 +1311874646 +632665912 +1685609994 +199112897 +1992925820 +1853362872 +1378219630 +864530855 +1938808225 +8929816 +740380540 +1395082184 +885393255 +1782452568 +1665057477 +652700085 +1675342845 +625298566 +1616761267 +307241305 +536167396 +295066949 +1409222288 +1999035250 +399028298 +580655887 +1893590457 +1300802842 +17933289 +1566680121 +1142665362 +1329807935 +51862386 +680791708 +1528920832 +2044788206 +386670932 +759656815 +761835413 +177995510 +768586631 +1502215953 +1573077694 +1653979886 +1137184873 +1090651524 +159196323 +665044070 +1715950090 +1775957590 +972285376 +104633838 +2071024540 +234024016 +2103669088 +322569190 +814679903 +1849775897 +1623372032 +832613192 +1268972370 +618553746 +14937480 +1320834756 +1299345455 +1543858312 +1218139314 +1686016387 +156031479 +1979974727 +1864011897 +924618110 +1334707032 +1289605944 +431114348 +324408258 +232773820 +590310671 +989452328 +1948723910 +218784614 +1961737704 +2053357748 +142325506 +48278073 +2009543188 +464894696 +862957976 +1711835437 +2088266729 +1695571169 +833324159 +559336827 +1710508649 +6675268 +1858682282 +1106883313 +1224814582 +1397215022 +1262914793 +1057305662 +1113743271 +40049255 +244529046 +255865567 +471163604 +568937304 +488639387 +1061474275 +1558389633 +289879649 +1280258889 +1372643689 +195753749 +1422584395 +1420921762 +57813289 +1887479092 +136396091 +1769648726 +1828262173 +1831967260 +455489238 +240115352 +1394992261 +462164506 +2098797635 +354391926 +1686979088 +1348529009 +1617306719 +596801102 +314788632 +1657355975 +841330149 +570654200 +2128519579 +1410267453 +1059293587 +1042510206 +821173438 +1349173237 +175285448 +46333480 +1544926986 +1597869843 +1467255242 +1602740276 +1337865287 +1603651333 +1224905354 +1018643812 +1288134945 +1680394592 +1258759165 +535643558 +2142559098 +1210073152 +890035485 +1682054539 +411118513 +359858556 +131371993 +725907145 +2017214531 +972702142 +1296561345 +1998250462 +235485948 +208371285 +893277021 +1056659386 +1557544522 +1068562469 +1102992866 +954987860 +518948664 +422764461 +410244488 +1856813952 +2026415794 +1635149843 +727974116 +1167067092 +1168060787 +1986733281 +1702710650 +1163136238 +1049322785 +445262487 +697707129 +1460441298 +805121044 +829079122 +38864796 +674851927 +1801781265 +1335426141 +525618742 +2037267213 +1543797426 +1418895763 +946442951 +953858300 +339974584 +2049435818 +1908846161 +858923248 +324716631 +171607001 +568253552 +203648777 +1806756844 +1296227669 +1370715869 +827333984 +1135477302 +925942872 +1990470222 +37316440 +1371205359 +540693703 +1497757738 +28842755 +1369772825 +1536622534 +703694683 +1024070442 +724565028 +1229313425 +913854007 +120878806 +500725540 +1860296959 +1074737107 +840700124 +1762249129 +836099620 +1699623372 +2086965760 +1007706621 +120393277 +143130889 +666979818 +1416620946 +1513846759 +1494313802 +404614600 +292305983 +1337300376 +441931040 +1663511342 +1877994079 +1939688779 +1692354098 +1100283256 +1328827665 +248565133 +2124353699 +2053392693 +1477878558 +890724058 +26787852 +1978604098 +603537369 +1101524959 +671820574 +218302850 +1937624579 +223960298 +157784962 +797847552 +344353575 +300915852 +1464827370 +1760974521 +1814762611 +811657524 +18105474 +2107068594 +1474252 +460036514 +1623096288 +1879468331 +252241645 +1167966738 +832267940 +1581069311 +1416531871 +809137991 +1486978356 +746926781 +1699862049 +1513766208 +578047231 +155915771 +467807519 +1249867805 +374218621 +257948450 +1473828104 +532003584 +1055796003 +1818181679 +832919436 +373139725 +1431672553 +500198399 +1184797250 +1449778027 +459783345 +1186271502 +1909814541 +2082879633 +918256186 +14572539 +1103362724 +1750524126 +1595641850 +372410947 +412178469 +935136558 +1119337729 +2112040518 +301419119 +1697384960 +120472641 +769226638 +799769118 +494691263 +1027175089 +126113574 +1026694847 +2082971092 +1944295253 +1859614283 +308627169 +1228484158 +212329034 +1493424419 +530778537 +672112379 +532212274 +293109431 +607508364 +1450468460 +307681970 +1710871088 +1053508938 +1903323820 +2083282036 +1465687407 +690976730 +1055136117 +1430244277 +992395849 +605037429 +1550716919 +1761622488 +1404806547 +2045408182 +641313929 +1530920121 +924619381 +576801373 +1327731727 +636750016 +885428542 +408732237 +849079050 +231369314 +939510775 +1521191429 +763581588 +1232620206 +2128699793 +66566400 +1540302176 +1692087234 +1120075338 +1296142348 +1627885622 +438279097 +1987119078 +535538091 +1868523374 +832031280 +1140575520 +1271756645 +446170120 +397898420 +1169681179 +1087484049 +1928818541 +2094300560 +1664285422 +1109066620 +583566928 +402230316 +1517798858 +1432645978 +633599630 +309825985 +806353759 +1397181218 +1542446191 +787569905 +1463747618 +935264719 +332173491 +436339308 +83923419 +1960059113 +874618405 +2071042497 +348113556 +595658132 +755590129 +1488689076 +1867414777 +1201760249 +1886587496 +889612309 +141760650 +1667922390 +836429221 +1806046072 +629505362 +1419996150 +60792741 +2147304220 +705158480 +694392371 +309646557 +1511512240 +2091573590 +1852092748 +151598497 +1407837560 +639873819 +483771988 +1844176869 +723797238 +296347453 +571311626 +647356088 +644461009 +1166969758 +1402946217 +2133150085 +886900888 +457222819 +1872253934 +1776513197 +598983469 +1392692676 +465458770 +257545894 +2022198038 +1885454920 +318338635 +2022018611 +443129753 +1012731006 +184181520 +1954641993 +956820948 +2036274269 +2106240490 +217174861 +528664440 +442528830 +2061351730 +1252461679 +738876283 +485179708 +1899817767 +1383337292 +1652149467 +1155280336 +1369003729 +391566707 +1612503155 +1093774015 +20596256 +64002977 +338983043 +486055026 +321548871 +213697434 +224026299 +639887506 +88232397 +667156052 +1652618512 +272413917 +474314397 +461955813 +161204538 +433071239 +679130674 +689868979 +875600069 +592998756 +1942330658 +1614476352 +1078178464 +1694664777 +850329996 +582844283 +702461465 +71850077 +974410990 +167480973 +1165624093 +995007246 +231483950 +1504607136 +1481062273 +553032821 +1718304570 +1705088572 +1192920327 +1806536967 +224760976 +698055191 +2078950885 +699075373 +1160011004 +92671775 +1132146612 +1839141678 +782540754 +2007746681 +284656786 +577387764 +1474739385 +1362835251 +124568893 +177585733 +1945679534 +827030359 +249435810 +772606877 +994511332 +1415059903 +1767614123 +1225995282 +772183392 +1101192748 +1779028103 +343004314 +658797672 +824464782 +2057634 +883558648 +1522519973 +2081008519 +1582634021 +535047330 +26196646 +567296985 +226705360 +808737401 +427560018 +511362147 +1386125165 +1902299403 +1874197398 +1510694059 +2079885136 +1672393284 +190240770 +181837299 +297516513 +1184752102 +1596897202 +2065130637 +263263736 +221596946 +1018839737 +2042291839 +564601261 +1677637410 +719272973 +566658895 +413712410 +94309298 +500183766 +1996346432 +629356628 +526380412 +416159769 +856061989 +1335117813 +843719788 +1367424136 +573759331 +598535543 +1094137886 +2084453390 +530937032 +619047522 +127210512 +712774331 +916564036 +1311962614 +162187885 +834211025 +1575226350 +383784832 +1853050762 +1470034541 +948386093 +1383204524 +41823866 +1515044988 +1796916935 +136133164 +2015228754 +1645779719 +765489793 +394125518 +2061939488 +1621551782 +1729243332 +758175628 +841492270 +155519015 +1356711172 +1935630156 +92488757 +1887648204 +407194030 +219699269 +452938887 +1323758066 +1531661883 +615126772 +10485443 +959404585 +998911604 +1863536206 +281955478 +1947297697 +1099257082 +323779344 +1314859037 +748690369 +459912508 +1182604143 +246986440 +1225402301 +1576729662 +161442281 +699470435 +1158489346 +919617909 +1540962705 +1314008361 +128845433 +1329109213 +1406497118 +2016493637 +1736303244 +1626196387 +321948876 +912577662 +1010374622 +937075649 +923063106 +1969779207 +1935987253 +639115664 +104251037 +1735801303 +1738372746 +428030381 +903176692 +339579468 +887942889 +2085780836 +586565908 +2113345191 +1515026850 +748008189 +665331978 +526032548 +1667626099 +58811036 +1840040909 +1796471532 +1387920249 +1099054379 +1665481522 +976739845 +577767118 +1987430398 +1889317508 +1588141740 +777022399 +664896966 +1410437299 +565526005 +1304012630 +1514688336 +153843660 +894901728 +1942718717 +1057020352 +1234481196 +683177958 +995317540 +1821047105 +649039501 +362860742 +421571646 +1314371480 +888893290 +2089197745 +1373182516 +581450551 +1738185630 +613619117 +1680504930 +1256183504 +1590358963 +110788400 +1096130254 +1332192823 +1698930140 +1873152654 +1997089789 +961883791 +291195011 +1153618771 +329088479 +445038671 +2048520499 +124323548 +1502059023 +1135518048 +807501507 +349892916 +809081505 +1456541008 +712753658 +1230653151 +623428840 +1601646949 +1172367249 +1996611356 +35613852 +763069231 +462746826 +1716118783 +2019252735 +2053105789 +1826907183 +967899341 +1237814964 +1378353676 +693568347 +1087421105 +192753819 +984763358 +93556228 +521842299 +1429802029 +2142076727 +646165847 +784377405 +1130111127 +1453667354 +1134270321 +1939192632 +762724715 +1847023979 +1022362136 +1386153555 +1301187280 +47245737 +1235281264 +1336801133 +810314968 +1698028090 +905436268 +682084055 +1603650231 +584859803 +1649983396 +693981547 +1963213479 +196068096 +1781402652 +8483651 +1180831454 +1874958880 +530325950 +463149836 +1869551959 +1176491797 +1247527241 +852179439 +482675504 +234313914 +643888423 +1245400219 +2081337893 +1666250559 +484070126 +1235041526 +1713496296 +1719351390 +424359011 +376327616 +1269895832 +1329795279 +1058411671 +726062415 +1914655082 +560911420 +1420043962 +1730384914 +756979516 +1053962966 +1738868565 +1937810970 +781438198 +121710867 +253477158 +503506510 +1298202664 +1501004399 +1355685949 +1780878168 +1735318313 +1999574372 +878794739 +1669172559 +1518341284 +1362864866 +756730437 +1084353932 +934732608 +1181089448 +1460681549 +57144793 +363401079 +371609572 +783207208 +130572513 +932520992 +55767523 +1860957427 +1689500508 +1109730489 +1452342344 +1479827831 +1891168688 +1574053211 +1733304989 +247191550 +724772228 +1086825741 +1602877499 +358166748 +674660406 +1454968223 +1236961488 +196349317 +825825859 +452342706 +953079754 +1910179792 +1387075314 +2134169202 +1223377693 +1444220107 +350086633 +1594987265 +79943668 +480659147 +380024610 +135711191 +194132926 +2069525118 +1245441680 +1646475271 +1401869301 +989126720 +1073044834 +987690643 +1236318270 +1797817062 +2074516384 +691712121 +8500163 +601693142 +2146680345 +1245461651 +798042460 +825022556 +1697804357 +1751122214 +587718700 +937396023 +1737807769 +1811096393 +234132483 +2087894402 +1258600011 +314076151 +421069901 +1638624621 +449787342 +615202828 +1560666091 +1695229022 +114194451 +815051745 +536872095 +1187239285 +1802742388 +1773190365 +837572700 +1729775124 +317418839 +846072863 +183984618 +316615536 +2091534514 +982027078 +1141638092 +1641855223 +585665645 +1729356793 +431767598 +175989766 +1392969538 +665900081 +116400520 +504085901 +979976232 +537470422 +2142710522 +1429763574 +1152673250 +1555892966 +977508949 +1266867701 +223461063 +1514381044 +306623338 +2026203451 +1140087761 +1144196038 +1608494927 +1457506600 +1990268901 +1792479545 +1774122136 +1934319767 +627022976 +768276581 +1428691342 +1212688621 +350149726 +1860458941 +1388678387 +1743119264 +378875374 +1505078907 +99721518 +1358851607 +2042549329 +94948392 +641131533 +1047738931 +1650841358 +1618640482 +167122984 +1874302421 +985537878 +473746323 +1753022224 +2125625640 +1617942361 +1214033503 +1435648592 +1460727615 +859029401 +1062287081 +1247563734 +1486052377 +1830563662 +528771429 +551257350 +33229740 +241746722 +1939935737 +1776349004 +620622096 +1297530996 +1876070522 +1979473703 +1192596678 +1971018915 +473121589 +92851961 +1474376625 +2091762071 +259974946 +1201195399 +929816302 +733721269 +806733975 +907958294 +204179982 +2020767479 +196123238 +1664907597 +732313232 +1258410319 +764987684 +70881961 +941490333 +1293759113 +622139311 +974720073 +1535505835 +414591400 +603585430 +8644283 +1712122396 +332172304 +1988117987 +757235426 +155707571 +313755928 +850087388 +1630084197 +258034351 +1110062334 +683795948 +1187850653 +1843783603 +1490529923 +2095808947 +2047963585 +1363813754 +144448538 +1565387535 +2096126986 +1402858857 +182891571 +19525299 +196865543 +1476650684 +641664610 +1171585616 +864672871 +1056256010 +1775171046 +873317154 +620894759 +2107343351 +713951493 +1378130185 +115567274 +1027707421 +80733925 +1745651471 +1285741773 +1190796259 +281963771 +326108778 +887096214 +1772493695 +274434078 +787576152 +988823801 +418882616 +205480039 +937467140 +1821741473 +388371610 +956992439 +2018607016 +1865022294 +1598657050 +1042708985 +582211517 +507429412 +670396383 +1455528671 +1128324171 +630256086 +21996517 +358970709 +745823361 +1049703938 +439704634 +343991184 +187962063 +1630500894 +625954956 +514070842 +370113460 +250965003 +788504920 +1157689612 +1239788804 +1207387536 +1363169651 +29772296 +881645361 +1751541261 +986764736 +752768730 +1469079907 +437938138 +1795477715 +2051291424 +945367550 +318390450 +1359336448 +2073691722 +948646537 +1381332965 +285178783 +1694469898 +283553255 +724883417 +2038461082 +471515319 +207900663 +516932390 +985586161 +578014124 +767897393 +1774091081 +1735703736 +2007686198 +833994969 +951389740 +2037458494 +1715640330 +555447353 +876739582 +320925412 +2024527261 +1314677720 +2116403127 +1928335037 +112561623 +287309930 +1140187837 +38769697 +1235956467 +374037154 +323948480 +782942717 +657590410 +1048831897 +673920151 +1129105729 +1256732561 +1190852542 +2114691890 +1834746685 +1958749935 +1741299323 +1422966773 +1818952485 +427810644 +226872865 +1708927332 +2143450974 +782320219 +438183266 +316892739 +659363832 +1752860987 +285812218 +440215221 +1865422610 +573122148 +1580403059 +1904192307 +1809078615 +1954440213 +80657139 +444537684 +464546975 +1129489036 +1118457836 +1593652704 +238737949 +161826730 +1560860946 +2073484634 +2120576665 +1154676621 +1348967760 +1792045503 +1582487265 +1575840625 +1353489187 +1578454592 +210677196 +1791672453 +1895347331 +870041028 +1397049792 +33675901 +1310256250 +1114988754 +606798050 +743175661 +871697413 +268393017 +550132226 +952354552 +712930702 +1014679202 +2081843589 +1831388538 +460848258 +173097890 +1993215268 +2021709205 +99098877 +1966308285 +1028902178 +1448066637 +1610870140 +463905796 +876423614 +816875679 +2042360388 +1087100811 +461064485 +1790224071 +1957141839 +1858114277 +1823899972 +1119914441 +825619384 +283214374 +1863090102 +1697316797 +551607392 +265738681 +502187702 +1264538094 +1280417883 +436547643 +948442984 +1741266141 +609645533 +794174604 +1615491698 +708744410 +612999241 +496910229 +9327399 +76385734 +960816025 +885751014 +893261413 +855692765 +1972851825 +1354325898 +498433188 +1782510016 +1064956528 +174849512 +754940810 +1890575912 +458063887 +470547264 +1440409061 +1009671279 +736285945 +1942596763 +126725725 +2016703828 +231660758 +1075168709 +1610486322 +841306292 +1869343313 +1078494372 +1550050702 +334858906 +1575404601 +1559378102 +411244640 +388736978 +297645468 +1304506054 +1244429743 +123013645 +511348304 +1742862931 +1905523661 +1576304832 +1917712444 +512980823 +1319397096 +228292683 +983528088 +612322510 +1237963962 +1719814033 +407435625 +1364689687 +1589034214 +639096384 +292374748 +1052036888 +1480402676 +14234413 +2130531260 +882969730 +349093319 +1558452214 +294864184 +760337960 +1947189192 +592509652 +2064844014 +1044135288 +715523297 +428708670 +639514571 +473563311 +2005013503 +409743367 +986544134 +1176926951 +638036050 +1970072222 +1789249461 +1876000012 +1542402608 +49201439 +1093206051 +983953174 +688297823 +1385580799 +2035990062 +21216851 +1399815212 +2019037674 +904186581 +1748908532 +1430006240 +1199050766 +361762844 +1229711785 +1791560418 +279123210 +126363425 +359600068 +707831880 +765877996 +833163379 +565361735 +1175621364 +1819707513 +1742288687 +1813657414 +1642296088 +1384054500 +1542173779 +1037215048 +1433255939 +487896182 +2021168222 +2121553762 +1873476982 +1909674636 +2142770613 +1125808546 +1781228662 +899473547 +727233430 +1063751255 +2098524313 +1088996274 +145979392 +1742601083 +1368119484 +272342817 +2102201151 +2075951365 +1038220813 +787880882 +493829452 +66358529 +460104748 +88634491 +1880015944 +2102400836 +1472688992 +1274706075 +992132236 +758461283 +1762602257 +865816810 +732531398 +1488595591 +628007798 +727818363 +466920490 +261752812 +1627291910 +1194153920 +1325504067 +1578332575 +135666547 +1471483459 +1173450011 +1503786031 +1743826276 +1128167514 +1432253748 +634563442 +1916048397 +1926083201 +700921971 +228669497 +2014717692 +433454267 +183586685 +1339923036 +1708160342 +1175718921 +2098384320 +1323278952 +2041535731 +683432070 +664390895 +522059881 +1411250433 +1131311385 +783812693 +891058696 +177981658 +2109316761 +321907623 +313648205 +1433316572 +1495357634 +1817434236 +1029659201 +476041501 +1102204337 +1664222643 +244606250 +880803890 +217660966 +473275747 +748037934 +651115234 +656862432 +2087960971 +211791928 +1832581353 +2038861643 +1535070880 +1726633436 +574810065 +51978128 +101209669 +1986060498 +1183289513 +885022362 +729635546 +1361271171 +846855475 +1051543170 +1674919376 +132688400 +399417156 +1344869965 +1162347601 +875458657 +299590654 +679086596 +1120064907 +1180394544 +896747562 +1593340654 +1928432478 +1547862796 +102719438 +1868909801 +1759654725 +1935300791 +1760287796 +1147241957 +1514450579 +187614213 +1199220085 +1615660248 +26191064 +235025951 +353198963 +755826610 +1596297122 +1200054438 +1807369780 +1123732851 +1332742838 +59303289 +321119168 +347606791 +934761946 +620709822 +1026693387 +2054826854 +1801104366 +1923440950 +1500683860 +1582053196 +1323820098 +1603403299 +1303479350 +935991175 +1391220442 +916283498 +2083233133 +758187374 +1103897712 +1134969570 +226363974 +1130088776 +1369995521 +579562937 +1885915386 +818808996 +1779617376 +1545801519 +1942541847 +964876566 +1605104808 +116177367 +1312483358 +392383106 +736887189 +191693097 +299726312 +390507907 +2115134047 +1800410173 +1972561103 +1291470498 +1256329824 +1128556805 +79978025 +500066618 +2044840304 +15727510 +1258253992 +1001254368 +1150697081 +1484617967 +2131343144 +373208954 +2064180904 +1869774882 +1192017950 +1696314632 +1268092753 +987076149 +513707551 +725713913 +1103253516 +1826190909 +1118097020 +1840140705 +2017884006 +1417823332 +83164964 +1985534406 +1070749857 +2055726068 +1129521256 +179596033 +1036799225 +1209499281 +679662652 +934155881 +1225226792 +1937916644 +1935410249 +228440225 +1275050963 +1919269745 +601649179 +1191748220 +1641560980 +1793667130 +740579204 +762170085 +633259631 +1254286755 +1487883999 +1736513148 +932994016 +458497371 +1429170205 +803394375 +1876320703 +1512335170 +641445133 +799586913 +1420577590 +1770966389 +979182946 +309893167 +832982022 +1658845598 +1244049049 +2058208814 +1449278595 +1031975650 +139165391 +576845910 +803761748 +740814571 +1768594130 +297839080 +386998053 +361689687 +1060009165 +1020257684 +1615976442 +400409516 +609287184 +401486811 +858906887 +2038457390 +1204881186 +587743943 +1403308912 +1846326319 +1387330856 +676402854 +1469809060 +219030154 +986296021 +155307434 +1877875753 +82861422 +66032601 +1179670700 +1114837073 +205197992 +1756516610 +1918598821 +946012563 +1377627093 +68954253 +1333010616 +1739316780 +1128963418 +205784653 +1207809574 +1529372935 +815071837 +1609296385 +240796174 +706045579 +666693923 +828540117 +2109354491 +365536594 +68387325 +638273697 +1835345654 +287417480 +1624569719 +1990653089 +17809585 +1707431141 +2056685690 +1197480285 +674784566 +114400034 +806513247 +445899739 +1060412598 +36656692 +514853992 +245939566 +1775973472 +1643817411 +451724219 +836299399 +1025706698 +1266796057 +298112136 +1266502872 +1972841636 +964806060 +2095042990 +1934712480 +1330342654 +15946667 +425502529 +1018204661 +303364147 +2050072248 +861374102 +321173732 +1610019742 +770576144 +1518654017 +137320660 +884976178 +177683617 +583220400 +1945388776 +214340309 +1098074392 +43844695 +1990313782 +594408155 +495568914 +679129533 +1620114853 +1762364971 +977241669 +739134078 +1587722960 +1942047729 +686693420 +1374951792 +1124906736 +702640087 +1800454321 +2143111397 +1006004235 +1703042922 +857001851 +1327177967 +1165579016 +1627577995 +698348337 +1302899676 +365070525 +876031954 +1886120076 +162975654 +1090372263 +836710821 +206820349 +933202397 +1431118976 +702389263 +1612331930 +903750182 +317270587 +442089952 +1642884260 +1904993547 +236654033 +182094032 +1132461691 +1361560769 +884734119 +785432364 +1357188518 +1890738354 +340991638 +66706721 +1070432674 +1506570654 +1694284716 +1768781011 +661986683 +2059355242 +497329317 +400623111 +74847248 +1587701580 +1237333932 +281667597 +373420330 +520969261 +984056860 +1985752260 +1424719443 +1301327447 +280358564 +920120055 +1058837346 +517012598 +1102214087 +43815389 +1878573367 +1986948206 +829247754 +1088278238 +1730202913 +1170239392 +1154984959 +653151939 +529326399 +701786028 +274449302 +1191313082 +613657622 +771778619 +1591936193 +688504870 +211996551 +681786478 +970172467 +585416881 +1202755739 +1954229327 +423685494 +479991534 +1108073127 +704044058 +1400111589 +19426825 +1221056656 +354842028 +63242215 +952146376 +194306586 +892489969 +2040424614 +1924509499 +2062729361 +1047925925 +430177790 +444572112 +1749711953 +704627092 +1635885194 +215885927 +1476405711 +1080337740 +904390797 +1688402263 +1762124218 +1874563264 +126335496 +817396309 +1681308944 +550020990 +1297387843 +641898423 +1254065049 +550015784 +661325248 +327638057 +904857812 +724567463 +1279784433 +1099164398 +1617057432 +1172725399 +876190250 +1532303146 +73167677 +1306368040 +1976875258 +1822879630 +2010995133 +1465276805 +2038765558 +1339917196 +398130897 +795672707 +880835811 +12771467 +522752324 +1007171308 +830167776 +56577620 +1557192298 +2127555619 +698476043 +663773699 +530087755 +1359801291 +991411757 +1434945567 +2084368755 +123712542 +386626317 +1553942539 +1296437942 +1262816567 +938762037 +1369605619 +421700960 +768153648 +1045001601 +285212445 +85946805 +936283511 +1625129641 +484077702 +1731956219 +358481805 +496849169 +107224895 +1365653113 +1327016945 +163802515 +775361763 +1307088916 +862278558 +1439135463 +1837176671 +74596201 +283063572 +1124638590 +11481308 +406776114 +1511264907 +1565423848 +1703214056 +626597827 +356702237 +925336027 +1048298787 +1124855885 +1970337629 +1333511232 +1210802690 +759137492 +811157225 +1694880392 +343610063 +1169639030 +44245913 +450834958 +387808495 +1371262858 +614637473 +1163170259 +530868126 +1476916031 +454822074 +220561149 +1551512233 +737885646 +1345199739 +1562993541 +1144661760 +708980999 +980933741 +700392169 +1335578826 +1337635979 +1625728196 +236393965 +315008216 +1448582177 +1569905197 +1525810907 +60236022 +233578774 +1073207651 +403846085 +1403217805 +1117453565 +854681044 +1791026300 +341232775 +1469318517 +806712911 +872100902 +798750901 +1261534985 +1092662051 +202779486 +1999420631 +290378143 +1765773027 +996598744 +999359142 +599223121 +1696990913 +187454320 +1936859100 +1175235461 +423848285 +104383668 +476333991 +1993753482 +1630194575 +536570013 +79848608 +555918579 +940416098 +1483066413 +1673372144 +1795097142 +1126609066 +2014604919 +1116932012 +1933321977 +739222173 +1915682913 +1047373315 +1831884225 +2118462399 +899310298 +2122262368 +1736751778 +1895909042 +974137862 +188491251 +1445416307 +1161592182 +2125350351 +473168121 +1585440467 +82250372 +949502112 +1431710301 +1712444947 +1486072125 +1511558909 +120879878 +279004575 +847141675 +1794252022 +2074101718 +1973750741 +1661373294 +1043550082 +1759589070 +253111819 +811749347 +659478737 +2084996044 +782728098 +1558789036 +2059774764 +371996228 +1307214430 +886428978 +560487480 +605147090 +2048021160 +538354183 +1078315211 +1485977979 +620604555 +2027817323 +770204632 +185565855 +1366405800 +134279894 +306445733 +1645410375 +981421569 +2100697756 +1572028445 +807688662 +1614587402 +468094879 +419794084 +1867699221 +1279844226 +1079272822 +1805211618 +2062572324 +490578210 +1717502734 +287084905 +1797792640 +456448065 +847572385 +255456082 +356985577 +1385926568 +1333771293 +1842963557 +2006531124 +1214104968 +465684541 +44613331 +433027120 +599964435 +351059064 +2078437496 +1581386004 +304273172 +1502982293 +241591018 +1918860574 +1971077173 +661385103 +1639076148 +1103437751 +1740657925 +1296804118 +1018526428 +83752487 +866823204 +1305611333 +1881545127 +1323271269 +5700070 +2137001210 +1680256847 +1391626638 +1323288855 +1375736756 +1250674114 +389910176 +1841421297 +1295287445 +822937296 +293902085 +1646346510 +753891144 +1875288089 +1950619682 +109389790 +2116879108 +1721996609 +2080466963 +630780563 +1213589109 +1036421066 +223954840 +362909579 +2054947494 +307707327 +1229732783 +1213075179 +41768806 +405520405 +1218775249 +31286368 +2085777252 +462918240 +1354575224 +1314030360 +1713592354 +1744485400 +1007968009 +861396152 +419939048 +1301870094 +360259014 +1173830193 +1029674536 +163395048 +1283219983 +999069996 +1885391657 +1216203298 +1629850559 +951497118 +105140716 +1853805399 +1314406697 +12604563 +14029078 +396655833 +1225679742 +55797884 +802176238 +296971344 +87084253 +740469842 +759889584 +1441659477 +2054500202 +325998290 +1038661229 +914984563 +1187394442 +1458600277 +69371010 +1547653456 +484946822 +1099045546 +1711048505 +1768166805 +2098115542 +1448956514 +836886455 +1580482453 +252969985 +942027172 +1286804204 +1567376682 +954631735 +1300833282 +1964032515 +32827829 +1356631166 +618725105 +329799173 +1443715419 +1359194947 +1089688757 +737891248 +1266211501 +1415687048 +1776552477 +33712417 +455597842 +1087669107 +103083427 +2003251299 +1572615929 +1202128973 +1566816156 +1193299087 +1152760867 +868289022 +2030185542 +585759672 +1121259007 +824729066 +1872563876 +541152042 +1779360801 +1025913510 +357700909 +1812188631 +235061028 +976426015 +2141987804 +1678776448 +188137314 +1084192914 +269184048 +1454348816 +352396314 +2045736526 +1488061233 +807994156 +985921985 +1591144660 +663761807 +411054266 +645789985 +83094315 +1604353353 +1798550852 +951383338 +1487055248 +236826876 +2072642345 +164300666 +2109390752 +466310739 +1943661468 +987820614 +824011649 +1608366451 +1222881642 +1800437664 +1602870607 +754174442 +1988574978 +539579873 +1023358491 +1295440146 +891976187 +921611369 +636017731 +1699970344 +1907533354 +79678743 +216248503 +171103972 +725468728 +299342819 +1775457326 +376535932 +1250726157 +1115028926 +613362808 +1175884854 +1279329592 +575269912 +1642195594 +1075507412 +1563090526 +318723595 +536390215 +638488521 +2119161259 +2139260823 +1392662963 +1960252589 +531357048 +268537806 +1108209088 +1423333236 +1190149175 +1744226819 +975819932 +950198881 +1823905563 +1192068435 +1121302854 +401890643 +1491411254 +749276532 +778426576 +594653763 +1864305458 +1391789384 +1770538618 +996151402 +1967059297 +1265250564 +2071658815 +1382666175 +1583974159 +460565382 +2021154696 +1555651770 +452342557 +1266334012 +1368420711 +983699606 +1534871818 +329146151 +259549194 +577537346 +2073372971 +1235369126 +1527736227 +1749794886 +279953913 +501555433 +4201881 +1771365168 +1250831965 +782628457 +218535283 +967653775 +26934194 +1989073901 +1963805178 +1993993491 +1106840817 +1887980345 +1229176018 +543331328 +201062079 +1102847067 +2098983098 +653404637 +221697431 +1319920162 +1637104243 +1756569249 +1649066313 +1896653437 +186622947 +1574955636 +984538915 +1714359175 +1177266874 +1264492828 +68430960 +1181468756 +888374348 +1319262926 +1964097213 +1106909632 +139433053 +1991031407 +948499885 +2103238231 +1837541250 +2055340703 +1843734928 +919233621 +451188383 +2044797008 +2022080688 +402687834 +550717997 +96294471 +1722607996 +40338592 +1852863720 +1224190661 +1936992029 +2039486668 +651662650 +774047296 +1606362195 +1828929524 +2038540124 +1674793155 +862914632 +779430825 +846572433 +679528198 +1886340457 +986005487 +523075957 +687356694 +941760070 +213133560 +595213749 +638011351 +1132367181 +1046402133 +535324711 +1006964221 +1449089967 +1086042708 +1103258692 +1024214315 +1126381300 +808638764 +100921328 +915889681 +700641784 +752583978 +1689936977 +159520331 +434029855 +1580993453 +1834313487 +1296944487 +212940630 +533402272 +1976472685 +2099281087 +1519407759 +352064995 +639154134 +313684182 +565198555 +1234367883 +951695533 +1697565736 +133286368 +1487020244 +557046309 +1582376335 +425579304 +1660305001 +459107002 +1551960604 +321460117 +560028331 +320366637 +1022101902 +1312612309 +2010303614 +1181622233 +1746642164 +1443813419 +868452072 +896103004 +1656754050 +1401854345 +725092041 +1608551489 +773778456 +1077157036 +100221975 +1087462638 +1642355591 +1334589859 +2039158171 +1192437679 +1467876227 +1378694767 +1749483988 +902768915 +1804274071 +1262305341 +1361875917 +1208751027 +1583765459 +1921904248 +1529117664 +458383713 +1087032910 +1391937630 +1640005946 +686191426 +688267402 +360974371 +1582294430 +197537804 +1762828716 +159902824 +1806089293 +389123524 +1237059860 +1906311269 +1476586163 +731931804 +1093417480 +1368260686 +1924369483 +413810059 +599471806 +1526369824 +1316578974 +256262229 +641191517 +530971244 +1465013257 +77473328 +305391844 +846647273 +535857041 +1392424754 +91101256 +28379340 +2078616181 +779368658 +389353711 +1513426963 +976906462 +4698779 +1673329787 +635512107 +393822303 +762906000 +394339728 +1870408466 +1494837804 +1487757208 +1091185505 +1271723639 +1901567268 +1690657311 +650609815 +1070662594 +1946919540 +1291801333 +1601633838 +1264449149 +1369274661 +1907025683 +2111096423 +1905131703 +1151966789 +54714031 +1933511043 +1083099322 +834082689 +175381106 +449042638 +1810989151 +180079885 +2122372425 +299017610 +573902188 +737794777 +693357339 +296827007 +85148933 +33630899 +1388012512 +1356872573 +1935198167 +931186175 +2007482388 +858377114 +730622067 +1151800073 +312527304 +1995071217 +373591087 +72069339 +1958683992 +131239142 +1224036129 +2013398023 +2064750185 +159651803 +699997064 +92647643 +608694441 +363502567 +272727528 +583583219 +662520177 +846629716 +1321377996 +1355877516 +1143456723 +1406526930 +1389508416 +383985587 +615915855 +1177222935 +1315171762 +475914595 +2035600049 +2045793830 +1627714669 +200643706 +1893381399 +2001305756 +272713045 +1704581743 +2132544898 +1496749174 +1570496118 +2049811435 +1656400978 +123009534 +2142459078 +117611771 +486512101 +267702958 +701194990 +1149032278 +1114332674 +2022572987 +357426147 +110305750 +1281616269 +1746934563 +494291337 +1897532124 +776673850 +1809463100 +225963071 +664790252 +1707773282 +1853677740 +865433958 +1453671033 +1707499848 +1138147003 +1010769128 +1692561098 +487412530 +433781598 +1594888885 +2143813508 +556791132 +1589864315 +113941631 +1043303233 +1857567273 +815136622 +44851863 +824416300 +690225961 +402278010 +934722050 +1971842230 +1728925 +1429013387 +1721890706 +778402776 +1090992839 +1947853777 +1443193028 +651282473 +1654047870 +161143338 +2104953506 +1214064070 +1299290341 +968238986 +759141521 +1786702871 +1402020584 +206546758 +1783032731 +1958811716 +1796411074 +1896974363 +854631301 +1506494699 +564627337 +899483165 +183427351 +1254853298 +1301761175 +1118149401 +1079211880 +1303490101 +399679141 +653618938 +2081892877 +1490671980 +453989067 +1377602257 +2141954454 +2108036937 +1538745595 +2099424312 +1174617360 +690552288 +920179651 +1933758881 +329771512 +174716587 +2140305639 +2112804243 +2133528304 +1789233065 +1862294958 +840675957 +1148244117 +279438647 +1740159122 +1331671468 +1534291945 +894436650 +302337222 +466020177 +50443103 +702016363 +1119639115 +2132335980 +45204695 +1573628183 +1362454589 +39675501 +1534181472 +753716536 +2139099814 +561315184 +1444268824 +911795817 +347590417 +1774040336 +1086512404 +340412409 +1739360932 +1072557060 +2129645474 +1454172242 +1913233018 +1130405943 +1733610890 +1505908492 +314593764 +1120419187 +252861494 +616930986 +1586439365 +303304597 +1318947349 +558594832 +288156929 +1364152044 +2132223015 +1650611518 +1403827546 +1518920840 +256844406 +1395443712 +2080236024 +1701113231 +159755881 +280342794 +1327669919 +1246268285 +620755203 +919547203 +171341698 +602917029 +226235798 +2084574716 +1733322973 +1959846688 +1442999560 +2047916737 +932782227 +1695861055 +517364075 +371737944 +1999165652 +1836311424 +930332777 +139838934 +1052979820 +915072144 +1790450452 +309323718 +286509336 +2047294859 +1704767430 +219261713 +1600924442 +1864523311 +499604507 +781110713 +963307949 +1120359710 +1700657917 +1134649647 +1723276739 +1926893715 +1071740715 +1309116064 +1739256755 +367256627 +1209549153 +524555334 +2063117682 +1726913228 +896293279 +1914799687 +1415741004 +1826626056 +2054638621 +321237177 +594214552 +1697605425 +630560895 +880723889 +1597416636 +187844678 +1099985602 +1050857430 +2052367989 +1599590109 +1831968144 +868192290 +572466171 +1385142413 +2002841937 +148259262 +1164552480 +927099004 +1457375327 +756325587 +1294355632 +519440832 +1280880921 +1209989666 +98870413 +29690552 +977305705 +1514611417 +1856316608 +884460678 +1835848594 +303047513 +434582456 +318925842 +1183771402 +2031999092 +506770520 +136273356 +935372875 +411654861 +1735863465 +619857371 +1279847152 +160845988 +2004999784 +1135205441 +309105250 +1022068616 +2062304446 +1766480577 +1778394203 +1209176430 +138437762 +911791476 +271682448 +237308175 +941482029 +1248988154 +1751919592 +650314989 +2133448832 +1440284539 +953362502 +420547640 +1759210381 +2137133904 +305063085 +118497253 +125923612 +1240435960 +530152114 +1861787077 +1860293331 +1809999266 +2022633065 +1717809467 +797721060 +184254668 +592394435 +712541858 +1950735245 +223304990 +1921718288 +2089173007 +1135096466 +45917088 +178997534 +2076578495 +1294905242 +1930917127 +579409837 +1280870427 +1223718018 +1532772339 +1701418067 +835444751 +1522422596 +2006481152 +953942004 +1648346208 +1099433464 +1484094118 +1362649638 +812243147 +1146609737 +1237799055 +382568966 +1944330797 +1422053723 +974963401 +509389007 +1225305321 +1198268391 +283623647 +1166994680 +185881210 +329540735 +1345992215 +114976057 +1624445978 +1129425694 +694385894 +757832757 +205660064 +79674586 +311767176 +1041104815 +1602097182 +170764681 +1995046819 +1102959742 +1270198145 +1331657289 +318125732 +2082441293 +330783378 +1555924788 +317526611 +127630527 +830494863 +1292490013 +637019534 +2055800184 +343274756 +920643181 +1075311217 +529155966 +1250183917 +273819784 +644132024 +727146247 +1403245478 +1338517918 +1484979004 +1608905542 +1418192504 +1796746180 +502526709 +872806038 +1967510861 +350089880 +1975765781 +1090225359 +1681747169 +146407865 +1025183004 +2012530548 +1702332653 +1342709615 +2140161075 +385343869 +487715980 +629696962 +293660405 +830990737 +1550340143 +1368971622 +1360146703 +653040412 +1642791406 +2004278727 +1380186659 +898553236 +1195312998 +717682015 +359975130 +466021854 +366944548 +862501839 +1338827893 +186971761 +1212591719 +1167110026 +1277197120 +746855241 +1313517891 +154896476 +611902141 +868366897 +1497606092 +604579568 +1253710766 +1985322072 +1234276530 +1547371171 +668829161 +637133026 +768859146 +2028975865 +1290173438 +264166904 +1885770944 +522876450 +1162720141 +933600294 +1240558465 +1522695271 +1399622149 +1607503013 +237713463 +590966394 +1794474775 +1450305182 +1758076420 +924188247 +49676775 +924110663 +1079084724 +661578916 +1792477560 +429207168 +1266158485 +898704678 +267045592 +352951367 +298592202 +935874754 +990084393 +1067451348 +817366971 +132774184 +1331618252 +555654267 +655650634 +346854745 +1489254562 +1896209099 +1869550017 +741393063 +1356228465 +2107263480 +1332359457 +1003219592 +1410085014 +942952229 +1927407839 +1459761790 +1867062892 +859008915 +2121340706 +1512056805 +1288216083 +1240015543 +263277835 +1555261676 +1592966911 +561870037 +343652782 +435567656 +1629321385 +1161019753 +568341840 +813455990 +1716674020 +1223992474 +1160310735 +1058444934 +972717926 +882377104 +1799837997 +181462743 +842156936 +984713806 +1184682335 +104758303 +1927666035 +964606526 +1564520093 +1647245280 +1823615442 +1538377151 +1011818437 +964347877 +630909047 +1275096272 +372125905 +76392310 +1836966310 +715778687 +511959966 +1318804047 +1876798440 +1080301807 +2132260037 +1445988813 +156810633 +1145087125 +356950099 +1129528559 +2027464229 +9304449 +1310991302 +722137518 +994018255 +348189989 +826895821 +774200643 +1312796516 +243932266 +273962275 +988928310 +1782309417 +1285780712 +1953276187 +265734816 +413393336 +177918445 +342127126 +102875998 +893697132 +854087093 +1421680046 +623011925 +1934388900 +1406456435 +2069000738 +2091199533 +404059912 +278467189 +1073244445 +284040494 +287771638 +236752099 +1006178012 +1281789894 +584942089 +1833073833 +2055990537 +1897738605 +2077006099 +182469164 +739183267 +1711831868 +1468249876 +544975806 +1977566685 +1881643212 +722894251 +172210163 +1984519211 +1616591384 +1026297256 +1258715609 +92119661 +813202508 +517688396 +13636751 +756918394 +921748309 +292103940 +1830162839 +1205788803 +579875579 +2066914938 +64483167 +1861665473 +504373379 +1897557000 +1770172362 +254628336 +1827079451 +1952641526 +993811603 +1391427671 +1273407754 +1538787410 +1221510708 +1007567318 +114198013 +1393720872 +844602881 +1730789397 +272534480 +2103318490 +1822909058 +1085736989 +473523239 +1836545809 +1842655383 +1395271548 +2128649750 +1525334574 +453576703 +561041681 +1444765864 +518059870 +275223506 +1949139244 +268133222 +2045395868 +56283932 +2095212673 +1850553746 +1050095536 +1339156696 +976477852 +441399298 +413183757 +1984045170 +555597311 +1806904629 +681164404 +138903061 +2079439109 +636999246 +1961812119 +1017692450 +1110522485 +1650874281 +712864185 +358310385 +1632040383 +90715111 +811887088 +45598416 +1535480976 +1329946958 +320821922 +1337136572 +1598080180 +218734142 +1393420504 +1545809205 +2069287888 +296032392 +737482254 +898282092 +737431690 +1150666011 +734843614 +1293029002 +810086992 +1416008018 +1431932063 +742042453 +2053007265 +1246260534 +1759734904 +1016046102 +749651167 +325115441 +1374356488 +234207902 +415830553 +38759928 +279806318 +1951311529 +1368706887 +600628240 +1140964453 +819303419 +819362382 +386901309 +217628977 +741166622 +682933702 +955111231 +1639448714 +1420365392 +2105777242 +226808681 +565910746 +768380586 +1642816699 +1997842809 +1510423039 +1548340316 +1096619696 +1122674295 +416902771 +1846270863 +1447789737 +1791259259 +2080478766 +1863620290 +1830019187 +212801436 +1667448171 +1051242426 +813429677 +660928976 +1870545846 +1632792059 +1047830285 +2088174823 +226475034 +1730763987 +895802406 +1865923748 +1003645732 +854096000 +2092732429 +1569556478 +1622476586 +1588065481 +1419915640 +985415977 +988922149 +369051688 +2108090273 +1405824920 +67838903 +1408396362 +1049600531 +834021 +1124533004 +732136071 +213635458 +644497527 +1783378497 +1027065135 +1305426503 +1506440695 +512373546 +205773140 +1447131870 +738848580 +1936537128 +195450628 +457288681 +792699212 +1049546628 +402537462 +214772042 +524539566 +1990602943 +1634687682 +1509955544 +832041445 +2003739370 +1470562169 +90382717 +2071578274 +731474883 +1139983249 +2072412295 +1856007887 +1872119320 +138564105 +353021766 +1508014169 +1165629240 +1658448269 +866971217 +1678002787 +1864221409 +166619439 +269367719 +1653274889 +362070068 +726656400 +298490453 +1411616696 +1129193863 +513262496 +1936156263 +972313158 +466530 +1298628159 +1804354603 +2004205901 +621706680 +1894737321 +1928300527 +1353181563 +887236922 +1853229174 +1061705802 +611872594 +1991793280 +1414727568 +2119886763 +1009938872 +925692189 +839374332 +540458011 +642429950 +1005993772 +809825731 +148221192 +1368063840 +1536482131 +446711645 +632196888 +518192346 +959974141 +420869503 +1490505505 +960440672 +1719497662 +1147376460 +817162925 +193720694 +894630133 +597979804 +1546902257 +1781867055 +303725330 +461124411 +246256001 +148034962 +1875851979 +218659117 +1157973835 +654060520 +1058033449 +1698431846 +1296490471 +2064027221 +360773929 +1444711663 +1284607413 +1897256061 +1891423308 +1916804302 +267964759 +703913802 +190190157 +1758470264 +1664354474 +1909687820 +758363077 +334033751 +2103408514 +1652993210 +932013555 +1502827124 +1287376618 +1235738885 +1963951535 +1533632619 +1383773848 +1692319867 +1752291736 +394264035 +198896739 +662841538 +2092695881 +1495387210 +579385111 +305986163 +792615225 +1863992525 +55758576 +536554886 +1633313179 +323723335 +1240468688 +1823503336 +2082193600 +757339514 +1585707508 +693073029 +1091373265 +1541632375 +198582591 +2023386820 +896975851 +1485959209 +1111642057 +713443738 +872108181 +347932257 +258279957 +476916269 +742196292 +457176697 +1139757807 +687408526 +1952563907 +1719142919 +993394689 +597695485 +1435651796 +1049153265 +1134250371 +921481327 +1372876600 +227235411 +597501015 +1307586552 +984574925 +35724876 +2000659581 +2075948190 +1577357251 +51758525 +1951851362 +326849454 +1537717734 +916009771 +1040293192 +262342267 +1263942029 +1298573150 +739258537 +2006138321 +1755749847 +1879016344 +546063199 +1560830106 +1450675615 +1539457888 +11041943 +738843763 +441127505 +1145292314 +1660325090 +1814004106 +1372527725 +110342458 +974107010 +209619002 +146067334 +827282944 +138083544 +1723424585 +879041469 +2089934906 +2050274039 +269275555 +858461030 +943083583 +531617823 +2122403059 +94173085 +1270876360 +1981057732 +1849922932 +1002409056 +379637284 +1263269391 +305601024 +1919095172 +1274311334 +1044444787 +212739030 +272120001 +557286230 +2026743136 +1644647726 +667628688 +853366498 +1854266729 +813696022 +1680649442 +1992350273 +389636959 +412207263 +1934801532 +292427350 +681482819 +645778914 +1235510933 +1213100642 +620698325 +1329684019 +336493354 +454272409 +1032123303 +1338902410 +833909693 +147909046 +1644503434 +605521218 +1422220381 +541464574 +818260248 +1694340382 +1098750804 +697519736 +1191504460 +1766379492 +1550886234 +898287541 +432591866 +1084052029 +743154167 +822228825 +1496259292 +530472051 +1114656175 +30258463 +1176250965 +202683460 +1243359105 +1796949290 +1532367479 +1579852459 +103738051 +417007135 +771271222 +937647745 +564916181 +268291008 +1543168963 +1987136562 +809755582 +213945563 +1533993296 +1908506386 +911465299 +578014109 +1527402230 +314867885 +1476301650 +1959994096 +1398919914 +71972169 +634739273 +747695559 +602444220 +1749395448 +777954022 +1778695185 +1952078909 +2021313128 +1428160827 +1336962740 +1453681939 +1531898879 +1753969875 +77469513 +322062976 +171402409 +345760522 +1865231939 +11055323 +1155516104 +2079177502 +1545048620 +916538843 +843159153 +2123062729 +296457425 +1158027038 +1451880731 +108967874 +409463305 +1523852901 +743707147 +1157158864 +2126297121 +345618948 +1935112886 +1757508659 +150214209 +1808942366 +1038185838 +1487176949 +1115140658 +422601069 +1093663177 +1192610171 +744664045 +1265065586 +1538370693 +462412336 +1276120909 +546403150 +394106190 +673685881 +1462941993 +1237265343 +649264962 +1759399418 +247808734 +2101145694 +1868367292 +657272039 +1477514947 +464590792 +1814430903 +1456328420 +810209740 +1602060141 +1066353431 +960423949 +1263518860 +2104539270 +300117250 +231175870 +379656691 +1393780427 +1423786041 +1124320737 +511362365 +814673087 +1586733073 +1787483275 +1361076237 +1980839264 +313685508 +676534582 +1070620959 +962950471 +288450352 +1318429693 +916612517 +9333997 +1975701732 +246643816 +473924789 +1642648987 +1702972236 +1284134529 +1097225481 +621842020 +97074830 +213260693 +578897642 +397192080 +444436563 +958554333 +1790972508 +1868222604 +2082875070 +154851225 +535412043 +1522124496 +1942334500 +1896488280 +1355480112 +108536361 +425539214 +278617423 +1071486832 +713989567 +1597047117 +1988099349 +723323564 +1425265201 +87259517 +1197248353 +920430541 +1790231753 +333899234 +2017656022 +264590125 +430974064 +83433067 +843487767 +828166144 +527869630 +1802042101 +471655004 +248608586 +1737433523 +626506230 +784020630 +1112074371 +421357082 +533025262 +320070835 +529893443 +958564477 +598688259 +1601380275 +1672554044 +48251728 +1441995976 +248393960 +1473516929 +1529255493 +1445642313 +246463822 +1172003599 +1779541547 +116636196 +1436593724 +63031963 +200069263 +132597844 +891198107 +727938893 +1934639945 +1362853112 +976547480 +1524589820 +1989359342 +1760568110 +489180544 +263232776 +146109724 +809251379 +793126220 +1104674201 +1407939638 +247022847 +629744597 +1456191366 +1689018824 +878138557 +782224648 +1070790669 +176297222 +1028688470 +95310620 +1955838769 +1145324667 +1531904345 +2018870732 +1345393930 +1664502189 +762585192 +2073332824 +1451658486 +2125438304 +902396656 +828764658 +1967313998 +515481118 +1317945202 +83063126 +661590842 +2127196582 +876189346 +1766265044 +1387652572 +1123212194 +248525993 +696360291 +664747370 +1126664551 +1478584939 +1735538039 +1302961773 +359789761 +1830848660 +1111316895 +1505114428 +1215269357 +982703979 +703024711 +732287898 +1745289171 +628873887 +36462736 +1723243827 +1531270543 +865227394 +1543074177 +2046751661 +35688949 +1626137304 +560858855 +15401883 +354843002 +179640251 +1403054455 +1478055196 +428166245 +2099414746 +2142802566 +1554830796 +1430516037 +1730856958 +710308921 +1790305799 +1414221970 +1821625816 +1147936579 +482007679 +656846148 +1850961290 +1214295577 +254651671 +332351529 +1250758313 +1977895499 +1863622072 +2115985707 +1373486028 +1762890085 +4191008 +852139684 +176265293 +19592891 +1206982687 +355905544 +1422647347 +537554235 +784071789 +1374578445 +532873154 +191418937 +657610835 +116246464 +901727859 +300432986 +1530468434 +575870027 +1448369565 +2012476113 +1232716175 +1151847208 +1079288042 +1487367847 +1484198737 +182562707 +1317779698 +1200337162 +151064766 +543782078 +815743599 +155255775 +1395921763 +992008892 +174848666 +455420802 +1347914437 +1597496013 +992975037 +2131986226 +824590811 +1525848191 +175921516 +1482201646 +1642094655 +1077649375 +1782634632 +1025079441 +1653519402 +1083520549 +890071906 +738751930 +87884109 +1969359948 +78636129 +1572082847 +4439007 +1396415827 +624936361 +155503774 +1940197905 +1440679960 +310759549 +1188636020 +285205205 +485608215 +1644056822 +1633119642 +2083104229 +489548212 +1617622220 +760211392 +2015396403 +1793543736 +94929390 +1510007411 +723709463 +1877564022 +387603204 +229745218 +813600923 +1277675111 +968497148 +901485033 +1099551411 +1047133277 +326084232 +1103990419 +296065456 +951020593 +1259494193 +88779713 +244216905 +1570253742 +1277415734 +529422110 +2055861957 +773988908 +15058104 +1991482538 +1263537120 +1632680325 +604210282 +1131449876 +1278740413 +699139672 +493973639 +2002449877 +429220046 +881576843 +84711447 +1242820970 +11768306 +1053208595 +2144306003 +1111319718 +2100341872 +322906587 +67826489 +248923680 +1273927180 +1327320682 +337703393 +1518144085 +750090776 +1615119127 +2047566196 +658469085 +241624388 +2062624300 +502467976 +1505161508 +1547820977 +1106678258 +489127736 +679077743 +1805817931 +983101375 +534043972 +87554329 +1864678219 +618755419 +1330375299 +1876446525 +1671964014 +1327197654 +840282595 +1624822238 +1650104241 +908109084 +1873745918 +776547773 +87946118 +63965663 +147208211 +838036894 +1679084791 +47290759 +1496505980 +1920709179 +2109915059 +1998973956 +1278387039 +1510252389 +958168566 +1767514776 +41846484 +616502849 +603132503 +575890456 +704057179 +320327074 +1194645875 +2034432478 +49289952 +719126241 +1214146485 +889572547 +196464831 +716767078 +1797681632 +2070210749 +1493314852 +1885627750 +2134176412 +1640523063 +576180997 +1665777555 +1687813822 +2072686977 +1439003086 +1650245233 +1924177285 +569906478 +1013013974 +734862203 +189937606 +1054860458 +1351365053 +793070109 +1630750914 +2055422232 +1113397184 +677913141 +1942371062 +1162687136 +1397039382 +1009033899 +2052259683 +1593504213 +1725800978 +1702457667 +1516231314 +1071632182 +1440601770 +1502924079 +564671597 +2016782767 +1021217986 +105001771 +1941986096 +312737425 +1755247004 +1718679733 +882643903 +620777331 +306058288 +1072581509 +1675637789 +1657423341 +1865651618 +1158905056 +1565361925 +831565154 +1836818197 +1360249340 +1994252290 +1086373932 +221799591 +1899028326 +532394497 +1947600569 +1454002345 +2048625812 +871749103 +747120467 +1404066243 +1436420700 +616419586 +277800581 +1541422471 +410922034 +590538006 +1149185828 +2129601767 +1473181909 +1769963159 +288176408 +398279770 +1298117300 +1945599749 +116447741 +309538708 +1363478027 +948012895 +2146356906 +576243719 +794781538 +1085247190 +798043310 +546326216 +1617641687 +598160232 +2000328561 +1518783851 +1469909335 +599965381 +775366446 +758846388 +1216384967 +1053167028 +152785211 +1627307002 +1643705034 +1301971039 +1609425121 +969403296 +924450550 +1897601529 +1367683066 +75084203 +1695717631 +1484130807 +384622911 +911712010 +284660055 +383496169 +1487955729 +1079441593 +1468743359 +138515391 +1625767809 +938901399 +736675623 +1478612722 +310201602 +59101311 +2078578103 +1085568049 +817947699 +1147479423 +2138735077 +970732910 +627302777 +1634956463 +125220302 +89244250 +456876111 +1049670852 +1986845780 +1824559178 +1124755055 +1535079763 +1161206337 +1509377967 +299308125 +1445866392 +1892874136 +1787263854 +377824337 +1214133848 +1925779245 +2003592146 +5551599 +514971221 +1334721221 +315753201 +574072532 +1265815676 +1401321250 +1392020231 +265811451 +1392572679 +215269493 +893114228 +880045495 +340489795 +982358479 +1336921606 +1390160648 +821720611 +1013997136 +367432055 +209316726 +27719826 +1876810022 +508624851 +1473586218 +1622200511 +148405057 +1851410556 +688850711 +2074184302 +1707519054 +694402310 +441671875 +894756627 +1010155511 +1015744407 +13088656 +263993114 +260280990 +278900107 +1656565793 +475550484 +1172014336 +389127640 +816040279 +6889167 +1726049247 +58717279 +828609778 +592562735 +426149335 +1037926504 +620282561 +155475709 +1546551355 +2093868780 +1777676220 +1694956412 +1797795688 +319043283 +1621657066 +1357831094 +1013445593 +2063328942 +105104074 +2023601105 +931589701 +118192730 +140110571 +1191870692 +397092837 +1796676364 +1667421176 +1569107173 +38320357 +335977807 +1575996340 +1764369604 +394695087 +257122470 +209448691 +820844422 +1295048974 +829731253 +976320131 +694116681 +776116385 +606512704 +241589445 +426428425 +925555987 +1863246512 +1784259519 +1939001581 +1779091806 +1889363593 +1815119038 +563197859 +2007556323 +1955229609 +1755068551 +257165513 +1604422325 +1275006079 +1826272686 +1642742682 +1610983887 +1254785379 +1259628638 +2005678974 +1511907849 +1469077330 +679039748 +659473176 +151324935 +1655359879 +1353589857 +927441320 +114388935 +1595179303 +1353869745 +1039944923 +1310942167 +990645616 +831462856 +942550325 +732525562 +499098246 +1505748184 +592598237 +306844207 +1113333088 +849763750 +1911266532 +240855519 +528552789 +1406525567 +1851839406 +1783338168 +518670557 +1710034732 +1147762369 +1987747887 +241590832 +1807235545 +2139072822 +1896950712 +1013341755 +919030494 +2011339647 +461037410 +125416591 +903800922 +1771979577 +1116062208 +1735263778 +567046254 +1848587770 +86878376 +2072794438 +293702359 +393722583 +1038643878 +1143466110 +157505468 +1279499398 +1672018899 +1564031035 +983855156 +1307873419 +2082701592 +546406241 +308152140 +1922965832 +787997073 +2115387686 +1914555006 +537464137 +981245793 +686101853 +401320137 +1442283203 +811518444 +1305121059 +1066779132 +1927580652 +892901190 +1633825386 +1628684774 +979779566 +1559136176 +1922387134 +1373502150 +450296407 +918369596 +1531007618 +1729795805 +442904847 +947555005 +566167313 +1750778266 +882772949 +1112573554 +2058930406 +658255133 +1900570628 +2026834444 +425326492 +290551117 +860596589 +1111428345 +691871254 +155396144 +1922946789 +1996992314 +1222175276 +1703043794 +742409856 +708517014 +1184244920 +1722189422 +120169543 +959148406 +948207924 +570465950 +1877518002 +331731894 +152778107 +172939201 +1279286899 +718945420 +1923717467 +14576201 +1831518975 +1835164226 +672831334 +1584605955 +1714515022 +1098157826 +1875157072 +427627964 +62102523 +419544679 +583024108 +1985049313 +269053345 +1805199385 +1540609459 +1011463201 +366232751 +577370731 +586168975 +486402294 +1536519138 +1534376900 +1056868244 +1266553492 +1866108794 +1209646351 +1439492694 +997912046 +1928591772 +1215726513 +1012488247 +1612627099 +903407091 +1685319581 +1049749406 +470438466 +635993760 +777422830 +898066430 +698096283 +1196967509 +1481090538 +535661948 +1466020854 +1138806275 +2076271407 +330000407 +1505039027 +506158491 +916169383 +1991441321 +2042677629 +303062635 +900825918 +1161747473 +21687781 +2110472269 +453756519 +1019599827 +1891580393 +1669483033 +2032088074 +1356723844 +425406476 +1569924008 +258989602 +895844942 +58434120 +1036412433 +1793911372 +756530403 +85896294 +1127518263 +1292192352 +1551917149 +118840890 +1220980111 +1881917556 +1623879917 +1727138602 +650603291 +1467837591 +1622332583 +953665926 +221179861 +636596409 +975353708 +184168482 +1090352928 +1994953535 +2075748876 +612352313 +1879557962 +1284989072 +1037758790 +1301998322 +1543978675 +1933603732 +1360432442 +432907460 +1580031457 +2116962845 +518803754 +560066072 +1261671549 +2070720903 +678906962 +335168013 +1805154812 +155303232 +2062306615 +308274455 +1623140823 +1537155551 +1261940382 +1844320684 +26268312 +89810442 +2028489166 +1116621240 +2084763977 +1956754394 +1728973554 +1816838291 +1094259819 +619248696 +971352965 +490754846 +405368780 +184301759 +923662306 +1985400237 +153780957 +1442466060 +397982661 +1415452506 +1365703316 +1076889624 +1750620519 +1023374480 +1232192856 +1665443487 +1331648935 +707850031 +1055115390 +446105669 +404687067 +1081383702 +535916111 +285692585 +50521294 +473196441 +94963332 +1779494848 +142551084 +1189223151 +251259896 +1113904050 +1679977997 +656628677 +1298205809 +456156655 +494545266 +1451986766 +1898622715 +892527928 +719955625 +1116842383 +1969417552 +323092496 +2140216863 +1054126760 +1988535983 +1324382151 +1761976791 +896167725 +1770487820 +19180210 +1977551427 +158920284 +304872795 +2028072722 +632116725 +399836127 +1660083922 +774667809 +1589059278 +1911343819 +1888571859 +1121553627 +420488848 +1039294021 +1577710282 +915034114 +343797139 +1328849350 +1807562042 +1063752764 +298208085 +1629495946 +1386845261 +290941301 +536139058 +1227897596 +1615323452 +150632201 +2124065322 +1238327624 +169812411 +1954133101 +1397247908 +474685207 +1834722175 +2029364633 +874521334 +1347322450 +656548795 +316096965 +1111182621 +397637006 +1437650592 +1531671469 +1436931027 +867877227 +299221935 +1780728167 +49242929 +2106783978 +696997283 +347451014 +1588796276 +2083842544 +638392315 +2124935335 +1164256493 +106232119 +128083888 +1140838167 +1344559744 +297896300 +947487620 +594324004 +772581507 +634726148 +476204990 +1647102841 +1982048598 +1132753785 +1963199806 +945747571 +1530390791 +1253366751 +329935392 +819838171 +2121243978 +629157327 +453082690 +23003259 +588457657 +1150079973 +370454273 +29770286 +1086438870 +1008846589 +7221973 +103211715 +1115078708 +135305861 +1244049882 +312154804 +433202161 +44053854 +906478809 +1205783668 +678780002 +1382683799 +705402862 +513344952 +367953936 +521119020 +1459092523 +1898344727 +1774485771 +1789027915 +570699250 +1748246101 +270701595 +1023781940 +1771249360 +859159252 +26378266 +2141703634 +888929538 +1112817136 +1003066575 +896151511 +1216028851 +2118145283 +1031457373 +312595085 +282816440 +1464659534 +356648939 +1189295249 +522959555 +1035428942 +424495400 +1228362417 +1548773894 +792449336 +1749481437 +860382770 +543310415 +1376483561 +501927037 +1114009666 +977246014 +772628632 +2137791606 +601011727 +1631787885 +16686224 +595231713 +373233775 +1129503360 +1598298288 +1269385287 +198048563 +1568959923 +153359012 +510643648 +1851776363 +1618018546 +867292588 +893587964 +2140978101 +1902721530 +1318083364 +1221856870 +1304011776 +2110532700 +823854660 +16910898 +506359468 +52854573 +518837936 +1620369134 +1030100587 +1291466568 +1610677092 +1631112314 +775770805 +1627363317 +78860379 +1149004581 +609383029 +1677158667 +270906220 +807431593 +1098634943 +424265232 +1318075241 +802927658 +2042283778 +37884181 +1696515623 +2035778232 +1940605711 +867115339 +1110151454 +1097133840 +830164392 +1934006114 +1114044738 +1336523860 +1986860687 +1632882674 +809409346 +869477627 +776865595 +272602790 +353106293 +1552636400 +1899966107 +431966673 +554157333 +361865489 +2109125340 +825063553 +1169297082 +1060276635 +1249328785 +339888675 +1863204294 +1144128916 +377772857 +1412236269 +1032423500 +170894920 +131867960 +2142574954 +1268028760 +962032352 +1929097421 +234589851 +151072564 +1768474460 +1867472525 +960481910 +490468439 +496854472 +1233084701 +843574733 +2049490873 +985567160 +1275541406 +456164558 +1347432649 +1237183098 +1281228112 +369246083 +149976086 +383073249 +709134759 +2013180380 +1527202165 +1086907616 +1277933001 +412142017 +1257802536 +1409800961 +407233324 +378347649 +224349666 +188847097 +612937500 +375422230 +1957321557 +332926377 +1335904141 +300306349 +829780850 +421505194 +1143881082 +731788075 +1407072354 +271938840 +1187952633 +607021356 +1509121938 +321697097 +976267439 +1659098024 +704770347 +1685402198 +1524794756 +84488864 +624826166 +655244109 +496630882 +1882628703 +2065045071 +903864206 +113492704 +141911089 +1092711303 +726430204 +517333319 +902549212 +1059356581 +1853237460 +1202855561 +1889137431 +127259006 +199252995 +473441858 +1534331361 +471191835 +1661394492 +2141352717 +1980313774 +1983091589 +970136508 +1491928150 +540378288 +508055059 +869239259 +624867153 +1132881225 +1524483368 +1121498035 +868026280 +1442044791 +2025362241 +981518984 +1583955880 +970589896 +1707949188 +2101289200 +1873139108 +619822122 +1807043012 +928511022 +361475905 +1934302019 +1127764017 +834917764 +1321149732 +1598955853 +348828608 +1315018801 +1431785979 +184436549 +137671661 +776230481 +724814838 +645726720 +1645469740 +1349681991 +1778607946 +1022469461 +323696378 +499150578 +317030604 +201574971 +1480669563 +1900986485 +1172164867 +1041135103 +1854792037 +897820327 +1660957225 +1514351401 +1826331349 +2022433131 +1301169772 +806611719 +709867247 +474835856 +258083924 +1058695855 +1789854657 +1689869903 +1243132404 +1927526319 +318616736 +1967947242 +425769391 +1964086477 +1170145585 +56893689 +839072290 +1493841963 +556044268 +1156102894 +1695416934 +2036713831 +909605731 +720098153 +930365286 +616914120 +1617918481 +443838864 +2131265522 +1296766182 +318788347 +1284951646 +2103377901 +1028655594 +1759787503 +213978177 +2087351449 +1402158512 +1903848080 +1183000205 +1182201183 +74981169 +1003463800 +1607970575 +2039067646 +26125737 +1664864264 +730656288 +1519967701 +73424884 +1886759182 +1067900987 +2110138715 +648881266 +1787999141 +893020354 +1265795386 +1258433974 +1336859218 +1249577260 +407716508 +1655647565 +387045259 +363610762 +536819511 +2146832762 +577588939 +476687312 +1401507626 +333953372 +1659687517 +436225162 +408934541 +515667669 +2044195737 +300518539 +541793407 +1561576353 +1031174827 +2061761108 +1635001238 +770450361 +982178447 +1597656305 +1419331627 +622693940 +343193011 +537643366 +1881127914 +1680052229 +1787220626 +141360775 +1188216146 +26782237 +504971537 +1725035657 +26131351 +1082560476 +54239321 +1427638978 +1416513848 +1713926839 +1863864140 +1825448389 +82110860 +1760576229 +2125966928 +623904267 +1174668934 +1009658107 +538181727 +662186524 +1780108469 +1520360175 +112359182 +1051956448 +2143054115 +455552193 +1589599814 +1876698382 +2135604423 +1229336793 +2018059157 +1176336921 +1256119030 +375547046 +753888931 +1282250382 +1458107522 +808128252 +562405712 +727137723 +374571443 +278786204 +405102464 +456682304 +2039362433 +383585745 +1080586571 +1066547719 +1393243852 +1618768299 +1728734244 +1025868673 +991644826 +1841093426 +2077825122 +987215293 +149161971 +1519941288 +716430027 +137282746 +601794433 +587005536 +1313619668 +1857913464 +962552582 +2067508599 +992680198 +273176457 +728153203 +1555085910 +1000314180 +1102724647 +1833872114 +1405416644 +1559406951 +1725750899 +1789002389 +492509874 +644814970 +1034762594 +2111278173 +226065566 +2060631267 +955439351 +2067158992 +1990972741 +1942654645 +68837316 +1363430382 +511601024 +206120062 +1965224815 +1098606561 +1519739730 +1675654631 +2061159143 +1439764681 +520851181 +186851952 +20434237 +2075937091 +1187166132 +1123158884 +1762325557 +445099129 +535082187 +1340592808 +86617870 +1027592061 +1985407779 +1121380464 +991386587 +63989697 +1034528084 +1946825938 +2131148690 +878017177 +1741996935 +52502358 +93963911 +106114312 +258622420 +2059188727 +1204720873 +1778362151 +1587359710 +1118396368 +1070643184 +2108210892 +1305248321 +1091077421 +2036664335 +344930805 +66752657 +1651506245 +790029934 +601834844 +844615405 +876647805 +1629426906 +682539536 +1998028269 +473329845 +746529234 +885072705 +272672135 +730194276 +1763089883 +2014669071 +782696634 +1857053794 +2120783383 +1041319054 +1768758873 +1178020608 +672197557 +1208634936 +148933328 +1742840742 +1169362180 +1454181649 +686434515 +1058542867 +1799112455 +753187173 +562565464 +441658741 +1355022017 +1407180870 +1318306546 +836965275 +2089720406 +1168851168 +1310295120 +688765992 +2053923873 +1582967256 +1418960268 +1669530108 +1450152679 +54173254 +1379100255 +1423452414 +1095492309 +1000375480 +453989374 +1767689866 +61526768 +602922702 +1363046960 +1230888948 +2057104352 +2049481476 +141948168 +1708733159 +655185001 +704513632 +2908252 +2010207018 +2111694502 +1321214799 +699688646 +2053931261 +342582319 +2009983766 +595213605 +249022544 +1445467374 +2014173874 +1918552653 +748136405 +2068347128 +1150169260 +24105171 +1016355789 +3061092 +478094545 +636562008 +64587861 +1081017248 +1999608968 +1295476809 +990637952 +1901606796 +1437424977 +551887463 +409308149 +2141938610 +554795715 +272031520 +2106149464 +1876010514 +971720166 +2012597077 +71109185 +834220284 +460327035 +320131730 +132204011 +327017261 +91200735 +880340416 +247880741 +1241369995 +904445588 +1264236531 +1244431087 +1382540133 +1900798539 +1309018948 +316073733 +1752923859 +457012110 +1306711685 +1507047008 +1894437087 +1858599148 +1916355157 +1888892049 +265911216 +40903029 +1847557866 +2141921730 +1012623195 +1712671295 +65547268 +1846843480 +25514682 +385678998 +1979047491 +352531943 +476879733 +711904259 +600412685 +1718249728 +1616349847 +1864649216 +815197167 +851406333 +1617964107 +2124216116 +1167480066 +1223404318 +433744578 +326708104 +582967678 +180698017 +37823604 +351839188 +2069590067 +303734820 +392742217 +1769664285 +298172903 +1405365413 +1334851932 +363720171 +1104725245 +1360366615 +749399169 +936289088 +1712898558 +1226278902 +1648193347 +165827595 +797044982 +1117059547 +2030476811 +1612242149 +1968465880 +1500957270 +1588974617 +988462298 +576877941 +2022719195 +1315170402 +1159845619 +55933565 +1352994007 +1511684807 +2125523632 +1656728827 +1904427025 +1747704269 +1954901730 +1162308790 +935072553 +171138253 +119550387 +147955520 +920537422 +1055839475 +1860854079 +2146816324 +556549174 +2026681674 +796377658 +1673608721 +1909674838 +261136160 +1494590953 +1263148460 +1850110777 +335569604 +1840026401 +1725346325 +1650740006 +852388373 +1781279890 +856250365 +216589532 +1759319874 +365495545 +2121016557 +1359540495 +172913627 +1135841699 +147129400 +344051881 +1255392086 +295084921 +1264589303 +163747913 +8455352 +1263921980 +720297088 +2035137026 +2060299638 +246422161 +1797328216 +173952150 +1741013115 +912993029 +2024062928 +2076582719 +605535782 +1601925605 +1579839077 +1457924155 +1235721847 +288605795 +1674513688 +847558073 +654101340 +1648046597 +59614920 +827014967 +636404649 +206744320 +1171066848 +1891796735 +501829241 +288172504 +2055544649 +510284593 +1552094484 +628358089 +397937972 +1464910474 +874780250 +47782540 +1638862625 +468309717 +960775569 +1515441905 +397408788 +1566311352 +969883862 +1977247866 +876751859 +58122061 +118370013 +403781899 +905680134 +772471353 +2051828497 +965295054 +1599486320 +540749498 +1172039374 +623069521 +285062585 +1673868616 +911242025 +193123586 +36669561 +315852861 +821481675 +434607533 +1780763335 +1696261926 +482390074 +1272142312 +17087995 +1443165643 +640100569 +414496784 +861993347 +1609984431 +244261002 +1738745207 +1668106492 +362631015 +2142527106 +426302978 +1135102368 +2046871955 +1391598032 +587105040 +440137805 +416153759 +1210174561 +725200391 +2090022375 +2121416586 +918323977 +2126691936 +289785799 +1739805653 +413815822 +2070549135 +1288583931 +896205896 +1195207799 +1305671926 +191887891 +1835308369 +1720168710 +1053881239 +1297809152 +1964429712 +645142798 +818431997 +179577079 +640186256 +1244734975 +1314679447 +539574564 +488849360 +1901784488 +979712369 +905003119 +964475401 +1704912760 +847541846 +938408340 +475753090 +826750134 +1228194139 +68075095 +1240565956 +1151259626 +1356659026 +2136771852 +198983778 +514847304 +181176096 +2034292147 +87532367 +1235057335 +1184617651 +2051962079 +1880200133 +2003049648 +84055511 +372902741 +1100300976 +1398734958 +912477305 +1589150336 +1153035798 +1892189675 +346669807 +2117511200 +1449618787 +1194211653 +908435892 +1925371877 +2020961787 +2136630031 +1993446972 +1114044096 +1140406010 +1202622350 +1103332300 +1339389788 +1717469655 +1284508396 +1226198287 +1805002022 +372082083 +263332290 +1709480453 +104798568 +118898291 +1793535964 +477701310 +1219199267 +1044787275 +1390178615 +660865955 +50339425 +1134884642 +1007535762 +20366977 +437019782 +54263767 +928802869 +214908011 +2075225554 +917949253 +60871336 +1041786002 +2058355263 +1263493686 +2145118303 +1250261403 +833479693 +1282143051 +328976042 +490998067 +1654225135 +592308332 +52994873 +1759023703 +711206623 +1846530837 +89241365 +1930405890 +743834464 +1479419981 +443788197 +794173890 +466820975 +1451323959 +814540867 +903840757 +1505587726 +1743343737 +1118748769 +1433329633 +513809342 +1179620105 +327631987 +424680957 +295630143 +325266642 +1674942360 +1129109837 +1607409694 +2003918402 +1620107904 +1114151181 +448743086 +1673102777 +725691236 +1159949710 +1372149967 +814932602 +942871952 +2115984431 +146868935 +1386660150 +762674673 +613689910 +690500461 +1577215541 +1517530668 +48604540 +1173075630 +488795789 +1481934173 +1686884972 +1668415894 +1809566160 +2111565929 +1964046037 +2134832803 +1639024641 +945672226 +1594758849 +1495459395 +418296483 +561426382 +1944202481 +2091399260 +1287117618 +956668543 +1316065579 +2102050220 +1899540496 +1284566363 +101435507 +1138716998 +2047241036 +715125418 +1829217459 +1476972929 +85172438 +1877821999 +502564911 +573968227 +1212272524 +41966235 +94900473 +874355037 +6048516 +2058946510 +861704192 +1645073157 +857135089 +308979393 +993048904 +1275431572 +870405775 +789767738 +1219347184 +10039745 +1746436281 +387929116 +2112089966 +1498493129 +1672495479 +66041825 +489726479 +1572252867 +781167243 +171460291 +901742149 +866339681 +2049282290 +1404307060 +1440307908 +1114071167 +1446273296 +1535208381 +1988426204 +1452321812 +1446671244 +702646748 +949911322 +156322685 +1011626141 +1942960226 +1431754257 +1882031916 +585244316 +503617793 +1892071661 +184196950 +891546909 +1856677979 +1682690079 +416558740 +1922719805 +24932911 +1988811608 +556403400 +196393202 +743070109 +1422743082 +98191844 +2147377169 +715567342 +1212263011 +1446166817 +103292076 +1053205567 +751004982 +1549963320 +1755852315 +1700916304 +1706286005 +619994808 +1496392882 +990556614 +354543076 +2081637199 +1494174407 +99131090 +118350501 +238237669 +1955809069 +1801040580 +654796409 +1731045226 +1825973491 +496124369 +139964979 +2022366693 +1239194478 +1562708061 +2120558538 +1239088000 +130791755 +1185337901 +537771169 +234083831 +91059821 +1288776151 +1784047151 +1846912136 +842208807 +1342849508 +319423297 +191118042 +185922474 +673966373 +125271593 +1680096882 +773097463 +243622094 +1918334551 +581422885 +2044662674 +425647312 +164984463 +1723152518 +921771682 +304949442 +1598035563 +13482512 +1867657503 +1571110453 +1252570512 +1998449259 +608964707 +1790341682 +85049442 +700024528 +931634185 +1869096594 +399453016 +1773842993 +1064462454 +718876313 +1964961035 +1250384929 +1392842687 +2090232628 +782998163 +18456502 +186371074 +553849066 +599879387 +83550100 +979496378 +764863851 +1806702618 +1901268060 +1069813293 +1257254534 +1914750573 +789987149 +680881339 +1019837437 +640952760 +1289846046 +662695471 +726002202 +1989870574 +1594329657 +447615148 +241839943 +1220689002 +1512077603 +960716256 +1038166389 +614978884 +206075295 +980915369 +1397977047 +224531798 +1167286443 +1951826113 +824411185 +1250836543 +783838843 +1589275036 +910055514 +537623256 +511604682 +19826400 +304890181 +1301591831 +700707739 +1324727618 +1942544591 +1990553786 +1987423090 +521063145 +1832940712 +1434269099 +968678294 +2074780655 +507474453 +333272249 +888013264 +1545640842 +948251133 +1094088559 +379072563 +198744532 +1318620357 +1546359006 +3086997 +2143031543 +649711901 +786925840 +1584822931 +1559767415 +1324549096 +2096427613 +1579593815 +1629439277 +1250535796 +132817907 +806683248 +1045596739 +2123371693 +646622690 +1566659885 +1808828757 +2080891789 +387854531 +1736125765 +440882594 +721126780 +476655381 +1986523436 +1669377913 +1570743940 +218112351 +1868122445 +741880650 +1764471357 +1871209442 +737428545 +266699610 +510651634 +174767828 +1826467026 +1835200731 +123711794 +1258577193 +1317156360 +1374247590 +1391395100 +2123839608 +272360682 +1367283145 +622978650 +1839020567 +1028628255 +556386791 +79391450 +617270372 +997269385 +800518230 +1093925753 +836309173 +322412495 +517186045 +1054421524 +43051292 +1259066695 +671409233 +1914260734 +1996495240 +938108844 +277428720 +23779421 +617092222 +2112629451 +147491215 +1875669415 +1282302164 +1521738805 +1119580868 +1258658124 +1794099487 +339380365 +1881636775 +1485636406 +1368008620 +290539918 +1565027856 +1985278992 +1287809304 +218062438 +931721097 +2124118477 +540474933 +1448907143 +1031056354 +583526225 +560490190 +1702465587 +350303311 +409501783 +493090783 +627732032 +433281204 +1110183005 +592877835 +580772419 +838368773 +1875179999 +2102511224 +1957949641 +986354476 +1749127064 +149846358 +720507603 +1087279822 +1517854979 +1011047521 +504824031 +1355650323 +151373177 +722886469 +139887773 +128008007 +1263361403 +1588794916 +1159064361 +1846887628 +1801458 +714046300 +49707292 +411303241 +1207137084 +677439324 +844584445 +169836441 +1270317159 +1425356864 +1008205214 +998013511 +1380384441 +818671207 +1984367987 +982027857 +968517566 +557391942 +2069307679 +338888897 +1568439463 +426648062 +1694539220 +1719812641 +1149534532 +1834426993 +1847820648 +265412287 +1275738261 +859401361 +2112299915 +1277539720 +1573447661 +14523559 +1688842961 +633101097 +691962883 +385943759 +802937539 +1962280043 +1811300623 +1811142753 +812809906 +1044201416 +482330313 +649694245 +2026229273 +1450847879 +1207086187 +1948053305 +1789736776 +628042002 +227217719 +1336792348 +200370995 +1376752251 +1023735694 +2048191643 +1642164538 +151990307 +760109356 +1606980806 +1429530027 +186073370 +1621504365 +970889341 +819174467 +165983601 +1356833100 +1622112006 +2128263644 +1020650075 +1285771112 +793589902 +2064851492 +1768101425 +1443284147 +1943597117 +1071465656 +502886686 +1744166774 +713718784 +1130928688 +1971384494 +2050511132 +1331299684 +1200653097 +926763178 +1232007679 +695333988 +1078753486 +1992117036 +154831146 +360799865 +30706758 +1776335511 +1331689206 +849881225 +1942319112 +541038658 +324509584 +1923099108 +1561688734 +1610280696 +569205362 +1479056578 +1230898473 +2012489509 +1275170047 +154880481 +367892547 +871853174 +868599265 +1498821236 +695754020 +771626749 +682637272 +1896407117 +1698389928 +1914644951 +444257457 +629659766 +1759278339 +599088603 +990459631 +1789985097 +227940467 +174665190 +492382675 +22775931 +715703848 +816892259 +1945875040 +129908934 +279689307 +367596754 +1608965512 +1510587780 +232602616 +736651912 +1665468261 +600495163 +1608505086 +386583878 +2099316399 +156775458 +1158210627 +634470023 +2053182575 +709116907 +401631327 +349956385 +1338776673 +13426018 +949044988 +181752657 +1803411116 +1176985455 +356417847 +148310143 +1199761387 +1072121695 +965202402 +998152779 +1202030630 +1244891709 +1365749533 +663512494 +607995841 +1598352149 +1400164406 +125980454 +51363665 +861185844 +512564332 +3196416 +1017961302 +1670774959 +637666440 +923660230 +232408219 +1039297767 +1273616615 +1571184892 +1052723785 +75177955 +1752937549 +708651253 +1252163411 +2109355396 +856961396 +304441150 +1033993444 +1822163798 +1302593929 +88540426 +919571859 +520859814 +752052920 +1527567700 +2119211964 +4733679 +1653548154 +23091981 +865919523 +18628838 +26288397 +1883880826 +1689403798 +663954837 +660057408 +1921812017 +1703252604 +1933674023 +1345513261 +608492742 +2008851978 +950967163 +1317143995 +1113531741 +912838911 +26621744 +1417972891 +1946832355 +1848785542 +573083172 +2035372781 +620873754 +1093942987 +639942054 +957806 +1065671303 +644675733 +1654505961 +1088763284 +1510595256 +1673134799 +1115051681 +1246992434 +1215054949 +1779006519 +1907049842 +989383318 +1334775475 +1693240217 +187412932 +1943268217 +1554608548 +1138380095 +1112928565 +520656641 +2051219006 +1139550309 +1938629533 +1850567714 +840852203 +364229057 +1738456847 +1461725957 +1458172044 +230915253 +1462683764 +376359699 +875590986 +969706077 +1465122983 +238702595 +495357228 +432691017 +1485695029 +1710412178 +64213888 +1245261224 +552311848 +1398989363 +791017793 +739724780 +1194773933 +198142693 +1878104875 +160218850 +718799335 +1781840234 +1299769159 +509945220 +1484924300 +2140621362 +874174277 +1075897499 +1454863672 +184862674 +1306812753 +770063788 +561222373 +34920091 +1739769865 +2026345357 +273622686 +87643445 +311552726 +1759317716 +1798055623 +375766614 +857095292 +202883824 +1774755977 +1648113085 +942608604 +822046262 +1846255779 +673229832 +982265112 +417571466 +307586418 +134550623 +927516686 +1792510718 +127688338 +1801690963 +720924569 +1582552010 +1986553637 +2027737322 +205132150 +400292363 +2062657414 +1944902015 +279154072 +188796452 +2032545460 +590706798 +1948114168 +1683117436 +966473412 +657725812 +1886001260 +593745741 +158355250 +681126216 +1415792004 +2004611029 +1354356048 +250573468 +274698847 +1661942466 +385124092 +1202215533 +1306969536 +512812430 +856422848 +2027894106 +2095364440 +695492838 +1908147780 +153012942 +1095785201 +1823321546 +2097914957 +1374939273 +2012117999 +1982976769 +1965646071 +1812748519 +1518610557 +784635835 +322990684 +1257128169 +1378381576 +481345934 +1938254386 +646689932 +338473315 +1145126786 +897263401 +613172162 +659585605 +1282387493 +1815387695 +1966555141 +1795199923 +524326895 +1846965599 +1743080715 +1219819733 +1607629732 +1896093657 +168121286 +1283467630 +1846524966 +1543060559 +1148101981 +1682018087 +1361222982 +813366853 +1053144997 +2145858817 +1136357537 +162789518 +1376756746 +1617703471 +2101043904 +2023446678 +1956176786 +1098687043 +773226431 +421865300 +1758272648 +2055613924 +89769347 +1577344141 +1703330199 +614096242 +1276826093 +1298927266 +1833915976 +736972177 +1047537275 +2002037262 +2020439807 +746578593 +1397614174 +1021058141 +281113033 +611353508 +1834424994 +1334258030 +609728678 +823298883 +1497047548 +1986485424 +293518706 +1450607805 +1862448454 +102211844 +401811200 +488191238 +524077144 +12600200 +396321514 +613846491 +1589944341 +2099651714 +1227942733 +719286786 +1251095332 +914375061 +1456258963 +151148960 +768928676 +1329215123 +897727553 +19059202 +202789616 +1178840586 +630412710 +2037214610 +365614968 +1240141388 +713029845 +1862662517 +1079143164 +1006548551 +1165786674 +794107971 +1108760395 +1567597874 +1282299209 +1632837539 +1580198074 +1678620723 +99200382 +1022658767 +1630788789 +1327143115 +1741945554 +734400474 +94034529 +1050720869 +885549434 +862963205 +232452344 +1783276987 +882022407 +435241960 +814633926 +1512435117 +324972922 +1180248894 +605092858 +1038002767 +895427763 +1684236022 +2044551318 +2061214437 +330860345 +1005828065 +1481328663 +1613159554 +491181956 +914043089 +1144296630 +590382338 +1936701857 +627601771 +1917525454 +1531163763 +1362002245 +2011559983 +434400984 +100068031 +727039540 +666853329 +1883345019 +1609061947 +1102095289 +550495297 +974013416 +1427068212 +1730744191 +1579106274 +317587331 +478688307 +1115858649 +214655002 +392419096 +1446718994 +1220483067 +1873747760 +912394901 +1711665024 +640307201 +2056691531 +154563714 +429525410 +536809654 +2072089168 +1960689173 +1898811900 +1936165503 +247606510 +1998879931 +515721395 +914459839 +1734741302 +2124783342 +2016555128 +137752951 +951313111 +1296139692 +1868497143 +382935737 +1613727024 +199701802 +1498794386 +1828382026 +592120898 +798029733 +901381445 +318385010 +1710424634 +465562821 +958692212 +1619632517 +620126536 +1388217622 +8958523 +544732056 +1201423148 +1907770423 +333413912 +1449029658 +1759166707 +849135307 +216005849 +1346424361 +826435002 +85077329 +1484177313 +1777748113 +1381217022 +1205190808 +13200202 +847460398 +1404892610 +1511994589 +528358776 +1997013508 +162540674 +1429740221 +167914871 +1872965308 +1895303043 +1126607083 +1345114177 +367945931 +367341057 +1354072700 +912677987 +1568764205 +1114359476 +1246091899 +870310215 +726042535 +2095227207 +1086316064 +2072466896 +774178561 +1171393394 +1409160561 +404443026 +405126768 +466867721 +417643228 +1252587166 +1871760331 +1929637817 +1780945942 +1721290192 +2092178491 +1063202515 +1889205063 +1817660151 +811021910 +868328498 +1015290680 +1178967841 +1235669555 +221879733 +2091645829 +656950113 +1336239209 +1190254080 +1527260328 +2062281744 +1137997639 +466092745 +1987264992 +1912176200 +1637486139 +1248941906 +169135578 +2042612907 +1715809627 +586778807 +1147716425 +1440086311 +368932976 +781178719 +1013892855 +313627820 +1844381234 +755614270 +2131287971 +507919497 +1623942768 +999095004 +1686887338 +712128675 +1220974737 +1631049519 +1369078788 +409730298 +673819952 +748855469 +324528394 +1811817591 +1214948214 +164309738 +1576510144 +704950705 +1413251644 +1745645722 +600079964 +981577624 +184940881 +1747796389 +274180287 +553873858 +381491460 +1288073142 +867501678 +78389046 +2043687412 +851306001 +586308543 +1520146532 +1850401005 +125712234 +84791559 +923892094 +1756761753 +1453870348 +1333622392 +283098057 +55242169 +1658150786 +2094915649 +1270190383 +1822460525 +1523942145 +1975141088 +1088228521 +1122104219 +427737404 +2069806145 +1307045101 +28050145 +196502784 +1860918959 +409541605 +1484575926 +580936989 +487930651 +1380779690 +1432242990 +1074239195 +753442574 +1135160348 +1199951429 +838234134 +2059052442 +809229534 +144620834 +1245191187 +1092327592 +199863003 +755858325 +1039759593 +1470053386 +430835202 +416218090 +1297710826 +1519063724 +1538322309 +1725448230 +1441386221 +697883762 +1753498375 +1637889006 +411319073 +15556332 +974981284 +992256062 +503486983 +208277327 +277015405 +1577726178 +961719901 +1412175753 +630193959 +1799954035 +1323744547 +1439423494 +1944574869 +421452086 +384267438 +2144437872 +1177310412 +1424027031 +1467007610 +1608145614 +1840245121 +617234788 +979725690 +1231083782 +195199370 +273628264 +1928967545 +1948697745 +1911517270 +192802970 +1964254077 +739014906 +1185059033 +320257413 +947292233 +1462074438 +1897983591 +1909012135 +726766543 +380693903 +1561482522 +2050511090 +1820117397 +1358573744 +324479529 +56901187 +1355527968 +1501789941 +1480928218 +675051931 +962451907 +1173689691 +1292286719 +1942177598 +257289825 +1487486090 +68322214 +38773722 +1288700187 +1979839484 +231576693 +1105470617 +571370742 +1416635726 +1425728030 +1518662976 +731226516 +1176227973 +1280191463 +1457993059 +1556921876 +694190337 +1361020501 +1229555625 +2052764081 +1685500030 +1286456812 +1260808402 +1039806323 +619901382 +1935860333 +2002258231 +1793591073 +1080663404 +1796952181 +2050880899 +420665846 +1865274395 +2089654621 +1709366034 +1697630231 +173747666 +667353003 +121517325 +1590383392 +2093081033 +1640180301 +174126260 +1121825358 +772888116 +1632119319 +531263587 +1467078454 +845656173 +1760819212 +1372358887 +383672555 +899792377 +485683641 +1423478879 +1519693759 +274060326 +1278253462 +1165801185 +1354723731 +927721995 +1069198436 +1775389577 +645512742 +1011369409 +1337271963 +195659325 +1185117076 +2004624966 +317176650 +628016820 +1950222351 +1957356952 +802143081 +924564062 +582761420 +286778752 +1455827649 +2049839874 +1132434925 +1069163213 +1274715114 +1516107481 +1968955590 +1760398755 +792102712 +1341165702 +2034459082 +2070356174 +359483239 +1241699165 +850594521 +1428681675 +869605094 +1496107263 +292567436 +59393410 +1691766588 +1477684512 +2064018376 +2008943238 +2105701333 +1866757080 +1818816542 +760360766 +643837494 +254094315 +1047139518 +2099665143 +156450541 +32090796 +1021344708 +1431165655 +1548198277 +842816651 +1044080763 +192817341 +36498705 +931056197 +115689867 +395981944 +25271714 +966284388 +1824663619 +894876808 +314908003 +2117231055 +954270218 +2006674591 +1447431920 +870804947 +1868134181 +1405649605 +590078379 +1539467076 +18526723 +1233915873 +1793561391 +1065666241 +1186097368 +1950011932 +1097757037 +59958428 +1233693940 +498471666 +902775079 +130291055 +691289007 +939273784 +1061347252 +806978874 +1335255728 +1086618966 +1773263262 +1012435699 +1981495774 +2088171265 +982183107 +788282345 +1947362208 +282131379 +1659087292 +1668012742 +1687780984 +101682023 +1059996170 +1706307707 +1335597896 +706073913 +624490300 +374211616 +508602197 +1722247338 +434170044 +1742296137 +73235356 +1336945124 +1872587192 +764524364 +128735260 +786450796 +1571503238 +1463990989 +1873069762 +1197282853 +328943040 +1707081889 +1137970470 +1311126147 +347880586 +937849031 +1593257526 +2006967878 +458378125 +1133554862 +2108649901 +1518374295 +692378921 +1296764149 +76964560 +1316869222 +1670975765 +585566757 +891632912 +2105145809 +180379247 +964868268 +1294607285 +2052966439 +1729392632 +1423342546 +691933588 +1153412223 +739849887 +417519702 +203211428 +1068792927 +2124601591 +1341181898 +232435427 +324998529 +131547281 +1825692953 +184482759 +589925406 +811764168 +145649012 +2108299701 +1504143089 +1442413161 +37780613 +673528663 +965905278 +623347371 +1565161575 +923567440 +803726618 +382546196 +70691077 +709209409 +2111938828 +1494033623 +1401142997 +1117867403 +86399862 +1818662700 +1321078831 +1155192790 +1795780643 +514777082 +1387628217 +2120779173 +646324363 +1065837522 +157778284 +1236249770 +1877601690 +303427297 +1197065823 +1234261132 +1745840458 +1234846437 +1907789795 +564262089 +1858193808 +1325467723 +1487829529 +514436778 +1708013919 +1558520606 +1223646187 +1672469099 +905070582 +477305537 +642852855 +991470444 +148484589 +1963931686 +2146663234 +1944265232 +331225120 +1386807803 +1917560757 +977549484 +305161678 +2075339042 +66315606 +35279720 +231282691 +1263381429 +1269540852 +1977123149 +350744218 +1029847000 +393901590 +61454378 +207831075 +1881731119 +575891156 +1915844994 +1292768078 +1799537344 +1440830445 +50355012 +129359233 +2083683300 +1041825456 +277843822 +1900131339 +1041005043 +74625406 +83872811 +280329198 +1992186164 +1061422295 +585490876 +1920041558 +1127737901 +620770597 +3840601 +243635683 +1890311449 +1980963750 +594379901 +772674801 +227381693 +655834280 +980505876 +2109112812 +1231725436 +748867222 +1254397242 +883779132 +42214020 +1304752254 +1013138365 +2125897320 +199094063 +1290982187 +1878545011 +1240099106 +1365607594 +1962417823 +1520428304 +1210310110 +876356470 +2105919181 +982868020 +2004094372 +579206130 +986708621 +100246407 +322033931 +820188723 +694626308 +1094708733 +1047570416 +1350460588 +2075214609 +1009199581 +434702377 +676598184 +116113175 +1318481509 +718812204 +1420865430 +184136227 +697225876 +1619959493 +1475118414 +428287240 +712574951 +693242360 +243221415 +85519607 +1903552470 +1119577885 +43955140 +738936842 +976188609 +623161270 +1725645463 +1076435016 +945195202 +398350539 +1771061325 +2039903935 +1445920955 +974038265 +1967634896 +307636888 +1408740642 +496749432 +423750064 +579738504 +1215561636 +1844615494 +763874731 +1912787513 +1317091339 +91509497 +193591105 +2029666290 +784751858 +436812520 +2115185897 +540820680 +1556390405 +11657390 +1279757523 +385095367 +634818660 +857919338 +1461530383 +1580013862 +1256269877 +1085108060 +1472434149 +554707185 +2059146326 +1292585398 +862344073 +1320403320 +1789334830 +1286094137 +1900141824 +857412819 +983225983 +516532907 +622716684 +152833674 +608042405 +816307789 +35016316 +1392794263 +1253120309 +2718566 +1933614943 +662027066 +14375956 +1065888818 +1047122433 +649194616 +1923808157 +361169169 +81724831 +1032594386 +1446277229 +1554158980 +1587301571 +1357939907 +699260730 +302161997 +530859580 +341111913 +1588256134 +283517756 +1198524732 +423998470 +800050664 +1821241416 +576832144 +1408093069 +490065557 +611848461 +653403684 +1743185866 +614567027 +439534979 +257729284 +628942983 +1505423798 +1304851718 +1278137599 +1281748307 +1666020887 +1359862430 +166859045 +964814468 +766537763 +1754160617 +175270728 +1465798493 +2056322614 +706130308 +1806910406 +1497095100 +989648064 +857951490 +1921093570 +1789698728 +531709258 +350442067 +1050308149 +1021774815 +962290528 +1703711833 +617477033 +1576857555 +2143246813 +875206318 +58316890 +1501186963 +32574388 +1336454489 +635451622 +1698595275 +548833272 +802310667 +515926095 +1315371035 +408987636 +691196823 +633685880 +317826602 +1397327131 +293112639 +1814921703 +239491548 +1151064129 +1588531625 +2029190276 +1682773388 +1938973692 +932014778 +557064555 +753780572 +488242963 +1174541589 +183154479 +484006128 +2049747907 +241471369 +1985193091 +2082322295 +1577925859 +473161065 +1633433922 +2126759131 +1275471733 +1876369 +1294646518 +1684459369 +693073193 +1928332398 +2002285972 +2090400324 +73961389 +1669724027 +182408224 +1225025519 +1110772004 +64114853 +760315259 +902262049 +996129631 +1317379814 +1656042621 +1484372594 +344437755 +1839197101 +1968378723 +246702014 +2080668470 +1806088166 +181540661 +1511110681 +131765584 +1814974583 +1490386164 +1407237317 +1816850953 +637549034 +944213038 +362440498 +418397785 +799015362 +305357174 +492359174 +321255741 +487765399 +1717384693 +1432027746 +551880252 +330216304 +186806147 +1548009883 +1647596119 +1842848768 +884898829 +1992033874 +1534562221 +705793904 +91252241 +1467747044 +364398423 +272792902 +831374077 +496164007 +2087767486 +174276594 +1903401324 +1757134791 +811825628 +700130714 +2119575289 +1230223413 +1499146077 +277448815 +1722582588 +1820401818 +765214214 +1292483633 +1104945916 +1317094466 +1622699938 +1291752063 +717620701 +1122812409 +987117184 +1602519531 +967362635 +374195757 +160829787 +1058614876 +1841942801 +525228210 +1331407779 +525833231 +1021392217 +1271691617 +700109825 +777309893 +881342760 +1511935453 +1477440608 +853434401 +594675219 +829103037 +1130883216 +169774159 +502021207 +1896097431 +1462257792 +1606967124 +1065708249 +937474082 +751235539 +1783328951 +2060286491 +1738352723 +1238364834 +880165479 +2112548481 +1399194621 +1938780355 +1807007634 +1924422832 +1122704486 +185357217 +798331401 +246912455 +885467042 +1575641295 +1128255215 +249918848 +905598255 +1981689616 +844594067 +1734701292 +965089185 +1014368226 +89238851 +713702968 +329142370 +1696205975 +1779411217 +1266616453 +299957867 +1415256520 +1179419296 +2038310590 +506137706 +2059584775 +2003375423 +1905332328 +1850881483 +1662899410 +1682271512 +826102321 +1848256627 +333119265 +1073014777 +586240022 +1908760560 +53786344 +836158870 +666875167 +2035475961 +1680752937 +254092811 +853081498 +547637515 +343331663 +1566784466 +876779885 +2039537638 +1198712035 +2143396338 +192011857 +466484908 +1175331987 +82838800 +972622614 +1087433114 +2086214223 +730471294 +790830949 +1601629985 +265259158 +1616933271 +1302402965 +598378424 +542464400 +1888642987 +359655336 +596250744 +577318209 +1026530504 +484243057 +110587498 +1280623315 +1337324555 +658225013 +1623954978 +756625373 +1535004898 +1516008969 +1955337409 +1530917589 +1708020826 +274338669 +558765928 +1790859626 +1246961283 +1646199042 +1729590202 +1977432578 +289546344 +1183736539 +95208088 +1906479615 +338655856 +693586512 +301460367 +79815195 +1053241849 +897711111 +657133404 +2079772353 +1381954169 +767720902 +1212912020 +571795076 +1425945915 +689383351 +1328420450 +813467166 +57908672 +1136274211 +196901107 +1765929498 +1410612880 +755667035 +1409305477 +510090515 +254382429 +991412031 +340039445 +543928773 +27664922 +435247534 +302924740 +366320779 +1128834046 +604385107 +446135974 +34592247 +1502096219 +1103269379 +2114364600 +736566740 +1870990281 +1179792973 +1308361816 +1149452549 +1869176324 +489298618 +1962919715 +1927084996 +1625572829 +12337174 +1545530846 +888702061 +768004209 +807352675 +1398792577 +1022386638 +1798764706 +1738832022 +1566315412 +1826429629 +26595908 +1869240152 +45266760 +1155429955 +326141612 +491402734 +1190022202 +1828237831 +1594672113 +1156903155 +417320923 +1318178747 +189212480 +1725682739 +320147648 +2058388804 +67497710 +135583715 +1837990152 +1693070539 +147920889 +1236037350 +434288953 +915925098 +2043390026 +1833081530 +1938311736 +1694671084 +1424429904 +1357143500 +1373617065 +1451025813 +1078900005 +1418883825 +458972120 +1405041617 +1910286560 +1648994322 +1085795800 +1357475025 +658413829 +1503116723 +528170124 +847626309 +1081315814 +848317772 +758531465 +1148813524 +983901487 +449037969 +694400416 +1131822376 +1685075320 +1128689369 +2047747474 +1580981698 +814287251 +1838575563 +1128169134 +91233507 +1048235415 +354302552 +1542259320 +2127135420 +1773186377 +2001231440 +1384693389 +1535989289 +1502742115 +323005541 +745980667 +13672296 +1826122264 +1274150791 +861298606 +759954431 +2122468564 +1619830071 +1908767955 +958886403 +2068868041 +455684723 +2090708780 +1606459713 +1584374092 +1990972606 +1039957763 +251177695 +1682064521 +20643249 +342411203 +582816289 +374945801 +1884670523 +562468061 +648531 +1738418316 +1947161451 +1536637820 +1093676783 +122683344 +135134839 +1107349079 +1948805609 +1409285631 +1968647685 +561276392 +1384270547 +1440994109 +322560699 +195673302 +1362378502 +778245423 +138898434 +821354567 +215135867 +2129871041 +1861312330 +466313563 +1664451914 +1881955579 +808724766 +99784555 +109417733 +545911641 +662252617 +110066264 +136846309 +461930420 +1646704084 +1230523092 +584613764 +1781838924 +190388524 +385935725 +1043640907 +11552561 +947212117 +280427806 +1452546670 +1269772817 +476101108 +667441524 +2048018240 +614999543 +1488796091 +115670459 +597386936 +1202624773 +581984022 +114355202 +937096705 +1390708788 +214139758 +1046514438 +1936620430 +876392375 +1156580702 +2073466739 +1338322795 +655801138 +1156506184 +1922936559 +290156414 +1346894708 +161388637 +1333797321 +1358447269 +1108600754 +1614225127 +663510292 +230889923 +2090326236 +1330951816 +131424515 +557842131 +672264260 +247094975 +1155229067 +1874889033 +829078997 +1269584269 +664502090 +72304138 +1483724027 +1711016528 +2008924568 +212632754 +720113582 +1934907659 +1550955549 +1375914721 +943930195 +1326408461 +1666071135 +143341255 +1487797098 +852384809 +1501788525 +448914204 +319126288 +17815169 +679804128 +261968876 +1348766985 +811228643 +819811007 +2021031245 +1058323618 +1975040074 +1748436631 +1887402616 +1097140696 +265455073 +1959706754 +433381075 +1976471602 +1821147674 +646013830 +549101536 +1608571685 +49485731 +1925016257 +405018233 +1375894192 +1443603745 +548359488 +716207642 +148504906 +2050148013 +1165121847 +467631194 +2067963182 +1844925975 +729600071 +1269246520 +508670970 +1549411078 +1142794117 +1566994589 +1376967505 +743747100 +1306913557 +326624553 +1009202174 +1119136663 +760005628 +838190128 +792800689 +1406019458 +1387291664 +253888726 +1455505190 +1164824274 +658906959 +683915734 +460944371 +1207266448 +1400123377 +609449277 +1109930813 +417761576 +1077080471 +1030410348 +115203903 +1806680542 +152173220 +623874873 +1208607973 +1294967337 +43385814 +438091830 +2038714438 +1350299371 +764716383 +900432964 +321952386 +1524722011 +1738623092 +1114753075 +783257822 +978431108 +1368641802 +91279364 +2143255382 +2027548761 +775195098 +456716105 +1087331561 +27834827 +1066165382 +49778727 +445596403 +2143245854 +1080189075 +560800306 +1802442748 +1232362295 +1184675180 +863567073 +379845984 +1228060994 +1301658903 +271076774 +430876718 +2066375286 +1171509738 +752829104 +1443613650 +762649182 +1867582180 +79387824 +1741080291 +1088740334 +170667188 +1736852025 +968805447 +945862286 +46084483 +2056137009 +973697114 +1112249865 +2105915736 +1419293517 +1108012071 +1038621163 +1980093824 +762971172 +123499810 +1017285356 +1626538245 +503345794 +97862702 +780713501 +774422569 +528739420 +699605139 +1945932307 +1281568525 +2143218789 +561097842 +1001667057 +75122965 +154694485 +2090407391 +245790153 +1891546510 +911729190 +1191652440 +1937630993 +820382551 +17865906 +902397211 +778814639 +1437159423 +2010409282 +1817435802 +1269769599 +625896806 +1940935612 +139571307 +104951404 +296797759 +237434010 +885664905 +1071220328 +766173430 +1585270044 +869668987 +2047741955 +1581005186 +1430766829 +901925364 +1656128151 +1585461314 +844849107 +1901918305 +1329524177 +1756578298 +946087097 +1119671522 +429477201 +963953003 +2022068733 +1208291841 +253628778 +1884994368 +878243995 +1523398378 +363407526 +671695960 +1662969685 +468358930 +968493719 +1900403695 +1354023835 +2039714047 +519093478 +791810232 +761899386 +419351785 +225331770 +45182568 +1321277150 +1881459921 +1630643882 +18642609 +1635894578 +812684411 +1775220907 +434498027 +1932355934 +57214461 +1398451030 +1806941019 +1265506302 +1652079809 +1544451739 +2143750297 +1027994539 +1907859266 +667962609 +543480576 +228734548 +1636456328 +296400624 +1582758384 +1528686727 +815494102 +227084968 +143102466 +1234845887 +452416738 +188285034 +408639389 +186393011 +1818928916 +427281999 +1822287590 +484129680 +55019258 +109301969 +269001966 +112233719 +1507753000 +2075942985 +1377740021 +1012349161 +1472911077 +1374006671 +2040343700 +1233286695 +2041969280 +436340628 +1462021243 +1530941961 +732741252 +897295979 +912145040 +1548235354 +1124380947 +1055247506 +635597594 +1576797685 +1243532540 +1044236983 +1763190697 +914977809 +1471518982 +1437994639 +1399107489 +1526538241 +1547296608 +1668109455 +1638771960 +907565960 +1596568792 +869028334 +1919915121 +921996221 +95551357 +1812775173 +7799268 +2137520637 +101632154 +1469820512 +1520978950 +834373406 +219632843 +285640343 +235125113 +1344013791 +1340887849 +870722707 +773327828 +436936742 +1914959690 +389034877 +1351914551 +1238995025 +1827029516 +603538392 +618049618 +1226842477 +124164199 +109337930 +2134408437 +1720732991 +978366264 +1906839911 +495245565 +1073917621 +1572131436 +503044833 +1063954611 +1673763590 +1972865345 +437449913 +360653349 +45014541 +723090256 +595778462 +1389028332 +2063978106 +1466501169 +14872512 +353431200 +1233977211 +403907390 +1705345751 +325488588 +83453258 +161400495 +943538206 +1310295735 +285564694 +1052876137 +1297220525 +2006297685 +2031242401 +1056576788 +354059602 +957676375 +481224576 +857104436 +2021630986 +7504519 +682486133 +311597251 +368157868 +727500674 +1034687508 +963936330 +2116529006 +951181966 +282953851 +2131401519 +1304613166 +1516931062 +387825261 +862475269 +1842419651 +471278519 +1023875764 +638474209 +1781574255 +1309440458 +1691350346 +931311132 +1168254495 +1575109100 +1987887920 +1522314098 +385301827 +321628848 +231934886 +259449165 +329133367 +914421019 +571046416 +697291235 +1641921694 +1605733924 +1661227565 +1610967052 +409432242 +1944181416 +1594884923 +1714045408 +1313628831 +1982710184 +429037029 +1008564834 +306505056 +1452912793 +1647039043 +2088079311 +614869603 +1190905742 +871906795 +1783124099 +618531194 +712311067 +1157954549 +1003833021 +1033939915 +1389889435 +1263282186 +1363073283 +156826806 +1834328602 +2060364518 +1798748500 +1292578879 +1574108436 +1262231905 +1702011121 +1370806204 +709633180 +1268572882 +536951387 +544859717 +1697609911 +1545516221 +851364773 +1003039057 +1045071617 +791960436 +1617908660 +88493711 +1663867231 +1253549111 +707024905 +228694650 +264020012 +1710857926 +1262634565 +1653909447 +826656464 +478224200 +1810736254 +513501418 +391105071 +1462001106 +1806080297 +1965213507 +576749363 +1360607771 +1188536063 +1286382544 +481697005 +1725487451 +1831242261 +31823268 +1123520024 +535123386 +1034862325 +21107993 +1327083822 +505287338 +109601704 +843467405 +1758836449 +816626609 +1072162055 +2022856462 +380000887 +187312972 +1529282261 +1206657351 +665537173 +1192534867 +1720158770 +1056642244 +507052326 +1378755419 +874372103 +1083801689 +591879542 +2062908166 +222700585 +1073576547 +1640911969 +2053942846 +1105399816 +616948346 +441582584 +2140262141 +638056339 +1768666406 +498065831 +747658044 +464650163 +109418633 +1564284653 +1536812218 +2132275095 +1944285541 +1724125191 +1514073708 +1003459244 +242178716 +559124928 +576134366 +1298820960 +1066177254 +1954889786 +25709415 +2495295 +399285680 +2088617581 +225195881 +1472862228 +1582045903 +131655079 +430778396 +51510601 +573237664 +423556889 +689566940 +194420422 +921622721 +1437224984 +659070586 +1031041354 +854025990 +48399156 +1015832801 +650827883 +1772524347 +382422861 +1654287127 +2014703063 +941547789 +82937846 +1166040375 +2007725043 +2037827632 +1191749790 +2010220339 +289629664 +1132883724 +87932572 +1762491892 +567445979 +219587651 +45786640 +618956580 +792825315 +469343530 +1308523520 +987245738 +1390966251 +598264857 +1646316324 +274523957 +1452290847 +1694715480 +1290356758 +2103118730 +1319756180 +1672779619 +1609922209 +1186975595 +466843761 +1692860055 +205532323 +327085156 +1583204039 +1397282113 +189821847 +1872833704 +382682189 +277754419 +1487841948 +950128168 +497342071 +1533628589 +1569084748 +1290167386 +2002972119 +730124621 +129929476 +1246454722 +1328389478 +1776245800 +1520978679 +633196677 +1323477633 +663851789 +588831759 +495750165 +189147760 +51270320 +1682725760 +655991521 +1744130376 +1888258083 +983076678 +1179850767 +1138056549 +1172898525 +905200823 +1520738738 +1450652945 +245559124 +323383259 +1947995016 +1779187713 +1892468007 +1090678754 +1634676184 +475108980 +1220608231 +733647258 +1803498458 +849370383 +107142289 +289211487 +25364368 +770994078 +878043246 +521114533 +960141838 +929313567 +56356646 +1616133360 +525960295 +1944614729 +451726390 +1705811062 +935187630 +1624624915 +463528238 +308442721 +927794212 +709087362 +631825980 +728305580 +340791427 +376810339 +1818984335 +1975467611 +851919320 +892108918 +561631221 +507934130 +1741479301 +668773510 +797145618 +1766843670 +1439767588 +1675188864 +140474555 +252425778 +457018783 +196831201 +1868559138 +982979078 +2141445931 +172801880 +541306493 +929149913 +1797426796 +1004834731 +1237592634 +577737360 +1713922093 +1869418614 +1306042941 +2054713520 +98745306 +977543628 +1882697483 +950664626 +1869652546 +296845056 +1458598756 +1463648199 +965618566 +108260726 +1083008221 +257902506 +1783449591 +1223482777 +510328284 +92984726 +1420313978 +231403775 +1075963805 +1414276261 +404205655 +1617270298 +195942527 +54148803 +474621381 +1433535161 +631886164 +41059826 +1155470128 +1937929105 +2095773346 +1254215434 +767989085 +1830987181 +57396412 +490157983 +2127832237 +1515995168 +1953806182 +945967155 +1624255895 +889330756 +1203869661 +1260221838 +2112813533 +1714197945 +1353206564 +1385643863 +1945601720 +281686721 +652436477 +202323728 +1898957019 +848379004 +256472531 +226094752 +134430517 +888358695 +267154578 +1289900645 +678804152 +215444276 +396632431 +1446793237 +2046431457 +454028843 +1936951220 +2026780046 +1970024012 +1743273755 +825263553 +1446796259 +485120863 +2029133214 +559534449 +450450748 +1595847512 +1912741013 +1836094611 +1393965584 +46944087 +341047440 +1596289312 +1945901106 +1189426444 +1852761844 +24512211 +1323856962 +593636891 +291666789 +466273959 +1272441044 +507111066 +862906391 +571750633 +406058875 +1316935234 +361218206 +285355274 +1139475598 +2104491961 +1110618827 +438788209 +442129176 +992268394 +998322658 +892579924 +440632258 +763580024 +581190887 +1834597842 +810524111 +922238328 +1283403507 +608941569 +2111664772 +988681703 +633453780 +1288038086 +1582318594 +925120570 +1754312046 +707275990 +1432231636 +469734789 +1279026624 +1838290511 +1786670023 +1640244830 +2123645785 +778661974 +1597253143 +1086780965 +1217450183 +2039382319 +2079049359 +68289194 +784478595 +372197969 +831869218 +1365669482 +59312163 +1642393329 +140424162 +1342715670 +103851250 +104605287 +183913725 +737305031 +1392643373 +1766232320 +1662425601 +999471771 +326024662 +947173589 +1469206560 +1605051286 +637980452 +1108392936 +1097812468 +614142590 +1887054910 +547581963 +1700923555 +957021445 +439480634 +1632489266 +1025310639 +1223959229 +2004687235 +1857179857 +442145064 +2063999398 +1352089538 +582569226 +1259231421 +1455940789 +687174513 +1443145146 +45762172 +2079817887 +1061893818 +1708187773 +931806010 +1387918481 +507877714 +253528923 +845486119 +1145858166 +1361921859 +1943298588 +1760000756 +1101493121 +343396903 +1313440663 +2058514566 +782877538 +798446281 +936341558 +2006836767 +655649868 +646037767 +301498183 +572165619 +1998127306 +884067410 +1831397040 +1306584447 +1571241923 +1127058538 +1352346619 +1503576162 +41468709 +913050744 +287898525 +1429387190 +1420928458 +541427448 +127389661 +419302976 +1903349307 +2070688249 +31820085 +857358780 +266601505 +1345260748 +768389698 +1049479043 +2143707030 +1704731256 +908832162 +651873250 +203285376 +1210330346 +1224038869 +53929034 +2094397756 +907952261 +1360513481 +1518156031 +2035010800 +565376452 +874248546 +2076479509 +1478427196 +1162147071 +1358383051 +751872006 +1703574519 +1485772712 +1171174982 +1459440178 +1408977314 +1202995067 +169315310 +1675578819 +400772168 +937705008 +577574214 +396995550 +494952617 +1486406376 +1048868800 +698237993 +549253074 +125424022 +752167027 +496167182 +1033376283 +2112680508 +2014323214 +920903435 +530573312 +741088112 +849899296 +2009000508 +1903235183 +60798699 +613388866 +1459326054 +1546571412 +1784563848 +771282584 +808065078 +840075268 +940597894 +336160249 +1240847436 +1878302902 +913734463 +1637842986 +225771871 +252657191 +539228138 +924009864 +801910266 +664652160 +1676176891 +1298077448 +1698028444 +1641373751 +1164917014 +471448231 +24463415 +1906005126 +1321347528 +2033463923 +1661756661 +1382146227 +499369141 +973599067 +781233991 +136449342 +1744881651 +1589299069 +976524610 +537995897 +1925459318 +69888398 +268815152 +691710133 +1707731384 +494587023 +944367325 +99475874 +1418596888 +1746277591 +764128035 +947290131 +896871391 +314672831 +441180235 +2061788406 +786121062 +465643650 +1820309884 +2107468590 +351623926 +1334582898 +1342131170 +850993067 +160698317 +2123365161 +987442409 +1905579969 +1565180583 +1963967019 +296092218 +1343156253 +2033855417 +564907370 +2034866387 +1594103153 +1059494394 +831750064 +1693579028 +330607634 +430544007 +310223415 +1277897765 +1327415398 +624896246 +1719078000 +1241720156 +1411017308 +37238003 +914546393 +1371002251 +388861929 +101645643 +565649773 +1239854996 +262343960 +541531286 +79813758 +20440281 +2106711869 +2043780777 +316532500 +1302384475 +1930152547 +881439870 +1189767214 +1376772052 +1940934264 +2021517278 +922867432 +124058250 +304577637 +1233090847 +1401956016 +1631993035 +1857987093 +973550368 +726229544 +1121520754 +1010788371 +1640775937 +345039357 +1399650300 +1742421580 +910689130 +492021649 +2004765540 +1452220416 +571835407 +2025205822 +1411448638 +468132536 +194254674 +566349465 +250801435 +1075694544 +1756116679 +1627573488 +869145161 +1630150309 +402957272 +993203411 +1934727946 +1636048120 +247675779 +1419237333 +1346551565 +1221226148 +2145466877 +320588671 +84530871 +1638759166 +665628028 +1484181172 +1233697098 +1576317158 +1976202821 +1090978991 +881053927 +400554580 +968701165 +145018917 +868687116 +1162955839 +711368382 +1119488552 +91166735 +320001413 +599578392 +960311896 +1950151722 +1002535664 +1953515308 +1737396020 +491100136 +53707439 +1009149705 +1837651702 +1274933587 +1007132935 +10756725 +1359464459 +498408453 +676384754 +696161983 +1732105552 +105218264 +524881156 +675600895 +986272191 +925435736 +1644302060 +1131291108 +1794122852 +659774251 +1842659490 +766127756 +750940986 +15177255 +1365706148 +1711252883 +1965328977 +220758165 +1517284543 +1555241349 +711858301 +1570991982 +416907407 +402026355 +698441922 +1424040342 +412783081 +2057906381 +1922448795 +1089167835 +606584716 +1507070699 +1194386099 +1131465872 +35187946 +33174643 +2056901608 +1679490006 +1164465751 +1703540812 +191780609 +859641594 +322184921 +942721596 +874818849 +1687891069 +506490831 +692664179 +1908649234 +2023775374 +100421880 +473023888 +1447283708 +517329287 +875050243 +2145725630 +1941369629 +1287833324 +2056148363 +1716334777 +229517511 +515249431 +1075921828 +1423903611 +1646715303 +1111109775 +1457078254 +1556133263 +643116133 +474060357 +1112190428 +834896743 +1333701951 +1434375349 +1777618339 +61037153 +974782770 +136625522 +753701332 +735948357 +12917248 +854123212 +1208972245 +1460200956 +1371452500 +2084022488 +1458442939 +1165338481 +1224372165 +1367107654 +734189610 +1453889676 +1882357086 +1810111439 +730309639 +1381588741 +773737566 +39904245 +790238357 +1416853699 +513964603 +1902428785 +104266794 +1847666554 +1189320486 +1881885133 +1908703707 +16619608 +2018510655 +514921391 +752567965 +2031427903 +1369044604 +1961540210 +1344145212 +593013456 +1898079051 +655104503 +1758351937 +974967568 +2022212157 +345057900 +281373596 +1757085595 +7685691 +1011683236 +991190689 +781423257 +1051587481 +1781429046 +50793308 +1565552084 +1536374183 +155060103 +1265734991 +578211021 +2036945236 +1026955050 +594830629 +1907972244 +1541876442 +1347398595 +1791916499 +763437398 +1161455157 +988578063 +1356450854 +912050560 +1643682566 +967319143 +1887018128 +1518411076 +1312377043 +20908077 +1128013023 +1320062734 +1032591313 +2119203712 +2101485991 +2084178794 +1753149110 +4795652 +1502247231 +1142039645 +159855755 +620498574 +1720250666 +49317343 +1647453624 +167597648 +1957289587 +1041846418 +1514996243 +1601722439 +1805283816 +528967752 +442816854 +1014251022 +1441018313 +2086499421 +1981570166 +1180552793 +1457426849 +1146463561 +1201460870 +437956224 +319042648 +86568535 +409676289 +273044991 +23263682 +15341751 +277840643 +1525510913 +1157381397 +437696398 +2146009487 +730148415 +487013742 +1645979463 +897746063 +296819681 +540342234 +265258658 +1898542120 +198142402 +794226411 +193875327 +1212393425 +87761076 +132891100 +1046479943 +1268313869 +1590317949 +45459856 +322291092 +2028274173 +364502504 +408859627 +290466814 +637547496 +432123309 +305808566 +915388139 +1957634222 +1463189963 +1353084538 +1956160061 +45854730 +1840098280 +1454655877 +943600794 +2136917961 +1994998111 +1208859452 +1887976434 +45656865 +2003085863 +2081851761 +1258050290 +2090846939 +67259213 +157046585 +1211677161 +1657577162 +202506442 +1533968253 +1538367687 +567008946 +1942827880 +1828834502 +1204556442 +227467542 +2134643068 +2119944582 +37618116 +1450349383 +1325545472 +1993778178 +1496204113 +1018160104 +1300950407 +292321259 +1007594417 +1148464870 +1501180712 +748087203 +1194121735 +1356782927 +682455316 +304688378 +1300146219 +749714529 +461734963 +364339732 +259808043 +664241405 +1898307985 +1798175731 +1231250352 +1693652217 +1479526585 +288323146 +1921119759 +1466686005 +260784080 +1958737876 +769551740 +1586329552 +1805032406 +118272205 +457006008 +958499165 +410593465 +1464600426 +2106964035 +1911774177 +65203981 +1153602122 +1121073456 +747659298 +1458290500 +273736027 +1497373827 +1920025464 +638075759 +1757181871 +436783221 +388900096 +1407873954 +1668033573 +2082552314 +739916891 +1956356720 +1856188425 +59119248 +69657152 +1667442653 +828670988 +1655986705 +1324991411 +946943193 +2112992713 +136006928 +1357536658 +1430109491 +95487315 +1121827187 +1495313473 +1249089438 +95416996 +95489123 +559896290 +369153023 +1592862950 +332438106 +1007228783 +1202561173 +769221328 +1396128879 +462951479 +289771253 +1331197545 +1202868370 +98644325 +1039902323 +1261987618 +168301478 +559861328 +2090658606 +1824288183 +1884852740 +890118152 +1789797248 +2020859668 +100171162 +1072423092 +2116346984 +1221998350 +420252917 +1217952774 +1317415346 +515742040 +1777849064 +1686568369 +2108604990 +2110287171 +546313504 +1163682516 +732024851 +1942442384 +1626633995 +1021796104 +1126156281 +682018718 +1120440430 +18574956 +1944006336 +1288741908 +578436285 +1887181295 +965546443 +315805377 +629815799 +607860043 +189181397 +729986961 +1680283135 +158044733 +1951985311 +2100536052 +1375997507 +1121917009 +468794444 +1006362924 +661001731 +429915787 +969166447 +1207315235 +1593598303 +1701191298 +1002273971 +1072748650 +575503754 +2128430253 +1754767368 +1695944184 +2147005209 +1551290057 +837202444 +577957846 +1290987704 +1802748887 +893763223 +1920803503 +263125283 +1082944621 +503306816 +1943408418 +1240989354 +307808480 +1896460823 +469503214 +1429725489 +217771619 +1475866138 +2090727220 +647687406 +297548937 +1150558808 +93802061 +1998740235 +5349131 +1166550712 +426760341 +2133779384 +773834432 +2122704526 +2133300946 +177640841 +812423322 +563775144 +1468628545 +467688562 +1457538368 +1241948400 +730813845 +392999341 +1745255217 +526738615 +1633988695 +2053063697 +275715790 +2103491909 +1335305538 +493487410 +1431874399 +1278549111 +1141174816 +1729423336 +281624271 +1234976878 +1580679923 +286973402 +254043942 +2007440265 +273269139 +1027878374 +1982661143 +259086437 +1205519216 +647600817 +822861581 +526664113 +1115289379 +132916301 +1768612514 +1846103224 +525915642 +1366384083 +225358192 +12420690 +1271964132 +501073982 +2115912599 +459786022 +994561392 +1400303351 +1738335133 +2135736209 +982243039 +2019959404 +1223229439 +415439315 +159449159 +1477273381 +275395932 +432718298 +357668107 +110573427 +691804735 +1563187323 +758174244 +1514666316 +2089851437 +1873463624 +1647582618 +1710980303 +1572083200 +26014612 +929880738 +1797441392 +38435302 +54361222 +151031727 +6864254 +514147244 +1145593119 +1407167605 +104998730 +1133845680 +241926996 +2124958134 +209591471 +657366311 +136923645 +1686864852 +932762243 +569641943 +2044532960 +1043335670 +1261446678 +1460236635 +1801509915 +628629347 +1402604424 +1527489891 +128728317 +966101079 +952089443 +154742929 +1895981817 +602047188 +193178232 +1950343039 +753078915 +200042486 +317006636 +1898672034 +1607210091 +422005366 +885034067 +1849137087 +399479852 +1094625538 +359019751 +536403498 +634006743 +1291781994 +1106045441 +531056055 +187634017 +220008472 +1991292690 +1989143932 +848637819 +1246413467 +1369150175 +977366136 +65030898 +173755970 +1132109065 +1961012716 +775803158 +1325287297 +1763872107 +1528882073 +1525329783 +2080878743 +1280070460 +985056226 +355400461 +17620879 +686709666 +754880314 +1112246417 +1045729417 +1291283812 +1746253160 +190027763 +249845605 +129825567 +377661780 +469854077 +2121118258 +219322064 +1318491896 +1220048077 +1588472239 +148374384 +1285078975 +1762228210 +1280483450 +1098608043 +390547720 +458287099 +714996503 +1919429794 +1983616883 +648391598 +1052016606 +821189461 +1003792060 +1069637485 +1507899127 +1758672374 +34400254 +406144896 +902472538 +1780653415 +596172660 +1152318143 +1910478982 +973834440 +1622172221 +1884113592 +1193156505 +793180469 +956678021 +634145096 +941554854 +94273349 +248889658 +74554656 +1192881392 +639437379 +532841755 +1907877895 +411383525 +368974990 +408785846 +1463400131 +1190164452 +1412577906 +385553968 +550579931 +1023766632 +419954222 +956724828 +1926239170 +53123989 +1552897488 +931073665 +1963602972 +379248280 +405762238 +1700232916 +1572404785 +1198942708 +509427290 +59066234 +2140497562 +603700639 +307955892 +67568570 +1796582031 +947393271 +600410325 +1556976279 +1358776796 +969385316 +1965762125 +674693279 +12066120 +1230856383 +1060247247 +562646051 +107139367 +1480201470 +1519370879 +2033378537 +1533325459 +924784719 +816968554 +1349444783 +1304033000 +1222730793 +902194052 +728954137 +274189853 +1411621342 +788020371 +267203767 +2015321981 +1095976264 +334772337 +1664420364 +2043369535 +935182662 +1073912995 +1254662684 +1904567978 +892191472 +1929355963 +1916634098 +2123047855 +842119563 +331796502 +82703574 +174837385 +1851167381 +2116082111 +1708162844 +628468453 +785567018 +910123980 +1932501453 +2008297811 +1812318032 +513971942 +135004016 +1076455726 +1301992314 +402207783 +944294059 +250484930 +736980120 +461230775 +146370817 +1672162782 +1535143771 +1401033501 +1429247113 +279851595 +1182905817 +1198397563 +255415803 +2025025380 +1530194065 +338119377 +52379117 +1233877799 +306717841 +1760541961 +1862346252 +1092284859 +523182293 +1647364057 +953099022 +188016677 +13852351 +1088103038 +1264472403 +1315844665 +1490310821 +61282814 +1566329595 +79807293 +522513590 +1712700413 +1751970075 +2057657361 +966250266 +1033733540 +190025308 +1672435 +84647456 +445441111 +2026697815 +1614841521 +783560489 +2079076932 +701235672 +1090278330 +1692135246 +416098276 +35079541 +67833891 +2063462333 +988178563 +255850569 +2077314685 +2076281601 +1520322972 +1245675702 +1419108774 +1581605787 +664521650 +1498916067 +2104119377 +229738415 +1103402494 +2014293090 +1195988681 +2137136035 +56834750 +1197661117 +74299843 +502275862 +1076875284 +1689141364 +1285836351 +1008468569 +242893389 +228631033 +553120167 +658991665 +263710574 +620954058 +574970351 +1251889137 +876804627 +504801388 +1180687090 +249643952 +1750477090 +452312216 +1831249739 +267515092 +1951228283 +1787885468 +497253507 +907147129 +1654694910 +1693242189 +896799516 +1711529660 +743419658 +971099359 +66321874 +1820294942 +512757076 +1352158225 +681279863 +755650465 +1580789258 +1234400030 +1414642130 +1844499832 +1855354089 +1989612481 +948905321 +584675068 +346930221 +2129592411 +834319020 +2097407312 +434420979 +518085111 +217438756 +238165614 +158486931 +714692264 +1145312744 +1813181841 +260450805 +2042112260 +1377227854 +1003870463 +865727972 +1443549728 +676681757 +1378485048 +648224306 +1357961621 +2134135513 +81529916 +444878003 +1401293995 +1926029749 +152748444 +1243422829 +727451422 +737423513 +1590353050 +709560186 +1571742533 +1540276714 +1143981165 +2089827645 +1757715471 +1382146780 +100830928 +324924087 +379975876 +1914012770 +585374892 +274604488 +1143756976 +1589245355 +1140332460 +439823056 +118443464 +371333860 +1088047362 +1476405085 +357985725 +1169577279 +1921283089 +1759279721 +948123380 +2074031533 +855218902 +1675574802 +663971398 +298088304 +237651340 +88230284 +1838365019 +1381632506 +30574281 +1448596842 +616295638 +131405209 +1773520929 +996271514 +2045417979 +211412173 +1270876002 +1041691307 +1800657528 +263724815 +1481514364 +1919100992 +635058675 +422078078 +1248022430 +993044401 +1591655357 +1021821871 +604840474 +392295089 +948369756 +1460059376 +2067869892 +1612341155 +1758147680 +158037584 +1700571439 +1449029051 +1539670090 +1731145720 +750142245 +8482080 +1862550929 +376179526 +1004753594 +1760485261 +587591699 +128145949 +654692920 +240765579 +391870764 +2136207284 +12382924 +1026929439 +410801715 +1260405354 +2019973840 +2002457072 +134743577 +477330666 +247268514 +1083113333 +1937390042 +167654758 +547970840 +1548054075 +325692342 +101058631 +849599478 +1865362433 +1832204351 +1599741724 +1873844513 +1547271633 +1975921250 +731114460 +1160273246 +416029302 +859260409 +1814966166 +656794881 +1251131173 +1803689803 +669177805 +130576964 +67007870 +1929583159 +3067157 +2069464942 +2064326736 +480397823 +169249808 +999956422 +270304218 +336904566 +1547927262 +1818358293 +662596909 +1648985894 +520474123 +380475694 +1333706597 +2120215847 +106836559 +733494582 +1948653450 +837951019 +1893767828 +217199104 +1697211428 +1561250347 +873993985 +800858953 +1217456502 +1543171791 +931435918 +1284464372 +1325271302 +934503075 +1206445666 +1242114391 +1414900898 +1375695475 +94587165 +1685205116 +1712600041 +1642514427 +1356079761 +227713302 +1144016673 +1876553885 +608188996 +330239623 +1849286084 +715025556 +1063734205 +1650455886 +1552976575 +810018386 +1867654990 +1102704356 +223785085 +594165328 +1903563309 +1441241587 +2137337119 +687515579 +578222311 +1315124773 +1622018654 +1784667977 +409755516 +889435905 +1012879804 +504342681 +427157373 +577996198 +2146857109 +1783237135 +805709500 +1143390134 +1512307372 +1413898497 +1473629757 +1214109808 +2128924053 +389880315 +717082047 +1534416980 +1199898701 +437253389 +489637688 +1423683786 +1031418717 +245717350 +717441725 +1021272188 +933232929 +1295664036 +188913314 +407767936 +932848365 +598668830 +1297203841 +1945728170 +1103011512 +1724361214 +376240720 +1102384973 +1360114701 +1181950220 +98291459 +724938425 +448365069 +1571921217 +1939048234 +429805474 +1961801532 +508646633 +1964222455 +1014216585 +945900022 +306376495 +290416723 +1977318740 +552093845 +1007858448 +851107280 +1485326775 +156038836 +1040020594 +1893094711 +1088887201 +1638689425 +1042814904 +887131723 +594217289 +619692470 +1263372443 +1696602262 +1979807172 +297839016 +1794893721 +557261949 +746204085 +1219331290 +348826535 +1176009560 +1033649174 +857473168 +992748367 +2047865759 +1803373191 +1299124862 +190798834 +1633208283 +1851218708 +1198657282 +336831915 +1189061835 +1354696118 +1376852510 +934672898 +296099672 +868058287 +1977487802 +1183231395 +1462275576 +449696624 +299120191 +1011394190 +282020148 +596959207 +658804263 +839282098 +1343163292 +1878135554 +1188108633 +371689204 +764301080 +2045581802 +1364437571 +664683192 +1701471345 +516078786 +855482026 +1187195980 +219813846 +2054139309 +1524027895 +1408875681 +1261351779 +753396757 +196064931 +1557451451 +1621455044 +26069085 +593199199 +936246972 +475765709 +892319390 +1947641162 +757785858 +1489278597 +458961778 +1597067956 +684958241 +189613684 +637692941 +1056647446 +953914764 +535791095 +273601369 +1618597956 +89778792 +789680155 +326596335 +1276974772 +1009494001 +233251996 +653519020 +270886034 +1494603775 +1406915777 +466950965 +904571579 +880887174 +493020050 +1497770778 +1817134146 +968785760 +242606520 +1617291661 +1726571618 +1731885117 +2076253439 +1176155926 +269359710 +118383475 +1813848867 +1326007156 +1072298239 +202156315 +1599608526 +543412548 +291935107 +241805033 +870008883 +1568909880 +1251299035 +1103260879 +74945252 +1522185069 +450381006 +1481861029 +1989136035 +1354952585 +215264555 +334672437 +705239715 +2032398702 +1303458197 +947846235 +1502206715 +882546167 +532247704 +1430976506 +2058702093 +801607415 +1549359981 +1725067313 +2127614571 +474174572 +1927223628 +1579739449 +1017587120 +71675087 +1821544483 +1887596003 +1640584967 +925359870 +843373234 +1715530219 +300061291 +1293754241 +1049907601 +141713678 +501223178 +1265172156 +476386116 +1206462894 +1150087210 +1779844313 +6825481 +504810277 +514906833 +539073186 +1935786783 +426125278 +1340680601 +1337663116 +3708943 +1320811524 +1811837689 +1930932571 +753067326 +681941161 +2002607659 +427128161 +422053517 +1495708978 +1352488031 +1265426751 +1063755550 +1652549322 +411697344 +2113663151 +1794263001 +912920523 +1231351659 +123165469 +2119383417 +233955222 +1903009782 +2126208898 +738765499 +270432967 +517798436 +527068635 +696558246 +1858479037 +1864731751 +700267189 +1031806914 +1529085792 +483716113 +1784874240 +63543306 +338840124 +64518753 +485596823 +1834549102 +1417006784 +1751023574 +750821004 +922072458 +15237271 +717000507 +568851811 +928157794 +1948352167 +692017280 +900057563 +34823741 +447543415 +878782813 +773589240 +717976382 +1396581250 +1300657875 +1414534628 +1107576639 +1017905979 +2114801818 +2139383553 +399508123 +451034283 +1776774145 +463051429 +789874407 +1841292898 +948648252 +476939861 +1110816034 +552188179 +1227760866 +2032888493 +567425450 +1944761373 +454256656 +1495583244 +1745629892 +1146273937 +248157159 +1780453633 +1593817352 +1126939972 +406559226 +164310086 +376037574 +1707217101 +1578844715 +1483614214 +577639432 +1546162885 +1475514119 +977147556 +1997197168 +1104804617 +1440198985 +639587927 +798613867 +241363590 +1116527788 +1909429902 +793551769 +196805006 +1794834747 +1360977219 +2141566380 +101607755 +709076815 +1739712624 +1247881692 +957233974 +1372682610 +694215396 +2084173946 +1779241836 +858525483 +312727873 +1338975289 +289886550 +1796342087 +1916614722 +1836049435 +1124372558 +746278630 +1685762955 +81693527 +38993967 +177867234 +880307395 +280357557 +1294395022 +642253649 +1073909326 +1491200029 +289604748 +287402897 +1485282761 +391212503 +996479712 +1077511737 +1639094196 +1953713686 +302710699 +185825944 +1890403985 +2081952535 +1044351427 +55648210 +1273444177 +1334237977 +1851990297 +1042575251 +1022803764 +828879207 +1788853881 +561083071 +910572735 +1827847848 +738950305 +1790880130 +2108205406 +2033345328 +285650131 +1034631084 +1377061709 +575254879 +1322033982 +714860822 +966467382 +171030046 +1792372559 +458077930 +2124743733 +2095083259 +643903875 +1867664070 +2029552146 +1688255302 +1923312280 +1155512675 +875009632 +1627818929 +50604278 +1897813396 +309214488 +1839458159 +311412820 +1219787223 +1519822360 +1050363125 +863183705 +1480544118 +936224805 +1148833836 +367691554 +165802866 +1724088715 +1689725536 +880663688 +543072450 +1860755583 +525552600 +1001150380 +1838015668 +473152211 +1645054255 +1558196090 +355220709 +1185825910 +1334024722 +1510733385 +2060835542 +814360003 +1561337663 +1811165290 +1123574491 +1253312175 +2122578110 +195878067 +625650887 +1025457588 +1059061772 +2106195005 +1961682393 +60411961 +326402911 +2127485260 +1784500676 +2016128448 +860665300 +180089478 +1729400383 +1386217900 +1181239859 +1419932403 +1859370111 +678810466 +830644845 +67107173 +1864636376 +17185919 +1577840558 +1777988270 +831545922 +991694573 +1441669913 +1955120413 +97523100 +1416764375 +3514832 +723173987 +294738315 +1062576605 +681885344 +108937061 +1122988566 +1008288256 +88938673 +760005594 +876933056 +949603973 +940095073 +458849791 +188338226 +2121334932 +1878782194 +2047708337 +652661750 +561943391 +2114815510 +369814479 +579129310 +1545172420 +319101 +1410675232 +389383346 +1441989014 +1218311997 +486906446 +711269742 +1221826830 +1210080434 +1006008057 +136919787 +1891965778 +1114945118 +1259908353 +752770386 +1203883791 +2019913947 +1629703442 +6004117 +812525372 +2088553233 +194342343 +786376656 +1819851779 +94567032 +1439038407 +234311522 +61898895 +1808852886 +813440832 +1607071315 +1809171987 +76632416 +1996454661 +1103677354 +1294944414 +335877460 +1814947096 +369287596 +1545957894 +673471505 +506207383 +1290440024 +1788416624 +1766115736 +2043210411 +844816767 +1638546035 +1525430205 +850820884 +303587760 +1466499791 +1045163227 +1089964416 +1138867922 +1139730260 +381519175 +1373179445 +1201629155 +42888413 +39136629 +661216822 +1852060401 +115769046 +510187836 +808254107 +1410713460 +846065296 +475717555 +1780001056 +244539542 +1149189060 +138724791 +1534979566 +790122036 +1904840527 +1430706329 +1634938804 +1395902914 +808652887 +338276040 +1699490674 +127669030 +1383439268 +641971443 +1266536952 +375685880 +1023490618 +492232749 +1577315035 +1066379032 +531369379 +91048209 +770955785 +647138425 +601236045 +1579209892 +2057851885 +1447301341 +2054927447 +1690369293 +1691840883 +1056632859 +1829094084 +1079336802 +1846754896 +1586450963 +362559483 +1334210052 +834870229 +1171212370 +1672486092 +386877256 +1298881400 +908441712 +1028848699 +417934705 +1284127592 +2052339317 +910167454 +713958979 +971234701 +1441536833 +805007189 +1742190486 +2088675258 +1406243234 +1173916730 +1999043495 +706060928 +1081360529 +1541929140 +250418163 +2137993389 +1223539576 +1329754965 +1837264637 +662506891 +1692314449 +1023991041 +1497377121 +716043171 +548993485 +1884254377 +2014924572 +1457435198 +765619428 +285375629 +594079142 +670475097 +1195543083 +1308038122 +1641709799 +489596269 +2113045311 +1236416637 +430787879 +1371804897 +262849720 +282347727 +2077865825 +1344210249 +1824276867 +180800341 +1334719990 +900332796 +1510555306 +1024500979 +1562839687 +1055386107 +2048492020 +912733160 +1771429279 +450001858 +649503889 +1638870203 +1907437056 +1415123317 +1924245832 +354032550 +2085598415 +972305267 +1662070672 +1579824566 +1461901536 +1627632335 +668757555 +1892689416 +851953585 +931607275 +27553495 +782335762 +128333877 +1851830362 +963136103 +1463053867 +604679510 +326207762 +340071199 +20035550 +1381593869 +241079571 +932768710 +1005539500 +691081429 +1582272600 +496926055 +451034837 +849912269 +273688239 +805067388 +788027036 +1245993507 +319654412 +220367954 +560411395 +1947286748 +889125510 +305617163 +651756685 +1820732785 +333170658 +1434092447 +1949066662 +37517373 +249744903 +1264636882 +642196883 +575952665 +1604708081 +662232433 +1957546534 +1845787652 +1595001144 +815602387 +389385434 +1029790096 +1312528442 +840420271 +1879702365 +1586216682 +1645487659 +520245754 +684726541 +1965142072 +740613708 +1245137936 +1764945172 +1629739218 +1550755100 +269218209 +1302988356 +1883925758 +1703310656 +1104571370 +1921443131 +1953055559 +221724604 +416156367 +381524576 +1826432685 +1078388800 +191587463 +1524736690 +525906296 +1007189850 +1914122124 +1555696392 +172234644 +607058747 +1287915110 +1758451326 +105062759 +1808160864 +295694219 +2070204831 +401290924 +1540832156 +1687666355 +2031030143 +944103608 +1956884564 +1186534851 +680545718 +1512711572 +143622573 +454505202 +1318283484 +365347178 +870661569 +1699808060 +44296215 +1949050369 +1891395523 +1569032905 +327473018 +751101725 +1335671381 +1883169410 +923336370 +1942730129 +1023600872 +534304048 +2047792888 +684278088 +829998268 +1970514071 +1085569013 +223346776 +1510696778 +969115508 +1167450384 +1320097694 +8166711 +1847996102 +685325618 +151789284 +155017656 +2003609102 +517136462 +1025679225 +1555933515 +561432678 +827245947 +1299845390 +2130465583 +1154718965 +2050947116 +1318653317 +890404727 +826799838 +1113899798 +1914005600 +1361103886 +1014209038 +450800040 +43618506 +837239461 +1536369053 +266965282 +200452591 +358000913 +1434415666 +1520550285 +366167624 +1134928121 +58392255 +517956909 +1289945777 +2062001358 +1035093371 +168141355 +1470451225 +1596526049 +995387302 +622812967 +1579507985 +2622619 +526276435 +750677654 +893027346 +1353076273 +1864577452 +659549298 +566696512 +731302842 +1110349339 +610315018 +1568542303 +499234744 +877280301 +1768994894 +857235658 +164212319 +1142061531 +1223403282 +1299140440 +1200453786 +1741360191 +441602570 +1114971496 +628969915 +609743925 +437939073 +78012316 +1605131227 +1060752041 +1657520301 +1607753846 +1587028476 +260714307 +353297544 +792621102 +2125291759 +1012846843 +1359317614 +709110953 +2123196182 +1969632632 +130169608 +474947278 +699429285 +1899164502 +1332182936 +863641605 +893742385 +408102571 +15298397 +2094196172 +1979114 +456900967 +1061684020 +630949029 +1066644892 +1499623094 +708961346 +524292471 +412891487 +218997999 +2132046317 +1999919963 +479712307 +337860214 +645057417 +457520418 +1350707057 +2004375031 +1166631372 +1326419591 +1826524016 +1296800980 +1801366869 +378469653 +1048481835 +986066158 +1242111258 +1942224220 +1394168729 +1257409656 +1888936744 +1396147843 +1714310623 +803137117 +2027096873 +633471868 +155276563 +588574571 +1157764339 +568168050 +807572570 +1142327009 +420604365 +1287284877 +1480187223 +1065661783 +1744805296 +683410632 +922553166 +763953020 +2009830223 +601593534 +2060754000 +1663713444 +980063188 +961752187 +502295954 +74690798 +756492760 +1896464683 +1332100454 +497945856 +1145128879 +898927430 +1301082973 +1024742104 +1532399298 +1456359536 +1613316675 +542679989 +2024527586 +273405597 +1685006998 +297648304 +1560690475 +1017710573 +1363310087 +1158012123 +1701121205 +138379605 +1921965143 +1563467780 +739973140 +1835235495 +1079697577 +1720036328 +649504035 +1581993531 +1794727126 +1405996795 +1330974567 +979343933 +1903942651 +328619798 +1878271363 +1057541977 +1353361902 +1263187013 +366417865 +819194929 +1805867002 +243461804 +1092600526 +1343390353 +541110108 +505807353 +213617278 +1904420195 +1663819476 +1914738484 +2042799800 +1438300971 +1330722616 +635289292 +1126052819 +262936545 +207841972 +1775556854 +1844930077 +2002569099 +1034070001 +1028420996 +834429384 +790529004 +1357040794 +565217099 +1848070981 +562919048 +1828404112 +67005199 +1382113977 +1486787466 +310467003 +327230855 +682694171 +851577111 +833038209 +896311450 +608513658 +349374037 +663566286 +503829810 +1787675009 +1994288902 +1139119103 +766244180 +109741800 +1346961075 +394317386 +1954671877 +1202046526 +1428387387 +835609225 +2036475910 +71432743 +45166371 +454209361 +1919503725 +608085419 +135129825 +1986508924 +1990199396 +1621917292 +149492279 +169946603 +157127815 +1001069390 +1002984812 +1053439265 +1609583048 +1352358850 +1717005551 +2113412858 +992550211 +1563810806 +1105048313 +1758794391 +1673552606 +304525741 +5628129 +1480740835 +1506572267 +1434015516 +168866412 +1395564530 +1505448259 +214032783 +1849773891 +1277468336 +822118202 +1984903717 +1116493612 +664833950 +1459337361 +1265985891 +834780553 +1616465176 +119571633 +1837765366 +522420794 +1729154681 +1042640568 +91942697 +1695083892 +2035190779 +1655753503 +652648557 +1646501522 +1181822461 +957174298 +1652129651 +515079648 +316262918 +938661519 +683946060 +1711827448 +296626130 +897978843 +1414117691 +1574094467 +1720097045 +1251537760 +543104431 +237447347 +563391473 +1809090323 +1072227901 +32373002 +1928661956 +762509619 +554793796 +1510332990 +1805150187 +646736493 +1057933234 +1692857318 +155006349 +1710581791 +1191875192 +1336828810 +520272442 +696521195 +1851908459 +836535360 +1635182714 +388370871 +400879160 +1931808844 +1286349715 +1814996851 +1358419663 +858963112 +919050964 +1901524095 +1096410460 +1482442437 +1563130770 +21154713 +1514815439 +1344309078 +783664332 +2069609235 +707158420 +441330871 +568862081 +1765091654 +2134188189 +723868430 +1328189798 +1178579733 +2060697240 +1848462240 +1875100928 +1765122051 +537513952 +1362799994 +6009275 +938393112 +1147125190 +1292358990 +605906315 +358061206 +3838454 +1524957279 +112101653 +1100248914 +859916069 +1675232423 +1121403627 +227247860 +872057853 +1905067959 +149373448 +1579216274 +198915182 +718235529 +1196824280 +185619723 +1442103959 +377530430 +1364199456 +1355317551 +78509022 +1091816736 +972955955 +616022974 +307133082 +978965230 +1554416086 +1454258273 +123840572 +12838754 +1812319479 +127679026 +1537796033 +1924421132 +1227927941 +250228454 +1452169907 +201847920 +477476315 +176744112 +2106915880 +626849763 +1755960386 +158347414 +1345085292 +805301019 +343967138 +639705603 +1182831449 +1708166594 +1995023154 +1261340472 +652499683 +820495461 +1877363446 +959632765 +1799460691 +1284295885 +266407390 +1923301263 +1297134639 +2078726869 +2050980290 +687447024 +1855664353 +1131424583 +937675479 +1160350612 +1333272503 +1415151794 +1337094725 +1292704735 +2042001557 +945571463 +1451052150 +1239603201 +1750872482 +1795019288 +1879308804 +786220284 +1355702234 +1726848310 +2047560756 +2008201917 +399860124 +1777440554 +820351035 +51837167 +914252791 +1086758425 +1975138431 +63903782 +1018001647 +1878635073 +751350807 +726182352 +862576008 +1689026286 +1886532965 +48364863 +956694432 +1076144042 +1341069599 +851212341 +2021715505 +644638101 +2090815542 +1625104340 +292173741 +1822640698 +263840976 +1647875975 +1402005360 +163918084 +1508594245 +1801865484 +1941358638 +181461632 +1853702652 +708127782 +1268220057 +1681357435 +772031564 +138738056 +1412508860 +1523382371 +864920409 +127601220 +1064925009 +603969726 +175966083 +2021619441 +1680113768 +1517035682 +725348134 +1554345625 +14190135 +668680028 +1031966317 +306363876 +343837078 +1295807293 +1954239852 +1745842439 +1459725377 +1315350449 +1400224275 +1253600368 +1496812081 +1106443279 +1961728150 +617548490 +640317066 +586276066 +756286547 +2052825926 +2109658438 +1621206956 +32943498 +1027099799 +77693034 +208909582 +901235593 +1757806802 +1725945264 +1626583727 +1164668779 +1740135400 +147780108 +49151449 +2046499276 +491617186 +1344958742 +1853255480 +89975977 +657200472 +1021122281 +1490200253 +1910800840 +370450714 +449159884 +1725045342 +987999205 +1089476951 +163837760 +1744285752 +994819229 +126012550 +1218009060 +1027762728 +1153112350 +1295702094 +1236672310 +2054347943 +906025248 +815133926 +1533448022 +2070694027 +407785678 +1681228130 +2119845476 +306801307 +25361669 +1317320571 +12573139 +115337646 +1974521043 +1033695421 +1605537899 +1737838235 +1404146135 +2054697784 +1315399929 +244661692 +996691087 +1479237689 +1988947444 +1991510316 +1605250240 +1059472856 +871789396 +610878942 +207691302 +2108461706 +517743237 +1113716550 +776111985 +2051191259 +1036926930 +1183897663 +1584935742 +1009288758 +1490698970 +1610297411 +179125681 +1503272110 +1725635057 +6163076 +389483883 +1183689309 +1744001311 +1793630018 +1090903445 +911917592 +2038291711 +2087594532 +243671634 +1879755507 +1931621200 +1848921874 +791744716 +655926949 +312317168 +999436018 +616905007 +830060405 +2113152569 +1393016992 +733768016 +1002595851 +429431008 +171220110 +2011884609 +1920129978 +1781517521 +43526643 +1275918440 +1359668931 +49689719 +1665402323 +395874592 +1793691031 +1311548694 +1486778037 +558124975 +1202356757 +1426888921 +801796609 +934628616 +1211026473 +503234835 +1726373332 +1866953422 +815552003 +578325703 +336374782 +1645612408 +543994624 +1729391774 +231896777 +1546590475 +11339134 +403116887 +1410991436 +1931469113 +37150761 +1454518079 +1059903905 +1396819692 +1504207799 +577822581 +1792694284 +1150415182 +1889371275 +1131988673 +1708540157 +944244384 +411393946 +362853119 +1878873000 +1622420419 +866087954 +1457762685 +1341890194 +1681639958 +2036088388 +1678264976 +1179768718 +432599364 +1260173102 +1411665495 +1979189839 +1271512237 +1814782383 +1242697627 +1055497702 +1851933144 +549732059 +2115401607 +1101269188 +2053939858 +545740540 +746479824 +1056871392 +287628167 +1878468497 +617927901 +1231872551 +142378795 +980781020 +963261904 +1764799214 +1846868975 +273540941 +959205760 +1381025285 +162145681 +489987088 +413310355 +594745045 +1750160191 +1824975851 +426451236 +874188780 +1492274586 +1669148863 +1929686482 +1196724082 +71397274 +1897604441 +150509622 +2125337132 +295861334 +896989446 +1034724876 +583489501 +627974295 +1652652778 +1815362053 +770353090 +485950150 +631140309 +387668656 +185335477 +904681250 +1346874417 +1566360762 +1066826931 +1836861505 +1979671118 +1661571976 +1439538048 +1657163321 +2088023212 +166243180 +1001954259 +1609688427 +2095929662 +51194693 +1681085702 +1846050456 +201704315 +1658939186 +2141911790 +1098693761 +546180415 +577917643 +1726668056 +51349545 +245796048 +349537498 +537299695 +876936357 +737206154 +722635173 +1781617607 +2084080571 +141512287 +700960890 +1773458429 +2121183405 +215049218 +1065512829 +1630863078 +155588782 +1231756010 +485333689 +1765277210 +1180202024 +536528382 +1298879264 +878768832 +738232697 +810334802 +873196974 +1836926458 +1356515217 +1451114618 +1416110866 +1407864762 +1696910666 +1765648364 +1945164458 +426363376 +355370871 +520315983 +60497335 +291967794 +661828270 +761458226 +2065426223 +635528028 +976507444 +983455405 +118907458 +1132096227 +67727767 +604241148 +749889789 +1247929791 +1140769530 +2048769053 +2126698624 +1879002228 +711620207 +852411950 +1568445038 +2068135425 +156042920 +837072257 +1328516539 +1852953587 +455236973 +1126197349 +131833315 +810607844 +1646513332 +192330650 +1102575639 +160857955 +953788876 +1020518214 +796385983 +1930296321 +2003973619 +915293441 +914908900 +2071701386 +1519534589 +1664798689 +1172147530 +512820472 +1566084094 +1151362506 +244339052 +130220653 +2003774456 +1812784090 +50872430 +12333729 +502372699 +1379388970 +1865287316 +957609673 +358102671 +1997120631 +1768217517 +2004616004 +41967633 +723309508 +17990311 +995756510 +1743827723 +814376294 +778569183 +1600317694 +1729669735 +1693478083 +1524535433 +1101720677 +1210793124 +549199315 +1614541149 +629393570 +1700561821 +1858880201 +759614223 +1556852629 +1524180643 +810486654 +1569186358 +2026553343 +42391976 +1286990026 +836679368 +400494647 +1136627009 +457413237 +257627003 +1178594643 +1180722746 +275617314 +26867505 +777066821 +1089993608 +805436688 +229900867 +672179696 +351431123 +1754436300 +1773900373 +1562224247 +156151967 +1240957874 +44134169 +1856713788 +952354427 +803748392 +1266082770 +329051422 +1614235046 +687785480 +208121117 +1656627022 +1974775507 +1044800485 +2057121670 +963918868 +1502213723 +167265025 +2142513511 +535452821 +442882340 +21897368 +1312519642 +1532875948 +827334056 +1542420509 +57571996 +1178765179 +1149373162 +1831472369 +593505778 +1305525129 +924946595 +637639947 +1014755270 +1877301022 +1441388340 +133354392 +58868797 +908139738 +821139872 +266989914 +417283113 +648431731 +1311790400 +326921135 +1612350600 +666520475 +494186160 +1607380463 +1201973296 +937068500 +1629277832 +367009290 +322460801 +309128240 +1909429799 +380032797 +1487893420 +911319313 +64021519 +2081399198 +69360795 +988968114 +571555498 +1084116065 +718785489 +2012943838 +1217470457 +777654286 +773599928 +2038610329 +1044644200 +1190883041 +539558413 +208950952 +1517804176 +4425365 +875471427 +2011990337 +1611805828 +2077444723 +801575189 +1093600012 +296970365 +1124035990 +1402728253 +58916517 +1504068788 +743138025 +970235830 +1568090307 +677053575 +1039596625 +409574773 +1248609073 +2123712690 +1128360262 +1114069263 +1193699499 +1906014548 +1887669192 +1084826181 +803175101 +931068585 +1624384594 +1012126053 +301389114 +1628809959 +1887597481 +165895803 +1093132139 +1817558556 +967470992 +39248504 +2114528922 +2091506983 +1441976757 +25961791 +1448092123 +37631134 +996197621 +868698782 +714684709 +2035794247 +1278273555 +1963293783 +2012023289 +259150170 +929879398 +1058239141 +17681070 +670064942 +2143065322 +820856171 +1601133528 +1619966268 +1832982225 +1902522642 +1101292579 +1573096058 +2068418445 +46941070 +1243170966 +888405789 +86189574 +1210216240 +832429124 +1528166331 +1236178031 +133037599 +1565797465 +84892005 +1001736381 +132998527 +2120686252 +132526289 +2096292310 +1985225893 +391676459 +878688060 +895981386 +409357529 +1548753003 +891563060 +1230213701 +1002402883 +364045680 +915712278 +757441877 +1465338259 +341324688 +678376674 +1512279330 +1584495654 +1566782463 +1598468904 +647228247 +251727940 +979151588 +1883406278 +384765539 +397465405 +1968298283 +1386501921 +530463932 +1941500887 +1519028210 +479272594 +1779243133 +1910704669 +1357960655 +527740871 +172578550 +759230010 +1419303932 +1402792251 +1761632893 +1783349612 +171020881 +371591122 +1101204224 +512345569 +1049967796 +465999906 +2096841224 +469266611 +2064468810 +596585823 +720994551 +896136750 +332508453 +1105760091 +1293602156 +153323089 +344778364 +1824066088 +2094823976 +1863806574 +155855035 +1726583461 +1627027595 +1513815690 +106840685 +1799606145 +125562052 +1526144617 +1054914749 +1887194945 +1162010581 +1225935630 +111302419 +115731157 +1738281200 +1161270215 +581731063 +1687638776 +1630536826 +498716226 +136740951 +204047730 +1394852976 +469249404 +1309807821 +540971484 +622572493 +1654586185 +217553925 +569912822 +1370909111 +373408960 +149012635 +850453058 +1887224650 +255853320 +502575555 +2012786702 +1781997937 +1557490304 +1752497999 +796524871 +635942287 +1863800418 +912256028 +226739839 +877586985 +1493987092 +1914378615 +360640163 +1992703318 +2051119566 +564687893 +1240072646 +372885322 +1874495714 +1781044131 +995457816 +1381598251 +1998598056 +1565370638 +605023714 +224523368 +1714383273 +1455476772 +2111748018 +1970236594 +1958052328 +1977051072 +1604750883 +1368058984 +1582065423 +253792106 +2004001271 +1298382193 +1166048135 +83257462 +28485530 +512551579 +1997636077 +389125693 +357771249 +1901271995 +953813587 +1597843895 +126673670 +680825653 +1231404378 +1122131486 +2062423905 +1082518786 +540018476 +519963971 +1307042154 +106918101 +1975440744 +1271306524 +2077154695 +1786009424 +1100873948 +1534421931 +1006584760 +535455723 +1788214037 +863102384 +1833837916 +806778524 +946359846 +1862323446 +1319330103 +796512276 +103965492 +1677101352 +550300623 +1057779079 +1127461600 +676974293 +1738604732 +211382330 +1799105779 +1653544989 +1293901117 +191640607 +26025313 +453459623 +298558709 +2001466057 +1724766148 +228229756 +1639991833 +678156448 +1762651687 +499092945 +1213612172 +1403382077 +1362195329 +899966440 +62676953 +161071528 +614806239 +1382007057 +957583804 +718771731 +911624761 +1507884427 +1776550810 +2039086361 +37375073 +1367671894 +102985044 +1836480852 +873733236 +1396886161 +2028121460 +899758549 +1850345784 +179196521 +753740958 +1427628284 +407426277 +246249143 +2105784733 +22594317 +745342088 +1171913257 +1425976394 +2107537418 +2071879697 +1488653347 +121125298 +539202288 +723176756 +1078709102 +1257974019 +1634801518 +439109881 +887041181 +1526404231 +476484954 +107229428 +1629389275 +165482159 +980962664 +878791788 +46119971 +1880721213 +581653925 +225316492 +486978523 +2009282209 +632742769 +733227666 +1967583294 +655337086 +1478569754 +992012903 +2081313480 +1438623524 +916408953 +1422483180 +1559748822 +1455611241 +2145659936 +490974276 +566101613 +1632977806 +930084158 +1453142794 +1011898390 +1406569112 +1560372222 +493804017 +1572051271 +393851238 +1372595806 +1618171242 +127088803 +1954249731 +1843487734 +614067326 +1816048292 +328746856 +1347294992 +1636147939 +984083942 +678381099 +480677194 +917913775 +2117004623 +1397086147 +192913307 +1529269798 +705213741 +191089595 +2020244074 +1271315354 +1824067402 +802844584 +576974500 +688482144 +61930049 +2137346723 +1182286161 +1633981320 +383714313 +407398319 +1104668915 +510803117 +214164402 +800673001 +1124870443 +2030212695 +1129419857 +324681788 +1518876986 +2113503800 +1003062887 +1999554180 +883933927 +972583862 +1249156680 +1076847234 +354370012 +1954370421 +1267936829 +227130439 +1078202127 +944520583 +1029975023 +1655176627 +1633002727 +1091905072 +1645039702 +667805241 +578402745 +2028754016 +1075203560 +1683071660 +392073485 +1289367963 +336261013 +1516943928 +1172097010 +1465680871 +1841625716 +543490348 +1431701023 +697204955 +395560880 +168151302 +1669788818 +1644717560 +1244998536 +2024158830 +1451604333 +365451717 +103805621 +382322812 +1309972301 +1133780645 +2037499440 +795491380 +78202069 +1535055494 +1463296621 +656604814 +1416325862 +391016534 +192192826 +1808399347 +1680384497 +528453840 +1177859628 +704997859 +1994134711 +872001696 +1248488207 +1278352086 +1569206652 +1644049087 +1446503388 +1091511822 +1141283000 +544018276 +968187004 +445403685 +909469993 +1071992626 +827726498 +71958646 +58289623 +717742290 +867450027 +136491692 +105314136 +183263000 +793096507 +1521639999 +574279534 +985289333 +1182555698 +107180383 +1513743173 +212931678 +812178242 +1360394236 +1084933375 +2060666449 +491262674 +506656379 +1557231889 +1937766062 +1598168201 +551031241 +334300690 +418871557 +996434926 +1243770684 +1490864183 +1824161424 +1315729330 +1549153806 +394420066 +35695709 +1685645499 +499734203 +218958710 +331258358 +2021374202 +793238244 +1316547691 +1056446252 +900418628 +682807217 +1269377931 +1712596870 +2043201453 +206827658 +1625779672 +386980480 +713484037 +1035527913 +177262894 +164168590 +1586559154 +511563585 +583040147 +435510432 +1755334269 +2073904331 +112188209 +923579951 +1475574489 +506608275 +959275661 +1013736340 +1006342478 +1178234371 +1344994698 +880233032 +1971472615 +514058742 +1936679285 +724407595 +1196865959 +1058573568 +289520818 +1092583764 +1265401226 +1915300490 +1479564244 +1978885263 +803344755 +1656827139 +2143053853 +242420261 +20907076 +578610352 +677930693 +1776241345 +505031035 +790118902 +552337648 +1980605525 +1296727178 +1511613309 +846858217 +155586008 +542364032 +44369268 +1035819041 +366353000 +558428010 +825014678 +1090760595 +1755293969 +1883588246 +1380281413 +700394085 +1001505824 +1148098255 +32474682 +832907439 +1951443010 +1689301821 +828477644 +46379623 +1710208897 +1407087996 +724310317 +1338966594 +1912119032 +1514429219 +1891304242 +1745240909 +663672749 +1255433904 +444615478 +819258758 +1797797936 +488984746 +1855077799 +16667288 +1047412756 +532608829 +1107427884 +655223077 +268713427 +340225649 +1355617163 +1270219251 +1488323905 +1388091845 +2103126690 +1292283267 +929910018 +784120686 +1338662891 +492635267 +43725034 +2062973208 +1831601861 +1955844066 +1429918779 +1575422455 +1553601327 +2093591529 +683372711 +1998216806 +765366639 +333687000 +339717904 +472960790 +350354288 +1387130661 +1005569619 +1457782172 +2042353738 +1274283046 +1798007822 +1250487253 +397018649 +1138848079 +491095450 +352661691 +283647698 +1421005468 +1136782377 +1622310589 +1913640735 +1180507411 +1537800149 +1597758948 +988867830 +820235281 +1025697756 +394985509 +766343162 +1709070467 +245718667 +1531709801 +2042757467 +585436572 +2004670591 +245628108 +1972567233 +862756562 +1703410280 +1867437323 +2137039608 +1353934454 +970440929 +386574609 +345298885 +1461536379 +739236300 +628946584 +735058200 +1876018677 +103773525 +501215287 +909042440 +1641573675 +2098974236 +1897910270 +314325308 +977188344 +145412132 +1080668470 +538775163 +391130799 +464894623 +434048983 +976567371 +322081566 +679677091 +801650956 +1184838128 +235603723 +521604632 +1174394088 +1589538178 +1492045561 +1560968697 +1934837063 +806098292 +152721349 +416299999 +1541156492 +2028740026 +520073525 +2042371780 +790298818 +14163552 +1993862368 +540725441 +328488860 +823567064 +686137573 +1409157330 +1362342227 +1077268372 +1874051953 +1796391210 +2053835744 +48649871 +328584653 +708003052 +1233487999 +564188377 +1229607684 +260398439 +6242907 +574169597 +1821367136 +1941079970 +1380267890 +1974088485 +209896322 +773940734 +1855344863 +729969847 +668828866 +498160033 +744133399 +515207586 +1038885474 +1072622259 +1338774650 +1725023047 +334295941 +553633230 +654807772 +60864246 +202540792 +561159868 +109514117 +531125446 +1269162920 +1343002116 +1095313823 +351286957 +1603400555 +1101556730 +925456554 +1277284043 +895153052 +158240796 +1103888880 +1105049374 +932181531 +811750095 +1835019221 +1601010397 +1309910128 +431668972 +2116217984 +201311955 +1504291231 +1307508986 +1926335002 +1838587172 +1861142216 +433659126 +1899451418 +2063683009 +994818994 +2008965535 +447324807 +116498267 +1204484003 +1542638630 +467785224 +660400910 +496711712 +1393241778 +1937684953 +1391864764 +1551482575 +894090185 +349430491 +336180458 +1705840280 +36966064 +1937190855 +868266761 +468635037 +1905925191 +1069578716 +1972926268 +1065950530 +848430070 +1664029793 +779609098 +1282089197 +1415997563 +695808459 +129424543 +1277479451 +1143133266 +245922810 +334479806 +538288248 +713708034 +994880717 +1034999960 +2106949813 +785082022 +279381077 +1510948740 +1679172208 +628811568 +1847129198 +1237528840 +665777632 +1636836405 +2105795601 +1134412669 +1395277949 +1027890669 +959855290 +313744831 +1876320740 +476401435 +1093353929 +1010926289 +1892398998 +1789162389 +1140350832 +1022394801 +784812007 +1386273643 +1356874608 +1323100256 +2099981677 +204271677 +210616568 +2059447842 +989353699 +489997645 +1422912934 +521042259 +1118809213 +1122558484 +1758571100 +1784586846 +611911242 +1716883053 +771515867 +2007189191 +597290075 +1731371157 +173450374 +326127167 +60288944 +1266804303 +1337053456 +1952687943 +908483044 +329920640 +827599096 +1693295052 +1716194283 +36990056 +868911660 +1668692313 +241261733 +1079528228 +1580656507 +1230615433 +1569525874 +856085794 +1751657692 +540851439 +1978644278 +1362745144 +177954637 +443071872 +932144550 +949470505 +302777415 +1529434625 +533358014 +476227789 +1855561792 +593646959 +1743032093 +1045131600 +398851254 +504031489 +1375052240 +1226450350 +49842893 +943762876 +1263440407 +918754553 +464971541 +1504702140 +1998282782 +2045628048 +587833925 +1420325008 +754230194 +192007970 +1961176447 +585390825 +1554753114 +2139131085 +1028462697 +339414016 +941117942 +1331240113 +1868848641 +1474475956 +1807467902 +1576926785 +2068122915 +1403016347 +474574737 +319490521 +1907047837 +1849626978 +1545940872 +1956890730 +645906206 +661897631 +728161636 +1110877747 +19116123 +578960770 +1009022147 +606950049 +1999285778 +1763252342 +798958019 +1812978577 +201159519 +206227485 +1804626014 +1229622216 +545641502 +598260308 +413378681 +267006495 +2072736265 +73362936 +1843933281 +1993375532 +1476379283 +171024370 +165382406 +1235943472 +2020651348 +1711323278 +1045350555 +519073906 +225737261 +1773512191 +1629951653 +244853384 +204989313 +491490153 +851803433 +56791443 +107258847 +1650761452 +1869770020 +308418366 +1856988938 +1526912387 +1538040582 +255146792 +2125172695 +1951419264 +522153287 +2050425312 +2024782200 +218602920 +1896317197 +1353677835 +389627291 +2061699603 +442137660 +262794991 +1625539233 +1487488215 +781868898 +1851276494 +1113516758 +264336903 +2096129878 +1318506071 +755827056 +800449664 +1375297514 +863085903 +303727468 +1097583886 +1171504269 +13232758 +477012625 +562061204 +268379550 +454701673 +365996820 +790532838 +357643337 +243295372 +1009135758 +106476886 +1596973207 +1398763049 +20692841 +2039110867 +1661558041 +1646232074 +1379115434 +295943291 +1350024920 +345148544 +560280194 +1298671151 +1663654615 +1316107251 +2099120815 +891468481 +31709506 +255364635 +1989052368 +1203213776 +268597394 +318581345 +1765274980 +536976944 +773283018 +2131271800 +1327509782 +1130926356 +227083524 +189161893 +1237403242 +1824056731 +1587924942 +1258096084 +1715683951 +1101999335 +756844510 +947315737 +1397942626 +2106869431 +1292464282 +1958222821 +1258056934 +808635249 +1126846424 +1209694101 +1700103731 +1158555930 +1465058736 +1541672451 +214286058 +1733656130 +1860253796 +1979561038 +123149427 +486053167 +1963349190 +1450659209 +1616979523 +42949066 +1639821102 +706899117 +1867005798 +1080262397 +1964995201 +1435206101 +34778084 +574356064 +235038190 +1432720711 +533741847 +1527502472 +1243459884 +1791798781 +188654074 +222822660 +854009234 +1888757805 +1381378590 +171584322 +1282946608 +1595664649 +1905240453 +995716756 +1427742039 +2028389880 +1481769923 +1243607582 +1331565441 +951265798 +1286556648 +823902896 +1658164916 +1006078798 +1904165293 +1475676469 +293801251 +1938943377 +2050032533 +528839442 +1224180440 +436290732 +2056341914 +320156676 +80605865 +97512340 +542979336 +934615099 +1986270145 +1924357927 +1106199422 +1121733105 +1372538928 +863956227 +2117449862 +652797319 +744862459 +1451736137 +1896404901 +2076427900 +255518288 +1035477902 +752847148 +1913683204 +2041556700 +509528793 +1241876025 +187874304 +300988523 +1144424911 +716713746 +1525168963 +1580715643 +625572012 +1845325640 +1661321509 +723084353 +240821328 +448452960 +561870850 +17695607 +1554652382 +1683603956 +1390234535 +271124961 +1653570170 +2043031855 +1015987420 +957822659 +1791953108 +944931673 +1213340947 +679947362 +1697778821 +979540503 +574020415 +59823967 +73932881 +761894719 +360812490 +1218357792 +1478608465 +1885981453 +651589787 +2104180477 +1583823445 +165427648 +679781182 +1824644774 +613880609 +1241652033 +1842340381 +21049343 +777772341 +1085091269 +292174305 +283858863 +980639476 +1308161725 +1241681522 +625108936 +105609750 +307538822 +1305056299 +1803388572 +1287079325 +1879076714 +1863212539 +1361012206 +493487785 +76541381 +431886350 +1972096250 +1962522834 +1083476138 +1928793079 +1398862632 +1248903786 +461090614 +1076023758 +1862784395 +1702742647 +770880491 +1883833739 +333031340 +1855971760 +28524396 +616890203 +689127588 +1336686121 +1858571725 +1314236525 +1442295872 +18626899 +471809176 +1098200796 +1305706225 +203402242 +813929687 +519234783 +696890027 +890471068 +951121134 +521502629 +705510254 +2034597272 +302812060 +2104372886 +1136017410 +763902674 +1032912996 +851318158 +319161673 +1803793488 +587668249 +652193013 +1512281600 +616192645 +1269083216 +53925541 +1952878766 +980171294 +1368162066 +1247690990 +998798193 +1839971242 +198408138 +157020770 +2043373484 +1012337825 +676255554 +592779863 +1902808893 +1627376688 +1114282492 +460835500 +1514490312 +1417094552 +417724738 +503024074 +33513579 +1450637735 +1354342232 +352675252 +1106947575 +1942010481 +1004868266 +471745527 +410719478 +126467834 +525671068 +216114597 +1106639128 +1893833134 +1463805587 +2105437322 +1586320728 +1662213726 +114974444 +1482210564 +527067903 +791229998 +2074990427 +282393149 +271123038 +1041789271 +743228649 +1785613350 +311400176 +1160953387 +141153777 +344913755 +464107474 +1495496009 +697589007 +1571055049 +1290022843 +1702457273 +2042800577 +1700742321 +1828925108 +420987997 +1916856918 +788080588 +167337484 +1233178858 +746034262 +1753658212 +747908936 +861008707 +1088385129 +1274976839 +1652238705 +1015891908 +1557369988 +1923361744 +2057681180 +153114989 +1561491446 +221597708 +1314068377 +1702645223 +566511463 +1778175851 +1050657585 +1264100470 +1201747253 +193196780 +819074096 +1097064182 +1893939101 +500515556 +1518052179 +1663312372 +1288596144 +1685389663 +749007582 +2034630407 +1291564228 +1496916518 +748155466 +232465709 +624409709 +252910523 +1248357617 +34296050 +28788619 +1158555149 +187411039 +1590280066 +1380152857 +1501479416 +1145441641 +1946664320 +1132171620 +48615578 +1063281143 +186435225 +241812358 +1882355239 +1283499407 +2135751460 +235387147 +654067938 +1651580184 +1523983291 +191973954 +253104118 +1411130050 +1483538182 +1750020636 +11801868 +1716003891 +226946697 +264712392 +816877860 +261242747 +293501011 +1975433010 +448653787 +1883781077 +1208102219 +1950133203 +881739071 +1007282892 +934821175 +930354649 +2070564035 +1121256400 +1172167008 +1805435626 +257272159 +1160434820 +2040822773 +911340098 +664531356 +1417322416 +1103314052 +917635474 +680968819 +439368586 +520172462 +692770687 +7888829 +747119159 +957483079 +824766689 +1008361907 +1250984091 +652716051 +1457015694 +987281520 +1860818271 +1259665249 +1869020591 +720617515 +47002777 +651891593 +643697902 +1168259177 +1824058601 +301649880 +1425531337 +837009773 +194989005 +189387787 +1501541129 +1612311421 +1292701839 +271692955 +145796592 +1732070425 +791865417 +838567280 +1739959254 +1538984576 +1796050359 +417242295 +399862835 +899550802 +1069958347 +1856878529 +1886832323 +783292970 +969060131 +1608369266 +1503910485 +1016062908 +112777211 +124739 +36838437 +1936835812 +301774619 +1462369774 +626361937 +496763624 +1651757561 +2127903066 +2109075045 +796975752 +252112373 +107387990 +381562529 +1043977790 +945955270 +2121521783 +435478719 +594521981 +391280431 +835341554 +1494072784 +1461238778 +544736436 +1233421459 +97048100 +1513796567 +694307077 +1600958585 +382375827 +807084289 +1601083324 +419214264 +596436453 +1902857943 +1881584039 +1222798391 +252137919 +1385857952 +1203217809 +213729316 +35350057 +1455330183 +321117306 +416912586 +351824325 +1267072576 +390950722 +787303044 +1861594558 +782231153 +1622644599 +1208183694 +95986283 +19897387 +294121505 +193034383 +1533693954 +988428582 +1793992968 +1916069781 +1795512871 +1247592644 +187800397 +244465677 +1002966939 +2069384436 +1467264068 +1255104858 +1307758741 +522998229 +1468834174 +1343108798 +1978328412 +1789951481 +1760021384 +182669090 +909540409 +3488458 +969972134 +623651319 +785719611 +445133085 +1831835013 +881705894 +465030472 +2125956518 +1074740277 +1998724426 +966901453 +721249597 +1767310559 +614930676 +1968842241 +1955110957 +859396353 +824325532 +1877011745 +179176773 +2079430390 +1037286838 +702175003 +1400780917 +232911988 +533019767 +1043248750 +1992933373 +715688857 +1952789159 +1996421831 +1685660992 +428956831 +634657795 +2130794077 +113308196 +1516363689 +448340902 +91781067 +443620319 +299581680 +1058682520 +1164869916 +2066892240 +1673613196 +986228510 +1874519549 +385525902 +1810554042 +1604047646 +564702675 +1742500785 +493850837 +1266877678 +995798054 +726762825 +1799897446 +2039046804 +572212550 +368102655 +1844352315 +421150734 +2053763647 +125825498 +1055808529 +2037074077 +239133695 +424688570 +337931331 +330914762 +868308889 +637513011 +1389597282 +2033178806 +556921603 +915726830 +871923668 +283957504 +1301252732 +534994062 +1888005151 +1865955408 +130011199 +234372340 +985349438 +1125809253 +961135165 +637763236 +1017372409 +1533347716 +1005865892 +714241077 +1954498450 +912145891 +840066575 +862823331 +801736320 +1079200270 +1287511901 +1139667651 +1410115032 +8337143 +1777180663 +652228666 +2041515949 +186618618 +1567955497 +765955969 +470576123 +721724581 +1300950031 +211097626 +440196341 +1430961231 +445469966 +1425545780 +409286836 +1406605131 +2063309016 +1426659246 +792469199 +921691260 +2140900323 +599484001 +1833837152 +833483250 +1462307332 +488089824 +1912683521 +602335586 +1627757476 +1175314905 +610672729 +1257454491 +1827543572 +504705030 +1444073109 +1248015421 +1270660999 +1914649232 +1969740002 +424127382 +2125746858 +262452696 +1855088613 +423733176 +1687998476 +116891802 +1830338308 +1603823844 +1543551048 +475323859 +378031457 +1536967723 +1074807861 +64384961 +222967325 +389631545 +552474785 +2135650846 +991967131 +32748613 +1163482104 +1602639860 +1290203104 +843542028 +2107344890 +586792566 +2091557449 +1230522241 +353958150 +1913813803 +1654649624 +332221361 +28782851 +1362254589 +755954537 +1716781327 +1479146391 +438809197 +1173121524 +875213791 +914133057 +1551152981 +264697866 +1988940918 +1615537942 +487665192 +231088815 +20529079 +475832390 +1223055947 +53277693 +1639314494 +678212159 +1343480797 +335372874 +638073402 +1930273363 +279446675 +1868595643 +136747866 +45776831 +1375761619 +468969227 +74559682 +590532561 +1224923764 +1791341010 +2069678952 +1663732962 +816978886 +797409096 +430382371 +220648219 +1062106962 +271839641 +1836186161 +1549772154 +502928456 +1856715240 +2025604545 +1725984403 +1909992933 +1517435391 +256712915 +1105990083 +1852808266 +894786317 +888779798 +2132254941 +615898312 +1025527664 +30548124 +1991659932 +1494496891 +105107807 +434708845 +571937008 +1896448817 +356904149 +88186322 +565944055 +1154313245 +518568693 +786592274 +68936560 +790408334 +475294787 +1618708714 +1293336790 +184526379 +1496829611 +871837546 +2094519313 +866781355 +1128550461 +1053025748 +572105973 +2023336778 +1941805546 +556877266 +491751442 +819849563 +587425391 +335927726 +166862806 +692533198 +770636571 +738799814 +441498367 +1127540721 +826986136 +1007442422 +134370318 +1345554829 +1794034696 +203306878 +2135963163 +121845835 +1822015593 +1281816306 +306372214 +1171361556 +6170204 +253407879 +2038142911 +1134720665 +1306433627 +462765236 +1010573795 +1100755526 +1019642503 +1502325237 +1920605089 +1607067894 +1838252964 +2087467895 +152117444 +461405887 +678784062 +593615811 +1588946608 +1505770198 +1601058233 +1723316927 +703841380 +1247609281 +1926623805 +692320895 +1369455116 +1601155750 +1974137201 +1675827330 +625033659 +1980307405 +1929235210 +515692922 +967544422 +1088185189 +978458159 +1978118217 +41457067 +1998100662 +1332959807 +1962062156 +1457684908 +1023729123 +1902046404 +1609802352 +1485135010 +433346818 +55934515 +926597971 +1939117016 +1656992748 +502431250 +495474748 +757118381 +281571407 +1187795644 +2126573497 +1882727158 +1014449197 +1654917179 +360277169 +847272955 +1436668741 +875970091 +1814817377 +377370283 +1854428250 +1645451947 +418827350 +1705045264 +830928106 +233405859 +1015246524 +1854657229 +2135452263 +477565228 +1192308591 +421315433 +533499743 +2118906562 +212948801 +43008843 +473854164 +708423550 +800127224 +755425572 +1896219194 +779217073 +490669082 +763184743 +286650605 +850946251 +1610457698 +1723319346 +1726916342 +1277791428 +2100689629 +1433860945 +775759727 +372033332 +991422561 +1606687833 +605439191 +2006669086 +1313861414 +593407806 +336750666 +358686357 +1014723239 +870250410 +330109272 +1227672040 +913259253 +803963436 +1936095590 +1713386478 +1559389008 +1684831136 +345119903 +2050058090 +300532232 +631770508 +753520693 +1910989930 +207606207 +332953388 +1041297710 +160812188 +1766814333 +1817057437 +532845520 +610753246 +1276261622 +1138284711 +469938684 +442639388 +1731692517 +806689351 +801325746 +598932108 +1676939761 +1131435018 +1826604149 +442715366 +1935398454 +1615216091 +8618196 +1347303815 +1152563580 +353738100 +1249878257 +1453095812 +985508608 +2003398951 +1216602094 +1193114815 +188868691 +110416157 +1353927004 +1955683024 +1927473594 +1886772524 +418952622 +1056251569 +877573588 +888891307 +1498890957 +461782457 +1695580658 +152733055 +1060714566 +1225036771 +1284168073 +739835067 +1667752137 +1072082880 +207567510 +1676370334 +271903047 +1360131090 +2030108434 +1521781304 +665743254 +868133394 +1377696607 +1882345349 +2061248210 +1566565298 +1992761506 +1267691566 +1374764674 +1772751452 +1006980442 +1793717297 +681519373 +1884554030 +535124956 +32926683 +198852840 +83221966 +185659738 +1259567406 +1308258737 +1469827812 +1999402473 +828527226 +394427044 +59486335 +357413912 +666330091 +1419617426 +240038698 +40627747 +2085360680 +1108172093 +1418324355 +1820222381 +1021936655 +837406005 +1665500239 +142144573 +64687032 +1290768044 +1149125015 +1858404329 +1972287417 +886195398 +246045637 +2005214100 +1085048238 +329267603 +43390191 +197131996 +1637526340 +1513218003 +49050821 +318569918 +1907645047 +108537156 +675983831 +426491490 +1528154582 +916022529 +467119237 +1466031615 +2024194622 +1885443592 +1138770348 +898647629 +575365950 +656786940 +1040792202 +640052982 +1947554984 +42433570 +350973663 +1772358753 +928628968 +597019300 +1630089206 +2013677206 +926286903 +1673479397 +63325554 +416329595 +1039213752 +112376375 +734899513 +799375151 +220913531 +1410883344 +1225866641 +1749068114 +179422226 +1692985878 +1067616081 +56133200 +1430945823 +58902781 +954780830 +2006311773 +715689721 +1995573032 +498881107 +515761057 +2038006602 +849854770 +140636163 +819151922 +1446874070 +1770725369 +685345480 +225677325 +1296721118 +748671034 +642006920 +188451222 +861047409 +1376906433 +987826373 +1081960941 +640306130 +66209366 +683545407 +819728356 +1759195244 +1751161488 +875861556 +1042657419 +1810064269 +1830642386 +901485544 +378270343 +1678731771 +1400366651 +894031400 +1569254725 +102737773 +1034667563 +240923000 +1549611843 +657909284 +926268480 +1775289168 +1954630402 +1674939515 +269812440 +2143081624 +388503276 +1646718874 +983424349 +1470464217 +139541356 +1049633715 +6525976 +959269712 +661345312 +1757687464 +1835131268 +1704002731 +1420268086 +1518290007 +458004628 +1798538429 +1049538130 +1858371279 +545086181 +471309207 +1961109053 +1579753745 +712232207 +1363237248 +90179381 +1638500688 +991042769 +2044809784 +1165956555 +1260855209 +2040407760 +1554459831 +760090435 +876348462 +877440401 +899631791 +1925982177 +883966377 +1858901503 +439843841 +494170194 +1546549124 +2143846573 +1914438280 +917355483 +454367553 +1565493061 +1966893613 +165255184 +2110579242 +290719172 +2126364237 +1542849339 +1002951380 +1342117838 +1633028721 +493968420 +185676959 +1530354857 +1659924975 +1446532168 +1423278969 +1066901158 +59138956 +152143783 +1944341559 +958770747 +2078125961 +680824289 +670188603 +370486154 +1174994483 +69254079 +366849079 +941949115 +986609562 +821216632 +359958528 +806019527 +986471817 +323054122 +1096738699 +965352406 +1865903462 +2099690079 +159986596 +1351448535 +446174851 +345663555 +734319744 +2106099826 +1792195724 +10115065 +1025517337 +1851334680 +162258849 +822375248 +662621779 +92901162 +1503199537 +1332810382 +463387316 +530710372 +1402064461 +830236396 +1472659487 +241190375 +1651453028 +1832618015 +1047209902 +490441197 +8188490 +2143948602 +1455793604 +1874091952 +2096155033 +1615780200 +1078056839 +394846237 +1961443756 +1812376583 +353462415 +1606155832 +1822491648 +1378979752 +1310006864 +1984750497 +53871353 +1972628643 +2077651659 +1557070890 +1157955378 +393555328 +2087781263 +412536191 +1223791724 +1412957102 +653726567 +727761104 +1098091470 +1700936469 +1218202302 +1106279960 +1697401423 +526512258 +832888264 +1646072809 +2142292458 +1910945103 +2040919046 +1956252566 +1575838038 +246897813 +1414924750 +1250846038 +1625877566 +577447966 +1088112888 +1679748919 +402592962 +1018280899 +1089336161 +1560548340 +1411836227 +1029633776 +1973084531 +488144303 +295107231 +479327450 +1215905408 +1393198701 +32780272 +286624062 +351995013 +1730181695 +813136320 +1184883277 +1228770856 +807945130 +948344732 +1122206254 +616714049 +376699122 +1369104068 +2031638799 +1627545160 +847497986 +461603118 +568174400 +379763257 +864196080 +1586455300 +1469099418 +277260772 +850807879 +351249547 +102861655 +1338952183 +646356778 +582189106 +407373943 +2039555479 +614969378 +693998005 +244066844 +197667425 +1507134325 +1428950121 +1426438282 +167595807 +229811205 +401160888 +784309856 +606510327 +1770264956 +668465008 +86571839 +470279294 +1130068126 +654746240 +850042551 +1994264206 +93717892 +171658322 +124041330 +944525771 +522907869 +226902985 +135994306 +1169264647 +809092091 +543368249 +1061336478 +1424061469 +1237366254 +1305403322 +1621728895 +597016931 +586869795 +900683529 +764612739 +816681000 +1301844417 +1548922595 +1423191327 +924625726 +69903955 +1509763166 +1394905020 +1199972081 +17025758 +97463924 +1046752639 +110743650 +269122246 +1170793969 +1055269422 +792030115 +1397696955 +1191263728 +1961294762 +59305398 +1734631978 +875147592 +1483366868 +824514584 +33067266 +957612115 +1421531516 +619937061 +1858295644 +38660607 +1436618061 +1012656413 +1587583202 +712325740 +1937282139 +1657487158 +74605258 +1184703512 +709975591 +91631017 +1282167436 +1756728231 +202374667 +1551289682 +780038552 +1257644089 +195836149 +30251859 +301424170 +9647263 +89557258 +2036056148 +884794855 +1572924126 +713087084 +917862121 +383052593 +2134618600 +1537799182 +93864589 +25795559 +826933595 +1106521002 +1613378762 +1539259335 +896319494 +1123382272 +1613864593 +2081023006 +1833357863 +1705495610 +1215706794 +1442602446 +1907870278 +619512828 +75157351 +1018030719 +815348977 +105409210 +1319454889 +824996240 +194966468 +1208027389 +1709791095 +1767890594 +1921114474 +480169568 +3459539 +1908249426 +2017968750 +97324128 +1934044986 +697418697 +1203845131 +1399940100 +89194384 +2100164625 +375838724 +1703058977 +2033703983 +61712939 +1261070940 +1101927129 +1504315386 +1021457570 +1721439957 +1579472737 +2039488289 +389305286 +1684881947 +1211459531 +1214301526 +1879848416 +272003272 +776608973 +1500255362 +45634098 +1256778541 +1503714902 +1953883525 +1127263643 +1601039030 +1740444863 +1824682340 +657400513 +992901315 +1913876724 +610081490 +1368740039 +1469452053 +496301825 +1430452978 +583039345 +1598228954 +787284716 +1604496915 +1172185263 +219273805 +1496501557 +1561490549 +1904155753 +560477440 +628308427 +1636520521 +832480712 +1404917400 +989292235 +878114811 +514212293 +345523489 +684514688 +1641475936 +1946562520 +277475903 +1318674628 +456479385 +1270377218 +1085067704 +1066560876 +491633609 +407036110 +1562862701 +1922086587 +990075455 +1013608008 +561887656 +447088723 +38309623 +781161461 +1943590280 +1599800173 +537833566 +356584072 +80624952 +26870439 +1189064784 +1485542353 +1016162675 +2067179595 +1999754646 +1361686164 +604210635 +1493746935 +1160765036 +881686538 +664937915 +1617244422 +4580108 +1750005620 +536321650 +496213717 +9558082 +2099184351 +270816657 +999633537 +965308711 +832704313 +1446722260 +1003618335 +1613865774 +1242828892 +455934860 +4215693 +1599412964 +536559812 +31086132 +640994101 +2022102165 +1047248807 +560690048 +1874373164 +261451324 +1164900684 +1220636451 +1422216360 +2046587222 +1885574366 +891977134 +2051167331 +1488096338 +1428298784 +399897400 +1497654420 +1379999488 +670714057 +349804310 +197824551 +1503418370 +1796526570 +1201442886 +969800497 +891871815 +1657377746 +974016190 +343801131 +46453911 +1005102322 +984795232 +2068556076 +2052351130 +1545485281 +1795445592 +166318806 +562902317 +868598395 +1588535166 +462005891 +606689114 +333028653 +365689574 +2094785452 +1761327437 +765586975 +1444956225 +993843277 +1436301032 +1794760535 +1191667829 +792235755 +1443803457 +245627067 +1762036252 +188191624 +1903004814 +588568794 +531992756 +1949458725 +1593671116 +1516787988 +1870531153 +1498538598 +914789621 +1518493098 +1664857404 +1477691938 +239607845 +1105908923 +1939697830 +846296959 +1438937576 +157903756 +793598764 +1052781365 +923490731 +91071341 +2046624643 +212308116 +1885831876 +1090808824 +1004543871 +1182151685 +1336435891 +619096475 +1370343310 +1091957057 +1207665269 +1902336066 +893932134 +653852737 +1271640406 +616979640 +4907688 +38946380 +2135472738 +1669765092 +1516638318 +227596935 +628190367 +1308852500 +1073893895 +2067127943 +1466756257 +1867492659 +972425661 +242763340 +1958564000 +871566656 +455071456 +1696912228 +1962375480 +1459615327 +731580265 +1151327723 +2078711802 +2101923575 +95801133 +1138893423 +1856775993 +989733267 +1792746161 +980932752 +1606712907 +1797653849 +1019879132 +1594701997 +1319935293 +389033802 +1822298933 +1948125661 +1697886303 +748709180 +1867769956 +1017158912 +468718191 +692711969 +1259922252 +279798543 +1564278625 +1714993709 +1976710771 +1379170457 +1027125388 +560807388 +383014533 +958353543 +515247316 +478815666 +2097246966 +224539661 +1468548933 +1742509479 +1205472413 +927778193 +1392679680 +77867897 +374996542 +565131326 +466901700 +49811827 +365773339 +17304355 +798521007 +86059647 +1034463267 +1267239198 +778771617 +146901871 +1547037741 +195566594 +1861895580 +1376264864 +1574737052 +741537321 +1937072253 +1957751585 +1699890864 +304835921 +289083603 +1649654182 +529375582 +1757632536 +1244680014 +1734847996 +537927081 +489876046 +1812715893 +912923624 +1055007372 +132133945 +962735451 +1420780711 +149438300 +1761256459 +1506840359 +1183901567 +881012009 +138128328 +1330803439 +280566103 +333694922 +1045215371 +1656830967 +1908431974 +1786752692 +1446419572 +1718699911 +1339159908 +1751255493 +2007783514 +841330443 +133147428 +1617932403 +2086010457 +1867995424 +8375836 +428402855 +1533227669 +921299460 +1483410228 +1665361615 +1884034912 +756707291 +1814799915 +1497807723 +116064002 +851217835 +231336084 +254192330 +34537626 +511902187 +587887253 +1079752997 +21249507 +348835579 +719022042 +1467669079 +2067535491 +2058181950 +1071440925 +1927835357 +752028745 +1204588353 +1398284112 +690555554 +925100129 +1406659949 +1118958410 +310844150 +180475761 +454884990 +1976205765 +2064510673 +1211592281 +1643522033 +1414834748 +1327656284 +347256220 +1646170833 +1581848614 +381793846 +10589372 +22252219 +1461546843 +31838879 +371087799 +33085237 +1499507959 +291139642 +2091267188 +423465236 +71491351 +695812285 +1628053589 +1469775464 +1386367840 +405670070 +728951765 +357842602 +716514220 +909427526 +812727592 +545236338 +826454552 +2024319873 +41274723 +93805652 +1204492509 +388530943 +1739976485 +638857476 +770324789 +1750565858 +661109695 +84387984 +1782404737 +1032197494 +117473222 +1134429048 +1323337136 +61256762 +1557894284 +1394828488 +757069047 +1038464225 +717120304 +2143436887 +1444134295 +1446072069 +353795841 +13164868 +208015947 +1166523433 +558401206 +1034470499 +1043359659 +599675929 +1128276152 +100368520 +988206872 +720768989 +739225996 +1758531661 +323851199 +1400335692 +1842919645 +2106255937 +285049538 +1960392867 +1093201337 +1608386675 +2021649629 +503611974 +855731515 +631235029 +1542076199 +1572851819 +627188268 +838726847 +871440240 +980984110 +851891715 +1079456187 +23895 +1410292921 +2113926687 +1043383554 +2009968850 +1094719191 +1143752075 +850692074 +1815488180 +1882978071 +461740087 +2139339380 +1135830115 +157176084 +2098111669 +1420879654 +2117568952 +1043829358 +881782681 +1991734933 +1547441332 +1737514196 +475486314 +942033884 +1162882367 +1102674583 +1780760731 +2034322607 +2083658693 +485168798 +966295146 +2083682588 +1895461719 +932738185 +979582495 +1757946921 +2027457376 +2123334570 +461155347 +1695461909 +1858828993 +922895434 +1687317641 +847175461 +1080071518 +1637945662 +120571467 +1050156822 +534291372 +1002354148 +894408108 +2081732705 +592384696 +1369894422 +876282941 +1755267063 +325085357 +509560024 +1642106022 +261260402 +994728822 +460917520 +197459343 +742706893 +1393655706 +1177041838 +353170166 +1273629434 +1152892760 +814325513 +821607695 +864238105 +1737220947 +361441688 +1711413566 +669808817 +1999387350 +1831985033 +1719965640 +386195075 +686855533 +466890100 +320444132 +1279240229 +1836784522 +1196727073 +887023644 +14386232 +1706287097 +381646018 +275646634 +553532271 +842563539 +473105977 +1296239164 +88735597 +1650147815 +1649409330 +1362365031 +655556927 +316251195 +36489079 +1519795033 +2053472142 +397930767 +1083724951 +575797311 +249834470 +768226337 +148279303 +636029545 +1455081870 +615169403 +956473677 +586838452 +304470278 +5717102 +1473862096 +318856510 +1712004199 +1855508115 +594503144 +118052822 +550588006 +1067609122 +1414291986 +639323603 +570273289 +916217668 +2001688634 +1225830217 +1232468863 +2038177713 +598141602 +1138457357 +288624833 +1681866553 +1714254668 +538459303 +302609242 +1862533972 +1174488848 +1757691113 +330219727 +2130962525 +197045917 +634690005 +2136679627 +1670908013 +953546515 +1701200178 +1378932480 +1548049660 +1819253000 +1929520486 +468175134 +1086061338 +421360441 +1038448423 +2002279006 +275565428 +116794992 +1087264221 +166259493 +714936594 +78237930 +454884326 +249319500 +1792492598 +993343629 +551928742 +1507542922 +20348829 +162136207 +1837762650 +3827706 +359182124 +324969007 +2140507333 +2030090138 +1278515523 +1694223863 +1261538970 +679081535 +1365993215 +1043575809 +1147256669 +304570905 +1464936250 +38221444 +159366263 +1740501678 +155016437 +1246630484 +1906761172 +869953031 +1324868414 +214161850 +1119272531 +969877365 +1207505480 +1671201274 +329936639 +1227854309 +1833337481 +20215641 +1231682016 +45035958 +345184649 +1224705701 +2075126096 +1623700172 +771445917 +1189181418 +155298059 +2137439132 +85273579 +1302554728 +294526390 +1550209830 +1340776172 +453892653 +1143227860 +1495792609 +1700523138 +902505384 +218261993 +877907904 +1116667235 +1337534524 +1847785269 +176689067 +861252150 +30238261 +1404543376 +547105984 +50453902 +488741744 +592141942 +395638551 +1713447446 +519784390 +2019338723 +337409715 +1708965808 +27153134 +327365199 +1794239388 +1329707862 +621891589 +1196965570 +523000387 +1075784243 +192709782 +2018792996 +628823733 +1095215167 +89571341 +1506731637 +64398754 +1427105866 +1207033259 +241087821 +140874368 +1237271520 +1645631197 +687980352 +1287725422 +2134372942 +1280122294 +1683363974 +1700336740 +1799906684 +1555219049 +2037746455 +1361388845 +1582372184 +217628006 +1008144585 +764596398 +839519596 +57626507 +1287596785 +1915303839 +250336289 +1158906134 +396643924 +1345551456 +1248477475 +1903375561 +1409950210 +528099693 +962925172 +1651038031 +668974062 +52713044 +1149185581 +1356954414 +1340438467 +1136074875 +489593061 +876318793 +688927967 +142016097 +284054194 +579190774 +1503404942 +1866426378 +796818780 +364065879 +483539129 +1636338376 +421692386 +1771135914 +1404158567 +672028676 +782558400 +1800802491 +2017580132 +2031035876 +1556694405 +1280046695 +411651921 +372135929 +783601078 +1080625983 +424848974 +1932786659 +290096750 +1765287441 +921377886 +779689811 +494122586 +1610305853 +921705908 +778176780 +42012979 +277627203 +497119511 +838831760 +641693082 +980658640 +327686488 +1063385469 +604310906 +1731845056 +1735414145 +1386869307 +1385163899 +1605510629 +1270421535 +794374656 +738073676 +1682073456 +1166510586 +1521674755 +615215792 +1591359560 +1306977766 +905312542 +1209163353 +80872005 +1685002353 +1703285939 +1691177858 +459224613 +333979071 +1733190838 +736851816 +831098582 +424538950 +1378544899 +1811757222 +752225438 +294446720 +268584481 +336586846 +2029860865 +1655453788 +1721750746 +1487887846 +778391675 +368641754 +78477875 +312981483 +1535152340 +1600152630 +928197275 +979028252 +759646748 +1833509817 +40707957 +840518753 +1371028522 +1743993896 +384212964 +1830253136 +2077972968 +2117403802 +419621304 +761587902 +394459104 +1798166203 +425861477 +1146684542 +2092612923 +694445958 +1483271389 +1974990140 +202416098 +1057538487 +1315394339 +980807773 +1426180241 +1393872214 +1293789256 +813848934 +846541196 +74502884 +1792877186 +1606187944 +1908012701 +1833585144 +299223050 +1131557576 +1430095392 +683436014 +814327064 +1360584712 +653356168 +1233948368 +2122172615 +1047815272 +884630924 +400550444 +47016166 +829760199 +1094996402 +1530287555 +657266692 +1297412500 +440342394 +1972661031 +130736625 +1866522636 +1219049597 +1424525881 +532887922 +2065590793 +1499028765 +178281460 +1524295089 +1259557819 +2011866604 +1823518139 +243631747 +1294478349 +359470505 +1057958811 +507579413 +1012826673 +144423531 +482268380 +2060641945 +1029054455 +882818824 +2107658112 +1858814655 +1977815226 +1490462019 +368597699 +1127744078 +1930804414 +193775082 +1258480703 +1649843402 +1412824679 +535522937 +35247676 +1330931824 +2034551702 +213529136 +707743265 +1146625873 +77912093 +383777757 +1390257620 +1372390442 +743248262 +300732783 +1879969855 +1756074936 +445156315 +214754588 +1669233233 +1474210770 +1097573412 +1629407697 +1185541777 +927904991 +972386069 +1554139476 +2055649069 +755706835 +1747914558 +1166646125 +258066589 +1013255589 +1702169062 +293314265 +196703765 +1589237116 +506843401 +904447031 +588379342 +584755494 +1288224788 +1978636962 +1957145936 +2031473050 +131886098 +1689632144 +1640064338 +577042413 +1904386732 +1161813924 +2051253183 +854476496 +643737973 +1089311313 +1782381487 +1616124042 +495967141 +1690546909 +224347229 +96398052 +709709386 +482413818 +1109653641 +264394800 +775728083 +1306357407 +1853631916 +1282571485 +63320790 +294527610 +1867326979 +1351545578 +125680925 +1676989268 +1235534980 +257567023 +1219137764 +728115671 +834609436 +976040848 +1889929595 +738378971 +1830517344 +386183920 +1827690284 +1465415184 +2002307963 +176173778 +1008478445 +79171544 +272571830 +1718187831 +561585363 +1382225471 +1982582631 +1337313446 +541099230 +1688730899 +472401283 +604420020 +1983258510 +192244615 +1955965598 +2108939435 +1869233883 +1044016931 +219022810 +940887999 +1772132602 +1053632246 +1916928847 +1514578549 +1792011217 +1599962543 +1900762469 +1472217854 +917894079 +1755586784 +1648391632 +1926372524 +1834758329 +1920963462 +1497076707 +248860044 +1155705285 +1332175690 +1586173490 +1696804516 +873422942 +2058574774 +153740888 +709197804 +103335741 +2109706487 +670653591 +1972569624 +1006239770 +889676401 +765973975 +630888724 +1943308647 +535419174 +2145467273 +1587836216 +2135381717 +1898746094 +912570422 +905792149 +1506849231 +413478406 +684681025 +1194123912 +186958220 +34274085 +1442983956 +1342663506 +1366449775 +881673798 +891984374 +92389069 +792764924 +1045725262 +801586873 +896100665 +1007948101 +1472240464 +721186641 +2014187871 +214433217 +1487160616 +497592947 +10258216 +2022579790 +495576572 +1598094433 +2010477860 +246839019 +363181207 +768786361 +1753688250 +776659614 +1453467386 +800328514 +963617834 +1487741471 +95828822 +158797692 +706707599 +977502620 +1050782066 +799096668 +1770267545 +2096507329 +1600683542 +518884562 +956971782 +925440358 +1240071204 +823676006 +1139873576 +579748172 +1321268953 +1150131792 +454844315 +1816845526 +600742577 +317838527 +2063684545 +963923785 +1086624888 +1669889147 +1740583399 +392608626 +322734013 +556717585 +1880350098 +418562835 +715515278 +439574049 +1396065455 +1766297344 +1238670717 +1018849352 +1715321025 +691870611 +1537733915 +524809160 +1617310970 +630321471 +1348485166 +609700898 +1210069643 +522270471 +1759832690 +1664913958 +191632349 +213091620 +1982752485 +107833246 +1177015405 +921893725 +1777722393 +770115156 +1314502352 +2100456406 +1326832741 +1047368802 +371535593 +2042348019 +1486942851 +1767601049 +1661161716 +578129920 +638966753 +1228999093 +1270000532 +29217020 +1753808253 +739827854 +659538491 +954809771 +1349528752 +1869608135 +1477080243 +961877794 +1387038445 +1668712592 +1174969414 +1222307283 +1776545839 +204501171 +2144201008 +1406784584 +974616327 +1311219712 +1359757343 +153965421 +211104866 +1731292936 +48829792 +1698047717 +1351410337 +1709991508 +128693990 +1990377091 +791506954 +1398694522 +2019594111 +397831559 +2138522376 +531648955 +1352641331 +1340567480 +253773442 +682237926 +154961626 +1640811887 +203466870 +1329931041 +715635522 +1980012709 +1534432212 +712352883 +1239313646 +361564892 +2023572595 +451587341 +515530313 +87193814 +35396629 +564360105 +1785241531 +1386806967 +126867966 +1913935521 +1229700410 +918374920 +1165146395 +1101810873 +1316206479 +1156185123 +1633459828 +521364162 +349268955 +1887233270 +1203602088 +504230582 +1380561510 +1407068959 +1834161623 +2096197032 +1239598020 +1221110187 +661066267 +331428018 +1582675079 +537155215 +783015359 +2098205392 +624349029 +818411989 +515081850 +262106912 +57735308 +641949816 +28558786 +1287435718 +1560324736 +1193705181 +241762943 +729047567 +202406657 +1875222772 +1250411730 +551675612 +1614972394 +306530170 +1055906194 +848050256 +1713599129 +742584169 +796763641 +805713502 +1963694357 +1457829908 +1137141520 +1398885788 +1994985123 +1920156880 +1349607533 +471850504 +591085221 +1864689383 +733957417 +648820529 +359155551 +762516203 +1936256247 +1919480287 +1956221384 +30535542 +501044206 +11144393 +1905758314 +1751455936 +562820006 +1373247061 +2057986107 +1618726200 +73813669 +1624101588 +213826722 +870577310 +282331442 +30037431 +180923571 +1419472963 +1428923219 +28425046 +1192146195 +631047104 +500275551 +1783231416 +348252839 +1234232968 +284568297 +707408390 +1996749171 +73340896 +479405029 +1805486907 +103876438 +980449236 +1816631301 +2009634753 +584421524 +231967659 +1235398166 +494923983 +1850693859 +1309211835 +2119025572 +2064520581 +32305498 +253873366 +2094558012 +213229069 +1673346329 +1375997584 +241654115 +718008876 +2007044688 +741929666 +353756644 +207813880 +1976162634 +638324941 +915222270 +1825428157 +711665837 +1394627300 +1483431417 +815542276 +227592888 +1152579070 +677693381 +812014412 +1384546729 +1913091547 +1306938396 +1087756940 +1074819734 +1278480320 +1004793874 +1107125232 +1532353686 +951868238 +1320354301 +1058216368 +180382174 +1562008417 +1776225244 +39943215 +156454435 +2129981889 +247757095 +2132617070 +620823182 +1162979365 +1810561579 +1332489020 +410123017 +1146509348 +547648 +637715905 +151604770 +678241029 +1449730318 +1536151499 +443848928 +609185066 +476424792 +1518668662 +1887665386 +1481218666 +478310247 +1272535424 +285603256 +1798664548 +183268144 +465985431 +1213189317 +1959493389 +505928646 +1369643753 +1941991630 +753685741 +1354777175 +415331164 +1916665106 +1017855106 +1747820184 +179304476 +16880807 +1748367832 +817020381 +168485577 +279125213 +119267051 +1704637077 +722974141 +728452117 +33578221 +94159156 +468633855 +1514796887 +572469403 +1741169280 +1800400143 +223650303 +1924437424 +118901926 +1436839621 +1736447165 +624830572 +658999726 +1530955147 +1378516313 +2013776901 +1946286312 +1147697772 +884148359 +1546622848 +1327002248 +901029166 +1147507033 +2144022629 +1069514744 +1426632246 +115806033 +626668173 +2122740 +844258150 +660246394 +96281896 +1312892006 +27559633 +668751299 +906577638 +1827959776 +892401602 +683531414 +1946861703 +181757575 +272494932 +424208627 +840757301 +1803450079 +1802724941 +707050554 +1602252743 +802939065 +1591198914 +1001391944 +2129941313 +344744432 +1415329 +2126480294 +1414259176 +1428047575 +94802679 +2040927349 +1430170315 +939060830 +553690095 +1526452211 +104469188 +581249728 +47719862 +1011046826 +261725857 +940121465 +1694578240 +61103912 +1121879040 +1967073172 +485312539 +1962636342 +1623039604 +140553832 +522203248 +1077808699 +943492897 +2113402162 +2079200643 +925950562 +310662947 +2080615972 +904947209 +1724922123 +1361179900 +999749888 +1618365825 +643866567 +1938810718 +24572272 +22835131 +2043279906 +605822001 +70554993 +906843084 +867547858 +1010676458 +453937677 +928651770 +2132555499 +273527201 +1413964309 +1947708193 +1896566805 +1554518142 +322427793 +826891857 +350527391 +288346308 +758608852 +1276477954 +599009255 +691741177 +33941515 +176447730 +2052921077 +1033691403 +1794813555 +549303996 +825018474 +1819385828 +572139127 +720814732 +277724181 +642694121 +1627657817 +1145272039 +1653370579 +2081595494 +2073923809 +1638442430 +207639047 +1340404470 +1438666975 +2104205853 +747438964 +1761094769 +783614062 +1097966356 +2049441077 +1542222914 +226960662 +500966684 +86480443 +260902177 +677414414 +2139401520 +1294593580 +324744322 +541221869 +2119612054 +2144130150 +1113360996 +692943139 +274370683 +1756055117 +173117308 +1419642722 +1261942049 +107229154 +1346082883 +752900831 +314868201 +539003705 +44084159 +271590406 +1286442670 +1805178928 +1055204468 +236925378 +1707136357 +449943735 +463886040 +60619393 +536424178 +724788217 +738033807 +528342051 +2019381797 +1062778129 +1069563920 +1991510204 +1059424631 +35441268 +536969695 +1333795314 +1791496386 +710087003 +605954388 +905954787 +817316157 +1952037271 +1658855618 +1132184358 +343557329 +1702939777 +1403774765 +1629999999 +1360635057 +311495585 +1866925377 +920287766 +761439320 +183327769 +980907159 +1297863499 +908115986 +1718940967 +1826205550 +780014135 +634235448 +748285822 +624040691 +1693660080 +783727090 +1161010386 +879971746 +427739828 +1871097389 +1485926135 +1333694615 +540929898 +1290479758 +845066586 +1673114257 +1634037087 +400522715 +929405374 +1116553438 +1761157773 +1240900959 +835995167 +533961891 +2002340280 +1019322936 +1514869051 +1152720131 +1927438922 +1086326370 +831442033 +559969410 +1720561818 +1579727855 +1184010101 +1266738250 +215971297 +197536840 +2146709997 +643711126 +2068634229 +1485152484 +1977405741 +462080480 +628148594 +674988679 +2135194737 +114702034 +1075511395 +917116463 +1231255472 +689185520 +10533774 +2067250640 +1223147411 +2012874054 +939089928 +590532814 +1018110537 +719045203 +1676859184 +1849552570 +1279014613 +1249937355 +1281796777 +315541066 +369191957 +1497768075 +513077906 +368418306 +2141479201 +434228488 +1853570790 +1971401294 +896308968 +334235737 +498906326 +884020057 +448937771 +1574417721 +1801136520 +1680193243 +116119593 +1811670294 +1599960235 +1339267004 +1677060701 +391566516 +1929799819 +547687590 +1110611719 +1459175355 +249756513 +242142684 +561629062 +1531553290 +557683750 +930821020 +881837717 +1070761657 +1299239326 +875833270 +1504990145 +1005326469 +699750917 +253815465 +1339562206 +1198657243 +1137835522 +1788499977 +625591316 +791488394 +1321209572 +741710909 +455675040 +773686160 +2080977913 +2132735741 +1165252676 +1863294084 +532939684 +128380747 +1174985792 +782696197 +370523431 +1736614854 +166765839 +928207181 +519952226 +1048603557 +1998968838 +1819191553 +1924436827 +1356475335 +677034374 +476704096 +1610290800 +2016596580 +1675361339 +600642674 +1657612909 +153469007 +1392131068 +831338833 +895179916 +1847806109 +1605024993 +828674182 +1833058202 +622794021 +544484618 +218514238 +751174768 +1719470410 +1001210435 +1121698199 +1308601617 +1167976275 +2049905381 +1828553843 +69096184 +1901390571 +1500261748 +1993533011 +1110382259 +29812474 +322753460 +573189411 +2046409054 +1998114799 +1173832086 +1556538315 +4100159 +418479506 +240393501 +899280075 +118801967 +1845418494 +1727954257 +1951860170 +320728868 +124955228 +22890760 +1071903636 +1844425638 +1024101196 +46118188 +1005543607 +44593823 +2096023569 +686613803 +113690007 +1849930492 +39391903 +2107223018 +812829103 +69204378 +282492830 +1386018515 +2115613432 +133123982 +412366953 +1524668100 +137224141 +830846459 +1765061601 +1036504216 +949648427 +1462996447 +616974826 +754024949 +1783725315 +741930054 +776915709 +708145304 +438872044 +1801016905 +754263492 +1444415652 +1845610728 +702803413 +2131029455 +1959300735 +405250257 +22937710 +1919040106 +1218079361 +92142088 +54049288 +456614228 +60271873 +187173270 +868981181 +1584939973 +324397411 +1699827640 +1202517926 +1360901628 +501992419 +518030725 +1977876454 +1256017368 +154272393 +572322860 +2032933078 +862417697 +1011194904 +1686466335 +1616681189 +308126908 +1384593416 +172000954 +291672715 +1196410503 +577251211 +314610426 +967966961 +1795330572 +406752514 +1022016250 +104461152 +467024387 +1209189520 +973442333 +2051964360 +1533586932 +525786326 +1106998638 +747004912 +1027778745 +1625029364 +577397718 +136312466 +1779301757 +1149720578 +21761896 +494235806 +13431834 +1708228231 +2110916995 +321558743 +945337999 +135434301 +613231458 +2141748503 +712685512 +927841884 +962231816 +360532437 +1334594399 +1984248066 +464993589 +1801618786 +1045953939 +1438435923 +1706099499 +432057223 +1964222249 +665614489 +1179062135 +844517346 +143160205 +1756459853 +980829812 +1922461962 +758696783 +1002591708 +269214120 +772128617 +563336292 +232647467 +1093687360 +1508674291 +368081768 +1706918819 +1502939146 +1080767281 +487277055 +317687315 +1441299718 +1821871454 +154451733 +1906293307 +1476006593 +1200405672 +1197245582 +1034622444 +1632462895 +1013984183 +1700236933 +664041382 +1858501530 +1843397139 +273017587 +691847694 +1618375453 +1031714370 +1694439403 +1887589574 +1803842988 +110292047 +2120237041 +750046700 +1618966338 +340835162 +309481871 +974421837 +1421602443 +796758927 +1292109152 +715418513 +471146733 +1446560885 +474228172 +1947153326 +499482910 +1671473755 +834292122 +2131945805 +537974290 +387045408 +648503540 +248992172 +82958899 +921521127 +940839867 +1701334352 +1953235498 +487795622 +1441440278 +1609594838 +598087669 +1414193672 +212157890 +69570359 +1755028834 +521639762 +1043992196 +1029147629 +1318398689 +188617700 +1744566142 +1789545422 +1635178586 +71310666 +1589215101 +2134661496 +1742784421 +276023575 +2119123653 +133275064 +663068983 +620143545 +382267236 +746027882 +1541664673 +1323107103 +299878587 +1347416523 +1810902725 +1741318865 +809527713 +261506746 +1008028889 +1021685603 +331077106 +615574075 +1543325365 +1375069302 +1644721704 +714240406 +1563687003 +1241804198 +356302181 +1051381941 +1313114865 +1945517282 +1038559789 +908415638 +74057209 +1010199794 +1041690702 +737126193 +1630343340 +1423957939 +1483154075 +1024524365 +599581394 +1783032662 +224457240 +263000472 +1376867880 +1033984953 +524507218 +237413121 +2055670556 +855584324 +852987197 +1451512274 +83169979 +350225253 +18269032 +1646856982 +1592029452 +374571213 +550755275 +757660669 +172604847 +1589315064 +1666076307 +246662057 +452031210 +560283362 +983788250 +2082374550 +1984241301 +319458677 +959415267 +436339047 +2102491340 +1183872507 +699339519 +1331875572 +70373812 +1223846738 +1569288693 +2126044369 +2079431062 +274792242 +1430072995 +15117393 +625017496 +1448342027 +1661974375 +69563300 +1822913241 +65246002 +827223969 +1995518088 +1654561066 +345816628 +94696497 +2106592277 +906099990 +1078484747 +2041483179 +742857643 +1397943425 +853414799 +1179196691 +1352951117 +2037287306 +1878536210 +537343041 +2107661119 +954899300 +2106631734 +2086221840 +886846715 +233940329 +1368811187 +901964108 +858957825 +669669566 +416454836 +928521125 +345099159 +481700838 +1755745094 +193133600 +2136261905 +2101561722 +287830097 +2095370534 +860178065 +1366314845 +1989370065 +1603035708 +616774622 +695301216 +634748751 +1969725739 +585104875 +365801314 +359585132 +545282346 +1320700614 +318733218 +484020538 +60063681 +552673547 +1852831725 +962027790 +1411631372 +375017643 +1378482626 +192668849 +720116803 +1860183464 +1948413943 +913250403 +1848961721 +1902492018 +1201080500 +1796848607 +615186435 +419911697 +1638735025 +70738495 +1036686319 +186552593 +705487247 +858928410 +771657468 +1071288561 +1218513542 +1316939814 +244505527 +1537246761 +1800960352 +304569209 +2089920308 +1506308429 +1266596999 +1354068033 +1881326073 +497595977 +1546736882 +453959228 +210295793 +1347667178 +1367209631 +2059257515 +1102675548 +420806483 +1708622474 +1717861983 +840718181 +1199873851 +1788600478 +1877404500 +1386426445 +346604077 +588849263 +10600265 +1417892638 +1807362805 +1327540080 +1662398166 +1197125918 +981016784 +1966967375 +1139562579 +339841566 +1086080726 +346146964 +73683991 +1583676703 +1892883846 +527643219 +1793972496 +1093067376 +1894852850 +1705746363 +48259276 +168175685 +1266885190 +1766121259 +1008893866 +319275393 +1407238090 +738814719 +1705701838 +1753842167 +1327663982 +1716302104 +1024251158 +987543139 +896358536 +539165676 +37185410 +1877375320 +358649403 +1176747989 +69733238 +1444730129 +1522894953 +143417229 +880923184 +1268295151 +671060448 +527412032 +213878880 +418429650 +85674748 +262138156 +586605336 +1352559938 +2028259416 +1595499202 +1671835331 +1288013858 +186830273 +1230053522 +894372377 +1514494255 +798871978 +1918623535 +354553747 +1695230514 +310305563 +391739157 +1425122186 +668954966 +1568487146 +1494855425 +2113685095 +943898451 +1638272654 +847124631 +64709954 +161849455 +1374536664 +278588834 +580279105 +1460211412 +540726991 +1166884441 +665287702 +421502759 +614899996 +189639385 +1709516617 +801730269 +1419692907 +456405346 +168740877 +71081237 +227545234 +523294624 +1766311751 +537850797 +915033781 +1043950290 +1206805764 +336037279 +391322067 +1173007211 +1279935730 +2029594721 +2020131843 +1344645684 +43960528 +1247184859 +1623234519 +624239634 +559912623 +16477862 +1791124075 +1225200325 +437980621 +258540423 +1414839710 +13590 +1060270693 +687048970 +456418936 +1229011570 +758130207 +683964170 +1752306194 +376958311 +1221814968 +519856327 +1420908601 +281137084 +855893606 +1812230668 +1454144295 +2135829336 +1694341741 +1326792490 +1332991372 +1738302270 +426493701 +808742243 +215058256 +986406324 +825220105 +2006182331 +64123001 +1263200726 +117239107 +1478962712 +1263214316 +1177509800 +18528034 +1719633253 +259037722 +776658241 +256113775 +2011343916 +1153616552 +1477928743 +383716595 +427041505 +1759065827 +1239610201 +91788525 +1065726475 +1227955889 +1786130267 +245035317 +413463613 +1376948889 +671529019 +1222205857 +1592007145 +1657935343 +2047425962 +1450705828 +1722058345 +1163143041 +1567944935 +1053537409 +278873709 +597971087 +1072065443 +1998506962 +857008809 +1848723684 +107137090 +720869077 +854856589 +1585065833 +1104585672 +1281898094 +1196648013 +196712225 +1373686620 +114890840 +1424668114 +1012333239 +359926157 +1838131728 +241798480 +1031455176 +912853937 +1833805625 +541906872 +812796251 +1137027805 +116481569 +1975939292 +557489093 +1170018978 +107329354 +1155460180 +94600773 +2105836316 +2012468990 +1943324457 +65489758 +585854419 +650697398 +1650555592 +1690440092 +1932595493 +699719957 +1887152317 +1158798465 +814610797 +1164336784 +23648056 +1174536954 +854984864 +265446536 +58508483 +1767838801 +2099252161 +600415355 +433151404 +1088796318 +716896924 +261607049 +1646285411 +1886915902 +368936403 +654261944 +1981516675 +327289071 +519247286 +1777357484 +392778830 +1105101705 +280571235 +2043334422 +648058149 +65683080 +595570731 +387726819 +1224481545 +1410181528 +1552063603 +1248129601 +437234834 +259564819 +1513576137 +495743317 +2027403620 +1465344650 +1096158672 +313071376 +406657320 +1813055596 +574678425 +2052942732 +1552487850 +943614828 +559721028 +1386520877 +1270903900 +1078968314 +1016394714 +1663682730 +36586371 +1296965949 +1559533504 +684644521 +1362649029 +7620587 +1072371340 +439646926 +1417802115 +476951295 +1687776527 +1855036949 +736516114 +1053869016 +203296619 +616436086 +371730018 +1299455291 +929507462 +778387338 +965027240 +1504185888 +683846422 +370031442 +300317068 +1243567450 +1756552320 +1571220968 +175052116 +625463386 +1087420050 +211638488 +1922429335 +499469906 +896283009 +1137594716 +507090493 +1968654349 +1577241642 +1924892608 +298121996 +1117534521 +1632445910 +1034638110 +23919889 +1835742529 +1651074196 +395649907 +987714172 +433098010 +1174037245 +1952741412 +1937283898 +1857883668 +175289207 +90117319 +953967470 +1931841527 +1661338287 +1129019587 +409821265 +601274690 +1340658075 +184766952 +1100744596 +89457436 +1322361668 +1607835090 +2058111785 +752119662 +1385244050 +208750133 +1869654183 +870206312 +1243388243 +1893574072 +558465193 +746978791 +141740331 +1546179366 +1180076801 +1315777576 +1351437130 +969877052 +1026177596 +1526726337 +1059994371 +1980145067 +1311084216 +573849010 +961681006 +1720905481 +1175123700 +154855433 +1905672433 +128384649 +244312869 +1080550453 +1736219739 +154941006 +1832670115 +973980141 +363691139 +1554840650 +1844186454 +1607079382 +1300931074 +255167999 +206574525 +1442671405 +1801347365 +1386651326 +610965334 +1005300848 +209044730 +1637142930 +384543537 +1269039101 +1469804349 +1695627754 +1842888112 +284001707 +1269049587 +870528164 +438857140 +1027238373 +998912813 +683170009 +2107788826 +587648904 +838111015 +1792975294 +1561629046 +1201802154 +1200332296 +1258331852 +661397888 +353779723 +1513499851 +867972413 +1796451128 +1167363569 +107140092 +259932814 +25180769 +316184822 +1897075745 +409724306 +1585223924 +1219396446 +2105352060 +1280628388 +1503398154 +1226918000 +3672904 +1942255294 +106672725 +1002585718 +477941656 +66977903 +1590234622 +1316052671 +1859953197 +1004380020 +370371178 +912801846 +115228224 +1031769066 +1266581569 +1628728076 +1899741480 +915549049 +648607997 +2006881572 +1175481864 +673788766 +175582746 +925073961 +1083513072 +1760806670 +2144470407 +1041381485 +893951410 +1500384913 +120815837 +897624315 +1295156560 +227488562 +1900210033 +1773098216 +294466465 +1342961007 +941667239 +6936015 +199857380 +1312038417 +919737861 +315085604 +196323836 +38835782 +1943813680 +2096065316 +954384831 +444938029 +1955463240 +2129866695 +1118726795 +2131045986 +907457008 +54756220 +1744369009 +904443768 +1096137705 +490836771 +257345033 +1216953542 +1388461086 +1552501593 +1444442104 +1141187471 +1178116161 +1738908569 +336664831 +2119783401 +1745844584 +536522211 +1284338170 +518098797 +851607815 +1480662006 +556934579 +647937848 +1429243674 +1511319411 +1092875877 +1237223266 +1493702458 +64119025 +1220785605 +253675819 +118875245 +817670966 +1158119587 +1215012950 +1308507737 +1415464620 +284482844 +549485176 +820482566 +1728924948 +1690672647 +1998598727 +1320349869 +2027337478 +1970898480 +918710806 +416376041 +1107753003 +1436809603 +1267983857 +440931361 +1993744183 +1915921705 +1870175036 +1357579946 +861313934 +959914654 +703798756 +925432959 +33216611 +957474575 +1044308204 +850887577 +2115594162 +111837506 +11911667 +1383575135 +396320350 +561396843 +56574053 +2125245298 +104585842 +2055172780 +1298111520 +2131923321 +1878587613 +69338678 +400815714 +838856968 +1506148281 +1668799571 +1279788329 +1352408816 +1437237628 +1002479717 +562505114 +151067915 +1962394372 +1266303871 +1076500874 +1995610983 +76294798 +2120809079 +699014913 +44405313 +85162937 +710926580 +1427980448 +481483288 +1272323423 +1484554501 +459244938 +1376909265 +1392243633 +1757356458 +1361348938 +1123347598 +1826695136 +1762164653 +1962204566 +1185359770 +1283480576 +1094509248 +390284938 +573234557 +2096988965 +952790053 +724302472 +1911899689 +71610276 +1800803346 +1760027025 +147905074 +1774128777 +311558290 +192310387 +1859291715 +1022484870 +1620290835 +193291355 +147324645 +957361688 +652536293 +1524233910 +202121674 +262409104 +738099201 +1325469272 +2089104240 +352780206 +1140190191 +1126980362 +1636260782 +87215791 +1517265301 +62011691 +36721108 +322571706 +786314163 +1948620798 +394181982 +439633862 +1561164175 +542087056 +66278991 +1872722465 +734397444 +1925570706 +747723687 +207204631 +2118862061 +895048332 +1164566320 +623914707 +271798594 +1366687994 +886323811 +1009897795 +544673618 +827944403 +1362678001 +1684863809 +1954924766 +851455136 +1772079600 +1324706419 +913466827 +1808800709 +1647278125 +1699780991 +1609937859 +2041460107 +2139414853 +1023618386 +436063515 +58210196 +748857203 +1170460959 +1983780903 +1496580890 +1377665591 +1955159316 +244145574 +394748263 +431590375 +515944168 +1761436257 +1317914186 +1525841964 +158626227 +2145858590 +741036317 +1843490037 +1953299708 +1592491453 +1468085989 +1130522479 +358474633 +1129403050 +630316956 +2058255624 +591857261 +524293415 +2050186829 +1615475647 +960356930 +2108397025 +216849202 +2130817890 +1944694280 +1713430092 +1360999833 +1752369949 +1957575666 +1755748096 +36476676 +326036187 +1369700705 +1354390863 +1851878151 +1528326932 +1352765805 +445430820 +1224333321 +1158581865 +2037922274 +544935663 +141620696 +248913259 +1674338713 +771937652 +159685235 +118712327 +1296231067 +62388416 +1734187974 +109104349 +23301793 +1951037177 +92438591 +1967996074 +1516983621 +1453438424 +1572882375 +1327075640 +1061702872 +1609359051 +1653111827 +283919929 +816266266 +1357506330 +1812246862 +21548423 +1802937150 +889096535 +1180130288 +1693375776 +1434032198 +1321750984 +1942289035 +960887264 +2093688636 +2101974270 +1079599591 +1242436055 +16879038 +666303917 +1351540405 +40180832 +469857446 +1443978996 +2008176906 +1986841068 +749933773 +1433575633 +1166433060 +1811636645 +895451036 +672061239 +2095556575 +1711717303 +2029567569 +1760319789 +1733265726 +1685021071 +501932676 +765912367 +1230913200 +1935964875 +2087663351 +1025718587 +749368491 +2033868340 +980209210 +1828968082 +1128820747 +997088248 +347788351 +332877504 +1037269080 +817645798 +1776856501 +897962338 +657003218 +379306626 +184054323 +1823436278 +43459623 +1079505360 +348013869 +2139016198 +643739015 +230097790 +1751852339 +229521093 +1915118861 +106301368 +995433460 +998548413 +2042266243 +935613164 +2024267001 +644151086 +821997856 +856992563 +325635520 +1950818603 +1854080811 +673423871 +136212460 +743866244 +1491069669 +1913068961 +1641828582 +589239 +144891939 +1825882906 +1824025517 +188351562 +757904618 +24555738 +179884113 +1401643633 +254653528 +1931736452 +1631164726 +22288742 +2038037820 +479114539 +1020837155 +1932820415 +1414727703 +897620508 +429487853 +89241911 +1754613071 +755123373 +2040060514 +1461210235 +1428547245 +28789326 +57592831 +772133266 +1941858287 +1699421413 +772722506 +2086750226 +1377820671 +449264375 +127618141 +2135725289 +473820114 +307502254 +1389885274 +728473642 +91755058 +873566353 +750762384 +2129792879 +1352680892 +1771599540 +1915129646 +619924947 +521736400 +197133852 +709166858 +128865824 +952257225 +601743724 +1590076059 +233320822 +630533051 +1647668890 +1005454089 +424907690 +1199606655 +1778176595 +364174269 +429943679 +79957322 +491792410 +418185320 +553777436 +799294664 +1808070595 +1282251079 +891049722 +534153300 +2033013463 +873358953 +1886834192 +1657129355 +641004952 +359275491 +31382108 +838138804 +1068442349 +160247932 +1790396029 +1670186073 +1750323991 +2023716852 +153235476 +1250509233 +881687293 +578143167 +302632240 +512380240 +942317436 +732575919 +592337562 +1434109846 +1150761240 +1146114999 +85920862 +811348187 +280882430 +976970584 +1345501487 +166412245 +1850329538 +1084852031 +1823541601 +343850842 +1444127522 +1854923709 +1181989646 +365086223 +2015171641 +824902027 +2035272296 +1618011984 +701135231 +41024125 +721037569 +1582822524 +619167292 +1023669809 +2095202764 +1561484728 +1756245729 +540056679 +848110926 +759523321 +1686171678 +934031788 +1570871508 +1967054108 +1911002372 +768889347 +2133466353 +1613848262 +1853741378 +1809524306 +1957699104 +1150385252 +1516964367 +992205102 +1515471475 +1384652360 +1817107130 +1403260123 +855180696 +370758713 +1444284248 +1576218265 +1953581238 +2063451540 +452404427 +1901300354 +1477452620 +61166508 +293873385 +178079898 +820689829 +1980045063 +1112111686 +244077689 +1799615523 +875630411 +1012967036 +1785598229 +341995025 +719224766 +1447638887 +152210482 +1869610018 +817119607 +1144415584 +1237597845 +54288319 +814039066 +493374320 +909469016 +1184797780 +1937658569 +338203633 +990895370 +1853626461 +790608060 +744712076 +1183595434 +851774568 +1038585462 +1361675332 +1672464397 +871146877 +326303371 +1916542086 +523278753 +1201933782 +782025474 +161393334 +1543928807 +1501250240 +1609032221 +1696139289 +1223376610 +278668180 +693071226 +313490807 +332956500 +1507110292 +806865128 +1242425516 +544424424 +597040049 +1580629149 +1535319794 +303182862 +223753562 +132548223 +1486778296 +1075528130 +1171133685 +700969981 +600508880 +2042280562 +1027273352 +369567318 +418075667 +81723486 +1151592793 +579469001 +1625652293 +505359385 +41017575 +1174307935 +1728735996 +319685755 +1867379161 +2042226803 +652642255 +1227005805 +701608283 +1895067771 +1771430230 +1298648332 +1328213273 +1159266376 +1601831195 +1551966835 +1291814599 +941125843 +480011317 +315464636 +1642095824 +1080520197 +210261551 +521885528 +1450087516 +628337218 +603609014 +454196661 +1207806220 +81777660 +959556046 +1248823795 +1256085595 +540808394 +1568509550 +975981108 +435551550 +73668158 +55503265 +1137159833 +1968735929 +1826933495 +288324518 +1149465554 +838716224 +1890155713 +553948741 +2130530823 +683797908 +1033960059 +298511812 +178410085 +2114480256 +508773363 +700295613 +1417084124 +1137110581 +1303904628 +1871280785 +197433153 +1385682288 +683353184 +1446256948 +494284235 +1224161578 +867282851 +1470265343 +1659713128 +940951009 +1525768608 +649389314 +762203290 +1205218456 +937713832 +1911668845 +2043934680 +680385897 +318133938 +2026981855 +1364183805 +1352093997 +178010019 +1542593890 +1319090606 +686783382 +95405856 +588691082 +1823893964 +1399310484 +312488220 +2021327117 +637509124 +995841404 +1320100418 +1131793359 +72519334 +39899621 +454575054 +1732232463 +980850630 +1980343662 +234138129 +1743053920 +1038078470 +1171851961 +1507239117 +934529502 +1852237858 +1825373056 +814027710 +1068938015 +1029983405 +992037729 +464048258 +201590363 +1678821112 +559454114 +790281446 +1355231428 +1958764598 +1102769666 +1229074897 +448790074 +2098611070 +401691667 +1580583433 +23646756 +441591288 +2035158487 +1755879219 +1422441918 +1868018501 +1990017348 +1018012191 +758613324 +1014385661 +377767660 +1693142826 +719139871 +55657068 +359686888 +1788077887 +1085640474 +1351724618 +104642497 +1287230837 +883062082 +664096611 +2077512283 +90809862 +475377561 +1032798301 +1319884759 +924167635 +983925723 +1721576427 +357267420 +1007572480 +15684067 +244942259 +615968051 +1438125986 +2112960760 +458501752 +308654529 +724090436 +1472887413 +686422189 +269749615 +44543637 +742079258 +629436503 +1832621524 +1827719732 +1981161121 +1937264021 +967466921 +716739555 +453876984 +897495557 +807549417 +929254545 +1930293858 +2127434177 +1853422180 +766735934 +1701526956 +63205952 +1774308414 +1717211023 +308148211 +242792817 +1007853361 +273625323 +701294569 +1316507890 +997715760 +26698335 +2002930080 +1267465375 +71241972 +597525690 +1896901878 +1903863496 +277761774 +1730579352 +1693643869 +1245228695 +299835259 +37205 +2142724252 +1107384677 +929291750 +1925534463 +1087335206 +635230282 +544786749 +641378514 +698436234 +171611515 +211105889 +1006584445 +414404332 +1218959251 +1280209768 +1115698902 +387983493 +130441880 +1142397237 +243429925 +1397907255 +1213639209 +840955615 +1147325486 +970019057 +1118717389 +730421190 +516179278 +216462437 +1030256449 +516216483 +211703041 +2137641126 +1445508233 +2137237504 +1077492684 +2080738515 +534540605 +1718871198 +631691101 +706152120 +1929977088 +1638275546 +1120556453 +1001452691 +771001666 +88771707 +1389436184 +901443547 +1231168944 +1632866110 +151867154 +297324505 +326338077 +1299192640 +1267343562 +1445055467 +2029613830 +1783522840 +1661517904 +912386632 +152255675 +1873220945 +902544110 +1597763908 +1862974802 +1980036795 +1531018775 +250031759 +1551424345 +15226228 +956183880 +1333917785 +1653501774 +2076740333 +187886828 +277019792 +18028392 +1577323013 +1178463339 +1249197336 +1062705475 +1330330494 +1546521841 +1389043552 +482039486 +666381755 +686615371 +364169669 +302420947 +200649627 +1276556301 +454676622 +2073870573 +31616763 +2052440530 +1789361727 +2011653558 +1435975657 +2039393486 +1415594256 +1451201885 +848093718 +602028393 +957220011 +777350403 +789915222 +1234239803 +795378795 +219754587 +265219495 +2044576131 +1282460062 +1595549989 +1443614324 +524019966 +2077589475 +2109996079 +1210635338 +294275496 +264933378 +1411284965 +1570831797 +719610000 +1337671890 +1602448561 +624566882 +979549969 +1466618471 +2060542539 +871459808 +734729079 +1364260776 +1719553526 +1336757473 +173997139 +349420282 +2126672695 +1408236943 +1144799077 +198943634 +1673456438 +1041891561 +1481403696 +1121522779 +338022237 +2005423662 +1051628606 +300534669 +1068575352 +1345904103 +565468047 +332376670 +769252252 +1285078048 +1670048560 +224217165 +1909644930 +502114882 +1690835637 +1822703822 +1373574690 +278081068 +1039480950 +945644568 +1614838541 +1213478090 +1295064850 +1594027588 +474231385 +292380280 +1792971222 +204175 +1334271841 +1126891270 +1121726954 +1672294078 +984831285 +25871912 +1972828747 +2053406637 +1371776015 +390813147 +238299659 +2141028268 +1675891195 +1908348220 +217761785 +1438052477 +262979454 +1908597422 +1113272651 +1636554144 +39194843 +5269954 +434715064 +1654033384 +1218748044 +1729779915 +1100577325 +1692979429 +2022160195 +746064899 +1693183604 +1208948388 +1872956170 +667426910 +733758818 +710303807 +693298822 +559103918 +616226796 +2065074838 +949917065 +854526456 +2058619458 +478324612 +615391028 +128897595 +1916377089 +878370482 +2037495018 +882166093 +367440978 +2076689861 +887436047 +802156042 +1583239597 +2106184091 +384452309 +536333274 +1651679872 +259128856 +1282398174 +1197379828 +1468077244 +1007870696 +1864806738 +54352415 +1718174503 +410621912 +613456333 +186917651 +328213102 +1563373398 +1041444107 +239348912 +2041698010 +1656835135 +368246508 +1810591451 +387721969 +258257878 +545273896 +755162947 +187464091 +1432709943 +1557318990 +1770703688 +1391410386 +1941771299 +159553315 +895606610 +53416508 +1441951489 +2092986438 +1521493752 +302338537 +1810309528 +1575846167 +2020513040 +73447793 +41818852 +59947043 +401660895 +1605192250 +1101391151 +641009808 +1499406612 +610742638 +1009256316 +1162514416 +998464608 +1267514194 +1707788312 +1753627555 +1454978285 +993014608 +1163462897 +1078198325 +236941346 +957750549 +1237751640 +1132547957 +1011167057 +532219481 +1078050747 +385177161 +834558018 +740876628 +1961023329 +707587410 +814324421 +2002842181 +767534454 +1215985316 +1460550784 +1868925605 +1856995124 +812473748 +332184595 +718767792 +1974988164 +1330649203 +1986281986 +1535292829 +936793111 +1293776623 +380823789 +2100256008 +224491301 +617765135 +910522909 +1462242941 +1750313092 +1921689966 +1994462423 +680880192 +159383480 +681536793 +1421756820 +2120406809 +1389124204 +88597593 +1975765342 +9175010 +1304582909 +1288832478 +1878100615 +1014094386 +2101306227 +62801562 +1732862178 +1928810743 +1393450766 +1571660517 +1316619924 +182760229 +717953492 +1697443713 +135532589 +942444793 +167725201 +1046055499 +257204087 +1918038293 +820261817 +104182862 +451434837 +979645297 +785719655 +1873191657 +952568458 +27360211 +1961789250 +780850153 +36535221 +1118888512 +2069682631 +1914635836 +2132982898 +2023505210 +1977437399 +1718361428 +1804832306 +1223404517 +1142538297 +973968582 +1406164746 +1860491790 +523928648 +1541697335 +655452935 +691653849 +440269186 +912657022 +462208494 +1260531004 +1016839884 +913643332 +92692653 +1802559540 +639351341 +1045261112 +1829919751 +453656944 +1826111265 +1866454973 +1572545456 +1748310248 +1633607161 +1558044706 +1624331811 +1463560912 +1128922486 +1281680469 +539481781 +123977136 +108165403 +1945646527 +1984468926 +632094051 +1339860215 +492438213 +1323747900 +1780129401 +1405095236 +1785956395 +893176757 +274451472 +552116079 +985869411 +2077011012 +1191467420 +2031130523 +1759447116 +1645124364 +1709758140 +1478418441 +1070186172 +1310584740 +964541954 +480747230 +787432903 +280619219 +1609669717 +2069113372 +820101000 +1733646853 +29795128 +618263880 +1570632131 +661889179 +1958124095 +2063070344 +1985637080 +1590769848 +1320681932 +1624109827 +336462958 +1595133405 +28742258 +1322332369 +1524660769 +1220209678 +1205979244 +1136624237 +717850395 +768253736 +467559030 +1788036567 +2078838476 +1432100985 +121300150 +718787732 +1712720204 +1730969867 +640417456 +385337556 +1317133072 +670212584 +1003601436 +740281555 +1332101764 +814241883 +655868251 +1170255196 +257528084 +1976550184 +646881375 +593991042 +1424199941 +675623633 +1916323411 +801377062 +1895833311 +974819007 +1938001300 +466200058 +1743072743 +258076682 +106752978 +1674427571 +1690177667 +228053128 +245731655 +1255414223 +1959022995 +886149112 +1640751780 +1128672419 +1556361696 +496869568 +1868953974 +740979812 +1311111452 +377338577 +1911235008 +1568639536 +206405113 +410632735 +15146930 +1630605054 +1086256368 +1931470341 +284498469 +834606032 +758805700 +75016121 +1300806090 +354394795 +333092803 +1407559068 +2028822366 +2023270471 +1635612196 +127070374 +1131201046 +1447151543 +1013219486 +624469178 +428340314 +422097534 +1121338747 +149810640 +1163077347 +284966551 +527149218 +926828707 +1853606087 +733554331 +1337461443 +1868753017 +216675738 +276234163 +1652739710 +501174207 +1110840195 +264061762 +576190328 +264162638 +618456557 +909283131 +1671721706 +499795275 +785069954 +1159850255 +626865649 +1916271001 +459518150 +1640085135 +393256531 +887858465 +2062182670 +1514595278 +1037669105 +1077776369 +1799561829 +1564818323 +2004605076 +1505684268 +150889007 +1194582871 +1226953637 +367564745 +1470817035 +732209699 +868738952 +434173582 +996271461 +1444929280 +698336220 +1614728018 +206728763 +222574279 +2114523294 +991798718 +1382424534 +593905295 +760586071 +1841942684 +86506783 +1153842602 +582317501 +1205805 +520954233 +1619986607 +1078982174 +173032414 +1037321282 +936103602 +1678716683 +1188210289 +2130686474 +758186672 +1555775034 +1454019861 +1490396372 +277030338 +1888193443 +339184185 +1721959618 +439046016 +1953912204 +1928688382 +661620295 +1920951850 +773003452 +2044044829 +367373497 +1533589523 +1738503865 +453880280 +539948477 +173337719 +455086085 +1060902710 +1793324326 +1534068259 +1233935125 +683161960 +322688214 +765168160 +1871372250 +305891040 +1523354832 +1279663636 +1759910901 +866267556 +1556693975 +1500620696 +1205451742 +1131169945 +1939666712 +1011880298 +912374679 +453803359 +785348500 +1685378131 +350364540 +1152721997 +1071484006 +2088868406 +1606602278 +1611432484 +114722477 +2061688363 +524851546 +1908046803 +1448272975 +1758786671 +443725115 +1770961189 +376471183 +167613717 +2076852229 +1899826016 +1447277354 +1689279482 +618609924 +856487681 +1042416530 +1824061666 +1987657626 +834599595 +688458316 +752548658 +1288402954 +1473806816 +290443141 +1638767495 +479045166 +1361927148 +1580152253 +2085647444 +825875984 +1694874730 +1999852159 +1350727530 +1455437885 +1300641486 +962030554 +1899163000 +924119027 +1338501737 +2066776718 +853487608 +1090844105 +1366570424 +395283442 +1709454030 +75574457 +1437699973 +1386032048 +2063232083 +124815920 +2074490365 +668297093 +1413218874 +1400813533 +958740235 +904502721 +1879858699 +173183735 +337171326 +1818022495 +999059719 +2032046056 +1670391007 +202303601 +1340000293 +823548845 +1164334155 +1091679646 +1747667873 +355352245 +1010972716 +453671833 +1446196350 +230059492 +848955276 +1008166732 +305633949 +139171601 +246715133 +221382384 +263987521 +173721850 +889679478 +1677206395 +1574535383 +1848419713 +434225469 +1306910435 +2021603448 +771396795 +977449282 +873179519 +655959204 +500356641 +1075483120 +1995959497 +1323905487 +92333628 +940155495 +924089712 +447685873 +1951128211 +1377761545 +1893882223 +33704055 +79233173 +754565308 +339338004 +218404774 +1001280441 +560720389 +482392295 +1175002291 +1450399867 +12115043 +602054026 +1151335932 +446340512 +1908964461 +1025455732 +1217737307 +738930096 +1898635251 +1873696511 +1239286737 +826634723 +1722172361 +415708576 +918968351 +514844208 +1339798288 +1366654224 +318488772 +570076186 +1113052800 +352192827 +649309359 +1867618108 +691530832 +867714134 +721414901 +1252251221 +1350106429 +1896417192 +555167440 +1362221472 +350987570 +1706503372 +1808561984 +112468384 +584475456 +878815644 +851398480 +335627059 +605028507 +2090685217 +1162261782 +179717220 +358910146 +2081230134 +694561429 +1698708434 +1300400710 +1013050201 +121300972 +265969862 +1365243028 +770610332 +2133587970 +2056773860 +1638324466 +707519223 +1161541433 +840947247 +456452767 +1716708873 +55685072 +807440338 +1275728597 +1864247056 +919908722 +1860204053 +595579052 +1771307202 +48347464 +1200607560 +1714508771 +1210609247 +1380324780 +2073418917 +1144355733 +2074886209 +1624643704 +297272795 +940452762 +1745944676 +563242658 +158212143 +369071360 +549346980 +67502355 +2007395826 +1256866204 +1229043789 +700859426 +1713318971 +798269014 +756544498 +373275661 +2073997612 +473307906 +1293184383 +1786718017 +1068886959 +917007937 +1835065482 +122010871 +484033061 +898191081 +1502335651 +409968330 +2042546814 +1429738213 +2034612034 +192335961 +222707327 +1633073063 +755578619 +380919470 +2002144423 +1304925600 +448421826 +1862056602 +414308156 +1677465615 +415432380 +2127627127 +328250981 +1171976878 +353419141 +254764945 +1645284784 +1646603524 +2041482963 +566688095 +416127814 +1729064797 +688698966 +900160875 +479772230 +43550970 +1310129205 +374835396 +1473289183 +1197257592 +567171357 +1695996510 +682847007 +1322749977 +2076915981 +537507782 +480191929 +377854159 +252080736 +894500085 +2055319774 +667513116 +874643564 +236087107 +1839489994 +1228062705 +490852053 +1337291131 +727182582 +384851368 +1903979226 +1143310396 +2113916165 +445194545 +2043471271 +446204747 +488745515 +1206116828 +821040143 +1962034698 +255890772 +1388211500 +1510547560 +938737779 +563477829 +1439979893 +1476245562 +1043669758 +1817834052 +1728326298 +1938169843 +1725670178 +248355767 +665329760 +1961757286 +2087845761 +1893392465 +305125691 +1277653244 +473091399 +689977059 +1034148823 +1616401795 +656409576 +1479343368 +1512389418 +1102614323 +1968088883 +571022599 +1923654466 +1782639933 +826913371 +1164382318 +1145703845 +1765651151 +1727860148 +438200091 +1094413065 +624046258 +108550495 +675255715 +414732454 +1834220674 +923611482 +1080062214 +1648494312 +863973596 +825971031 +1953620003 +2141626840 +1299062431 +496113414 +1028292015 +767980578 +1152522990 +360151735 +132886349 +107653665 +180756970 +703908948 +2031308131 +1963396903 +1530822319 +1048206801 +961617101 +1148989822 +628583301 +1399817192 +95919239 +1252629560 +1508367687 +771174955 +1667362014 +1195104713 +1694786437 +599940580 +696115377 +411276385 +1425911611 +502251732 +405419578 +577490394 +998365146 +1433711593 +1345470973 +3404488 +1793863329 +1478357322 +111058153 +1974620299 +34782622 +2142366284 +1790533555 +1565604941 +1043089438 +604667008 +567111116 +1671672739 +2004484200 +663030355 +776818651 +1365368239 +1434205310 +296697017 +412989305 +981508100 +896637597 +1109104682 +1392784485 +175065561 +1611356415 +1798204063 +752555955 +462237913 +1084432009 +2098026928 +465642402 +730811690 +1428900602 +576700555 +557948341 +1463683224 +571583192 +200998248 +881804518 +1614672630 +805665256 +1448915634 +1138861721 +662665808 +2111945989 +1915680373 +2028034048 +1398667652 +64893742 +293539705 +232692104 +961531340 +1402644387 +1625476589 +1136596901 +866517154 +1276197005 +1889152856 +1328755068 +213145366 +1839696137 +1794397470 +943957056 +1121113091 +223614377 +1501905397 +437312668 +795197569 +1702903646 +1319117186 +262386551 +361085254 +620549172 +1401248273 +1023751063 +585011513 +1169444998 +904301463 +1983679165 +1234338740 +1197841168 +68887621 +48386432 +453001907 +1694364211 +1184983333 +1319519062 +823077568 +926652542 +500790482 +1036222934 +618865031 +147704304 +1980179990 +1739978122 +371318681 +1334601739 +29807142 +1166516251 +890021737 +1348924328 +1428902802 +1251106992 +1969473500 +682667427 +127374407 +407001366 +1852112425 +1031675870 +243196883 +938967518 +82033390 +312084505 +987353950 +535035297 +2006448716 +24853636 +1854554359 +682042636 +951506178 +207861193 +1718265570 +1570371209 +355565497 +1550961912 +1162865683 +726884179 +738080003 +1192672826 +1893400430 +1628101741 +394113506 +1174819584 +731725085 +216103359 +1857487012 +859099492 +623104725 +1562115789 +1890775362 +866301608 +353599659 +1972808752 +1178386113 +1340953610 +360360401 +1037351181 +1365807246 +67431113 +1719393817 +169829776 +275292306 +1290175739 +1740200985 +630857804 +693654003 +755583020 +1357741983 +1431734007 +1948255846 +1103658765 +912352100 +194885705 +130994701 +1644077185 +410989064 +1988481713 +355693029 +1034093789 +1403113855 +98984743 +1900395397 +1756713514 +2071793495 +931297863 +950183476 +284670248 +1968649044 +168507074 +352101361 +1540559214 +338336850 +627393668 +683251305 +2078537835 +1258251472 +1376905309 +686637208 +468509807 +661155668 +487409406 +1572168572 +1573507768 +682295111 +1703163273 +1070101305 +1093284175 +1544161339 +1425794334 +2127377964 +799791546 +1524779077 +1880289714 +409021412 +1449088924 +664103929 +1359204889 +1733759172 +485269325 +1527711963 +2085860534 +2025828539 +1866048814 +565770554 +561596197 +1797103001 +1824022026 +1938501506 +336256561 +145048185 +452173526 +823665968 +1717216757 +2025681294 +1505961079 +1272896382 +948298951 +451761607 +669574073 +226609637 +431655923 +1469365619 +1751388714 +164461989 +1878387032 +1052993990 +828565918 +1090108273 +639269514 +1313835244 +470336588 +577646400 +1192180135 +188901754 +1143416954 +1753776332 +1986004756 +819955332 +1544794190 +174777669 +965003517 +1996967716 +998443637 +534736626 +1875165362 +356921069 +1807633009 +675980665 +808682676 +329723434 +902590302 +1240338599 +1799089054 +506495368 +1404800589 +1529992438 +1559489358 +85882859 +472617063 +51275225 +1399718103 +942953651 +628921625 +444414591 +1131855406 +1772338580 +50707275 +970376514 +444810264 +1595501466 +1145154183 +1409813782 +1444985534 +2143597821 +1944550408 +1172667249 +353035242 +1604699769 +1848647914 +1161717918 +1934423204 +603754569 +254572869 +1586028610 +1110249937 +1659373458 +968537400 +522255648 +1745256318 +1441154463 +573530873 +997490773 +236624466 +1202452498 +1441905364 +1368479872 +827307430 +1492612640 +191372738 +1272117695 +940630458 +1336526922 +534447829 +238132344 +1332641095 +331514589 +1410799593 +1685676337 +1936214359 +1111963860 +699910607 +1723153915 +1715718429 +954483476 +1161698877 +678484718 +466373287 +2130236277 +1200740366 +64145957 +1423907092 +1774271239 +1061636730 +1660531558 +829240090 +356058447 +881527783 +1656547520 +1848671087 +1072900521 +781181567 +641817897 +261943795 +1315629396 +879950241 +1594584890 +1647143986 +143266187 +1132777579 +1435874697 +1255230047 +1832688186 +1011544964 +823464828 +639688015 +25760193 +1501949546 +1106061302 +8512822 +555206265 +1170207259 +1432419914 +181993856 +84360341 +945467824 +1011233946 +440418788 +1826995607 +520297819 +141606227 +752412481 +1301479386 +783424124 +1014356276 +469625135 +1663374366 +461457519 +2116769121 +1806640553 +1594235098 +1405160170 +914386952 +1279439637 +269221486 +1737851780 +1919127652 +294981679 +1092317678 +877705306 +303494501 +1647523943 +2047912565 +1735914415 +1829517800 +2132272906 +533898591 +693268098 +425208047 +213410551 +1213565917 +566814274 +965823032 +367561656 +1350238399 +1980179308 +837186791 +866129117 +294153179 +806472264 +525286022 +1888388278 +64148786 +1439672974 +1020344267 +333370272 +1030041106 +791988271 +628351951 +2122358784 +1669693577 +931846452 +1622399080 +1570122494 +520277219 +1304433232 +1554911752 +1054175810 +1997701330 +1980119799 +1267586361 +1063783600 +399450426 +85925745 +1431345256 +1749688825 +2066105054 +121048399 +468334294 +212774585 +927520663 +993620316 +2101162863 +991669449 +285809642 +974023482 +1325039721 +1315850748 +1766011753 +1953391672 +1290725884 +1288221682 +737754476 +765641316 +710860528 +1258031695 +2070074548 +118288633 +164723857 +1920292231 +2098408432 +1432310219 +836592183 +350375210 +1518235964 +120453791 +2100064035 +1436857370 +241502190 +420914681 +1649631956 +1169022853 +1414534997 +1603311171 +13208654 +1700344639 +429851006 +1338248375 +868711739 +48379111 +1144156399 +11953976 +1336600794 +1881910875 +777595292 +2047461322 +992458922 +700186193 +18266307 +1157182779 +472994776 +2116674740 +442009350 +1309586959 +319566302 +1960245315 +1430040750 +272146690 +1249619037 +1671542940 +693061371 +751767345 +693082145 +2107596369 +207594869 +706290799 +1660457360 +637445875 +2044539174 +381685452 +685824986 +1041211925 +393639428 +2022425780 +775639152 +1171234720 +1922403455 +1768098074 +1871420913 +1940669762 +777797205 +196932041 +1909860854 +1219806556 +1506519000 +81943509 +1032568223 +789076102 +354090199 +134703612 +313135394 +1047151570 +886470958 +1006217539 +1007264291 +1094065827 +1712508338 +520238004 +1731511702 +1609563864 +901923456 +269853040 +503292141 +1295562884 +144795173 +1278931293 +319313956 +2067198628 +899545719 +43251222 +1860384742 +1677342925 +240183263 +1622761949 +749665833 +1746702264 +1704705458 +1782234056 +388294718 +2058795657 +1916937668 +701430113 +958463579 +655924978 +1707647652 +1965727871 +1749990805 +1272672343 +338482227 +1334018859 +734752559 +1240405683 +1603871900 +1238044701 +388484919 +1748667073 +369492346 +707798875 +1668382053 +1269038066 +751050097 +1381283147 +798897343 +991233361 +856561448 +1548563176 +590451977 +413783258 +1183313584 +978746695 +325095267 +952767604 +1680176808 +1283558847 +1608692583 +1240340813 +1101803070 +1211199740 +365529508 +1440285297 +397734952 +1100282067 +533207332 +2001606852 +190843120 +921692251 +1602790277 +560335467 +1629491126 +1123688682 +1829373533 +233057576 +357488181 +480787228 +1224290937 +1214049630 +2029350404 +1814742914 +1627832888 +1065180340 +646005961 +1952928156 +2017947944 +178699122 +1089003355 +1479156879 +1419039935 +43322777 +542872972 +1784569443 +1483608074 +940607924 +737367862 +2016815406 +794731128 +928210983 +791024009 +250037757 +1488546450 +273031487 +1373726439 +1170436335 +506089063 +1731214620 +1651223563 +1730380000 +797780602 +1533090319 +1397639266 +278129843 +450787011 +2043645228 +83574351 +321251307 +74860702 +1172577706 +1800408187 +1493900637 +1215900483 +195797511 +1130986432 +552024909 +1136405435 +1868354294 +421356667 +1931136563 +649081629 +1212380676 +33690672 +2137628079 +1485412163 +1407417111 +1160580766 +1991501227 +991148083 +664320681 +1574397579 +1788928686 +49927352 +824553198 +2067058529 +500714363 +720714778 +3149232 +821965671 +795575480 +1175726938 +474890210 +141992469 +244143773 +670687721 +1272978901 +796168682 +1807093156 +993849547 +1217525349 +1590746071 +1642931177 +282422377 +1624436743 +1633075608 +1767834540 +884370206 +646172727 +1611852119 +1875518289 +1310493408 +1038766051 +1516963327 +1360420761 +1863319249 +1436538208 +1861135124 +436550379 +1439687440 +535617147 +1232125859 +467930730 +1010507357 +1374118328 +712074503 +1681195078 +499613581 +1508243185 +1340804586 +1493463128 +578284886 +784067009 +988910657 +860707263 +261020104 +474502618 +481058156 +1145390310 +1120675345 +2092910275 +873424952 +283685105 +984192678 +242904631 +1644105866 +700028279 +1679442840 +1357757343 +1136578658 +971646632 +1893374490 +221220869 +1439577363 +756398200 +1595339197 +4168218 +290109630 +2094952778 +1512411404 +1630914217 +1440932259 +2090696290 +267497578 +282359268 +803919906 +528517683 +756861886 +1284978062 +1673907993 +1877537231 +1230404689 +399849297 +13738689 +67113720 +642753929 +1657844555 +767141999 +174713121 +868118250 +1903720658 +1146359753 +614009093 +2124941527 +438453468 +1370407293 +1572797077 +442621687 +1660516923 +1520266207 +1955033091 +1143947492 +813714818 +1898245733 +1411445071 +1096074087 +554681991 +1939962754 +1852935973 +1839660053 +1466387099 +1582989557 +922581095 +1866236397 +1596728246 +989694815 +361506678 +1107089153 +1756836814 +536219799 +1975207404 +1513073824 +1682579552 +441732849 +1490531704 +2121033021 +1812140142 +915845133 +416171060 +1325173417 +288627692 +223720503 +321637262 +1102342511 +2121966236 +1733082333 +50932950 +529164580 +1525561439 +1903868923 +221340985 +844464890 +1339374832 +1143922080 +563217639 +788619430 +2133616895 +924724317 +1895708584 +1742970062 +1460944116 +1723432340 +1108560238 +996040021 +17681541 +451608294 +969589394 +1829821683 +1367453427 +1385760454 +1007511452 +1656081120 +1609480957 +1329148714 +610939983 +1583963545 +914747399 +661872933 +2113128125 +292825190 +418258208 +186985463 +1137290081 +1757633041 +1330907543 +1700507720 +398768823 +1317040791 +477748390 +146993759 +912527205 +1938692506 +1870426099 +2021087443 +787248879 +1888107640 +325212090 +1756838273 +1570445675 +1692665517 +995115079 +430473480 +1201262989 +457112388 +1759622194 +1812202972 +2041075934 +526885946 +326592257 +2006720411 +819711136 +744850466 +46222226 +1957001217 +354999859 +1377129770 +1510025290 +753768682 +546686913 +1987773680 +900762442 +1459214118 +1778982538 +623704893 +1332817913 +418747770 +364328886 +1658030003 +28102395 +1934774561 +1203211873 +1023217475 +217764393 +256991214 +1480329863 +1977386588 +2069194187 +1373922149 +356788886 +248302796 +1233158913 +1176500022 +993153262 +1279381139 +986017592 +1348153121 +509027261 +348559234 +2101921804 +1055714174 +188849266 +855200598 +367444644 +1967831804 +1478905491 +1700262558 +239095926 +1843234377 +1210808913 +267198322 +1630525291 +266537138 +1290415797 +1848289684 +523528353 +623262012 +1678192624 +445238892 +1997184162 +2034981510 +693541688 +1082859427 +1063997885 +1686694951 +214756918 +2050015477 +887364424 +723784180 +251091063 +841802580 +1779498354 +439940329 +1697003178 +2146942999 +260288485 +1028425022 +1699721909 +499384412 +724175751 +763047174 +766582734 +207217394 +1029584313 +2056998531 +2055507079 +1553112666 +532776895 +1586216055 +1998351558 +382477409 +1473713918 +544409598 +1465336836 +390228155 +83620901 +1680093755 +292759984 +970985326 +256394287 +543851047 +1812787906 +2035892641 +983791376 +1362307437 +2035351992 +1244079861 +243248811 +1587590253 +1743464273 +967424562 +203153780 +362563359 +1174641957 +1232738093 +272078242 +1082665388 +638367111 +804855138 +521397795 +489235021 +1187332547 +1995111713 +1033644619 +505185736 +237856220 +1117265521 +37795843 +530616204 +2088250847 +294190130 +1074467251 +1753555105 +182599123 +2058258627 +968378894 +70467468 +1154854841 +1211627705 +1658057721 +750835466 +31568620 +1861211501 +1113398826 +1206210577 +946465946 +1385477068 +141392317 +1584833057 +42848558 +662790112 +2074068078 +1230181106 +510418178 +960229050 +1735366842 +748274398 +2077494571 +1773162685 +1278890603 +2018261770 +2067352815 +205874206 +1624333227 +102468290 +116649186 +445228474 +172935758 +1271504027 +1656856179 +1830993480 +2022339493 +1688424799 +1544721333 +988254671 +747151728 +343703632 +226248092 +888544045 +1928536689 +269096650 +1551334158 +1855121120 +1499277756 +2061752336 +667866522 +1087160950 +662543086 +597877445 +712839987 +1941433689 +468655567 +632709154 +2147307896 +2092988794 +735177445 +116473434 +390733620 +908113203 +1387977461 +2047589800 +591623035 +1262833306 +1588530951 +2136344369 +103604330 +188199032 +332564353 +329852422 +1076743077 +113617394 +598949072 +480593587 +1968738514 +2098226829 +394862275 +489121388 +1037904131 +1057405362 +1086998833 +1750744119 +851355403 +1555654400 +235969625 +851179651 +1501159547 +971147070 +967653085 +1891893167 +1879260274 +208146898 +1791999319 +323399661 +1470980205 +1233046623 +312260382 +1574584535 +1421245655 +644824735 +1904436957 +350505084 +758442130 +355902381 +831098672 +579696996 +306645562 +1225960947 +1068818385 +1344549694 +135882661 +8333570 +947810165 +987238065 +1563987971 +1183779790 +1838417716 +917663870 +7443213 +658587154 +662073389 +1886703487 +866734052 +306589061 +62619500 +190230609 +1539635684 +374879883 +1764815144 +813397691 +1019704618 +1521768453 +1163902775 +1778146748 +1877670835 +1995001447 +210360097 +36832749 +1073478747 +1279178482 +1381382443 +1209361408 +1287512052 +181708960 +49115825 +704016375 +1365488751 +1887533542 +1621680245 +1372931964 +398637048 +136269987 +1112151803 +1265371100 +442859048 +1174771303 +1455601710 +1982494732 +1549651186 +1072933206 +648408775 +421872157 +447218012 +1812311550 +52535257 +177405199 +1659829350 +262895354 +214237948 +585824449 +1542073836 +1595620392 +1795185857 +682102241 +1777329352 +1844301683 +1386118616 +995334455 +1584351577 +860315214 +220782771 +1982988625 +996585201 +1332934574 +1100876077 +1439444249 +360222230 +408994139 +1274455333 +1909873416 +1481927346 +1922864108 +184261925 +1929145358 +1587692010 +236797183 +2106550557 +1100037712 +499692537 +173304857 +1685862161 +2041766374 +1768925249 +1333564371 +576384967 +1398770954 +1030382406 +1962503583 +246621761 +467250335 +675335149 +467404533 +302755312 +1671920350 +1800339107 +1403631389 +963880951 +13077689 +1812625529 +90852636 +1922951106 +1147069227 +2013716744 +2107213031 +928730937 +1453925107 +196526566 +887797846 +406479171 +696219104 +1061102703 +2092341333 +590501830 +682544305 +1278422056 +1166886797 +2081315259 +161320814 +981906732 +180453372 +628571149 +1657241882 +647857905 +931326461 +1181678584 +300713365 +187474202 +2145559536 +313791054 +2000099731 +88928524 +89258512 +999685310 +2102645269 +48987896 +1928416247 +1409086728 +245514462 +668730445 +1815565899 +941733566 +1729833149 +1760423584 +1532235396 +264893806 +891361992 +551638545 +198725417 +1052682806 +1533545278 +379178789 +1681253955 +1043303512 +1027036695 +465096768 +77498448 +1327750060 +652570971 +75574336 +1641541114 +505187054 +164502861 +1730799627 +1504872365 +119664482 +1779787523 +1285804964 +1528751210 +2025301985 +1954535410 +1196833461 +819551904 +1536884911 +809773398 +204303652 +1801778717 +1701135390 +755942198 +2000504134 +606334549 +142003828 +232199275 +140104856 +1185307340 +1259235970 +605201625 +1262805788 +439502382 +1257772596 +1338380125 +2081043497 +1762959650 +1502882986 +1664359476 +1120348367 +1622547468 +1296663351 +258669684 +1003815030 +1174481688 +65721446 +53164843 +1994033592 +1602606357 +862938241 +50853597 +1256901426 +416589984 +806795795 +1109921912 +1022924533 +948799623 +1342121187 +1163029389 +2134106963 +453873510 +1768231014 +1249429103 +893375892 +878519962 +440325580 +826935741 +493995965 +1943208566 +343811569 +1614344332 +1418272386 +1640474920 +1873014016 +274603768 +667472961 +1938735462 +327768612 +514022905 +1393858171 +1190706853 +564876502 +503275949 +1607296837 +1371672297 +1613197861 +482737722 +172988272 +807835401 +1645767112 +159611587 +1261708911 +1266514478 +1409040691 +7601155 +2145034441 +1849366271 +834536897 +491546758 +1645091190 +1178348466 +2105891090 +915879928 +671339739 +1831421459 +1190483697 +1338812700 +1622673273 +1518252309 +1852835605 +869047797 +561475514 +270228460 +1372323746 +21288704 +1641900757 +838037960 +504026426 +1814889030 +1645873361 +2309890 +1974500617 +760098624 +1268824369 +1236057660 +767699779 +1266375162 +937940284 +1602236676 +1757921920 +435547826 +633101495 +1716329362 +1351427754 +1304441234 +1400267173 +394427803 +495770286 +875456799 +1912680112 +201122243 +1744504596 +326671979 +471350703 +969344694 +347960683 +2113251461 +1807382654 +851987109 +1780656843 +1305772367 +854297000 +1607673812 +2065870991 +2123121369 +696247825 +686087123 +1242012883 +1634188109 +140840151 +852451155 +2069735935 +773941646 +421296869 +1273680041 +2078382880 +1821564043 +1668107845 +426669518 +549537194 +1433304309 +627791762 +146558142 +1759976288 +1099142465 +1115902836 +2107936971 +1064910278 +775801843 +812440433 +698083473 +2081574210 +1666737433 +158273638 +1999961554 +1642375154 +854521463 +538565029 +736904389 +341225924 +679405180 +1589355544 +263478211 +1453346827 +2010652413 +1537158252 +1384246059 +1684732808 +1057782449 +1810915578 +86786354 +343603111 +291223692 +233344496 +2103579399 +1390366157 +1349247333 +2064032723 +307792788 +2125049176 +728989508 +1005876261 +2059139738 +248243293 +1164149899 +1911617644 +1890618447 +2018671362 +302699025 +480039188 +212413638 +982104206 +2069394732 +475891849 +287967385 +1932563497 +2013050102 +1672213444 +1469812658 +923348903 +1335645374 +1556599012 +1266952014 +1626869066 +1789943509 +1223047766 +869751576 +991707194 +1139596841 +1177544364 +969272722 +1868586349 +35936977 +880928812 +2116829642 +1200086877 +645062809 +1859964441 +1071274591 +947761834 +192519981 +1283688230 +1929866040 +114431065 +1759580079 +70349777 +2046994562 +1625146533 +1742563222 +1369323572 +401011789 +930724948 +778438937 +1667963803 +410110367 +420898798 +743527921 +1279861943 +1412605992 +1883124762 +309922659 +234395066 +1604227463 +345859636 +1115323878 +1573573457 +1545946513 +1760386687 +1286054250 +469737457 +560664874 +1478574231 +1753425687 +343047266 +1593005296 +1365522118 +413397044 +1492516211 +843185004 +8476618 +714356135 +1244196793 +939201566 +1492795072 +764676948 +1349311933 +1913693870 +1508204870 +481690228 +1178816214 +1243845984 +791612887 +1413211280 +700589800 +1137472524 +381051511 +126679609 +535935389 +2141438198 +1412733860 +1005672846 +554619424 +743824443 +611614885 +897666691 +189346092 +1977137004 +1311063735 +1681862303 +672838360 +1319540353 +248734790 +1917035153 +111258271 +1741529863 +534228453 +1460570205 +1507740085 +2042433323 +1942260433 +539072652 +1138795660 +586389673 +1952283932 +1839385460 +1723862197 +185851795 +1966065069 +112313938 +179806346 +1231315281 +1117986785 +734425770 +1975139725 +1729601670 +1632092461 +17002169 +1559255026 +795672548 +1698864472 +84609738 +2115212901 +1947599262 +2001644891 +78987525 +1541645477 +388389697 +1539557730 +901901915 +283339372 +1334334515 +1440974567 +1422135032 +1920724188 +1245774851 +1114036844 +1497102737 +1431626647 +932618266 +1609416676 +1611432993 +16449899 +579919813 +198375115 +1991589624 +162037835 +1830467577 +2008591793 +1721292862 +478656477 +1559972617 +1805902600 +446385731 +1360088232 +1660063844 +525373256 +754250061 +2048453541 +2064930986 +1656151976 +184309265 +1251781853 +949642895 +1606444298 +1025022394 +47934099 +572997494 +374641483 +1479560746 +1505615760 +1984058159 +943510091 +1522065660 +416494324 +1141885206 +1366171636 +578532160 +824869135 +1227279782 +152341374 +1303525613 +639768751 +1958243974 +1749911344 +1999856983 +1470824170 +127800952 +606623397 +1371794063 +45248290 +115291725 +1556103329 +1297030143 +1064934621 +1015063979 +174568889 +1112868720 +1588061473 +549210373 +444945818 +946193586 +385784884 +1388455909 +320775598 +802279209 +382857467 +1686947234 +1380811369 +1207726603 +766743368 +1533152743 +363768568 +1406512120 +1343913069 +2113679912 +1258885455 +667253592 +93997216 +1865508852 +2039047655 +139245506 +1980800578 +1447667336 +1436275649 +898251551 +315247667 +1610844539 +2011120271 +1903309141 +12571264 +308582441 +702019079 +398356148 +1697038350 +1022794677 +1200635357 +2079895817 +562258263 +433963078 +1140138772 +1329001632 +1967115821 +1503907340 +588030104 +1163545243 +1470103604 +1846915559 +1830798835 +1564100820 +1564940764 +1722362842 +1703346326 +1398257694 +1022546531 +992138328 +149025597 +1337794198 +455499219 +12662220 +1093619691 +468070483 +321244661 +1795638770 +866426631 +2018283011 +670949799 +2067061989 +1950695180 +1233208063 +353541419 +943350305 +414726047 +173173593 +299773997 +1002756151 +1336718836 +1769877602 +702188062 +1020034023 +1186494774 +119645178 +594913217 +742357453 +1517902872 +1617459748 +1734495781 +1666928469 +807770299 +42511352 +1679590689 +1901389990 +510581835 +2000835350 +1549545113 +1377008466 +1871634713 +73011264 +1296586807 +1674846246 +1306219327 +1650128227 +470712903 +1720945374 +1823301820 +770486900 +576217877 +1012537008 +392880854 +1278405940 +2032571031 +1579375629 +1398051118 +480000600 +174249434 +768470343 +2097460349 +1908745215 +287915164 +757747000 +1951256567 +1967505854 +511653342 +314354754 +1820857556 +2061198455 +1691363220 +1545008622 +2134209720 +840466380 +1072371220 +1292945399 +343110959 +1543084123 +866407126 +18929131 +166087375 +1442625003 +1031466139 +558968230 +573547295 +916553522 +2138343859 +1971598414 +1396554122 +165109645 +592585109 +1346530823 +2073854860 +880500273 +2104277823 +1877627779 +700522479 +468447518 +44498885 +373896388 +382162325 +1735862105 +1918905010 +368888397 +428844837 +843792582 +1661833797 +771955796 +239393057 +380757275 +790884927 +405480432 +1823382278 +1822351066 +964448662 +249445926 +591420940 +955308873 +73560692 +1987975063 +1120418518 +666145801 +1187022238 +1046789730 +1546646074 +1143816414 +776933861 +99684906 +1612263932 +821432746 +473581294 +1994426257 +409811204 +245002656 +215831007 +838656041 +1088795238 +1877664804 +1610611838 +1328188295 +110938431 +254013117 +1733668727 +1934320709 +2076364184 +550633742 +36282987 +520301476 +1505942615 +109843679 +360792891 +478877486 +775989480 +1547815130 +1525667216 +175151907 +544147896 +155117430 +274836813 +8928180 +976550176 +748418107 +2003354437 +1386361380 +993420763 +71701796 +77533774 +2082216001 +1949366600 +1688145612 +1262920648 +2060305031 +1942158729 +849105727 +1847142093 +1871039265 +1399739469 +1883425080 +243857094 +758198437 +1993268760 +604649985 +1237075923 +621774592 +4981467 +615259491 +796926499 +549129363 +770376921 +1071763312 +558057543 +1746927098 +1820181419 +413928333 +985804830 +666118534 +485630129 +1063338604 +600850887 +287513082 +604000568 +1863771535 +200334465 +398675650 +565393615 +2047476558 +122231267 +1965133084 +1783417991 +366088361 +575847873 +1629203103 +970738347 +1812923796 +103494047 +975719814 +280699640 +900420547 +1524849178 +1051076561 +1972183859 +2082906721 +650520011 +1644881631 +349351406 +1636324842 +163516517 +834981536 +552179798 +764367405 +1122494618 +1156180367 +480655292 +1322829083 +1554856017 +1046048907 +1222821994 +1677087284 +863698344 +858756337 +2043175646 +1439546217 +340475792 +866430345 +1104986366 +443969839 +1842150159 +1385686006 +1344390386 +1219515689 +289278919 +1169090598 +1154938763 +939798931 +666488581 +1504290169 +428640125 +830005098 +191788057 +980819923 +1594372503 +1314282675 +2137000290 +2075027796 +489628111 +1544372659 +973593055 +1712450105 +1073976296 +1837291399 +423722794 +969668294 +1129353969 +764198586 +1836098639 +86856687 +1208168425 +1530765150 +1472542693 +405075164 +602797192 +1761821612 +1574165762 +1757735955 +554136895 +93170695 +1114542476 +982777020 +923175793 +1306330534 +1963596944 +370064649 +473129561 +1953113586 +297608797 +962757672 +1350002598 +1271201852 +527724129 +276495246 +961009604 +951446923 +1246163540 +2090363573 +1715645509 +934778531 +29736612 +776330287 +318060033 +1502279305 +1181405451 +920857225 +1116617269 +608087565 +531109532 +1670754165 +701258260 +1645652009 +506047537 +1624434053 +804498895 +322160833 +1994498702 +1277628456 +127790772 +144623851 +92902481 +1477793370 +1415825704 +620626610 +1754288616 +229351660 +1572073534 +852968508 +172231585 +1140235395 +1787747039 +201968197 +1916565682 +2105807072 +1704247502 +950487485 +879180650 +673381123 +1558575050 +1410290182 +196651640 +112349662 +908458543 +702699178 +1736783716 +1712957438 +1024860011 +1583798770 +843102247 +1152650783 +1728422622 +936004728 +482960505 +996764678 +1556631338 +89765473 +1226116338 +981221224 +942733981 +1398347923 +2121456620 +582997372 +1600316120 +1890538654 +541320797 +1157079974 +693542492 +1420501447 +1830461097 +104633894 +683307981 +2027112738 +216983557 +1591766525 +582328268 +1953767273 +1157240315 +1607188279 +1390082395 +2000342562 +612355415 +971021369 +788863642 +1095315920 +1967786047 +198011333 +1185081394 +1046418737 +1179232557 +2127815375 +297283012 +1153205529 +563329100 +1897599132 +896260536 +1104649897 +907195458 +1589803028 +377667696 +590172908 +1694436922 +1060975677 +469801998 +1911420479 +505258554 +1052130266 +1717704104 +1662498870 +511834897 +960302852 +1515357784 +1124190312 +1931324221 +156737779 +72022585 +1751626621 +354749112 +1257103979 +650561710 +1533981669 +1237435706 +947844723 +539703551 +1800764806 +697960207 +1435964087 +757931055 +1605155666 +878283467 +1135598751 +47844926 +425236741 +49090781 +517646924 +189173573 +554349335 +1569777190 +1906877677 +69364557 +2081612087 +719696881 +1584722342 +1058318752 +503537455 +1741460121 +1130341337 +107680428 +2096209233 +239961668 +758242138 +1482707254 +1477397374 +1706086861 +2022410805 +1130678533 +256563421 +1310891244 +1888609588 +1861719087 +41691063 +876724692 +1909564013 +466927805 +925815473 +279727289 +656101378 +1480164808 +1849504479 +415495407 +1549529366 +1783632918 +1135192289 +986768060 +694468022 +1638729744 +580744533 +1824809359 +1746410172 +529470118 +2064771027 +357168662 +2012177372 +1394684754 +2063255524 +1887104530 +377879639 +172335297 +1050512126 +119005579 +2034054384 +1092203190 +995730271 +1796134749 +1559130995 +1921545744 +2075862038 +67748725 +1254226905 +1777882869 +483244132 +656272623 +1414032139 +1618436421 +1643040683 +2108500162 +1109682517 +76301568 +1785825873 +708609041 +605771686 +1703113253 +1065777704 +470465410 +950314359 +981549580 +210086292 +1328193998 +1153884877 +1260598419 +1447199577 +1040455613 +205317961 +295446201 +689106714 +1764448956 +69508297 +617485104 +1832197681 +1323735202 +247884325 +167958165 +1980007825 +1661916464 +1786394587 +1475564860 +1622932978 +748593456 +1551866428 +1261275204 +1457202498 +10154466 +816904809 +375496554 +480619877 +1767219168 +1357046134 +690706169 +947929518 +363447363 +1951304588 +247645447 +1403902976 +9138901 +543091648 +2093009690 +1773587857 +612599946 +563011146 +1458301890 +1936335148 +810895471 +1626260056 +1768859326 +325328287 +1265170995 +1096940538 +1948261266 +2013764451 +501323319 +1062052822 +1323483301 +511477785 +1878957631 +1698979855 +992097662 +1498693151 +908542341 +1682803832 +299139021 +1271989704 +1486624772 +546784468 +528409032 +1495763674 +1089876117 +473935074 +1121867883 +1702476063 +1036946220 +432686126 +1491327563 +1847841691 +2058946182 +1112703241 +25686331 +1176633529 +62160132 +1973947597 +1042914332 +563483451 +888516771 +218913986 +1074961236 +619990754 +1917893841 +2067058899 +2118683905 +678952535 +1602379083 +270339278 +1950942239 +941520207 +817123746 +331867624 +289800233 +1906999863 +805802698 +1411668117 +1461992278 +1842748919 +1844354243 +805836194 +1543106962 +1755816777 +1918539435 +1568793293 +784966658 +1980699567 +1395257242 +1827880990 +396699370 +136290365 +2046794976 +1471660607 +756281119 +1817205170 +1391235858 +727481376 +348674057 +846131293 +997820654 +152132648 +1787651500 +1814944401 +484000272 +2077451734 +1574460616 +1289802971 +1341636203 +888969247 +985068242 +1038506798 +1694805441 +380691556 +646839927 +1465861228 +1949484850 +1431806585 +1299077148 +1197258444 +1112203927 +1695776518 +1333548810 +1011515256 +1019953477 +2089829929 +681236778 +263705687 +669827658 +1029910835 +1109836980 +1667648312 +1182043483 +750004833 +1335109065 +1666043756 +679972919 +762086034 +808363079 +2021609122 +1651055281 +1793431321 +912632272 +1198377074 +26639229 +1559472199 +516754654 +1976124079 +843795136 +1815831802 +1025898876 +1955999063 +1364124673 +211964038 +820030671 +236594502 +154310319 +1501267449 +500300190 +824137977 +383694636 +1610137170 +344302642 +1565738120 +212658355 +1679411707 +1084298228 +892631274 +294014093 +1892661307 +766756748 +1945069374 +1538608980 +1679389020 +995962800 +1565248209 +1091377571 +1512717455 +1393888641 +1935172707 +1181065609 +272303869 +1743688123 +397706634 +484267907 +416235146 +634301137 +638578226 +1917502596 +1134601327 +1462716204 +153713584 +597254849 +1807018846 +1719451704 +809913205 +1338946905 +656266284 +1702544479 +1632960999 +401443943 +321817580 +1430546725 +1940052923 +2001206600 +279025878 +1357817485 +945100524 +1791743333 +604222478 +732789583 +825325294 +876526347 +328994058 +1223031929 +1360794254 +745229205 +1857333066 +1999372480 +515248153 +844450745 +1314605036 +668961737 +1441705594 +974140234 +240929794 +104135151 +165603492 +897196078 +1806679631 +1798564491 +1298640022 +2128497211 +1081627568 +1091209297 +1982220163 +1360653446 +301543134 +779837039 +1004913131 +905765612 +1512626623 +1830238426 +1782291959 +1841620681 +905786707 +995602565 +439366238 +615636125 +847491398 +954614391 +1460086870 +14612786 +1623576129 +754308816 +988753021 +1864505923 +858443968 +1154356513 +614218353 +517639951 +805437356 +1912858375 +498653514 +1887064924 +856584025 +333390029 +1100234723 +1158127159 +1113227069 +2105147854 +2063892772 +478370044 +1787902632 +1698701083 +172507077 +546205691 +546820001 +611873316 +1161841816 +1394311399 +1566487707 +474445038 +1408924185 +1042580188 +1228753855 +250193558 +759602463 +2087197823 +1404550071 +1373820817 +457354126 +62503779 +1139195544 +956007640 +1949568704 +1995779569 +1289397669 +902319779 +1006423081 +255141090 +859983985 +922832205 +733511134 +500402970 +474049640 +906018212 +1046608661 +1020869641 +1517891528 +60966830 +267697392 +936895587 +535411868 +1676621578 +1979475776 +1764165723 +1926815136 +591594591 +1703879898 +1183881560 +1965415408 +13750376 +1246385339 +957127305 +969758016 +1048470395 +805423226 +111672038 +1950790174 +1811846307 +366813128 +663290512 +587194864 +1100324263 +1163693482 +1061244505 +2006342475 +62818495 +2082114146 +1376750355 +123785325 +202327891 +166162294 +659197194 +1878949469 +2145638070 +275879269 +1658280957 +589749014 +1979759168 +694678869 +407680774 +1993509544 +1941064209 +1364808079 +815783913 +842050956 +22747658 +927455951 +645357483 +1834593965 +1294269079 +1308647995 +274305182 +247109694 +324857829 +1335549687 +105968521 +387676324 +1270180185 +1482718876 +511461650 +1472508076 +1648881171 +1170658844 +1203973897 +1647035593 +1446538113 +714771207 +89300959 +1278813633 +1409450076 +496981734 +1124839530 +1203030637 +1861789813 +1940623443 +2045081594 +1884537471 +720595746 +542955429 +1571647789 +2014864825 +1851603424 +1845952971 +114490872 +28977605 +1034019010 +220459393 +416653929 +156715547 +1703178270 +928115579 +1629223624 +1204575793 +2098774423 +685713873 +704127738 +1397828889 +1400485080 +793428698 +529158874 +662451509 +1290410432 +1653998404 +1865482146 +1004716597 +1447138199 +1763080092 +741770421 +20250297 +158551873 +165934562 +2035115123 +2010155297 +2011887533 +2122347 +2039132902 +898422895 +222581740 +308303184 +1055138442 +1925760010 +1236418763 +536878418 +982852155 +1187709539 +1222592292 +1686979894 +438054780 +475593724 +332924944 +967213654 +1138045233 +1623335376 +473728411 +856043732 +480568325 +1920866610 +471640176 +1222338746 +1941116908 +630192050 +1388273308 +1828748383 +492863699 +1252677193 +1830870730 +384512954 +3616440 +2053452470 +692816138 +1058754883 +1831728833 +1929234901 +1595633301 +667097340 +969460792 +670741945 +206593586 +1407515572 +1146335670 +539518530 +227245579 +136897255 +15370258 +700973990 +992940987 +495938584 +474356952 +1464581164 +1718277330 +267990212 +2094773214 +959066991 +2096738595 +440153265 +64260536 +1780125677 +824666219 +67876977 +1686094500 +1517482357 +1126631860 +1370339685 +1299233611 +574781513 +2037437025 +121210755 +1245523459 +96546964 +1528726328 +244375481 +636065494 +1755971907 +381272736 +651435753 +309462249 +1374213724 +1147374337 +783819201 +691311240 +718168019 +1051809414 +638600806 +1677235010 +1001064361 +1078754071 +1741495547 +633706391 +1903420291 +1809372524 +172317243 +1273419000 +788520736 +1542656928 +425168963 +1363302249 +1432610305 +546379719 +461342060 +1529157269 +2075106047 +705717541 +17739116 +1683594306 +1086990278 +669174869 +1993056555 +313720354 +1816549206 +629392108 +1005031594 +387233577 +1681201522 +1643632400 +2064468588 +534782236 +574902823 +1658480487 +1168488627 +330839466 +1320369363 +1340805870 +1604258467 +2108890099 +735979150 +2029427430 +1324708700 +21105807 +428323501 +1786050761 +1550263077 +355945900 +344284654 +1568002193 +2039540206 +1431274932 +89693414 +1885113113 +1744995286 +1906242620 +367021574 +602543232 +145992549 +2048223096 +98691984 +62977489 +435521684 +673594808 +1721457976 +1604010311 +1004434274 +894343691 +797332533 +461209093 +855750142 +1533311683 +343152876 +32975195 +1554417491 +771476377 +1819025956 +957196920 +1127422278 +15826962 +377715465 +1019478836 +1447101895 +467408879 +757108302 +1044613533 +226167851 +1124129876 +1647156766 +372160400 +1024869324 +1745848750 +435137890 +1460391009 +271959910 +9112218 +916917672 +1276394185 +903455910 +1714250206 +1737603278 +1759206052 +1100078241 +2080756154 +1792181247 +507012084 +704748884 +1463723555 +1464209004 +1832171162 +1479550518 +1841924469 +704166350 +779168765 +161849700 +1461274652 +1823782298 +388017551 +437920880 +1323455416 +760177952 +1462790205 +921820519 +1195315842 +775697566 +1193780429 +1204428060 +1692615238 +322690966 +2107883970 +1259381796 +2060294245 +1719606375 +211976390 +1993566751 +1364303974 +718988474 +550831987 +680543882 +35713831 +235519501 +12610752 +1877638300 +939685852 +791779517 +2039488001 +253476856 +468078167 +280021904 +691397737 +1791533584 +1040199856 +6704294 +565870455 +88032050 +782401860 +1759650884 +1292460111 +327533450 +2082341851 +1252860433 +1586915247 +1995152448 +824983160 +1798891637 +1841235551 +41803487 +370396463 +244583891 +722347369 +406110294 +480103392 +734958121 +136264947 +1419789244 +1526737638 +28269300 +1673266101 +1994815805 +308291204 +217180190 +1638865741 +1348491061 +223884484 +57252548 +1436523111 +1006286344 +1816903433 +581499574 +1333819794 +1751761636 +1834360008 +773251393 +1599430436 +511859520 +424659382 +1293182339 +553663007 +795055846 +1537766230 +1276010376 +1201166140 +2017869623 +2010968497 +1337431087 +1290175219 +1390222487 +1365700387 +815957672 +1237554645 +1673991592 +1033137862 +728936738 +874999005 +1257022346 +786189287 +164038468 +115825042 +455609072 +745538043 +1449644837 +59887060 +432414403 +75412582 +1659317496 +944273923 +500071965 +805016187 +1497936931 +1295127811 +195298770 +626463659 +348810303 +65684745 +489948509 +1686241391 +1355859964 +1880170996 +904458130 +24333989 +970241993 +430966074 +1057471851 +1699178732 +1305965079 +167010550 +337884371 +1470003548 +282835592 +793493443 +68057943 +1732480429 +853380503 +500472346 +1807893012 +365214351 +1444746269 +160481329 +1170230538 +795199552 +1455609140 +1365529308 +1421663212 +1804419443 +1431214053 +1911611721 +1343177186 +639590370 +1644299069 +100151669 +663924359 +467057415 +531117743 +1721396210 +18752499 +1837082823 +1888406760 +356636870 +1159602723 +23758705 +1150130313 +1227660666 +1756239134 +2003510816 +1728133012 +1416648498 +221241519 +1025395633 +1577129827 +1391472057 +1820595186 +885255319 +609517718 +1094774750 +542191115 +2040731771 +858902823 +1885368301 +532838493 +355718244 +1985519970 +1196762852 +822775659 +369154066 +770675415 +841528158 +58753241 +511598527 +1198165028 +1218355964 +535357232 +200811693 +298532982 +144112719 +56838861 +2026665994 +1560761217 +278080380 +904577979 +990407397 +1669552438 +577689517 +1875662716 +131586508 +1672464267 +270370183 +24834631 +383883442 +8254837 +557673125 +739601687 +1993774807 +1754435977 +1562377346 +215445225 +377627744 +256421857 +274198466 +889226272 +1454586885 +1492554430 +1424583504 +1655398579 +1791087412 +1568696223 +1712237440 +1670269758 +981973793 +1990317821 +427364090 +1972381190 +1512386611 +1005053607 +1700560258 +1643973119 +530034227 +1970930442 +1668807750 +913917669 +1979185279 +78997227 +1653519356 +1825476438 +1833433205 +1068413055 +2040921664 +63577301 +1324834912 +167636482 +952803573 +631938149 +1660190913 +229903430 +139853080 +1303794677 +1798599653 +1852090521 +826580788 +633089798 +1694924694 +1253944878 +457987340 +1059827657 +111514837 +11063951 +556317128 +641549064 +1981994393 +77641230 +1555466734 +1813696024 +156638458 +1061502442 +1491688814 +1990071663 +2129915497 +1385126830 +2053648964 +1307266761 +1552763313 +858968890 +1939204911 +1065470578 +1088872320 +2079057991 +221781607 +739988325 +1783664864 +1048362395 +1373078124 +1331105910 +154823625 +1831065464 +243449919 +266338463 +1842129415 +799767047 +907887527 +1676640160 +877408278 +315870613 +1342852536 +1034046736 +1377373056 +687057703 +876634751 +1359804905 +2072184533 +782800067 +519588019 +1477464198 +1641768957 +311309282 +395451128 +583157629 +242883625 +617232736 +1323145955 +2026548490 +1665595131 +548740431 +1210170752 +1820418757 +232322247 +1453620672 +2086757220 +2074451663 +105904071 +847161099 +1603608175 +983312349 +1163031713 +798977064 +2017359085 +392921121 +1486034767 +746510188 +1752726026 +1410735652 +1529310256 +124830397 +740716203 +1023595565 +436139679 +1136167331 +1606753195 +679023305 +1753400067 +782415502 +558088147 +1271511551 +1331155933 +1768258899 +944446660 +1563478180 +1074395923 +883720232 +1490446195 +1180299995 +1730881331 +946570723 +16128696 +746429396 +1745547787 +2033487782 +1139350517 +1084098906 +632514322 +744592896 +347350910 +14340930 +869423293 +1088067113 +1037936496 +1305562973 +76750797 +497206043 +1984586278 +1830150864 +1279621545 +395190777 +954178767 +463293830 +15966028 +1898625427 +2026772010 +1090361952 +634862011 +1369734558 +123178299 +218259695 +168821633 +139306995 +964689091 +1914369420 +25311129 +2104039609 +850984678 +657825452 +701148857 +1198335588 +672166382 +1570572150 +138919054 +1710102878 +728651475 +215669851 +59825273 +565754105 +2045820715 +1339446818 +960944882 +852515835 +1802740648 +976910911 +603657614 +1682029011 +2067272863 +1238519626 +904279921 +42967514 +1456779321 +1073101554 +182274509 +273984764 +839987326 +207585639 +230540725 +1690972004 +865411091 +931689582 +741823944 +1537577473 +354778085 +880742998 +1100196704 +1083429560 +1096412849 +1160021977 +1649183666 +994749917 +351985148 +462644900 +1847265752 +7242148 +1439555811 +303439718 +1689271159 +1359345026 +1541959344 +446067432 +1402312540 +851255017 +1519168986 +1584587050 +1125239782 +211672664 +1792172689 +1355780507 +1902644668 +510100132 +139986442 +496984965 +2047677605 +494764527 +1377727963 +1000390661 +1578194087 +326657165 +12928991 +1079894105 +1321407082 +364914139 +1542539006 +1021189186 +372156287 +834611169 +1324628904 +2061427447 +46472548 +719104601 +360011231 +1448785088 +1570359618 +1879180218 +885888490 +548115752 +2090852882 +530577531 +1903896260 +1846013903 +1040677663 +2043882702 +195515220 +940871621 +391163581 +1573243183 +1941262282 +1969357668 +1899900348 +1954191273 +901768126 +1073823782 +171621764 +296823484 +2095012968 +543778052 +1131434653 +1272158225 +457721851 +1177907201 +1991262826 +817733082 +479208642 +1414138796 +549429652 +1365097132 +1962254549 +492798887 +1895674664 +1718667161 +191329142 +788868679 +1615066215 +386844362 +1729740300 +2006229796 +1960087545 +1523518935 +1828103816 +1712504246 +1330226560 +582388294 +638844380 +1501848325 +879211778 +586373701 +2045626377 +2010646432 +1858531926 +355864580 +1041069985 +1702311104 +1173597662 +1520278627 +968966252 +1723027315 +737892112 +783737153 +68342554 +486083128 +354920666 +259671696 +1274951807 +1969986881 +646516058 +857208460 +1828733029 +459119955 +233243747 +1509353198 +24140553 +1563470307 +2091741492 +662984934 +917834984 +823469623 +1249358635 +815977713 +686632407 +960406913 +1171842293 +1727702392 +515234369 +197956308 +1100497372 +1484200621 +1920983623 +1838389484 +120454127 +1989326177 +176988964 +475374793 +101514225 +1451940771 +297878027 +748030283 +161665583 +2126611056 +1207150238 +394909330 +1488480606 +1231290792 +1958379638 +1432738451 +1894275726 +728730974 +108724426 +996150713 +1544708688 +795356833 +1956557626 +569067333 +375575577 +324308347 +767023641 +1476072949 +1808508968 +540523616 +1166978785 +1928963095 +382366145 +1343967749 +256854241 +483880370 +648424873 +554732268 +1231910653 +810090456 +533859676 +291577244 +1204999787 +2022340283 +1522868036 +1015895777 +1307595086 +1269660114 +1744626751 +1416319512 +118327179 +1141851791 +64192697 +2074884805 +1710919125 +439768274 +251709504 +330459118 +1915841224 +2060218472 +870982735 +935336361 +1841697920 +1253348880 +131820463 +2098552161 +1737229251 +780245336 +505800781 +821656256 +1590335792 +1039660457 +1113233500 +647851931 +914517092 +488617888 +1663747708 +74628530 +1758278002 +1260890812 +1490948042 +1876605181 +255258955 +1555140739 +1804006338 +1966178080 +1994909014 +2055715842 +149153551 +1763266590 +1968450667 +1020136286 +551119303 +1662664939 +126001518 +682939766 +1613733452 +1863230769 +1463185102 +2119534233 +537403378 +906037247 +1011711042 +1650636878 +1553889178 +1926228135 +2139254767 +1070153239 +2000856665 +1750049121 +183560403 +1344321060 +1479170655 +438819358 +751978151 +1135693345 +257513791 +599403517 +1043925540 +406667342 +215186459 +864892559 +1426803628 +766305763 +380073850 +1552805146 +1449245529 +1993807302 +1268552268 +764946984 +1965857887 +1805955646 +1670984231 +830085281 +1309108876 +1077389761 +608829768 +1300879995 +59352 +462202786 +903445469 +183619755 +1806523846 +235132476 +622439114 +411018349 +1370825821 +879952905 +1010421867 +267267713 +1286620247 +1225608326 +1132160272 +565940227 +1991914089 +1512234122 +2118745373 +1293675971 +1358557776 +1239813993 +2058622955 +1176932015 +898285991 +1582123538 +2007017297 +59911220 +512029651 +468363417 +1360791215 +512089004 +930566203 +116753036 +695708759 +589606401 +351885512 +1318147873 +1000624751 +1722711334 +50617130 +2011046618 +1989979047 +1337237377 +1089171296 +974655672 +1903177604 +933601738 +339406146 +1874439330 +79794061 +1697963923 +966769675 +2138417016 +727412290 +1865055667 +1573056906 +586945939 +1924966887 +2085086557 +1055309357 +1138274454 +449691913 +1985875560 +1255027491 +1145400673 +427998314 +1606913003 +316064898 +1428623065 +1182140689 +366682029 +1292186035 +1024636089 +1703919406 +233873683 +1999291761 +1459613363 +1167475421 +191214259 +1186569045 +1247269482 +1889178182 +5855072 +1238202850 +469106825 +1870910739 +663776108 +1056052764 +1648393978 +601379018 +2111362121 +639184785 +1051070931 +1949754034 +1894212276 +48987956 +230268700 +1353641631 +365052855 +1658891765 +388298673 +731734884 +803594152 +1412934762 +288170642 +1037467835 +1264742875 +1747784005 +57459609 +1455957134 +786869402 +1304729091 +1197651669 +792724475 +395448294 +1666758494 +516151566 +1059224402 +575327610 +17061897 +1660603420 +539206084 +656246682 +564190704 +341476470 +402975310 +613178660 +571745170 +1756616941 +978231515 +83153287 +2144915614 +1709966399 +886747439 +1410366728 +1998137042 +1924215274 +527625955 +1598437399 +1981674883 +1983583090 +237823154 +1138920327 +1033751111 +1030547629 +1534368621 +553025957 +1546699195 +446109375 +1128353567 +1563761092 +2106712796 +1667559651 +72524126 +523419852 +2009036121 +475499436 +1136598512 +433297643 +84632730 +2114830028 +516450930 +82064696 +1677312779 +1403198369 +1492431425 +1527966173 +1179929996 +2020057380 +978919925 +1014121231 +1856156822 +1216743079 +5557910 +742424285 +99807060 +1539926531 +1295450242 +1646506255 +1986035907 +276320162 +1062783700 +1945265055 +1943879813 +1135307826 +321201259 +1805432287 +1610807263 +1457799771 +91246282 +1695439993 +1425146151 +607697213 +1777504689 +954975283 +2010895582 +1122452466 +335457808 +1043341930 +995026199 +1314377733 +2057463162 +703699373 +383637164 +2063021072 +1446123659 +483444224 +1455463956 +594090253 +2129950480 +1294016215 +870410415 +1045250532 +1091797622 +666806581 +33074710 +1412998881 +324755220 +1643881973 +723315004 +416001502 +1191838318 +977508 +1023698715 +821859360 +955952791 +887110650 +1944311826 +1291410599 +1930452580 +791854377 +458304685 +1840432094 +1495553751 +841941849 +1755969519 +794193762 +1325386074 +1063949827 +1388284015 +1307852906 +210482394 +111210783 +205619790 +1302280016 +778017364 +238694500 +567795249 +1102772584 +1882576474 +1291110253 +1518774086 +926931144 +1292087761 +394989154 +1748790504 +100556904 +1282099804 +1545618683 +1391967504 +1065068736 +189989412 +1850272189 +758017183 +1685543163 +544730390 +366503054 +332253277 +1870116464 +1430452881 +1720537293 +1030485722 +1640935275 +1831748076 +1236105512 +795731643 +462281792 +1474800013 +1363526892 +1565054376 +1209892839 +507153497 +936344814 +2136823983 +1799241259 +1331333968 +1738130840 +1899798163 +465950124 +1136265875 +1144282019 +1531018861 +1326255287 +847070560 +141552396 +864314803 +1391800951 +508055450 +1196568080 +1114433767 +1938508331 +769621725 +2144919490 +1431959958 +453886153 +1233541354 +80207953 +916167945 +560857719 +1443734845 +333738673 +1770750558 +1950888342 +1270083488 +1760090894 +1602645953 +453933808 +1350738086 +1354960469 +919883933 +339520313 +351758840 +303419146 +1665775600 +1198829401 +444971542 +382606755 +443146704 +953026992 +1579174836 +1557580471 +744051675 +201312913 +1555016313 +28527985 +655199067 +641074020 +108735938 +1571367012 +1201931739 +1552470783 +1905105686 +825198650 +1355875477 +1027705526 +437805896 +811037783 +1481639334 +1788543982 +18514604 +254039619 +2128064295 +370273444 +557458765 +1646356247 +1569102845 +1002430307 +2028963003 +2012249549 +1955457299 +1460654191 +1422346373 +552025326 +1661967104 +829879038 +580553311 +169682523 +1470953058 +689289249 +1741049536 +525401150 +94276384 +1498671574 +1350599800 +1450151862 +378893452 +1788405696 +113705997 +1860532786 +1429466030 +132220601 +2114572406 +1410046677 +502494045 +524547523 +908919276 +2071596891 +1526977831 +790398631 +1936362792 +1334951482 +103569174 +1211225517 +1886976809 +1765536279 +2041104556 +320046472 +1935218802 +1364573966 +1009335722 +1528784690 +1889975116 +1103612106 +879972616 +1093091268 +406280320 +1258866068 +734013316 +519986317 +971915207 +15995698 +652206918 +939003965 +1426042375 +1154700964 +1463551488 +187478004 +1078814207 +843045671 +977876635 +867693351 +30513506 +1081445810 +2078918869 +1917490315 +699498441 +1972539777 +90053139 +487233595 +1189630095 +1099388861 +2016018286 +932121564 +55517320 +748507254 +2025212832 +461797640 +2007373323 +611742501 +981783958 +831804882 +627738199 +1633990876 +1770808847 +2053780575 +641208192 +1086876687 +93774931 +1720022399 +1929922359 +1071651566 +440232103 +1960435865 +5613728 +371667324 +1730442532 +705112169 +196723453 +1820495671 +1192345765 +1386353548 +772400885 +1060880403 +170991464 +827918205 +1809387657 +48720649 +1289715845 +1669277332 +660463150 +124016155 +353598566 +1288201349 +1758007032 +2124407413 +1194498276 +251731576 +1063800453 +1288273207 +1971753976 +846239164 +212441126 +264502431 +659191381 +218054854 +636169755 +242150265 +923167024 +832893208 +2062645936 +2115512789 +71763108 +687563173 +1028909544 +242754573 +1515481378 +690813553 +291475222 +657713576 +212607238 +951938372 +781729731 +566205804 +92656073 +392253115 +543129570 +1287154350 +643984692 +1606930023 +427943909 +468255020 +305685539 +640385035 +732757451 +964876920 +858439890 +1368927206 +1207027185 +1781606914 +54336766 +1122189473 +1749636055 +126099874 +1809752647 +631061951 +368854447 +1177750377 +1321875504 +660329669 +1835463953 +1534482742 +1612268041 +469710037 +2100688547 +1704924115 +861963152 +496334469 +844594817 +1505947844 +2103264492 +1272538726 +1974202864 +261466383 +1912923762 +559476667 +1226343303 +623880004 +1928403873 +285886840 +258003270 +1982740639 +1408076313 +2007639325 +2108840514 +1070345312 +491217628 +330211313 +100612042 +1813093132 +990540983 +1936075995 +1200092227 +455325376 +258302384 +1153297126 +12765843 +1120265537 +1649631595 +857360660 +478729733 +1605412439 +2129899387 +305448950 +1866878822 +1895339501 +864925617 +945738477 +371735857 +645845843 +1231625317 +629739127 +481102834 +492217982 +489894804 +442459700 +1562563295 +981112432 +772671014 +1663175337 +646721916 +1763211997 +1451767684 +1846814143 +71053725 +1710070069 +852627621 +83819569 +682851958 +354775568 +941180229 +1161581691 +1960188007 +923595968 +1467030641 +1679583181 +671451821 +184472611 +477838010 +1043187678 +830318454 +1709463327 +1672926805 +1311421288 +54197662 +15337961 +1753880989 +1616760957 +996450393 +379068355 +1132452646 +1643172310 +2142280352 +436736682 +1342502805 +65850429 +2146806751 +47646779 +149669998 +682175061 +402422347 +1090850228 +1843756753 +215126707 +2014446196 +1163303746 +1894709888 +538414370 +1347776357 +225064251 +1581602048 +30611163 +1934527578 +1107045206 +1342032452 +1988725240 +1122383167 +948429793 +1458002549 +2118833561 +1327498148 +442971547 +1614522223 +1322294852 +879708230 +809541380 +1388145281 +879031333 +857188159 +1537815280 +1561206395 +1259610507 +481181860 +1257479500 +1474737214 +348144408 +273299598 +1221963454 +886558778 +1621075956 +1447027705 +320677179 +1651687119 +1234071636 +1427722385 +846235923 +1075313228 +402621904 +1794665716 +385832130 +373971817 +974680216 +828803677 +1988494040 +149491420 +1708511907 +650551773 +1537636702 +440059593 +1507739932 +927968334 +2001265988 +619866791 +1409150194 +1111261840 +2094604005 +1757294602 +1384561438 +1169083812 +496369733 +858153746 +468627869 +817046912 +362357218 +1702699505 +97285649 +1208593141 +630529086 +499907553 +855775210 +1016361216 +873879371 +1830455426 +1845164893 +714889763 +1979946847 +1406193153 +1365441536 +1370099901 +1846252746 +725697821 +150584587 +1700035086 +1345564612 +1559734781 +663813278 +1292684970 +1169545735 +2048374716 +314285134 +1665915468 +759044815 +782913003 +335478732 +1121402033 +338128861 +432764381 +182511526 +968657947 +932671935 +1038286736 +1985019163 +1806551306 +721258515 +1682700408 +373957421 +553721714 +941409913 +1739398958 +1923821615 +640179011 +317613131 +2074406202 +192730449 +1663177743 +1486657335 +856543727 +808379065 +508719422 +757434796 +1122664199 +27151243 +1516479611 +1905577203 +362629975 +490397996 +96222416 +795394357 +672909522 +1064880363 +1728066292 +1711196259 +902415878 +1387133950 +284971126 +437632638 +1761091371 +838692840 +1379042552 +1353006681 +615030807 +2019221563 +1670619812 +541953361 +64468365 +1186313908 +2028610696 +921012092 +1994692973 +389846470 +1678446888 +969873525 +416997713 +1047442851 +727967080 +779627689 +1537840847 +824189496 +1575022046 +63266722 +1889069859 +1155604690 +1774462981 +644002089 +395254992 +2059434107 +1081634727 +8862715 +750643299 +313193631 +1361869397 +1365674106 +184931547 +885005561 +1907627467 +249399912 +2071319469 +1788754515 +1170412004 +1918528795 +31117337 +701375245 +740918672 +448115051 +1748818096 +1468885752 +1227742740 +1139175296 +145591600 +655281138 +1202442018 +2034661459 +1810885828 +829421351 +531179900 +58657172 +741371810 +1612814627 +67519887 +1492015109 +1926008259 +1429389284 +710205567 +2110939806 +166911198 +470349386 +212856070 +90747019 +111620253 +1383268074 +2009275814 +142737590 +2084643319 +602710838 +590852641 +1685977768 +2071596590 +1818595381 +677669416 +69704542 +326392871 +1880111434 +2104366001 +2137278699 +562049137 +488062253 +48452223 +1303420947 +2100876881 +115972111 +647952408 +1879401492 +1545361395 +1358157975 +1842857650 +1712272593 +1828507361 +2055713720 +1803019613 +1940127614 +1291498146 +1664811779 +2082865204 +1228657818 +120038970 +526234198 +767151938 +44151912 +197345931 +1444821354 +113856455 +523738803 +1177449140 +70738808 +513533854 +1739498277 +558801062 +561986078 +895435576 +512194295 +677958189 +1543387984 +244112139 +75835936 +754062311 +2086969789 +1788108530 +435086024 +1995199861 +1443644495 +227729990 +1139214359 +960972626 +163111546 +220388529 +1081011596 +689345744 +987540467 +1125163509 +886691676 +284878173 +1239019964 +1410430479 +1462327313 +1309758772 +1923964333 +1054341942 +1868559834 +338466763 +1949777518 +233270481 +1016424952 +1345681854 +477382620 +1092260889 +2099744165 +416868761 +732885771 +387346541 +264584974 +29046618 +615076531 +1403799334 +990019244 +778188078 +1624187863 +2071030841 +1467533822 +464244683 +1048710702 +206741850 +749122856 +140247018 +1617172329 +63966522 +1450005790 +1393653015 +1118308464 +1171081977 +1732119778 +920602335 +1404352458 +601061083 +118800541 +1881735079 +1693321972 +71061059 +151120192 +278724095 +458407600 +415705167 +307770713 +1073484132 +1819504501 +1297789957 +1851672210 +1296208716 +1221337150 +1171722384 +1760453399 +122564204 +1378464235 +362092608 +262811222 +848152916 +426059130 +1712817013 +94322283 +1544367594 +736415342 +1826442062 +317486281 +2140767800 +280019497 +436286823 +1875019231 +1973341469 +507347882 +2026139424 +104581916 +965755482 +294360943 +412352629 +2039239614 +2113865444 +1710142586 +1743428176 +1262590512 +783996089 +767666913 +875560264 +906560293 +2146131148 +1237652872 +1169371516 +846800416 +1663712002 +734704881 +941122700 +1060595948 +1471120223 +620081114 +1378082230 +1464404375 +900100611 +1814369053 +1191939959 +725958432 +174233287 +1070595735 +830540348 +1139988769 +1364956678 +1242892977 +1031744736 +1331338474 +805551915 +627689264 +446445338 +1589548004 +1395356177 +1322005602 +348624650 +1394003677 +412174826 +1517996166 +93320446 +2075886828 +105217399 +1034443146 +988999129 +1576337622 +1654524260 +219597711 +893258349 +407141223 +2033966764 +2085198308 +1133099655 +60716403 +1008310395 +1963640003 +1200705172 +225783425 +1059049332 +84966260 +1557121899 +1864601247 +712655525 +2003567238 +1306665604 +2108011702 +1178089192 +1655290254 +1354531732 +1590264019 +1025802772 +1447852178 +1518667199 +1131020171 +334811676 +360182680 +559874145 +1989335936 +579780391 +1453132494 +248993511 +466263507 +1390847155 +1382093166 +526979910 +251673902 +1198249521 +1727685083 +477457328 +109815205 +1812651343 +2034579227 +1974416452 +377823220 +1890662817 +1133598408 +338351275 +921268362 +641405014 +1692883007 +364048733 +1667207786 +993251537 +1882715932 +650744309 +1328063213 +95414965 +1210618454 +1169915501 +675195356 +516267301 +1418909012 +1141458864 +1907114456 +653518530 +1668438774 +11304710 +1851768051 +1248640209 +488762038 +1961583256 +913807905 +375857618 +1788516060 +1291631125 +119036787 +774630821 +1629982400 +1040305149 +1416035835 +1175381759 +1404353882 +935759974 +21149648 +1139586167 +1586504283 +1349212861 +1235001132 +649639090 +371644714 +1910196488 +1165906391 +1790553726 +904171704 +925537199 +296588608 +425126831 +936841909 +873011 +1673767040 +1425603948 +1962456267 +440091297 +1801461566 +1603488680 +1731722423 +1920498353 +230635853 +1214221175 +813319855 +1646671688 +242119287 +70190089 +434948014 +263268935 +1209776256 +2021452298 +1612481797 +297293740 +523607740 +1984126511 +60006581 +1689514131 +1627196590 +964178285 +467567682 +1923785198 +1389305116 +1404409591 +1924658210 +915588509 +682529891 +1739630829 +1355679806 +336507809 +1195635861 +939918581 +109522515 +1426271714 +6656109 +922842370 +925459755 +248775396 +993032459 +1360407769 +512044331 +55325068 +1234376419 +2124526128 +352618808 +1757984159 +1961168992 +412625389 +1300014642 +1440881934 +1376803675 +1767582324 +1217183484 +618625143 +1024508268 +994358046 +1534213652 +1707038159 +586505228 +742409811 +2043545969 +1782141089 +1682328392 +5584836 +1060929156 +1688984501 +928427206 +1986388911 +1937759897 +1921459665 +1199313032 +302320581 +1976784733 +286205804 +279363061 +181919894 +2044189963 +93048405 +594545283 +1196720958 +1533930339 +1971348958 +816819634 +603630176 +442490454 +1841327902 +1597988222 +1976704106 +1400882414 +37009802 +571630269 +1296944735 +1819150892 +106475014 +1302529571 +732596400 +1795459515 +83473129 +571501663 +1585735765 +2004932794 +1770814695 +1888056346 +1834233880 +2057020499 +19935759 +2016153774 +1953726815 +112984165 +463215409 +1002964125 +1646914504 +287080720 +1819783759 +103061032 +729571174 +1513628014 +1701049255 +558791632 +767026780 +1738059057 +1130421902 +2063971515 +1409726301 +1236896916 +1219017438 +2142322701 +884872783 +1302490567 +566340716 +323124900 +1159939713 +189671764 +63697598 +846689945 +99208615 +83633358 +715360071 +2052935430 +196617523 +1178575481 +908415907 +1843532027 +1465656201 +580716019 +1946593060 +47743727 +2094344033 +1500158667 +606535359 +713887165 +1090734076 +1736957261 +630375032 +352976730 +826370529 +1849392470 +347815783 +1711243313 +1004399389 +914156500 +2034368213 +16855454 +1103828264 +2098065812 +863545400 +1203036879 +34215522 +1578905471 +1108488662 +230833045 +609997304 +2016904569 +2074365072 +2075653505 +450136940 +1873474484 +2123397232 +396997325 +1226149503 +582448944 +1110884490 +169399932 +171922557 +1741259522 +522376662 +998293087 +1443168344 +870192445 +562052752 +300084085 +1784348945 +448937317 +316939540 +740693561 +399519481 +1180484940 +1943730441 +433735003 +611906763 +904735455 +664568048 +1221904068 +774156376 +591449473 +1150073925 +1224293317 +317440309 +1125987510 +1621290642 +1543589813 +1708436454 +584691485 +1712989745 +1880359011 +178467359 +87882759 +731168450 +1621635704 +958075204 +1293221202 +1921719789 +594940502 +1742158520 +91175681 +1335634063 +2141678001 +1271660621 +1131880856 +427929357 +1883567385 +2036616311 +1092497405 +957987805 +663289040 +1683946878 +2108061730 +1887582357 +2001387188 +1086565592 +1361389351 +1397493353 +647518398 +1946080836 +962999450 +380393762 +2124548196 +1050882209 +1111562212 +1598700252 +2008957413 +257299767 +1372936393 +456414267 +1999458287 +1464112075 +1792048331 +1993652640 +588289048 +776445539 +274098349 +324372785 +665578203 +1366595755 +1282360590 +1328867243 +903058985 +1242938673 +1068965952 +756962525 +182020617 +282871655 +6972230 +829539016 +81468844 +969971680 +1209932778 +58533392 +2020853889 +174011342 +1657233644 +1882327655 +431311109 +882686389 +191258274 +283285748 +199314816 +1983306605 +129454741 +787603865 +612268497 +403553090 +1111976650 +1277846700 +1770148845 +246853593 +459230295 +525724183 +1489792266 +1528196247 +1282686708 +1671812883 +1811067902 +1289658939 +353868251 +1892536746 +112146971 +1563801029 +1951070138 +2133000861 +1737812372 +1460820134 +1867844868 +21639833 +196022876 +2059103142 +304925582 +395337692 +1894926100 +434380323 +1182941557 +359710949 +837933413 +147434560 +1637557649 +460598611 +394288153 +2096787944 +986322794 +1884080419 +1477500543 +121525854 +1408409654 +1141084797 +1411184793 +1762277906 +886137896 +1523331765 +1178595287 +689724386 +1508848978 +768924011 +3060873 +1229210198 +790563845 +199083749 +1140829692 +1095489427 +594421441 +888272144 +1529869750 +1777362999 +1247983093 +220319515 +1924797559 +738057094 +680918126 +171602064 +687361390 +1667240920 +2055682483 +17378285 +1788766775 +1316608489 +1158463083 +1052467920 +931402747 +2044600979 +428316037 +2109998035 +586841717 +1937165015 +731438398 +589902590 +1018891565 +1522002243 +788986339 +12237610 +470008022 +1383407781 +900509754 +1999877772 +1013287132 +1009200 +72713640 +790601043 +739066294 +753631766 +962203107 +1426427685 +273389039 +870401942 +1443805970 +2062155814 +39526783 +454785405 +967140086 +970929531 +351902736 +1395456124 +933443918 +938744454 +1185137491 +1664882316 +1528647044 +56545409 +1039400912 +170149736 +68783019 +1509408934 +1553557517 +969292773 +1361803059 +419361001 +970301973 +1434516699 +1209962044 +1709368268 +40664817 +24681503 +988312305 +314053856 +895083445 +284634627 +228726022 +934610228 +739420033 +1195866109 +1905539759 +1091322769 +443838585 +691500029 +2030067223 +1628976076 +208898698 +1411230620 +1685521485 +1248299610 +1581380356 +1754304504 +610224896 +987454225 +576113630 +1972027955 +1406815226 +1546415603 +1259061006 +469293622 +1108300223 +1299725824 +493975125 +2096612528 +1613779680 +1389058570 +233763508 +1842505703 +176185150 +973183541 +890888164 +2081724910 +2064506310 +1334726749 +625741291 +1947089886 +816219177 +834639989 +1210836858 +354257015 +2082939599 +644733566 +2108561519 +545680848 +1632187791 +537191501 +370225155 +891519369 +2083607105 +1629286162 +1360812991 +1044423680 +781528338 +1854788116 +993552561 +247824370 +1096363038 +1227316069 +2090330073 +1272548188 +53015962 +833734589 +1206789450 +2117522272 +20977690 +1832530742 +1917128510 +837196868 +519687083 +980481720 +1191453883 +455143035 +1625215286 +1152531754 +1000823883 +1109919429 +1689723256 +1371049038 +2001438798 +1625846713 +852851552 +1214768141 +522786745 +1634379890 +922072609 +1516339306 +1882204261 +2018435647 +596171727 +1825050686 +1143500188 +649187689 +511301628 +202805990 +619226314 +532279318 +2035336732 +388871176 +1369476186 +407540168 +1369352897 +413446421 +862683203 +847084535 +1565978176 +1863507086 +1957003965 +1108217784 +1087072476 +1810959115 +586580849 +1939924029 +878243609 +1109367594 +1426820271 +1800316218 +478223253 +1161540884 +1671268218 +1074394980 +839107923 +667284758 +1723582670 +1350409551 +870090748 +195325336 +1882688869 +757943833 +584196512 +1104681408 +1165484001 +1953549409 +1518127829 +2028167204 +653150297 +936622357 +1744190642 +462670614 +2044840141 +683779470 +126146081 +483937342 +476219851 +1004389690 +1593304937 +1903040123 +657222261 +2071528190 +917097359 +181006831 +998439522 +1756205282 +848291589 +574538544 +959131185 +1718382337 +769863880 +694336407 +328842522 +1354060393 +1799017815 +1494326523 +1160126154 +1169661996 +1375010079 +1813276451 +2106284354 +971717073 +128463417 +2003640847 +1655496544 +254609499 +340094542 +2131716395 +1258999189 +1933399479 +1887272870 +1916221450 +1857444021 +656886582 +2097228281 +708399895 +265608216 +798036222 +1282938440 +1224739402 +368934912 +2052802320 +1919075809 +697777434 +1259379065 +1570609976 +44620310 +272021572 +592788324 +1419630389 +2085298023 +551589030 +243863815 +66277793 +407746230 +1899360359 +320887292 +747840772 +1883593106 +1579886481 +533756603 +1623382329 +1348624284 +243716976 +132785263 +1298368917 +952116871 +398393479 +2096405140 +87571663 +1623132881 +317856404 +2140373984 +1394725042 +1015633838 +1252269401 +817851370 +1060254148 +1524290973 +1410639695 +332400890 +1462105349 +1962228725 +576264705 +1528383142 +222491307 +328141416 +1849270434 +970332079 +64250874 +1281673267 +1504088682 +1687633203 +482813903 +1747805658 +1820418466 +1781182821 +552438882 +71328298 +1730104313 +640010545 +1694461179 +2047960717 +632900881 +941702574 +916110907 +1885170283 +1759553944 +1976365056 +1261977608 +1022709991 +161282298 +576599309 +837455069 +737547003 +2104982451 +1059946376 +1065688419 +1806769237 +2030278456 +1129939293 +940958857 +1386883490 +670088849 +1423772760 +987205501 +343023667 +1057471933 +1539644383 +414351965 +640092598 +32171280 +2108813145 +540569667 +665072162 +903032071 +1456680575 +402758797 +515102367 +1285561983 +1664736405 +1537812359 +1446844281 +93852067 +227783780 +36907636 +51350870 +1287730156 +1102596055 +1858120108 +1170524964 +85051700 +651595317 +409924807 +755140549 +2075368077 +1397130308 +1098164217 +985356363 +789291043 +1512516182 +1625448961 +821462323 +1473845679 +18534981 +1486534485 +229394102 +1475215556 +1889293282 +744496470 +613293891 +1406546040 +134825181 +2060138172 +1500398107 +362608961 +2097045808 +1551748977 +1650339117 +1052158215 +1262385437 +673380434 +1137209915 +1913980754 +1083305241 +1892350465 +1841865184 +332951901 +843031034 +679737899 +1122242944 +208063568 +157703212 +1943705267 +1681909248 +176238193 +1282756105 +1911303350 +1651453749 +1024565739 +508316172 +117263992 +283628131 +643141353 +29918516 +1784026238 +1005750314 +2126964324 +1188291568 +508605784 +1031638891 +303193357 +1181986218 +21365159 +69690464 +117807811 +1913715624 +1911555648 +450759712 +609263010 +443809899 +1573002656 +817326578 +601513111 +1369224275 +351752178 +777751305 +504496732 +115571881 +281721406 +1529062472 +623888053 +398985399 +1812690603 +1267029407 +428903915 +1449233194 +125296073 +408384592 +490041114 +633901857 +1440023483 +793234471 +1815888075 +1461388642 +862924935 +1933695886 +1227620618 +626996935 +236971950 +1836883628 +1070806834 +1809974606 +506726559 +1672319946 +1031715234 +858478737 +302587603 +1536211966 +974050618 +584309009 +917790790 +1597938672 +983294408 +582997746 +717484431 +1412198324 +2032230940 +842780504 +1820582916 +374788406 +1476682362 +1113122751 +1168022877 +1145086789 +427027746 +2030947813 +931299028 +1654648364 +510461100 +1168270978 +1344048345 +1581267935 +830761937 +1850774904 +1106104233 +1862477171 +561769993 +1408691836 +1251205489 +1535820612 +1993000845 +21512632 +986275636 +828811606 +604510378 +1703760067 +93526282 +489257670 +399056923 +1914109198 +864046076 +1875739285 +879748301 +2032068953 +873342427 +1306776047 +1915533118 +1804641455 +813940764 +278510571 +825428785 +10505461 +1859778506 +1656190722 +1861280365 +818399091 +1371184245 +275566710 +79607279 +474906087 +1811387322 +2072608124 +496418719 +650179310 +753936082 +1100929097 +206455729 +847462364 +1590186767 +605512653 +614087914 +306749195 +333768290 +1493836216 +191334500 +1207110717 +653128615 +2106867619 +864268524 +1467069379 +237894542 +1689697310 +1477574840 +2097673048 +1198404384 +1191371557 +768588491 +422104982 +1466938268 +848195770 +897011069 +1130841942 +773320246 +1393429788 +1781021253 +1527256329 +346875237 +1987476982 +227235045 +1937062004 +445505987 +841322960 +96327551 +779274278 +187675528 +287662051 +1986384995 +840804143 +247046022 +703169872 +160389875 +484940564 +245383534 +1637964715 +435129964 +1443787918 +681852625 +1203718455 +1865892900 +1307245 +2051914225 +615420321 +1132149187 +677750824 +2008850109 +765686792 +57523505 +208241698 +605680127 +284758550 +2145303702 +1051186114 +1126081510 +94147605 +1830460392 +1313757038 +381809657 +1669361740 +7077534 +628855679 +225047964 +167467409 +1113796244 +470431498 +1805432124 +1548926208 +1914219416 +339801101 +605161016 +1632628669 +341108346 +509591593 +100565342 +1473257534 +1187342417 +2109415452 +91460678 +1244865922 +170173502 +697140805 +1529624473 +167993557 +1748326920 +508222335 +262141162 +1431303664 +1821979374 +643950819 +953181756 +1829056908 +1272806499 +1178229720 +1996524317 +239119095 +1648661218 +1654472793 +1788045303 +1415396987 +1994273895 +245722671 +900542008 +187898593 +755314265 +1001107350 +1661156127 +1942656682 +963039154 +1752616806 +1040038957 +1133212657 +302273963 +422179782 +1301206214 +2050600883 +930402117 +1563347376 +1334420900 +604897843 +59814548 +140119008 +286471103 +1332621047 +1318348729 +135511772 +1571740142 +819526299 +1789984566 +1212301797 +87439638 +1636774813 +1458024469 +987981646 +1824673406 +65855086 +1989088997 +1338345886 +2008511768 +804644503 +943479044 +901067077 +1937857160 +1245753007 +1323246859 +1091579726 +1148870243 +106165329 +507443455 +335807495 +711063172 +567258003 +475926503 +997534276 +1899879050 +1794275232 +1133046048 +1324135544 +466317884 +775546966 +388953693 +553757522 +264838131 +1846978162 +1541739169 +2089511538 +1912833248 +1383344518 +1280373776 +1773861369 +40505373 +76369172 +527444798 +1978362534 +1322122179 +1850691658 +922458612 +323508774 +1956856987 +1429902067 +659316269 +520436511 +1997160070 +1135242773 +1517970787 +1749555472 +782034357 +503533188 +926207368 +1248352241 +1279080154 +1315161062 +1802109764 +1543918286 +1014655576 +1196365285 +1485946176 +780005177 +432226155 +618836304 +406382898 +472731528 +695205476 +933827696 +303610414 +2017327655 +637035706 +1226069027 +193352782 +446409045 +508487446 +852669051 +966845557 +358163869 +1987911824 +337332696 +2107719341 +622462534 +840865884 +886443062 +1870814775 +2119946039 +54120476 +1525440891 +1516380677 +1068776052 +574322528 +854843205 +1848781229 +1006548683 +1473679509 +107680479 +1479280212 +21401337 +1041508176 +1782890626 +2038728992 +1678543882 +861476005 +84598126 +2124952928 +1369963452 +937267178 +944314837 +1728127321 +777695354 +1281647533 +1688363014 +1400157888 +2122513418 +427322428 +1123489016 +2094975809 +481442904 +501446259 +1463872838 +1550218957 +1075768788 +171232395 +1251516538 +2082317471 +1644911904 +1359197018 +1414114035 +1666313241 +253221546 +1049521014 +1557558585 +1931765428 +1910997019 +1642156712 +1909234708 +1133476823 +431940242 +706065897 +714120496 +1209635596 +1987713431 +254999863 +462309837 +1962743201 +682322291 +1585798853 +1910235362 +1163765196 +2087245112 +1226624552 +566500505 +1015530252 +1397856947 +1818017043 +950364076 +895285203 +1029730413 +216994463 +414114796 +1282951959 +1266515477 +1971673381 +1067233740 +1030028849 +1466346445 +828984800 +16022024 +1898286687 +1535050698 +730142521 +960438636 +1375280481 +985142384 +1422748473 +1190540034 +1667464675 +861063678 +953291748 +683746223 +800825142 +32432652 +1250246728 +1816355395 +1430289599 +920780124 +619235823 +178091154 +1950510537 +836230286 +592205950 +1085978849 +2102745764 +416395683 +5728941 +985290965 +1882742129 +834713741 +1001312989 +1633545168 +222280791 +1731455510 +446500156 +1597561272 +569114246 +1869248629 +640617658 +89095274 +582828659 +1593909406 +772841497 +1383653802 +1626342058 +2023088226 +1052525549 +909148009 +796384702 +1671761372 +1087239163 +599411591 +360508010 +1679445113 +1685390440 +315770126 +2095840797 +1691119381 +1301061091 +1831099278 +378349475 +154890433 +1317160798 +600630266 +1886345943 +1763660955 +50707891 +307976542 +1485425936 +691325549 +397071816 +2068254596 +137751308 +1169913313 +1304424750 +1764093366 +1045517891 +209466651 +525757728 +1841902593 +1881228023 +1612996891 +293830537 +94252385 +1144958357 +1979220977 +410022512 +1093315506 +1522856711 +1711083603 +776931136 +1901206186 +1865974036 +2094091934 +354352804 +1604836332 +1710269241 +405060695 +1912812874 +1048211530 +1096386245 +162401042 +968982478 +1234137553 +1332314355 +125923580 +850747271 +230348599 +335390231 +1376504999 +2072251192 +69134606 +842018243 +218598081 +163386991 +1986976600 +50335411 +573409503 +932808458 +1573192122 +137009459 +1709739594 +1326914660 +2002983495 +1656347880 +1681267464 +1460336179 +1219133474 +2086328160 +1225665405 +119861356 +1035230757 +1388066447 +1088843834 +121884662 +572897155 +1214767414 +972631933 +803245754 +1550157645 +201653285 +728013298 +1619292251 +1043671528 +946611380 +1782679242 +883164480 +996946791 +208605098 +1815972938 +422655265 +345614557 +1378228884 +1749569925 +201114404 +887093116 +1283353741 +1661450584 +2106226590 +1222198253 +739632341 +78604298 +109945362 +2127698789 +1167448132 +231830024 +553112296 +234731898 +1204461958 +1356358050 +1784889543 +1406115243 +2084371348 +1256698146 +302303123 +883499080 +891893741 +1185467603 +1880445871 +1100498839 +853956893 +155617488 +1446113396 +84702129 +1905187413 +1647227800 +971795245 +1041057507 +1161194736 +930538188 +115772112 +1900827078 +1009142486 +225717475 +1881042219 +29106971 +457547499 +286670867 +263838869 +1662009457 +1643028917 +2048728413 +920641052 +1579916617 +1157942911 +1222944175 +315932050 +2049836652 +260928130 +48894273 +1002851843 +1114885023 +204511762 +301481591 +1199587152 +2109699175 +1948709392 +23898750 +1003273034 +962420480 +954436938 +1119045147 +715763910 +1963579424 +1344762622 +449322481 +1992686395 +1802310121 +735993348 +109041617 +1316835931 +231538617 +10286382 +89993335 +1811455235 +1168229293 +1312937511 +2127387285 +1070582298 +1573865641 +28797910 +2073434141 +541267017 +233309672 +227432085 +1740854169 +195525200 +28657829 +1764752919 +1198798234 +991078309 +571706209 +170359733 +1706842220 +387801986 +1515122355 +8681053 +233004733 +1169948829 +744674402 +342046350 +339301112 +976213019 +352332732 +429294447 +640184606 +1520562026 +1742231958 +620088243 +443660676 +1168613952 +648886154 +369611169 +1709880969 +882195826 +597043254 +1303251490 +1077721026 +625701083 +920520762 +129035613 +1616779393 +1492226971 +299395346 +1176137965 +1880028957 +1814517702 +1184819018 +2113033691 +836982883 +1929493420 +307596393 +1176283995 +758222792 +659929126 +1605578442 +1398407398 +33007504 +1200326753 +2018495642 +476668180 +221457057 +519898148 +846279349 +1931338026 +1402093974 +1443322604 +1087105868 +332331353 +2069023687 +2007626630 +461366966 +1538319432 +1352369954 +760762312 +566973749 +1084915263 +427796366 +1751792768 +1050465306 +1264779249 +1533802540 +1358061700 +293579596 +144541684 +2017990826 +1899158039 +1542949083 +2050998330 +952001144 +1413961077 +380182862 +1173458201 +1933859225 +1226462211 +957312579 +1188469551 +522301167 +2044418447 +1520800904 +443841207 +1904561430 +1982167870 +1982160639 +1109447736 +595446535 +401650741 +46879351 +1023242901 +5959861 +1097344658 +140538503 +1539762401 +307922710 +434118099 +1684304086 +178429888 +185792490 +1079769521 +81944570 +1137793634 +346246950 +462127432 +163768187 +132622527 +1688589643 +1121080766 +1321092078 +63407163 +1018015566 +694409335 +507248370 +775093348 +529093557 +341925361 +1884541084 +1124540092 +743576102 +1931420435 +299346 +749535963 +881281445 +140837849 +141814717 +1189204155 +574955948 +1826118803 +1367634043 +760748439 +758404676 +1449578613 +1898542073 +1104651626 +1911706045 +2062310261 +1237274153 +1452812041 +1035907379 +410882583 +1516219204 +2053922945 +1105291918 +2023467574 +681532645 +1634385476 +217909287 +418590081 +611441920 +961485390 +202526869 +611741266 +1711021353 +1083808314 +752579115 +1852836070 +125528822 +1327535064 +1531471225 +1493162865 +2088283503 +142392253 +795257831 +1839341928 +1247043879 +559480228 +1754168541 +336834384 +2012292269 +642592273 +747716968 +1381027825 +549031570 +1853008886 +1257011751 +1230564216 +1339910714 +1474921039 +1649154297 +1951352635 +288922781 +1851681166 +415610253 +1999944134 +788005833 +1168189369 +1705296557 +913534655 +348240785 +1089284134 +259213872 +289040640 +1231676388 +1054471703 +2128382568 +331236619 +1613951932 +1735067462 +668071004 +1478760553 +230176087 +1415787972 +712304731 +779207657 +1121313210 +1969316482 +2009771873 +313740277 +1296753873 +1511442523 +117609264 +1585676654 +1215640041 +533219517 +1438137141 +2003645874 +1701408886 +995950050 +769696881 +2049649671 +2085234184 +1028910754 +191206663 +1169426924 +2083382457 +172105584 +1500663544 +1549850741 +1907173046 +21250900 +881127647 +2137349133 +1437038872 +1593432378 +769073142 +410868434 +1415265212 +631361368 +724608711 +564535438 +2142803891 +842217975 +2728444 +1210960284 +1375437493 +1440865585 +1067122511 +929362731 +289331987 +1836819392 +831528755 +227082524 +718246498 +1022735418 +1396509448 +654145308 +1194841002 +749689344 +56512401 +954530400 +770940244 +937640048 +944395885 +60495468 +383588778 +1713469028 +471363903 +1798853991 +197346748 +1195972614 +215905781 +192666991 +2038190590 +218634225 +1403627275 +1266144435 +1659499811 +323266138 +48023518 +1948831798 +12601883 +879552273 +28430674 +730848381 +1902287692 +1424940123 +1384993689 +949645046 +27145819 +1441506091 +1904175447 +798086064 +231662491 +701087684 +858581532 +615251270 +267073064 +1329945435 +266621613 +464419812 +378434402 +482527394 +657086803 +269141344 +701161619 +2060714079 +1535285779 +213177782 +236496569 +1583309297 +14525933 +249098452 +315377923 +42956607 +979946834 +70181967 +1467896730 +217456875 +1019827013 +1495042550 +1658962966 +776518812 +145644966 +1890625458 +1477606497 +1004226498 +358393080 +1744679561 +186688286 +625014693 +61615726 +565122688 +1107542087 +718702529 +834264032 +1808703706 +631932960 +222066163 +2021881489 +868429530 +1805375460 +2036407422 +1117527982 +2120753383 +2079364029 +2097474816 +43451702 +1399777112 +167448044 +1063278716 +747336014 +1826411010 +1839797528 +892980980 +1569552820 +1169920377 +1897207478 +1927945900 +767116291 +2083895764 +405476945 +828732017 +501534804 +1513019032 +1547434546 +1335798836 +1174239091 +31883859 +1557864999 +1048636932 +900313389 +1215756812 +937560706 +2017841371 +1189026547 +869441087 +1967832540 +1232478250 +121734551 +2135280584 +148273318 +869070565 +1814207946 +1988070846 +1762051545 +1236277119 +1010507576 +1511775376 +1016739371 +1777623867 +1448187492 +1422216317 +458872236 +1949722297 +787751701 +2006306782 +1138037485 +1961990792 +2038190641 +548418837 +863144076 +791020382 +1764175649 +1800704782 +661378106 +805718548 +522662222 +481726998 +2038196798 +644396773 +469523934 +38986468 +1513467339 +136248232 +2027057315 +1128035236 +1372525351 +890081243 +492326964 +241781075 +520221462 +1940514457 +1663997392 +979093698 +1742753106 +304265445 +837916832 +733306943 +118772590 +728623826 +1281725780 +981916666 +1519644208 +898417781 +635137801 +33538666 +1704136330 +1157800023 +515265664 +1594849480 +1802196796 +984789598 +1633835949 +1168180487 +1121037831 +1513409616 +148732076 +346079534 +256007211 +641059040 +587860609 +776228673 +434089849 +104374353 +1755322371 +29359307 +408639799 +445755555 +762666251 +527412389 +1174379381 +2044392031 +1509329055 +546539942 +795326165 +2144466856 +580078608 +351978847 +1154783231 +1095344273 +1946828327 +809496380 +2080133871 +1433180628 +1977676867 +1053688054 +799106596 +2126408943 +1399767589 +1055113807 +619984336 +1987628198 +1831342480 +1054074185 +2092002552 +1439181203 +1083433493 +353158703 +1884936759 +1846099744 +880571092 +911832492 +1743008127 +242416499 +1458372434 +390850644 +239399708 +2038451043 +742829491 +1394182939 +986311668 +542174171 +56195671 +918961891 +1975354799 +2033872539 +1972649946 +626977748 +2012797834 +1224933887 +1682091555 +485298522 +1065078437 +1365950388 +1539372708 +1009597341 +657647943 +475322553 +1362756044 +395101054 +173938649 +95843488 +1306933547 +1916946776 +338259988 +617822333 +160313773 +577659696 +508789728 +903143264 +1971842635 +1495101396 +1445317435 +2028038307 +266579640 +1273188587 +1914427198 +91745938 +1900166335 +1779741384 +1316679825 +1434774242 +117556259 +234274614 +653240982 +1656928967 +1243871956 +1310888926 +2132251520 +459144352 +1705989980 +158706521 +554987841 +865439879 +2075653297 +893247829 +1483262213 +88483422 +1470907525 +1992051941 +991626687 +1295266512 +1339669690 +289460474 +1175821171 +1606249330 +1562649061 +942764721 +1697995268 +1315331748 +575022458 +867191445 +602622343 +692578717 +1101466059 +1255863325 +202024036 +197854367 +419268603 +186791908 +656998720 +2125258584 +345498429 +1211986561 +843214815 +273668078 +2105234390 +178993380 +362151501 +1428658267 +23561674 +1353778188 +576441131 +1363231364 +1643238662 +1752262303 +821997046 +1058404076 +547543376 +372508666 +226252176 +1122565834 +1239700111 +828874519 +1815144551 +193682522 +2084737845 +2017168587 +391536890 +356522800 +56476847 +1048535610 +334297736 +401975276 +113038523 +1177512552 +675643355 +70789265 +1356505932 +1037794856 +1499447532 +1380067606 +244089396 +2075888663 +595815322 +1887328058 +1680667318 +1417812368 +798248486 +80727047 +1790321034 +1024500663 +1203292881 +882537497 +1853375182 +870953785 +1076220020 +1790629379 +740638724 +1467756910 +2147152180 +797115572 +368808872 +333966268 +1199090848 +481847395 +1511478820 +1874734203 +552636660 +720501105 +765045411 +2052084192 +2100568711 +1009134807 +1980489207 +548900386 +748979218 +1513672878 +1966712754 +1547227704 +1594399925 +1609550141 +424244719 +650209158 +344603990 +130136254 +1521162943 +1420824010 +1920765633 +114318020 +741097272 +1920434165 +911433592 +1109906144 +106916786 +2110524440 +1591753539 +1618395606 +1837774996 +2144390199 +191413063 +455336759 +2048990743 +144498127 +1464471567 +1881996303 +693398513 +65967137 +1248185533 +512627619 +1613194841 +695101810 +2122177760 +2037439561 +1345310968 +319298103 +20092167 +718990264 +1740122113 +1940857800 +833308284 +333735738 +1713808318 +1744741876 +1443641882 +1820725104 +1707782668 +887911774 +1291637062 +1398074016 +884818325 +1483050126 +1853410776 +786325421 +1627548253 +1170398695 +520838076 +173463118 +1236365832 +1769023609 +686090737 +702077025 +316641771 +660784850 +592032938 +1661952739 +980082953 +612125105 +233459355 +572721418 +405499258 +1066767639 +906457156 +2119307576 +664025867 +202615391 +1792549032 +224324888 +1090527165 +936702446 +1622398904 +1975345490 +272268924 +1328326032 +614187263 +1899817177 +351241079 +1135025339 +2073280295 +1587606911 +756565300 +611887385 +142200289 +1073207071 +1272672235 +734233227 +587676163 +105271540 +1346358333 +821135518 +677992958 +1751857591 +1887903158 +1584450115 +1723681519 +404445377 +1787065506 +1368746903 +628770265 +730109023 +157965701 +103685522 +557970865 +430234626 +1432011554 +1172158129 +182568155 +1783252634 +159699820 +108364803 +1223375897 +916265121 +720252188 +1365576186 +1989472192 +1992924423 +2099809414 +429664707 +2098195963 +1298684099 +1250800226 +628705273 +903058042 +991219736 +65671740 +479255913 +1395665113 +1852737246 +1848002816 +2024435379 +435362621 +2005968517 +2128120901 +993333487 +288719495 +1412648807 +18007968 +471287651 +1048417793 +177707788 +579652454 +124310043 +1093972909 +1299904642 +1489886229 +935961454 +1145345417 +1442211995 +1365626161 +1096057732 +593412446 +468942739 +1724763005 +1496470488 +1460162475 +1790434746 +1975726401 +708343941 +1495688344 +1676245569 +585295672 +1931050966 +1534730439 +565932925 +776900805 +1823449934 +1978581732 +794908773 +147253937 +879515878 +972616561 +726906391 +1003825921 +2066589471 +2026811033 +346228502 +855067277 +1024672802 +1788440498 +73209790 +2120730534 +234369296 +542152530 +1698009892 +1730839785 +2002315005 +1340960990 +1559082538 +563175298 +689165686 +1087844460 +1148470970 +472733004 +475091251 +1714403895 +1249633809 +151057537 +1545501980 +2044542582 +298311475 +277534210 +869675496 +1025217866 +1281360131 +788781319 +904545252 +1627588633 +1643848596 +1929218054 +1268545483 +1717058386 +1902464941 +1502914780 +111727268 +1452991185 +1086270917 +2114042274 +646468527 +497869807 +529733924 +1335634213 +1585714267 +1678204895 +1808367218 +2060805518 +1245125142 +910517379 +64379408 +643143474 +807576314 +362690883 +920677684 +1677251810 +1387908749 +54554167 +318549481 +144970353 +1682142801 +1962398077 +2074188408 +803204636 +1531972815 +1829169701 +158635768 +1643700084 +1134677238 +1244906685 +1610258710 +1781145765 +1742776493 +2139992634 +969296330 +1181007112 +1670713881 +630179900 +1094328983 +768355376 +1540697280 +1158708391 +1411498850 +200789946 +1521399274 +184692887 +1878041756 +761824375 +239247054 +49107589 +906794729 +1921389855 +2011505666 +833499489 +577110844 +1395994833 +515185542 +735746612 +892211269 +1649862780 +1980653298 +354986331 +1283524897 +1575946143 +347495318 +105337579 +609469607 +2018209199 +735517480 +1703798590 +639080927 +128731112 +715023333 +2050579778 +329521058 +88938959 +87789017 +60079166 +850763335 +327036071 +109186755 +1757558064 +100942279 +2120692421 +443573905 +678053123 +1369203606 +958759447 +1413799735 +113931228 +461138579 +1246969385 +468917559 +1744663476 +675431880 +816412877 +1850001055 +1284901488 +687138429 +438034887 +841216430 +1326219356 +566765999 +1556239764 +1229315486 +896287057 +1645178723 +1317104503 +956366223 +348458410 +1644140575 +1065552978 +2106016474 +1745082854 +1038761751 +402106731 +275652329 +260481710 +1360866178 +1689452064 +374412938 +1822004757 +788937802 +843330497 +1419184585 +1464369682 +1659743375 +1121701993 +601787522 +199398156 +1559736880 +1443003953 +1525617512 +2126502880 +851760069 +607449351 +875306289 +349455144 +1924553854 +1831672513 +697913555 +1421210781 +749741843 +656446381 +1018809987 +1788503595 +1058553113 +1294462316 +2048985305 +271935643 +836430733 +275914595 +2093940401 +1625368535 +1119245092 +1365641338 +942254569 +631504819 +339859683 +1544042092 +830902975 +1899596564 +839562397 +209036840 +1878615796 +1691322466 +816486191 +606438437 +2040777610 +593556397 +290627302 +591207517 +2014767179 +1040369146 +1247653899 +886093518 +681389093 +158723364 +33072187 +582890750 +430659007 +869502920 +858805345 +377115760 +347387807 +1978050437 +1742757099 +1289642376 +462071609 +2082616782 +686200820 +1292974584 +1834729698 +1525763217 +1502011424 +1565861846 +1069602035 +171013967 +24816636 +962895998 +764570365 +315443938 +1554103515 +631853896 +1355813084 +654273766 +1517947414 +2037202177 +812997130 +1551019601 +472609279 +1243656138 +273038873 +1331414624 +1620771898 +620426680 +1161981414 +1216045349 +1910069057 +1624053023 +1151178484 +448786229 +769543959 +838424534 +1974549447 +124071736 +256802733 +896667834 +295085703 +281619369 +1859563832 +1059656068 +597063307 +1266183700 +1691509964 +1952876392 +1920457466 +1061973731 +1842594921 +585970949 +465509684 +167720553 +1829627087 +738548558 +1499135177 +1302915337 +1358975238 +513632943 +371477039 +1121560647 +2137685966 +1522655523 +1570346877 +759746278 +213596409 +1397412676 +883818014 +470399142 +146596862 +1178903717 +752018511 +2006160695 +91076138 +1349081819 +1124860747 +1782586102 +1154474563 +897834565 +697076185 +849585836 +1483805514 +1162585870 +1017306389 +1165948953 +1901134428 +368957919 +321380643 +1112626018 +882590862 +692857682 +86703018 +872793181 +68029557 +1657049895 +1632539459 +281625966 +906978923 +368873825 +752025109 +1053575785 +1547777542 +1504043620 +912252832 +1638853680 +705641791 +2037113579 +1273956135 +1860116354 +787464497 +1971032320 +562218543 +123786363 +986134542 +1579524932 +1289735317 +739785322 +1948482851 +1611115960 +1852411341 +683590066 +156489994 +1939114359 +1556383247 +224519551 +1448680606 +1041439058 +506145517 +208175881 +1410312883 +1258170626 +1261751666 +810606777 +614730599 +26520851 +301976810 +1320372390 +2063634430 +1575932945 +1033005097 +703615279 +1399481617 +1595223640 +827401643 +238132512 +1027264924 +2117136960 +977917834 +828264128 +1580769272 +682845527 +1511854194 +1737259266 +474476238 +920753793 +1961778817 +1923156844 +1962192851 +320440686 +2131332725 +1225022086 +1578611313 +1245600744 +2035628863 +45858264 +1272121595 +190122025 +1366230654 +1188272377 +1766054970 +251752103 +1891887657 +1018052940 +1846975743 +571805652 +1256185452 +726757020 +541458964 +86619638 +1555021148 +2122228236 +769465166 +919391694 +1712003854 +1243941404 +1840145487 +1526299023 +1019614601 +1654854690 +1846739709 +1003463678 +732393128 +1277867374 +101580774 +620538343 +1323725638 +1373702369 +810660369 +542472645 +414491099 +429231691 +794224748 +158895108 +1447284631 +493716844 +730700760 +555986435 +1220473864 +1272159724 +642606074 +628011364 +1246904312 +1412071240 +1547403058 +811424518 +508528996 +1240064897 +190239893 +1528143597 +747435939 +2036979602 +384123628 +1479829067 +1167363329 +485704402 +2100367410 +343605319 +1859406772 +763544131 +886077964 +126414223 +1192775823 +1680302713 +285309331 +492576806 +26535909 +1016010091 +1048563242 +1247009773 +140686167 +1691169316 +1875021137 +1387590479 +955756908 +1274940547 +51531349 +1464285904 +367521796 +241771242 +844945854 +1114957735 +131267196 +1229069482 +447303154 +1298630525 +1714773884 +400186916 +1642235845 +1426697008 +1163731048 +380830161 +1553111231 +209023223 +2061132874 +1838420562 +701600029 +2087668783 +706947005 +1750163271 +1187194908 +847633172 +1293848939 +914732397 +87740003 +102122199 +42189296 +139271352 +1566408104 +409711092 +381042594 +263870310 +1524668827 +512309791 +1492939792 +1971971981 +1810940316 +1060230028 +224675250 +1305692513 +339443389 +1388406298 +1686522675 +1892554620 +1597429521 +1600171901 +1583491535 +151545902 +1540357037 +142954892 +1901709174 +580068297 +990588065 +1048074465 +1494800695 +1078328068 +1150196665 +1536989991 +1217599421 +569121121 +1946701084 +1598642015 +832991431 +1323886263 +2110951806 +178447575 +1148374597 +1774408475 +1238677603 +1373049847 +932617340 +1578120992 +613972497 +471656367 +1323191965 +63918370 +2071828269 +759199852 +215464272 +1464701658 +902154744 +2117173446 +2044769955 +1892742809 +1017764264 +1392087002 +823587230 +20477281 +781593346 +2041186651 +589598402 +580810782 +1492345018 +1422589833 +1904697045 +1455813177 +1601037408 +905587994 +1082738004 +692231363 +131154193 +2015355344 +122868708 +745126690 +339528064 +1446060673 +809045060 +263872685 +57776877 +1024509333 +1728574343 +959931621 +994199131 +1625860650 +705190783 +2011963395 +870464005 +1528778013 +2032440676 +1652057351 +1422481016 +474555430 +85384485 +767342386 +1897145263 +1990081530 +75671915 +1350699023 +748185877 +1158409919 +2042930387 +879340070 +1026281616 +18315447 +1624466761 +1365809680 +1464376120 +286028173 +1629682365 +1522152997 +1310537506 +1210773060 +334600970 +157252990 +689150062 +1039791753 +21732737 +1559614067 +421086118 +2054173414 +1064187770 +1843567134 +381245196 +1149572255 +463425873 +130906812 +992170138 +539097788 +1481605835 +1740356015 +1697507708 +1377052574 +472212437 +576305676 +1395368021 +2096679198 +1942115356 +712260493 +235223724 +1424314073 +86929842 +1545761230 +487603485 +421530813 +1703014220 +1176753547 +1461322566 +1724746958 +588883967 +1882408685 +1631436724 +1653071737 +1578492171 +2012681920 +655160345 +2041918044 +2143588732 +1647330483 +433532185 +1477710920 +1240202850 +2131039893 +707279846 +1712415287 +559861921 +2102647868 +1661610838 +354493629 +667424713 +1896834562 +1778807702 +754354556 +1295112144 +118927539 +1175885369 +850642717 +1295681086 +489724287 +427906027 +1884565053 +224649324 +2059342751 +1390153143 +1803141496 +1924541023 +2045313488 +1697575892 +1920646108 +1545160323 +2131108077 +1250873380 +637879525 +2114664322 +1958153226 +202811164 +527042595 +1913317446 +1864422002 +881536224 +433258512 +1613772916 +512860278 +1187613068 +761401413 +631787817 +216014789 +1612044130 +1927468904 +705739076 +2039950157 +1664550309 +930388401 +1951809260 +907219804 +586046249 +1728866635 +805049644 +136138493 +1502029095 +202726319 +119762923 +605418827 +840605844 +86943597 +416088406 +1043417009 +613986193 +181922204 +760355363 +1495522417 +615180716 +226644632 +2008382696 +1802793784 +988046045 +492686865 +2018808573 +452606527 +272672121 +577064002 +345073036 +1937222431 +1507452403 +149398648 +696958587 +2093498652 +1878265283 +1502008232 +82153497 +1232810731 +1704734551 +201916420 +1838229558 +397856748 +288860018 +106834316 +1441273757 +902846211 +288756521 +54145472 +250884980 +903937237 +280790104 +111784028 +559247374 +1268836149 +604470894 +430572299 +1721442676 +877143015 +1007636301 +2066515712 +666881798 +367605056 +68430712 +1363840386 +313620060 +1946695996 +718364970 +395773558 +1032023079 +275615873 +597689978 +722768989 +673472621 +886549996 +829603306 +2114746378 +1789396207 +1118359827 +21408203 +2040281188 +2022297064 +302198307 +4581568 +434060790 +1571034457 +609052462 +864633090 +1144993485 +1486195478 +1872269391 +1064025550 +5593628 +92390800 +1132456262 +1369434014 +406010860 +931668610 +2087798984 +801784418 +1963691689 +215931210 +1399474397 +538977031 +889403831 +138540745 +1368580337 +856666562 +1927936953 +339456516 +878074765 +1820734493 +214269932 +1180273072 +1825316061 +648330723 +603823881 +286884876 +1512963813 +1748817367 +1773080354 +1237749556 +665359269 +1778673982 +1330140356 +1797815531 +1000624349 +1736151217 +582000494 +940939685 +390451987 +398208535 +1156870895 +1789926384 +937185566 +2046274727 +1928467130 +158282255 +755457641 +1708920435 +497738771 +1633532406 +1382171280 +712008704 +666321830 +1060003693 +1360339427 +1270145712 +1346888569 +725819592 +871479431 +972485275 +1963569148 +1536838700 +603675610 +1146225857 +1187170583 +1604299959 +734893426 +1769171077 +397755996 +1125345413 +19895965 +1554626892 +767788150 +957081531 +1453417971 +548771632 +1115363787 +61391964 +110208419 +1613102558 +1694924370 +1492379699 +177627614 +213762552 +404899744 +1537967041 +1483908264 +1751788314 +116302985 +207904047 +576789941 +2079872134 +1744742747 +1180465551 +1078614343 +784429683 +637281862 +1813507769 +406117112 +1035037859 +791369534 +426013077 +442181103 +1559157684 +1383094609 +1895599074 +2107929316 +350974748 +1956991038 +70654087 +1964077306 +1504431760 +1563033786 +2141704921 +1718194312 +1967933531 +1532188314 +1054618929 +1572238197 +1648491300 +1262522976 +1544490 +1580879786 +859782076 +1182010042 +512010481 +1644211759 +1819291904 +178034602 +2050328871 +706846115 +969404136 +328858301 +1149027218 +381078173 +1711952910 +897142644 +341523841 +2062927658 +706650034 +412177929 +1879521316 +63598146 +1975211715 +1873742589 +1781792459 +1795661598 +1258447256 +688927740 +1220416147 +759454908 +1951450716 +1221960638 +192851046 +663749144 +256487032 +704861527 +160477255 +2075778936 +882896129 +63322479 +635141404 +1852300265 +392180780 +1784168622 +85894790 +2104133690 +533827619 +427418632 +2019577700 +1240477653 +839596561 +1751615368 +1304075800 +667324628 +1477874310 +938384611 +315502579 +588837918 +1627312351 +1535918726 +1348292826 +1431279419 +610395716 +1541143872 +2095028564 +866882748 +98521751 +108022171 +795178037 +981417880 +171344650 +1430319441 +686234497 +563525430 +1067004415 +772129288 +520175472 +1600832034 +1199547920 +392269524 +693826040 +2039144481 +2143884893 +1997901840 +558985461 +1474275555 +788802803 +874488040 +2063113473 +268631506 +262923119 +1263922651 +1699910925 +873318835 +657582875 +1647455841 +1740201584 +756104626 +1755478013 +387895973 +1737522506 +1926822663 +1818215414 +276273355 +342864446 +737736181 +1048402643 +863039918 +191084568 +100466915 +1255309443 +884910608 +2139611396 +1251710688 +735328800 +551113210 +578502595 +1524131603 +1425601250 +494132420 +1792763109 +1688524369 +1758055071 +1345190386 +414359557 +268154298 +845162580 +7077493 +1024258924 +453156945 +394973466 +614297782 +232495960 +65705232 +890571137 +575360406 +803441413 +1938973781 +1438400325 +994525981 +2039440696 +546226120 +1879436589 +2031568445 +1797936808 +467281741 +435198007 +228955755 +1991413344 +1860799257 +723088175 +1636692805 +1401839979 +333659598 +834399544 +1816199536 +601813896 +1679562124 +1823277029 +1626072820 +2132719069 +70766847 +92886954 +217731381 +136472079 +983458091 +793091788 +939913492 +774948224 +84008465 +1934439474 +666905273 +630234585 +1666392415 +550990070 +280687745 +2133674157 +986188077 +509643500 +1977603853 +699503686 +1232731675 +1466813011 +2101343665 +1566391273 +153728907 +1770059553 +20721521 +1833291031 +1445852934 +1646794341 +1818526452 +1516619781 +1739681295 +2036257833 +1653091860 +575655738 +681865973 +445521705 +1350603963 +765874438 +232477531 +2017509236 +1396109023 +1898869946 +421015658 +1676796768 +1885060455 +1407203735 +38956620 +1715180661 +2106707421 +1271688295 +1034510024 +2060567439 +690595920 +1188238931 +1683143344 +711317441 +874046314 +981512631 +210628134 +545089118 +350648764 +1950309429 +433863303 +2003740625 +378481520 +1115729277 +301778682 +1729085483 +1881603715 +534256213 +1599111071 +1130229091 +285642511 +2020126729 +659542211 +23219319 +1279846816 +698498832 +1738399980 +1239070589 +1970187127 +625426356 +1152154380 +513299400 +1813665287 +687814077 +1224616841 +540227953 +1669326708 +1435244976 +1085317071 +2019975472 +1238070757 +1519180374 +1876232449 +1616552277 +487426003 +30527483 +1198154112 +221546071 +564783696 +649781535 +1351775162 +850426208 +522424616 +2011317373 +873645527 +1802271432 +562332557 +464561859 +893858374 +385036037 +1089988215 +2046012754 +898335437 +756169854 +586343183 +2122952278 +1296397807 +108186243 +1410713606 +234231230 +2128161716 +501300716 +1753411604 +1856910517 +2117852993 +93353960 +1887438001 +1168523458 +314900031 +304738049 +1818304993 +1666675193 +1155164257 +193245962 +1530508918 +2028809784 +1995517394 +2092841476 +345887995 +741892120 +330393865 +1435876210 +640421227 +1228729302 +44562416 +1226764410 +1204197932 +1340960223 +1334950654 +467427891 +1575191453 +1315628722 +968728607 +1181119410 +1025055591 +939097952 +1274473370 +765009944 +2107621410 +1589373401 +1069747994 +1778442756 +1108564946 +77428603 +1971688718 +491590216 +2106238388 +1819722464 +436948044 +304642735 +414130937 +767341909 +1740518946 +1054552164 +1996071211 +1785081362 +133832926 +1052785496 +978557938 +1468783580 +1520213387 +406265743 +636928654 +341458346 +1587385153 +1661984246 +1280556298 +714374875 +279510542 +1240694061 +156264628 +1349258536 +871653169 +1264829574 +1426687140 +695858239 +1756419791 +1385441880 +368097055 +45884187 +1690084615 +782227992 +813226097 +1283119913 +1836780156 +661813660 +920717628 +1970613083 +1714599156 +1899275566 +1291913015 +1087328895 +158057661 +1928841670 +1428787241 +1745442815 +1443342268 +561859892 +312334042 +1722852810 +1802553953 +468598671 +924627699 +526723474 +1733428245 +203831191 +1222581713 +1342364388 +1589273071 +1590678768 +1388248576 +1131874038 +225423113 +53991025 +267510304 +2062203269 +715804685 +1188227932 +1885332704 +282920194 +940019850 +1029762072 +1370249089 +1098077511 +811120094 +651552683 +696036678 +106978714 +1213412575 +1008370721 +1829831524 +868482880 +1476969392 +606975575 +1395206354 +1062913989 +810806766 +470304419 +257794730 +252596189 +2060983187 +1646043306 +1384470228 +138922652 +1700034331 +1651980532 +53642274 +268355368 +692724816 +1938974978 +551275562 +1632744666 +821253402 +1921524652 +583338529 +1632373496 +425593687 +1279375208 +1739352210 +1639006262 +140262281 +1421700087 +360005494 +1617231673 +2028675662 +1755211848 +532662014 +691998781 +78032619 +790456744 +944594970 +2139015806 +289016402 +181581550 +130454811 +1989050733 +1833562082 +184097085 +109922454 +378803250 +2123072063 +661198016 +2011547916 +796841818 +435239020 +447402798 +281731666 +860832707 +1726778006 +2021083877 +352355321 +1867040287 +1295300316 +712360815 +1336788312 +1176492330 +320089015 +1869450326 +1868491111 +398121634 +512423423 +665602434 +389653793 +801439825 +847183984 +520108604 +643006911 +533262419 +704205689 +752929365 +912065669 +679794104 +1414127381 +776129938 +1476635922 +1849366402 +1223532736 +1758367589 +562715461 +802827094 +1631967818 +915070783 +522383733 +779784486 +1627431598 +1859172045 +1956276816 +1947520614 +1581138723 +1677284280 +198158600 +2093562146 +195403066 +587812393 +747518324 +1042587050 +1107920997 +1390525235 +1575849469 +1812126686 +2143454600 +340431491 +344437143 +1410098333 +1116561429 +1821073065 +1111981087 +192610517 +1431957006 +1674696549 +995437611 +916441176 +442283684 +1517821344 +1696225662 +2069715282 +1229509741 +1505018831 +1869752248 +663164816 +1034819463 +2067910849 +609243315 +1230222529 +508239594 +1356761639 +125325931 +1616160592 +599803226 +1701175401 +1280803630 +595774178 +2041606892 +1625240773 +2005872511 +1010684673 +1298830191 +970369951 +1203295190 +583303549 +497582852 +51249153 +1499744726 +939866536 +1569070497 +1048486740 +862098170 +651096590 +406021923 +584366771 +1314261406 +1440841386 +504793972 +1923504721 +523580267 +1013033566 +1132782712 +648906199 +481710510 +1732585938 +202597952 +1762514141 +180876468 +96721196 +1240271266 +39265332 +1107405869 +391617809 +1009635283 +163217411 +974921359 +1507218135 +214466564 +327182437 +299601023 +1783537061 +1375669177 +1161699193 +287150003 +1781691101 +1746065964 +1601411409 +1075048839 +103376288 +1377432483 +1598629107 +1116409855 +362731547 +100051658 +1598120365 +2095317486 +302649610 +1213150858 +128710306 +399370806 +305938477 +167975638 +1506776675 +697556286 +1177610921 +1669994086 +1672477645 +537345408 +1884460650 +1999660082 +836946431 +1520514063 +1227845612 +1998645625 +1807664066 +862053065 +1597227941 +1261591827 +1937101904 +1700604230 +491540662 +1388247363 +669530437 +854272210 +1488299021 +120167154 +802106048 +1790948631 +1333318013 +930816354 +42835789 +1639256490 +1098791993 +1549612464 +189329128 +128919266 +1072122902 +1861806774 +666264675 +809099904 +1713983208 +1503211106 +182130319 +794345172 +1354373083 +1989794385 +1656398237 +804117377 +1103902565 +1446016494 +357237959 +1595443227 +686780209 +1026768396 +302231789 +27595583 +1146935550 +1104337837 +1818544214 +332769915 +2035154192 +1861380004 +1972026405 +986462537 +1263508820 +13871886 +1115381803 +188148075 +1875678660 +1781646478 +997247979 +1442178220 +1137373937 +1179378299 +89039745 +344263372 +1021689036 +1745437982 +1148380749 +2125591601 +1043970828 +1505618708 +1573551181 +1730751038 +384903456 +1875782970 +1758346621 +1531839007 +832637160 +1429407187 +1864608922 +720307704 +1143303543 +1689151680 +1706770241 +259328716 +1703023566 +674668396 +447476791 +1431218578 +308831227 +1444724770 +725913150 +1446205164 +476619421 +814952895 +1790468536 +1498308458 +412907230 +791365638 +1476416411 +1456878058 +149500698 +902483944 +1040145448 +534404155 +630783267 +651008421 +2066243162 +1463420427 +2080415609 +1783368436 +36244483 +1076235504 +1325036468 +1743014724 +1335564220 +880576386 +270199472 +1783041011 +164311316 +579030699 +1080282134 +890224467 +2025235863 +1556901555 +1705177362 +1668220752 +907726365 +2118084592 +312102742 +236659129 +1427479003 +461603440 +1139143073 +320140803 +996007595 +1769926340 +971149225 +914767109 +1085863119 +904081186 +550651898 +1122107602 +1980316690 +1875688366 +717638678 +1168397263 +608781105 +987838151 +803954626 +773092421 +1566868850 +1884236760 +1663316888 +1444621066 +1293654668 +1221010603 +965358170 +53897385 +1191611547 +1277460912 +290556514 +471606902 +1739064352 +1429699588 +791747706 +587588300 +1052142280 +1762896931 +1502355409 +2138005400 +519494469 +2053007307 +1112629354 +352327511 +1781212026 +1830268033 +1520724774 +242509483 +670622536 +177195753 +1015601904 +90007738 +2061432513 +531435145 +1534628804 +1207603533 +1752445748 +352503326 +1261500919 +796573647 +1629964238 +1552057433 +1268180550 +1221544943 +834273373 +2059928256 +1809133243 +1886415654 +1675341539 +1164005004 +1876937406 +47352360 +1069528664 +842083112 +399679871 +703257042 +524867497 +1920404646 +945766525 +1195490033 +2097600399 +1961368429 +1285497772 +2011549264 +345319926 +672642928 +1071669150 +2097765674 +1025146255 +185686421 +746855674 +507626845 +1737743854 +2015036224 +1729171788 +424533580 +1927480832 +1390821383 +163465586 +1455338723 +407342740 +2040402992 +1502691083 +1476871404 +735002456 +1902370954 +32644798 +1259869954 +1675291952 +978411323 +307876339 +1625408703 +792296104 +1593374111 +1489474320 +1137616031 +118533392 +413659822 +1087898057 +1143679647 +599346243 +1834753731 +1651306492 +189606449 +1702306307 +1232994633 +614140029 +1482303491 +476332368 +777605615 +790158566 +883675108 +670524959 +145366001 +213062864 +1405527416 +2047736956 +245707662 +517913722 +1575545260 +1224118985 +825790061 +1053470316 +2016415090 +271680525 +395460988 +1006547473 +390213917 +809120810 +2094445530 +1533893564 +1408467053 +1781715614 +1037716408 +1598073502 +1336538273 +123227393 +64729884 +671358117 +599559762 +842335499 +1461516683 +1483234870 +1512860459 +1606882685 +1696297735 +770904227 +1507135993 +1942005397 +1288817949 +935197605 +1018640735 +2114608010 +1988667921 +887572177 +238804887 +236645261 +1894119650 +629018804 +1045766071 +1841081532 +15428720 +306749476 +1475313498 +1053145129 +1904822979 +664368124 +1176372522 +1969552863 +1335726241 +1775932284 +664404714 +649759276 +1111683507 +29781525 +109158313 +660497594 +800685752 +1616294306 +455019343 +2089503701 +404008264 +1473660078 +2056628064 +245192537 +213748607 +147949303 +481837799 +2107868257 +776968108 +1527603870 +1801466142 +792396828 +1834353347 +1129295992 +1845541957 +1591692678 +1793664116 +874430832 +1413761893 +981906709 +502879468 +2078166607 +1631665986 +1614562975 +2107948133 +1740824299 +127576921 +761150237 +1209634958 +582596265 +703170291 +1613643222 +2056256343 +612314707 +1858835759 +122521303 +760264010 +193189910 +82905912 +1537232118 +1720793781 +1884372054 +182145299 +1407663480 +866184399 +2027687256 +851872510 +512364867 +754634440 +118150755 +1494271577 +1257513909 +48833714 +978453915 +724593236 +9298199 +571794566 +852170158 +770448437 +1781429524 +1434766423 +1473618728 +1247589098 +1343539118 +2085933435 +958941210 +1466060421 +698713797 +1152131120 +1548966334 +88462268 +725441253 +1285854740 +270607567 +2133104733 +4555491 +150811175 +837493595 +516920359 +905445616 +955644350 +2011191936 +15475877 +1004478065 +842162203 +740069113 +1013776264 +1413956769 +1592239271 +1784224701 +1047902646 +879522046 +1110359781 +148008096 +75577517 +1048809568 +1106949306 +1541637938 +1747523366 +111596779 +943120624 +1835985634 +837038032 +81491717 +2106593201 +822659118 +86047208 +109920728 +1660152713 +602967567 +1015366344 +468313416 +466675855 +1030842221 +1472791481 +1308838058 +1770911335 +339084097 +575311180 +1215666958 +2123308799 +1623213826 +2095189005 +1086184932 +1771221922 +23282874 +2134994501 +730687581 +1564920812 +1735034219 +842284360 +360557789 +1423536205 +1679322392 +442049506 +1382645758 +354497862 +528096714 +1492566486 +2014650576 +1131064282 +360449183 +335480344 +1597740137 +1391291404 +1808271825 +759094548 +1014719091 +2147355922 +1334405728 +82902402 +2123181073 +810135906 +30607759 +1061882358 +433874180 +53890633 +1049393211 +1164561761 +1618811445 +636943782 +2006846121 +1979369234 +2060479987 +1538684866 +273935092 +1295642097 +1893182728 +802031807 +640724935 +1760349656 +1933096089 +1001174118 +2095830000 +1383352578 +244981875 +1756618177 +2142447126 +1259700966 +1756490452 +1329369206 +1342603368 +1732187877 +2139505112 +1373211127 +646586587 +425895645 +1427101760 +1695979798 +1590457406 +898429558 +185439932 +1449819880 +730315144 +98436271 +841021098 +1004250237 +1394078368 +586720178 +1806282044 +2034803304 +199586187 +1591894485 +888493774 +147932539 +827763415 +1133475649 +1904550717 +822726894 +245692968 +1513557521 +4612452 +1588296336 +1098261750 +2144117565 +814023816 +1744848338 +422529562 +93641928 +1293344488 +2012986968 +992071486 +1478784421 +1315323200 +1722386631 +1577220692 +8860650 +579153220 +823815413 +595580829 +237951616 +711135069 +795167016 +1829846101 +1599628843 +943099555 +510125868 +585620845 +700166624 +1332852762 +831313813 +66240497 +1337465215 +272126501 +1164502248 +1334099132 +1086150317 +761866938 +1756628694 +1179792246 +2055211426 +1622132014 +24380084 +1386512199 +789971567 +1746766715 +816249244 +798832217 +178436287 +1640064657 +1394413046 +416387903 +203716078 +42096414 +98750356 +1803344921 +985195970 +608876225 +241482118 +1685362594 +1941728987 +1072795931 +1751603092 +1131710554 +1344922433 +768621692 +318326038 +283589102 +1530488630 +2074954732 +1463381348 +1438216408 +1549603099 +1487761433 +677244960 +192091018 +1087044500 +1493494204 +990923235 +1265480788 +986075213 +237852634 +1681868691 +1189791291 +279949048 +1780619048 +845652564 +1265145018 +242011625 +1087134683 +803023965 +36256964 +12446966 +407143409 +1167967519 +1357369399 +1175765101 +1486293557 +1640958502 +558770083 +1413764642 +956856202 +1996986491 +815884093 +297133987 +526747803 +1007975111 +1384178488 +2020242007 +1998898346 +502175628 +858833572 +89267332 +36560671 +2048624863 +369216381 +1817179719 +746793780 +1634361399 +2059191344 +1833928463 +289901716 +2095448309 +1846375429 +697045125 +1115932180 +1056261181 +1872810226 +454742089 +549736035 +284096661 +1868506731 +1506592237 +133599505 +536907176 +1803726225 +660347308 +1544882287 +1040421065 +533105668 +1396296986 +1542596693 +1391939240 +1485564318 +1579157364 +1293080456 +1854780699 +1248853436 +2039874236 +1341658451 +1160561132 +1726319051 +1631560167 +1108525793 +1425210832 +181121645 +76974325 +333988365 +2053931871 +531716415 +883724400 +190544885 +252739498 +242832990 +324144390 +789646675 +2046559215 +984491698 +187045314 +939496632 +1517597366 +1583342300 +334609677 +762052959 +921422971 +1913767041 +2055133415 +628720022 +1015136829 +1947524003 +1970378473 +28214314 +1526359406 +1454454993 +1136740107 +804086590 +1635576638 +1213714433 +1138074956 +1542024861 +1745430848 +2021799356 +1732569746 +1998170346 +117148698 +2056714136 +640333373 +16224265 +893722187 +827378688 +955720897 +263835905 +263237340 +1290330574 +1025888864 +1184660311 +1056613968 +933538631 +1813380334 +2071750797 +733578986 +1636275159 +2099965111 +112454744 +943246504 +1089221571 +916541335 +431339494 +155452356 +2054616291 +1973364356 +1900883204 +1928931999 +1558450454 +1751569902 +2046080698 +1467680943 +244419628 +2062304963 +213919482 +1071798316 +870542213 +477755387 +1335035656 +13389139 +1503644252 +372212320 +1070003107 +289699235 +38109006 +994270257 +1023278222 +1674384165 +946751720 +1135732966 +470147022 +2035973291 +2052274301 +901486516 +43941999 +1959406944 +727367224 +1944825203 +1740855296 +138334031 +1548911458 +1639452346 +1606014974 +1793331086 +1554273661 +1819934456 +717645754 +277332226 +150206195 +2052681410 +290721366 +1653850447 +277410082 +1360724473 +1943549683 +315519088 +207511082 +819344257 +1989903254 +1154262803 +1955077223 +312566628 +1042752446 +1859867877 +1214053144 +1086694446 +1671791173 +1941420369 +884036001 +1265162821 +2079754400 +285463811 +757131519 +1538285726 +2078794897 +163921533 +1210736534 +648957003 +441253759 +1360942729 +554154766 +731975125 +867309529 +831564848 +2092699599 +663375564 +1147083937 +152727033 +1482719821 +989503543 +1306989836 +1290313396 +1302070171 +202258635 +1002697625 +368639667 +1288953081 +527005151 +162576388 +25505434 +1792167972 +94847140 +310969246 +401815844 +1633132866 +242280495 +565737377 +696385752 +891237499 +1006991136 +2057328482 +1445392265 +1738966262 +777154363 +129473465 +1684182213 +1440529927 +1276557402 +1836909246 +775766100 +118577297 +996415435 +2066079496 +1420647468 +1198674070 +921293474 +1789287136 +340143503 +1448298625 +1951863524 +365648937 +1092982949 +2046710665 +676618183 +1494798793 +1532359883 +918898679 +2060536170 +81261988 +1810136178 +920043659 +2138590470 +1108044795 +511526273 +768261185 +1237518260 +48224838 +61307464 +366592015 +1885134084 +837073564 +485169312 +734065871 +755669412 +1905816781 +1932739941 +1676962886 +1547620269 +125399796 +977777863 +1352000145 +491048734 +2070760813 +1251227162 +1167666917 +1418075958 +636103398 +2086565596 +1331128481 +717365386 +1749218126 +103688492 +708472208 +709779273 +615214765 +1476733393 +1947297534 +663439603 +1538040857 +166405901 +401090039 +227630773 +651575213 +1135155911 +983300185 +409908346 +920412204 +512779424 +1957528615 +1045812001 +1490557287 +1162045113 +1536860735 +1413834452 +265788627 +557044004 +684426763 +901892025 +496125953 +2015555244 +1619257411 +97860431 +2119243736 +180245971 +807639705 +586974853 +1656979364 +607453591 +1250414456 +1047536573 +773859492 +1651504495 +1275167346 +1425434705 +639176758 +110983884 +1835343052 +1559588963 +623763308 +1645388019 +457917316 +2114320595 +659949484 +1994778051 +1380671400 +925738112 +404338407 +2065098163 +1827630137 +900464360 +1933169759 +1299403901 +998324792 +1904929847 +1479649872 +1805964497 +344421052 +989145589 +265934440 +1594835508 +2036682162 +1039793932 +1098856355 +1164365861 +317744989 +1738033114 +1275349745 +5604393 +1150138429 +1899113053 +1650992413 +1608055745 +1865950000 +163458249 +1455350148 +1099137752 +1089196361 +1859688555 +1016752267 +769342851 +612669268 +802438378 +2068746752 +1610994060 +559884577 +1400912976 +1269474909 +904305629 +242574917 +1535409349 +351657489 +131773432 +427719633 +1450513845 +1296139293 +745464622 +1041063311 +424005390 +751069016 +43718092 +175634795 +254577781 +1651773837 +2041584795 +418036030 +959640337 +993238900 +1507232392 +671845244 +2009991167 +129091595 +1284514512 +664945898 +50354699 +748024924 +1224830475 +1451267675 +2017499833 +2129136105 +1693842593 +1405425534 +333309946 +1825616025 +1833145167 +1783823791 +974271670 +431126142 +677403454 +1398277060 +1182195158 +721121546 +1573911855 +1436772939 +225411735 +1468013002 +1854808969 +1185052072 +313768254 +1214557713 +1856897317 +176275774 +1343649308 +993928181 +841221672 +1394004007 +1741953106 +2066052147 +697788035 +1611969291 +2047704604 +244146980 +869911178 +233530903 +2069763005 +555572697 +2017354694 +896551027 +986698839 +547274501 +147344439 +21410349 +1268396047 +1721256294 +1458183288 +1493807783 +1041785648 +1165508610 +531376207 +1355553903 +232582675 +240789876 +1531829677 +1576231984 +1234718058 +225567701 +822752343 +829187516 +144136200 +1520540378 +293673159 +44357157 +1764687358 +1163584337 +277888060 +1686966715 +1719157035 +147759106 +436034094 +558372226 +695033607 +583378533 +579782576 +1963429655 +157151179 +2037965864 +1309753790 +1198936828 +1055990826 +1841129997 +407007083 +1288573502 +2081919874 +1938836760 +717321838 +1169154284 +16920813 +1540074181 +1998341800 +161057013 +913130912 +144531311 +205414170 +530334622 +1308115649 +483302230 +69817690 +879789036 +631061337 +505851784 +1438161262 +1326094944 +1089230318 +2017943838 +1142040951 +1246381497 +1908426055 +304311093 +297834677 +816933233 +2145441091 +704841760 +2105506735 +2079877317 +496194872 +675344925 +1101547953 +513115685 +67935459 +952406105 +674172699 +981066371 +1096937416 +879586869 +1511400993 +257569417 +1362889100 +1581218683 +1137358453 +1993950437 +2087070468 +428036068 +1172561733 +1028817138 +298496258 +167119037 +127714987 +59438665 +471430130 +425549665 +876371899 +469387573 +1130391425 +834394986 +401781242 +1626586298 +1509739912 +1503329195 +2139701983 +1577675371 +308251652 +666391034 +411258094 +1405189069 +1545977904 +1922659087 +1662758486 +761383356 +1356394123 +652633292 +607850145 +1295980943 +1080669360 +1780411878 +177314433 +1379165618 +1947530915 +305029420 +1438604284 +271477398 +730579085 +167492535 +740864971 +1860970511 +1001887521 +1142646214 +1340073161 +364143785 +498491761 +1332291496 +1941819156 +806743414 +1998682531 +205593602 +64448835 +1397176787 +2128252690 +1727207321 +11076495 +1337163165 +232356965 +618926640 +485660460 +1313026325 +251854870 +662974893 +544708296 +51902138 +968004313 +1983312580 +323379536 +1698583399 +3321467 +1064244507 +1412070262 +1005208988 +59407073 +604659775 +1369352774 +557898835 +1936951271 +1163688282 +1364642249 +1788150154 +1369281885 +1429091084 +1037843293 +1350050927 +1008814757 +1048919788 +539730444 +1241171723 +1667846428 +1025390904 +406714400 +1919701299 +1688365797 +951422696 +1971603437 +508886462 +787251628 +147499325 +59986213 +790573095 +1211743832 +1472056475 +1795782084 +1271150906 +2076716250 +1017651210 +1829049741 +1866183874 +33855844 +1046208342 +1506850380 +1403137729 +327815778 +397210026 +605705008 +1336630535 +1446129814 +1145435452 +430318610 +966492595 +23342708 +837033011 +738710246 +1711708505 +1788455707 +562830035 +73111320 +428223688 +710329360 +133097533 +1218796783 +1922073192 +1605154009 +867095219 +1045740450 +1534386611 +1884746429 +727306543 +1253086837 +1918602274 +1773514885 +612453570 +1174256355 +2101330663 +1009663596 +1779961364 +1290477551 +308309762 +777913168 +1720796161 +1274802357 +801255877 +410345524 +2013512603 +365480734 +51317584 +428858990 +438592054 +479541272 +1139188350 +571689588 +1698338055 +913777895 +29359949 +417949627 +1959518345 +1563746560 +155212408 +539341241 +669349750 +2073814682 +165372478 +1281803320 +1100587390 +119219494 +143983268 +733065106 +1409697045 +452293030 +1510978274 +983009558 +1727095388 +164750503 +1393355083 +1593124343 +530231238 +1444672667 +2021983334 +968823292 +1924213939 +1013688036 +1540512880 +1475068346 +1927465931 +1569872829 +1893017973 +1739500629 +986135742 +2048230382 +131358222 +1655485492 +1974561416 +296730700 +789805164 +927665158 +415950194 +933788432 +1660730264 +1825647239 +1386081462 +1024224891 +661173150 +965693202 +1188975394 +2054528233 +411333898 +1719206632 +1351717252 +285833584 +540546277 +1128447543 +1299521620 +2081059157 +456032241 +1079503904 +1503448339 +201566567 +671520885 +342100433 +102313301 +802879107 +1997585925 +2076874717 +1099609807 +639907441 +857056228 +1515560002 +1573695873 +370302844 +1193723593 +812293687 +1394527735 +1854896743 +1777986890 +436019482 +1761941328 +41837140 +7742466 +966174932 +327670724 +548288743 +2094622475 +1627192344 +481864253 +403171069 +559212600 +1985312592 +604737636 +1230733485 +179929377 +707050937 +2033612592 +30031654 +636442006 +985738752 +669939095 +1493498234 +353815106 +96151320 +1863801079 +1547538699 +908445007 +1110845166 +1254951795 +538948249 +1546864648 +869409475 +580785389 +1554607115 +1835584408 +908456113 +2102895858 +1782723235 +388164810 +437276463 +38410656 +947377410 +275105407 +643148292 +30627248 +455034784 +1350199229 +2064239840 +485066438 +1986641236 +902494944 +1155005533 +1332655822 +1256310050 +1251156853 +1048973253 +656365102 +12118213 +12334772 +1911316897 +551066462 +1559199420 +633242724 +1131851852 +966322887 +321343484 +2040307965 +921735098 +2104066720 +280989127 +1359011561 +2142477376 +1228366538 +1634116969 +638142021 +1258993786 +2089151753 +1988341250 +1175749978 +426734544 +1827498838 +2078244923 +1581740077 +1012671013 +1187071325 +685413283 +2061644266 +1843436427 +697531496 +2073979038 +1607269676 +1248597958 +1485694811 +93028753 +232966162 +304534050 +414372237 +125790480 +1226269148 +370955309 +406779607 +437797062 +365949038 +1635146145 +2071914031 +1004091059 +746656283 +2013582136 +844948661 +1922406262 +292833032 +524963852 +1853167537 +1874573110 +1537634865 +892755214 +412502745 +1451795483 +588707994 +1110034241 +1378290874 +48494022 +211148551 +716502037 +141522775 +444114714 +1021036087 +555895013 +569905194 +99821588 +926850322 +976684801 +537618650 +1292799360 +464347299 +462049033 +149406771 +1211003582 +328147521 +994355433 +985926196 +620980554 +1519319285 +691610085 +348070016 +909470502 +1584365300 +760572761 +213782337 +25589646 +1870607002 +1592073211 +74083668 +2081755553 +161091600 +215606444 +378386619 +1182127688 +771501457 +948291813 +1281949276 +1698351779 +1924976615 +1819567926 +843667492 +241840266 +134133311 +993074263 +1452843848 +462280832 +1987429696 +291286397 +1083261386 +1359265333 +982896482 +1431331402 +121252187 +419778134 +44420515 +335034525 +445367780 +1915027517 +1927107736 +519451449 +1849299423 +2088199337 +735057893 +80202394 +1122843377 +1506559350 +1028494208 +257309005 +1057427481 +805987175 +2076876931 +1901094973 +1047827441 +63526594 +746685589 +353187641 +525807426 +586631637 +644474038 +1609068813 +1945896971 +1627370521 +892916567 +2067149158 +2047148655 +937337083 +254700035 +345032788 +704880952 +34324124 +864484237 +406696727 +2122523461 +1599542130 +486899122 +1097883190 +958617832 +1515393330 +1355192195 +2016045313 +173896857 +1284585478 +1769656639 +1221724298 +1348112072 +368858580 +1574911939 +1873919498 +955490217 +71902330 +1335504663 +753903540 +1699272851 +80937583 +673569051 +1598937858 +1018274666 +928269086 +1943970646 +1723155618 +962593210 +660971235 +2129852346 +937633023 +113029717 +469267820 +2035516213 +1071647549 +1984661150 +1243224760 +940209215 +11074359 +380326590 +562382206 +1232798657 +1728438662 +931240786 +660226948 +1454874513 +1886731003 +732129278 +642895528 +493150896 +283918481 +723833111 +1166719947 +1882856340 +1742107777 +2094989033 +1679343338 +1317779748 +910098596 +192830926 +1300148446 +1847731619 +305860643 +1769416266 +1735764185 +1377508193 +1606593768 +831505297 +170233760 +1617668127 +1211831888 +732615966 +702983136 +792786902 +1663856752 +1363210084 +100177767 +1403104107 +2095339363 +743073296 +1896255003 +231774196 +1466906407 +915491302 +2114630536 +1061530537 +862996688 +1646490227 +231826637 +1773095284 +1839321153 +1531975083 +1473343255 +2145181796 +1153907701 +1061623792 +1375206341 +613017821 +1893129090 +1545440101 +83202300 +957477330 +130572419 +786185436 +1750264232 +1794429171 +1911872 +1850442000 +1050049631 +2097251235 +446031648 +798820986 +181541784 +1912938055 +1714312289 +148688672 +826984944 +429825329 +1795178899 +1058811581 +55436965 +1487016404 +443303016 +1528780220 +1484714553 +1597210717 +442920365 +712437246 +62744890 +188565807 +110393700 +145947190 +1146043137 +240966119 +932132626 +748823721 +2035395291 +934044499 +451782073 +937961274 +883812086 +897813721 +1736782260 +1065353870 +663268129 +1303610901 +1214042543 +1490253073 +1733436230 +861737794 +401581007 +1788873195 +201270551 +844884023 +1170169768 +1685985104 +294611093 +1613090133 +250938702 +357355983 +1801655940 +361332402 +503303174 +800215429 +602298522 +1435435800 +1549039150 +490210165 +221996651 +2000821224 +1428171439 +1105808738 +751151297 +1017470051 +23678960 +1414419426 +173597305 +1237721503 +757188852 +1907033535 +2099459298 +1158769859 +1548423083 +153246201 +2003653882 +571109203 +1839231305 +150781327 +36715688 +2090170007 +508137311 +1838371628 +304018762 +1011440485 +491103409 +906317284 +299392637 +2040142559 +1396527449 +521389289 +1893480135 +677215240 +1627198027 +497147785 +1694685291 +1650876987 +1911567211 +1868282596 +741114843 +521272415 +1627832484 +693090493 +1680042274 +1028771919 +846336694 +1536212509 +1599881122 +538084351 +1686993836 +1636596810 +480770710 +47647499 +1327484790 +784789472 +1059087984 +1818588199 +1691106756 +1358480622 +1711247110 +940150557 +1879869911 +1457243598 +1617365797 +1359584290 +1954391383 +1164567441 +862977629 +1718474946 +885366389 +1604092472 +92263714 +365715225 +149699317 +1772305988 +1394487144 +996036011 +1161034849 +846884618 +1534120362 +700545038 +335997780 +2014891073 +748192537 +1663482570 +652196897 +1807280522 +1334587121 +195820006 +1018277496 +898350584 +1135970563 +750663759 +208110534 +605852713 +2110248049 +15018269 +1770420154 +825742030 +1733493215 +508302895 +282350855 +1825756929 +874018121 +432050172 +1450579270 +121021617 +1428086184 +464130471 +967906236 +814722898 +1164675509 +1303904016 +682130323 +1912868047 +819902939 +1334327221 +1572664921 +7006412 +1530147227 +443458769 +905356996 +518634142 +1194122528 +1113467530 +1124486855 +1156886929 +1128485799 +747423361 +1982628959 +714495367 +1255726257 +117496166 +392768648 +2129744378 +549546339 +1843347918 +103282347 +1977632523 +159994742 +1071188583 +644871773 +1324670251 +227608952 +1327002097 +1090054650 +1047511891 +513845670 +515235923 +1054518303 +2043992897 +958694692 +1959875300 +415143391 +5333572 +925859182 +1539630247 +1162220501 +2054344982 +139569960 +997365813 +621356701 +1395296217 +1114861979 +1014125349 +1377556947 +1664408318 +709989620 +1480839295 +1494557193 +869984362 +404544230 +2139428967 +47170965 +632153182 +1318947416 +1137225616 +1679665073 +1832793086 +1652461539 +586699729 +1729302335 +463672584 +399091381 +2144445726 +469006156 +1324950563 +1536592325 +1631226658 +1231811897 +1676162286 +481108823 +1853168598 +923974855 +1595970802 +719810300 +154048155 +1112895473 +1429799920 +1634887450 +459969018 +152300634 +2039431680 +451914337 +199471599 +524101215 +1770861753 +1336697215 +56282640 +1456171191 +841675107 +642982369 +1037989878 +1305347691 +1042073750 +1034951957 +1774353847 +219540666 +424060634 +1258096857 +1451352563 +2100222920 +1739205680 +1157037514 +876714128 +1187692835 +1876847814 +1030762283 +153104660 +1159164086 +518166085 +613073678 +1311464720 +410114117 +1064988016 +1510936319 +934215332 +688366121 +700149887 +990497973 +2144537313 +1541824994 +1633480342 +1035043543 +699689037 +528070445 +2069995500 +326559236 +747611111 +346572487 +1584656094 +51480026 +299311759 +1176378126 +1208517540 +1176025887 +216587313 +937881706 +59304522 +369691973 +2097045792 +577470607 +982765652 +1261026864 +987584725 +2047753668 +624479536 +1921800057 +588636141 +1324629423 +764814382 +585689806 +718970769 +250811077 +1620733350 +1418659806 +778881522 +1543245202 +1745219042 +1526492633 +1889817689 +1182391488 +1577972659 +41645801 +211285967 +639006552 +1217671688 +427873280 +1576888258 +1276976211 +797565254 +1526450403 +1854446818 +1780330906 +639993619 +694547895 +1680600926 +1264473155 +468864305 +121753419 +441618930 +1233678687 +707443226 +1160589699 +1484489764 +180692928 +431765857 +115887638 +1723938130 +29501252 +1642380271 +1466272172 +1211892740 +1072869283 +1507917973 +1423178707 +1711875835 +578106013 +1851051988 +1141280445 +1855082224 +501133594 +520247200 +1562045395 +133980852 +1160240820 +109109642 +1814581778 +277230327 +577973947 +1936335197 +718849258 +1811652635 +496294775 +1879438957 +1148658751 +676987703 +163721167 +1264546390 +253442186 +193222419 +759443013 +1719714358 +1405115159 +1832312296 +1080148683 +680810219 +1396704483 +1658254696 +384378559 +390501281 +1365853273 +885512153 +910748481 +780415020 +1019493005 +2070989301 +889524662 +686591135 +200735981 +1467498610 +475442684 +919585239 +1131667597 +971737460 +651540548 +132842700 +1648725163 +815261715 +1397389090 +1902167349 +1008484134 +9348456 +1474398059 +266115646 +1841660752 +407063094 +946925865 +1090881588 +2065317791 +1331304424 +1481382869 +1283687416 +69332929 +244647702 +2064102436 +1088825934 +168153356 +806143450 +1775417069 +368889337 +126158412 +103376105 +1288474576 +1257826009 +1075113565 +1940015124 +1390668710 +576355081 +607793192 +640574152 +331038782 +1616277326 +649922608 +1805436842 +1882392972 +344099713 +65016288 +681835189 +1434981301 +2130334079 +2013139613 +768880522 +1266537847 +2082472542 +1013528224 +1183156635 +1023814828 +1181681580 +1989300086 +651748249 +1550570917 +2115458498 +755124355 +691561845 +1225800860 +1830237920 +484093322 +468985922 +259109353 +1091886514 +1109560074 +590148136 +560680192 +1759482683 +248101330 +295589517 +2103582396 +313117618 +977424706 +1391080049 +295968050 +843080672 +12476923 +1562505897 +778069566 +1026005147 +598178885 +1801884395 +60203080 +439995323 +306148996 +1610773997 +407970173 +1061273351 +154852195 +1633771033 +744027624 +638945517 +2102756955 +1003136977 +1730832031 +1064833382 +1593285113 +144028575 +676832417 +1841386443 +439618092 +632931165 +7020414 +1417042799 +2024011214 +302988464 +112639823 +2036488137 +1865494361 +890709389 +915009636 +316189598 +545110136 +975212716 +756184921 +851259133 +438503066 +1164155095 +1912532484 +593355261 +650442480 +509076460 +1232300778 +605715788 +1512213438 +815649161 +1670549170 +958014903 +959677736 +199897939 +651917699 +1399295829 +832829104 +658938113 +668854980 +709356670 +961926577 +781494803 +598361159 +679937290 +1672204192 +1513370795 +996126889 +69830681 +341099864 +1752311810 +921089814 +779602930 +768983257 +686138650 +1372958191 +1419425738 +1195215111 +457775321 +2025141526 +559944901 +1273424482 +1548207048 +1517959804 +85618570 +1748104987 +22393855 +1484914399 +433450443 +681331968 +6285731 +1142807113 +1643258545 +787780534 +1741168272 +175712188 +312501079 +1107055419 +1171839077 +382331760 +1448155283 +776667239 +1303421574 +80274565 +1545650497 +1989560224 +1453232756 +817592587 +1037291687 +1911008077 +695250465 +1597236588 +1036948911 +95973865 +967712745 +1122567482 +1844078852 +990106600 +459998233 +130045647 +1671438569 +466283965 +1272852760 +1167213466 +1254064499 +866537384 +1342925654 +1566565578 +1973592803 +367281083 +1948897338 +1274264439 +1143948323 +1104835264 +1354539004 +542115172 +946911841 +660288113 +1359707759 +1984203528 +423812542 +2054958224 +1433956469 +1460761454 +3448441 +254185566 +435845288 +1847527293 +1244292166 +895843521 +1977572940 +768247087 +1362127486 +1102942052 +1935460554 +468708338 +1969479436 +1130902560 +2035273916 +1795588591 +1498183644 +1836687607 +922369382 +494648319 +794039223 +129424739 +1036763491 +1740951064 +789712852 +248987602 +1577670945 +1213525394 +156462178 +864143766 +526803200 +159910619 +1118329332 +962648488 +2007437912 +215137850 +1858492010 +1837527204 +983384938 +1073135848 +792985608 +771361844 +1541844186 +614981396 +1902264404 +1429634455 +263086339 +1252964400 +1118838414 +1185455722 +1747612719 +1912877637 +1314880461 +636892562 +1506345054 +2104593313 +885880164 +936532351 +1170635059 +1042342342 +1800676117 +1697438260 +1202252961 +771521801 +512603100 +1062207225 +986659651 +223611462 +752250781 +1970044589 +1296747311 +1545236389 +593922785 +691107849 +12734137 +348703542 +2120742304 +275820477 +1601667942 +1092097070 +1461276199 +1201797014 +857491060 +628673012 +1838689576 +216352466 +585782677 +577086093 +1152884817 +1756417736 +1619428435 +806077286 +1306372348 +674197749 +1577599087 +1818975449 +1736404974 +416775090 +2042586911 +341172108 +239336032 +1191850574 +1886408497 +833258817 +1882958424 +1899142635 +1181962359 +1856217080 +27479464 +636146654 +800830503 +1488755663 +1837943668 +1658321563 +2117428675 +1529149596 +1874674029 +555727704 +2106235689 +880075198 +164661792 +1578180477 +1686152484 +1471034141 +104894578 +1116267923 +1142525942 +1841299552 +1533043013 +1037629205 +34988012 +1772379045 +81996132 +1921396510 +458154215 +1964954556 +1673055497 +1640116574 +1673687988 +1700534961 +128779580 +327034843 +1041806976 +1966723248 +1985356406 +1011752003 +1348389197 +1712546787 +1567479707 +1307141238 +445138337 +1732141499 +737838067 +2131290821 +1055691992 +842732645 +1100075096 +50734286 +536548550 +485634462 +1088363492 +571536562 +110529859 +1170359624 +345449424 +568684074 +987830532 +2018504921 +61317001 +514034872 +1571556234 +190096581 +841069716 +465879562 +9336182 +678942474 +1477631565 +1357725379 +244005614 +897627624 +517382969 +689143951 +482285476 +1255221037 +672951125 +1537977468 +2097953682 +1773026221 +1588711755 +487018584 +111177035 +529591599 +1058555147 +221706895 +1699951223 +1404004571 +790390969 +540298107 +1275025845 +851707970 +1054332979 +699098431 +1041804552 +1895402695 +1164977994 +1051140734 +426861522 +495125911 +261382465 +670867136 +1392753536 +778765434 +1360011087 +1875039012 +2033986471 +2032962212 +1265532832 +1984456506 +1658504786 +706760939 +323991442 +1769681821 +1236352538 +1382546589 +1991388716 +788820113 +639067513 +634296038 +1329118220 +1914093358 +1486004008 +235967552 +465708141 +380324912 +2131370247 +1630686135 +1431465646 +410748121 +2125812047 +1692848111 +1081615257 +1371081935 +324129898 +294142697 +1098637299 +210632721 +179621261 +216686483 +47605579 +1838126047 +923447423 +371597022 +1460324221 +12316313 +1754143611 +1304229289 +801136427 +245727476 +1938525327 +2130254647 +12337186 +1277045688 +218738551 +478045328 +1657370600 +202625151 +2108731463 +941352599 +613373272 +2087059862 +486717062 +1694988530 +1310658149 +810846960 +1989131227 +261811800 +1021479682 +21268840 +478498284 +1069085261 +1859394888 +1401945707 +1440682283 +1172235461 +1414262020 +1047342247 +328981102 +67914799 +1293069723 +120022782 +50685799 +1305406910 +1397068470 +269424350 +1783452238 +906955422 +472049501 +1744700053 +1848308021 +1085422774 +1684276268 +187541436 +632927656 +847450769 +998388396 +474575235 +1109262570 +2019868078 +495844075 +1587760854 +941469692 +207755315 +842222913 +234668327 +1379990776 +109001285 +1282010574 +1708971879 +176916085 +427596650 +1828994661 +227601884 +1733003560 +1078579483 +497026234 +1368972150 +1985534905 +969075736 +966188555 +1686359279 +2054498510 +502981175 +1873900715 +539942518 +1350431945 +724805463 +1014517753 +312210867 +597189894 +1510361828 +1899971721 +1538659586 +1718117144 +594710986 +1773327913 +950624272 +703712271 +907854840 +512112503 +880628356 +1335451490 +193623516 +1108230240 +920971402 +1272202999 +1605256475 +142459904 +1110254257 +426848563 +1108648459 +649129888 +333863425 +1611629635 +375546955 +873805943 +814577932 +1100352418 +1888323696 +1126788799 +1697542312 +1251201876 +879276872 +1088718250 +821835372 +1473987858 +714562516 +1772459645 +30216481 +1622417356 +137088500 +910844838 +810385198 +330712017 +2019075078 +1731356600 +1602915016 +1476847905 +1873816504 +565685625 +1903696468 +834981315 +1214815513 +90076245 +299127302 +1590362468 +963882188 +1113705234 +543231239 +704722236 +93010385 +93289903 +1955924113 +972287257 +1182008154 +630275837 +298791467 +1896570670 +255251834 +329007949 +1371504378 +392340335 +1239852787 +34405928 +723052352 +1111444217 +1765762528 +178483720 +440808475 +1492095384 +744169346 +197021295 +179593051 +1958984859 +287097541 +478720354 +1401863680 +1250979729 +1592425588 +1945094919 +1955701966 +1685435974 +2038384822 +1764142431 +510239583 +1072909328 +246934620 +809031051 +821996350 +502186455 +1138039000 +46017080 +894526790 +230408139 +80423008 +1617579142 +1341852356 +1846185536 +1796062862 +1782660831 +1190797272 +392748560 +1979682127 +1370390324 +204249772 +119296020 +1849110678 +1606113452 +1370275749 +1294052618 +1403724723 +1178494067 +832004944 +1294625897 +795152850 +1342244528 +220051578 +1042087471 +3791931 +1042047928 +1544273926 +1141830931 +1088065009 +291317068 +1372239070 +1168488017 +1908896210 +566607778 +867189906 +1557475424 +201784962 +2057987178 +1950223985 +33983441 +1280893854 +6990109 +153279461 +982520884 +1613103561 +1523555210 +129089855 +869344636 +554565630 +961094799 +16486885 +1349718480 +155855679 +236538463 +244322303 +159647610 +1278586392 +1788596229 +1301478541 +219167753 +2079913297 +526233963 +1387655770 +1841325859 +1092841742 +107362028 +1251317636 +1294626704 +17865559 +1054057973 +1328610145 +1298759413 +1061048082 +1481889606 +133796650 +526667995 +857961168 +262886505 +1396012631 +1412526798 +1223981304 +1412499516 +614761631 +1379836984 +1649037980 +859083934 +1539484594 +780140724 +500196516 +693479488 +999308477 +432626165 +1219713451 +239480599 +126468377 +165071545 +346842628 +1377786013 +1459698249 +364708187 +284360338 +640824746 +1663467600 +1345408420 +2122714352 +1797264250 +1872076415 +833191873 +2060150755 +1120605398 +98235023 +1136648412 +385621266 +712996654 +369001748 +2034659246 +1572080589 +1908486342 +667316322 +2072277105 +454482182 +1666624799 +357419622 +1674195634 +1906105399 +483887999 +1839267179 +105464379 +1861674012 +1151481781 +470172566 +2146034350 +1792306527 +2133640166 +1343959122 +1767537232 +1783420769 +1068551889 +453245457 +1696087876 +41673639 +551480480 +685252640 +427294906 +1264477135 +1054254388 +314470504 +689074076 +815257083 +981786827 +613867533 +1269739265 +500927978 +971287155 +796451251 +259549729 +1455175155 +488234783 +365014108 +1169365519 +1639716564 +835186674 +1167916222 +1284539443 +821343193 +364391696 +904593027 +457280314 +1432943586 +1357838484 +5884542 +1474617225 +1909318965 +691137183 +1901912131 +1026312452 +1745391571 +68898988 +1715386528 +413165006 +1050685815 +181770413 +1682904272 +1551613793 +1153057568 +331871875 +1811163523 +460749075 +820106658 +28693983 +1630114595 +312339574 +863880658 +650547169 +1596879018 +1685223851 +1014938865 +353988397 +2142504165 +300398803 +1711826882 +905059 +1775016029 +1473662199 +692042242 +1529444512 +352491003 +289950166 +1598343500 +2067877531 +703115172 +501545667 +102164296 +238535796 +2053159461 +1255221864 +570407672 +1716839336 +1715970940 +1390514330 +1745533319 +1198601887 +1702853905 +461930329 +1849149056 +1152249275 +2147154180 +716604273 +1506237672 +2142174697 +1017003077 +1070580906 +2143079757 +644535458 +396759457 +687638351 +26496322 +749250460 +977588517 +1624839823 +669644343 +1680703690 +2126385490 +771808639 +1919239486 +2032061303 +2027030504 +342163510 +1601416991 +1595517796 +1732677841 +1199466663 +646636035 +1288048098 +1661396992 +348301443 +292813725 +1661067525 +1064905716 +1799051397 +1655758574 +2081908793 +722148656 +1651354683 +578960603 +1118908113 +191509387 +605456926 +1868158574 +1169097904 +82813101 +390319269 +702317946 +61714943 +1162127909 +474073785 +2093776247 +1041674765 +816237295 +1547709590 +489708913 +401431488 +599692605 +1136344948 +1689479586 +113605950 +1484646391 +1982293311 +1774673475 +402068459 +1633861061 +1282948401 +336493605 +208526069 +786819437 +915454208 +1327434182 +978328824 +1520911134 +1048109108 +2147426728 +1603724235 +1438428378 +702261027 +1665439179 +453072639 +1176334812 +1611731778 +1494747404 +1992572107 +1011957720 +1984456317 +246519948 +1611650326 +973317617 +1935999534 +1725256276 +310480360 +1770809198 +1352446103 +712548819 +1257186611 +487910856 +1049042424 +1465712680 +1274730293 +1964496633 +645663214 +105575469 +1337924119 +1693772323 +105518550 +794164707 +984717053 +807779577 +312120238 +1437789692 +1984114389 +1923852016 +785053448 +1829202848 +788326088 +622026117 +2075722796 +252492766 +1595343734 +1864238683 +1977749042 +1905824094 +1487564233 +1182711497 +470889265 +597267196 +1670622354 +1519931690 +2062979876 +797868999 +1336944675 +561159442 +903444469 +527385146 +107448117 +1008963019 +1321549853 +1092165170 +1816742596 +1633670091 +382471214 +1653373337 +1410038459 +1167524662 +1335092537 +50880900 +1789550779 +1263331686 +303373666 +1237410865 +980086721 +133639061 +995751311 +320167306 +1316350558 +1466640577 +917434502 +839489264 +839088619 +832930730 +1637358264 +28549646 +1394090172 +393319085 +555934792 +1501538290 +1402282104 +1877484646 +446219812 +1071541052 +1363671089 +828691027 +577430741 +626225901 +1996215689 +1912523278 +677106801 +1638282821 +1028371316 +980480467 +728210038 +2008458037 +1114119528 +1723961350 +181141695 +282986439 +1043118279 +1098576197 +1122475703 +1882206898 +1931506927 +612350319 +1910756544 +1178113452 +1005669404 +319207688 +532168094 +260467860 +49208686 +978387906 +1332008912 +1412879776 +1807078933 +1909439653 +2039105677 +1655810975 +1674479284 +568728830 +1146610148 +555366952 +1549209297 +1874820186 +416341342 +515845178 +1451297888 +597483037 +798831617 +346932519 +1696059235 +1921307320 +81655769 +1480082514 +386173992 +1992412313 +510712318 +1391843396 +164136354 +1042880412 +1652311257 +213345040 +2021268319 +836836521 +1626224816 +1680863604 +598792527 +1517846845 +1189190931 +125788163 +2086575675 +188317431 +681155115 +1488301325 +2063137618 +1097496457 +2004146503 +1366951858 +1694979495 +655494472 +1713884378 +1243555082 +429318144 +1795540147 +576153948 +815492136 +1640468813 +1086866267 +59851885 +1804605167 +2129746679 +1712163142 +2017950207 +2003531350 +401516015 +1496691376 +1536911307 +1000308542 +867054573 +578618590 +1126096705 +806146601 +766936022 +1807251821 +146964278 +682589992 +757264630 +3627133 +2049541850 +304760477 +659121605 +1615942580 +1548315559 +1088439749 +1263999080 +2124469508 +1903931886 +756984245 +1063852127 +1963783771 +414105764 +1046115158 +1528463265 +284572323 +902162861 +1929979280 +1781263699 +291590520 +782804175 +500834625 +870209110 +1908900880 +1306981226 +1637145132 +1568669053 +1453945504 +172251476 +178450036 +1457572637 +74309679 +483210513 +2116694242 +1690252259 +2031526073 +1057650343 +806767691 +2008511933 +814098581 +1563751936 +924880412 +630398704 +1977857700 +1970995570 +11378321 +114946376 +725674783 +1941357602 +1896210075 +1017265303 +576678129 +249561052 +1887474414 +338095361 +1556542278 +1377135898 +1906764415 +863004134 +1549387375 +2085214451 +173093123 +1623697054 +420941316 +142303717 +1166465665 +304983741 +1199954061 +1973233357 +166012026 +2014052642 +1389501645 +1090892438 +496967699 +1219875698 +914404361 +508346020 +1334822074 +1640079144 +302219974 +1083548501 +509860800 +878898103 +1333109554 +249851566 +1216993465 +742168184 +1626987464 +976274232 +1605172319 +1028891191 +914005035 +1778265442 +505104597 +1334946351 +1920569160 +1671570263 +1639930093 +973039573 +1497319972 +1805942119 +839608567 +739337969 +749350910 +1336576266 +1959213667 +1663755271 +1844922287 +1146552093 +1156350767 +2147142261 +82616947 +1666211567 +878556717 +1415726501 +1916063133 +2095550182 +10411037 +1395566950 +924340766 +1615583356 +276974493 +1838345801 +1246365151 +782079091 +1025808504 +1019450663 +306165706 +518254949 +1992490236 +1803485678 +176713421 +684615155 +395339999 +926064331 +2021191422 +207070019 +442335954 +1718630061 +1353622112 +1598686721 +1718288674 +1436239059 +1117414641 +449361743 +704481912 +885994126 +397428277 +714892950 +134077428 +1321769043 +182992658 +411051922 +1012631196 +1429357809 +1193131013 +2038439701 +301324824 +1499296719 +409211002 +146331412 +1155298749 +585924423 +830946568 +1550638748 +1511988754 +704654342 +1757708767 +1954324708 +275800755 +963847232 +1405527782 +1994089429 +252602643 +375458775 +295967525 +957084556 +1261452901 +693395802 +1671977506 +1395530330 +2015164846 +1854970164 +1806582252 +880312394 +1136844326 +852229617 +771268447 +1438169150 +204042688 +1180479450 +1584500563 +1359341437 +1766403873 +267963483 +762496537 +1130908980 +972617825 +372721657 +937750040 +1248418580 +1336568889 +195794174 +1095024361 +1589171532 +571252949 +1390991886 +398772440 +1832705851 +2084387689 +2070749946 +1080752533 +1952068887 +1778236463 +739851137 +684897633 +767597141 +1592080754 +1456166081 +58282643 +1796123442 +489161883 +1642783206 +1007981231 +108082108 +1910746689 +1770477768 +1238991088 +735880866 +2143199425 +29257481 +1984299446 +1332284666 +225051655 +931840160 +773972551 +796304605 +175348398 +1172744991 +481526808 +112252439 +1096011290 +1562279341 +2064321326 +726764105 +154646830 +601735312 +1494361246 +1746727584 +2057901393 +1552643889 +1395367378 +399579628 +1047943448 +255864961 +507661736 +811206489 +2026342729 +1746652825 +1547087356 +2022058507 +1775910306 +1383903154 +1206859525 +2000961961 +168259666 +1980832076 +649782918 +343608065 +1006093420 +1131309726 +455860504 +2102104710 +546105419 +372698183 +681385167 +700752249 +974433495 +28262765 +299996185 +884851240 +1580906654 +1695363563 +1284430868 +481366454 +1951228524 +1792092604 +1292572944 +1830087606 +1391261781 +692176652 +1704662465 +1019688439 +2076079806 +764038342 +873166753 +96855825 +597386771 +1522949671 +440463890 +1603480191 +506775750 +896324394 +1558101253 +1052881169 +1269022577 +92002772 +1753633419 +95972424 +120265537 +2053629604 +980823664 +1701172191 +1601509520 +117770884 +35054998 +1405254396 +1909863489 +1327627942 +1087858354 +1153641622 +2019804594 +645037171 +25846414 +1948400752 +1409075514 +899013167 +2045256577 +2006462285 +274479190 +338236819 +1462458828 +781254940 +1234561214 +873076433 +1834136110 +356100143 +965079205 +1440285881 +452072568 +1085344742 +1346431837 +1432896232 +639033285 +800457709 +1550667117 +674088283 +58228458 +1313046958 +2001716225 +1146086812 +319204932 +1874037171 +1791123984 +345051346 +1674954276 +1052715850 +1244064513 +1572727205 +911694487 +1518543704 +1910964025 +226669667 +152314996 +998041591 +1099746100 +1986451106 +1354141734 +2064825305 +1279253339 +1806214302 +1002686399 +478201529 +1091626887 +1641719684 +1278659238 +494810356 +168324320 +1336887696 +1807857314 +22556897 +335490861 +2127062246 +1896594069 +2126614845 +324629945 +1424064697 +1031847047 +1568694458 +849308254 +1943541534 +939754514 +612788631 +22727553 +1092069511 +1610830222 +1122473653 +931036969 +817488309 +1039815310 +62806661 +476218963 +2042501709 +541008190 +1567845850 +1536737745 +1819667428 +2062656206 +1705062065 +1009071477 +1723029872 +1727618963 +1344562338 +1702608471 +1476729384 +1323693535 +2027238416 +753310433 +208056934 +1448449226 +1602618687 +4114820 +240720093 +67923671 +26842373 +1332789604 +1678753893 +1149316026 +116342925 +348758554 +41647688 +179149586 +824977518 +2084149397 +720157776 +245339720 +1473403494 +392341557 +160512279 +1030981912 +1401413034 +1883542151 +611117227 +598491724 +1438666974 +2087846611 +1922185259 +1318421742 +693673396 +2130242193 +619387321 +148808435 +2134357013 +860107414 +216732106 +13715738 +45413370 +1895486000 +1163031764 +161756295 +96760906 +1204679452 +340905882 +921738424 +1141345201 +1061063658 +1167078145 +467265047 +1453405215 +1327590424 +1498246959 +707334601 +1063648927 +2109364186 +1305826325 +354832254 +2049727149 +1080527936 +1673253996 +595916897 +1063286481 +145157669 +744725333 +1050159846 +1005265083 +961457439 +1063875584 +1050678453 +709459791 +79423700 +1212434749 +806220698 +1284103152 +1553340631 +1727959122 +277964705 +466920641 +747553619 +745229753 +1920325857 +2075144043 +95993064 +480176810 +991309323 +57873603 +1786003136 +1346141577 +2107600752 +719047424 +871911925 +556034002 +1782333906 +1017069595 +1300759335 +685010104 +2022334678 +114733126 +1748885689 +925529484 +824192918 +1828309389 +2137964233 +1630413616 +964928894 +1543821216 +1210889090 +1242893599 +2010741857 +1958442710 +1988123352 +1783584066 +1886103105 +2084116417 +116277229 +729928780 +2141990020 +1902280365 +2076070357 +2102107124 +473844141 +800498635 +510657478 +108694399 +1817568230 +1811416813 +793704504 +1692419260 +1926149940 +395106545 +470465096 +602859210 +75932286 +460945681 +85789178 +1040861180 +2004766897 +1296678268 +136271132 +1868025107 +1107637330 +2124394484 +1504125525 +846256788 +2061027253 +1620402754 +1576185568 +2055533625 +1375199471 +1504772278 +2010157102 +1849043613 +157787265 +373330932 +1957738012 +1975355495 +37264098 +603958868 +1520291107 +1963414038 +999065413 +1990756204 +418789600 +1074997700 +304218237 +504578778 +2115858880 +161501487 +1801257046 +104646364 +2029526594 +761410729 +81557201 +1386168471 +1607667517 +2142584454 +859087578 +1036369437 +2050634432 +86803401 +393658067 +1913307886 +1935847014 +551445332 +139155170 +1746101379 +379317179 +176419268 +202576599 +1899608287 +2139833306 +1201642013 +1742880843 +411139258 +129156065 +2047099080 +915718036 +97531297 +61116919 +569491435 +202177662 +2090643513 +1330902164 +283734863 +1329328337 +791086033 +278835669 +40932267 +1827455470 +181986453 +127735668 +73629890 +2095294339 +2063582683 +625075222 +86965862 +1662200414 +1004392402 +263385130 +1864777013 +756517041 +255734789 +918935378 +351914236 +666874047 +1048091443 +251529668 +1582592084 +1145622741 +312646588 +4599871 +1347800403 +255806453 +1335502035 +1631535266 +1585134790 +2126588068 +1910370935 +1626067057 +1806559890 +2092357389 +1753802726 +1880189780 +2040168080 +1669901761 +357781355 +2127133942 +1184618527 +1362173757 +243035425 +901911892 +2118690798 +498770214 +1820847271 +323121386 +1165644261 +721455066 +574651054 +600752697 +1867077807 +887297642 +605352568 +1067394562 +1143104096 +1940854603 +551446180 +580755238 +1919959023 +314333468 +59338648 +1579035266 +259207209 +1813141374 +1311741398 +151891641 +1335559487 +1669522753 +131541936 +372694366 +884212862 +374577361 +1274606258 +855420012 +873347575 +947969881 +1178541398 +2038991836 +1669424948 +1753192453 +492260886 +1389019107 +493006447 +1097613454 +308930022 +1636110543 +890984410 +860376202 +69382134 +663459785 +1174709670 +128720782 +95011403 +1433916879 +1941862156 +1406752802 +1585808521 +1129937995 +928791907 +1717350457 +1502632361 +1813004770 +2091927818 +629754971 +520941134 +817791745 +1577724853 +1699482533 +709299933 +1099666153 +1305191338 +1201560819 +341201612 +1798197785 +151690626 +650131634 +1286824681 +1042675036 +1510507837 +1356206815 +1706134821 +537733859 +1484927597 +1801146225 +1971650739 +1279306105 +1060415379 +1409975612 +261760452 +1989207286 +979842421 +1764392813 +1654728408 +924286591 +246664136 +28185895 +1742078336 +1824388989 +1727668428 +303894621 +776571494 +885376118 +1505455441 +1117773107 +536090255 +1657146067 +1767904741 +1822914936 +552337455 +1130928930 +1031638103 +110988628 +1668662790 +369082052 +1912134853 +1492829881 +1648388157 +825066584 +755321845 +1910148609 +666790223 +1735164266 +1527057774 +174034983 +511967209 +1773721911 +202220878 +106561897 +1450627252 +1929889306 +410456518 +79715099 +667781776 +1915911959 +1197488206 +1203872032 +1425574378 +817909299 +879303320 +1977911833 +1948838230 +1910941424 +2088900462 +1470017372 +132539828 +1853551667 +815363605 +1780927986 +531134604 +1570685450 +1543592947 +1197924827 +1158366068 +923167074 +1371959810 +1670333277 +549405337 +1574180689 +1776895174 +2000032589 +1356586347 +39868044 +2079747688 +2024368124 +1955780004 +1129752246 +1080756508 +1233870734 +1947661546 +1960059828 +1064298920 +1749016128 +1723517604 +1005715734 +1071549852 +1856057433 +711783753 +1886913457 +1489501771 +1242918357 +1310115259 +885611070 +293359536 +320997679 +1808778144 +1665319347 +1991330956 +210699833 +1092016388 +1620742482 +63248775 +301119087 +1660610526 +2142996463 +178003563 +1468906882 +1125265062 +1258760071 +555293969 +925442960 +1071336252 +1619592889 +526975440 +647370208 +477824975 +1598525292 +355943993 +1189608728 +1337955101 +1845445764 +285043438 +500586712 +583573187 +578402974 +821584391 +244867683 +96238673 +665431699 +455567517 +1188255061 +138690533 +518816292 +1489374149 +1799301059 +514329107 +1667377712 +1120724294 +1639594169 +778654136 +1676018263 +417553481 +1849990388 +1148127504 +944528921 +349876948 +1625952479 +395570565 +705820942 +668077559 +1733525666 +403783058 +953120997 +86628730 +987356245 +1531523972 +908213121 +1232223929 +1627762645 +1573644820 +1687791446 +668534059 +1712335353 +59124090 +10424560 +1364152765 +573453197 +1677802272 +337393411 +65563719 +308972760 +2013411674 +483117200 +11479500 +1014055530 +1427646122 +361356449 +492524361 +1823216687 +1067177391 +1160601920 +1409258706 +1470960449 +2113722918 +1495887436 +310833047 +1497763242 +256616910 +1543056976 +978042239 +1830261730 +1083364774 +1646576298 +1395113436 +1142488864 +1657000858 +611782553 +1715942061 +1187319483 +949175964 +1781505780 +1496292243 +815103990 +117139333 +1507771744 +1829159520 +1544785455 +1869128193 +174200233 +1220518494 +788821936 +1334802153 +482293552 +112298737 +1301041423 +1978180989 +423131784 +651321017 +87314251 +1966188760 +1629363257 +1917575981 +902069886 +1128455907 +1165205769 +2044558750 +637973118 +1776988322 +1613017164 +1825292601 +578680638 +1247039296 +1174101196 +1393784628 +1364178629 +534389292 +1075460500 +761480436 +256033837 +1249660733 +1981998931 +1044855773 +436979239 +316808835 +1157154511 +1738020662 +147506176 +1580286295 +241858032 +234820427 +1398991408 +1871221289 +4912761 +153577646 +852193548 +1170118530 +50652749 +1490166666 +799623205 +1663669913 +1167975619 +1378303843 +763225561 +194593168 +624604824 +2127404191 +728982460 +1700065324 +741400979 +985016298 +802242410 +575916262 +2029872071 +1239221649 +892725098 +1039542934 +829758663 +1040231274 +472345582 +1071616695 +1275051702 +1871336990 +795354336 +1279964463 +2024914636 +1647547885 +302599345 +2075567385 +990230903 +1102222550 +1591753650 +10722875 +333042746 +207495564 +205316043 +957647570 +187416107 +934298503 +510229246 +928817086 +1919314801 +1312471656 +1504733349 +1801703225 +404209657 +249974799 +693762511 +1233968321 +1290206073 +1166108093 +158101368 +417774127 +889961435 +953455705 +1697738590 +767392424 +453519942 +2000337936 +695476161 +1443750845 +955076838 +139746164 +1454473720 +1288119584 +347241728 +1659789763 +98283506 +534657835 +446604619 +608512753 +1463474921 +218435772 +1920984409 +820724622 +2020138997 +177710419 +1070699421 +566417861 +1411678740 +213421847 +1732525954 +1569780108 +631195974 +475003742 +375752165 +181450917 +1242396166 +829272107 +34305205 +1937872327 +125539305 +989382043 +2077618491 +1580013025 +130017980 +277376571 +1092319141 +228301486 +812034406 +1538923760 +836814239 +128025680 +1757359532 +610315001 +948750302 +1630014882 +788025420 +2019449724 +48949095 +52220512 +85387923 +1781475049 +1622000620 +716583897 +108995143 +1997752786 +898034814 +1351391309 +679541245 +932340019 +1141779989 +805080550 +1921722063 +1071914832 +237609928 +2051740043 +1349291404 +1329929069 +132557881 +13842162 +721369181 +969372121 +141867842 +331245065 +1579687122 +1090618145 +1961259947 +220228894 +962584221 +2010209042 +272449406 +1047972144 +1644200444 +1894450026 +1764556041 +1753195587 +1744719164 +515107208 +957103249 +276776762 +1447447227 +2098883238 +1081857312 +1221685642 +1023314422 +1319467240 +1125942037 +225122178 +501912661 +1258499919 +238964341 +1223281842 +80388392 +380832183 +1554526908 +1660075514 +1471450328 +1368303207 +1880304408 +286550901 +1231028602 +5270166 +1334523045 +727745398 +1899720192 +951595439 +333457337 +1496955709 +1466702647 +1290560586 +1773732471 +766666226 +1241960176 +708106135 +1988351869 +117790951 +2027573376 +966810258 +342913129 +382002389 +77826529 +581877470 +1605284232 +158214921 +962709654 +1012327492 +1818290435 +286676334 +233147051 +1551111195 +573227236 +1464175653 +1556381361 +1907750281 +44437403 +1308617906 +711862072 +377894741 +658089967 +31081071 +1668455327 +284338790 +797747298 +762931856 +992444925 +638615519 +880722807 +872534653 +1605425777 +1223635936 +1254537043 +1683252307 +1805513407 +712337627 +1841467228 +620739413 +1724665119 +1512274016 +907415747 +1957812170 +915901563 +1480642983 +1274504176 +324799277 +1240909617 +1318941579 +1633417183 +1952771689 +1696836320 +144023502 +1983852761 +1217808000 +428362292 +634116411 +1980739856 +1420807217 +1272731930 +713979015 +145858223 +730674059 +1937614951 +1400395266 +266442718 +1595644710 +2112732893 +2107909947 +68900475 +1689914364 +1472700315 +976316223 +1500242886 +241118230 +309475558 +627263414 +565917507 +1550385175 +1946204994 +51851042 +1355673217 +1495557666 +195874544 +1192042330 +565882018 +624236836 +1826158741 +399138226 +2045044054 +951407023 +1113117241 +43418629 +1682081082 +903248545 +1443813895 +1948523801 +351409607 +1409063140 +1908950100 +420310083 +951493856 +1234166767 +1396626306 +304253094 +1475284997 +1706101864 +931516509 +2041202505 +1109003392 +730237855 +2093053547 +317192961 +78311873 +141444444 +1509235291 +644193892 +765681280 +1187910384 +1043332118 +663241686 +2139317407 +8965712 +706660315 +1673914841 +912214257 +2990562 +1474954994 +1263623864 +1412053702 +1236421446 +1683933947 +216063910 +323104565 +933076605 +520317005 +1798389563 +491694822 +1451833514 +1692108420 +1600698214 +34587721 +1637678319 +1917891175 +112899594 +1779122763 +1279642818 +757093486 +397320396 +320069554 +1800425605 +1060562082 +311903313 +1809391317 +1767222398 +1985818154 +574121926 +1770212960 +1313289501 +1837745790 +1034783015 +402227299 +1374196090 +1250846925 +725331865 +159789047 +1771163930 +376237780 +651483869 +1075513796 +2068346200 +104698435 +1110101517 +1558540871 +2022589610 +1223001112 +1190179987 +1154748780 +1980094598 +1587500383 +1474818334 +1633036555 +500578817 +1786721647 +1294944224 +120317567 +1625056154 +1869066150 +1890530528 +790862007 +1559328293 +777829895 +1193089306 +786040735 +2028676820 +1918421171 +945829782 +1652357103 +147175303 +1597313652 +580387251 +68037855 +1702012087 +1690488769 +1626578727 +1577118050 +766006233 +669275066 +584383182 +598617183 +109291801 +2059201517 +84170091 +609870618 +1698439516 +1379114315 +730188186 +1176012022 +1100696818 +473235066 +1966874029 +512541463 +1251064961 +1012479688 +1298582198 +1132258133 +783417211 +96928332 +637131588 +930592515 +1694241984 +1217518840 +998630370 +1248770424 +760523961 +477725449 +678404826 +1526530194 +1147000515 +1262788008 +2125147377 +1256292316 +1174505877 +61833820 +1866162935 +725461746 +1440948136 +448867473 +1901473768 +394161306 +922102539 +1720864150 +906702769 +25683852 +585860190 +57801319 +1157941985 +1369277401 +154729651 +1795073574 +152386268 +1848971636 +865108766 +1151016639 +950258412 +1625632727 +1628742088 +1628663238 +1004679273 +628258956 +743967598 +982343002 +1884551272 +1918473476 +1044176823 +1603230559 +496451574 +337641311 +2052098032 +250441694 +731802617 +826716923 +1971305844 +1638505386 +852400775 +409682386 +1696306705 +2010342761 +1778959788 +1851036356 +1657932687 +1931346056 +1552524344 +375557805 +934879047 +355299108 +2001190532 +416137488 +1983962346 +858386157 +1044396444 +580446297 +1840729159 +781464068 +351436125 +737422334 +237210980 +847887699 +1075063645 +141825364 +1098329393 +1806866262 +968542288 +922151590 +1297888000 +1820943063 +1331833976 +846711057 +1683802176 +963310116 +550263766 +1194251215 +747172525 +2102788110 +1569809020 +1682051572 +310603571 +1423515904 +2098189060 +147082269 +134418413 +995101856 +727528566 +1975147573 +1776565925 +1078964691 +565086259 +2013776905 +1926852390 +1640149905 +8118621 +877698136 +1299532519 +976660909 +1799849726 +449936872 +650120325 +984200054 +1296647929 +186438853 +1947510171 +1846911695 +1380690069 +547199048 +1802216158 +803015441 +81766972 +2112819729 +79047698 +32472385 +112418350 +213466111 +1027574241 +839946917 +41130036 +656656518 +1918911608 +606216296 +522949775 +1698280351 +98882553 +531068397 +428494839 +1398415072 +1507729306 +80860917 +1848351944 +10365983 +1065060971 +997516226 +196804837 +865087494 +696944273 +1577494906 +1412286542 +351676783 +233026699 +1494053515 +317012864 +312074397 +1526525900 +429431215 +525540509 +406616493 +1269378132 +566670545 +1063273012 +1040806092 +1172886841 +1586222787 +591602795 +1271769394 +2117291184 +1020097634 +522700819 +1477536843 +1100958551 +223569115 +1487902826 +18535875 +1221085341 +1684707663 +883623369 +1918029615 +1114718921 +148426264 +122222750 +1347745621 +1642479779 +439235615 +1659820018 +1021522031 +868666830 +37876879 +1428138524 +2138044962 +604547425 +343927888 +1031367406 +1777434266 +1930150676 +1622970202 +901720013 +1899958212 +495584188 +1424420832 +1230011407 +1596542740 +1647989947 +570430586 +1615078615 +721591641 +107654601 +351218336 +492137608 +1222373523 +499644600 +614360358 +422635496 +2142124379 +1053595973 +2082455514 +1016162762 +1922262803 +2120332394 +296817639 +1912824117 +577396171 +640745527 +796707876 +207346789 +423412555 +272194430 +1109066802 +175887120 +767778618 +386003986 +1405898527 +216837710 +2033993934 +1976329113 +1831916325 +608101927 +2083983715 +35651014 +1100239535 +1158873590 +535295614 +1714599893 +1581509086 +529936346 +620712219 +1516480952 +1546099108 +395491374 +1489329698 +1842916747 +160831844 +2066725869 +336178627 +957539720 +126589011 +759591182 +1229734150 +1235655813 +935478302 +1997512768 +1621659800 +193893182 +66866831 +1508170086 +22738647 +1898783156 +2116272013 +2106722362 +1934434170 +1069027900 +1118112304 +322246137 +636144145 +552137742 +852182483 +1256856364 +2068618695 +250797943 +1652347739 +1410464745 +2093714691 +1813179583 +1329706967 +282409670 +623235655 +1456295978 +1042000852 +1852969805 +544468143 +1977479155 +1702998925 +18644295 +23888689 +1769865756 +1526814381 +46627336 +1521165265 +1495602746 +5866051 +1308115787 +417146998 +1123978355 +1630361924 +1053291144 +1676116098 +335060759 +162663860 +1597251145 +585858703 +1815011599 +860232242 +532089746 +1480707534 +42455561 +814499416 +2103943189 +1498751539 +1856500268 +1809429346 +2043219683 +1686495775 +1364944624 +2061863978 +1710384464 +987326732 +1441194712 +1757011801 +361008349 +789313810 +1762877852 +1669124137 +1206460809 +739372559 +1152002413 +112268305 +268005009 +1487063173 +274932165 +1865256154 +2072921876 +2089943765 +578004749 +457527974 +1423167651 +620460310 +1272027390 +1379627193 +2119211850 +981044010 +1041572891 +2014947885 +520056138 +259033867 +1929328215 +82956954 +1246360600 +1223039279 +1839968755 +1607368949 +2012353090 +1455362959 +1129009438 +1071330251 +47251871 +133528204 +1183598556 +315256880 +1620591377 +1458530721 +33029387 +1546029605 +1400990838 +611034136 +2003557579 +676674842 +1231494446 +1128101321 +2056302035 +1203222648 +2109145331 +950391278 +1070686885 +481717821 +1209425146 +852531453 +564674776 +308302098 +2075570732 +257159883 +1915671047 +1940440174 +1712522843 +897196838 +864286777 +1759774714 +1030725042 +2047885333 +2075031594 +503832771 +1358932407 +2108060981 +2049862376 +612439597 +571611469 +1905936307 +1289114439 +1803105916 +886553980 +1197932826 +858844916 +848215663 +840457 +1929531802 +1329933485 +1210265603 +634579607 +1894608261 +1518567701 +562666691 +4284496 +1286755100 +355623218 +1716807339 +36468290 +1219909995 +1329098405 +1067193332 +1120311681 +1256646352 +1571026103 +331760440 +1217223685 +1473404831 +944200037 +1788835155 +1231857490 +85830829 +1444457423 +2118411470 +1283763655 +155818691 +819143486 +1284604112 +2085350493 +1593323 +347386067 +572446452 +1896201584 +1865953768 +1135113144 +1900486080 +1005225221 +1490736362 +1469809772 +1041693511 +563162709 +651424529 +2108886844 +1683474390 +1908070881 +1532429299 +2015234830 +977810919 +858350483 +811951220 +619162426 +2090207973 +897782049 +2063619849 +2061135796 +34062056 +71954892 +732795634 +1318666169 +9821738 +734388957 +1666052236 +582268190 +483106893 +1384522357 +1717381334 +236109325 +242263930 +1060634048 +1705919097 +1283957441 +1623796758 +209859979 +1245360637 +1159787500 +2117930860 +630306289 +1027538683 +948258131 +1488656772 +1839489903 +1567420557 +1431381097 +589788304 +1483556758 +1345033245 +623850360 +1555511651 +2077828879 +1942516529 +1565333389 +664734188 +1461085118 +117931 +1147841081 +698123827 +1717499266 +1383950407 +940387757 +630649666 +942385856 +76861550 +106962776 +1152245835 +1322222188 +1266750277 +1122693048 +1952528477 +146805312 +2070951179 +1293701601 +1986295215 +1490888089 +577599050 +428599871 +826961199 +1922632296 +1052450231 +234989202 +1852977527 +847483113 +1800322591 +370228068 +161084583 +1800440523 +1518069149 +859208410 +1370456141 +754535908 +1799596167 +2001105807 +1696921765 +1876457717 +2108068584 +701683952 +1051196257 +1227335213 +1824377000 +856241086 +1374140525 +1747844532 +2459039 +1212952092 +1091248973 +580058090 +1641551963 +1918210172 +355206738 +546518546 +5715727 +60700617 +1394001659 +1806038318 +430928685 +1555086242 +1458995193 +1948997835 +266811004 +681967686 +556050095 +2066407171 +535589846 +105488212 +1795381241 +496174782 +807172165 +699093850 +1723509995 +484065517 +1555334937 +950166872 +84426401 +1557793976 +15635316 +1175675374 +2137852066 +1657187279 +946401899 +345575156 +56222177 +952117626 +406275774 +1450223837 +610672296 +837204459 +857826431 +2069667490 +638718646 +1124637436 +604151528 +1194768742 +1043560959 +1139741374 +1300256954 +691458552 +1635916156 +2107429119 +1390552403 +1211942503 +444010989 +798403692 +14625727 +528437390 +208714020 +30261043 +1704112765 +199082439 +1687448322 +503031016 +544657595 +1743670500 +1455148642 +950933369 +1046410689 +2065820938 +1788137829 +1904237120 +1988004780 +279372827 +881390908 +444672661 +1474141569 +1924951868 +1584414035 +626914876 +468926772 +1072846544 +586860347 +1859479175 +137305399 +1030871336 +510399219 +151931127 +1559308727 +719113240 +182192170 +1115937844 +918195679 +1869640493 +1618968860 +1462853274 +1465827345 +926633854 +266302996 +364754386 +844971144 +2054440825 +121507858 +685492277 +186330004 +1002898767 +1130164938 +1660471574 +780366987 +567095325 +139902802 +1249293759 +1639941869 +726763149 +961289287 +1777247269 +1757634486 +1471688506 +1929178396 +1169459565 +43318098 +2111370566 +137913761 +961513777 +1833527411 +1756882621 +276883404 +1151871108 +536032827 +543186400 +1516625494 +1381003971 +450143577 +1638133353 +2066496248 +636473581 +493548472 +1049177538 +149461507 +1273915459 +1616272864 +289364309 +375725570 +1108731085 +1016127459 +1337014857 +738494706 +626278297 +661219716 +520189454 +1795737862 +704537814 +484076373 +1933651623 +1666051592 +170120136 +1543050596 +1942934996 +1321991245 +2079083423 +338637748 +691133091 +1312603746 +788781325 +181782796 +1231616347 +1425254906 +675331268 +133310237 +1574716414 +1949246727 +1749583101 +1864080723 +177488650 +710830539 +732724534 +1514503507 +1449325245 +1359002831 +28239575 +1969514700 +1007257045 +732777390 +306107425 +793425020 +251345334 +476227561 +188991968 +46796682 +1798218806 +120591743 +385434430 +341868250 +1433195490 +1174215755 +523651046 +517328189 +451987013 +1198982315 +650638426 +2026703427 +1000745394 +252737880 +1743300503 +1178234044 +963568419 +328541389 +545253904 +265410016 +1687544221 +573493479 +87441068 +547317618 +1306270869 +393548493 +1340742639 +1557616203 +869776055 +1529734607 +1604412885 +520511213 +1650326351 +1989847315 +862379463 +936038193 +1016579422 +1386030510 +1453366382 +1468566436 +437529177 +2104004808 +1347786215 +1438274571 +209259040 +943603070 +469024968 +1172827459 +1272144460 +1014278872 +1438237476 +812205033 +1587772351 +1525678544 +1359522651 +746559573 +1919227038 +552781642 +156692128 +641519445 +2082516250 +1761105014 +1162030658 +1585358953 +1603468681 +2024410122 +373913498 +472564456 +1262956984 +1827279880 +1941130892 +1700486161 +1783801040 +1141433459 +991277084 +1993060081 +2085036530 +1460302052 +1018403892 +1209697342 +327097276 +309157720 +2021902375 +1914869628 +1834836265 +1233941378 +513945553 +1606579655 +1786723021 +670637681 +100615452 +1721755623 +284259047 +1262646110 +1159630928 +1887727729 +1139572584 +1533544426 +212808537 +255045920 +1213340658 +6455781 +1955532081 +849658050 +1147889240 +799325518 +695234483 +1085442122 +112143922 +1713638376 +147655816 +439241199 +2022796096 +22074543 +206627179 +1710148713 +1256015922 +720572732 +1169244720 +895255295 +1391210413 +1269860172 +469527270 +1675469461 +385022635 +1629158198 +1415713542 +1524595219 +1015218976 +1628522079 +1779641140 +81075986 +1634977860 +1587689573 +930734036 +635383452 +239531443 +1625968520 +1720825575 +351675366 +1192123248 +1868481391 +790916565 +1067435696 +1890555935 +997543744 +630100762 +999088209 +1718116476 +1799345482 +1894343504 +961843241 +921722007 +216387126 +489829054 +1306744642 +1845545324 +1905542596 +683856213 +713280652 +1386581027 +316013705 +794356638 +874075239 +1903703279 +1725090674 +1509458692 +2143234722 +1203575546 +1082800619 +347426440 +248215146 +803798362 +1138343005 +1315650843 +546870649 +2135886749 +1945751605 +1545958858 +1706519577 +1597613439 +1292818714 +520879171 +371851798 +1509205840 +1010708225 +1678596440 +1207267516 +768767174 +214969006 +1920548168 +7864553 +530982711 +567421158 +881939793 +287202342 +145028185 +243914837 +282953417 +1348603731 +1326715456 +630379857 +1596818878 +2130513818 +1768722863 +764986073 +529900820 +1757125964 +563254030 +2075859678 +1316161894 +13383821 +1221194745 +1837041065 +385235620 +582916937 +700265642 +2063832060 +1790184454 +1469032816 +131317418 +1563248974 +1476897370 +662300130 +2130670133 +211353515 +949502472 +128214670 +455268352 +1232455889 +1476818401 +1781983808 +1862835747 +926153631 +1765013978 +1484074962 +1691139704 +147431150 +1093717278 +106910086 +75807181 +262395524 +120293908 +1297001926 +2099436589 +505529528 +1879918863 +652218584 +421877940 +1522619669 +2121251400 +553195359 +938384996 +1450665122 +1215495489 +921571481 +1662018637 +17514313 +1049786151 +2117286989 +1249970203 +379120904 +1751787149 +965322302 +1305274536 +1369317480 +301913616 +848930592 +1516748630 +1395630894 +955840679 +1592555811 +1658026419 +1076134587 +742074089 +1609979360 +1581664115 +474509305 +114714296 +2003542055 +1997128974 +88482049 +409253766 +788030322 +1539147171 +1624749255 +1709601803 +1053682161 +1642263569 +611904306 +1023485502 +744750124 +991025211 +627789004 +1710072426 +148816099 +1997106484 +2011986042 +997746691 +1366371466 +1260133288 +1953587370 +811443630 +770676059 +882238309 +1553517719 +233171772 +316418776 +2028027024 +347886068 +172477184 +1877672351 +436368117 +581730950 +518219025 +1975515289 +58996558 +80337181 +881713802 +1701260127 +692241487 +1905199304 +298526603 +1683266698 +385504660 +2008599029 +1832082797 +235127496 +1873101423 +682345841 +1601498963 +985751063 +488449563 +265458945 +1756427123 +1370687873 +1818976664 +1989598895 +1687106649 +1699520041 +190001315 +1859583833 +1429708744 +626369433 +293831136 +1947927769 +454401074 +352827694 +2028264950 +1336114876 +2054087821 +573022790 +1093830532 +205130776 +108805840 +1479335193 +66246157 +1940888638 +1714462689 +1939347580 +475750831 +1168478004 +777614995 +964200394 +1433936949 +386558470 +187404619 +1105429966 +228673717 +1874511269 +657466359 +418675033 +1586611454 +2087175103 +1045044466 +1880442590 +1887619224 +1499445540 +85786636 +1768400527 +688076768 +2139874457 +193939669 +1781907300 +197521585 +302745509 +1113758845 +263767742 +96150499 +680737887 +55631674 +571901330 +1849215891 +833246670 +1536101725 +1135669193 +1219805140 +1723506344 +93615511 +1448478858 +1450533965 +751081870 +1867153891 +889661772 +690773325 +764714709 +622620714 +430908901 +116676601 +708407351 +51825780 +804753369 +700798160 +245765449 +439177021 +898319746 +548510959 +1552935867 +1162087488 +644661458 +86190106 +1217719163 +1216562789 +1935405997 +2050965833 +605180866 +923591542 +1123287325 +181203562 +1017207053 +424282535 +1631737528 +1768288923 +143952778 +373915652 +311578600 +908667487 +996536366 +742487502 +1025344088 +1704943717 +794313282 +1830097457 +258258230 +1040078732 +121790831 +1156577976 +1588589691 +1674726698 +171181816 +85767501 +1760916804 +1388900979 +1302330290 +1548839153 +1292383164 +1907511156 +324947048 +268186842 +2088714719 +1342154101 +692469377 +1572968599 +962959377 +836422156 +1946884251 +1274537977 +1745089643 +795936969 +2017025479 +622950084 +353397039 +663855114 +305563893 +611655269 +1703933846 +427354724 +1768233245 +1145039889 +2102081422 +1939415061 +1230807390 +1715514578 +1180832393 +385654033 +1116870084 +325731909 +145681541 +1441817132 +593918751 +86912612 +636487585 +1286388129 +1659881211 +1599446962 +2122810285 +1459281814 +726501292 +1720416280 +107735136 +596043123 +195882716 +461132175 +1259898237 +501446610 +1072787444 +816348435 +928801334 +693537041 +1961388324 +883399109 +485468454 +1044712067 +451430039 +1666300847 +1430366100 +1568300123 +1992032757 +1576047641 +862633607 +438467860 +1662960254 +1499121193 +1724855989 +1175357817 +951084507 +1700182626 +487155984 +1677585799 +1273115259 +594891120 +126145275 +1468997975 +1056023295 +1386043512 +1970444585 +2128810739 +54908300 +751762272 +674864132 +2016296624 +1635161381 +1160332586 +913525043 +2086591420 +679149786 +196407495 +1507407896 +523698895 +1772455137 +222557855 +962166755 +1287931743 +1721679048 +539539097 +315805912 +525279908 +92238075 +802961896 +55382059 +1365353334 +1397853016 +181527334 +686867662 +306392663 +1567570847 +509828599 +287719754 +1622479147 +1261590871 +962583886 +1491292123 +749268604 +2122916473 +257333519 +688376377 +654582611 +453741014 +48300625 +1178281506 +78712503 +270858480 +2140448261 +1366644246 +1992537529 +532503710 +1682450159 +370333789 +624741786 +337928407 +425715848 +1990095120 +1735781424 +607243183 +529479134 +2042174087 +27330382 +1039307734 +182410194 +1649809529 +153414957 +1144994080 +993618004 +902683562 +1120426905 +1250951523 +1591059939 +1775009516 +1704692538 +1639360564 +805807374 +1783405041 +1910219044 +798771988 +1002565640 +1755272925 +1331275698 +537532151 +2125606714 +1956017484 +875460558 +403838915 +1798628957 +463758334 +1011082098 +180624443 +358448774 +1038412480 +1219932177 +540858968 +540738361 +1373347135 +1685853048 +1534356365 +128547049 +658796306 +637824241 +1719606988 +286322174 +195033131 +1211483904 +1092129549 +1978438172 +974219300 +1890901537 +833520164 +582008578 +1074693587 +1371052315 +560131644 +883227424 +99029226 +963970559 +534372733 +562787560 +1975052657 +714997176 +921236334 +865981489 +1934929354 +1462095302 +1406719850 +1160792841 +1000464703 +793592568 +1289339890 +1659261009 +1431416809 +861463230 +1945583183 +1626449940 +2072947134 +890229084 +1457404464 +899682786 +633646973 +143440981 +1481691364 +1708340561 +1514493296 +2041823009 +444084337 +1613522522 +858309920 +978457070 +28826435 +685878930 +1693454246 +950062769 +1551860419 +1480899952 +264674424 +811096622 +494209145 +1265139127 +1604689190 +1783549035 +776916488 +888622351 +497528617 +575016023 +367588643 +422992103 +1465245108 +1824993107 +1322674890 +2098892081 +1968434088 +656882606 +1659748994 +1335443737 +551221967 +2103833331 +801482611 +1409531888 +934806753 +830309046 +2095410818 +480777352 +1780371816 +1499787589 +1961677304 +2045046240 +163400563 +308402802 +1162701719 +1768089753 +2091951837 +1939618207 +509228456 +441996807 +367150582 +876817099 +864988910 +1832395690 +554326559 +40180152 +1783804124 +375276999 +697062759 +1296069470 +1710720736 +1248284726 +1252419154 +364719700 +510332966 +39742259 +1195028746 +458260136 +520519611 +827916914 +1958047726 +334713268 +725479506 +2121448289 +643116070 +1888181225 +1742054395 +587584259 +1680315784 +103799203 +1029581066 +2047466367 +980616303 +1894569977 +1732378409 +1534942862 +1934750129 +1368698885 +1910219861 +484329240 +517284708 +1473456950 +1732613967 +1769703862 +1838176650 +95463285 +1809446121 +885721748 +553723422 +182482085 +1713638663 +364287500 +517195353 +291634521 +338252141 +1160311423 +32332099 +2080306536 +1747895682 +1712647883 +36622092 +629993101 +1612630602 +1017238395 +377079430 +1197525364 +404697609 +164345911 +418740601 +167433822 +648675152 +936025309 +1640890772 +233805471 +558245523 +1331583774 +329268756 +220207997 +69821875 +882992178 +402690082 +1783460538 +1247279678 +919885435 +2075095059 +1585531820 +2080196858 +2107427158 +1518354708 +1680608892 +1672591394 +1554976800 +163118345 +1137738348 +424731547 +540197775 +187780064 +829429156 +704543687 +606520666 +996862979 +1353218839 +1542545975 +490270103 +1587024310 +2100791499 +1821853878 +1916293066 +173515848 +1891675753 +651801597 +576205930 +1527652643 +1899081275 +1496091365 +1455264054 +1337129447 +1428804575 +1415207565 +708000508 +961929819 +940315311 +115493660 +1125048165 +2078053659 +540225208 +1665245940 +118350076 +1369654364 +222305979 +724870742 +219033695 +1575524818 +119933069 +709303799 +1015065480 +73240920 +383674029 +783874899 +246756768 +127866134 +1435676496 +822962698 +1655518777 +1187274123 +171570415 +963299183 +376919923 +1600374990 +231023100 +1084920431 +414821162 +1171338411 +1200414091 +1539869327 +1101908423 +1740639299 +1057631619 +1220258499 +962810016 +1279937599 +1945129241 +1181843711 +707978769 +2065062310 +1891147510 +1723044250 +2138303231 +127337891 +359435501 +237576351 +255204025 +1795111997 +1060539050 +1910722802 +834902472 +1232109465 +726538338 +1211822395 +685000808 +957561438 +149259178 +1099821970 +2128899850 +1349673270 +492207649 +1083324625 +942828921 +1549839268 +156099476 +1905638937 +682293219 +2101228717 +939999001 +1390271989 +2018807379 +683662863 +965832591 +2009626962 +811000755 +1325268092 +99719666 +1066204780 +972896441 +1160258716 +829443935 +1807798913 +244884533 +1555982273 +872137661 +929885341 +366060063 +1021396839 +2029707311 +347476265 +223586461 +374431312 +1430800890 +1166415383 +1924270581 +1586900366 +924570672 +459080152 +1540645435 +1864569673 +1849352141 +1411969167 +400748889 +667701084 +1274112481 +1211749644 +1992969176 +1373832147 +130470776 +818381969 +386607215 +959914711 +478697235 +631491749 +368413336 +1350834896 +1561377090 +734473400 +224748087 +1443600754 +1081949665 +448334549 +1818032066 +365266908 +1614749932 +1594818999 +1952167274 +391836956 +2053899152 +1345329062 +108922982 +1755767645 +609814581 +509671871 +275985082 +1883927062 +1721421515 +121470610 +1110275562 +1851892291 +939852580 +1496882777 +664323355 +1418549815 +2128374526 +1032736691 +621901063 +1542267969 +1767210091 +846649150 +838385075 +701676109 +1294983699 +508933493 +1066943017 +762249983 +2103752493 +871626643 +1154086940 +2010167997 +69472057 +1263009922 +1618451994 +679286638 +1772681793 +1894437076 +415730053 +1346619660 +2015907687 +1526005615 +1051028303 +808276619 +875404744 +1715351658 +79342786 +856295623 +600604702 +701243849 +251079944 +220331145 +1547892999 +1089465019 +922007254 +695393051 +1598398512 +1988950271 +1457643034 +1554667357 +713093267 +464246326 +1417351706 +782565324 +1727256248 +888320053 +1461851963 +1352454393 +635273481 +1877582016 +551590405 +503697520 +1256103983 +1602618709 +1311974139 +2131508727 +1170486719 +1391316925 +840320702 +1771091421 +2092560774 +1091400646 +1991422567 +1492970126 +33382017 +765946173 +40879529 +1631780530 +607412797 +1498522563 +1038964239 +1320506064 +1962768890 +308832298 +2103071388 +1542541490 +1197152351 +1417439703 +747512236 +1832425832 +1147538071 +1299102641 +188639705 +256158406 +754237702 +1500613844 +240183486 +1924724422 +744447122 +1080504188 +1548332195 +689524248 +24421187 +1392271114 +35010726 +57803204 +10733640 +75890255 +1689583734 +618146437 +1574412819 +581064326 +1938652501 +1389698061 +889896624 +1894240241 +784755903 +2087048975 +1164196297 +1532268139 +1771991159 +164250720 +683887133 +1960630864 +420409127 +1438124835 +1313761061 +660592613 +1215365609 +2058208183 +1741096801 +616214157 +600248783 +1765517988 +2008485271 +635259510 +1823321193 +2019218911 +711149765 +1365421279 +489881700 +138078936 +1946485605 +281050553 +1527776997 +688898581 +27807147 +165049253 +628463908 +1192003444 +1697317392 +252971420 +1356254164 +233720877 +66118636 +1776663291 +1671845713 +1379879697 +289772256 +739727674 +1290604232 +2030869058 +1355941831 +1890853016 +1648903398 +1216943455 +378628878 +1324740943 +1088678718 +1089778643 +542678575 +1578560419 +1227857580 +341680532 +1859610972 +608150929 +1030579114 +1887418119 +773200182 +1659043022 +931937915 +323033927 +1912014442 +140708432 +556754804 +1978133079 +1917371723 +81116869 +1210529128 +59660332 +820844544 +353649713 +2090529390 +29302727 +97019081 +1591949140 +1246246182 +475647959 +769206436 +187441253 +1565426602 +1311885011 +1766001672 +645800534 +1653565543 +1478128996 +1253951464 +536661009 +1218063468 +2027151646 +48220384 +2517735 +202701925 +1960234826 +143226167 +759456730 +1790884257 +2060597891 +840573599 +853929738 +2120258223 +1661418143 +1207579451 +2063303965 +1690720871 +1304598532 +1507769457 +789483405 +1780246491 +129492245 +976924658 +1198189445 +1441377256 +595442682 +1843989980 +947459152 +2073571679 +950457796 +1484120161 +1144151499 +830125794 +1532340545 +1146669234 +1032827720 +1345091724 +1289895402 +1792284450 +988492333 +1203009645 +485374401 +1842422071 +1175784220 +2146792545 +902517874 +1091604537 +1690029768 +59632758 +451890346 +332029525 +1839879249 +581382592 +1308954184 +890585047 +2022759848 +1904396866 +587091379 +822735352 +1830484897 +1537549175 +159371866 +827152748 +220191321 +1691712411 +1973821983 +1253019041 +889320487 +1116233737 +897819843 +1877812821 +171759734 +1383194245 +1572751244 +1347543954 +1382503142 +327785471 +291664843 +925049262 +387418229 +743555189 +1257078787 +79813831 +1324937781 +418549323 +970398878 +1200213982 +175462542 +1557490257 +2022949334 +2005947439 +947555784 +34837552 +685616540 +1167747105 +1726549964 +511954875 +273282499 +468386803 +1628188612 +1171102342 +198715976 +1799948346 +406812939 +1771467221 +1000008652 +1789316081 +2099252692 +1291673495 +566881695 +339187273 +2035228684 +1823960483 +419001104 +1212682818 +95026158 +1389399982 +265413152 +270488700 +799406591 +140878838 +128952492 +1746962375 +175716391 +814569032 +767225833 +1902266355 +1326523907 +1040508332 +223169510 +807228871 +64127026 +421885487 +459693569 +470939966 +45869060 +1459702221 +112772399 +2145121752 +603892068 +679654095 +336825377 +491637104 +356130930 +755826482 +1704319922 +451157088 +2145226464 +1969733074 +721645789 +797149408 +2110611913 +850598281 +396628135 +138844656 +1665167313 +1163853968 +2041111011 +844207572 +56878652 +116796873 +1651436443 +121005679 +538682360 +2111130012 +591945645 +584551420 +1423348585 +704718044 +582189524 +2027240653 +1384372139 +919014902 +371394109 +1740503069 +1674841384 +2075714032 +44176510 +1672584200 +1897963458 +765822299 +322249960 +1861091723 +1616420580 +718878096 +1999936379 +1134104245 +1882732064 +1893563742 +1978311817 +1939610717 +2010360616 +1482264612 +2060616396 +401559328 +1445910976 +505078393 +986110749 +721775913 +1209796437 +1568300273 +601532918 +446684929 +339831527 +972927027 +39704350 +2014672911 +901157411 +83880860 +1539773464 +651637222 +849703159 +1862023424 +365245297 +318640091 +433417872 +217698029 +1452744336 +168666289 +2111261771 +1283572505 +2108277006 +1974138739 +618353469 +2021409754 +228214420 +2064264445 +379004499 +1214325169 +638556710 +1588800936 +635141794 +1240089628 +2035485865 +974973322 +65533008 +2075190216 +842162585 +966690419 +11587428 +234452401 +1618327641 +861290588 +2096475826 +1983572939 +1179930679 +382410050 +53787320 +485191368 +551076339 +17565443 +1768763873 +511869697 +1991704183 +239633695 +385795803 +72434955 +156414492 +764800302 +1286760124 +794971203 +206117591 +1921901918 +2035060831 +94119808 +749391592 +2100593839 +21826376 +1591554178 +919800611 +33413805 +1826006579 +390644604 +894704393 +1774998757 +226733895 +2074635072 +9925160 +280521215 +412342792 +561001499 +298086659 +33623018 +1072871197 +142307194 +273256713 +1458667000 +214742149 +429671205 +75983655 +1501502273 +1224642408 +282101246 +1275920543 +1112219592 +376221054 +2025312136 +1065329783 +398047431 +1469382666 +1985130394 +431461236 +1147905597 +228291351 +1326165629 +775420707 +455025246 +1253317053 +785345867 +735546462 +1665659846 +1346347366 +1033633121 +1699282864 +271734915 +1175940315 +1972539577 +1730401916 +1390682464 +254727134 +1806385571 +744701089 +1479369543 +2088486817 +2020621632 +444105487 +317224223 +1898450120 +1509435270 +715271654 +1220349138 +1347082017 +1146732890 +220771088 +1575373368 +325414871 +996191795 +2030398614 +1578731925 +1781537662 +618461428 +1096908123 +980401380 +1652094549 +648707339 +1252136296 +680551216 +473763268 +835054564 +2071233680 +728490402 +493956487 +668451121 +60376297 +434959656 +541589106 +504481784 +752183879 +292555578 +2013917055 +1467455534 +1512904717 +1213515424 +466704776 +1733675805 +641405144 +792119648 +582383952 +524320110 +223367925 +216437966 +1142781539 +1320276048 +1196839346 +647392440 +1968983387 +301491994 +1327943657 +295263007 +1136546558 +1251693689 +1023753409 +1630503045 +1920144811 +1084129707 +2065462701 +314250269 +1588611491 +670162933 +606805847 +1455044898 +2137618467 +2119710564 +521076674 +456839595 +1705902721 +1162481818 +1248959243 +140803025 +1686801929 +1472327168 +357240991 +682099820 +645119568 +1554080338 +1329492260 +466619307 +1855572332 +509952269 +761882314 +844635243 +1761645959 +1785635724 +327654640 +1534307122 +722281783 +245633694 +1848557391 +163409626 +915796627 +307879590 +1618454525 +905931446 +280106507 +2139531199 +1362771041 +1986009228 +1154529370 +464246637 +2126812254 +693847651 +1936573805 +336569597 +1375947471 +434209726 +1890649935 +557956083 +900829033 +1598738620 +1067908353 +1662711348 +295890215 +682070664 +1300863424 +623544855 +68894138 +2023145207 +869178549 +1917451529 +39071185 +1784975176 +77847471 +1657525710 +543422974 +357953978 +1649573262 +1906194016 +196479559 +656618984 +222957005 +175808165 +1350466635 +12047162 +512377762 +578930458 +446256888 +255544050 +1136886541 +1347085922 +1854282670 +57311246 +862313622 +2689237 +739381910 +15693398 +626234092 +808276048 +2038838605 +1495412642 +578243929 +2077909790 +1132904170 +656091401 +1587951853 +1676327145 +1014045379 +1090041467 +1435037513 +1210524938 +1746660451 +1657994518 +1386333103 +949643438 +1670041680 +1898710866 +1528573896 +2116298569 +6771268 +517976789 +1315900843 +1861053938 +575288036 +30730817 +1863743175 +1314669946 +46424215 +342493619 +2122945995 +2085262820 +1837906261 +553706276 +2015688962 +823326784 +1209797677 +1456157167 +352170281 +76359409 +398714986 +1787207794 +1286884347 +2145375437 +1297718664 +525733803 +947535227 +820276696 +276961021 +328625475 +789091617 +283732289 +846602265 +2104992460 +2144786227 +1421890301 +2135723277 +1861045754 +589076599 +34663844 +56055725 +564538946 +2119926664 +1893961987 +1118245223 +1988131979 +569805123 +180559252 +1296805498 +921975404 +256918661 +1695520485 +561699550 +1543803009 +1693412274 +1859418214 +2069536812 +493463854 +532211262 +199014185 +822089329 +1321302880 +482746474 +1668691594 +1278811692 +480049053 +943098247 +1267051322 +193611159 +1532174847 +1301715166 +249666884 +2096713793 +1274158183 +2143628871 +1067475368 +1114806514 +565950346 +1248034621 +264128364 +1487925750 +1504953282 +1959648849 +2049625300 +901272643 +1505577476 +1761559866 +823325807 +1999041330 +146287481 +1022339992 +673647011 +1467590361 +1505086466 +194854958 +598918405 +1985135519 +1137953205 +1865969727 +31263030 +522644404 +1020201246 +280929915 +471874550 +146875781 +277075138 +1539349918 +1261682295 +843025485 +639900891 +1525810659 +183467587 +2144854174 +1337975861 +85609240 +898643169 +696069689 +1847169106 +1721968977 +547627371 +1993456587 +596825321 +1221274382 +1313563300 +2101911788 +1416129340 +1912481706 +1939563659 +406598898 +1630967785 +1970826690 +929243302 +503685383 +104272957 +1401117852 +650561164 +381348095 +792984123 +1912243459 +1224373580 +1432885014 +1290570471 +1407841168 +1430255540 +481062684 +1493450408 +181415062 +1177132373 +1193135866 +1903384039 +1724759744 +1039108806 +352725712 +798550478 +205188458 +307153852 +67196171 +2117670164 +99233864 +473795069 +1601154302 +2070060554 +1403038371 +2104839685 +26849863 +656672576 +607917202 +408197958 +1449656699 +372677013 +1632571539 +735058065 +1663247484 +892929059 +17829958 +2144310168 +238895819 +199245020 +1173958893 +1432031685 +2102629059 +751234989 +323656843 +307871123 +1549785468 +528845302 +615024976 +1616981639 +499031818 +714258840 +2090776708 +2100186120 +636835746 +1346331431 +2057542158 +663685609 +2003004007 +517975712 +1071883567 +1305177058 +890652725 +556971458 +2040235124 +406416562 +1449900517 +2058065082 +403243082 +1688796336 +109826454 +1577201976 +973344374 +64971865 +180953317 +1297001217 +372842988 +1730738785 +1825846519 +987867964 +1200236776 +177394690 +1702126804 +1143529836 +130097162 +191478902 +342377620 +40155672 +855164511 +197897979 +558131384 +1927048079 +1503075038 +1448784110 +336535889 +1395826514 +1855200672 +1786436407 +1306407948 +110960106 +1327749095 +1416234402 +1688162082 +153609821 +1481206267 +1869115400 +1450611039 +1854049255 +1452370537 +1128973910 +694433572 +505123666 +1306368600 +249076728 +1648653502 +1436465763 +440555631 +1991031122 +1476621435 +1295720142 +41445454 +2034752820 +1075284573 +1544520492 +1336053282 +1411820463 +792863358 +1043770306 +1050773222 +2099271306 +1154730412 +231038669 +1368022060 +695408847 +384648491 +701744679 +417040599 +1835259530 +408310286 +1869411136 +816749792 +1102743858 +227051154 +2123118393 +1351820587 +1875704657 +1412100508 +1792376218 +1719252131 +741238295 +940612712 +1760697585 +628507467 +2015897286 +1157734429 +1964560749 +1280234101 +1950597787 +860847407 +183523675 +1902385445 +2015577820 +414562344 +1122923857 +563503019 +799210835 +1824668536 +980543618 +486986717 +85495175 +702471106 +1303736510 +1188239033 +929522261 +1279371255 +392575972 +657743270 +543988115 +37468542 +229511753 +1285226410 +978081255 +1990209339 +1913733878 +846494893 +1000460120 +1730810979 +2126728994 +803574260 +444174739 +162769021 +558476057 +312268911 +577331365 +1681399915 +875771930 +1376542201 +1358584803 +1856315548 +1863528918 +1444079978 +411303006 +1019781780 +484835364 +1340825267 +151669387 +877411336 +1998568537 +695657502 +914879879 +80596643 +1980883913 +1892961134 +2070805982 +1747134143 +591972379 +923782454 +1330461474 +571217725 +1727356714 +1774636213 +733986746 +138349124 +2086905124 +1311318111 +1819749039 +815193406 +540376664 +1030850194 +524025306 +256421935 +327446525 +935328313 +1276203715 +812281889 +128669932 +1427873103 +1689693225 +2127238470 +2123530605 +457089456 +60351465 +1956930870 +202566942 +2131157447 +1556581365 +794539321 +907456253 +739559192 +1365757046 +487329320 +366711757 +2099743792 +625678444 +306133234 +1263578256 +297943835 +1121326640 +1803954920 +1328794029 +1645351947 +2060376855 +1656240554 +433196612 +1189096923 +321038795 +561866544 +469486378 +2010732021 +541621366 +445533335 +320337829 +601972831 +254980558 +522904772 +585646630 +1811561923 +1317444093 +1493102884 +403637467 +535717492 +1980432204 +770349225 +487977636 +458627000 +1076482459 +1751555892 +756570835 +50325451 +1408027165 +2085364864 +1695677398 +1320920372 +1594121771 +2128874010 +362533647 +1915160566 +543256907 +832020025 +1778408939 +1084878273 +1277553361 +2098746769 +1686851105 +1532533919 +474167893 +125014087 +1196612194 +1791611986 +1618116971 +1600249662 +179845830 +1451065527 +223115239 +667823467 +1909692527 +1299597698 +271895711 +518779714 +1349923149 +1679922876 +456660931 +898116900 +853359601 +2050782702 +879507262 +1215893248 +1818459620 +1422764169 +2047913274 +1449384912 +360158795 +1177982987 +1400648033 +2047009900 +563033258 +1874815926 +24540339 +1759645452 +1518944264 +1642657311 +1212411466 +1698790095 +946239190 +1435526705 +219129914 +708448070 +587640755 +491025625 +1227227784 +1937563905 +23464854 +1683888715 +688197157 +876824455 +1587187769 +1567704419 +2092717703 +1258163742 +842984941 +1993147329 +560065006 +1203143736 +1023646668 +1960713039 +1102669988 +1586679926 +1688045317 +1127210327 +1198841731 +1059505933 +622383990 +263769549 +610812380 +1568623181 +1699296255 +829942294 +129587603 +139453362 +1320967920 +1356815387 +2077017267 +1344432774 +893220455 +617730776 +73773581 +332924576 +37951548 +19007636 +1591088318 +880936489 +2012154966 +3669676 +2084080225 +888317986 +1964382715 +1039266565 +327514265 +1504944384 +18993244 +1526355996 +416966670 +641377235 +1790125545 +1027779050 +62516768 +1341938152 +1857721345 +192104371 +1481391515 +1031205617 +1548919758 +1410925134 +228154743 +294656565 +2028655911 +301928324 +627581142 +2066607459 +320935960 +71185812 +800060300 +185607278 +74855489 +736656877 +1073925265 +2039238204 +1775923442 +1401439530 +1396698941 +1794916686 +780311878 +1813665611 +288810273 +422953775 +693961013 +351327041 +1764891928 +404198710 +543431412 +1098799795 +1435404327 +2092351171 +362241281 +1663559070 +239524088 +243413544 +1965487394 +867105230 +162537355 +138939707 +938291043 +962597655 +324546985 +1013146532 +1699254532 +1398472250 +904901088 +1327694326 +652428132 +154116381 +975127365 +1432740010 +1967781992 +1263937638 +1855693786 +514259358 +1615264680 +1473102066 +918458068 +11212444 +424418213 +206378748 +2103563615 +786659494 +1869937818 +195604056 +1030073039 +1687941565 +1062709286 +1192610394 +1826881272 +2001000329 +7724402 +3944609 +866663213 +1706978934 +1402416860 +1771564302 +887189613 +2054844992 +1925680683 +1862316978 +1340101355 +1745979028 +978770968 +1048311493 +112754738 +446552000 +373929911 +1031212806 +457764445 +798348124 +1237591554 +413844412 +1585007618 +960045725 +609448468 +467597009 +500503642 +1672157755 +1660207404 +179901266 +1525674436 +1667931806 +183845875 +244854002 +1227427092 +1586262735 +2016418304 +2114616705 +1493624080 +1794615339 +1829450035 +686241787 +1393110719 +660737356 +1734553280 +1505865457 +1107289356 +2108483191 +389594616 +1565053801 +759347667 +1627186170 +1978898214 +196871637 +439748247 +440863034 +664468647 +940251889 +2113020789 +177192403 +1120153155 +1491211578 +1845124209 +1303999031 +1736065580 +925067653 +742778118 +1605000236 +892200711 +88918550 +1252131927 +574167098 +775160337 +497758999 +1234904454 +362229969 +2003624456 +194710163 +323229512 +245735424 +1759763964 +1082577179 +1872921595 +1591178530 +1279448817 +165186194 +2032041565 +1943917464 +1105438084 +1997578706 +2121109867 +78107591 +1341306636 +1818750428 +1382106622 +929888568 +596334433 +2124884741 +387405156 +1488535144 +66319643 +1639537084 +2062702243 +841479981 +2137296083 +1150123049 +1203709950 +1993436891 +1344833212 +1526939463 +91688668 +957113529 +462032994 +1964610263 +400808411 +1741481811 +2129796457 +285366328 +1537915627 +1087750893 +135461387 +1511541846 +1165858485 +1476768023 +1182808626 +400481459 +259172944 +1779143060 +377882552 +646578100 +1120194556 +444202196 +138631536 +1035413151 +1285682177 +128443971 +38052553 +341908479 +2121880863 +1382885765 +1868847942 +66085883 +192515646 +183397289 +2030696146 +593324058 +1924879100 +2013008955 +878690386 +1315311080 +953276201 +1014151773 +679369278 +2119134686 +343436149 +1862177905 +372132497 +602609093 +1493837317 +750015050 +1249187193 +466548225 +1194217246 +1387818730 +1501961377 +332415775 +1516262701 +1540013930 +674324254 +1490659916 +775416047 +395688549 +1556745799 +967931694 +579085838 +1439958297 +1561255752 +356481290 +1305483605 +292462490 +1671792370 +111276158 +1306614264 +203678001 +82927196 +1650050413 +2065855906 +455059693 +105175858 +1412209575 +1205074743 +1354363051 +1878757800 +251808341 +594698133 +1233235529 +584224116 +2110960835 +625765811 +1258548371 +1454137103 +1401181859 +1654236920 +863399255 +221629905 +85839110 +155873904 +1782885657 +442320400 +1461357509 +2075348147 +2114112771 +1572633667 +1234478763 +170307124 +1655560863 +737045528 +88679382 +2110620557 +842221386 +1500888957 +1168211652 +49100790 +1232163109 +1420019994 +643798923 +317914991 +2004244110 +607276110 +943680802 +1115308833 +2061413214 +197379013 +622062105 +777328821 +419008918 +707901215 +933202725 +54410927 +1150221616 +247076587 +2129759075 +1116850739 +1819710254 +1216754190 +1287157863 +1327787470 +1953799719 +1375837245 +1290924379 +648537457 +729242554 +311652383 +697638247 +1961405663 +1731672377 +1341437171 +131837006 +1588432840 +1948713281 +1075517809 +556258025 +1862642847 +1272896822 +1178320131 +492488020 +1691905741 +1886221346 +1425690746 +1746316668 +888959314 +1672767333 +1728592095 +2005810053 +1344993939 +797862638 +1145484268 +525297761 +604178709 +373837865 +1816222140 +1252716166 +1103080419 +2127874524 +1950354414 +917002435 +1712063253 +1144307937 +1048839441 +1153012445 +945537570 +2124357250 +1709270471 +660696770 +1249770425 +740106954 +1153184790 +794192518 +478844652 +431391888 +393025538 +1367803967 +2104159221 +2121617634 +1226130372 +1301669513 +771996624 +224130993 +1826967274 +1376175333 +597968858 +1495705767 +481407851 +1701049278 +1476096643 +284278617 +470568065 +1040676248 +1428586554 +1519407506 +46205046 +226640477 +1496281109 +1755475517 +887337247 +598567886 +348098823 +2040522037 +1392760404 +826943475 +324430278 +1785785942 +47263794 +281105851 +1759919928 +1273394167 +1582775364 +384432904 +1497525160 +1262258991 +1760608237 +2095494018 +610481110 +94532441 +1649059648 +2086577753 +378811058 +2119627713 +979770353 +1807397613 +1491551572 +1025975399 +2034038090 +840349033 +633967268 +773891689 +1438916919 +982066091 +666930078 +684193675 +1809009567 +991360356 +322495969 +1856273361 +1272466208 +2082415898 +982183880 +707757924 +319365154 +332225392 +1970016915 +2079973392 +280235763 +433014377 +27022185 +1929295411 +372108482 +405833243 +1901439477 +1351878836 +65747208 +1245507401 +230370587 +2099785298 +2085856434 +864337856 +726193339 +1377289705 +1846403947 +1393123418 +2061483380 +1507929866 +237000126 +236495701 +1216719580 +1509466334 +171427951 +51419812 +69740611 +490793106 +383645205 +2039757526 +423282850 +663880968 +325288256 +450305035 +445692731 +697396738 +856138278 +199648560 +2049275574 +921885487 +1445155961 +132162514 +874187137 +1383528747 +996500370 +1600380477 +613334804 +695420669 +846020247 +527334536 +55866888 +1083020373 +763830238 +1272586468 +445003060 +935258189 +1324006280 +514743671 +1426051295 +1707651485 +407017549 +1849334145 +224048805 +732305805 +152155532 +669741537 +1429702544 +1008293811 +869390097 +1331494470 +1930179298 +167062411 +1463656984 +656882787 +1550591158 +312673706 +109779616 +16442315 +1008094376 +955799863 +543776851 +1063961264 +2038820237 +1307607089 +189064084 +336339649 +95381631 +1513070364 +851083320 +1521432926 +1073238202 +1258100869 +1223283424 +1297287007 +1990406675 +1375438956 +1967028544 +1272625571 +236249119 +688934994 +456636393 +18944769 +855997405 +1920293378 +675827557 +259104915 +85483436 +785607173 +275547230 +1093577812 +1741407037 +819324082 +10055428 +1632743626 +2126931171 +199119512 +1969083275 +74829154 +1712189877 +672682947 +1596262081 +637944431 +1930783816 +672061857 +1935231438 +1773706843 +2047500813 +1754776335 +898848766 +136266285 +296227681 +1355485160 +155211054 +1152225086 +1128294890 +831038611 +1411330001 +1213778326 +1616645785 +1686877232 +159872491 +1210569174 +358717666 +169927919 +695829152 +338165189 +369047432 +517428779 +412994344 +2081237309 +1190111726 +2009256425 +571698092 +973411894 +533834634 +359445882 +599635090 +433851799 +2114222217 +1498483856 +570118084 +262966250 +706485368 +725329139 +1415191336 +1834780258 +1556367750 +679037690 +901074937 +1025529887 +218431274 +1060947428 +88615413 +577148940 +1230875347 +784444565 +915314129 +1599922779 +1301873344 +1328308473 +1533676440 +344501422 +1190081250 +2105374532 +1317913317 +1723915884 +317336767 +1917548407 +10284036 +284075336 +1268548615 +580402120 +547041587 +1975033984 +1305731259 +1962232923 +1662330594 +714615362 +493786965 +415921883 +1740145249 +712218239 +1476869311 +1828760663 +1289367179 +560261011 +465721580 +57197661 +12700142 +1767594925 +1385506134 +1546376583 +2112096347 +428103737 +1504267467 +1282526016 +4535973 +1821604234 +1052590775 +14820009 +2105679571 +173655743 +595222130 +505237510 +1206079 +1900953389 +319986785 +1663536673 +468085103 +813773751 +2079458557 +60746705 +1525991990 +1408844220 +1889507368 +667875522 +1969105231 +207745300 +725073183 +1981805374 +1975340225 +2110579317 +1380698309 +1939952925 +391199406 +737482128 +1074995293 +395735380 +411602715 +2127586069 +410555389 +369798638 +153758164 +1005777519 +875036148 +154964243 +759247261 +1195022933 +1818500916 +1227332364 +2008796684 +1750475825 +1288079069 +1387305027 +1011836398 +1030102789 +2055180549 +833457981 +1237848090 +632770084 +667779707 +1065704667 +595865753 +2048478016 +858173944 +987065160 +638476497 +1933169238 +1382800540 +1050079212 +1913271659 +1793355929 +1419877850 +2067029823 +651649801 +147430350 +74510418 +1410897062 +1342453283 +1893011334 +490745778 +1203766320 +1496003512 +1778824848 +443587699 +360356262 +661443989 +351284600 +1193814243 +1899292079 +984054684 +1861593951 +817513099 +1579920437 +1762588319 +1675687043 +419501949 +253581168 +1461372633 +1802302489 +1303660380 +1227160644 +1448174771 +576054582 +1146706819 +2099824572 +723484932 +1221217237 +1363237986 +2065938216 +966744924 +1853983764 +1122220888 +315264788 +1485324964 +1565808587 +675621050 +2146768954 +1917093187 +1869435293 +1898577385 +753664223 +1583545596 +568606836 +186101012 +1198650268 +96810232 +605602962 +1452231436 +1558182865 +260421803 +608408169 +637859862 +1708596574 +1184462751 +1784566681 +1660937498 +1907947684 +858300271 +876691836 +1826402252 +1825045195 +583191953 +801139492 +2140309983 +2068516917 +219464431 +668447385 +2067802223 +2136557618 +390399030 +1818895961 +742738193 +1973944627 +240019149 +928839205 +1025111247 +336829381 +1534442167 +329859035 +1895012247 +1794863971 +938267204 +385388461 +1355976897 +2122729956 +22471494 +869430748 +1883193992 +880771765 +1746122584 +1562112596 +558333312 +181830889 +215768440 +551159647 +102864159 +435232871 +1219607032 +23182734 +424306841 +1610006063 +1842078695 +1167045034 +1436467042 +2082097845 +2095884239 +314094641 +271443578 +1482842759 +643953676 +18972177 +1130223082 +1582220881 +404360638 +338716331 +1557467189 +426832133 +1208147079 +1293177533 +1307603898 +806786016 +707806481 +1865937211 +988616905 +923574921 +269613210 +1091481064 +1358807792 +1489220243 +1114663799 +1783114633 +951742658 +809258846 +802676019 +240726052 +743873043 +751076610 +554820693 +1015316622 +86435721 +1198774369 +1034288799 +1216658803 +633511602 +1438649438 +1555375135 +43495143 +1865481571 +616038566 +1336672676 +1025601821 +1422824582 +2044479157 +744055384 +263957840 +820570430 +1013668595 +1355438904 +31894574 +355405190 +322619055 +1815009207 +1307147848 +1131877902 +470201578 +1547873900 +1875750945 +1221278189 +2102694593 +743583919 +1307713910 +1153985314 +1777872719 +376889066 +1787496917 +1069038509 +1932264201 +1830992060 +787036432 +400819119 +1020181089 +1812638253 +1823643702 +917176598 +409209990 +2087601542 +1737747029 +1422878585 +1295556798 +1769641603 +1778283775 +1618175854 +1437167163 +937947975 +602570108 +1907368741 +338338227 +330837405 +981163282 +293549172 +1074421325 +141393545 +1447534486 +704810396 +518282611 +1087547755 +1773848905 +303063164 +771056168 +413401689 +703882283 +1791237257 +78556294 +380042337 +560930207 +487766284 +320160231 +151193588 +1910644869 +1615717030 +1920835192 +1541444996 +1086409236 +1210518707 +331909323 +1688979344 +970403800 +670247550 +2019816749 +1951567083 +963796722 +946754426 +2092960628 +263847561 +1651564822 +463759591 +1351395316 +1277930079 +766822755 +2122451484 +1691331768 +1470705038 +1766205093 +1769888063 +1850747376 +179651653 +110170699 +23423959 +330845241 +2020815569 +1639140989 +104196785 +1414776917 +578066577 +1314715492 +1746686241 +119562273 +137635645 +269450143 +2139379023 +2089202728 +1233246866 +938649801 +2034679708 +1497094427 +442730976 +350955651 +701006095 +1720661055 +1117778406 +675973932 +1264509176 +440999796 +294695377 +886913591 +144263524 +474347030 +997084290 +167687484 +805192272 +870416211 +1806828473 +909389057 +137709481 +237411403 +76620902 +1884395722 +356973676 +214256547 +6362217 +348869051 +155975627 +1239609083 +1287518853 +43171687 +589219862 +1730249829 +394127338 +1290225958 +1303427236 +1511905744 +1966199890 +420452764 +1952905540 +113411619 +1307366355 +2097169065 +587758650 +156966998 +117372901 +1392950922 +1027383209 +1924201374 +154856331 +1165092690 +14129129 +231477233 +902004764 +371102806 +445733780 +908366982 +719971857 +601709407 +492417 +2007490710 +644881094 +589712280 +1590256891 +1039008432 +1879938238 +746200480 +403430528 +1698654480 +1166653244 +208852421 +1812066099 +326535952 +158537838 +252341101 +483502950 +275910739 +1645292023 +1510886159 +52628465 +1800148355 +528495202 +66757595 +2031625588 +1430499966 +437860401 +329875721 +191383300 +1157832258 +931585128 +191875718 +1017839321 +1576466223 +781587998 +460612564 +467991007 +514042588 +1206813044 +871421536 +65213420 +225982641 +1080273957 +1877279519 +552518593 +1238811795 +2129620621 +1036021543 +1514722534 +1627428996 +399424054 +1567350999 +1280093703 +927919256 +1634108594 +1164235644 +210935575 +2071968995 +1494111365 +402318875 +1082317606 +278212845 +594194593 +2100156927 +1854679068 +1375782591 +413285843 +175186428 +1889825179 +1620098888 +1046607964 +1955038599 +1846081529 +2126881921 +1684834471 +251116474 +1218210068 +1666971444 +1287138017 +585448954 +1146916792 +1686562071 +5316305 +279526848 +466997680 +1639424900 +1443762492 +677933255 +1563910247 +790390209 +1080252130 +498744205 +1068603054 +1674446724 +451417484 +775798475 +902745667 +864703328 +950984903 +645087199 +337318568 +1997592867 +452642150 +35916449 +1976991140 +2137476621 +287032923 +1047717560 +1656964417 +1574170940 +1633166514 +656397562 +1113249363 +1638482819 +935924410 +1580247043 +1130424071 +232203254 +110696650 +546850671 +1022593463 +1190948781 +1045594876 +2091196517 +717911857 +1497012361 +719511344 +1620657524 +214232041 +1670496247 +118261075 +551550609 +1520605466 +570903226 +587467058 +1350112958 +560896199 +874499981 +250346870 +70376969 +301187273 +1883513384 +726774531 +1414436636 +1374512556 +1662698941 +847200032 +357452979 +1894902195 +957896682 +904303650 +770012010 +1361815 +1949898527 +713724879 +719273672 +1299427240 +1433236224 +192447549 +1513659281 +956248823 +310708624 +2065209890 +329370642 +881611850 +505193300 +1679483600 +1442508050 +1379693281 +1929830471 +1512885019 +1680880554 +1665860207 +92175902 +947833542 +892889115 +1754874843 +1795033574 +1250342095 +1502293390 +605446609 +7162097 +124821752 +606808424 +1957060624 +838546631 +1326082097 +1109004216 +124299207 +1518529646 +475179849 +1080548031 +1829238270 +392906091 +1409918673 +563366473 +898099391 +941918625 +2005874523 +130309024 +724265448 +1371275894 +1811189578 +242642008 +1463451796 +611539473 +1135531123 +1070842991 +259089399 +238389570 +425652733 +864536008 +245551668 +550474485 +1471344433 +55128644 +1389021116 +649942882 +1164132861 +1513320324 +20988880 +1639312710 +446384707 +1850227150 +2032218802 +1856303380 +266109975 +782834545 +650738357 +124500850 +913143570 +1375003806 +1495776744 +576849500 +1617645814 +811744892 +1188388973 +605693289 +1882587883 +1447478373 +844082860 +160756968 +164530733 +1089634528 +711231453 +1635875166 +1144763172 +2100252570 +138334400 +161412385 +1466089246 +159323280 +1800725096 +1912473953 +2009550431 +1685460250 +1621293685 +128176758 +320811147 +124548394 +252677609 +1233954717 +1499552200 +1748454353 +1810804218 +969714366 +412715598 +851709543 +1575407656 +147819833 +151704268 +272006868 +308576802 +316235002 +1361641396 +1019808255 +1952110168 +358920920 +972577177 +2090444569 +520333306 +291182775 +102284201 +173574754 +56173080 +2111834632 +1859035004 +1677466765 +92527743 +32362503 +1802015160 +345205352 +1266317221 +1154083712 +2093659705 +929637791 +2123798079 +358891655 +1781347334 +1551722087 +506711489 +1933051603 +1823728955 +815288291 +101802957 +1037886703 +1835096546 +2053913125 +1396807623 +660190076 +1996874046 +1917140929 +951372851 +2099158248 +2090715683 +1007545932 +2063509232 +1802267039 +537529049 +8553327 +1834629543 +192060561 +353758679 +953463116 +1346144274 +299934737 +1883100907 +1322458705 +658826392 +1516964593 +726697144 +1165537881 +1302532548 +402942451 +1980826172 +1404335505 +1440829154 +1668439071 +1310764983 +690153129 +181145499 +1160155381 +459810411 +1132518350 +1111829981 +403042446 +2140064282 +1027855566 +57825838 +530109684 +1036408893 +1892455381 +722170245 +1390167573 +698434849 +2068314519 +1690102310 +434052108 +1243289576 +201445054 +1951016701 +1969986720 +1366982936 +1106065602 +225445523 +1200325460 +362917459 +1666274677 +721280883 +1673682442 +208944159 +902426382 +686354176 +668754570 +2034944733 +1798184157 +1071797016 +2027525367 +678556075 +1129622854 +410151403 +1714964969 +874594587 +1132321649 +957648894 +1573029436 +1053152520 +500267556 +2007081544 +148958449 +701712610 +1810614598 +2118945169 +2068695546 +769196552 +196907045 +1121537359 +1132114011 +1863181722 +1842818242 +658312806 +2072125881 +597760977 +1344666982 +593396803 +485222062 +995367491 +1665193820 +365263781 +1673923567 +647333026 +775415185 +1241404888 +1521927614 +1907736834 +51570134 +947473402 +813405706 +551837690 +807071299 +962364155 +1253550300 +470202249 +933825677 +1174762199 +1239398801 +1130732722 +148815910 +224029164 +846430796 +1991634152 +882341970 +771073030 +441911481 +79525304 +1364469833 +927133543 +1074892796 +882180005 +1292397325 +601332715 +1529513032 +2067812510 +1842737603 +903956998 +1828065696 +1894307737 +1851430400 +493987754 +298661779 +511018051 +1456351910 +1552212079 +981220300 +242693939 +579490630 +73135453 +1373426661 +728306540 +297164618 +72373809 +572457045 +1179506588 +843446839 +1014368526 +1259031893 +60433025 +1941502070 +186441041 +942613030 +1086415747 +787773756 +324642414 +1006744609 +483027711 +1228599412 +687326657 +229851800 +932546165 +1181314411 +528513579 +1443564216 +490182673 +2080725658 +277300869 +732876612 +512732641 +350436322 +2106303273 +1241039181 +647600940 +31193435 +1813496226 +1827107529 +874640274 +680381105 +938655774 +935073299 +474399527 +1125096815 +1877686330 +1560815274 +1912870571 +54845096 +420076235 +248414634 +1283444509 +1107402892 +478266434 +68507026 +141233655 +1006780013 +1512071242 +631416329 +940022023 +1789372111 +1364292941 +1452754664 +2139808434 +1323112567 +546310198 +639925726 +1354306002 +212322776 +319549607 +81462628 +892703881 +1258205381 +1016535928 +1367103408 +235818548 +746738610 +780435034 +1205471 +801583706 +1200511269 +249620105 +2085028215 +160430513 +727886539 +6051593 +301664169 +1734666552 +1518122836 +933080498 +527204928 +1160011299 +149889791 +1979959592 +1152336085 +1473002358 +378786142 +1792261812 +679824712 +591108919 +2111811419 +761287341 +1483812800 +1222533153 +1777823269 +703432561 +1458351701 +377078231 +1483867595 +1459557173 +1178661937 +536895217 +1709177278 +1116206505 +697325730 +289580170 +1122258098 +998989899 +2024246722 +492897286 +1932070397 +403968002 +1652908586 +2081960189 +236443947 +657761023 +1407478899 +615230089 +302539187 +2087303612 +1206339008 +266866959 +701107305 +542668161 +1489400112 +331446926 +1246100722 +800268165 +708525157 +582484669 +112341690 +1887187094 +1119379886 +1821518969 +855909951 +1816705617 +2111099139 +1978168050 +668211868 +1987862213 +323581688 +452798618 +244346568 +1976490274 +387275159 +480790515 +486767650 +1794754058 +1096020604 +789306837 +1734574022 +154875965 +1056173796 +288197679 +697544126 +398090260 +619644605 +1943644848 +1198358426 +1328169762 +378645869 +1310700116 +1067873209 +1498025756 +984735437 +1923783160 +1167247725 +948350928 +1754467562 +1835459593 +788729494 +2078049251 +140774563 +1033076062 +1907055877 +528049722 +1513866577 +246339879 +175320133 +462403533 +1035646717 +1909894155 +617279498 +2091820513 +50608187 +1314823624 +342427126 +670252792 +1110984824 +1540785552 +1998422555 +1489630694 +704002020 +918812116 +840172802 +1688737458 +695111628 +2007420527 +489604738 +302095543 +1695396472 +1278334232 +232661146 +1836171036 +163926646 +2139717023 +216737110 +1677793223 +238573255 +392057243 +2140196757 +1274219972 +154467751 +609992607 +1218556837 +205075938 +1924816232 +1560983963 +875328730 +888317408 +954285867 +726267637 +230464454 +1658287888 +1645079753 +1070637256 +1199541698 +192707734 +930574135 +1689146436 +494803277 +478486960 +819997021 +727464423 +167174348 +983923667 +719697798 +383911458 +514233243 +958271053 +775968702 +506946352 +85007377 +930436453 +1116938959 +1303564215 +1135512391 +894271543 +717064530 +2010841121 +1782588952 +1671350398 +589625111 +2013053406 +1182154638 +87221216 +936207015 +234212688 +279928950 +1866781150 +1923359124 +774732227 +197784462 +595872497 +1502196650 +364958810 +1579796165 +74410801 +748870269 +2094029408 +1032681854 +1524838971 +453492112 +1117689232 +307791776 +1570431071 +273769799 +1443304167 +317218967 +990834329 +1306661640 +2099807919 +514701079 +1896286751 +1965377677 +1696855717 +1983507968 +754101044 +1931068405 +115953270 +473398547 +1706943882 +890685498 +671183009 +155332731 +245398500 +1036141820 +1735128896 +319809301 +1785012089 +1681674656 +1352491156 +1162367412 +2135166768 +322696740 +1470159188 +1558114192 +596466539 +765979707 +1875333159 +1587300868 +2072641347 +1827657430 +2102001948 +1821444451 +1645551459 +1651374017 +1657468771 +252168856 +1434958775 +1773422041 +725567403 +994419009 +516623891 +1396750412 +1149751740 +762022392 +285408584 +737396989 +1081831693 +2070420673 +271587997 +286839201 +1085304437 +259271118 +609535941 +407979977 +1817385310 +1206002480 +1173959684 +1545234821 +645819701 +1099117384 +1225408603 +600338001 +773078187 +723476414 +104228370 +283063310 +975645270 +1539187145 +2056485351 +1701212673 +386122506 +425625595 +950479438 +1535874247 +1187647987 +1235888022 +125787588 +121996032 +1158825048 +397375585 +408835234 +96645837 +656646703 +1018371175 +504625815 +326548365 +76890008 +1678585499 +1871783186 +722709709 +630219235 +949708141 +1323047710 +1403297422 +1673184556 +1427276080 +1686360732 +501346178 +818979578 +1595362436 +55075204 +1205102084 +2020988031 +1005554642 +593492683 +1061152370 +93959016 +719280271 +1183148402 +1252784064 +1116655857 +1591983636 +1349429902 +1773302560 +462871164 +1854055717 +2099850926 +539761172 +1385157568 +1824150464 +1262470881 +2015376804 +626374958 +438034943 +1271190578 +152075866 +1865311023 +810067663 +653422044 +536806953 +257946451 +708497248 +1741909038 +131450834 +1714051890 +187918073 +1192603204 +1808010907 +907198345 +228267958 +913311323 +2023854202 +1820251595 +115257577 +1649673114 +135639111 +1969313294 +1602040392 +675400283 +1206987215 +1278707209 +1937871164 +1074880371 +1905082167 +228422459 +198587301 +2057158033 +2093733482 +1008654964 +563096429 +483056788 +1266601415 +1271593678 +77482178 +1398052249 +838161920 +265400251 +443171805 +498689179 +1172598596 +671439764 +1412000503 +1048969150 +344207711 +1527258080 +551158617 +479846822 +1349087727 +5715361 +1155247105 +408591294 +1284422570 +945634621 +1483471665 +1042021089 +1174057080 +1682058966 +951695474 +1120306914 +543230283 +1514791904 +1603363702 +1809831698 +638901934 +1680845880 +1060400300 +1477063854 +1946246132 +1503572105 +1975753034 +971361080 +27528221 +1240269889 +2020330231 +371735932 +620044321 +424005200 +851582754 +1969132048 +429720561 +2006829859 +230239694 +1714143132 +804980832 +1713711359 +608680573 +1979037912 +1248286678 +1560376048 +951861179 +1791516961 +927684304 +407741233 +1453865011 +1566586238 +2088587114 +366781663 +896166444 +1887349598 +1870353769 +724435830 +711227030 +1897881990 +1964705719 +584073613 +122134275 +437266393 +1008078813 +973717029 +258914793 +1437799375 +833063241 +489154488 +1004458859 +1638044073 +55382199 +1613139432 +1469598338 +1303668877 +1026031832 +273975869 +947702190 +1953716136 +681717102 +254083554 +1372818726 +622820568 +620865217 +121501523 +362686518 +343735338 +845937353 +1073913549 +94133681 +663159425 +1657987162 +216267956 +1100425818 +518582328 +1189984985 +1359340611 +1956381703 +2023048226 +1848495099 +813356914 +1513608652 +1903877299 +279012698 +835723342 +1060062528 +1305044531 +1109699211 +2007764719 +1111277019 +1791416313 +114364625 +336612098 +266753234 +735229842 +458113621 +629439752 +1078965181 +1304050974 +1703353301 +1173098862 +1967210399 +1213856816 +1389366818 +920152569 +1732439144 +431868155 +132009533 +1541337199 +307432734 +1980504632 +207210465 +1821041386 +1736898283 +486223163 +509281080 +649477164 +1791267694 +1618980291 +509758235 +755061066 +1262912956 +624122860 +1091673164 +1529666190 +1359352702 +1549786785 +11622295 +290834235 +706354111 +1714975596 +1463933097 +526080863 +781348764 +705816267 +1446233432 +366304260 +1137684423 +1578242965 +1907641459 +1445117157 +1411263950 +2114851924 +1118674895 +1000678585 +453591440 +1627955975 +1650155749 +97375486 +1099452618 +12430336 +852436552 +214881926 +636553196 +1944109716 +1744548117 +1995905899 +1346412853 +1756170412 +139256486 +2052766965 +1323662360 +1603189584 +431364180 +2105011125 +161522203 +1877597612 +323831737 +1299206626 +1308356930 +83989549 +596840135 +572137232 +51357825 +1715515030 +1572815817 +504949265 +1195987357 +1075487919 +602324752 +147956327 +1087918255 +1454761304 +362838254 +1724471452 +1251387373 +2107386371 +1572893703 +450316578 +1716073135 +1712150189 +355599895 +892251847 +1167856125 +786964075 +849779324 +1329378329 +517078040 +1173611062 +481101307 +1825434970 +1257600611 +1077941443 +250088554 +1308958436 +645972825 +1822904371 +1813907702 +1841960183 +750908642 +268748806 +1989916510 +1838826898 +1723510110 +205271116 +1415814702 +827413835 +165173839 +841224757 +1277730414 +1881246974 +405891298 +1633330309 +626015174 +1573747424 +272810737 +1475794498 +755642105 +789888777 +501921912 +1236743412 +467840099 +1759522523 +167201207 +717928653 +920997312 +813174033 +393349376 +587421366 +507650568 +1144258019 +856170172 +350083430 +835601269 +432196634 +555354547 +103932323 +1259610470 +720528386 +945157080 +389857236 +454291713 +1351048378 +2023187545 +1080306887 +777312154 +148514634 +408617737 +1532954259 +938403411 +910539650 +622214024 +1406243510 +522578525 +789415231 +2124172163 +1443575837 +1602589264 +370037892 +2030997203 +2110239832 +1514295911 +739683727 +312839615 +202413532 +1171880362 +868194162 +306345855 +284007184 +1588722548 +1251502935 +673864420 +2043014261 +455067665 +549568317 +975837500 +1232379820 +698082952 +1384455238 +617850431 +1636486363 +147511240 +1240064455 +895246226 +670089765 +2029479687 +871934741 +2113665603 +1484585303 +1241972633 +1997179158 +1447341488 +608784896 +589379238 +1760181103 +811198428 +1761259600 +480891617 +1117544283 +2045266784 +2069614165 +221563570 +571647556 +1965144779 +676631236 +1121215873 +793498631 +1909011056 +1819298825 +30470221 +379377839 +1308301541 +177981461 +1619442295 +56064119 +848071227 +1501438334 +927998860 +814253182 +838539989 +22487846 +663948692 +138397829 +631272742 +1253327930 +1898578932 +1442471171 +867103882 +231986901 +412531806 +764887018 +154117419 +634095377 +1336534574 +2119262198 +1310726613 +310266800 +765277181 +1072254021 +2129565625 +795747403 +1451631860 +1290383518 +973728864 +923590507 +1346447637 +1821800091 +277545193 +126962850 +488569625 +1116085183 +149450696 +1152518318 +1254483012 +780723438 +258362600 +1005578297 +75710961 +1125466483 +1237565198 +488242768 +1890353501 +1391682617 +1122338145 +1079404428 +1363461167 +285581110 +1389671228 +2128738349 +1357835131 +1371753205 +777002104 +661983343 +514653076 +1750730968 +1585573851 +1861100713 +1425047412 +1863119044 +1988063563 +1913617037 +831720579 +2137514259 +918651707 +2086203592 +770754050 +1177014308 +944298241 +846465011 +154997143 +34379791 +1334707779 +2045350644 +1426062409 +309562276 +977271424 +642039928 +595143386 +219459004 +623294629 +1952978517 +1591212210 +1400296733 +467478213 +2105865286 +1003544054 +2053052064 +1819482351 +281107818 +1768687460 +1660062267 +47241207 +452924392 +1650092878 +965892915 +391644336 +273363280 +2142907223 +1335942577 +1119828292 +150420718 +1370322368 +307052423 +48287714 +648901129 +616614700 +1025559139 +1290941058 +1211758086 +1245018143 +1914235687 +1017252956 +688746705 +1167048773 +1484731169 +647128343 +23109179 +1390299585 +319127047 +304216997 +1011503397 +1979189314 +351458204 +1464427789 +1481798544 +1317351119 +1856072125 +1755161825 +1312774694 +1044531054 +727506469 +1463195412 +267369775 +1034558892 +1511483127 +916270904 +1651173592 +389558618 +59728314 +715448031 +1634576761 +1973964002 +1732700987 +175839819 +993529127 +1069948508 +822968162 +1016638306 +312764445 +1142095209 +1320855303 +1324267842 +973800875 +1672313507 +641211984 +308115772 +842180979 +349800461 +2063277597 +7472025 +1394331516 +643300418 +1470667438 +1661701291 +1677859310 +834666917 +430488547 +1181549255 +1224225535 +490216862 +1896997286 +711318648 +316697216 +1482214625 +887158467 +1310226343 +404679485 +1710126630 +179381001 +717443930 +704738191 +1500236304 +2041711772 +1678539067 +1025066163 +535440108 +1986654839 +1867247142 +885240570 +1902448788 +1874719168 +132088438 +398265558 +1197902958 +1793789729 +2076124868 +2032569875 +76794628 +1110190475 +1109311762 +567011490 +859704113 +1820630410 +883708706 +194435090 +560305230 +46451401 +599114575 +122948212 +225832402 +1316558505 +827686403 +1726068706 +1210786630 +358741822 +603651222 +1746226738 +197913013 +323414716 +483983660 +2100361801 +50650236 +616072098 +351143711 +1248553194 +262378179 +279784932 +1133639421 +339172808 +1389975407 +95467535 +906184298 +102195873 +1916097946 +1789893005 +296630963 +328919528 +1836344406 +895745539 +451867740 +2062176809 +64820396 +1279554143 +1640761867 +1275607026 +1638295966 +96929441 +874350117 +1836208979 +420344158 +1358333777 +1789087133 +470994394 +1974405876 +2140230844 +1719547589 +89300407 +272532128 +705703362 +428473215 +1662507536 +801170898 +1334657514 +1764703409 +569785196 +977066871 +2061334372 +898704724 +665927629 +809596263 +1350572464 +580620790 +874416660 +482642959 +73899010 +2540038 +2120938925 +170828451 +876890155 +1809664257 +591172609 +87740285 +1451267742 +1062167004 +2062146161 +1444014938 +634230945 +3962920 +1716547067 +1339934307 +432436136 +1231570955 +2141105205 +1767093650 +848790716 +563406753 +596676873 +762641440 +1462111477 +1262604502 +1572237704 +665200293 +1843225293 +299170716 +1147843253 +1917124303 +301710754 +1121298530 +2087952754 +1178600910 +783479139 +531641716 +1266341195 +87263233 +1593808720 +1181003708 +1531278172 +80556017 +1184966628 +1100341591 +1420490324 +1617402764 +184428898 +1414111882 +1237012766 +1033219614 +1977518635 +1833689639 +1795861054 +1292146465 +948810494 +1220615110 +1957346758 +644552139 +1519785826 +957706363 +414192794 +1821496581 +2079004894 +354661900 +852613843 +715000385 +886303616 +2118955038 +802263619 +332628688 +1152475098 +186058143 +413184705 +189958078 +1286399734 +1833675030 +1807360843 +1470828632 +1100303264 +896889961 +356564598 +930338251 +583095953 +4942004 +75001068 +1531906447 +1225557115 +2032347827 +28974938 +597859293 +842570542 +443167732 +271872226 +774091788 +797829632 +1124486069 +1489092174 +1684133249 +1095957459 +143872145 +2016761937 +100948909 +329930288 +282462995 +290906988 +1616330022 +2116138025 +2098267831 +939675006 +1068957641 +847674144 +1296239604 +1999295892 +1430770097 +1301181608 +2074296961 +815192896 +379255075 +1959161140 +844167834 +977114369 +654248034 +1287335566 +1248986595 +1428339823 +2085165199 +225989017 +769948349 +1621814800 +1321946476 +913820494 +1491093089 +1422895386 +1243750782 +1773556084 +1713802374 +712597156 +1742210461 +1664586557 +1652272162 +663684454 +364777053 +801028118 +515496699 +1795547151 +2102209726 +442310012 +463256399 +333981154 +253987504 +1307424234 +1311095523 +908235538 +447276152 +412598470 +189091713 +384957703 +638587487 +959040062 +2006772503 +1960533964 +1872860556 +1350381945 +1235945702 +969127690 +976454381 +802264428 +1681724846 +571181195 +319367337 +1186513360 +1234865649 +684144390 +1987541478 +1750362348 +332207893 +1942267557 +45188712 +795464293 +128765063 +299176216 +2102888527 +1439860586 +1207411755 +402681031 +1852459056 +1396503468 +787638735 +343562896 +208059883 +646927590 +156613212 +2080920439 +1997309535 +1392558914 +902564482 +826280269 +47339694 +436805680 +1397461464 +366707031 +1623319041 +484843465 +1050851421 +1463376871 +87722166 +1383059315 +1258160780 +132910878 +31039960 +1386925843 +432087095 +2133928487 +679302781 +1639498850 +389125870 +384278190 +888518670 +1176764605 +727841086 +1096578553 +1823692196 +884454298 +1030015345 +1673518083 +129529564 +1932579827 +352314704 +176869258 +221901859 +1749776168 +543576289 +1845220900 +87135986 +1594427710 +1161114124 +174858152 +830003377 +271791256 +307769030 +861043337 +1658717100 +739856125 +847488176 +190536233 +231871327 +1236614047 +574814423 +1120389998 +265895004 +1302655509 +69484903 +2089587200 +39626159 +1099500248 +1615621636 +169155723 +884596427 +1967936340 +346024981 +1106498287 +1570228861 +889601270 +804235539 +1657364847 +336545333 +1965349663 +1832222999 +1166548710 +89657272 +2139992029 +2027592048 +1748374372 +732364507 +727596576 +1938910605 +964235834 +1964210623 +366241381 +2084625832 +82621980 +1668896890 +6627088 +24725532 +1708523050 +1106127336 +1640347168 +1877678773 +1990723764 +1460799861 +76220107 +949738403 +883545074 +965821377 +1753973942 +393426273 +1302366710 +1571839958 +78165624 +321431773 +1661497230 +70674005 +201540173 +1262387954 +803038512 +929136749 +1053814911 +1767274347 +745863725 +1420056292 +1704416531 +828485705 +941469535 +1711043619 +853211237 +502508937 +669687308 +346074758 +232704062 +512927424 +1806874619 +308924169 +1462665827 +542936045 +1274745547 +1069156121 +936362318 +429628609 +493512431 +1014527942 +751060382 +7526013 +1085201947 +952600555 +1269913967 +1888240460 +1881737305 +176245231 +1508031159 +480117382 +1596301523 +1064964042 +1308603087 +390287410 +628524014 +14330676 +892796347 +1298211322 +360405434 +1125500410 +1811138746 +19796405 +1434424579 +1126320925 +562732450 +561686478 +47993398 +1499094768 +991315088 +541505830 +366139062 +1742375470 +549031843 +1451341010 +547492378 +1818945811 +1192097822 +281746035 +1995191042 +552645333 +761863417 +1444008917 +1617609375 +2070466504 +1834296328 +98649741 +2084797180 +579609027 +1396861063 +297718967 +1705109437 +1060516161 +317515372 +992050369 +39353438 +880247823 +1553736847 +87346837 +231858943 +397568287 +628852667 +597998006 +2139943758 +1177884510 +2049339016 +539952488 +849346673 +1093953190 +821698523 +697054067 +1646598523 +1583561940 +2141062985 +1116724250 +1506544796 +1827875665 +1215373992 +1443858328 +260001044 +464751407 +1741577295 +1965110482 +1525267569 +2059092668 +809677203 +1564621007 +791856843 +215930402 +1651967844 +1023715786 +613498690 +133336863 +1621713792 +605958800 +1311221374 +1523569160 +1145911288 +13084399 +470038702 +1967609811 +710138467 +2116637225 +1403688103 +703717804 +1085877828 +762749251 +384109821 +153768172 +59123931 +644110865 +618519579 +1800701227 +461737699 +2143787148 +1712310247 +1271414902 +1560924508 +356683442 +1487345305 +1065408704 +1380399228 +2100843995 +1198745568 +854629373 +559319147 +362483294 +230714885 +1705230435 +375567693 +700753588 +1525356598 +1085706160 +669907165 +781561053 +1789423964 +1755784993 +1544310304 +26050137 +1909553165 +1603434235 +670161003 +380589097 +1256651814 +1131898702 +376892597 +821478413 +255829957 +1937817105 +1178161855 +1743175262 +855742162 +411077436 +1696535609 +2054487730 +1265706809 +108371108 +269487376 +1496421694 +1813601543 +645055069 +49691634 +1191474493 +1730761230 +719598800 +1973035546 +1372701546 +327900145 +1369862202 +1398751684 +89969663 +825812789 +2068912687 +470558760 +2082464604 +1053327741 +847451357 +756459369 +1309157698 +637784815 +1934621225 +904849312 +1493526977 +198215013 +453901273 +1400531059 +1463921822 +562272381 +1670018435 +812859868 +228390276 +167589856 +862551503 +1419864769 +1898351086 +1582150303 +1245416667 +1123568985 +1910050448 +467795221 +374837021 +2000020111 +1293608011 +296266060 +323095223 +1228588967 +1349593801 +1170546581 +1985048336 +511267852 +1808331396 +1772185913 +1416117164 +1154374725 +1970400926 +1870018438 +407422136 +1286839100 +284807171 +2077440571 +2099698969 +513197448 +97546779 +814766824 +1933062217 +1995897866 +249433479 +1030995237 +971983203 +12000279 +1498790458 +1346820224 +2012020391 +644914821 +1643086284 +187631966 +1873503788 +845196437 +1358178547 +1711068477 +1356464289 +1019026295 +1335770742 +625097806 +25917372 +1158688021 +347632596 +433339508 +298043473 +632439767 +363296431 +250258794 +1145637215 +460843211 +1065025618 +931215785 +309257429 +1314459097 +1962211022 +1281240632 +1326459377 +1313517832 +480577208 +1190996120 +1958432654 +2123663492 +1378628086 +1684452794 +821376281 +589322986 +1248037623 +30356923 +1608349281 +436324718 +655454729 +1634266654 +1595012739 +1003087325 +2067606162 +1893056212 +1635527092 +283418946 +2143315007 +633680660 +744262157 +1060856977 +1564896445 +1053519586 +227832427 +1379623819 +187276570 +1554291804 +545658003 +667853778 +597804276 +356607009 +644033622 +1976432362 +2041059804 +1465409903 +418271700 +1141613779 +1495766826 +2026620982 +1577938497 +3737907 +1513403988 +1025467588 +1006825232 +1433526502 +771040153 +494868677 +1716945448 +766871512 +1128549337 +313723957 +1827728489 +545962134 +1367243543 +2055560916 +1925585953 +1554520113 +1462369072 +323760308 +74890243 +2060173348 +680367318 +718923865 +1889122063 +573943474 +36850121 +159910115 +1715557253 +1532616947 +39047449 +1146012103 +1536354855 +1552451437 +23996043 +395696439 +838494292 +795036196 +890565116 +407956092 +1561907708 +2019114453 +721680050 +1242152550 +417592939 +2088923593 +1150229818 +195695244 +1495960059 +465115243 +519455553 +1570850302 +377804943 +1199822871 +142290520 +119443358 +1773766345 +179140641 +279353474 +1341839950 +1711757588 +318400923 +340368405 +1100628795 +1870852361 +364364449 +1496325235 +561863005 +1159400645 +239406703 +969819097 +573824706 +111037509 +1691499147 +1815977256 +528630448 +1632939093 +818723426 +724325693 +981415504 +1283838669 +1243781246 +404782158 +1661643613 +296120469 +547072678 +1781086971 +2069886814 +726213319 +2060440445 +1264243116 +290487260 +231357721 +1604611522 +1391116055 +2102210082 +1968975971 +739957642 +516589439 +980892968 +979364346 +1486408536 +1554717674 +1090401855 +1030424036 +1223211282 +1619032303 +515879481 +2041934709 +195874348 +1497294985 +1178289730 +1439655594 +1902077143 +692449695 +1735776063 +301666174 +326053019 +1658179229 +1027879493 +239009816 +774938698 +1318366753 +470367537 +232066572 +561999161 +425093971 +53558895 +1301956803 +941683410 +1034451863 +133837501 +280608299 +441685890 +1224239356 +1311032335 +1664897172 +695788012 +1826911816 +1559348233 +891662360 +1176723153 +590154316 +183834307 +931316648 +1282604011 +1919610370 +1232982822 +1608657030 +1430305952 +113378668 +1847666847 +57761002 +1431745421 +170550736 +289827574 +1993744582 +595644708 +343386469 +1148217738 +1537328118 +1377838332 +1282055239 +1817936417 +1819524222 +358810948 +981485104 +1336937747 +1054598960 +660913272 +748802332 +1946261320 +1837636425 +1338956648 +2130095627 +621469426 +474077012 +1902222350 +1854452248 +2082734042 +1185044654 +1967830916 +1782917241 +1242805656 +1252092690 +1953467978 +1532633230 +1098353624 +401629038 +1876019699 +99087714 +1938957156 +1106374383 +1381142954 +1609409926 +778414958 +1739953902 +443411382 +2115352705 +647069214 +1104324655 +716671389 +445846886 +794477432 +2055628038 +428458866 +1415946858 +382221402 +183197568 +1122915459 +317471796 +1368242222 +943262727 +2100389038 +463564230 +47871769 +1906373368 +1996197460 +1146225394 +160518758 +1724733511 +1245313108 +2099475914 +683624246 +478972414 +1561402192 +1462039204 +71442668 +2004813575 +1429908261 +718511882 +961654582 +2146579651 +1164358769 +1756132014 +2054724041 +1592817635 +1024595225 +289461795 +1776015203 +27036 +606933591 +996773777 +943289763 +559838981 +1460338007 +991161533 +318728701 +1309051819 +2137386927 +479247459 +886301682 +1235216387 +431239726 +1569925928 +1714188802 +1992641918 +884481485 +1785631470 +1849971845 +166906098 +356659705 +664142779 +166002101 +1521018474 +272791146 +73242494 +966352461 +1297386371 +362704289 +594884016 +1297413407 +969637881 +1591657793 +93219522 +1529476862 +904512152 +1084381055 +1848205564 +66080323 +1074284334 +179969375 +952382005 +162017074 +611209101 +374824285 +1876205876 +456367372 +1259305770 +1514353698 +158855569 +1426211869 +1871013403 +822998349 +1592213970 +1244548229 +1095789495 +1665456465 +63417042 +245692218 +2028160754 +658301058 +1543105625 +850314987 +102475203 +1636325147 +232308202 +1006987355 +573222555 +2080513766 +1073067678 +1647506889 +112999493 +2025449683 +1809523963 +724208595 +252790321 +1538246191 +1180575967 +1512096091 +905116242 +1339431536 +790824312 +628645997 +14946237 +235554635 +1873194227 +1110735732 +1901011100 +1936611269 +1356427950 +1781688206 +447428680 +752049927 +484519546 +549903883 +240891427 +716827748 +1556891239 +814113982 +649857866 +482475269 +314137223 +762857359 +360441305 +2123661187 +1487065954 +613231626 +1514423730 +520158273 +2125327717 +272056324 +1859589810 +768668382 +900702322 +1874536047 +1004223017 +626412901 +837788132 +757750469 +415540522 +46732434 +391955027 +862969202 +798782362 +876474573 +1412873086 +1039673789 +1593302321 +822280677 +1853787771 +95676539 +1304755946 +20441346 +858533899 +1665197251 +2144102533 +198116205 +130945229 +1511042616 +718274479 +108789299 +1783098940 +430380641 +877457681 +536317614 +157433040 +1881680698 +1162730515 +995221172 +491947519 +1578271038 +1041953607 +883902546 +293756592 +1840735969 +1760377120 +1706629678 +732926110 +1206195793 +381426707 +439230233 +1301872333 +1686182654 +459671579 +12922584 +1203896257 +456290465 +211038789 +1334841487 +1967333081 +929313268 +1443630786 +1602948373 +1359693909 +173604819 +2139265988 +1517126950 +2055285517 +1154512855 +364864474 +399749388 +585300245 +1406818081 +1283651934 +879056838 +1100070402 +896545406 +438202868 +1832996512 +2102741200 +819629576 +124743097 +1257129885 +358328582 +584414677 +1270052469 +1562224839 +1040705142 +1481091258 +749582678 +860554575 +262920879 +45729816 +316019300 +1622614788 +219334635 +307801640 +992258090 +127136504 +1462314496 +1357122565 +526885892 +2047614741 +616456998 +1810537827 +779187931 +1716527401 +559599585 +1217390800 +1402040265 +514857137 +2037020376 +1526783363 +1771987022 +247865310 +2111198040 +894555843 +1810090149 +1004419534 +228163454 +412189180 +1864974109 +491084333 +457918996 +33509761 +2113699121 +677253632 +341311402 +958473564 +804390136 +1803625898 +168112481 +1331276029 +1703756991 +784569479 +994330208 +335461275 +353613232 +1553929793 +1552852075 +1755653498 +2068786931 +1442388803 +1134953213 +1693290305 +1690254113 +1098667605 +440362501 +1352860614 +2103087139 +668525955 +1765049794 +1820577600 +1159610288 +75485143 +1854087361 +1125825761 +752738775 +47915115 +2084299325 +1557128911 +1851541013 +104928158 +740921292 +1407814357 +889497638 +1735251500 +1743275632 +1243110870 +1141697646 +1148644059 +851280720 +1063000929 +443549214 +1986233933 +608807586 +2133803327 +937417890 +1049170087 +1339180293 +893021381 +1717696042 +956746440 +566115333 +729822682 +1032231583 +272719047 +1855648444 +1784970358 +320634162 +1792464121 +1194615621 +24691528 +1897392280 +1935536914 +1432505885 +639406270 +1523304766 +1028297869 +1882517140 +517518764 +29458280 +586314213 +1580519693 +473007494 +425064498 +41843632 +459327173 +1362482389 +1091013719 +1798507466 +108020122 +661226114 +607770258 +674135456 +1391048796 +1640001841 +946854503 +1099213592 +1277488551 +1267488665 +744194066 +324620525 +1292180193 +494102698 +112673791 +577202430 +1133508968 +1635978557 +1605500299 +868542460 +6013674 +1634958579 +1454856673 +1586533367 +2107966073 +1879921172 +1628376999 +419809598 +1094919913 +571907071 +70833417 +1202940035 +1233133185 +678603675 +1877075491 +476698333 +171121869 +676446346 +1575911926 +1448610420 +1943935012 +172622344 +1773230945 +1088631557 +666725042 +1885904736 +1665833988 +1800234010 +1374399646 +1123850639 +521292822 +1380413320 +611325571 +1976149496 +819463039 +571807996 +1708587020 +300356391 +991617595 +656023285 +872263462 +1062451012 +1858963320 +2105396647 +1741054687 +1588555164 +434611332 +1912176556 +117517862 +2010523258 +1213303329 +2061452874 +35661954 +839050626 +1002600784 +702386996 +577471715 +520951124 +355137358 +1951871361 +1644801763 +876430181 +1184801033 +108643686 +705096029 +2004264072 +680451683 +266199401 +157136815 +1672069278 +922222686 +1029400277 +587036642 +633702358 +987313276 +180607681 +74773874 +1421924609 +2092784238 +192291737 +1284964219 +1158603919 +106260963 +1320626174 +1997654545 +1108861747 +2023013170 +427642612 +1629812871 +230666881 +232030325 +1127130987 +1107097062 +1416831358 +1235774673 +1812193091 +1273611783 +1916226356 +2078392492 +1430748598 +1440811986 +853131530 +312665228 +2027848628 +1486833888 +1299978504 +60972662 +1561607763 +574419465 +6273252 +1753899500 +1859383685 +1164877171 +1860160463 +1032526211 +1015048068 +821538563 +908055733 +1442690681 +303867786 +1138722614 +1674721006 +1430998773 +98336028 +944068717 +519289799 +1910529119 +70196852 +288032507 +1841437963 +1500945450 +1728844494 +547085845 +1813610678 +1609209474 +2033919734 +966105535 +1670182136 +1448043849 +1540525000 +1676455388 +1054459701 +1252425037 +693848911 +767136516 +137467600 +1708896980 +1588675079 +1045523334 +1004104013 +1892542866 +36762300 +531341371 +1176057991 +135098329 +1475410088 +1695347790 +2045627448 +1545606940 +1983380298 +1739581764 +899068743 +1564741144 +139183961 +565195773 +1026466970 +25620047 +1531301308 +549165459 +1473663896 +924342661 +78137199 +380639949 +29284050 +771986111 +1147776466 +166751651 +333399443 +588967897 +1212274985 +1337503456 +334027115 +1249037285 +1868844827 +1510085107 +1384135614 +1196771268 +1057949249 +1282279415 +594894560 +893845899 +874377531 +1493963303 +311103395 +1013561492 +2059159077 +1337570366 +1039181540 +1442976737 +1886735825 +365361788 +219835750 +1964873024 +746001738 +249119801 +589375487 +1893778204 +415871452 +922774930 +335262453 +1628146437 +112794738 +669289569 +729700074 +1981639566 +31891028 +2113835689 +1030927186 +1089840277 +1248631456 +1625821746 +1983686177 +2123008987 +972301402 +147305924 +989086831 +883976831 +1484876290 +2028268371 +179469920 +1224128467 +246146512 +399305671 +1041517844 +992148250 +648425472 +1630893331 +738442806 +1064296924 +406184614 +1073705259 +544959713 +518979352 +1742994828 +1274659787 +353135270 +1774885856 +1241011828 +1384062456 +717242486 +342159636 +862400555 +553445015 +317684975 +1834701957 +700750939 +1306771807 +571195140 +38143582 +1187556530 +750665060 +1262272049 +1433703042 +1149970731 +156306245 +278367644 +1798396203 +1787199577 +1016810450 +715209479 +45900543 +2090515710 +1260169192 +564879895 +1686026890 +387345332 +918015166 +1313429099 +1628357160 +154593974 +2030671585 +1970516797 +1016994529 +436632952 +140718124 +704212838 +1137383891 +1447489931 +1275407978 +1175527473 +487562814 +2026073039 +290315875 +1921265856 +1028560122 +446622120 +52149853 +679472678 +86338049 +1068960303 +1394682157 +132238592 +1011992365 +507367702 +697118488 +550535608 +894713034 +1615133654 +1863964707 +375586546 +1769727628 +1747152644 +198619695 +639238510 +36301948 +339337820 +1343451348 +1173685839 +1786827751 +471375679 +201729665 +126906917 +349965070 +492045540 +2048172774 +1378525192 +938667660 +2100322627 +2057997870 +1025005710 +1021799282 +1305196380 +1157244302 +2033791648 +1812564082 +1854362790 +436843608 +559793468 +1322012796 +153324667 +935380014 +944256777 +1900477311 +1133999710 +1583495287 +1936779259 +1473337530 +779462987 +962981450 +1112681633 +1250838666 +1164711115 +1239588551 +1600803736 +1656756655 +1140277677 +831845281 +447940668 +1093116656 +742359503 +1472946378 +2114915938 +2047555883 +482707032 +2001223938 +1712636317 +189586175 +290583898 +124946137 +1511598971 +443908565 +1060326152 +308372100 +196902228 +46842214 +1891867387 +2133681487 +1520179744 +523846727 +949179290 +485377729 +1774685393 +2113890405 +1724966280 +1228005482 +1623163413 +717760309 +2059850763 +2071104081 +1810876965 +654726618 +1396566811 +1778309256 +554798854 +1879273843 +1632049546 +119951523 +2068860018 +1922633445 +244897661 +1432975342 +219058362 +1305223813 +1741347442 +415960591 +1352066027 +1485731182 +402158430 +724762123 +2009577909 +1351337720 +1210139852 +1636779654 +1317744478 +787622485 +717301488 +793424243 +1505382794 +629668603 +717044676 +1168776112 +1284395222 +2113611487 +799601720 +1839194076 +1845401682 +284167618 +1959145599 +1766778053 +59317415 +56559612 +1052269747 +278375778 +1361783425 +646133541 +694336369 +566365804 +2131864723 +1096494799 +1291127927 +1993958984 +300348872 +353784132 +1483254991 +1618093350 +1141406617 +53072831 +264033945 +499305763 +682741435 +981078621 +1668081875 +1967136657 +947206460 +320199947 +1658847085 +645124494 +604367566 +1470509036 +264418899 +663684981 +1527068649 +1316688646 +942060759 +741368426 +1962822188 +1636397128 +1307734231 +1947203263 +585408280 +451378510 +1793678600 +885757152 +805162642 +1129449943 +356366854 +1946569259 +1182522774 +620400799 +298391375 +1865264209 +1601479420 +1966473250 +1684917218 +401202232 +139189550 +1196280655 +1046326726 +743557116 +519306044 +1310745626 +1407242097 +2046374693 +479950624 +201819209 +640259471 +295289164 +1838216337 +1947993702 +95008780 +276140969 +251888565 +1888687380 +1161898121 +1057051207 +870653675 +1518264975 +856136819 +2053176449 +2138665774 +1154528194 +1770957011 +1592661546 +973517796 +1308390581 +1993863778 +1112707346 +357187589 +892706857 +1856264462 +876493633 +55968835 +1116022912 +775384678 +535919459 +1317842121 +1415644149 +831208624 +1008574810 +1216154204 +926217404 +1284715780 +1468042769 +667421136 +299130253 +377610328 +1538074811 +1817395229 +1233747147 +1443767612 +1808577355 +240791693 +1067240975 +1253755254 +1214309490 +228147909 +1100135384 +179533188 +585335498 +1992842241 +2035797651 +1461829131 +2048811076 +1004336915 +89730161 +437246888 +174695388 +1505374310 +1268455512 +1183270198 +574044866 +47189268 +320502330 +2042087635 +714610404 +619632584 +272214316 +105201567 +289544165 +1505961463 +1548969179 +2098121520 +1746753157 +468726507 +1204393126 +813578999 +696874416 +157044863 +993112187 +1282209914 +2403456 +881426190 +596555397 +2051214533 +1885763105 +686285558 +340977773 +2060458493 +44176220 +1609433285 +1096245044 +618221087 +1656622553 +1416747374 +512825074 +223749309 +2036379958 +785039390 +328950876 +178440475 +143517206 +1877920055 +129078348 +1890270363 +199162914 +1333471474 +556365714 +896037330 +1490516337 +1549477901 +30763596 +1492919794 +283420444 +627318993 +1396650679 +21699901 +1313604551 +1737628452 +2082158395 +1357780772 +1199578089 +1030919791 +1976001859 +708716994 +300183517 +341343285 +932466303 +189079828 +1126382676 +1261417179 +367520303 +1269899882 +991853586 +496598651 +1012686597 +1191016501 +1830070126 +1569052311 +2087053831 +1173102815 +971046564 +2117817428 +518538961 +1254467008 +597652773 +1915189640 +1276166910 +1911257325 +1505334444 +1210841657 +1121554449 +557428885 +94277800 +950072660 +1266145879 +394461317 +1291415945 +51128534 +583541145 +270314973 +1312545713 +951061449 +1540214855 +156915652 +1447660100 +405417804 +1347932153 +1130246578 +1974470115 +1287502336 +155865746 +798033032 +1257836116 +674404707 +2052500040 +1855488890 +442110700 +1181183302 +1619262567 +1947445144 +244541311 +593333368 +357390382 +338819111 +1543406028 +1623536261 +733280429 +687338325 +1674664796 +1316821574 +957653299 +839726861 +120399375 +350384506 +996642513 +1568059476 +755802311 +197091018 +550822406 +582788778 +1484593355 +706688152 +1380821810 +594945823 +1381092860 +1285838203 +302951065 +1823203560 +319537857 +1922213632 +1623165056 +564079169 +368063352 +1980555438 +902898280 +1911469380 +1456608052 +1636178709 +451324058 +983789200 +805516636 +1408977357 +1823516061 +925916011 +1759361863 +672674927 +346491839 +367680526 +869765945 +897314246 +950469305 +206875652 +1604002398 +183807467 +801821476 +837611610 +1469645670 +1104772541 +513331522 +1789183528 +879502526 +2136496579 +205779049 +1247565878 +1969568369 +1108677329 +1011551611 +1278692773 +597372391 +1462875669 +114998325 +1402889027 +724369378 +1938514387 +181321390 +336247593 +463705666 +527813230 +703928120 +1333471611 +1425127476 +1654397425 +1540347264 +881646226 +1838204892 +194685092 +1719257837 +1160366915 +1299457633 +85105711 +802066795 +31476511 +74118642 +1007845844 +1279042390 +2043687012 +2116523173 +143110353 +1174896137 +566411916 +1605986022 +1289894463 +1969300943 +182871752 +1080925202 +3138686 +519119345 +1544630868 +530951916 +1223047465 +730618831 +1956079392 +729961242 +123482447 +690241970 +420682487 +318167539 +262016159 +1581049402 +1617625173 +347121871 +235632549 +1649101684 +421240513 +1243478393 +780660426 +317443877 +1212517918 +923770779 +1492340015 +1778929835 +382273153 +634750830 +1600747130 +565144905 +1715676032 +1603885816 +1084264251 +1112823252 +2134837732 +159828068 +1843442083 +1943433476 +889789311 +1966924531 +486191799 +1310471798 +137608422 +748207958 +744037552 +1755233595 +1095329829 +979670101 +1256851632 +1516570343 +75664846 +2037512058 +1834014220 +1288182764 +813799190 +1178870587 +919628951 +1196072343 +1813621417 +372892434 +1761217249 +1381813801 +1976778250 +697997852 +347153405 +1964132335 +857825920 +43111841 +1760082163 +1747615231 +2010036372 +98790314 +910603381 +161146 +846998273 +1654640933 +1755394742 +1942328102 +486827386 +864762726 +1311414797 +562492232 +754791136 +997945370 +1850674997 +1568590326 +29332309 +622820300 +617179022 +1842953727 +995712734 +230912623 +1077283880 +825007337 +928910475 +1424437286 +641656024 +1786736395 +1467549127 +254254539 +1386867979 +1330101851 +353044854 +149987712 +1330262997 +1200043127 +1804628646 +938174091 +994887581 +143972384 +1802936817 +158818731 +706464617 +410244306 +1156764101 +409655966 +1978834632 +1186096410 +1032476266 +448530006 +881566489 +2028189001 +679442629 +1958850370 +705712690 +1608353104 +1235804008 +1347368714 +1247605852 +555869487 +1601623253 +486990183 +1885971338 +1954668107 +636977895 +1068750687 +1007227586 +294122893 +2006924779 +2002115168 +438095278 +1662377948 +13450251 +1144559895 +2072622254 +1170214352 +1554215861 +1903973239 +208827114 +439208479 +205019597 +1090393604 +319913832 +884462227 +901760326 +1025626522 +345331683 +2137564334 +225511588 +1592937535 +545950173 +1827134842 +2079927718 +284437863 +1634319301 +569421966 +1353188550 +494063240 +863544859 +1212629681 +348694760 +1301640137 +727523982 +362145011 +298716384 +652662588 +1532359363 +1852932245 +409152179 +1741186477 +144657077 +614171777 +684096433 +464570909 +1498634004 +1585856759 +1490197432 +1843965687 +1575937445 +1715709020 +1289419575 +2121887618 +1395360214 +1221863645 +258841833 +882195868 +1791285611 +1612030384 +1376259108 +507346823 +677176417 +1724953868 +1808986960 +1404700399 +2087098879 +2107703345 +2057362988 +1471974594 +1813151942 +319031519 +1065677423 +1957809019 +933203296 +1749773857 +274896281 +284353652 +1188146968 +1765093713 +2128319340 +616600766 +1333319085 +1270255267 +591004736 +581195652 +344635264 +849846570 +1463391520 +2135920876 +314393306 +692166980 +495784051 +991569723 +269637200 +157287363 +248786475 +209252431 +117507060 +158665815 +1681227025 +1930659003 +477697334 +599420800 +1740984374 +1410900631 +201711009 +2015880655 +1695254283 +1389857978 +1633490720 +1676089975 +2006458744 +819326158 +798861594 +449979832 +1400521810 +1143496859 +1299826402 +716429682 +1131934087 +1614219708 +1408596662 +1627718138 +458305784 +1678233862 +1785005501 +707092259 +1887486293 +1902512562 +865758074 +1421229670 +1685687917 +1343455408 +2020650470 +1279188643 +606872391 +74877832 +1147585651 +154643027 +1464735810 +633592723 +1830733002 +1323710906 +1452918881 +482110949 +1773690738 +705957043 +1625607808 +926033493 +1422386725 +610058247 +392769553 +683499739 +90292737 +851075337 +214249953 +1875298238 +1558167596 +2101736246 +1630327152 +276442022 +1375482268 +1168531421 +1619897431 +1248649091 +300236417 +79286174 +1323526923 +1447822068 +233929201 +640779085 +2081414791 +2064662204 +1964489991 +1386850025 +399289505 +1590697081 +2092807068 +2024897313 +369246926 +1367710146 +487471912 +762016480 +2051209885 +577764649 +1613091817 +117976191 +305579239 +1023775766 +72228789 +1935906392 +1300217788 +1447711058 +956954165 +772631571 +548876501 +1257190582 +851917746 +1872403424 +557529002 +1085846947 +365698861 +491460146 +1003025503 +182705204 +1878310171 +1402315008 +1773402285 +1823633591 +1279728673 +2142649212 +1043860089 +1767200585 +757182044 +947586327 +197481586 +222790213 +1065562518 +503060826 +1246565979 +1137791307 +291483570 +399300120 +438018717 +1248437735 +1171931691 +986895218 +358144670 +2023849437 +711814994 +915673672 +962212737 +1077513855 +1407133818 +1965238240 +1260219059 +1137960341 +1220069601 +886137697 +814110285 +352314626 +881303261 +1857970374 +2119515212 +1638485305 +658073053 +169513150 +1861275518 +1723635571 +672573976 +960357850 +713943231 +964057546 +1359657970 +1151961948 +65011634 +384106013 +2138857167 +423156304 +260471803 +703188513 +1338829976 +1222684540 +1780702369 +598480147 +1040439132 +893437780 +1736440488 +113025085 +1779575477 +403067125 +465339712 +513395090 +113553852 +437371276 +4396747 +771626905 +606884426 +1865672266 +347778829 +1279458403 +678546468 +1061722060 +96032301 +2038204438 +66200360 +161043935 +274826803 +57573879 +584200239 +535298606 +760762393 +1923030216 +1757983146 +393981114 +374026715 +650938631 +1287418894 +2110467203 +763963716 +919510724 +366050681 +1229303428 +1432905814 +479604533 +1666674704 +1437302562 +1251231438 +126075483 +1155491180 +1599010267 +1405533886 +1834037648 +513248679 +1501566187 +1724758438 +579449040 +1662610123 +1999585241 +637022919 +99326714 +387400200 +1397785312 +2022356930 +2145383346 +1791766426 +248899997 +648838329 +931701673 +211883553 +1412802046 +1851212397 +577934234 +494621826 +1136634563 +1057538767 +13812883 +426453477 +161286557 +139888366 +1581944657 +1760296825 +1545422252 +1268498657 +126061856 +899504791 +845773447 +705510896 +414631266 +697875041 +1342533816 +513957981 +1085275241 +592835480 +388831263 +1083174939 +237118259 +637731261 +1732013269 +1168819932 +849614814 +997331667 +872548681 +1427549048 +1491953493 +2009183244 +337604167 +1505766376 +288153074 +498890724 +1645654742 +1870097731 +111703901 +1043593346 +991112741 +237765758 +1943098138 +1836886188 +943276654 +210245756 +387277581 +138326822 +724203737 +1472552822 +731162303 +1113035001 +408244114 +968280562 +1750766262 +2140257383 +2137100494 +452897428 +990105402 +862165527 +1880446476 +334575247 +723865123 +70566995 +1840341624 +1012018197 +569457719 +1338512718 +734632281 +681161621 +234622417 +1725745022 +918927379 +30236907 +1415147562 +1862204033 +240482663 +1802425144 +2000530856 +964686401 +1127494318 +584209511 +2077721402 +1535738432 +1552490073 +1681004016 +1528512167 +1542106919 +2133901444 +371133921 +256788798 +1866864272 +705709169 +980653921 +1937431267 +398567145 +1992672119 +359405338 +1737079863 +579820752 +1040566959 +1971702280 +158082126 +1959494338 +2001939187 +1573229688 +1674214724 +94938203 +1228171184 +1527261932 +1059624604 +208181855 +2111471443 +989862358 +1743920287 +1516477868 +523382726 +1124948807 +911101139 +509800522 +1496082728 +1167889937 +229181146 +54308249 +1060210 +19128765 +452875394 +1993732329 +378534103 +42471610 +426069433 +1419101063 +2014173890 +584151559 +1231111753 +1868629430 +9897600 +757842829 +1963567633 +1238068784 +137621113 +875708589 +1446250639 +101608908 +1865570947 +1042687279 +1618086776 +241470025 +20152438 +381704267 +751270547 +1516235166 +1549594204 +980451693 +1570543416 +1550654415 +999580458 +2023418810 +1396903096 +1378114561 +2065890420 +1822972530 +649731976 +1932580663 +259640441 +1880843730 +1653726445 +269538041 +491202911 +1469810430 +1507606826 +628824025 +198035371 +806373817 +730432933 +2063606318 +1849061096 +201036062 +157592695 +1869213534 +582740329 +908863242 +1237965053 +2132334534 +1889314935 +661024821 +1535505301 +741411745 +536959983 +784924749 +2119526306 +455366756 +460413631 +621774635 +240463771 +720054073 +355134717 +1894190216 +989592114 +846337628 +1216516998 +349715292 +1475161653 +1414552369 +1156089110 +58110939 +1330675039 +857666558 +259147001 +1488267734 +579396445 +841887330 +249647328 +1817361498 +826738216 +2138962263 +330902671 +214759869 +732890360 +867862654 +999684619 +704933018 +1323229410 +1460098250 +1326707653 +1563693181 +32668675 +1681842370 +1310399749 +1022260790 +380696351 +379433099 +1371976082 +1855858004 +1793985468 +380581544 +1913968943 +977176859 +1238248103 +25632296 +317960945 +1817644548 +867519627 +567608273 +1487522398 +1694257843 +559086888 +1818425069 +1909017713 +1291977248 +538804075 +761218684 +1996910267 +1862033486 +73833286 +1176134272 +1278243019 +106501962 +710492995 +441159121 +1128762752 +1091189346 +820592220 +353255186 +799563702 +467094041 +733836731 +566048998 +1444270900 +1972084834 +591681294 +1762231846 +1642245734 +1459200921 +182356471 +982284484 +1005975117 +741443360 +653225905 +767509182 +2033420608 +1192029980 +1528727866 +1882847227 +906579818 +1602561152 +911497852 +37339190 +1709063114 +1621990847 +478498311 +690342218 +565696545 +1299090531 +1043597405 +1365260247 +1766184572 +1777434136 +1931309245 +1062971825 +1602035322 +375506892 +677720023 +1096797408 +1834707813 +860076494 +2079081892 +693199282 +1601519854 +584824149 +1460708464 +1487456815 +1776854129 +841952682 +1222820394 +535950300 +297030187 +2134318246 +573289490 +2006093301 +1608825445 +1051787801 +548951872 +27038342 +203394684 +1592549277 +1392298590 +1969579257 +1222499765 +1176124187 +885067434 +677051439 +1551631079 +1562787457 +1773848847 +1238855245 +275380303 +1705447091 +1932054527 +1876900158 +142787592 +1245279344 +1216873325 +1919641721 +2087232026 +292210071 +308108373 +236778565 +279044670 +881397863 +95388219 +1887870115 +1933185664 +644340091 +1914908458 +2136580349 +89405720 +1159723400 +1958675958 +1311905485 +188363939 +696259744 +1988956924 +1739995019 +111563553 +1615322123 +831366616 +386943856 +1173285566 +615937495 +116360366 +1316073158 +1861216839 +1333233691 +1088231231 +1800965218 +1625443763 +1396339605 +2037743783 +1904488433 +130253820 +2133132002 +1644874900 +2063439485 +629988445 +1412299710 +2052536186 +719394165 +424539462 +1863728496 +2031299650 +612903402 +412504592 +1872772926 +205414773 +524068145 +1340611401 +1036781389 +911012001 +366413319 +1652718884 +1027372368 +1682486477 +1366452076 +213122411 +623234061 +1019933646 +1838566174 +2019573666 +910193781 +1595570959 +2343838 +895842136 +1092962212 +2065783323 +1525830581 +357778274 +1970835861 +97741099 +782317737 +1687080709 +2129040749 +1395221139 +2099585301 +1854330028 +1600635912 +476169798 +1047457781 +489933653 +1387181800 +1413871101 +2142652537 +267070520 +948873930 +1361620965 +480192931 +1572107991 +234070963 +171275458 +1444198009 +1144264745 +1766846417 +1446541848 +2040106881 +712324981 +1364841523 +1418453814 +1070103256 +1188193737 +1516194913 +1852420993 +727790798 +1497752015 +1100158484 +679892452 +1204598395 +553310748 +1156062250 +104572528 +1043244401 +395760402 +1518443629 +1038413290 +662830922 +319833912 +252550608 +1143023854 +1891941903 +486621571 +1314299312 +1188656265 +1630886316 +933662081 +487714465 +1523509549 +1645987063 +1852555988 +794479716 +568606671 +893266077 +163190981 +273544016 +1621056876 +1660942996 +1373702500 +153465680 +718057743 +1927013248 +1309527930 +822630272 +822774001 +1705288333 +193590253 +1861187291 +220635607 +513424165 +2113737899 +1363659461 +257882421 +452875823 +530475125 +1446538686 +2083762139 +1464137207 +1934253151 +1459788041 +962640622 +1639325491 +106784109 +1531247293 +385107921 +269975090 +1804791309 +2006164797 +1930918087 +1031010161 +12146829 +501492182 +810539761 +1321674759 +1324122454 +1633313762 +879479444 +1517712708 +1347017405 +1100115052 +2031136873 +1313271657 +316290865 +141535646 +1766147480 +846765991 +1588074332 +1702425971 +163419550 +1374843835 +1014730364 +1126060172 +866685679 +1121514473 +509823817 +1251793600 +1391489564 +167131478 +1110474749 +1174924003 +1198141639 +1122621578 +1676416185 +2008681400 +296812689 +853054992 +1494511514 +1176292134 +223284052 +694045271 +128923538 +106937277 +2007316928 +445214403 +248472924 +1625980760 +1291980394 +1836547256 +1180923084 +1455399944 +1063907444 +48169800 +433976468 +1930593123 +1169684274 +943800285 +1034903075 +413690190 +1110931763 +2145377824 +1588614193 +161589754 +1120515754 +1117546730 +22787506 +1417328443 +1970601722 +1517299020 +446136929 +46402126 +63860644 +575060467 +153339404 +2071177572 +1020274871 +401812328 +1549674685 +164771617 +90875936 +583114121 +1620171562 +1154783380 +631283921 +2054148030 +937892855 +1800968195 +850464668 +1972795930 +67174737 +1961396431 +1970690106 +1655788930 +2122986186 +943722212 +625852013 +2145773692 +213567008 +448970087 +1515589065 +659703937 +495372214 +1579449709 +1234764405 +648711618 +1503143633 +107555628 +1050523946 +905334670 +272327245 +1141399882 +1488448791 +1892498807 +148699615 +2119732713 +1799163190 +1086592470 +1773217260 +502144210 +911904753 +1840391998 +316056993 +735111211 +1348697280 +291559531 +1678833424 +1974549293 +289849576 +1892400432 +276035733 +1805438641 +404620721 +771407947 +1237404702 +1639385126 +1420119565 +593064687 +1746940754 +323159863 +1498399358 +2019268000 +1464559745 +839364501 +1764283159 +1613259360 +811613566 +1415962701 +552368183 +437347179 +1918106911 +1464272936 +130255529 +86680257 +51900499 +1478952809 +378239788 +1730733923 +1306018455 +668089364 +1475650707 +1582054188 +326044357 +1880271429 +205978487 +1563449059 +1372172907 +1626098052 +9030099 +971630014 +1949257915 +1507429457 +843414366 +1266334012 +199310310 +460213877 +732109725 +1010923877 +1876176579 +1284477908 +1448271056 +1646799842 +601267196 +1578526585 +1733480099 +653167695 +909995746 +2111719888 +236417971 +68530553 +632325604 +1712068678 +1650584741 +958369962 +1444856459 +1856563228 +374335373 +669545719 +1335177632 +383365472 +1641175733 +1136951899 +1890794929 +337106451 +255802264 +2090105240 +797320328 +987911989 +953545469 +526013259 +124906249 +254332877 +25329454 +726173445 +1832859462 +1758809553 +1379341140 +595371560 +1723045793 +1615759111 +663902114 +207887750 +1180344142 +167003207 +1166257712 +477716953 +2023566436 +1540593085 +1147262672 +1211260420 +1923958558 +640954757 +200728672 +1667269839 +978061208 +456530936 +1609891431 +1775381537 +1444442925 +415953252 +153911148 +1569349174 +670286129 +179240602 +148038971 +355661943 +1938050156 +1527380111 +951033504 +1513612301 +995655575 +1614935618 +1721500051 +28516069 +1781938825 +740274115 +506233022 +1658021613 +133383553 +1653495695 +721798386 +2057342111 +146966804 +922527058 +1577128302 +1125028013 +1379057994 +1039536086 +752925902 +676017271 +1455489338 +906837050 +97882797 +2125775468 +1086077653 +245921768 +333953763 +876644161 +1773301879 +1284987267 +242772814 +621473806 +752439237 +1964272866 +649989875 +386894415 +557063333 +1156222898 +2044916028 +690446886 +662234945 +619230766 +600305349 +809201749 +1541757824 +29950004 +1934229762 +773332170 +1069486090 +539672016 +1449349441 +377491780 +1446509067 +1547232238 +355783600 +385103072 +1793154006 +689737364 +1261747233 +1418972238 +1974724631 +1504520047 +2040446044 +579680221 +1321309265 +542952272 +966574636 +1878372599 +1699175170 +864007016 +421335837 +213926467 +1483237783 +1021641187 +1023128216 +877511959 +1051591191 +809874331 +1650844130 +2121077281 +1349546347 +952709923 +351085413 +648571766 +352458514 +706869014 +1033674838 +2145612520 +1396606378 +147938423 +1417101110 +1223847361 +1652458471 +1310063507 +1803527582 +826284088 +1853015779 +622618570 +557173039 +1404707301 +1486625587 +978508877 +1618633768 +822379722 +2000150064 +494278336 +1699891681 +904257607 +1304152667 +1203252163 +877851240 +506215367 +8478439 +1228936653 +1154787133 +360936953 +1935805667 +40978324 +359065825 +1184928397 +188916747 +1776166936 +261292111 +1841375218 +938746795 +2064819693 +520175659 +644278926 +539954616 +1077348698 +2048986227 +2026580203 +2055857575 +1520136347 +701476277 +1908523991 +2014414683 +253884310 +665297950 +1171083703 +1457136474 +1543149190 +1677299070 +1465614913 +624602196 +684602555 +1826551866 +412924215 +725580879 +38134043 +1597852613 +914497627 +1814300979 +1859144724 +608389197 +605564126 +1776480769 +1128564856 +1249843052 +168951737 +58429907 +1151345631 +48048292 +2114287482 +523998330 +749524569 +1875327826 +390929366 +1003408880 +393142128 +1562013069 +313061706 +1936291319 +1091828491 +1778676619 +413409867 +1776431046 +1457744837 +826334082 +354528278 +1495878880 +276703047 +1269025905 +1162696212 +2135847771 +1877415102 +1768260338 +1764844893 +858496311 +870619743 +1933796630 +916926218 +2021965374 +1981844923 +883730052 +398480057 +583885844 +611574230 +789409423 +1587294724 +1004716359 +203938844 +1900356430 +793524030 +1295767335 +1531549401 +1206933897 +924714733 +841810590 +2033267979 +1279243011 +190205823 +162487379 +400785268 +1352902035 +150851502 +130716723 +973678725 +1915696395 +989213034 +1844298468 +1702009378 +1906139252 +1718780195 +1536370653 +642385656 +2117260252 +2120256497 +1253959887 +759186027 +1560067574 +111192598 +963124871 +1312940356 +904716628 +111408558 +697006110 +2111650525 +1036123291 +1538816700 +1997434856 +167882655 +1729022523 +12438587 +568667923 +934440910 +163290090 +699384646 +1908119636 +2078986485 +1688597680 +1604934456 +1633512215 +1447253284 +1176231003 +1022399220 +2089638941 +1146007607 +995172070 +1196115180 +1905193634 +407755996 +1307307778 +720834857 +1720696352 +64540758 +832243415 +270218814 +28707635 +1868366707 +1809035515 +2026142491 +2036249362 +1390574390 +2038581079 +457433637 +177531653 +54387521 +1156818284 +2085651289 +2133374006 +697932316 +1543102097 +1619402574 +2145185601 +571849453 +494318146 +2087340894 +1717857060 +1489490216 +1135972426 +1475567047 +1897246212 +295796556 +48918256 +1470458917 +360337314 +881161672 +1740677731 +389044949 +602044731 +1402229598 +267703792 +490810445 +645320341 +158801223 +948244082 +822851994 +213188744 +2105062366 +761019635 +199079103 +655511035 +156638084 +1818481677 +653212988 +728487537 +165316175 +593070234 +298860950 +1654806392 +1729042660 +1774427997 +1404568956 +2024839216 +1823346253 +727544225 +237692882 +557024277 +320738309 +626737831 +1159069008 +1722967907 +894441623 +1649879453 +220804600 +1053242847 +450639888 +1043656594 +1266431591 +408218606 +1804676229 +1465510694 +1063729641 +1961314314 +1136508723 +1716942629 +542318203 +1301824899 +162529215 +841179153 +809147643 +1891571875 +468123502 +66232951 +1768927443 +143986108 +793777177 +2006620325 +701010385 +1114515486 +485874508 +1860079394 +689999745 +1380316132 +1362475199 +910804346 +286075331 +1813115087 +1954460940 +1552506922 +73850046 +1611653522 +870533969 +1137579687 +1425484188 +2007042692 +707038669 +1967802391 +1161383943 +869567884 +661497897 +1970531586 +613656112 +1129621399 +2036764538 +235099907 +1273607507 +683058067 +94236585 +1974617893 +1797573553 +580111093 +1687213639 +340089650 +1960427225 +902205190 +1250893996 +99018908 +567836630 +1057871289 +1651525831 +641686676 +522041163 +374576152 +1779266363 +1947525351 +234135196 +338821384 +1767844094 +1395519140 +1208389269 +281858343 +1218567078 +1822045381 +1411479743 +1107847968 +2057145288 +537603602 +1790906035 +3898225 +364737847 +1440995940 +584009319 +2051951486 +1781085591 +396952896 +806673029 +884495939 +495971805 +1374509659 +1942367228 +13988 +2016196335 +316924743 +374590140 +1647979050 +116966446 +608725336 +1986800435 +1884810541 +2004244476 +1047706056 +19185236 +1075327907 +722267789 +1430664979 +35692227 +631929429 +1968268582 +1826598263 +635827655 +185522781 +1120110555 +1219836974 +89990620 +753712498 +1616789870 +896663649 +1638208438 +2112761675 +123689660 +1433092018 +2112775663 +2139885995 +1750016762 +339882155 +1640381397 +1866983208 +948607492 +1479698184 +1604310101 +805368320 +379920592 +1623495338 +1880696227 +1102188381 +906676669 +1916388455 +1734117811 +727461603 +1595503070 +222461818 +912984385 +568129977 +1442298792 +1002975005 +1321842476 +911605014 +1899638654 +812567266 +876883042 +2023328314 +98175636 +842175057 +2015730661 +1848192398 +1182057213 +1508628410 +1567691959 +2130664705 +840842947 +1024518412 +788549377 +1220763539 +500530102 +521761957 +175468273 +1407206772 +290666764 +1909586084 +2134668375 +1886169834 +2132047902 +900169112 +306816163 +1426863046 +1903144117 +1628658639 +190984412 +1655299123 +293742257 +1067867454 +1531143789 +391917894 +1910042512 +1399390802 +92626644 +944616077 +760535565 +1660318603 +927797134 +1601378512 +537353368 +1716346511 +674658403 +1037883470 +90624820 +850126676 +297606594 +381291584 +612229112 +284791322 +119977770 +596793366 +1184960434 +426793934 +2023656412 +940620904 +2055452573 +67157177 +448436379 +201711183 +1135024631 +1979580169 +593629077 +897583495 +1231487323 +686255721 +1842199572 +1992022888 +199090677 +622513058 +1445917752 +736444045 +191375922 +2120576156 +1774327515 +282000742 +823219184 +2071934110 +663292327 +1435448297 +209241784 +783270097 +2032241663 +1394202218 +1210064031 +1908414428 +187339474 +1118032957 +1975571605 +635775854 +1319744140 +963112588 +467872375 +1913373217 +1860696084 +1699359698 +452145290 +1555412008 +1543898939 +651235967 +30441419 +842333043 +1387680012 +221817341 +815425551 +1014523880 +503818083 +1638644736 +938974342 +1167110410 +926609385 +1148216126 +1950380508 +811367400 +394934696 +1012960891 +572298180 +582274171 +2130993848 +400386137 +1218050025 +1303254340 +1363498726 +1685922400 +1069143909 +1076711162 +1237798450 +1521289200 +484639522 +634213741 +25041519 +515080941 +1476546785 +1412721532 +736898282 +144488688 +279761764 +1240716366 +1783133424 +1218736106 +260343128 +562259161 +219468584 +63239988 +1373626562 +614403280 +1076200880 +1945924742 +1196677451 +1059711080 +198827232 +267243828 +215481773 +1562325958 +1953166228 +1284625682 +491553472 +1043481031 +658431234 +976192994 +1677694772 +683472754 +1491273936 +1006757909 +2096194286 +80688570 +1151246598 +228472402 +1321404936 +786896374 +1447208508 +1581748065 +1349155536 +1666677092 +1644988053 +575298450 +133596724 +573705285 +373739544 +1330274176 +1633416366 +572566776 +1597518004 +1848898139 +2134892734 +1403200585 +986040173 +478962558 +299197968 +1644471408 +1455155553 +1976892740 +180460514 +798945841 +836167002 +129171152 +879634411 +1987413600 +357643554 +53555700 +626826326 +1804852062 +1635303765 +1975981862 +1324045506 +1132808170 +403796664 +1457642230 +1706513456 +777536209 +640432758 +1192446174 +1350102985 +90467115 +893860665 +1337512072 +1493667700 +1879900838 +1816474630 +1792865668 +1376888598 +1124146535 +1622274760 +1557349112 +1923092376 +310958114 +1686520264 +655243140 +150888066 +2044163818 +708798840 +777714393 +1701532232 +196618957 +606212607 +878094090 +1329427127 +1010009272 +188252673 +888456935 +1787545481 +828685431 +2080903109 +990164818 +919152546 +827280126 +180193242 +265336598 +559697317 +1996667873 +2058202266 +1936585915 +973330760 +1532993379 +1346451380 +748939489 +1843951493 +885487996 +1404182629 +1994839560 +782168167 +2112981469 +625070305 +336216751 +162116778 +1231282912 +1214310842 +1491543905 +93808536 +1402563515 +232517193 +1881354017 +83765298 +165936654 +724035188 +1002917845 +993216781 +904228430 +1268254443 +1552914098 +753412655 +1178973062 +1342016365 +1726743416 +564482793 +540984097 +328199257 +260950638 +1426472094 +1732381886 +108306550 +61156613 +1697879707 +733376855 +397373364 +1859996485 +1964659768 +1611684206 +1204056742 +2058468304 +866764073 +1436573935 +1792338674 +950529372 +1602510590 +368890214 +1953447217 +448243723 +1273118644 +1074218012 +2001157821 +2026531300 +105707426 +1195690538 +1605791068 +670190219 +1736674636 +1933990325 +931140858 +1015663082 +1518888563 +1039447408 +1076819695 +1069284622 +1772824264 +1474193059 +781797459 +1590000384 +938393618 +1985854201 +1500985040 +1805157691 +1274944489 +1145840066 +608203415 +729971431 +1514730280 +414166984 +1178215154 +640365277 +1488384997 +1031889327 +519412929 +1594092423 +80096217 +2125203997 +116798995 +1816770853 +1911710674 +1047939853 +684950287 +1283115589 +2087387261 +1761769982 +204916563 +1712727877 +1088479394 +986714022 +1155244613 +2026873012 +825084575 +508746006 +1684547055 +2100029064 +1654586072 +145266823 +682516847 +1021832705 +559433807 +1860732001 +1662197982 +2047818804 +745137680 +34127263 +1494427580 +825233898 +11847612 +1611226575 +494521103 +1923558286 +511682780 +1179471391 +1059190227 +451586393 +793757725 +1264106790 +16830623 +1882237119 +103337164 +1172075236 +1761626483 +928421739 +1680821242 +1298689891 +880967156 +1187923667 +1443956714 +1563484003 +62272724 +2003390521 +1276732357 +1724470706 +1903725678 +2021870037 +1758597969 +1250669610 +699620287 +1770445581 +714412537 +1194141391 +1546520219 +1226095317 +226129134 +458226798 +1677681710 +1019886859 +1722333588 +1694512333 +754640331 +1825670752 +719103922 +368783166 +606608843 +252441516 +1667473057 +1487575999 +1440365183 +963946123 +903576355 +1502637907 +819852997 +32825064 +1079624965 +576095027 +2054695101 +690739286 +1826764637 +606831741 +313701219 +393693526 +1800973132 +1860221438 +1619788843 +2027102266 +170964588 +1149986905 +899505477 +1893298176 +697015591 +1654145808 +1571485280 +1416119513 +2022928975 +30610476 +1668561029 +1542918384 +1518186475 +961442565 +359380860 +274279182 +316596824 +1179233857 +307104246 +1396221790 +1755328884 +214315700 +2086961076 +1434609873 +821147441 +253178648 +1828303399 +474636925 +2113400086 +1300608594 +354255543 +136881027 +303111851 +1253761020 +2030179203 +1000127442 +760423181 +1454180836 +268763307 +635868508 +1484791312 +1937324337 +31303244 +855494139 +751283254 +390684104 +1129773322 +1067880078 +1569917961 +1436877568 +316618220 +1177763197 +1651193268 +256095649 +464889422 +324857061 +509274297 +145709173 +799493986 +475190735 +1446317767 +1153749529 +612071762 +1749429619 +260026902 +494767318 +602073413 +1020450083 +1948948154 +870836721 +1656318591 +1286255818 +660677410 +1687621835 +2141749957 +1411960664 +2078305940 +1124039631 +332357094 +1500740253 +413433552 +648975315 +531019803 +2064626820 +905070964 +995909225 +242000234 +1414345261 +1141618399 +1041494220 +1889535996 +440452518 +47760102 +354124111 +42398489 +307787004 +848891429 +644471903 +1328237087 +650355935 +1515308624 +837072030 +1936611753 +28502386 +377210217 +1930878062 +1440463050 +308032509 +907434046 +1772820144 +1808772763 +1320867598 +274311811 +192308918 +1238010770 +1179382775 +1188218143 +1480011004 +446244388 +182352894 +374021577 +188296737 +622805413 +421781679 +542420848 +665203902 +729568683 +1391312277 +1309675805 +2057805770 +2041668212 +677500781 +747394152 +1830796317 +706003167 +1124604369 +1614190731 +2146466217 +1432636879 +374141129 +1771802714 +1093925994 +1695008727 +2046114525 +1286234912 +785535850 +1078013653 +326969407 +118063206 +1524258041 +509322302 +492084783 +1712554778 +1132127715 +913866462 +107491978 +1797331617 +1643435145 +1498804255 +959523775 +1553757267 +1392988819 +1637024556 +153667771 +1076301488 +195544076 +1278272141 +543008572 +194526645 +563425372 +917149701 +1966329359 +1657351366 +464674781 +1864960237 +796102630 +1250210631 +795490242 +1123072037 +1368273837 +172264635 +1632394339 +1860358621 +1884819414 +617038406 +626741435 +1992311392 +266886376 +122692933 +1343632000 +1226410151 +1676450200 +589137171 +715951059 +1830117972 +1665438660 +911495135 +960906465 +60963584 +1106021781 +1524331837 +978113285 +924867492 +1034199555 +1442788066 +642344081 +1830302185 +545515049 +1437834323 +805890574 +1913788887 +1610098959 +290801266 +1626663860 +1347434725 +907839672 +105921647 +1192262469 +1174726048 +228614580 +388410821 +253652551 +1905064781 +977547993 +969603611 +1587699105 +495503005 +1881098746 +401121922 +556466589 +839636879 +1925453759 +1534579874 +1764504372 +812169666 +829884293 +259364805 +494988203 +1375399342 +1697199129 +1300878777 +1141704581 +1159814440 +1591680043 +620884793 +359765517 +352036068 +726806441 +1552027986 +1526762116 +955421021 +1940438808 +1780414668 +713002154 +770503153 +602534631 +153217611 +1266006158 +336149729 +554339533 +1822472747 +1175786609 +332309644 +1209568973 +792807333 +1144479310 +2039453266 +1052172138 +1639467513 +1267368961 +601887619 +792862643 +261589894 +1761702059 +237059038 +882474688 +2121467576 +589095106 +1609281129 +1526011915 +2115857223 +417218502 +1318967075 +1748788243 +1130220657 +2089470228 +203839226 +1283438268 +1207992738 +539988955 +1837777802 +882981837 +1715775564 +22603798 +2092550810 +361099249 +1167083109 +1984520429 +1413271388 +659066974 +1104405742 +2015159007 +1451929617 +1365995636 +1629377419 +1688988656 +100986676 +1603361347 +130600114 +1710267805 +981889614 +98973689 +2127486308 +153373041 +1847761932 +1110223317 +95359621 +2051601158 +246177937 +1303352359 +444106466 +2083955739 +38850548 +12398382 +2106559538 +2131401359 +373497632 +1126158999 +1968438140 +1786769020 +1785225973 +925360234 +1654444379 +1089671943 +143872222 +1136338150 +631176951 +244858899 +592215850 +761777065 +1955126704 +1574105464 +860750755 +1935129364 +1727478506 +561029039 +897869033 +1822838127 +465146550 +1144046971 +978706839 +909253016 +1080519062 +1017557387 +921651398 +1039594952 +1001475098 +1295149030 +18270303 +822429590 +934434402 +1803496277 +1747789824 +441395134 +745684572 +1891662047 +1577733284 +1376861523 +2136520946 +22465486 +2138638588 +1944164002 +1596570951 +851905695 +1731809719 +1176565809 +1412934735 +482195104 +851920288 +1878081285 +1626242075 +1830627127 +639850653 +559277490 +700700867 +1561502051 +1598872442 +1702175965 +709167434 +1617142746 +377121908 +1643601836 +1273155375 +2124911732 +2084996970 +2018839947 +1869090131 +1515246607 +1248217822 +1858127429 +1537712093 +1239372762 +1654807784 +986799396 +2091278458 +1239133855 +15881557 +1356729545 +1721328959 +867801846 +1087327182 +1200087387 +550945325 +1727177835 +1759364877 +1251646192 +1141196238 +1210753671 +806338510 +1850363672 +680412769 +1183460418 +1346481861 +1953568144 +1160888502 +1283995183 +1824924443 +882494986 +651758142 +925658617 +593138767 +41986588 +17547732 +100462903 +1028785984 +2108826190 +1339596758 +1044667542 +1318072087 +913442070 +1912469388 +257915621 +2113529457 +315931065 +1985093456 +1725410686 +1567577258 +978806046 +788680709 +226432120 +681686071 +1469093479 +1409892538 +2028167932 +1275177975 +423297392 +1164679467 +952618771 +1305792378 +1816437610 +1878277388 +1898931146 +1858424198 +1895825120 +1999394049 +739726534 +1857167662 +1191507160 +1784394076 +1027756101 +2104949230 +1549379816 +1285671722 +2070995039 +1865310882 +1123281530 +1648922077 +1285404492 +2102087577 +290119138 +1511836612 +636290000 +1759212617 +774245502 +516974284 +886906945 +1197542894 +1681653751 +1839525716 +355851625 +1350607713 +1570319456 +107299123 +1061548263 +1318660929 +2106693172 +1801274798 +1028344943 +1150716684 +1438185226 +2056101045 +1108182266 +840081395 +1194289119 +1031693657 +557908629 +170087002 +533132086 +1843313121 +124690931 +823251225 +1207666085 +760980931 +434980194 +1981911587 +1277955215 +1321887139 +1031970833 +812125318 +1013929207 +1387822458 +15249384 +436765016 +1495121581 +1076797647 +1755425945 +1454331106 +730588797 +636287240 +457564142 +21290376 +544904637 +1565746409 +861371771 +1739193757 +449956418 +1419280400 +1909280759 +983088505 +1115109873 +2033971690 +1806339730 +175292310 +647468973 +93836276 +9720249 +1925424188 +1415723416 +1041691082 +590065858 +282168975 +282029893 +605315242 +718933991 +1777151474 +1682112890 +326876288 +1083998932 +265218039 +963163529 +1541563075 +286508415 +1508068166 +959825836 +1147880186 +1099778275 +1409782254 +419676938 +861575386 +245387111 +1534786811 +748063428 +2051726841 +1710079121 +1395532401 +2145563118 +1719799370 +1173472941 +1413802886 +614006805 +1763538800 +1695971861 +896036698 +221370394 +267422205 +525704524 +1903483284 +594298493 +1609703457 +21217676 +1557462022 +1003782884 +307726091 +918046541 +1963608720 +1455606278 +2017824816 +1225907326 +1875283216 +731916555 +1471294438 +1262586380 +1479979983 +1375537631 +825181853 +728028737 +1373617101 +397497576 +1901501678 +639936339 +1011504381 +1517556830 +188424553 +1907541079 +1738927225 +455846758 +285761955 +1494926861 +1050145251 +1895465412 +1516144537 +460123626 +751764648 +1823870629 +1378170167 +567889720 +1131993259 +1248511335 +1793797047 +859792827 +1980427890 +1117607837 +2122379207 +1312924226 +345661820 +800077413 +2040952963 +1719278922 +1197574989 +1794970993 +211731613 +61595722 +1165044176 +400156166 +1969136801 +756487753 +856002924 +107415108 +103930966 +1906148176 +2002880521 +1620075504 +218788154 +607161521 +1296462485 +1596958321 +1175051242 +280972096 +697986008 +821364641 +1140764923 +530930251 +1938972478 +1115660483 +1843854477 +137150650 +1915737896 +1737323792 +1856429572 +965829237 +1384811137 +2068161186 +1027424959 +402371665 +320833704 +849078112 +1158859418 +1176836629 +956493220 +1262790385 +935501157 +811890093 +735382241 +1154289311 +1419051615 +2031844726 +603763984 +446619209 +165333174 +1301749992 +1267983850 +1306098097 +1832680243 +1059472680 +274274932 +1529051072 +1196623330 +42529180 +1118891216 +905569255 +1008358417 +356218706 +826246793 +2035783376 +758590371 +1147080497 +737377840 +1917449790 +176433478 +1693871061 +1032756527 +1111934635 +358277506 +1768138768 +118740298 +1777329121 +1652499846 +722504282 +76464682 +1817833020 +2024254275 +1344448532 +976447469 +1709450870 +256437564 +1250722402 +1091018295 +1453060895 +1293251582 +62425863 +211146502 +154126352 +418644569 +1037393295 +42426080 +1177234941 +36990144 +779803921 +947201083 +213423623 +326191334 +1979957610 +1325358258 +684468840 +1600612730 +1444098557 +314314314 +1105628928 +19119191 +390778996 +775978300 +2043373466 +1735227529 +1752425769 +1605340689 +1991665093 +855664523 +548875336 +1297242340 +1432458 +611301199 +1508388842 +155558810 +1029945769 +398298489 +197984890 +59697062 +435288634 +977788811 +1006898145 +648712257 +1303980145 +839372107 +1974070515 +1988448986 +292501189 +1270685424 +155279652 +1398130117 +1289804616 +546058648 +26624769 +1185694434 +133802529 +1779050538 +643551475 +2125467623 +487231414 +1192426811 +1275226315 +488663872 +1803728011 +636131510 +644222682 +686190132 +1034429999 +842207572 +745887194 +1469718633 +1819996384 +1752785339 +2118430890 +976492881 +444673798 +1945017758 +817458219 +737174987 +1068219534 +972737871 +2135305104 +210540502 +1518796520 +14446225 +1396234937 +1652599049 +1793496763 +2039786412 +1630583024 +133244529 +1084729576 +758325692 +621908401 +740973939 +1394457202 +1266131083 +1427164071 +281403553 +2108338656 +25567617 +1751122187 +1780851392 +1778352956 +1722069429 +609860625 +75543106 +1519603539 +1427318845 +812718093 +440339426 +252573068 +800539549 +650879928 +1771369588 +814985774 +2047114865 +1276484990 +460998889 +1939417630 +759584366 +594243419 +876663558 +1517910058 +1216151820 +1617637497 +764883612 +334799256 +897317920 +1046287166 +295654264 +922885537 +649925705 +2076505656 +553754845 +224511486 +538882633 +629297951 +1744115026 +1966201478 +1442016044 +36970804 +71290899 +95071945 +687850732 +1842660487 +910057719 +587481950 +971661829 +1371056608 +379415932 +1731246196 +1965300027 +1256079490 +1101672606 +1033968200 +726233339 +1866556219 +1368767456 +1623551259 +765359737 +1664421720 +398953148 +1415285442 +1593443728 +952707993 +1639796928 +2132326361 +1582005944 +1236428306 +1951044192 +876538340 +1273399110 +2022335091 +971610285 +1961249843 +1717511930 +1881668004 +401248145 +541690112 +1105240964 +780664077 +125452660 +923057344 +2036743567 +1227125266 +1957025544 +615493258 +946197837 +1178309352 +91560869 +1711557574 +695247424 +490514017 +979359368 +141207504 +1443222010 +471672649 +126050217 +877744306 +1708100955 +2077094409 +1754282646 +834016418 +1951945852 +578409283 +647782613 +1521974135 +312593639 +1049030758 +2063664247 +1417834603 +1829694835 +41633259 +193408299 +1718954754 +1268758525 +2950195 +186964364 +67472715 +1181259547 +278525233 +1779030289 +1876506971 +769039250 +610906010 +2017714475 +64777612 +1082578659 +2143764693 +942521918 +643195966 +2073375454 +549320916 +1477212384 +1877837659 +1127730199 +2124994997 +1252328146 +1440323838 +1026542107 +1168508745 +710674793 +708753294 +1210142004 +904083093 +280224400 +331416881 +907033288 +467188764 +398889596 +2088292836 +745713997 +30436238 +1817316159 +1514753247 +641342248 +1687546987 +1579530859 +1723920907 +1683828032 +374569129 +219633225 +1609719838 +923890045 +1696845610 +1340073849 +2051620244 +1674356959 +444918347 +1344460434 +553415419 +1613427092 +2055135228 +1262168713 +676085448 +811734673 +1542393114 +1007502330 +1718767961 +2009581878 +1406391926 +1659577149 +607812228 +1436828164 +1329409661 +2122565475 +2078170412 +869473000 +1554612687 +1654607671 +405817384 +1929181816 +1874240897 +2015537222 +705588214 +1423602859 +1208127424 +609724810 +950476170 +1653045771 +1954185245 +1503891589 +1118989216 +1861836825 +618576655 +1795074664 +526087850 +13486121 +655093346 +97372163 +2023067999 +2061485273 +1756949313 +483396579 +1350829789 +938875326 +458478407 +1281516554 +1808348326 +2013091094 +788640577 +66682062 +1794789262 +515397826 +2082219284 +352893828 +1939000685 +1142863060 +962618639 +741993208 +648425184 +769320236 +98401149 +1767414400 +483673413 +716977804 +1415005416 +1009761263 +730463925 +2070098763 +1107133426 +606048277 +1984100388 +716599091 +1089444856 +1187446529 +1655474417 +1547923263 +321479435 +1316339095 +1413530709 +1110120013 +1383021157 +1060836324 +1625517839 +1317756794 +1413730152 +1417034877 +313136206 +228865143 +11544437 +961561390 +998185379 +109945586 +581492142 +1481858792 +826923391 +1996497559 +344136407 +1557387316 +1919112674 +1451269834 +15951945 +1755729414 +20385277 +1105396802 +795692295 +1675859695 +505836417 +1117171731 +844715142 +1919367127 +79808096 +80252652 +832719803 +1705325935 +1398009446 +98966307 +974877164 +1711145652 +327831451 +986421601 +525223395 +1326016830 +1096367188 +1106715537 +660391975 +1923290579 +955729448 +1004528382 +1333194247 +727358474 +308314568 +1349146193 +335604240 +328699846 +307059347 +1131296536 +2004559541 +812895764 +100984619 +701791035 +584779243 +180792715 +782043687 +1417499046 +1886118650 +32569485 +1516465354 +713512167 +1743715138 +1844296805 +1699933768 +121454885 +1022829987 +648817308 +1228170422 +1683221962 +424624239 +36416223 +540266697 +1757818487 +763774697 +848581265 +959481032 +1099378938 +1177281111 +1266540379 +83191826 +1034357004 +2079436143 +184176445 +1736148040 +516731739 +364969160 +370708079 +1934230785 +103604162 +403277565 +1303212491 +817116329 +2146992703 +1000025648 +369566450 +120963940 +2022855636 +1018383758 +1349134362 +1558593950 +1443007998 +1385550585 +2098860647 +1053342837 +1841635 +799958265 +2012823869 +1101220573 +1977239376 +1131880600 +1184412399 +864112733 +1063833095 +1368588844 +452777125 +1580564834 +1733558004 +823485204 +1367311972 +1837162166 +1226762769 +523040815 +506794848 +1226271824 +1523066464 +876361298 +1347235764 +1398438452 +1894745056 +548886479 +809548754 +1190269406 +1934437064 +760925754 +96128595 +1936278699 +1560884019 +2108952464 +890015624 +1390639747 +1093349416 +2074428023 +107268832 +9698864 +1295533219 +560045957 +1590263698 +881607575 +1383531162 +810092022 +571286094 +462810283 +1333132838 +1078080942 +1689082108 +708715654 +1954442240 +888834224 +2107154106 +1701703648 +1437720703 +769219212 +744489407 +1224674120 +1530144966 +840618002 +1013469171 +943545337 +802086819 +1903484796 +186701437 +1895436235 +1830429171 +293970269 +1905135099 +978478743 +854016227 +1347915150 +1860086318 +90063741 +10523524 +283888764 +552874024 +1343656362 +1361969706 +94472484 +2052372016 +1168928298 +983306709 +2012042474 +723148299 +273543764 +633778039 +1467637706 +1498217884 +16439357 +160772060 +364203408 +959984695 +962858879 +120204556 +1146686132 +710811467 +1950633727 +1440656401 +468462918 +781628822 +147188980 +1816378068 +494231493 +237252721 +1826901593 +778120257 +790126746 +1023074307 +2140089964 +884599230 +927962676 +1161534614 +1867905939 +792521502 +1884682913 +2141449704 +1426299541 +1204836971 +1492183940 +1442738899 +1365609032 +1856387348 +255239946 +180984263 +1976591904 +1401926078 +891795730 +1779741984 +695098831 +1360258649 +413887158 +842287812 +1029153069 +908118651 +1079540533 +708571014 +1686238909 +1869667279 +1731645322 +1678845225 +606782862 +512124350 +692896191 +327205153 +1304645852 +430095457 +321171209 +583461746 +1634932428 +1813355150 +2026200645 +853057812 +1522258850 +133956943 +1034042076 +1351367107 +1535883021 +1925837806 +983625443 +83498204 +1138612807 +1397512601 +925786016 +20282229 +158147605 +2005326550 +728853243 +1844386514 +1727510181 +313014917 +1375748091 +186809395 +825139267 +2068644282 +514014549 +2129785120 +351256091 +835185758 +565763218 +1986188520 +501057260 +444480215 +691762684 +2023316111 +578437158 +1725804760 +1227199570 +2114320179 +1504158919 +63341365 +50334735 +495288078 +1460853966 +976120752 +515570307 +1619001571 +833963654 +1244423551 +1315904437 +413990187 +1557438468 +544168880 +600799583 +235094088 +465329515 +1114814132 +217395560 +816585606 +1949999890 +783158778 +655290478 +303573503 +1227638993 +1347053163 +179405966 +1806076151 +925374275 +1406605536 +1772912682 +282049546 +1469946901 +1823247417 +777337625 +783317219 +651884521 +1292907932 +254835143 +1485848175 +389847835 +1570739580 +1899838363 +1947286304 +2114908461 +353154298 +34896744 +432754328 +1467968430 +252292304 +1249339934 +1270484672 +1035451082 +1904630413 +1574058175 +115606427 +1104199928 +1753464141 +1921682578 +2029574203 +1012586029 +1547111612 +164140102 +335049282 +1222875381 +941477727 +1118366502 +1874759903 +86902011 +1373201645 +1213124430 +476749847 +796457577 +965479145 +276552503 +763882390 +1318633443 +311449247 +1196636718 +639118225 +563741551 +298493005 +1909602898 +1599192633 +55639770 +1336177425 +1714799060 +1159839698 +942157919 +1488997990 +1041930253 +1954743948 +888625954 +1206070355 +142309583 +2111501335 +64434 +1260676085 +1838777590 +86966446 +486394082 +904418373 +563716293 +1282851659 +1869897518 +840268796 +2046734050 +1041047314 +1151718043 +1095887120 +1680165539 +1715459594 +1394380125 +1442284789 +1167168579 +1450019895 +630978567 +734483991 +462375945 +1573136486 +75998333 +1504306199 +1380396786 +964624287 +562892906 +1522706369 +928641974 +562957341 +635898806 +619935917 +649923787 +1122292888 +1524354290 +1213640080 +257660900 +1246768160 +2053908876 +156911302 +140331826 +1058143271 +1252798422 +1820497366 +626119217 +499694900 +1115298507 +1793287796 +1949714795 +1746277074 +380288139 +264607093 +1171929912 +456286472 +1768913292 +404843051 +1420910759 +184322550 +1927549420 +202069085 +747279891 +415964579 +822005002 +1397203678 +1538257467 +198875644 +463360110 +1795918367 +1445643805 +369785338 +1952829669 +1585975631 +1427928609 +1058144444 +1258989349 +2054047826 +1557839344 +226804209 +1699851974 +1360070491 +1973081283 +2080140113 +1624677584 +997527548 +388942937 +1246107228 +1402370599 +1809853696 +1430429779 +1182436371 +2011922782 +30226022 +1598400950 +686444136 +1427429701 +989174770 +885319781 +1890789811 +637609489 +183479938 +113091502 +442955511 +1769455569 +1541020111 +1501099955 +880961271 +1447584290 +911455651 +1107765480 +999952616 +124042494 +933363115 +932609082 +1748720079 +1930890663 +1321552019 +847343659 +1185777614 +983922068 +130289790 +220730338 +848361202 +160515813 +1819131288 +1534805338 +1587945514 +660822410 +272641471 +1331251677 +1298431900 +456121409 +1444343179 +1741387411 +78093331 +837879643 +1095003718 +959054602 +137980285 +2006459369 +2066820082 +1137932901 +2130501863 +852699549 +2070541983 +1731738294 +636106565 +1244610355 +431598306 +1821884179 +81048775 +561888096 +2042614517 +929409977 +722403909 +1714262158 +316731667 +162865775 +227600920 +589373139 +1494117453 +1526032820 +1045494548 +790976984 +1119936583 +1123587879 +1628856627 +67456653 +2082642481 +1766836912 +2073916022 +2001978915 +757286166 +2056934238 +707194817 +680344501 +1641188884 +1343301382 +1924954856 +2072787190 +1017701913 +2006003631 +487191639 +912832783 +787929960 +1209595548 +479611293 +1104661628 +1372461324 +707212213 +1694034767 +719095129 +85761386 +592045667 +1510072113 +1205697969 +1715633547 +991445093 +1273154623 +1650792380 +610798357 +1199586997 +1505287648 +1368084523 +1109037587 +64998817 +2048429025 +602742824 +1408300199 +1825900233 +528046366 +278518464 +1684420217 +1015238005 +1191351247 +324866529 +77349906 +1670962540 +1429528157 +1449811230 +230691106 +976079276 +21422711 +316452492 +1568124944 +1531494824 +1522150461 +1136274843 +375456269 +647821436 +639583575 +986254627 +1847408434 +2144871223 +206855502 +808962373 +62386392 +107800879 +1411705197 +1470686591 +1933701113 +1939751564 +1749205056 +1470637682 +807505921 +793072655 +1795504211 +884855827 +316551548 +1077548721 +187183409 +547242654 +2053627997 +208606120 +863695146 +1474269293 +1740100945 +238361959 +463060488 +2115557214 +886183396 +1102644064 +954328193 +586108182 +1100031639 +1161183696 +1395070555 +1162418032 +1268984575 +659292105 +485620975 +1055202040 +451560021 +87342383 +378356074 +1259065942 +880415039 +26376638 +2143921770 +1196966587 +1103925359 +183621531 +1744209241 +1010069708 +392227652 +460420739 +336855354 +2132328597 +698782698 +799915842 +2100402163 +1584966094 +1902559906 +907246709 +23590628 +855107898 +2068430405 +1418661184 +2017525930 +1189931332 +2077953289 +355663257 +97649725 +382029662 +443005641 +476005799 +1641095604 +1323420680 +502382437 +1637533726 +372903619 +1606307796 +1821155258 +2117112860 +468893857 +65899262 +430049951 +805749211 +50744211 +1128832649 +1605665053 +3662726 +566315096 +1360741312 +910909435 +589905724 +68365562 +831856192 +2008566908 +2085891492 +2021787525 +1939036549 +294071101 +2119437250 +173582563 +737076742 +447959401 +1814678168 +2060497422 +950341839 +1304728246 +285917393 +409165987 +978399856 +255546605 +878059844 +1044299118 +685596556 +1683809055 +1095043329 +1814429206 +1141990461 +1098706056 +233260654 +355248125 +2009615491 +823166378 +423613687 +693988036 +684249639 +362021531 +568291913 +475802540 +656092632 +540245515 +649385104 +1393169375 +988204916 +316579624 +1306183149 +1938546755 +1621307870 +1592100543 +200229095 +452224079 +1847647148 +1078288939 +1496523197 +385760057 +614614347 +444082879 +52705615 +1756604808 +1542788935 +285966269 +2111852933 +1404920778 +1109132647 +387982972 +2098908814 +1793382286 +750004503 +519717079 +121701179 +1406097135 +1059962594 +771086283 +651782862 +2048167511 +1087665907 +1957966012 +1839230618 +561490129 +1402582907 +2039459713 +1013714208 +1102746407 +970265005 +362753758 +1488506464 +1584879352 +806836637 +1541212079 +1194000512 +202141924 +1827178348 +1158369797 +1607062702 +788827348 +1546352769 +1558487869 +434725986 +148873624 +2078204948 +556427165 +1554970759 +990683895 +1327513448 +59269974 +891367758 +267695707 +2017235986 +583114728 +829185837 +1272335245 +475090794 +1842900045 +227598004 +1445355799 +58170155 +1716104469 +882751503 +865006792 +1109832900 +2076752015 +1067148716 +789527601 +1087638164 +526727771 +1578354949 +486507285 +2085215640 +2013080935 +635380909 +2015936940 +422024453 +42868020 +859137187 +1749537901 +102137994 +1750504945 +2017233609 +2119373980 +186136026 +698935798 +1244225577 +661226820 +394352195 +1471823582 +2106582619 +452522351 +1040444403 +841850474 +1317529143 +2793655 +771118841 +237194212 +792321256 +1858757005 +763921983 +223192557 +197780642 +701653975 +88789845 +833161551 +570107267 +510814298 +876029571 +1429244455 +112868551 +978167566 +1032265752 +2130102160 +950057898 +1218401778 +681554310 +46799828 +1879628598 +1075906506 +1518623410 +1838727569 +1528428857 +411584165 +533094395 +698474352 +414377820 +1304213236 +935668564 +1206699077 +1015486593 +1699590547 +1429891634 +1213267235 +253760874 +1518681479 +2046428786 +823868142 +2029495777 +774974710 +105628949 +2142364329 +1753142276 +1137894701 +2124982841 +555716526 +208812832 +659053504 +602516354 +2088441430 +1734960010 +2121139764 +1779685352 +1115905219 +385240281 +165296099 +1814379571 +799618102 +1469509336 +602564488 +2006317179 +337512281 +154671387 +1288725165 +1550779517 +408432262 +659922997 +1449724655 +1232300404 +541935126 +77215717 +1337929353 +536815807 +1830357993 +328340406 +514315001 +238590872 +537153238 +1173368505 +841107226 +478111021 +760844867 +814763343 +110312725 +1876750086 +1200003624 +275608824 +1543646009 +1999621726 +1745118160 +2146210497 +1858455257 +2082630442 +153398237 +999696775 +1485926311 +561830499 +1659619772 +788167318 +1794130903 +54071250 +865383036 +984576608 +590887058 +548257381 +1312917014 +1105202059 +786848253 +1850070253 +131086916 +1627955480 +180697626 +891931783 +295235175 +291010351 +621198221 +1495238799 +566619175 +17360582 +1347376878 +164253688 +16087432 +1058348487 +99400482 +169485669 +2058045262 +1585326793 +731316168 +1570181386 +226010463 +377963423 +1624252637 +1091393499 +1362540031 +67656047 +1639650881 +527973397 +1172858106 +279015486 +230560002 +1303945022 +1906970966 +411257628 +48393157 +54722493 +702267979 +669591378 +1549961293 +1268887155 +686951960 +749854523 +1433140843 +703039392 +1808203010 +1532541325 +872525061 +1718764625 +970384470 +1603841229 +1141462363 +1196394933 +1981804652 +618231352 +140304785 +1196861035 +685887399 +1779955666 +1724834433 +1858745505 +2058971152 +1955394435 +1015206879 +1818458471 +219168416 +1063600036 +1873180964 +921436395 +1733191414 +1275658609 +42839902 +272659727 +2025513132 +1475980745 +975699119 +1686232495 +861038422 +1848224181 +1257513472 +1831422892 +1304581762 +251492187 +880334178 +1138902767 +869723540 +1020638963 +188280154 +1555610939 +653110981 +1913114587 +1266872797 +564598485 +1721025375 +134596028 +235573308 +1940193791 +1198196065 +2108754273 +714146538 +783903831 +1236929234 +756986441 +1056563558 +1114958719 +85483538 +2032262678 +653707566 +946521961 +1733003211 +1911221038 +630461205 +890101325 +15229577 +1510795383 +2029004092 +884953117 +383950698 +69800599 +293080409 +1037061679 +1982915186 +1559953206 +1601660165 +1556456913 +1694549234 +1837233473 +1349167056 +745261651 +1798504098 +2063313595 +1529165483 +887949685 +672816388 +438245393 +2002908404 +758299926 +323024423 +509132322 +1704821887 +2056027634 +272869712 +187799445 +798645312 +288099289 +1698594828 +680165756 +1173052407 +2082545527 +749966355 +1466132816 +972123558 +585397894 +878602374 +426300075 +2141854807 +425667960 +116049901 +1343538216 +1170929612 +1914553999 +1259368163 +552611447 +655020036 +1932184551 +990856840 +510444792 +543000829 +1313881264 +1019577114 +100339069 +1222425250 +1292446826 +288138514 +2021070562 +1580546116 +1986733342 +553752671 +606114875 +1921795221 +1303719026 +2072247691 +746435132 +1889116920 +803366417 +1172735207 +1883488080 +1229034377 +1288785108 +1079542648 +252480341 +1055855460 +191427163 +805091788 +1710875496 +2123611714 +1795948629 +73836641 +519128895 +962346245 +1093413755 +619467964 +37287847 +238376934 +907606478 +2058358410 +1818923050 +746856173 +464627433 +277554277 +521167746 +1768346459 +202318320 +1267602878 +1509979732 +1005684737 +292854438 +1245984164 +87235466 +1581639546 +178043164 +339715808 +490011358 +369470327 +1144807596 +53403207 +345598393 +793272577 +127239848 +864727288 +1755618822 +1220653603 +1484195253 +1792906670 +1459030537 +244318083 +1703781432 +1130469939 +991174256 +20925217 +1408024216 +1512342003 +1789271676 +1610342536 +632461233 +1151767760 +468543625 +925315671 +250268276 +555779092 +359471570 +428311440 +895494900 +849482928 +797781767 +2040302496 +902886135 +1143380160 +686091426 +1030125983 +2008107449 +294226600 +103295939 +1344819054 +2087133270 +1562326476 +1589137137 +1643431054 +545312768 +432827746 +1664356271 +1953336984 +1945169749 +1306144300 +1416195873 +430147334 +310428412 +1884739498 +1355463006 +560696689 +293034942 +1714934576 +989008129 +1188529842 +416933856 +1786789897 +1081348691 +1319819992 +782686409 +1767440117 +202462327 +643310210 +2061666717 +305758266 +1988129264 +2001316340 +1868084743 +1429782754 +1497263746 +265913863 +1862610500 +1014136370 +71767199 +1660296601 +172797022 +1487963072 +2090443935 +483225434 +1225218923 +1298423293 +1043922123 +1518253865 +865874221 +2032930253 +559300060 +1282808078 +1672236502 +1640648751 +455144422 +307439263 +1260605220 +657606749 +950749474 +1174788289 +963365016 +791395090 +1028620981 +683966111 +73694196 +378401080 +949879974 +1936304696 +1392537450 +1021647173 +1449117649 +1565334472 +362126598 +1392077937 +2048559906 +1587345521 +543017582 +944998382 +958115738 +1408891804 +830444987 +1517415798 +544216234 +355197841 +1010580901 +999360656 +662637104 +123702473 +1656967405 +1613386578 +1298490763 +472848773 +257298021 +179628096 +1156814884 +330992217 +558029176 +2106694858 +119813266 +1950566626 +980858384 +1568930915 +1368417450 +1342984982 +813525204 +1269493709 +782846855 +1356542787 +67008443 +1740962593 +617950943 +897453430 +1110894744 +1162167177 +1252651271 +2121475645 +14044185 +1915288375 +97694471 +1671011590 +1381191306 +1396185234 +2143860364 +1638489327 +1575813330 +1153191600 +1969481544 +2133842507 +1112402811 +2089294810 +1936925485 +2093261195 +1510742078 +1157859288 +1288762529 +176783634 +279869349 +2071609384 +1533326421 +346877792 +1665088329 +3793716 +1244331222 +628499425 +1165960893 +349498845 +602491423 +1180005078 +117303572 +700185894 +703533021 +1498494878 +2096371128 +699909737 +989500557 +1524700810 +1853101337 +811498454 +1511059669 +818020500 +753309616 +1300501507 +763798047 +116568046 +310877147 +2052560576 +293351681 +590746496 +1976686312 +1826678102 +937624288 +1494290994 +1830471819 +34471862 +2122790419 +848949064 +383970707 +577798194 +2028954143 +501274279 +1277984088 +585003516 +1999769158 +1226871568 +1284913253 +841786067 +604088731 +990530942 +1653284521 +2115148400 +1808551443 +259110490 +1268166259 +424865842 +375678536 +1579043406 +329942771 +669030217 +22306254 +159145435 +348224672 +959930542 +1653436429 +31212843 +994402404 +1628743201 +880161907 +1378373111 +59057747 +761632402 +1879647391 +1337041836 +1346635918 +1731932901 +416429756 +484065523 +426235320 +1020518487 +1474596466 +2079519842 +988183240 +1135664261 +191146684 +108865851 +1560530103 +566825220 +1687909258 +1890472874 +1235855438 +1710215512 +2049618310 +1584080110 +522662407 +1555571091 +1615292953 +1517064811 +1036830644 +347971212 +747954275 +1095888392 +1109603615 +480118018 +285446580 +308755885 +64567271 +701876336 +792821409 +490802591 +1722394824 +119934227 +422838785 +563094416 +1255598488 +613985469 +671960267 +668644943 +1180810690 +212385877 +411634170 +269182480 +1922601390 +313768832 +1853262590 +297780149 +1869339923 +1321071895 +1814844960 +758686920 +1669043107 +415315587 +1854575312 +631163074 +895433605 +2140021892 +939918960 +960000876 +694414580 +1732740369 +1450803468 +269325756 +1852674596 +1873642253 +832420172 +960789436 +340144075 +1504380440 +1629434379 +1520954765 +1716766317 +2041068549 +1790137245 +1491884059 +207353733 +1495916187 +1789664208 +2076693657 +669504434 +1457025521 +687896929 +191063893 +1872341108 +394988593 +822226968 +620291066 +387526837 +1762145928 +1580291942 +1081941417 +1347402649 +883611762 +1351267174 +1052593597 +609770368 +36203698 +2013383033 +949914443 +1540584138 +1495333764 +323385560 +1109866808 +1388918666 +2113522805 +454267219 +1596272399 +1461955344 +96447780 +1525482408 +2131459778 +1553473301 +65895689 +175040023 +1278330761 +460884282 +997266991 +1898621827 +848411119 +611929271 +1331430122 +1930352537 +1959331920 +67558236 +1134136063 +864441869 +677328604 +1170339761 +730341254 +1627243047 +563440252 +78191371 +1950628607 +1673307060 +1467110037 +1916667764 +2127574279 +915898788 +1231139460 +76538411 +293897549 +1215115590 +1630011712 +359793238 +1390155614 +760858826 +820677521 +239938957 +511997005 +1669088640 +851868229 +1843427127 +1451957529 +663716501 +1910985364 +438609944 +1528158371 +440830320 +1608949706 +111015977 +2068073368 +24906310 +189207348 +1871218327 +1698213370 +1656317385 +1640402444 +1678304001 +424732526 +724058256 +1754842413 +718630075 +1939173847 +1237370477 +1078423313 +1181845813 +1998229303 +1899100834 +1421784770 +362742661 +1420705827 +126169351 +58686140 +725179708 +789885853 +1969671504 +1163789653 +170560576 +263018177 +625255711 +281576553 +183607897 +650162021 +470783902 +2054826224 +200891743 +2127101287 +1547745020 +1879195744 +404350165 +124319629 +1486554509 +1122980240 +2063493476 +576441339 +53919906 +1097855641 +427186994 +1953020740 +372156763 +789929655 +1226242919 +498326115 +848615796 +1951422628 +1288211968 +670803652 +967728633 +1458772544 +933821829 +1592984344 +1740349097 +1117429726 +95662717 +63649351 +1024772303 +296554460 +43266991 +425033675 +28266556 +447617156 +549353304 +1514821066 +1570597397 +465363132 +2091262405 +1624517303 +1563218773 +370965751 +1430054395 +1935375537 +1160895407 +508813667 +286218004 +2009511203 +312752647 +1574429972 +532831207 +1280481280 +885718868 +1466653037 +725981976 +478584317 +436599115 +821644693 +542233669 +1461371418 +1118199153 +585500660 +1886405094 +1146465709 +1033117816 +288274750 +513803127 +456231565 +753637883 +457581884 +2080748868 +169373008 +828547636 +1363319616 +2104748545 +1989443043 +1872133283 +243482901 +1851470598 +37402282 +1817912873 +236818157 +1317883562 +556148093 +1703471194 +2043865538 +1034732411 +2140070310 +718026583 +1576966080 +1453958080 +1836225736 +14983092 +1192879526 +835207797 +1048100908 +1481154277 +1349010925 +1504332474 +87308512 +1806592809 +1437597694 +256681520 +487656797 +653433662 +213946418 +329616192 +378083297 +457429319 +33603142 +415485579 +127858545 +270421300 +1733369141 +684006638 +1973892494 +1629751031 +1718739049 +1966479156 +200293966 +1148221481 +1272953589 +2036519702 +1163204573 +318349467 +724243852 +63821834 +1799503744 +2073254777 +1568154308 +1886812256 +1732363938 +858268354 +2143493777 +72537088 +1511702017 +209956547 +402153280 +1889785314 +667385866 +435756423 +157787246 +795244411 +706177723 +1891156387 +1479251050 +532586569 +1373423771 +1050506451 +351582078 +1573717737 +51244285 +1624535667 +1462753792 +1214448858 +1942885134 +39513996 +1278270692 +1594905231 +2112768773 +698941352 +1334233839 +1697649063 +1557209707 +1330243968 +1770186151 +921428076 +1540200515 +24855784 +663729742 +60102734 +460612207 +821516988 +855347145 +1166789930 +565189728 +187114547 +1699376499 +1938613499 +1237620999 +2050958577 +1364847588 +1288865284 +1528010596 +680117732 +355830494 +1323412083 +719631728 +1634101187 +770833666 +684916853 +185558891 +2105067505 +235082269 +1742768598 +1287827826 +2005268420 +516713026 +680544693 +2030124204 +1180442769 +740647427 +343252763 +2001959757 +1595994573 +1510042693 +419665837 +1783109120 +1061935545 +210795688 +873246471 +965410474 +1575643277 +14628107 +345937423 +108277361 +370458602 +1669349506 +827909090 +2004559789 +292699524 +1512825943 +42635032 +250283381 +1747908212 +1785403631 +1538111207 +1605692985 +154633009 +71172253 +1488333541 +1335075778 +811819680 +1831586305 +1189551888 +260330605 +1194145350 +1609217725 +2043439726 +108597247 +1820013414 +769202549 +1074007722 +1248173043 +783830657 +1419945145 +1356450404 +1154289259 +941811003 +36875846 +1011365400 +1234510527 +1549701790 +1054000432 +1484793908 +1150126354 +691920415 +875421468 +608335691 +846553425 +946593721 +2096669233 +34145555 +1758413401 +1780771890 +1223697443 +2018744007 +827433592 +685431521 +1914700085 +936030840 +357961287 +536418986 +2010038562 +1606134330 +1320249643 +1282500059 +815101086 +327055254 +76827414 +851976933 +1338420654 +1311337941 +254195075 +244937439 +648648201 +1404321429 +936857854 +1524069669 +2012657121 +1783411279 +323179742 +1961842706 +1817556835 +2081593144 +1595130948 +893770630 +1952853503 +275080892 +1579202151 +1720069940 +1211111732 +1937163438 +109005278 +1073666646 +1395814120 +1429254922 +208683057 +63431559 +1756310176 +285510471 +915408492 +947247183 +1596848412 +1169603567 +1192184622 +98012966 +426441348 +2129042476 +1622082635 +291614821 +1764970108 +1945262378 +105973879 +1435043295 +1879371874 +1701104827 +181330277 +1684741729 +1976185720 +1760532429 +1257328021 +1039813804 +1550212219 +1366333299 +2113480451 +798542692 +648104573 +174679860 +861974251 +256931102 +460190332 +1777382743 +1204178285 +2057038744 +799502662 +248879259 +7568062 +1225944010 +230438087 +1629650698 +1517558832 +1995408195 +1427429428 +1623532711 +1282967842 +1159317654 +1177153891 +1464298120 +696575735 +1005855963 +1077346901 +1953903756 +2045669767 +480075472 +1172753407 +2011666570 +1278618164 +1820857981 +38862783 +2140592415 +2077789083 +499053115 +1770491510 +1134483720 +408608211 +422510524 +1383362979 +416176274 +1648454535 +1613801066 +2045826972 +1018529719 +1461725614 +1325772752 +494578782 +597209808 +337606758 +1671732673 +2061507928 +1034182493 +530104988 +991371181 +840602601 +428291108 +1471446654 +2013356008 +292474030 +602581170 +1686730341 +331336813 +595689938 +1617035776 +830389928 +218697800 +604035848 +1238998140 +641208325 +1987398827 +1655174414 +142179212 +1453716246 +1553517738 +1160708931 +767958212 +731806842 +1655287713 +1365168020 +1069413600 +1179536739 +1279192301 +2103596093 +1709641727 +123079834 +796715046 +2137932835 +1594526488 +662587406 +282923218 +49624011 +201834100 +614260031 +645313949 +1818869876 +1444649960 +864011749 +275422077 +536164452 +1505220074 +115337256 +43855218 +1647399286 +1569053502 +1597372956 +660624569 +189528066 +181696150 +168428635 +1554696087 +1251109750 +1347965374 +686404740 +1207222195 +910123453 +809484574 +2003937241 +900572641 +256527415 +519040999 +1183495859 +306151426 +720875099 +1797755890 +951465375 +392261328 +1094922202 +1815477124 +667683405 +1631086654 +1173213551 +783020661 +1674941872 +673129189 +204590516 +1124831180 +1333753759 +394118582 +1306527330 +1502182394 +1948814669 +410153432 +702664120 +487735761 +1617375627 +1612787573 +1297220336 +1473829220 +365876566 +1553747751 +1992870220 +1549372425 +1859899177 +566261671 +1199644668 +663880904 +958522999 +147083222 +331874380 +1626206404 +1778169877 +1505087931 +261743418 +1305628101 +30733473 +466333934 +282975634 +1364487232 +860452516 +1589502964 +719185978 +661783538 +1999656397 +1421850098 +1149519299 +1469548376 +887154023 +299255987 +795893949 +1253030590 +1853003738 +641280521 +654919367 +1565419267 +1207542192 +1854564035 +81816523 +18581544 +2001647258 +413690904 +1644787948 +1632333487 +1918778835 +1906531366 +790477940 +1949512308 +225381652 +1073453574 +1166515892 +1085834169 +515472891 +1885701870 +1747617707 +367645640 +1160068320 +749653358 +1837194016 +2047222344 +1048909346 +485604317 +1152769286 +754429436 +1126884838 +1807688653 +172365056 +186943383 +1514769041 +254181579 +205524927 +1368932651 +667872483 +1850312875 +853782490 +439167671 +1609360594 +1644260430 +241196331 +1834742246 +570230357 +1407712224 +773092767 +1085703248 +1145930446 +373226826 +1453348888 +158515119 +1122880185 +1143059256 +58253815 +24305883 +1628663574 +1211023101 +778735319 +608064764 +871228106 +951100375 +795008147 +238513499 +1205281955 +1000533074 +1607446150 +1873154438 +703362302 +313744992 +164838461 +165239248 +1958005423 +406034793 +1999981494 +380752132 +1813747017 +625590614 +1466455380 +812193815 +998817440 +772320620 +970708934 +2121697625 +1915379876 +1028962749 +2146003508 +1396559802 +92502202 +777255180 +2004624567 +963730309 +1728355555 +652149066 +1202243808 +786153862 +1652682141 +662206311 +511824653 +208560795 +975951303 +676663114 +373800043 +786473078 +1082697907 +226297889 +1167225210 +748961276 +851888503 +486196942 +1561155092 +1850705944 +1258517562 +384380378 +1824919921 +1026413791 +1413343128 +1823439782 +275489945 +1505845330 +453211314 +132630864 +322091991 +34083221 +784779931 +1524335800 +820237084 +289978424 +39058463 +1332061737 +498539219 +1015009766 +2008724851 +872339262 +1801482845 +943939111 +1098637151 +821224407 +1692900387 +1950525655 +1307421350 +1106571831 +1653747951 +418455264 +1490952210 +1331184224 +1444869055 +756811690 +1007140358 +1720359001 +115173372 +1460351672 +1852989865 +437265364 +1494434894 +490286148 +1961601164 +167188330 +780264572 +2000659627 +1499250067 +1278803791 +868185745 +1360491270 +3659405 +522184942 +156946733 +1102296557 +1343409350 +1849847121 +905338564 +503347052 +808935304 +411602867 +921802316 +152403866 +1742787091 +219187724 +909215556 +602443802 +1939546725 +1024388929 +2062795474 +1645052942 +1461654293 +1409746720 +2135339091 +1275771809 +1576935050 +768120015 +1128947788 +928701469 +2046923807 +1997133533 +141709092 +2050583212 +371834828 +298655825 +1005396121 +1715244178 +1019298 +1910734685 +71107582 +809954603 +174853904 +992909898 +962358469 +1917640996 +1212097622 +1871574026 +372601150 +1004160699 +748479307 +287912976 +501729994 +62649952 +1697659697 +489585437 +1338421761 +1127111099 +1257705452 +319885901 +2055812569 +1157145611 +169535786 +50038013 +1060245176 +541370614 +348693838 +2065641297 +109131144 +349713137 +1828892335 +180238726 +1159667740 +2003746239 +1173148625 +2122026209 +1773903587 +237762599 +1846116587 +2146504737 +1241923299 +447112246 +286934066 +1743653293 +509762198 +1984593763 +85755082 +1848183959 +964221214 +1343460534 +20586212 +872550135 +353122498 +190121999 +922588148 +1413367674 +731492613 +1271281987 +1331525323 +840623758 +1620995124 +1012934010 +1020862484 +633179216 +869196602 +46527461 +607721777 +495616541 +284290061 +306354717 +494637631 +1526213360 +753466963 +781571697 +1122383005 +1263229162 +618681812 +1208138087 +963929473 +1582903026 +404114973 +984515686 +307969514 +757237471 +1174637685 +1230557662 +23121497 +1906130298 +354356001 +1354646821 +599270408 +1975351125 +220097183 +1620132893 +461046693 +1089293785 +1666660354 +1068768471 +1584910327 +1950950415 +1375123188 +2079547958 +1329680127 +2128590151 +713636007 +304579484 +1244335665 +1332317819 +1512717571 +60781491 +767737197 +1916832545 +1045297177 +1075706711 +526586368 +72451214 +158780726 +549707866 +1978581512 +513136727 +1904354687 +430368273 +341004205 +2124451870 +2050501166 +802050898 +1066262008 +1569677872 +1870819369 +503688687 +1373144640 +1098458909 +435752997 +555341119 +1079565413 +1149389004 +859920604 +176417430 +334223175 +225154527 +237198921 +1101960372 +2141987072 +1282496098 +30183436 +521089793 +1354947312 +188964162 +1070797659 +1186045177 +702100889 +827668698 +1616413450 +1043105094 +804636920 +1519430968 +1845155993 +1870898928 +941625192 +1568491714 +227103967 +167286184 +519466976 +662856964 +722627304 +1599032389 +1812245968 +1582547908 +1775449819 +2146469143 +1807702435 +2012648741 +1100945868 +1802205860 +1147661191 +1131129304 +175812005 +355124856 +1320093466 +1246609664 +1541170033 +2022194355 +2074278362 +1010099835 +917815802 +731431634 +382047155 +615488147 +454846915 +1323672347 +36496213 +681950882 +1490958532 +555963189 +1344807847 +66102188 +7511930 +1009570167 +1648650096 +1782961750 +1008555663 +1308868883 +1648126843 +2109501531 +963591095 +648304386 +1093147187 +1139403100 +1003429242 +265757005 +238529116 +397115627 +140467712 +165323830 +1407215462 +1058283514 +896755465 +1789262617 +1673771661 +1351602380 +965451317 +1710267875 +2033553262 +308926201 +118747416 +1230877461 +375028389 +126259347 +92963981 +2023678485 +1909221097 +1101519644 +1185063720 +1409864292 +1063537527 +1171168 +2058168678 +9201066 +1140574268 +914114273 +274958071 +1379103385 +1311229900 +415425783 +1544427215 +570961715 +1473709298 +293699032 +212740684 +999997311 +1645301412 +1178192001 +562781538 +1531371027 +1487118202 +681528955 +614764840 +1862146591 +807788302 +707728821 +1738341428 +569525751 +1809248465 +775921501 +1979390043 +725302344 +777092669 +1890075073 +734503410 +1917666937 +656705698 +1009461481 +1149286674 +1967935599 +1424887265 +546230242 +391413666 +751112915 +839929274 +604154350 +1751110226 +337747039 +1782346352 +166408117 +1869118066 +1121980906 +847937072 +336399258 +836643850 +1655725374 +1044128080 +427501630 +77767477 +705892897 +1203423131 +2057157520 +1431195242 +1980515800 +1799748945 +18215004 +1750699090 +308970996 +1027676486 +752502116 +129422947 +305080103 +1298732358 +520836613 +1056193018 +2138661633 +1124990963 +659819596 +328925024 +759853667 +826227713 +50559442 +1881834574 +1674164785 +386958700 +570994776 +1182406511 +1431086780 +998496406 +1260173988 +2136979678 +54435890 +1169847860 +1420691272 +2034951690 +822113158 +1438906276 +1638167132 +1131084154 +319099114 +243185601 +1260507101 +624179217 +1541917959 +1781343714 +1680372235 +1533095944 +758851029 +192708184 +1862020968 +1518704697 +1018935897 +1912580410 +1253055623 +545617035 +152055463 +1824050399 +1728023546 +1583142243 +675063157 +840713887 +1572638273 +729499047 +2010561747 +845845897 +616967090 +685191257 +137268526 +107650574 +1816275411 +456367640 +350836175 +929298864 +1080546858 +1892754135 +563158930 +613435445 +1278366431 +1322009960 +806143629 +992903752 +693231009 +1825079527 +758000514 +1946286632 +223212914 +910055977 +1622853383 +1951236460 +345714573 +150432892 +644466699 +1918352846 +879931940 +507544799 +616715096 +1496899030 +1192736056 +753983622 +1604549604 +861527820 +1210351262 +1955385780 +1790826684 +143414472 +1700656267 +206501967 +756849918 +831539050 +1528511927 +1562993547 +1824442802 +74259288 +1240589426 +434959669 +2020545920 +1463802340 +1345015646 +1495915655 +1267555153 +1690730219 +1646348547 +1912021852 +1461599418 +378796839 +272083003 +2078314514 +1875695869 +1464819060 +684814488 +1332761826 +178863232 +1895165750 +1140663958 +1969689916 +2038580223 +693836577 +28708235 +647946493 +1525375627 +1557220162 +63456392 +1202334782 +1631479450 +1304045819 +1637294451 +1504541722 +620364511 +834826449 +852973729 +1887919664 +378073021 +351838629 +1652457869 +1839672439 +730635468 +1924540872 +1770503305 +458847690 +1241876284 +307834145 +1791609516 +1420739516 +55516247 +784789826 +1242945785 +2094096470 +1478626403 +1271654020 +594559315 +856518382 +681390535 +658015708 +2058853164 +165386337 +1962061527 +1548663967 +1669928060 +434942390 +236006769 +375418141 +175378407 +614079790 +727256770 +1827836276 +306268581 +1457892239 +1604893500 +2076771886 +1916739929 +699286137 +237122383 +1560865797 +2120025653 +292638630 +198171975 +1215487790 +239251453 +1676798378 +339658163 +833810768 +385833112 +1021048698 +1491826476 +297202629 +1186435035 +1306404355 +1845866596 +708879447 +1741346746 +2081873365 +1084297589 +1916725153 +548469507 +1811554359 +1597077781 +854738088 +1121962950 +1054487633 +784026326 +891219231 +1753773770 +1021148709 +304601380 +1726315776 +1313787340 +502773355 +794319918 +1553038793 +32088085 +1133978081 +239365913 +417921198 +7543131 +1731192390 +715123827 +1193978167 +890113097 +413506775 +1902857614 +483976195 +347896493 +839671555 +253217700 +896366000 +503742267 +1850295481 +1751104089 +1625705217 +757299467 +387646767 +369440801 +363589589 +1408795477 +674042181 +2089905365 +575099169 +1176815537 +736741636 +2128137962 +1208903622 +1870719717 +220020227 +1626824820 +1878262849 +1951212617 +194464999 +924757368 +693842067 +607971775 +680131334 +1177818262 +955868268 +1519802890 +1431035963 +1852234268 +2023545157 +1133847796 +1455854709 +1501766726 +1891147263 +1843501477 +1871207527 +107253205 +1104813306 +397766061 +49674922 +1679912475 +1574581598 +786416558 +1660566789 +636001572 +509652628 +1880587016 +115342745 +240431829 +1684315986 +309807744 +1165189197 +230674405 +917779519 +1845320531 +1408492667 +1873647787 +1217639773 +692044982 +1578398408 +1093701282 +1825892779 +886769469 +447984361 +1569556394 +582787298 +171708240 +1676809599 +1687600604 +569474301 +1726484522 +1220029431 +2144055899 +365417432 +733112572 +632573824 +875070060 +466215941 +747916569 +1115501889 +3048279 +1057724313 +133207438 +233722684 +1975503833 +1978527970 +1642215351 +1701667972 +1048684095 +186776686 +1132582732 +2142385378 +2012669465 +2019352202 +442886091 +1434742211 +454655852 +614594331 +964068163 +2142256457 +1184068633 +543069037 +1214802240 +1180640884 +908486469 +1947914813 +1813214708 +1783556530 +266647106 +413647629 +751574771 +269695385 +1471371943 +884782210 +503418069 +1299392128 +715826532 +2145633420 +853576452 +1764510627 +184926458 +1986159185 +1759412357 +50112275 +1858027739 +54814800 +1484854487 +165199943 +669409132 +301439002 +159972752 +1853477765 +844508039 +1374774993 +886635001 +1752994508 +1175206158 +552366062 +1389067390 +1441853264 +966013691 +2140642162 +1711548649 +289901986 +877940724 +67483070 +1589294114 +1593767256 +65632842 +295386919 +1210794235 +250559301 +134062456 +822722945 +300671576 +1992090195 +877537745 +1785526063 +9806490 +1546946877 +2086965065 +169779243 +1252940994 +783989456 +1544554236 +2139575996 +389500317 +572276746 +544458410 +1778567707 +2014130010 +1510472101 +1771726221 +1578195011 +1800374088 +502183297 +1645678081 +1242184554 +2095950553 +1711310923 +1537571473 +1159261141 +1961870224 +1671633929 +1981984086 +115058153 +1516240476 +712038183 +1900584216 +1526046967 +111501413 +1840065634 +1695826210 +1364442407 +476571442 +1092896798 +1356534755 +866071759 +1665173544 +1900993165 +497155819 +1531819906 +1263981619 +121398392 +962531269 +916872059 +623581690 +460725702 +11572965 +572048595 +24552977 +1549144439 +1731309736 +1986423202 +1073294720 +1565810174 +2101481355 +442051549 +130364710 +1854581923 +1968098516 +241866123 +1547163909 +1516441078 +1606308530 +2023735352 +461854228 +815359638 +742323463 +2127027772 +568869155 +1239479282 +1511364030 +1832850774 +1360877675 +326411651 +602239185 +1984459365 +787137353 +613812151 +409024312 +811690330 +15472942 +2140334049 +650629884 +1088767662 +1558660575 +604627591 +1530819211 +1689025285 +311725867 +1351434079 +1930891408 +1858889776 +720391509 +1389716291 +1735141480 +1182245737 +57592281 +329981296 +1161789861 +626461436 +1569460578 +525670243 +311828563 +782854605 +852081894 +914067748 +619830322 +1639219247 +1527879899 +1028854635 +303425930 +1543352841 +1021705036 +954055814 +484636856 +432881963 +1558683406 +2015456067 +2121907249 +1870409273 +1219406499 +1905315009 +1581815401 +1939798008 +1147547652 +1169473234 +974560098 +1205139933 +1499454530 +2136349959 +1831601370 +921431460 +514536555 +2143429933 +1704286066 +1366618449 +910014033 +176632740 +858354049 +290410285 +1205487375 +1161779979 +1833763126 +79708763 +2115835793 +170916334 +512590727 +1527035551 +38888754 +487014328 +1249961176 +1258295253 +244845689 +684292930 +1050609613 +1392393342 +1853766164 +2025169711 +450049627 +1205737046 +2014036023 +134167349 +2127168506 +381088930 +130113634 +1683970924 +1747707379 +1040127668 +1860603665 +458577780 +1330537953 +918607392 +1620357759 +1016817431 +998316156 +1588709905 +1187733766 +1510906883 +968261808 +1226622520 +1997921211 +70739337 +337434125 +95283252 +755032267 +1388043738 +1487676594 +461314783 +1265729802 +1937726222 +1667051829 +1132282177 +2071893571 +1646736687 +1513371107 +54523558 +1183223964 +1113594838 +1094651226 +896343981 +1572172619 +277705531 +1814951373 +1045046730 +1294522962 +665783881 +486272987 +334773080 +29207116 +1454534796 +1561395600 +2027128327 +1525274133 +1898829725 +2122411580 +132822752 +1139389816 +1462604526 +594137535 +257635970 +1252847100 +113705716 +1389918147 +1177257024 +1760442403 +755805606 +1231780582 +796182719 +1869400444 +178948160 +1692526700 +1294089415 +456653691 +1359994426 +191652498 +1751176653 +2025778307 +677925485 +2085949734 +2054985424 +2132460281 +1499861686 +1934630103 +1510250766 +1251207764 +1909558035 +1643073518 +243113932 +1224678914 +89727405 +500749902 +330042366 +203433121 +1890668049 +1507299390 +1963875525 +498990007 +591596324 +612574596 +220906803 +770544484 +157617649 +1514996219 +1227198175 +1517612075 +1706648717 +830891181 +1395906734 +237090554 +769357267 +1303408510 +222067188 +121735305 +1090554966 +1732317954 +1372943069 +852629353 +1227907825 +1616057001 +2077308267 +1317635230 +2116806903 +259866986 +1521068352 +1859991304 +1767166376 +1337460229 +211497663 +211279053 +1950034825 +432404467 +981823537 +2107652474 +1947400686 +61538065 +1477780901 +1506565755 +892429246 +726203988 +1743656309 +1661786513 +2029612498 +1965723497 +1783521818 +972683816 +1550557804 +1008981240 +1825313170 +630981981 +477554593 +1755137789 +1948617211 +446877849 +2015004775 +1322201915 +159385505 +1634687504 +512178496 +370883169 +1845966557 +314729674 +803287636 +680306446 +274898500 +603204674 +741844511 +1752679402 +2109770429 +1634273757 +331399742 +1705943090 +1148576622 +213528592 +1524182940 +784614793 +1186212409 +927257096 +1793596033 +864041931 +1558239077 +123666978 +471696072 +1359372640 +570544827 +339217200 +534090908 +729930333 +1973904704 +1046269404 +1100813502 +1672387613 +1360999078 +1904101138 +205210411 +1635897579 +359822164 +947054923 +1241093333 +322108945 +433845032 +1572493075 +2028052035 +1582421655 +1786021667 +1404751327 +219552800 +824750428 +184524775 +2013148833 +1688792359 +1742763852 +2136815811 +13004784 +954652845 +559876991 +352221984 +1488743753 +1289807324 +178643040 +387529509 +243137178 +1851030653 +1748528588 +2147238316 +2056241064 +1236942519 +359576832 +855812339 +330552204 +681685777 +1289657372 +1903045279 +562254164 +724595379 +1541583298 +1967005492 +944148179 +218850079 +4046619 +809813364 +1907642438 +1746810472 +799145527 +1920647222 +553979669 +1359022518 +125385558 +2042723422 +501346194 +304028598 +282769283 +744483372 +7575603 +2031297871 +744238040 +2063816668 +1120756742 +1103814872 +772145359 +1451308946 +1785500649 +2061802731 +1206870577 +200271166 +638914462 +600970228 +19793010 +1583062641 +819820307 +23839629 +245392357 +579979097 +1770650101 +1044537885 +353142672 +177146122 +256076755 +478528230 +72385896 +757422950 +782556829 +355155180 +1501906322 +790132432 +238969403 +98660715 +706465452 +1359726146 +1202475587 +1478610812 +663551444 +840492589 +1392929895 +1870422022 +1040763755 +2031844358 +323908602 +1060556765 +1467423351 +1143728909 +1084396394 +1712815709 +1723708006 +707562848 +609869946 +2076850678 +884708970 +865946701 +407895261 +957094867 +1623369651 +1190452090 +1312250047 +977792326 +1980584522 +1551219450 +1076453041 +539566327 +763461948 +131444980 +2018177139 +1427013393 +971937569 +1263623386 +1149951767 +2012701324 +1147984096 +1473860369 +925774441 +467923800 +470105630 +2010170836 +33255861 +46329988 +570250036 +643125807 +2123180667 +1454959006 +1509072508 +383592280 +264570225 +984958512 +1574044370 +1576820272 +1962750838 +1407145244 +980556075 +891720231 +1946711571 +1744018023 +1023165211 +1817405062 +1023547768 +1995102781 +933544801 +26015887 +1860320457 +2081528897 +1499876256 +638611251 +401969049 +1969981886 +501298439 +435224910 +2016311875 +1071548475 +1078350717 +1992008894 +379023833 +439939578 +228117526 +643594059 +1424898090 +1802161896 +72930683 +1240165280 +1061823492 +1053486758 +2131885511 +861051416 +650021134 +1007567074 +530972830 +1673568902 +855186207 +1464517631 +1699584790 +568023017 +1398562881 +1051977398 +1206634268 +1800531930 +874475637 +1707932707 +88273193 +743303864 +631997534 +1166623910 +587829110 +1011021367 +1606563488 +815946636 +1654615426 +883977930 +470624884 +1727546110 +2124143210 +1532448376 +633549220 +2108545073 +246016144 +1283570354 +968628500 +776988975 +809655609 +1823814707 +94022958 +361756751 +244354076 +1492585839 +1413734149 +1450988344 +1145634122 +140726138 +1011437403 +1233907315 +884030002 +1643434937 +253047577 +1471859112 +506972657 +1859611066 +140322100 +14104435 +596105348 +610946984 +1741650545 +572764911 +2143395361 +227716118 +533826336 +241927857 +1511286472 +1502454836 +1018916832 +173458433 +1178785896 +1112939791 +535215184 +1423139972 +458041982 +1948949334 +726644669 +1603676104 +2089675472 +1738082072 +690099771 +826221827 +1234033362 +943147349 +150597291 +1741006019 +655274767 +290919392 +1755110454 +1251380115 +901866376 +1349277352 +1824145026 +897778089 +1576993470 +210487715 +1139705947 +940796294 +1712942551 +11139131 +1114254728 +744244799 +1124078922 +1649469912 +19901124 +1582120905 +1450935598 +746545793 +1038313361 +1393127423 +337144217 +1728413133 +71865602 +1571177579 +524076834 +222462893 +1164699950 +1179351601 +513382285 +772326757 +283248068 +1415248662 +2121604109 +2107393095 +165543103 +1551113931 +170397162 +1305249050 +344426577 +1883339713 +1316388182 +1458681305 +480100865 +292983456 +960667570 +500001989 +1875104361 +264119520 +1246547782 +765934075 +1657246943 +1583691999 +346863560 +1729112545 +1007385931 +870940394 +1951575439 +24602233 +2050291995 +317474076 +796928990 +186056415 +1732722738 +771049451 +145965862 +1898265842 +174679734 +316363024 +1056031244 +519106312 +52219090 +224935778 +1977787617 +532319955 +517919235 +790971539 +1032321944 +245539948 +1055091060 +131386078 +1011474023 +564854355 +1715078077 +1358337583 +146483253 +574980360 +81794329 +2098058692 +599582594 +2132086324 +268049120 +1396511584 +170659092 +2000771859 +20077388 +316624954 +1751554053 +194757122 +632987979 +660101649 +713863434 +685207069 +885037428 +544167404 +1217527024 +1402956663 +1335138943 +102365320 +1648496611 +242746355 +233751398 +512486987 +807600711 +1948829475 +1870824570 +954083964 +376326188 +1952618900 +904659008 +975908782 +1937221576 +1172708128 +224936718 +2107880668 +1025996339 +245014106 +277021975 +630066744 +439771229 +910009954 +1290168394 +1153634663 +1595217023 +27722174 +1697802067 +665260399 +1430678837 +885457363 +767625719 +931691800 +1128203718 +1001377117 +1444178787 +1935804429 +802722944 +1167519710 +742404745 +1179049132 +972654962 +1647063753 +7474266 +762392890 +672288234 +232410985 +722789911 +1698284573 +477425091 +999811886 +180867670 +917196320 +1909821840 +1471036064 +2070830984 +1357555215 +1498758238 +1621149403 +2022815614 +781953427 +359123118 +642957685 +1713645227 +1487326837 +1644334802 +1010340367 +1275647618 +299574098 +30376429 +2018052364 +1478623231 +1003031391 +1517632469 +1486097497 +1765424281 +42437055 +1718508482 +340730544 +1740721629 +48449926 +1340542430 +1921589299 +965646246 +1102880622 +1245141715 +888993582 +312952189 +596416305 +362659338 +188284155 +1378369732 +721782456 +831241840 +944531311 +61625645 +328092994 +1954871678 +1337273264 +627667093 +1985248107 +1207841980 +2106290324 +840795850 +577990801 +1444904173 +458736484 +620427857 +1015929008 +799467028 +213665838 +1064378934 +2140009459 +2135255137 +2030025180 +1095406433 +1232913204 +771535115 +1408358623 +1829329509 +1134194453 +1596642778 +1060215593 +1855976909 +280400971 +2004746904 +1917602555 +608493965 +1812134935 +1107392171 +1236161058 +1649899394 +167750503 +1194967734 +343211597 +745741304 +492388260 +801948081 +1366169161 +1508317268 +1601415109 +1579834999 +425212554 +1593940920 +1567606488 +307754086 +541863706 +653036044 +1079289201 +1950222329 +334881905 +66000006 +1399381459 +1395097498 +1921976916 +1679782430 +1252360755 +1692095823 +140792748 +917012042 +652004346 +1376953806 +419427788 +819754849 +424437893 +762639385 +1565496153 +916826153 +1564587466 +784181667 +277659773 +1018518928 +216533018 +702872327 +464976200 +1784139507 +1010626413 +1006839906 +289691903 +2089915615 +809578587 +624573809 +8431973 +61476399 +2019671307 +1930408889 +1741258829 +1124548414 +1475021064 +1882051577 +2041560456 +2127025410 +1111521736 +313504597 +799296611 +1535959629 +1076143982 +217309117 +305302134 +493247801 +1001490784 +582961907 +1511766729 +1218023802 +1285834234 +1976742929 +854679661 +148976999 +836099188 +1144371565 +91408966 +1645677775 +1768945374 +99840940 +1707154174 +1641133033 +2030249829 +1300929356 +618197800 +1357787246 +1035497285 +512274608 +1337329008 +2147019021 +825779205 +2136625620 +1535495002 +1901923188 +206451089 +1840797136 +247687341 +1207941873 +276275395 +1759454070 +278482027 +1562109629 +1588713351 +1133161689 +1711086629 +277328891 +130049606 +1802495595 +1923006667 +1898994980 +1902336535 +1482677193 +1392644365 +1785102717 +636122901 +2010842165 +995406315 +1671620187 +375633126 +185251675 +1671155560 +1201412331 +174393647 +1059166915 +955851871 +380844736 +752480403 +1203539212 +1588786609 +1028755799 +815509634 +1867268637 +443381780 +256739338 +852946678 +6984761 +534068229 +982996284 +1809480357 +309591248 +734507616 +1564333244 +1792268442 +2127151981 +1201952313 +280907695 +1990510499 +49874980 +1952527882 +218659977 +235126656 +1476199795 +1420072308 +409520303 +387883062 +228440532 +790365040 +1140363465 +1431979744 +231668001 +21635616 +100005731 +2098936638 +465017397 +356745069 +804399668 +472002158 +890813298 +1787395952 +133998867 +1200404547 +374419920 +1698332112 +845189341 +354088254 +752800777 +1126097036 +197115105 +802675758 +931141271 +415775082 +1037802414 +259857418 +1835847390 +1447322717 +647740480 +2064287922 +90204109 +1788103945 +1348784019 +321872111 +1809739562 +1448789750 +273325101 +127273311 +1805534819 +1077724770 +599275469 +548864469 +717637074 +733274337 +1749269016 +1092056995 +284122801 +446974709 +1446145249 +1036923578 +1573071746 +1643260354 +1839599336 +356729369 +2059035436 +729918102 +616586787 +1747399178 +29757172 +1264327267 +1664203453 +119961281 +904947564 +865503824 +441833392 +567203478 +166809926 +715158494 +694476789 +1972344745 +1792883264 +1293752259 +373725566 +363036690 +2027026596 +2122994583 +1455093685 +163665749 +422485644 +753755286 +1200589327 +1995557390 +249531992 +892705016 +204803111 +161083780 +1622623118 +821389898 +1908482959 +1652380290 +2085717165 +1425202764 +1772341572 +843181082 +143222940 +66691316 +1410384560 +310032866 +781849810 +2104861350 +134893963 +427249426 +1251129961 +508619529 +790286117 +1130672909 +484130464 +97896154 +1294338658 +906616109 +851651441 +347444337 +754689851 +1101183433 +1240149353 +959492963 +1262267214 +715288824 +1780882861 +1023266525 +220185466 +1719116379 +300985641 +1992527038 +414813813 +444208581 +2059218355 +1825198373 +754241447 +693584517 +1782576075 +889135410 +1120833944 +886222388 +1397754939 +1911120061 +2016895297 +1881885404 +2009016215 +1163750307 +641017865 +713184008 +1511194645 +1395707716 +1814367442 +603860350 +207717031 +929151008 +1319149174 +1988599893 +1952417533 +1539334641 +1560232624 +105919526 +1384378031 +1975046437 +550128107 +1296112738 +1652761162 +1304369554 +1989697256 +1287853590 +46021316 +963047552 +26592330 +1443776255 +726683965 +2043487628 +1178178011 +588216532 +1059754287 +1819195876 +1301400541 +423465284 +1067419945 +968284335 +1027325635 +1275136976 +1897435343 +198991161 +1116253221 +1702369228 +1738325802 +529002197 +1808288754 +975220186 +356564986 +210933213 +123849276 +2009326149 +1515302767 +2113546532 +1149696091 +1561324083 +929110436 +1176288421 +857616690 +1655794401 +1072292401 +2035794702 +96527286 +2132046689 +1707506930 +1397927827 +408028325 +627443227 +218728514 +1435353960 +1902580204 +2116163857 +1634345122 +871349777 +1671049437 +1225187276 +1400351975 +1331854543 +52923814 +1756916961 +1542787756 +176773091 +1618759462 +910606875 +142835975 +620971905 +324447310 +1071946412 +1797260327 +1182064000 +580257165 +722069080 +1070375054 +676784451 +706632121 +630398337 +2074712278 +1114660447 +1257841564 +145957144 +402530759 +1012938120 +114637353 +2036875881 +1884287898 +1785686790 +1114579510 +1137156225 +970057685 +1167503324 +746589538 +365361793 +1344276415 +217865353 +1275968668 +1487112391 +838837258 +1600415978 +411575155 +488613937 +634996331 +991832320 +1210683018 +1705371385 +1668616772 +1917315139 +188286074 +1595845402 +884491938 +1446127639 +1741802547 +1287022698 +311582111 +1856439900 +1176414931 +48386361 +1494643043 +143510793 +1185542586 +317217080 +1311014118 +1932132125 +682578874 +507806885 +2513830 +1958547542 +1994919276 +841351088 +1411479873 +259010783 +1329965026 +2046476204 +1250843104 +393164396 +1604363941 +771976228 +162995887 +1792650016 +220337982 +1047487826 +1091294007 +1962140529 +187026876 +1402876118 +1671096782 +1363441807 +1451262480 +1018256177 +1506952601 +489321418 +1335473257 +670483071 +273969895 +2018052131 +1178289956 +276483725 +1829116026 +1025725585 +1117834814 +1093112251 +1284736368 +300316192 +992104807 +388095824 +693480588 +448985100 +1160072052 +856476475 +94151468 +1380410035 +1903964301 +1185445475 +1195066916 +2090991177 +440837946 +718680050 +1306949337 +1892100426 +1736936227 +666418290 +233938196 +924925837 +1336901361 +507908092 +795494320 +367707669 +784391817 +477126698 +1393433254 +1902226631 +1570238949 +530685975 +55059175 +414860108 +918781799 +748539763 +863845209 +2078853852 +1605016239 +957996677 +1311780239 +1361496892 +2143442153 +359363507 +1305004422 +436796451 +1078043558 +464470111 +181413229 +667496137 +1130888401 +415351425 +1592421974 +320306114 +923259517 +240432647 +688013783 +1707651335 +717559345 +2081447038 +1462394318 +140314647 +464649365 +1517453494 +555174755 +1383431164 +118509609 +1419019964 +1314801368 +1723525848 +229532994 +479097959 +937539093 +225491499 +838461467 +95059867 +662287950 +1916505025 +559529978 +843701179 +436517514 +1690418379 +1259052604 +2028939489 +2010724493 +34828474 +121888488 +551254628 +1742479809 +839447833 +485218018 +1057390479 +979762480 +949867383 +427360325 +1534937236 +185814900 +545869935 +806473552 +1500616268 +121912135 +1036006546 +1979714228 +1059451228 +1261498045 +670692047 +1154511095 +1923785995 +439713424 +1714041073 +620003526 +876230938 +1256975804 +1879056131 +757686779 +1120216649 +1913884605 +879575267 +1671471278 +1508880766 +1719023101 +9205648 +418787597 +551301933 +959073032 +846147923 +2086239169 +1144887932 +1392017858 +745229074 +498020552 +1513929993 +1781235620 +330251132 +425897574 +895250018 +1000943179 +1580408669 +671552365 +1440656603 +1146966095 +1291555892 +169403894 +256458251 +1023128375 +927090673 +1376674901 +789529332 +1806665941 +900662531 +150926450 +1378205394 +909868179 +569714047 +1929507327 +1868941211 +1415861970 +1868262849 +866345495 +660396180 +466008275 +1364366048 +26842526 +99760247 +1694617180 +452740100 +995010265 +548076712 +2033148769 +1666562631 +1988733315 +1032631216 +810634875 +10653561 +1289089468 +1833763250 +937744235 +518280721 +475808934 +596926528 +1418943252 +626735384 +1975131922 +181327783 +1196449431 +1757155601 +2050268995 +464827754 +1477934802 +769130842 +1125223934 +1943943077 +2133496890 +1152066460 +2043703325 +1680630423 +1604806560 +891229942 +81223487 +1490471682 +410308925 +2069956802 +375619250 +1220943800 +2080610364 +1664708718 +907223402 +870870951 +35505791 +1383032336 +1467797479 +1454449043 +2009767720 +1295445753 +1635776827 +1058733504 +905117706 +1538562174 +1523561258 +235568861 +160209368 +501301544 +32028290 +146222611 +1653368005 +2075731615 +1826853034 +1110690917 +819477910 +1908076521 +453678951 +1229786835 +1830549675 +829298202 +303246988 +1763676391 +346523272 +1210470390 +487063694 +382029064 +446019079 +1954861173 +1836478107 +308303151 +1102823278 +1324771286 +1367036655 +2007940985 +715849812 +743114265 +96026198 +876059181 +1244415810 +128054488 +1022281792 +750300167 +56302456 +701651178 +1860991084 +875780366 +462244051 +167186388 +2105567201 +145310078 +996484590 +261330541 +1908986470 +1343007862 +1471800932 +248566516 +1725036926 +1917820011 +55944042 +1414031386 +78639514 +1158767320 +591319024 +1445676170 +1019224657 +1307168837 +41306787 +1115250855 +35744370 +1285722597 +1243305344 +1058026162 +2036022764 +1299607800 +1759677340 +1749530201 +27904518 +74437743 +1916716589 +2133471719 +219747821 +765717531 +247318613 +2128734291 +2108725393 +1719119545 +229817160 +1686278672 +1489455908 +285761202 +952826410 +1568095422 +1444528522 +1544145434 +866287944 +316269532 +703830623 +907594732 +1431520387 +739574993 +45833681 +527342083 +1797601155 +2081856446 +1826949883 +1409794847 +1683902999 +1854854401 +1484232590 +1453135940 +1840842473 +1703980412 +71369823 +2088161086 +1685231055 +32611568 +1659796983 +1915048215 +1718890240 +1001769243 +53325769 +524233002 +422381017 +1497854292 +2068378437 +1288668962 +1814123824 +624725412 +48780046 +1098160563 +1364300406 +94613727 +1625502647 +1014417913 +28986525 +1304968882 +276729113 +1712889524 +1012339636 +1760961703 +1018541816 +705698461 +1317458467 +1089911639 +646375899 +855205875 +1122523208 +158689234 +622770442 +693929800 +1160458477 +676096212 +1218162803 +1582839494 +26466856 +1139057592 +724024808 +1840590680 +1763783004 +772804854 +791267595 +980599762 +867418582 +269286594 +1995017676 +896405107 +1574255477 +124263141 +461810984 +439111465 +1885224844 +1480352800 +1144809926 +1055199664 +422780792 +1791185825 +1910405539 +1545304000 +1949875059 +385692333 +91750152 +962849888 +1061788545 +1309912955 +398205734 +1088255401 +301486899 +1122230543 +781362433 +2065269904 +1895035397 +1572630029 +898386018 +614970331 +1841916623 +745920046 +1511375439 +1268688452 +870183187 +1973186423 +1707799917 +607924384 +1306055575 +705126195 +1663124048 +1728836367 +348828372 +1426045939 +1126656719 +151219783 +1811738272 +1218406872 +1114069671 +726043170 +380836179 +1512275406 +1814298571 +682323079 +487022301 +448177357 +600109335 +234574050 +2020807386 +1498495353 +849544382 +1715240361 +96931752 +213436173 +836445166 +967114939 +39138948 +396761435 +1575039323 +1345194523 +1101887631 +1090679723 +926547243 +1450716003 +369242014 +2053203962 +1601935787 +33496639 +1124127186 +568521810 +759539809 +1504963366 +2080797216 +426354732 +39802797 +420335869 +874532089 +639912132 +654909920 +747855827 +2138407485 +1504454302 +315612541 +87855589 +1717890475 +1152057707 +1054970529 +1757029423 +1548819142 +482526204 +954740298 +503223125 +1573205928 +1881287541 +1953939129 +1942447942 +1787007856 +1408391268 +1975944581 +763651394 +1976913078 +588000742 +121131112 +1910226647 +1014355475 +160933909 +183078868 +1888887564 +800846041 +837988788 +489259744 +791769879 +194959442 +804872285 +879625468 +1912849917 +1956929992 +1934595997 +1522395692 +1358265486 +269638554 +329652343 +1861488612 +1842844482 +63456236 +1667944093 +1637808776 +1850464092 +928851713 +1466269710 +466631839 +758281143 +2054270452 +587762951 +521024142 +921142279 +748696861 +704103011 +662546196 +1549542902 +1542091799 +1151805940 +193829133 +1737051242 +1956678225 +1073454602 +1502417511 +1766124569 +860566951 +877329556 +976906407 +1130205505 +1206981899 +690911371 +825566339 +1270438135 +211371816 +315891468 +973418580 +1140223529 +1782161178 +1440050419 +1898504673 +1688947982 +2027813370 +272045167 +462606614 +629026583 +976148178 +1125152810 +31085838 +370756330 +129475102 +224914971 +2107807572 +2086153327 +1298369573 +1462741435 +1704794248 +11452877 +192587343 +534217007 +1141658382 +1399569242 +1225128379 +1967224722 +522523730 +1436500195 +135632542 +1495942310 +429240077 +1917793720 +788509081 +180261102 +1459258054 +668838803 +452306269 +1921864668 +1297865387 +1428454448 +899533830 +1328951225 +1799210778 +1029008932 +1553866196 +1759534702 +967678611 +704752122 +1074792489 +524989211 +716204999 +1267379833 +1059206219 +1857863381 +519465427 +136850950 +1677604455 +1041989157 +1573351145 +1813236997 +390447819 +2002591222 +1583547069 +1178956900 +35368676 +895321476 +1847795704 +487674946 +669702496 +998177443 +1916129394 +1569236327 +179645020 +1567856524 +450761611 +1733511216 +1179907578 +1418440223 +290779690 +107216419 +1943429434 +1006984689 +1374596252 +855152005 +717364423 +1894061680 +992002955 +247485230 +788567189 +417870453 +2060722228 +1179015009 +272978027 +1496785649 +210488261 +308346704 +244623477 +2058283965 +796021650 +914325974 +908977760 +564667396 +336078653 +1088622780 +2132523920 +786840264 +674650349 +1164947850 +57796839 +965430039 +1272164269 +2001226274 +1972414729 +499276874 +708894631 +542295504 +245854906 +1700897587 +789780734 +1034422095 +2118768040 +703019314 +65953456 +244262419 +52321316 +276441718 +552609123 +296944793 +187242035 +1348630773 +1211270767 +1096219796 +1913298169 +1547349420 +37358928 +1898338441 +186706037 +712009277 +915802643 +244502876 +1677439317 +40483265 +98245502 +1502370398 +539760139 +807140134 +2044665902 +785615045 +360554073 +686962988 +1820037140 +331838465 +1389982303 +1885990597 +576100884 +1442303619 +14948667 +1128710008 +1739248412 +202190702 +329857133 +803035532 +1298410498 +95671655 +202901304 +1335769427 +1994010096 +389607341 +2047778704 +762329092 +634110218 +1577734373 +802812357 +732355720 +932621123 +1342572496 +1539495854 +829803377 +2128187541 +1900049927 +1516766366 +1800741033 +84404744 +759265021 +1539247982 +660505629 +54084992 +1554196649 +1789215637 +1793333404 +1756387352 +2119072770 +448885288 +907314202 +67260777 +651786593 +95599981 +2061270874 +1041393934 +2143378686 +676116318 +1675504152 +1573629411 +1478928675 +260376225 +358766887 +674017523 +1799872079 +1188570264 +654721416 +1552438359 +557852982 +307978801 +1636843103 +1317118003 +1847226784 +149865084 +1371202995 +1253939785 +1939080721 +1017052752 +862843489 +1910669844 +1465938040 +1770157692 +1977930621 +2117724633 +1865757673 +1891717847 +1011634920 +1861652711 +420350517 +539655424 +1287798475 +1899279192 +800031649 +1646565362 +425813067 +452420081 +687651978 +1080534483 +2004858440 +1245504961 +1388513285 +1494217895 +415139316 +1088256421 +1644082980 +1786342312 +194712558 +1435680053 +655911416 +1057556048 +1198866249 +2121849456 +680230092 +1029313223 +2092090442 +398504117 +773547422 +956241714 +112673181 +1193897940 +1495897138 +1400471656 +945693484 +148445140 +899553370 +1371506552 +600865221 +1587205348 +304557387 +458240013 +685226661 +1693070672 +1952457908 +1100365978 +633843445 +1449057240 +739224642 +828556004 +737253646 +1395136058 +1886112052 +1936119895 +1369501866 +418858496 +817949470 +1314108660 +817362613 +1591496893 +122866726 +930035794 +637911185 +1618763865 +183023802 +1583604669 +1767209005 +1082577172 +807627573 +220590578 +522298873 +1112184961 +678830591 +1207525534 +657771985 +483804851 +160407864 +1291615431 +1932862092 +899632506 +2120171435 +522632090 +147284916 +1858799839 +311268337 +1516786783 +130174687 +1129217808 +683411795 +947537300 +573231053 +806278522 +1877573095 +1211142238 +277558739 +2060596897 +647263259 +2044767744 +995690422 +1454890833 +117874674 +1517989295 +419592146 +796705265 +578031181 +1077364131 +1280510116 +738439046 +221495914 +1065888560 +1638071552 +194183701 +1588520650 +1785356469 +2052983540 +1899788988 +1154659604 +35674579 +881523148 +1838071399 +983211880 +1454754201 +496866273 +713301327 +518412791 +774425012 +626414576 +1165676050 +671709108 +1622104998 +473083235 +789583782 +992610645 +892675381 +1586289047 +1570641827 +1970039513 +719315516 +161597225 +44051779 +1785204076 +1799668777 +238235481 +1226241079 +1437541598 +143735373 +978546419 +444717554 +179409953 +1860069567 +135305306 +1162621833 +1167340120 +632171579 +1875923160 +1685752911 +1406596592 +354854088 +703945313 +2078305700 +1976959087 +1177028549 +720405835 +822086084 +2069703930 +159211234 +245244263 +1892259795 +878526750 +406841488 +1936311575 +516247179 +59026618 +27063408 +1742488258 +1496568216 +170798781 +573551029 +1941285771 +350208734 +286136948 +2076591077 +1512830567 +1453477068 +561279008 +1241270079 +991746331 +1967875600 +1596124168 +1695691644 +1898697653 +1425599607 +725236545 +471619840 +100202043 +647456828 +630831074 +345446307 +392232975 +1509357825 +752287795 +181060902 +2025605004 +811314413 +208124310 +1620609614 +160398982 +378923092 +46676995 +2101684753 +729131826 +332813943 +2030792182 +94478746 +1786291011 +444587542 +1335748825 +630553694 +264979495 +784389345 +178761690 +16193500 +62505304 +903998236 +487813340 +162707348 +1551455064 +1118644414 +508153655 +1943688039 +480518591 +1260441450 +2124748942 +358639947 +2071755864 +185389604 +1979249561 +84671198 +564312696 +2025926556 +38872303 +1293444523 +211256851 +2069664485 +1387923269 +1997547862 +366768379 +576188446 +480617908 +631747874 +1360577792 +659379599 +647941374 +1423083096 +1563377835 +1135754714 +1585790444 +967349251 +106915481 +2093944099 +763553642 +587434072 +1206901902 +740818936 +946074020 +1131174118 +926208541 +777839933 +1215845316 +1490521237 +656282842 +1254717619 +636482112 +867539693 +1176898456 +2024405381 +717603908 +1543666835 +453110180 +1198221816 +27931062 +1813687972 +1857601415 +675872436 +1089287420 +1273495602 +1811627151 +527594217 +93361205 +1918542632 +474054668 +856914848 +358493056 +1680956570 +1597733784 +1304567076 +664647040 +376458677 +2082407010 +1880492356 +1866979915 +591206204 +987726327 +355978379 +1458745897 +17141135 +232900113 +28866157 +1560807971 +686010293 +1227087974 +1588739033 +352214617 +937205741 +117127821 +1441502037 +63217696 +1928754972 +1969096254 +156578901 +1699813956 +295667275 +1013493749 +2058307013 +1976623845 +463743886 +1215390441 +493787238 +840202563 +1150313803 +226795946 +559698830 +1741520007 +1214522274 +915677210 +1052782257 +1231663409 +1148577323 +1081648414 +644987732 +1834587616 +161252740 +86243117 +39318585 +1098458482 +203370939 +1480820622 +1161676178 +2132125911 +1302433229 +1318255079 +1684456220 +1598100504 +184265181 +1595279585 +1427240701 +648009067 +663186378 +1921027939 +1488211630 +1813500182 +340238 +2047910461 +1407536541 +1214862512 +816104023 +312835150 +299042273 +1964681346 +1394483565 +944030006 +1651785314 +1555736305 +1030273123 +1691103899 +506711139 +1233644062 +1024440873 +1668387317 +1218286326 +179390454 +839158749 +755258898 +1777490958 +1023423930 +203054835 +1057248012 +1671432997 +866241213 +830792303 +1012160979 +532257747 +831132541 +912587792 +1939794289 +2045995053 +1728691815 +105145791 +197553679 +1545889513 +1499629356 +1141583685 +1050191179 +907882014 +24373160 +593811430 +1414593153 +1258017223 +1618252304 +935496823 +328819901 +1797642758 +1774655572 +1084078799 +1427650069 +650595854 +1287133634 +337414433 +174545203 +5891199 +1168206736 +1186706182 +538148947 +1999339278 +2099293975 +330459588 +1897850683 +1680502142 +435605379 +2095404362 +1078908008 +1935234736 +1089504399 +2129099187 +695633102 +1113877560 +575426970 +2110226255 +224411135 +46195626 +898239430 +553231036 +1843838384 +525411354 +1637309835 +1124004805 +1176007208 +776959821 +1461419238 +1350552411 +782851020 +482142327 +389774946 +1320999967 +333997957 +341585273 +1651459555 +84364992 +2022087415 +2087064935 +32285707 +953511775 +1874816023 +1121790106 +935127315 +422965477 +88184018 +1510554285 +385708084 +312595153 +1556749911 +1283947515 +865826189 +1253104647 +1809358869 +355652376 +229625805 +837882430 +1132612197 +1691045043 +40951193 +1915463218 +25703722 +430726139 +1088979537 +359701679 +772311412 +592955445 +444066672 +646915180 +532536732 +476352379 +1600426955 +259869107 +1598142485 +388070622 +682834584 +1686326504 +1898624907 +1068542668 +1998921657 +1307891170 +205006535 +717264199 +413512170 +2014365405 +1072916575 +643137975 +704764187 +58045125 +186699370 +745715380 +1973508343 +212403093 +1176441520 +915004232 +572104772 +1948752932 +1507959677 +1016171444 +448184464 +2040496409 +1492523823 +2048611420 +152881868 +943182661 +289198394 +835716452 +482025517 +40339654 +1904259121 +333463526 +1348230824 +2109265656 +1050727725 +1761742994 +1976147413 +2123644301 +257397321 +533427952 +34205778 +444096692 +1279143333 +2007714121 +656499785 +308101205 +775234705 +1228604557 +109370489 +135710735 +97292354 +557554954 +28723496 +1589816177 +458682726 +181605365 +385515190 +747881120 +1017321817 +867540707 +788220774 +774097290 +1201004234 +2136451599 +735879299 +104248311 +1750710945 +564543064 +80408964 +2008108267 +1097971017 +114614742 +304721311 +229630702 +2122328863 +961221096 +537731907 +750079921 +42342005 +647102396 +885790656 +139634359 +1204657350 +914514152 +1729450537 +1663340076 +1096119517 +2114965727 +263737549 +2113441335 +835022787 +1051958323 +740054977 +2036027021 +1040926274 +1475934276 +2140275332 +644153572 +2040477341 +73200649 +504778191 +990964710 +187815391 +809499502 +1220595412 +162660607 +1770720598 +1758327319 +912740528 +1813062603 +257946067 +1798531184 +1952696963 +1462603418 +565561688 +1534663852 +978459846 +1661681206 +1502145931 +1242197395 +1627638893 +189685070 +146672071 +220210222 +78228443 +1187598345 +1696144499 +71020128 +1831751917 +1589138192 +144220777 +189046460 +432619254 +332036168 +998545962 +1653214666 +494696775 +621782912 +1264058337 +1407437303 +287361868 +1522004404 +1058484839 +92575183 +837124174 +1624046528 +1627239035 +1815584021 +1138244086 +981901318 +910297768 +618399331 +1171586389 +1056969839 +838609553 +1249814832 +97084537 +387270404 +1320834960 +1928836454 +1976408596 +1465055737 +2117882915 +261544202 +1797091906 +968945229 +1914758868 +144305033 +1590728142 +1031333557 +1551742337 +1878090010 +405854314 +462743528 +1970665193 +1242978488 +2086790056 +1450420580 +911078861 +1077550494 +284838250 +1821376630 +1695949825 +1456424639 +730862821 +387075731 +558755824 +827947358 +774346135 +1879590784 +609300165 +603271084 +1197162874 +579699432 +864815286 +846771132 +1548644661 +632090507 +991076165 +991889155 +1663424064 +395334854 +722495517 +2069278378 +858078383 +545677062 +1164773219 +797384791 +1996097642 +2075852080 +1874935286 +133452245 +1749745062 +1423401463 +1589876884 +333124236 +1810477194 +1149060 +1161071594 +437339682 +1880739845 +1770371759 +1040610766 +930419071 +202587543 +1905426052 +1777190203 +1751232205 +390032911 +620782720 +595637712 +2053456976 +1016117575 +1318133230 +1975251706 +1874195958 +1863810292 +992541277 +524097101 +1712424287 +920909710 +251548739 +1845876532 +523171124 +1674950203 +1288269768 +856295360 +1337943749 +1289418829 +2017366955 +1775283431 +1022675026 +1640255066 +668410549 +1953094097 +1842842610 +426352954 +1582800652 +1446591167 +816385865 +56099724 +2042228879 +722359193 +1072217299 +1212878461 +550127252 +798929609 +929205106 +1542668529 +1323026711 +494145745 +316094591 +1574575450 +192538629 +839265716 +1102042005 +1480808397 +1695561076 +292502107 +622743578 +1565444383 +2067785538 +1645418604 +1058215802 +588712440 +1451029053 +753574764 +1015065394 +886346057 +52682283 +1831451259 +942445782 +2094911162 +406326805 +2014663081 +1160305976 +956454057 +666109043 +2089511082 +351638938 +1989135754 +436173179 +667733530 +1416227556 +628711808 +1506999246 +370785914 +2109520205 +1055076674 +663288021 +584780136 +473037410 +583589911 +82715092 +1531253212 +1172302351 +1533744146 +137344328 +39884097 +272606555 +190026611 +1871335357 +1215052337 +137454125 +130178514 +1082231771 +1297760101 +1086632571 +1748340814 +1239787535 +1438271509 +1589992920 +1675960714 +2106005039 +858736828 +157188874 +1465520637 +1229522742 +119225432 +373113664 +1892810763 +704005568 +846151074 +328917027 +786720660 +229920638 +1501219378 +172981158 +367264966 +1541103476 +445587714 +557291577 +1264955185 +1660640051 +694745702 +1395133699 +595388174 +1992505804 +334282622 +196245340 +1084809691 +1772554131 +1786238260 +613286758 +1731075523 +497491441 +770475632 +1049112512 +1727014183 +889701064 +1422226176 +1472341299 +1593706632 +120893602 +1801258326 +232943645 +350814240 +1154994056 +405924803 +718079206 +548613884 +851512517 +1275370783 +1813569069 +364668921 +1970116486 +1061219120 +960057095 +1815138642 +1395501742 +1156302436 +752464685 +1020572226 +795057048 +1365751443 +604164101 +1292548489 +2136227076 +1653276613 +872079025 +878444492 +928019142 +196936676 +324667477 +1048912744 +1998195002 +557611122 +1399726985 +1005705410 +963535925 +2117806191 +1554319295 +1815048443 +1245693327 +1220404716 +32233716 +1068326165 +134140189 +992290811 +735981159 +1529641931 +1109599 +1488445844 +402730509 +796166648 +706713640 +1006894610 +2088715137 +695457068 +512687576 +813310514 +1573901560 +1440706718 +1010247190 +1898569037 +342135814 +860958544 +308696511 +1741862799 +1866663955 +1272232437 +1712185343 +1273499602 +939797232 +810395022 +346420670 +972030948 +1878721187 +480560859 +1964321759 +467218698 +2010202791 +1965431359 +1955664542 +265449652 +614114359 +514894534 +1272344263 +555345848 +1210351602 +1785031839 +1368656363 +636769515 +1078254909 +231419905 +387854904 +1420390723 +1092378450 +696551416 +1014769875 +811558757 +1968783853 +579471570 +2085058359 +761097437 +1389866592 +283995381 +1733128385 +1121104131 +764556241 +1549966496 +1588322829 +627275384 +1367914207 +1396503723 +892725036 +1982028566 +1911398258 +17585651 +389890767 +974266212 +1802617490 +1758547130 +1611035727 +733388751 +1989967035 +1998890632 +6295827 +934861837 +547958400 +1021065702 +1746420594 +369258605 +1600537272 +1683995305 +1130356042 +842920216 +1967990687 +716000779 +1964024347 +585063280 +118483627 +1404863528 +1212338664 +1486397835 +653883603 +2105063700 +1320942753 +417798213 +2122649352 +1710833520 +1392064426 +1777783194 +1321897002 +855616505 +363688298 +1164380390 +707023489 +369984125 +2099242227 +1254981889 +1391049827 +1698179174 +1624240494 +844103451 +1234690831 +607112888 +1687023667 +1055197870 +1323113667 +1503564366 +1640261150 +1441597295 +760944246 +705116166 +780511482 +1414827849 +662696219 +2101454235 +1832626063 +637861923 +1664804108 +1077206841 +268161469 +839217462 +1932823346 +631849767 +2003597852 +492363188 +1001833892 +1955356432 +1747345077 +245400071 +1506051958 +1224101924 +1089503522 +593259141 +1831214812 +629043541 +1648457012 +1006844832 +2132607907 +1141234514 +300958479 +746068505 +1846350681 +1081469961 +13412707 +361563252 +1035440548 +1846038770 +999425175 +552761008 +775761963 +1267586644 +1391978471 +561101661 +1899436412 +1248092675 +1053464849 +753786656 +1055965459 +653326279 +999186728 +414533769 +1877428203 +2088690250 +1007792911 +1561159367 +570250144 +508766275 +420520551 +555374403 +1650000789 +721479030 +1301442909 +1348867822 +1802948991 +1314855616 +1710431074 +690905892 +1013410738 +562372601 +1243666900 +1789172701 +1829959246 +488161723 +202790714 +1581912010 +1736254399 +1256255564 +188215018 +644736210 +1909581843 +1187401746 +1059269980 +1639526398 +1128608349 +2067062891 +1053202117 +1698858493 +428345518 +1473722669 +106749248 +2078346307 +47718051 +1408192157 +1279730482 +1850667043 +575564125 +842677908 +394089287 +1588974863 +1405050510 +1637756187 +1230663916 +1087526108 +2125917911 +1433454631 +521954470 +1714688662 +542226547 +710169488 +211941224 +304324742 +1897571235 +1271211204 +1943851140 +878695936 +1190790447 +849569609 +430070781 +1619135965 +175808630 +536820029 +1549998625 +223526682 +1945012187 +682245459 +2074193725 +373092664 +1524923367 +320799364 +1962067528 +782490229 +1958555551 +1045247796 +1870016337 +1936989814 +331218779 +244487159 +1504194828 +873445326 +954656648 +1716136053 +1177770068 +704744235 +839863609 +974137560 +1583440171 +2030654057 +1823707170 +2013510952 +1502306374 +1999515800 +402847333 +904821351 +75558834 +200375872 +1587066810 +2268911 +573468537 +964506530 +323068275 +388052417 +1746996759 +134140179 +1433300213 +1469529449 +2071129993 +1764518993 +1714016608 +1427841174 +490480671 +521189608 +996493579 +1668250740 +1225933843 +1836357188 +494904652 +661890366 +1719527597 +171128174 +527917670 +1074350324 +23160327 +930765004 +1979171675 +98719161 +1131140876 +1418754838 +100988073 +1704609413 +235777720 +424056348 +2092661830 +1982774479 +558196527 +1378478396 +1304820280 +481842873 +995513741 +871353241 +1909684047 +1485994412 +1392542849 +758693978 +1006761504 +470993045 +447567518 +1501666157 +1132883411 +19611468 +1672794331 +1660801082 +1093961792 +1695954658 +444082438 +925649819 +1794673820 +1575223314 +196921009 +1895661893 +1132349080 +432698729 +172234593 +1077527262 +267989561 +730431121 +308522010 +1572809841 +1212273994 +1304035751 +296679434 +974474393 +642546516 +1689222284 +1733168371 +1649308020 +12731681 +33252241 +1003490529 +1145615092 +52863709 +528801213 +658932526 +1146825501 +77272223 +1103014964 +2072475321 +1871946043 +530754631 +121912682 +1620124288 +1663103711 +554611412 +1792358882 +593147325 +822600973 +375306355 +901669336 +247927166 +1587580349 +58221439 +544606601 +414571094 +700767955 +86345237 +255817 +202592328 +99076918 +33508058 +1206082857 +1244692010 +86371768 +1734884070 +1903624537 +1233197269 +1812156294 +859155853 +1158188942 +1536618689 +1389910484 +1280101625 +1009259330 +905530547 +1834713037 +654134564 +1498677873 +509830362 +1029440919 +252863561 +757757528 +469537620 +311085000 +1302364129 +884108714 +1011852956 +1388709366 +884364531 +1214445284 +1487786284 +917872589 +273044493 +584994647 +1004244357 +2007928564 +341135536 +89957979 +1672601210 +1200291389 +1248146921 +1061736251 +442718226 +380764898 +2070995581 +1348248773 +67994287 +577646497 +699442998 +577824649 +1607087416 +952306559 +1335582178 +2076625036 +1263391560 +490462659 +813250102 +127760868 +1879172026 +1697614633 +1342206152 +1219474662 +468003575 +1615250645 +1804469309 +1472247932 +1475695561 +2145604845 +1562205911 +1000813123 +1198412587 +662869185 +2062549375 +1641130813 +1043634083 +1986061308 +841895938 +1111628371 +416224158 +1541338937 +1689453020 +2023311574 +346161848 +877551550 +1952452963 +1609553408 +1368014210 +618219417 +1737314276 +1099702588 +168350403 +932036780 +171693602 +636353978 +399803778 +1976162912 +2108601910 +1875499339 +1974284109 +1523324174 +728828815 +1025213048 +38709711 +643894542 +518860213 +1082343794 +482472202 +1360756152 +46488517 +898696360 +754611441 +1735941538 +774524287 +1100773289 +466009440 +579493602 +562843050 +1834023650 +1197713019 +152673678 +786242590 +1366063422 +1084710459 +957936193 +2002417400 +1484514237 +786615457 +1963535663 +1212529928 +613415918 +1339376189 +1941358743 +1638628967 +1378085900 +437769637 +10005532 +312946046 +920241840 +1370761684 +359434564 +1818938200 +2125373125 +2095376102 +445978839 +1078662767 +413901894 +1025472441 +1641505817 +100441897 +75701813 +1794179495 +886684487 +1441765235 +731406306 +1844620680 +1296698988 +68436895 +483752489 +1112751003 +1280966824 +1097168408 +304643544 +1074841919 +588313727 +1682729444 +1512611557 +598319259 +1995675490 +285369749 +1969080944 +207626406 +2104307949 +1946970421 +155518860 +402803141 +878149540 +569420755 +1428275582 +372171709 +669862652 +1503977395 +18867557 +1556547139 +798258983 +750273863 +1253684172 +2094957971 +818710759 +1737436661 +1060225326 +2099677583 +687121421 +1364868870 +1027035854 +1275435148 +900114666 +392163763 +1873754408 +748306508 +677533512 +1695351704 +955932915 +634357814 +1494838477 +1111451775 +1037160955 +225504370 +1680872530 +317952889 +597676079 +203251534 +1821930285 +616543636 +1759798674 +472705620 +1366817500 +865999198 +420179943 +38044611 +455952211 +1480405269 +2137722194 +1143073633 +697790491 +1017274400 +271025133 +1597905157 +1409438164 +2144779541 +198728017 +2086971676 +1692647597 +1154660932 +573845842 +1040002427 +118629060 +1611006797 +1265506797 +1799501590 +1928959687 +1863182876 +2002753125 +1603406324 +332242865 +1615068151 +2076111944 +1699060365 +333583701 +348808239 +1737104976 +789535912 +1829213508 +1727343522 +1932609545 +379520351 +597134274 +56151031 +1977425508 +2006572438 +53446924 +28669877 +1946060467 +1746094522 +1183330810 +372422661 +638613301 +1301959870 +1983429459 +1904120098 +953977812 +1764905498 +1619819326 +809247289 +1220828174 +1952062191 +276831792 +1149456470 +1503638908 +610415493 +1498264709 +1093260236 +1399951406 +1179994569 +673120110 +1185077303 +1559514920 +1270254385 +1241228334 +1389456780 +1129343175 +1294675259 +1418126657 +927919994 +893286133 +453973819 +1300342656 +1531899434 +1755933689 +1136288467 +1288535884 +562427854 +753710317 +760871562 +1371675143 +1974538491 +565450106 +1648506936 +976511313 +2069089014 +111438781 +327292374 +1014865603 +1511390187 +1507286943 +1687985713 +548983843 +919318215 +810756450 +1790212177 +161291347 +1940099626 +937403788 +1579418004 +720535972 +1830689921 +2033391824 +2020878628 +1215105707 +1641841865 +1009683447 +356157943 +56786071 +1763393764 +1117029506 +1428461215 +1590448607 +1682479612 +929484503 +419476272 +1604084978 +1040923284 +746768646 +471466933 +404829824 +106571941 +11968999 +953813667 +1025890156 +822725449 +596542196 +1187181503 +615341427 +1533945985 +619115860 +1335877400 +1217152258 +505024036 +1209272380 +284774318 +2146865901 +71472180 +640932261 +56168325 +1834865944 +1757961767 +1484629540 +1277830904 +1292957731 +266630395 +1697307176 +749559062 +1307553679 +296592175 +1221025995 +1712383503 +403164116 +1232994994 +518713522 +1429054273 +2055720444 +1115255719 +468752128 +523578223 +501718056 +1087867988 +1859455623 +1718870314 +1592892024 +921244356 +2003644632 +1592274278 +992716536 +497093246 +1648442603 +680098832 +107571365 +985588495 +1957929736 +1400529097 +1252218890 +1507753265 +2604511 +412288921 +1804345440 +1223630506 +2124672425 +60025908 +309141853 +495902299 +1489080181 +217378649 +1611158018 +1957832310 +740956872 +2112876074 +898216650 +452928848 +1684262741 +343625027 +1374173204 +1540423725 +1935899305 +219406092 +2037516971 +1436858260 +899504924 +2145088337 +274963107 +709951013 +1398133786 +1527181997 +70220630 +1400738297 +1939470918 +1874566070 +476885155 +1916659695 +1934591978 +786027008 +265078347 +1276188512 +1003405657 +1876236365 +1086537174 +1744362530 +1841628792 +1984753824 +49807730 +1378407885 +180895203 +1423980934 +771347962 +2116794508 +1643387026 +661381286 +1406169120 +395408302 +658985975 +1681132227 +1105359315 +2057119761 +1060830576 +1175579945 +1310374410 +852817847 +902662367 +1787259565 +621993894 +689770698 +425802926 +887072241 +1965959210 +1429208583 +615824959 +905012736 +1026087465 +309970103 +742282912 +1075895195 +1688377988 +923178116 +352392481 +312242302 +892488976 +1995779507 +973623588 +151174449 +243704162 +1632609563 +1832306676 +1349063477 +1542245676 +745653605 +377159775 +705136438 +1598471452 +1279822142 +344912356 +72981698 +1969592840 +770715282 +960053940 +1788068402 +52440217 +1575878899 +545597490 +1078527683 +1885849002 +1287880403 +6939230 +1426743342 +63574871 +359331712 +1738985644 +956063847 +207627571 +565125585 +1107238296 +451331733 +50251500 +792061325 +1800395211 +1592497177 +1537714930 +30071338 +150149967 +988702734 +1309893480 +495062323 +1061684432 +1132002673 +1265777605 +2021738372 +772587427 +1318217823 +1450133623 +1318184918 +249261858 +1188498977 +458581673 +256201088 +467758671 +522156544 +615532800 +59260668 +1478220391 +823160372 +624386253 +437975040 +1274492105 +674637753 +1230036365 +927403668 +119651282 +620267647 +957475006 +269801250 +1608970381 +119884839 +764863573 +523171165 +1251887512 +2030641179 +397425890 +2024474939 +1201375354 +1847559513 +1195176209 +1450637212 +888574843 +1653757882 +1706838300 +1356333514 +28430778 +174887453 +1415594182 +1506651170 +998047825 +2039980435 +1944626210 +125056282 +567134541 +1027178927 +1052459951 +686785823 +1647446574 +2009934957 +956587073 +1108933307 +2129819796 +1721450647 +1632104472 +1234223660 +1604608178 +2029530362 +1111214952 +658499884 +1729606228 +158907513 +2109137096 +470697423 +1812665396 +1668491748 +1827030937 +1841096174 +1843379201 +1095141472 +1200263696 +693943378 +987638259 +997406258 +818999661 +1554772800 +2024585185 +1871459612 +94074976 +1524548111 +1733910921 +1050662049 +485997770 +1716247070 +624629048 +2118102243 +802987082 +81753578 +2000148957 +1914202034 +740253462 +1582271537 +2073109548 +701906910 +2052968960 +1738291296 +222915011 +1732516250 +1431903822 +2066294212 +680174074 +484683871 +612753943 +1667812333 +1482090129 +1431753604 +1075101486 +1359191667 +1155729568 +1169176462 +736256130 +742156841 +72354863 +1222253901 +310920263 +696983912 +1192872496 +1113907346 +778737490 +1045537805 +880625732 +1518990953 +480325695 +806251632 +73414215 +385811007 +397059280 +296329226 +2118327257 +1828963103 +215139791 +651017683 +166163326 +827893734 +171346369 +1648253455 +112163690 +1246447855 +859961474 +1267893258 +268140669 +1596217605 +2010050099 +340495532 +670987858 +173486715 +1037479444 +1863860354 +1287394061 +1816216935 +761914511 +20536145 +1187724240 +1242240206 +826787778 +1261138455 +1628051214 +1223847058 +1557467682 +1598894823 +905326513 +1772607473 +102428859 +1071489839 +453017559 +273775228 +572259647 +565181249 +1520223083 +1432221121 +1833074507 +1788363752 +880955078 +1695640958 +2128859284 +1551942936 +1869127673 +1018855081 +1268319642 +1009038086 +687588368 +2030234154 +1029574232 +1875312608 +1124990712 +1856362010 +988967415 +605558278 +932725420 +398951449 +56969454 +1838051934 +24075274 +159398313 +762058125 +477092833 +433173541 +1334317772 +1042274082 +1953396624 +619055246 +727864941 +1594276728 +1500010324 +276022252 +1575652364 +904469613 +2145149925 +447023797 +25305607 +1006704364 +1134612165 +2055539761 +2036278596 +862441125 +1033046826 +1745156958 +1851408541 +1638605104 +530398730 +102876342 +1695574558 +220967016 +126951617 +1854972871 +983025142 +604044450 +140662764 +169859266 +1646318533 +2094059388 +788914512 +226699826 +1540852468 +141441189 +502722078 +969021185 +1045910802 +500388356 +1416044982 +1071216409 +1507092720 +403173500 +979272523 +1395887668 +1265614625 +2012319349 +993560978 +969539518 +1503440805 +1523959708 +1072415861 +1051531716 +1744926725 +1199367478 +759020939 +580468219 +1803411928 +899683704 +750327485 +1302246813 +846259444 +1539241998 +1528946640 +239628265 +1680683187 +2031668718 +1208649450 +579110341 +384573426 +477210784 +1650326750 +1891666146 +880384284 +482115625 +1140070166 +2145998910 +346951326 +2133631144 +968054780 +1850392132 +1510107205 +2040470641 +754440200 +1107550282 +1092354471 +1513461139 +1688018501 +748282752 +265661195 +290862338 +2050529565 +1111920640 +1830104336 +1431992557 +1351548905 +1363303875 +1316177628 +412714707 +1942414216 +1700751054 +889925491 +1445257319 +1444933553 +1770309776 +1927372944 +437520071 +1768825038 +126840623 +423667568 +589396170 +1977232755 +1933774773 +482383164 +584189307 +893841407 +1574737635 +2097650446 +434376260 +175536739 +215827994 +725238598 +78582657 +1327748634 +407859287 +1510575214 +531813891 +1771163162 +679269194 +944528598 +1566093731 +232536601 +1834454089 +863867402 +1677470154 +1457280217 +643756698 +2114990225 +1078621607 +770597321 +391174145 +1668017778 +600346428 +177465270 +2917294 +1184535735 +1071306677 +1577654929 +1134702534 +1505682937 +1753191669 +1350530528 +83437888 +1831774326 +530795514 +491297175 +1194865892 +1062609405 +114976689 +1874135087 +2007138003 +1681070420 +2106671688 +1694108444 +397454174 +1636658194 +1003905014 +1041210873 +1604164771 +2082526621 +1811808194 +1995338917 +1603060751 +264670975 +25320539 +1605978045 +1449206710 +1096627217 +1036149327 +436425596 +454826506 +641857348 +1786956124 +538264394 +326148026 +170267990 +1029561569 +1521013918 +1232877395 +1144538259 +1247665357 +1092531750 +678125031 +1206853397 +639156547 +1075579206 +696027943 +1643061561 +2116790079 +152709067 +1578104534 +1781114625 +564336 +1033681638 +2045785600 +25884875 +492176035 +1347508663 +1122512092 +1528325362 +1783934259 +1577338599 +22699062 +1423406736 +2115602993 +348847088 +1593674726 +997680915 +1869861007 +679068474 +2142219174 +970042716 +1771600224 +672860557 +29412466 +263273123 +1748439763 +725440409 +1906334684 +1717746194 +878149476 +1336955571 +1351377172 +878713812 +223153561 +1249679124 +904598688 +715329596 +449704139 +2027110780 +96171311 +86154751 +1456965731 +118870373 +1509561487 +1425085077 +467717462 +955752565 +275282344 +190094821 +1634821039 +270017870 +1160137537 +1258937616 +942878427 +1189550003 +1522210739 +543834543 +1914990413 +1281061776 +114097089 +645656241 +470533699 +1465474261 +1524370054 +693687260 +567669738 +281485094 +1409016856 +1017373877 +161112226 +1505188167 +1103528628 +1618077958 +1624058541 +465606467 +895679387 +2091776003 +1421359033 +1170961731 +134387176 +908696424 +1440979601 +1294524713 +20150392 +236374380 +336591069 +1542361132 +780208923 +104097834 +675939260 +894306013 +749754075 +1146472959 +212296626 +126640481 +1840160219 +779966364 +408125575 +1101693427 +1797340242 +569237802 +459397947 +753385222 +39832112 +2083456488 +1218991690 +935511499 +2027748843 +492867075 +2106473230 +14652371 +1401563499 +1399969183 +1309177084 +1421713892 +1636343563 +1645768153 +816591376 +269068839 +1749865987 +1492530636 +1163374852 +352136415 +491519947 +1375671478 +478776896 +184196518 +8154195 +886902472 +1285889945 +1805494437 +1456140274 +1745287892 +411396011 +1495972386 +1681260732 +1630387701 +284000237 +1561525927 +2123254776 +242989819 +1576178298 +1377334628 +1642959002 +737871735 +651564872 +1131818917 +236156240 +1468156248 +1400887756 +1986022228 +813203236 +416778960 +190674995 +1304723183 +1792450439 +669451891 +1488919701 +1800604634 +1556354363 +627325998 +1458615423 +865010989 +225130243 +1870011434 +213499727 +1906390975 +1352915488 +497499964 +1320433255 +1328686616 +740489783 +749127905 +558537596 +235965137 +1486999640 +1210102468 +1367784055 +1723155881 +530775068 +621188163 +1561694461 +1343978304 +1037967124 +1752369456 +501217839 +682933915 +274337699 +1990137540 +336054901 +1830692063 +469979891 +1794670324 +548219404 +695110134 +1517198110 +761719132 +454017461 +722629950 +1259219096 +1774450716 +2051316567 +1999708880 +376094974 +462370515 +88190369 +1863094614 +1672472984 +1455974424 +1438766847 +55764404 +2077162588 +852977660 +1399742709 +967646064 +457863468 +1900960548 +1650579979 +732201168 +1743614441 +1986634880 +415409583 +66110684 +1633821556 +963628987 +761220818 +1003536018 +1725348119 +1215238279 +1726165969 +837083568 +842205348 +1629998888 +689308800 +1218300322 +2092369403 +777499169 +933911288 +1617358739 +85989946 +225194488 +1673123144 +15668886 +1078172148 +925382205 +983314950 +1536035617 +678859105 +486411281 +120753137 +274989898 +325562513 +536162720 +341100582 +1959384069 +1499791707 +1102321400 +815436439 +1077656179 +170076032 +394118760 +1914739747 +1012281380 +2024117648 +456564899 +83098054 +1969003404 +1234064068 +1017009342 +1438878495 +1320054014 +1242203830 +964517991 +1335722900 +172892331 +1889900196 +171554202 +1708927948 +421275654 +657965483 +1829681085 +696265552 +983527996 +218360157 +1037366135 +795428417 +1718151864 +2139687535 +1610864857 +648324395 +162279919 +2004983617 +415580494 +1174561299 +1881617618 +872145393 +1257659353 +1703137374 +2106209462 +127185048 +994532221 +1278779828 +1369388878 +1959050213 +467019081 +1542281209 +1701466761 +638573283 +1103725509 +2122742415 +1296538767 +785922946 +671524320 +132583115 +1004283103 +1708890455 +928011533 +574951320 +1701094342 +391392742 +1223275715 +1863374262 +248892711 +1638856210 +890451913 +2130510329 +363517955 +627619 +1686164055 +322243769 +127812667 +533212629 +1601023598 +1497201545 +344779194 +2068042679 +891999107 +2046245955 +559132314 +1995724616 +2021504723 +1855671081 +634163915 +545545395 +1988254197 +1638447018 +106952202 +768782082 +65914690 +1808046544 +1160174824 +1289190406 +1523937158 +1409067535 +780562968 +266905424 +1392094217 +1144080923 +267533043 +930774624 +1466324693 +395345710 +1463987253 +919864643 +1892547255 +1808766447 +840423674 +637062714 +1707528755 +1399555988 +485303683 +1581549830 +1107743422 +1119467598 +2127095225 +948513971 +610430968 +86563779 +1717296053 +676345659 +1894610323 +729987229 +1965536065 +1271063834 +2139054764 +598615385 +1537969258 +1383665333 +1742696308 +1805502301 +166956310 +1061537353 +53364363 +1630943563 +1981401996 +1945911618 +1292226363 +674342022 +435490685 +852271470 +2073898011 +920794368 +286337652 +1034157785 +2040261966 +265949229 +1982671756 +503209286 +352513008 +1552484161 +1179554945 +99639683 +134987742 +997607362 +1370703517 +126558858 +1596222747 +761189127 +1510224192 +1191435408 +419207780 +1677180502 +105489113 +472572143 +1160640417 +2086891110 +271000114 +305383132 +613749484 +706490799 +1157654602 +540163847 +1627285167 +1443992254 +1574321632 +1520063485 +1709941483 +1409509740 +2023272771 +2062454491 +814510253 +1055344069 +14610527 +949497995 +2052951431 +1385314044 +1076056854 +1501690531 +2146503172 +438797398 +545642291 +418227304 +2115977900 +651131404 +890799448 +1129134669 +590538866 +1161799562 +1434517802 +1204288351 +1868290361 +444688756 +1744452198 +1348091880 +1888681011 +1171290183 +720671717 +1451138846 +433316275 +596460840 +1366109690 +1247826529 +1651804909 +1380720217 +49840876 +1557272693 +618550613 +1125897730 +911479576 +617570137 +1564695128 +1457121867 +1035797442 +1533189380 +2108253271 +1926596890 +514840402 +551308490 +940912804 +1949358204 +1755596841 +661719517 +246563312 +1352565391 +2009811397 +2135244323 +376371926 +582999466 +1438899522 +809688202 +1179460306 +657525564 +2057514731 +683781568 +2038245781 +2107355607 +93570613 +509312746 +1085769690 +1005050189 +1126882884 +502981170 +314688408 +15196678 +2036170551 +275458031 +1941793568 +403527305 +826766521 +735222724 +205401861 +434879714 +1396942241 +451965173 +1787445106 +1259269990 +439725849 +16333384 +1842269456 +1878625371 +826021586 +874246114 +388667287 +736052669 +1558027682 +279429420 +695924629 +1651598295 +788742166 +1781694319 +509164836 +1915625050 +137191841 +823853244 +1930821728 +25878744 +1099311276 +1725131648 +429406049 +1926077797 +312870724 +634807910 +213473864 +1709812965 +1086773084 +2000918970 +821599307 +1526498933 +2017252354 +516385115 +1257640656 +695790293 +1390631230 +1646307943 +1431842962 +801175264 +1925737363 +2127767591 +305289912 +566995881 +1761978262 +814454748 +335137284 +1899170104 +1638307993 +118475364 +1925048848 +590135621 +1843607013 +206971250 +368729770 +8994089 +841779160 +582203634 +1718807055 +1928552244 +435638956 +392922714 +1307567529 +305407663 +909307830 +417724537 +1001197956 +152455412 +2064032480 +285557270 +953630676 +1842286195 +265841214 +1258920588 +261798429 +2027819476 +2073375337 +596935713 +1779505932 +1564199682 +715411077 +1557071133 +6851655 +411534442 +1764042383 +375581425 +420528532 +458337895 +957785060 +2139335587 +239406492 +1393424016 +384774653 +1546974021 +1698831679 +1294082483 +1964698559 +552545987 +1446537895 +1881247391 +838103258 +252684924 +1576049939 +1103944472 +1511605512 +1837848368 +984280300 +1437497201 +287300433 +616302585 +854213235 +1002711510 +25890070 +861064890 +1414245953 +1789932453 +1236646316 +1834774485 +100786700 +46947728 +1826626424 +340193192 +1440371744 +63917429 +1887167214 +991719776 +1357999913 +1704382125 +1544265763 +657054160 +1438145868 +234885373 +909739084 +866712159 +1338829845 +273860949 +557076879 +175626498 +1711358150 +844377312 +791929083 +418087738 +1847088823 +817819153 +1279152628 +1113851128 +460267958 +368315296 +801141965 +561054658 +415263024 +480284741 +901247851 +1855634769 +544202170 +640931417 +699870897 +1902202083 +197829894 +96653012 +411772596 +1635975762 +331538386 +1321511680 +355204274 +1670368231 +1595372629 +912281153 +1845994729 +1159247132 +1756658466 +490440164 +1577334870 +1456263641 +1308259317 +709003850 +422631121 +1768527275 +1077319147 +1223773086 +182098286 +1492582171 +1704057827 +1083346137 +1200733292 +100776349 +1724277554 +1900604189 +2002978433 +1922107448 +1997257202 +267267381 +1410599562 +181311940 +1588779061 +1765803836 +1851680171 +1036668043 +530601342 +1550191253 +48431527 +139776160 +2040631417 +1625766397 +1596039801 +1201407087 +187286599 +2018670922 +822450714 +1264605746 +1094960360 +1004549000 +609704270 +651534539 +2087895137 +1810437562 +752310888 +1664689043 +1563558104 +607805673 +1439312843 +1413331658 +875073054 +702428758 +1594643598 +316368468 +320748946 +1298840121 +1353036511 +851350288 +701547726 +1401468038 +991126448 +594695496 +879750787 +439682601 +1796102583 +1067037386 +310869875 +471069649 +184159485 +1405830235 +1475618650 +793863755 +2057364774 +1416030139 +456817669 +662192015 +933235535 +2020375773 +1269997688 +225064730 +1286223783 +2145070743 +927493488 +733383733 +313955563 +1248242435 +2032223855 +1666992074 +2099592723 +586287933 +920976464 +943235524 +1180983429 +1800727251 +1382918125 +829602364 +720280989 +1693788001 +1300672014 +904440474 +952134588 +628807016 +1698304229 +862015715 +2044837155 +7638251 +1524207730 +830589042 +2028014024 +646721770 +1055653773 +1166754160 +644308865 +1983147261 +1900137893 +958264428 +1083906048 +1784878100 +477772854 +1036015124 +223682386 +1398749318 +1979250648 +1404665815 +1051992921 +1214685125 +86784532 +1772273911 +760989478 +1387456546 +529230737 +1713124067 +2016263562 +80051319 +427656134 +1913617069 +87689570 +1951863864 +596722464 +2115703594 +451101986 +1652376237 +1134974106 +1095410852 +1488039850 +887628352 +2053675280 +424462251 +525022804 +383964487 +1460477375 +748705190 +1782713805 +1292244375 +5887358 +687223079 +359445852 +92671890 +312013342 +1120435331 +1480128436 +841244079 +686075750 +1348908350 +921295398 +1113731884 +1115041771 +1008984968 +918112100 +1711764235 +977204915 +1369214086 +1216656824 +2112179021 +317141290 +557213027 +852323725 +223332923 +981675278 +1377346530 +607297410 +294669005 +2126051720 +242527567 +1586913380 +2131939078 +929750646 +1946359232 +77127320 +1241763988 +919310915 +1557255756 +2083008068 +1605386665 +758680458 +856819818 +571634901 +1873722230 +1865804787 +1489747001 +1438002817 +695526054 +711477440 +507175994 +660221427 +1028618730 +1064389021 +1512545153 +1251951653 +2046064299 +742408035 +1859249063 +193249656 +720976107 +2101776631 +1780163036 +705431538 +884043629 +1579038620 +782558858 +2125807618 +350865888 +192330967 +2061332038 +1956252553 +951011425 +770668208 +380403807 +677250007 +488989347 +1870150808 +2115252825 +1184515401 +434144600 +474945171 +1844736829 +1462763331 +1539334192 +1209798334 +567231336 +1437914843 +1952206369 +278996752 +1631164499 +525698828 +233289735 +1263843887 +1231130366 +1117333364 +695398859 +2013689225 +1095657334 +1046264747 +58536544 +1009505724 +855033653 +1009547969 +1780173933 +1235437460 +1686797977 +121679632 +958104620 +1654567154 +1306195034 +1392249221 +2129512325 +1003448215 +707528904 +1521362869 +65762901 +1274760240 +811794064 +2017969270 +1553756992 +295474915 +396184450 +1787046727 +1559318802 +1627314817 +756896444 +107234013 +1493520394 +1852553778 +1153498761 +1552056938 +714575855 +2008532414 +414121259 +347266140 +1096486226 +2100919236 +468945772 +2054590846 +1608002742 +1775140806 +1299356419 +1590031419 +631105373 +2006885323 +963910640 +696868274 +1134161916 +1775704704 +567353896 +540435260 +2071179619 +963538347 +179998340 +1483014773 +443369516 +936894784 +1590248787 +1936889910 +641964914 +596263900 +1341463200 +1356540769 +457312666 +1755584459 +1703806909 +1553798892 +1709020048 +25269034 +1460906090 +1169539142 +1800409840 +612778862 +612086914 +284031566 +472180537 +1575997554 +980899840 +1606342453 +1204218611 +1548253737 +2146777714 +1127914582 +364308436 +179292406 +463445708 +807677952 +1116187190 +2053694495 +597084214 +1758152104 +502474747 +1938547414 +967209226 +959787413 +1546648225 +523532487 +366102657 +1108184625 +548801521 +1827008747 +130240120 +201727714 +292303961 +742327034 +485759280 +764484499 +170840940 +1466659120 +223343304 +1375059551 +867429209 +222637370 +355490486 +1231737645 +401929776 +818936194 +2039415597 +1518116966 +725147041 +489016163 +1128785423 +1227621788 +280079929 +2095994649 +39925553 +1826728155 +472043488 +406028210 +787429132 +1020845010 +85553309 +917669252 +1222572724 +377857271 +1659996286 +1708332004 +1142341770 +1830837227 +1027507476 +1365685074 +1058413130 +1894936686 +1588322445 +1413903616 +979190683 +1990252221 +85356162 +871122633 +1360885540 +810503203 +1360138796 +342187315 +2038124991 +1640218726 +290698316 +2078050544 +1319463233 +762741804 +336595106 +2106892365 +1783586814 +422148416 +877077970 +858675890 +800005687 +389590608 +419524246 +1942347457 +72944187 +1447031723 +1160548883 +1131357318 +1194484761 +601387680 +397777286 +26191796 +444156254 +483133449 +897314429 +1805041794 +1293636652 +109969578 +2147229109 +1184277996 +1750188304 +290443777 +1114844892 +922167889 +1053185581 +1451439999 +881576606 +689288748 +1873588415 +1758654576 +1547964638 +526110454 +761537 +1967488885 +320974263 +73705724 +1267036960 +1481523146 +1205063042 +314038073 +2082910827 +1602840329 +340229869 +379583433 +2085973778 +1237544299 +37141579 +1232126782 +1347513877 +36887040 +268921130 +950218533 +327330817 +1383766023 +1872386422 +1380516398 +687722374 +606479380 +2069805146 +413827141 +217650309 +1470286137 +939937595 +218411846 +1290291374 +1260911858 +292117570 +409844686 +594951356 +1497180613 +723882759 +530378535 +952537294 +1064112628 +909961968 +891027424 +154173279 +947103547 +2123154206 +1501687156 +983990587 +244591689 +304422041 +1311321404 +1628357712 +29324815 +544354155 +168596438 +635804196 +466675653 +582423579 +853454505 +1936961790 +1522361174 +1071866351 +1079769516 +635789384 +1363983921 +1489614202 +1230740740 +713680886 +66013313 +1761119276 +1666218180 +1130125942 +523597596 +409761956 +1284299221 +1470701144 +385432515 +638502730 +307208083 +630024204 +942924771 +1618529488 +110898268 +972249587 +15399995 +279494706 +1608053783 +482075648 +861918285 +314024640 +271553791 +236795811 +1385890991 +1351323307 +872585195 +602391264 +693453862 +2103325935 +1316072151 +759467175 +1716961563 +834806683 +1889593117 +93075512 +1244568640 +1026408691 +1563776656 +1630001155 +1664911421 +1870984739 +112541711 +460352544 +1342030579 +223439979 +1432602131 +1357430574 +502934685 +893172266 +1839506223 +1364852970 +1207196906 +2111060014 +1601648781 +445604249 +1314899673 +326750328 +1047995514 +2008353535 +282592615 +216584017 +620337063 +1999554179 +1051390700 +362446532 +2092629691 +148475692 +1388855223 +1508922699 +1778476847 +906282996 +1232423790 +1891018558 +1366635541 +426970722 +2114458537 +651754024 +1784401296 +469909574 +1544926291 +1476423871 +1834762544 +604639549 +1440000237 +1288927677 +1050243799 +607416263 +1615678005 +2098239313 +468286150 +1898270621 +167339682 +1088623213 +1750341152 +1218730382 +1451069746 +1695487195 +1367206075 +692441321 +1056926246 +998199274 +1598724318 +141866388 +741734185 +817876211 +568837110 +708709074 +1469630235 +205754759 +1178618649 +867072878 +1682178630 +865897545 +1471712428 +974695220 +7341575 +374472579 +1582111483 +1623019580 +325228244 +2050397633 +1373806553 +492567926 +991537199 +976664057 +1711298308 +295123297 +524667604 +931020735 +987564618 +1581593850 +1929220010 +438805288 +1723460239 +523470547 +1256681499 +144813701 +1232179621 +578828087 +350568460 +263314622 +1445900965 +2032747091 +1129212168 +770129745 +859958663 +1136553743 +1144602324 +294586498 +612089675 +1469830568 +197500483 +1985896229 +1962398494 +1189037682 +815076638 +1526213155 +1484160979 +1339744243 +309750242 +324241950 +773854445 +91486604 +763047238 +349831036 +614957151 +2019728738 +494644738 +1847136773 +451073177 +845213198 +2110451395 +1896974142 +730476641 +1092179915 +519620240 +1590435304 +81250010 +1664222564 +1885021802 +693339686 +986569485 +2082522286 +531752267 +801484331 +1124076320 +1346828905 +180213838 +460753652 +539089500 +489964081 +784995602 +1312943946 +581450685 +1548042840 +1662774982 +1196407837 +1420287930 +9936072 +896060962 +1871361107 +855149271 +859028709 +1620851602 +1585625912 +1951208625 +2140471842 +1028577569 +2032458635 +1657210758 +766115723 +578314673 +496296595 +701154361 +1110066940 +1297780927 +1825230682 +309412198 +1477994765 +138500686 +848501698 +1967958846 +923496288 +13961996 +401925884 +324055480 +1676736979 +1598333721 +1744343411 +1686673051 +346911035 +1468220870 +394338674 +1205939744 +941588824 +1979964587 +1009664721 +934577018 +861058508 +894639709 +444304129 +1627174231 +1472954382 +940600724 +180844945 +435537675 +90898003 +2006075627 +744949873 +1568892769 +2144576313 +1593451571 +1389367967 +920588953 +1607413568 +1791293851 +1244644433 +1136666899 +1242143924 +841504196 +675856302 +1589054959 +162241419 +1070194977 +647511056 +1103830243 +902675916 +1657175777 +2038407262 +1763734424 +404331838 +335227743 +1243425007 +1877286221 +1275828467 +1424269952 +165340248 +1366726471 +1282861931 +910290121 +788135592 +1279954596 +356258044 +30019911 +53059901 +1963671612 +1821313763 +1297704335 +952854863 +915974039 +2139208531 +1628711166 +357545351 +153966302 +551422495 +1005056407 +1257796546 +1454098411 +514748536 +1148720160 +1070349187 +919080375 +1483947903 +166290546 +648882948 +612292722 +1590560499 +814223196 +1979019193 +725938782 +1724513317 +619671137 +2005893379 +2080771361 +649691049 +2058953280 +1896959326 +323521164 +1209173967 +702330541 +1239495203 +1200898851 +183558059 +1597040554 +1354865153 +734980554 +454613313 +465178051 +41595317 +969361850 +1613898211 +1111944504 +1888442225 +950362466 +1278235051 +389841525 +1562655189 +721311902 +1204064721 +1394190734 +1447250684 +781094390 +2013861872 +1305660415 +714382103 +516069273 +1217130048 +463857781 +839590437 +278820367 +1166188323 +2079085640 +1479719218 +1349746382 +1528642547 +687100724 +2084726937 +1983255860 +1152278775 +2126322254 +805134062 +618693339 +1090783111 +546092639 +1569055805 +221534514 +935934164 +984227346 +942846416 +2139998885 +230934433 +242613452 +773609627 +97312657 +1548273868 +1487991731 +613381930 +617920268 +1951849512 +1452972367 +896740635 +970554187 +1384574359 +228976206 +172816922 +765733258 +916076930 +110060211 +601505471 +2068355705 +88898817 +1406639533 +539565396 +1179681928 +1952732173 +2108621202 +1401216442 +741182689 +945364900 +196579210 +733697927 +1176299333 +439192663 +1507307554 +1273611990 +1987466531 +847815637 +1886993920 +457903151 +652181502 +1192482639 +1354643786 +1622735689 +429573351 +1583619992 +1795552611 +1195306609 +352213274 +1905612822 +1796812080 +273085332 +1994511640 +1055967966 +812650728 +1026709920 +861216491 +773788282 +280442715 +1602399180 +1719153183 +477021925 +188613459 +747968868 +916214588 +1695921014 +2021580859 +756197471 +396253003 +1761091131 +1214100622 +1048434505 +806090123 +421260761 +523686547 +1235663474 +2004880753 +171755510 +283486435 +209610380 +2077368333 +2080298516 +482695712 +1924396325 +988782834 +1295346440 +803622597 +1849999325 +2069134723 +1084065312 +1304914857 +1640804258 +1561087238 +1493528317 +241289478 +329818178 +1041965683 +115386689 +1086015650 +1438218686 +1876477821 +152632624 +339169544 +535084296 +573893385 +862856091 +1770747770 +431290491 +1034611601 +2054234205 +640900871 +964496286 +1987049073 +1123596583 +741408963 +828348259 +271459375 +1545031561 +530863936 +193110450 +481613225 +1835778794 +1833914708 +2042700463 +1181823463 +2075204187 +225034994 +76305498 +43107228 +1311050644 +1514524184 +1919585049 +1463683268 +1853693728 +307185697 +2037576654 +569066171 +2077933467 +321383497 +1603677773 +1984684025 +962284368 +420690411 +1824249450 +2085880951 +1162099375 +505114062 +209856678 +559647288 +1035977998 +402967129 +1041260513 +724273144 +89398189 +936477329 +1906096607 +17118728 +1161512323 +1982402105 +60225957 +325079319 +1349442642 +1979811006 +1788762587 +1055652722 +139513056 +1678855593 +1624718894 +69962875 +2000239090 +1080913019 +2054646900 +815039810 +1501603430 +1731412703 +753437113 +516219157 +89043117 +963293792 +1075866445 +1125021115 +1366260921 +2117126959 +1849294260 +1455659110 +906120640 +1607907219 +1472777839 +2067632963 +1442825677 +1533003796 +245228634 +644784671 +1365331154 +2033991221 +1700437393 +1504844210 +1565363167 +1177672639 +1574807086 +1418118609 +111102010 +1481970338 +85674772 +1612705441 +1065899393 +839111885 +2128924598 +1154942510 +1802405677 +1057307396 +132479978 +1021182950 +1026950707 +1981774238 +329358413 +1933071347 +1442197809 +1802136252 +1853220662 +737539838 +1187656400 +2098449296 +1382324509 +405503906 +1984956869 +935278255 +1910348117 +1402836388 +2112950894 +1337671555 +673471350 +76569257 +672158245 +759146122 +1689274698 +1738057639 +1598258007 +1670715648 +745516501 +1253180037 +580539396 +877996479 +126879339 +1607490103 +712287069 +456237752 +1393077802 +7001231 +110890356 +1098814816 +744541069 +1298546756 +1049780464 +2126865579 +1704050663 +887253686 +914660186 +1466915132 +142606426 +880127432 +657103039 +816077776 +956696689 +1329261284 +1575223898 +498487739 +919835275 +1025998258 +21719740 +1665351777 +131694647 +602259136 +395864608 +258573986 +62265592 +1108151678 +714811739 +1455343394 +1115152909 +825702095 +406674563 +1859693978 +2124248852 +1456455027 +1839075909 +1680815867 +196225065 +606252447 +1000247351 +338831492 +1486379880 +1657350390 +1154909268 +295592921 +839128026 +582649519 +794080661 +1758963302 +1608647777 +815800401 +1276831431 +1740342424 +1418059537 +1672696039 +1998916410 +1480325129 +633364069 +566244501 +788184876 +1748516978 +1391946597 +1194859439 +1460727309 +1368711801 +503830818 +1152319570 +902044020 +700055884 +1758572018 +1902291371 +1038887376 +1097468250 +1412158113 +46312996 +1393061171 +103802491 +628962515 +39658184 +1862765793 +90126644 +855458585 +992113576 +1830469068 +126034475 +517325968 +1681901831 +1606359604 +1150690037 +100662684 +247060832 +751723368 +1492609281 +1441920271 +64967029 +713837434 +1945751090 +1217286599 +1615881454 +498323326 +828374969 +1370689177 +1537210702 +1925843219 +635363642 +1583523698 +1171420743 +739166134 +65002566 +1211078927 +454448279 +155129210 +2066537513 +1446561856 +1985598279 +45088340 +1963887824 +1520016462 +1651447944 +967094213 +1620679146 +1898508777 +1718817581 +965804780 +1192945400 +1783784610 +1679642214 +991212842 +853587562 +1148040021 +1489536168 +1681962531 +371245550 +879263222 +1460322103 +1006609193 +315303273 +484259198 +1745775327 +380305839 +1695338125 +52739958 +535435049 +1614391990 +1499301814 +373549680 +1659480330 +1315705990 +1893566142 +1163444627 +135316556 +1366761641 +914469756 +1854134137 +185082773 +2107415156 +1490435100 +1864724987 +951144351 +196539014 +865281360 +293196871 +1878501545 +1236526911 +1172460094 +1191340000 +95652456 +1487763367 +1675599198 +1841427783 +1868069206 +1223453676 +1894167741 +256020607 +690362018 +1245985908 +629570288 +202358701 +414208250 +375652782 +1365803328 +549524806 +1742414423 +132789436 +256175296 +1927497196 +92720944 +1746610396 +1644738536 +1043865295 +1943149410 +362536248 +1337062167 +1674167307 +1599063159 +362038613 +718023660 +1694715615 +1849801980 +246139210 +1388659750 +1570387538 +1469592886 +1135343844 +1826408145 +12471257 +233846104 +308494785 +214829958 +648054354 +684147568 +1580633286 +1197579161 +279078343 +1713422722 +1453754457 +59091892 +1806143666 +1052881205 +1703830428 +702525314 +848546967 +2066366676 +2039587481 +375230626 +1517946188 +254142446 +1093254286 +1065178155 +2103944426 +1339393497 +306354258 +1526848316 +661502735 +1441698102 +1205772813 +673973992 +1675544206 +1514267599 +888803950 +176114912 +50931519 +321953588 +1373694073 +330009862 +2035376310 +679964882 +389101754 +1694036329 +1732846087 +2092932182 +249077995 +433909406 +2011815211 +141181828 +809140033 +1382277751 +395324274 +1902394319 +299972258 +351785052 +1094304168 +606326516 +1878633368 +1755806904 +2048024618 +936922533 +282297248 +1576085176 +303706484 +1171101199 +1752200089 +354638003 +1493054787 +978410514 +684647866 +1380947450 +1658375397 +1073749620 +927500131 +1243737836 +1019198155 +1176578126 +1677647243 +883529718 +1317759954 +339303628 +118323821 +1713084228 +94214299 +418296079 +2064869280 +1188518468 +1024622596 +1796019000 +796841724 +925163566 +585457885 +1079138972 +353765095 +889164370 +102756523 +2105965184 +1243802373 +1595811311 +936892050 +1928450239 +829275113 +447783799 +854716212 +1756775244 +1691521636 +1873914367 +785869722 +1221685231 +609960437 +2103629676 +1560988859 +728284258 +1669230256 +1655203158 +1146580337 +1586615888 +696237978 +23719285 +1235151240 +1493079702 +948882852 +1820609125 +424735027 +1302647947 +562289847 +527491550 +1261129483 +1806092221 +2123302861 +50537885 +1587058812 +805094326 +498321685 +294291376 +414385922 +42359673 +20722095 +1200255644 +1264044904 +630682532 +1156401672 +677550115 +1358966790 +678148280 +185269625 +358063480 +117280520 +881507604 +381782765 +1352431760 +227103658 +1330665617 +1025557238 +651838685 +485829916 +1587847085 +1179330236 +1746959399 +1246455658 +1155149449 +1797497285 +686030823 +1960243776 +148335322 +980322199 +227146050 +190694995 +1001044295 +1427401695 +1454739899 +1631726827 +436319719 +2132290014 +843209970 +1114468000 +170075991 +1201273450 +1231748520 +1051583595 +1583056215 +436696633 +1278687254 +766238185 +1462253871 +1930525939 +1252068101 +902617308 +962372527 +851543853 +1589319 +2117521977 +501557490 +687620142 +1930282105 +649892812 +1667942341 +9944507 +840587807 +521502988 +1437346202 +147844058 +5746168 +1873665922 +132650424 +848956138 +840650274 +302726415 +2050229588 +2072398794 +1354310011 +1485802155 +361611779 +485513617 +104556692 +1823865650 +268555908 +1356624794 +578999311 +1230928436 +60684999 +580588630 +1200966765 +562242489 +1268208772 +983765222 +1212135301 +788667465 +993709729 +2052723108 +1310170454 +283572284 +53083518 +1315916622 +9754558 +185733942 +17389112 +850404832 +488460357 +2067618700 +775319978 +1842770368 +1405937207 +1136931758 +180800337 +1510493900 +813313760 +449356246 +719635046 +1392313071 +1680284682 +780320045 +1972901701 +733767799 +1342562534 +1093626825 +1717533021 +407214187 +1882294291 +563759102 +312453647 +1044981097 +847331386 +365537165 +213414071 +857085944 +551271107 +230803183 +1707490776 +1039731464 +150938235 +335327107 +735018185 +1556875442 +1472258865 +915818522 +919885694 +138088977 +1365174768 +1639520740 +1530402049 +897975802 +272357137 +1355820102 +1631743601 +1614919671 +301963280 +1201792974 +2022133858 +36773923 +1765552077 +187103857 +1081755020 +465399815 +552641022 +1295169091 +1322485760 +1103912129 +1525972274 +882492888 +2143643594 +1676910509 +1217819995 +731178131 +1086302303 +542595212 +1646996653 +2006187998 +680684190 +864687774 +1498225090 +63602591 +1762663576 +1770582228 +1419422693 +1246923530 +1238018251 +1721385973 +301232856 +1112668462 +1758159896 +2066784933 +1299772319 +692431268 +384701101 +1852413342 +1987600359 +1707186861 +808841823 +1366088985 +442196101 +805001769 +895515846 +1660016097 +1536179900 +1981818150 +55127661 +1035692906 +1840522500 +735811851 +1900380680 +1191263942 +799414442 +1515560608 +814362522 +71353488 +615000490 +2052380774 +1792739461 +916233347 +1017565588 +1403415710 +835534632 +169854259 +2095846978 +1220235733 +2022267601 +1935963690 +779938946 +683625777 +1154569027 +1222135048 +1488627546 +2050084874 +734667497 +877323799 +1884419376 +789795158 +1913016705 +1577458228 +1525607010 +1665913737 +621238522 +177537804 +1033990697 +1435601045 +248891292 +1648991188 +1340498171 +2041630754 +417740887 +210580111 +1297562816 +1253275519 +380434370 +1245926146 +326027605 +255218324 +1034406188 +1105966551 +938844101 +41491568 +180617951 +279987999 +2091576442 +915285448 +1157311798 +1828512170 +1705080607 +922844855 +1258486750 +1083203969 +441274944 +1879725272 +1260741773 +1475265642 +1167842669 +1509633066 +976773182 +360857192 +1403780172 +1394514069 +571437303 +553859340 +500305940 +951871674 +1799785486 +826333545 +1207089998 +686708027 +1932300097 +2145934099 +728199595 +2112918048 +278438450 +672292389 +880719849 +1435750249 +353320911 +438316808 +211111456 +1611807661 +1521520777 +652386401 +1344049285 +634778902 +2127652043 +364408307 +2144411968 +956941577 +725265499 +1400708492 +203971998 +1296702803 +1954567832 +704277938 +101090829 +1606869671 +1530611484 +1308180827 +146094050 +1315427933 +1306631278 +874293645 +1280862333 +1585069728 +1546586034 +14098534 +873336329 +1899906945 +452415342 +1084447786 +1364230958 +1973936119 +1736834187 +560796595 +461231374 +1717002582 +925204902 +458159694 +526460511 +1650470402 +1858868187 +730432509 +799689557 +1665952371 +1434710447 +900780386 +1125338394 +817838283 +61477565 +1271432444 +2133266216 +1368108843 +2145726089 +1266644902 +805694923 +1544828475 +1280743436 +1679031253 +1297251772 +1733158779 +615995391 +513999082 +1559611250 +205345930 +1074795678 +2020842624 +1922348512 +2000000580 +331518671 +301325375 +1502987334 +42903210 +1031757884 +155193243 +1708855581 +318984683 +1055973629 +686710328 +1136822967 +1117451194 +1958142772 +1122605535 +338076389 +1956385214 +241766789 +1143771313 +1353730041 +1522510226 +675318918 +503498166 +1108185357 +1291314309 +1017497248 +520312959 +1496660239 +2092292926 +393671936 +1271525103 +1944809859 +725190607 +1572850478 +1300313545 +768093817 +457124714 +1455506789 +329465750 +776109397 +363996770 +1016176078 +1912932364 +1481447965 +826835203 +888054252 +1819524354 +635736769 +1129821041 +815812019 +1989466810 +504847619 +1491130937 +345481328 +1613032976 +634961598 +1362978577 +2133345936 +2131621837 +1307787855 +379534224 +1255663292 +1105114066 +1104724831 +681030122 +257943964 +1872818648 +1138154836 +1713450753 +54800750 +1914264234 +2077447523 +1070976829 +1679712950 +1411411840 +1897812032 +420283554 +1083452547 +386065153 +1550104596 +1899264566 +228048315 +2054952215 +1242911856 +573529644 +1520501544 +1877873454 +1936508221 +1506363832 +1862011644 +1096812428 +1885898056 +970191288 +54442847 +843139239 +1651221411 +312386811 +568474239 +641892599 +2025837564 +623274989 +408673185 +1955801439 +1694251818 +2088386136 +1219729632 +1444580202 +361186042 +155698531 +1830645355 +1911290638 +2054963097 +2058693671 +1818759206 +1150391305 +484739667 +1191777102 +880781112 +273764240 +550657286 +595309108 +1370576668 +289071694 +1565500396 +1425019515 +1132210933 +1069238159 +1737406326 +1700685172 +1711130759 +1615760242 +176476513 +2119803944 +1424078034 +1870728332 +2060706432 +496324018 +1167824886 +274408827 +652022549 +850986594 +38215817 +559501998 +762196617 +1856975023 +1709893304 +1246936284 +901268477 +443190768 +1520700524 +1451925763 +1038499876 +743793544 +1740997457 +456516624 +21329412 +725724742 +1525754784 +1758735738 +278926266 +1089401895 +1227012333 +455402780 +1061722191 +503606719 +178647464 +974944976 +999930737 +1346472350 +1249353803 +1651953286 +49975296 +1287569620 +63971636 +812171913 +997060996 +1773864940 +2059108197 +1898329473 +69572060 +1432325073 +1202771589 +1108071936 +28634970 +796285398 +1564588561 +49964382 +1522010141 +942859697 +1808700120 +1800936407 +2032261592 +888228805 +108855539 +946500135 +1391835524 +287503003 +1921445111 +244282613 +1633975354 +1023315266 +1896235899 +1683950650 +163401239 +1960207536 +348638916 +1160462235 +1586588828 +260263465 +911308060 +1656160889 +1692588539 +2114079649 +616749177 +1721223509 +762881400 +33854090 +1771187891 +137407893 +976713787 +1432404363 +1938344300 +861491731 +173149521 +2047199840 +1807991867 +1564985045 +187219195 +1581953330 +1809267659 +1821194549 +457784949 +1558019910 +1357661552 +621186188 +1370743798 +1706300468 +1781648423 +809848979 +1966563933 +545472835 +318526220 +1511668824 +512068837 +935275397 +1085408685 +1274950237 +969129488 +709112928 +1412358130 +1945843275 +2141517292 +1203218782 +659851359 +167183165 +1102934974 +320359578 +1732168210 +1290154170 +1902312908 +1393952221 +963865071 +212614209 +804488484 +174042975 +833800397 +27748634 +1880343443 +467965172 +837597613 +1699423729 +1013438008 +1156123833 +1063608905 +1525506845 +2091399231 +1533943 +652973434 +913045071 +710646871 +2065331564 +711404698 +704680515 +1121066698 +1371256057 +871863680 +76518025 +1691615635 +456548243 +1366672195 +1446444896 +1850500464 +183053618 +1659059105 +507505300 +357096594 +345375855 +535253935 +89956389 +813341027 +1372851548 +1789380118 +1826779035 +381491734 +705505376 +1204802232 +325407317 +707039319 +1857775666 +1238452388 +1417686190 +1775623582 +1949857086 +2122366706 +749206633 +1173629496 +846746738 +825724658 +717761483 +1303294981 +44913205 +16722731 +1006311798 +227966823 +1675781837 +1513817098 +585063417 +2021157692 +2049071033 +675019807 +687015071 +1274438934 +316916277 +366310459 +1655930668 +1022421653 +1571112691 +1981337985 +1729460972 +1281404710 +1072306725 +999663515 +909544644 +874680163 +974546573 +1658751277 +2048309659 +1821293311 +336992287 +618587495 +977104645 +381905492 +635310226 +1983416443 +609872316 +163608415 +1349749893 +1194935733 +37282459 +1251337279 +1869955540 +724297531 +378292565 +39388170 +1090607990 +2034223233 +1061809823 +514237033 +1868077570 +643787148 +1795641743 +792900647 +1643450663 +557702740 +1667580810 +470513588 +68970369 +1568406822 +144323251 +405962657 +39510669 +1121427896 +787868149 +674820895 +957360691 +1397740465 +838429311 +159626937 +445192551 +875711770 +1410964216 +167664443 +1600009301 +1789256781 +207052613 +543133643 +1675996366 +1268862437 +1057370677 +1396590288 +1912649585 +705528772 +42007287 +1408616600 +1263231512 +1709588097 +1879130188 +1332201882 +1130511271 +2023453439 +1738164539 +1170021940 +997397688 +378549040 +1844842836 +1954758379 +1776289506 +535788499 +2114385316 +73998409 +1411500269 +1377865884 +241662852 +864025923 +1019639017 +448715466 +1407159566 +548151735 +1717577903 +317046595 +1944742023 +1482743840 +1022575368 +1986749310 +743876792 +138323232 +1548853760 +475523332 +1470525114 +531881383 +351493123 +1061206005 +1701903324 +1348890811 +1439755046 +1399262512 +1156165543 +1068560904 +1935051011 +1123067211 +1142559313 +1199067632 +353449448 +1384222165 +2063093555 +1373088465 +1832937631 +1322769474 +1921240201 +1403031886 +1639816069 +1718498576 +738292078 +514907789 +1557764239 +1482168870 +653231022 +959134351 +1957692202 +2123756136 +1491015734 +161701678 +1037478494 +1045435410 +1510592489 +329749892 +297214274 +519274384 +1398310796 +84781637 +1642341596 +393386461 +1283849270 +1995791044 +1777608626 +1199459177 +1221395861 +1463062610 +374745003 +995152414 +718610848 +2014561073 +566167343 +1456902927 +381985214 +2123931582 +791588149 +1035216236 +935582285 +601796704 +1011488725 +279114371 +763498382 +2048967219 +1324549782 +126607223 +231233463 +1621764056 +645881608 +1629544259 +1706545694 +140739556 +2022930720 +842911316 +2136530600 +1653055698 +2042370493 +1210442813 +968634660 +269631849 +58111580 +1687245509 +136709274 +624278923 +996664788 +518694488 +600726857 +1788252937 +1553910725 +1536309142 +242565993 +417915802 +1815423513 +1006064375 +319399373 +992489647 +1132671599 +550632836 +466770056 +1778553207 +32693447 +25832102 +1919292763 +2055624167 +868743418 +1908339715 +1561196217 +763630263 +971298880 +382347230 +1033262112 +1029410460 +2069592739 +1169971386 +1653689383 +918773879 +1688665875 +106932592 +559543168 +1095092952 +1643241734 +802109162 +1513008754 +1311181600 +1808173537 +1832408127 +156187599 +793361488 +235557315 +622957655 +424431047 +268250762 +648789757 +196240162 +176391281 +1517533175 +2104579877 +1737587498 +133679791 +928395110 +2119934728 +1166941903 +1957805570 +2042043819 +189429642 +1464011306 +813334050 +1878095517 +1570943898 +1372877219 +825704821 +1066701985 +27502733 +191229927 +230399937 +1835676270 +2023638054 +386587536 +481554111 +111711721 +1009545192 +905985158 +379962483 +1658334949 +1102225321 +556353764 +1028384477 +1059321550 +146457614 +1162064268 +1987716660 +118908695 +181522523 +1798038583 +13468866 +370952165 +1114566241 +826802917 +101564034 +538026491 +52196488 +927268855 +1604728476 +79699221 +1118498782 +1835128413 +1915375491 +994653188 +74232302 +249445954 +1106364909 +1083777494 +1155431113 +1486327392 +594628795 +110172786 +2042681156 +1623013272 +1169494336 +41655123 +637593892 +1009727349 +160563818 +819116416 +660282284 +174032684 +1190068581 +1774848525 +1000835601 +1291632616 +165391368 +1053032089 +71417823 +1770119845 +1132731310 +1189916606 +1457764610 +900623154 +37086146 +1531996912 +1150069108 +1143451056 +468290758 +158016573 +482294800 +1062919554 +268189359 +377492309 +538449178 +1437683696 +419147432 +1176043071 +299927397 +579711250 +1995159487 +960209681 +753743934 +1037744420 +587574558 +1754579536 +181893388 +752965926 +660127977 +253311212 +375602123 +1792859288 +1443227818 +1833366734 +545998794 +1480313964 +1217879998 +1696067902 +476281372 +1686170757 +1854084476 +958576173 +601606663 +2122273835 +1336068482 +1140055841 +1412473883 +1755215914 +168615264 +1712401280 +187443516 +16291103 +525127313 +941187450 +1054035524 +1112701871 +548283338 +1235928912 +1865667798 +1208411316 +1489240124 +93786273 +853786956 +784984294 +1927153007 +1399785750 +117814611 +997549358 +948370004 +594095983 +536236467 +654970832 +1552672156 +1137843130 +629761020 +741256990 +130415323 +2042234903 +348989256 +299030588 +1607152536 +536432772 +315321691 +2132279849 +1477620223 +1369357215 +1097498073 +2025903561 +457802480 +815682223 +1086831229 +1947042604 +909468496 +1940618185 +584543251 +689137856 +1192920287 +702357862 +1686687214 +2141290292 +1296453845 +75440033 +648777476 +701642354 +1213283163 +1278538496 +1442899344 +1343698486 +1173289752 +1791888601 +1642729074 +632958640 +180837725 +1958050766 +617754841 +1658457948 +1179924333 +1715252914 +1536877862 +1637726813 +383451489 +476225443 +1437285770 +1292919986 +269359981 +2021829021 +1982057842 +1462280268 +576703235 +1521261408 +1456086912 +1873157080 +1596701441 +2104864389 +427315786 +662500956 +1235919237 +1870215131 +2006199442 +261725341 +1514620084 +1501444869 +894683981 +1695457809 +1312011987 +1512438823 +1206432110 +344452672 +1080208089 +595826324 +1982179486 +1463659579 +1072051767 +1271981608 +609095917 +1341411748 +1146326981 +443670111 +656208369 +1723030216 +1964931519 +2112295281 +1448703648 +1414149312 +2069676022 +1876019435 +2076650268 +1158111612 +1598750918 +1935366062 +1419836953 +965887354 +1289327283 +167037287 +513861515 +453855622 +1679476110 +1720293625 +798308295 +612200551 +168636301 +633004133 +2075860130 +1240688069 +1904985741 +537472399 +434616169 +903829074 +981142510 +1090824538 +479375642 +798590381 +1055636172 +1928079290 +65256045 +977828546 +1656615077 +2141906313 +2135940158 +1107882347 +1929788728 +1408293464 +2073769701 +1071632363 +1575330751 +440147569 +1525487986 +1107323213 +12957546 +176312633 +1719523764 +181593848 +809316766 +1647900247 +1422281917 +566818859 +37888998 +1856898086 +1470647933 +1019031509 +800238977 +1950023575 +1817621890 +1855875149 +1730619217 +1882877936 +686220047 +1239750647 +1877300601 +674676558 +200149346 +1659605681 +2082970022 +126435400 +583754397 +1510817125 +566582969 +2109242383 +470656690 +579540515 +138071368 +42696806 +761134363 +947388134 +1690597053 +35932632 +1514206993 +1728486052 +1892830719 +837371278 +600033913 +545586048 +639911205 +270172155 +253977549 +223046774 +5566443 +940197596 +1462797421 +1882867045 +1614874154 +1662946768 +1394989078 +1550360528 +1789382168 +1978743475 +913694005 +208481489 +1940502210 +1384350695 +788022004 +2078573578 +1427047502 +1549156368 +878478064 +970160907 +1585089000 +245201409 +551163311 +1330436071 +1082572687 +1151197224 +1876022119 +1722483892 +1421369380 +2129999668 +1945530667 +1426935823 +922713617 +1260844440 +1162319220 +390104123 +776307560 +409824651 +1940464652 +418206080 +241084478 +706675009 +626687569 +34103041 +2091025705 +1414709574 +2112676619 +1370589559 +816382294 +843671036 +193266818 +253987646 +1088872445 +744430130 +1584423718 +23961485 +1895627354 +1312962189 +1746445377 +1169513086 +1295478210 +1544492396 +448965262 +70708179 +657853189 +1611284482 +460812302 +1434160749 +2021109133 +253793306 +1852366830 +114709964 +960468316 +331570751 +148813005 +904010373 +1746280325 +114005976 +127116284 +415178971 +957677012 +320383102 +669166618 +2046549458 +1064813232 +106106688 +2070510943 +812956939 +1419068877 +1669472672 +1982470025 +567063439 +1066481421 +283951639 +637771618 +1724334610 +1895236122 +1098583921 +1011011711 +1768861607 +1352377227 +715894893 +1883571571 +165361895 +1047465645 +2032384576 +1069372268 +646262322 +2146390553 +1196488552 +1061441294 +956583917 +1516871655 +1730607912 +855649727 +434201239 +1836714600 +778677022 +1247158178 +1108299829 +300666047 +1082144556 +1675363269 +1367147468 +1366096195 +165651239 +943998430 +1113848669 +1264235160 +1955010141 +735226629 +469128740 +523421387 +471314552 +634490635 +1570887032 +356215481 +1703862904 +69665706 +355122386 +752867808 +1131107000 +1311706303 +122255815 +714231264 +19872383 +556457055 +403462216 +798549405 +1803615233 +1511762046 +1099215452 +738276141 +1039641667 +318879272 +2104372337 +1205292906 +1262877702 +1070737358 +322044419 +1070404196 +1805963987 +791173159 +1593825583 +129794892 +1425663794 +1017228967 +486010373 +982043050 +1086894673 +841132759 +1734910859 +70518026 +5355414 +1857166674 +784749290 +25227797 +266140081 +1188211507 +823777203 +2069755315 +552489905 +1922992655 +660547808 +1592131572 +94388280 +617436497 +649940830 +1357265982 +1688173856 +971985249 +280186530 +1346654195 +1763158408 +1874012113 +1476449087 +1041338555 +743757432 +1962459460 +2023381605 +1830652106 +656108571 +1610808816 +1901170132 +661463986 +1320491843 +538435774 +686691783 +1586631924 +1726647281 +1510468986 +1508903591 +131653538 +1285977994 +21967752 +1723785110 +1380366274 +639404249 +226242293 +590148608 +180094457 +1198227542 +870335139 +1526748653 +813902303 +596863604 +855714092 +1855240858 +1340621037 +670689905 +1731138815 +1023789495 +1326798476 +1194463984 +777475979 +1988262462 +367472179 +1315911753 +527470598 +1954104103 +895075387 +2037939584 +1315524047 +1026728925 +1176433930 +1337491799 +603030388 +409316556 +1976896048 +829272681 +999465165 +9506858 +2027500223 +1869800304 +1536255511 +693918878 +319180260 +244485955 +401676088 +1659801297 +915175860 +2132814904 +536107144 +94490689 +1179795240 +1313583123 +2082753151 +1547267419 +482011229 +462740101 +1353887874 +1377086616 +353196038 +521928273 +256331893 +1529629968 +1859420072 +859362281 +1938946525 +1688832473 +1688634962 +790928042 +1698339331 +1568651538 +513244698 +1087111194 +115086768 +832424958 +1331597149 +516762857 +344742608 +99289362 +502094113 +880849752 +193780051 +1681889353 +46949228 +129049554 +1081673124 +528960457 +591789656 +288077350 +1906047073 +944985694 +810005624 +14895318 +327132014 +521942048 +874257600 +118594891 +63290873 +415408914 +909522933 +1761630204 +1984060452 +1422767631 +701257750 +2099147221 +107708942 +2032854900 +468426430 +452451550 +2132144262 +970520543 +1333301302 +178440665 +504926248 +1380250530 +307490219 +1586599372 +1909210987 +899279875 +1874676722 +1667774412 +1844265569 +537198698 +1682669731 +23913936 +1059140747 +409443683 +142508827 +1122431620 +824852597 +1052031761 +736578177 +661429402 +327315744 +1437835927 +613092975 +435024686 +1323207179 +1081519405 +887476236 +1307867793 +2052039948 +73293891 +1486308458 +409482548 +1453544421 +1793798678 +1996081920 +1215271761 +545594905 +1723274994 +735562525 +242376827 +112990045 +270748608 +266290763 +1172130792 +680192291 +408799590 +147078764 +1505044889 +1460831351 +883656941 +18990643 +1788147096 +174009221 +632083618 +75688134 +1497216400 +1713603023 +963164371 +657600546 +1618159323 +1036458262 +2143909004 +2027641871 +342519035 +1790224034 +1876240143 +1557790796 +188335292 +1452031489 +145869674 +430712119 +1565021534 +416618282 +697002882 +589668678 +1096810574 +1105802472 +736747443 +454371815 +419150176 +1620404384 +473362458 +59813624 +1794413605 +1105446076 +135501758 +1144146358 +671565451 +1098666129 +1801746904 +142241126 +2135124391 +1798172260 +22399349 +330159779 +1440912647 +1898639492 +1887950575 +1629247939 +1203187333 +2033820249 +2059960058 +620725220 +302954884 +609479292 +1210393898 +1399765458 +1715281764 +1947141341 +1854137273 +2134431940 +1420062078 +180016083 +46761916 +1066992035 +1285462159 +182263675 +63654745 +1957027610 +1280929804 +1865401649 +2099268736 +1268570548 +1516090262 +2121668085 +1598730327 +809519261 +1872823929 +1339197254 +291283552 +928527614 +1225533856 +203759962 +1549252834 +1528488740 +813239254 +612163085 +780770550 +381037370 +411820778 +487424175 +367985663 +1831882856 +667440258 +414747579 +751391244 +1952902417 +597011254 +815045989 +1762446379 +1877941059 +532963991 +1714231467 +999027959 +2049054253 +1688415904 +450274638 +711089866 +1413756185 +1789471892 +1002373418 +194800151 +867522100 +1206133380 +1744052986 +248527192 +2019372634 +208732423 +1029297742 +252926356 +620553201 +1516721917 +620912019 +304952410 +36678527 +1035659599 +1056343654 +1989580944 +1632670853 +1871389643 +1604543675 +1363128264 +256869986 +1171291494 +214672575 +158440591 +712223750 +664947213 +869530457 +2125979935 +306935458 +1871903875 +173296439 +1174457558 +930553607 +1917349425 +1422984751 +802442593 +2126081848 +304798845 +1055368950 +599151401 +1821520763 +1676280969 +904103811 +1858199290 +564456920 +1960447465 +1700296587 +49644126 +1684353461 +1157356614 +1412772390 +1941223447 +181164461 +1627444966 +2099664039 +893388211 +144908531 +821710848 +871884499 +451843989 +546131076 +1045180938 +1626301548 +1476684683 +815046715 +901802651 +131643629 +793644915 +1206601496 +1187012579 +1392796316 +880638611 +715809900 +149416480 +591354254 +1280266821 +2109863945 +144167193 +1329910947 +1646733758 +1301523807 +595199689 +1440473558 +1482688268 +75161007 +1392653949 +228592832 +220069539 +66881149 +1100477331 +671913528 +613012225 +2145658269 +150731428 +2089696909 +813221336 +1052534079 +73856890 +1606866251 +111651928 +1260869469 +852178919 +992290539 +1976679369 +1001595399 +1583644793 +1109462542 +963975697 +1727811986 +291889841 +463225807 +881852146 +887089531 +1903699365 +217056766 +962250538 +1148869666 +445649598 +1182320077 +1215750816 +1546126929 +1854233606 +1828763041 +1544301550 +2004965034 +1770976302 +210039238 +910015466 +1844833192 +1816905489 +1021667394 +958219013 +521600761 +2013957933 +787414735 +1523196160 +1450119079 +1896877277 +339688209 +1030447417 +41283471 +802914017 +1912299563 +928373002 +559129734 +2129356330 +1890623540 +1707999401 +427522280 +925459970 +776266569 +1973649210 +632209928 +457545962 +1370467112 +489691314 +81038617 +1580506351 +1399706780 +1925871809 +1249928192 +273890526 +736607175 +1771528953 +140364812 +1524021910 +1147241466 +1590483891 +1273415539 +1486929675 +473447660 +1314699010 +142360044 +238263576 +95588364 +701489779 +220136258 +1986211905 +262005532 +647658538 +764188227 +1038272101 +473824100 +1396398155 +1495818063 +1844291213 +1886089469 +1576856680 +1277313916 +1138312602 +1355244842 +379758460 +1412203128 +2091852017 +3803766 +1552567940 +1468390279 +1151045232 +995568183 +594322170 +490491259 +1469015844 +1909021181 +632851304 +1707279420 +2004609545 +1334341083 +1927415678 +1843337802 +1596346615 +427590568 +460042381 +487135068 +901414669 +1856440536 +1982953131 +598222234 +1595046358 +1412326164 +1875536150 +585875312 +620087358 +107810962 +1998078440 +564455727 +111614728 +1403162733 +2032846006 +1262659960 +251247268 +479684528 +1753151220 +1720263112 +241222061 +238518876 +1280058884 +98347959 +1572859959 +1059990914 +1941685761 +1021722926 +1487581483 +254244495 +1508857994 +241512504 +2110685031 +1344327477 +839734738 +1558247741 +609169993 +567787240 +2144123053 +1229257351 +675598202 +1994717846 +1793713078 +787212931 +1250396931 +1679075436 +2049872891 +1501644199 +11276317 +1655540463 +1074423664 +252498378 +1894059339 +206998900 +350846337 +1319435650 +1266989815 +145048451 +193674928 +607087650 +399292946 +1702532922 +848600154 +362494329 +899376752 +1688334892 +1920742071 +1508546745 +108638484 +1917381476 +590320449 +784236686 +1764615674 +236549879 +1571449617 +867528957 +1915625316 +1473838861 +221689509 +1926901633 +981895676 +1296113173 +31916363 +728471368 +1503112073 +382762701 +2047907018 +622618240 +527811152 +94098299 +1229705890 +927104098 +1796631221 +2078306044 +1289598427 +548524325 +1619157288 +1062856850 +2057071071 +1727795772 +832754679 +499907872 +364548811 +449886705 +736457751 +1935998428 +1317415663 +504599419 +1262353641 +1539105172 +284017404 +96765670 +687734697 +315933768 +825237038 +43363122 +698696469 +725660408 +665981363 +1226507621 +819758707 +1895687253 +6128071 +468906281 +1826509650 +1295726498 +1017430606 +1298183290 +211099701 +927018029 +878495415 +1043854380 +1426925901 +1243044226 +1493741085 +15900005 +1031559006 +663673100 +520499424 +146429000 +55294624 +804516829 +243194670 +743029321 +1120450597 +1068431708 +786392444 +1819147066 +1794092116 +1452373807 +898171039 +466367176 +1200577412 +904299110 +935273457 +879603414 +52541960 +1952704063 +30303057 +263641661 +732238445 +908798472 +1307496041 +11680698 +4359050 +653753479 +27580703 +1035918056 +1317426579 +548080128 +1182347056 +1372721204 +1352596957 +1425541726 +2115750525 +325563906 +346489786 +754659321 +2144710972 +2140581903 +59549480 +895398363 +459465431 +1260126893 +1799697473 +1394738888 +2139730307 +1852239433 +1199959303 +22549716 +2115881095 +1932197748 +931348188 +1275893488 +1943878447 +935707238 +1929646967 +1971459150 +1971625295 +1099589899 +372055630 +1006488703 +324827455 +1724652587 +284546782 +293094332 +2050216493 +631036568 +1047753654 +2047443817 +624134823 +1107303134 +795358532 +1083600254 +219946379 +447572357 +330855494 +212193039 +152328143 +1530814798 +234742755 +120725590 +1315528898 +1166090944 +1396619078 +1111923697 +2101798182 +1178782398 +935899200 +1925939829 +130888649 +1307954830 +784944885 +455716104 +885123770 +1069491667 +748810436 +787856615 +1700528235 +1796564090 +687816785 +177179411 +756383577 +1483175317 +1260779665 +976329956 +1930747675 +1591635160 +1188522995 +2083075818 +974966310 +1423265751 +56317760 +143011560 +441873047 +1452936838 +1254935258 +396187581 +484235588 +43350810 +174643763 +615124237 +1351305640 +959588648 +1070840341 +88945762 +2029080315 +1819650778 +876802378 +1582124902 +1468731220 +1564619163 +1759304313 +77631149 +900310832 +872600331 +1053961106 +683574859 +316751843 +95000453 +619167029 +1291718153 +1518266204 +675484789 +1434729713 +1960139251 +2128421628 +542181323 +208843185 +465173568 +585532133 +383486948 +1080297806 +1936837774 +1343075596 +3654499 +2025783536 +1224672263 +1823305277 +755102266 +659313517 +1144552850 +172237781 +271134183 +1222183999 +1072548614 +1143734514 +128661457 +1756123473 +1460486357 +223661911 +227806855 +604720862 +1741928115 +903291644 +2039450575 +1554583719 +884229624 +434148251 +1763426904 +1349403193 +1019680384 +2146913852 +282217351 +809034510 +1342505800 +285871850 +687334399 +419694415 +2109177128 +1442436665 +1079007932 +1106246330 +1614674447 +1350142115 +180946681 +539739413 +346392981 +309608139 +148379238 +1806879338 +533270050 +376186093 +264116552 +127714517 +1279477738 +156083480 +1682298236 +16223714 +590231731 +1298241492 +1365626907 +1609912115 +1297671696 +1647844258 +271462978 +492693848 +1933716109 +958797377 +912388263 +1895409589 +253750394 +1991396196 +854172271 +1868424841 +1194054663 +1035118952 +260680606 +1540447645 +1344727091 +409059845 +1199843335 +1877997141 +785245938 +1463959888 +2005711659 +2064723676 +1620043368 +1540526247 +2080947391 +62791451 +691284092 +1299090650 +1672703566 +1988955788 +799451261 +1944166544 +334165989 +585683722 +755480273 +1246554252 +333609663 +1009230668 +1090466800 +1187781934 +730171861 +137037816 +75417238 +990852468 +1677485461 +1420144330 +1399912313 +729845148 +1150657823 +37674603 +46321388 +1008885834 +2102398280 +1666364756 +401928434 +2035862023 +1729156207 +1093212526 +1187469025 +1254376126 +934684666 +1986920286 +1051059022 +1268850655 +425120360 +1806539296 +367921260 +758730023 +668286316 +1458388060 +1946511957 +1398458177 +1595425876 +2021929196 +241826997 +1125427689 +1294589878 +1641739310 +1855272838 +297764053 +1679413914 +1901594226 +1306649888 +1634328546 +1420475335 +1708578322 +1522706921 +1002147894 +654307200 +562692298 +109040372 +1588991866 +402128937 +1160099395 +710358874 +827249297 +819155043 +1078280134 +1585979321 +1487441359 +389184546 +1385007630 +738415888 +1984610423 +1259453178 +980242886 +962554464 +406559408 +474498548 +670343654 +704323462 +6428814 +424454233 +2010973350 +1640757360 +1844929568 +1572068024 +1015980633 +699593814 +78891576 +1578672932 +808634187 +1667883442 +1980801869 +1968733582 +230758668 +660567518 +640404977 +1309038802 +99063191 +2127846336 +1698223349 +1484070822 +718778576 +1535350124 +596040352 +1699021462 +350420940 +1002599761 +26036363 +1020764595 +1706923223 +32465177 +1445218828 +1570412925 +1673222538 +1142664748 +994997301 +541719523 +1842258562 +1073888877 +2120392455 +503409101 +594288671 +1953710676 +324659035 +825047340 +466794547 +965064012 +2134086142 +565857738 +945426700 +1684825843 +2049928560 +1664205277 +1072692319 +498485265 +1215743091 +1423113260 +1501085026 +1241779454 +296394207 +1060524601 +1274244632 +1741613035 +483453878 +799983522 +736794135 +1478451179 +1341703045 +431569049 +404856408 +1314611853 +934978151 +999145079 +1120838881 +1259637186 +1824192419 +1587633428 +77217551 +1810794914 +6007519 +1022644251 +1348137109 +2055936079 +539365880 +273345781 +406937696 +1755108972 +1696459041 +1908022722 +849404778 +1992853248 +821063675 +2123649410 +1586982635 +1304517553 +776149284 +176293122 +635485084 +2117852330 +607862171 +1040341492 +1284980535 +1542840322 +2039486572 +258335768 +654993861 +1716195343 +1845969197 +732211412 +1379506609 +1851976716 +1754855663 +580160071 +1760429147 +146737896 +853505852 +19883196 +1901846868 +402481245 +1927905918 +603767998 +247850845 +601485946 +579933761 +1834833480 +1906003499 +1356083045 +2011126602 +394004936 +1326451727 +471505125 +1434346428 +463948614 +2014345448 +1326349352 +722284383 +521855661 +895061048 +420769932 +1254067073 +127084009 +125263000 +861439088 +707244080 +1885692147 +1008176984 +1560749932 +1905575343 +762540204 +1963231177 +1685997614 +1366308203 +63598374 +139999912 +1946241964 +1898431854 +2046003411 +1154841361 +1762074808 +292524699 +333809441 +86096286 +1726871128 +797758055 +2100441734 +905736832 +1520042438 +474813747 +1800797880 +1940812370 +1728880820 +1927881890 +2066075370 +442836260 +487642322 +1804283870 +1451013245 +2048392255 +1562375565 +66069801 +1864139784 +1100889531 +1432378004 +1927738159 +1240889443 +1231136320 +1678686365 +1139409207 +238494034 +1293277526 +1431933906 +572303475 +1379373812 +1011321386 +1370061530 +1332331898 +1917058219 +742620321 +1807145645 +1570372451 +535949043 +1388542817 +1350770693 +454540766 +1831379077 +1838413016 +111340988 +1134908674 +1739321623 +1673716553 +1200978476 +1455977759 +627122437 +485872832 +1236232270 +1868011880 +1717009153 +767434988 +859937439 +1955503187 +2060712514 +144387698 +380323014 +1292602678 +1155709084 +1750384544 +477450928 +925283655 +345521217 +137112925 +348172459 +881470261 +1525655742 +1698943152 +1336011027 +1209551171 +1389872520 +1447352015 +196976198 +981710495 +973584920 +1397954674 +290204607 +1600707357 +1883827506 +1526436877 +1321235590 +1453353011 +146388217 +33689381 +1261372550 +59617083 +178077079 +1641695564 +1352219761 +1333786164 +1244596461 +1829670689 +111586171 +1590117678 +1966783614 +459758630 +324104291 +1344955708 +11218135 +1660115318 +407023232 +1401090655 +959983685 +603999430 +235317503 +1933568606 +2001954104 +525522110 +1386792315 +1738297962 +2051958987 +560544257 +1044167326 +50863557 +594233639 +158056228 +110480640 +772310718 +1799751793 +1462700402 +2106096882 +896864606 +1144887443 +70199406 +339498636 +964187410 +529958036 +663602928 +161659470 +541176171 +176234598 +568682702 +1942266827 +1136218284 +1172682132 +30100682 +922303242 +1027152588 +555622792 +161611909 +617966903 +460098131 +722156167 +1662134229 +510961688 +1316389806 +1820190457 +621442329 +2088700524 +1472458602 +2084142731 +2047313759 +221839560 +1081546526 +2117513165 +561338197 +2045733936 +499987553 +1224941125 +59909759 +1041163725 +1401175723 +628592461 +835946904 +389910359 +1801274594 +866047586 +1312213601 +680943534 +1421670378 +1473825511 +1298910437 +1881768509 +48498030 +813561018 +245246550 +1364887836 +486267828 +866688879 +1306104712 +1958726430 +803347962 +1205934823 +33082343 +1884894488 +1175964340 +594420540 +1783144777 +1675951894 +1819361665 +1843054536 +569631971 +1073053740 +324163349 +1405578875 +1462964100 +2125437943 +124142813 +627694053 +658897830 +1545813191 +2101519564 +1957808267 +1280098052 +2533946 +623885638 +1525344602 +1367421782 +1110153466 +244549833 +526042847 +921396248 +1047897795 +1731977670 +954478591 +785308636 +760458363 +1548899131 +420969765 +288926609 +1220777148 +116540653 +858558580 +146347241 +440704002 +116653807 +1609311341 +418658298 +240796620 +89521746 +1077556128 +1786609811 +43557663 +887880747 +919224215 +46091609 +1511766385 +297085170 +1413513392 +474436203 +541635003 +1939556239 +1395832452 +1589532799 +1524050261 +202827395 +227357787 +137024976 +1751726527 +648327552 +425951585 +825020027 +764868205 +1284510165 +971367268 +1205572207 +1401163972 +433194961 +1624230505 +1641960592 +522716708 +554302985 +1281086755 +566274371 +1442183733 +52827323 +612365980 +806466470 +349912493 +2025879372 +1280902674 +891547496 +1817951963 +529251478 +333596647 +1194518577 +732078873 +560954434 +1331543553 +336321752 +1209281986 +1757495139 +1161341780 +1974150191 +894521656 +2132709048 +1032238751 +148201981 +418420362 +508985608 +1790162573 +941137070 +1063288594 +923765681 +1507411441 +357988679 +976593004 +2119777421 +1164455149 +1326505497 +1998173146 +297874175 +70569345 +1668641461 +827125653 +404165993 +715676390 +1559204527 +965120427 +2047219944 +1895526279 +26918766 +1657231435 +909384411 +2001068957 +404269443 +894609812 +885824060 +552471424 +1313030174 +1394809669 +195150350 +106683596 +310614615 +1118916031 +1614095037 +668603294 +2095509035 +1586388810 +1833058443 +1274530884 +1437078308 +2130932619 +1345100229 +958236122 +810574624 +1749266222 +1673912512 +222295503 +566903002 +1573648808 +2117821783 +593821768 +1083396595 +879722546 +447407077 +1487666039 +1774332358 +1333231138 +2040137463 +939878884 +580557159 +87804165 +1046562480 +891171774 +1206720196 +513173869 +1559775068 +1154745583 +2099562680 +1245349863 +281792819 +1389157340 +1228798834 +1626893049 +199909814 +2039373459 +1228675623 +1873822327 +114185314 +1795578625 +1299987487 +84523449 +241916745 +235900435 +964245996 +689323823 +1723566474 +591094706 +2022554961 +1616220289 +1530973591 +455628472 +1704024455 +430052423 +1346800246 +763261003 +943226293 +759091666 +1918006587 +895305325 +2004441529 +52315758 +136979017 +1085756716 +1679208807 +336888832 +977646527 +760400783 +63227511 +1091831841 +408495760 +1363214998 +1176355291 +650412506 +1599115433 +2140601287 +1339736329 +1175198259 +584212345 +1214807642 +643934901 +2115185936 +1670436114 +200475708 +397754712 +869752712 +963736711 +1340981005 +1628844378 +734259650 +88802682 +1485802259 +786575409 +225781699 +424075327 +318300568 +562670531 +1401721854 +1078701351 +625898042 +346070048 +1487197112 +1989113041 +1522425339 +2137609618 +1440744826 +1515542978 +1329862299 +468459438 +2099755323 +397186293 +1112394339 +2067457612 +2067622407 +1312870047 +317728676 +789891471 +129123110 +1658709681 +271252201 +863382761 +1747512363 +1757054460 +1649958170 +1973294062 +33646140 +1968258738 +388480946 +1435367994 +899476442 +1014378988 +1781438042 +239189906 +856008381 +1156379733 +229315876 +149269560 +524439063 +1559178175 +617728998 +476710739 +1956364468 +1730123337 +396684703 +1876503227 +895509736 +714413379 +518911050 +1024632846 +225639412 +790163251 +1888015607 +1973151775 +399734063 +1390490129 +1798962189 +433380203 +1211265220 +39959487 +1868748198 +2110741662 +1054338476 +1502702592 +202447920 +1910346857 +511598678 +431763796 +2059616417 +1036037741 +1990941971 +529861767 +1512748480 +1799822791 +112501456 +1909433183 +1528842370 +1008011192 +476362914 +2047753420 +2032644039 +702002326 +690433023 +1773175998 +527670453 +1090167086 +1016182480 +179148995 +1523547290 +79964052 +219108482 +1244811840 +43222066 +1273446958 +600030784 +245669986 +1036310168 +1111629462 +677433782 +948442937 +183556 +520892105 +1478304705 +1512932036 +173231248 +1590806161 +1274881572 +1702073618 +451333706 +1751244486 +1602343390 +336494097 +305763165 +145292765 +2109670095 +833433618 +1235459851 +978368927 +1012582613 +611523493 +1058332979 +1231691096 +1856335333 +1101555045 +357654406 +308882470 +1347225031 +1393964574 +1420511932 +2024658813 +194923864 +1420695488 +398067270 +1673228569 +786143877 +571298518 +1116551082 +2061025449 +125888488 +1567884788 +1664786287 +1728231878 +1904378885 +1970549452 +1873524643 +1866565333 +656499423 +961500847 +697450612 +1669082036 +1573024340 +1755783592 +753289484 +1281876026 +709854989 +1110943891 +1590758496 +2057080021 +357424817 +863786780 +1934255186 +552348681 +136998621 +184838809 +78093602 +923142498 +756137327 +1194644685 +836684299 +882025816 +615045825 +353986938 +462774046 +371941063 +177052743 +188815042 +91022748 +833552166 +1150315889 +788473360 +355150554 +575856581 +396773304 +1108440039 +1857732607 +1106628294 +71900282 +1301007455 +1016224667 +429325099 +17310588 +802996205 +981673781 +154309209 +987835014 +1059767383 +1077451707 +1743972342 +106928420 +1914136006 +478514510 +721974246 +120639296 +941288556 +1093915309 +297692039 +1130103598 +1184938057 +1131244205 +132935839 +1973411417 +1486394760 +708792421 +222701074 +447351151 +419041380 +1329329368 +519251433 +1720048836 +198070387 +948576532 +1737359424 +1001066592 +1930250313 +1891668633 +1988901607 +842534049 +821636692 +1585390301 +949462469 +588289050 +2063904811 +1671436715 +708928346 +857709719 +617868376 +1006620386 +1987813318 +1802806433 +2137864591 +2120749157 +1628734203 +1476775703 +682057930 +1851435277 +1924126854 +1101099311 +1033280997 +295894639 +673664499 +1231351384 +1244471172 +263540275 +84934328 +1027237837 +7725260 +2073835935 +1869771886 +829361952 +1511742588 +671750708 +1417651002 +1428163751 +195703775 +2126579348 +138389823 +813572152 +985716086 +2126203141 +468894937 +976097030 +2099468650 +2097629140 +305389085 +634042933 +1801580769 +82032292 +1735142244 +687378118 +377926931 +261323095 +1918729502 +1622398103 +524863370 +2003663831 +502152293 +532588630 +1930016118 +224440531 +1361950582 +1294275059 +896191239 +632117936 +574955162 +1091895015 +611213636 +713344985 +1905467167 +1596929723 +692064478 +226878456 +425543105 +644049481 +177023949 +730932190 +1278092414 +1978604718 +812964482 +865751010 +518499189 +1190891414 +1127074105 +289745043 +665805869 +1651937475 +145925226 +1167958162 +37042457 +2075941345 +1392398694 +1398993039 +1222732756 +141106285 +2031110975 +1797687918 +1233001300 +494840963 +363549256 +990984819 +2091770686 +1055613734 +1217863276 +369830143 +1699663215 +1394887225 +1100762334 +830271981 +1226008295 +1913726816 +1696022991 +1744507484 +957134582 +675613448 +2034252528 +1622940452 +180067275 +32694106 +643414966 +217109732 +2108635451 +2035813660 +1616102771 +1183884559 +29436298 +1499730098 +834088830 +1262437598 +1994571062 +1197638086 +105938770 +1938858100 +105768172 +1323802046 +161204596 +1805431388 +571205623 +1261966930 +488219721 +1797213918 +1028210098 +36759065 +1394237755 +1985344681 +712372513 +1281006635 +1460801485 +892439789 +1313700741 +2104216451 +1109549521 +1274852545 +1992546464 +578168645 +311253456 +2021982762 +2077898743 +1145342286 +1136936712 +1924986157 +195496724 +1242875482 +1716360610 +301264897 +419193880 +1877565206 +2106696285 +990399503 +992048488 +447432358 +640129774 +2020258586 +484191423 +2034367529 +1858119619 +1196563937 +1167890516 +1171437456 +2089003726 +334107609 +1128170260 +1051069599 +1608960154 +973233076 +1629238244 +1920213611 +847732190 +1559653340 +918072249 +1984668902 +1337155849 +1113568974 +1080060737 +906032811 +1414833871 +1499254617 +636114369 +1374046508 +342170473 +1628162857 +1821478866 +982300247 +1500937796 +158186642 +869184128 +1211573767 +1354750579 +2037074644 +235527576 +1296270657 +223698605 +1363697836 +199856608 +1832658760 +189447264 +1829094853 +1605388723 +1037179454 +1241264545 +375977324 +874364708 +430936746 +1489546298 +1954425445 +1336969558 +756896521 +1306196415 +1973083927 +2130943029 +1648366888 +1453763137 +1804938248 +483183487 +807217285 +1963124890 +1352367615 +2018791052 +1170391821 +1241958611 +106834980 +319178830 +1465657216 +1470532816 +519035438 +1150832328 +1659980080 +200646643 +608737403 +549675886 +1441911188 +984714728 +1424040595 +1872847935 +326777378 +1230982392 +1062333845 +1083673900 +389695159 +887934124 +1067133281 +2038062047 +194213613 +724587881 +373761886 +1001430898 +540229123 +1726129501 +872738303 +1710620944 +820604464 +979573283 +2029799774 +138778033 +302622452 +401351565 +1289610361 +1962602532 +601998208 +1898347765 +364794771 +2043909397 +735578845 +1788835366 +1769273684 +1062356223 +872334110 +684123881 +2146030123 +1262029270 +1572058005 +1065679757 +1152607669 +1766271619 +1790267638 +1526369556 +620218869 +183013114 +1105015409 +1492957172 +1893634058 +1925619874 +325046808 +1775950185 +2064397907 +627669260 +29818102 +1206524620 +442788144 +631816310 +957388737 +807582915 +528242059 +1692967582 +448934633 +150032095 +607840158 +1321268744 +834155976 +606386633 +435814366 +258730334 +1672066390 +1588422035 +2025001953 +1314850381 +967307943 +497737174 +1497863495 +2072323353 +1990694347 +1244013905 +1850459579 +168257507 +872480442 +1767373838 +795926767 +902298544 +826414810 +1238714911 +1534114855 +1783803548 +2046297827 +2062356914 +1329287482 +347748812 +64905362 +1937127640 +1669017556 +899061338 +396030626 +2104831922 +1157791672 +2068097016 +1545770310 +1035309977 +1235463749 +365594605 +1533047152 +585843596 +290434310 +1376257851 +1829857502 +2140893889 +1544515358 +554854296 +1760784079 +192958477 +1457152841 +439715242 +1431673388 +843784048 +76035142 +1330487567 +758657314 +1405322624 +1678236380 +823562676 +1194966617 +1199770288 +1722624015 +1590997243 +1157118563 +732932039 +1511610611 +555405225 +1768242017 +599590713 +920999830 +1153805521 +1185434309 +1211434141 +382579724 +867808163 +1204844382 +1927095082 +1422662460 +818144814 +2120053559 +732331653 +1257860056 +1404243299 +1576115701 +1333895198 +587247219 +187289367 +591734174 +117999951 +1010852044 +1786700791 +1317770239 +585992411 +1230214386 +327405154 +1318924450 +594341350 +882810379 +939682819 +1193932063 +1803810210 +2093488340 +231882724 +867760703 +328584416 +1099690888 +2072605085 +108195850 +374869700 +743266251 +80765761 +1107201353 +2001126307 +1485009061 +535833406 +1187537857 +2072256280 +723122773 +1779272032 +42772583 +1733974817 +1418489175 +1360542822 +172483580 +501219914 +1687947977 +1491408031 +1095561264 +423274708 +283607202 +142009679 +79601270 +229611895 +373892403 +947361973 +558196311 +1473583291 +872483411 +666392162 +1848452991 +1615749662 +747157923 +808170696 +1469392322 +84683336 +1344004102 +509446531 +9455968 +2067126876 +141234915 +52228551 +1653618045 +1559724091 +1412771374 +1826101626 +2060944005 +953235703 +1170026009 +1009021621 +1376510411 +1453633211 +1151031300 +1456111682 +1683245106 +1524923703 +255990007 +93957770 +851023347 +1128473418 +760349932 +551992690 +596739433 +1507507855 +1360163387 +2066131755 +1592191192 +556683841 +428094638 +1601647160 +476327069 +569329554 +1653875712 +2129945115 +2129053645 +919163438 +1808563093 +2042514002 +1872399141 +831105454 +904051975 +1101425904 +137255017 +2055083275 +410053938 +1820500124 +1432523330 +666043946 +1914457894 +136063029 +1794517364 +527324178 +688055720 +243773149 +2034832033 +2048219107 +162421256 +1479539577 +457419300 +590515895 +933703090 +933746370 +1159845449 +440095154 +916207837 +1141415446 +1359258592 +577287282 +1036445800 +1084174085 +1408392736 +1940497775 +38116341 +1545647753 +1848097402 +448170280 +1218664229 +1133137084 +1114214226 +985638475 +1269200114 +761247942 +1512962653 +1957255834 +1005021092 +1400311039 +1857991293 +1167442348 +732366968 +167926945 +1757958243 +1666070058 +1101673315 +770320044 +2106165212 +2017881152 +1911735490 +1317940156 +447684786 +800697642 +254630593 +1856077522 +593711769 +292746935 +1254241628 +294325523 +740917215 +325422209 +1427462608 +1855131441 +1311060685 +549179074 +468895735 +676539690 +358951260 +1473916827 +2076850729 +69458905 +493875528 +661734050 +237385850 +104350123 +180320460 +1339059166 +874670168 +139002025 +1209456670 +638922010 +1456942181 +1657141457 +1439619653 +1711572775 +1365735331 +2033331422 +2004319710 +472493311 +180173298 +597753277 +797915521 +1607635906 +305401070 +2108976206 +9331332 +774296805 +638032248 +368282592 +100729985 +567399330 +437741497 +594605513 +1229133380 +675127347 +698955636 +1409453840 +2014186513 +1573625804 +1548455865 +1076159536 +65064167 +857914399 +585817345 +1504683820 +422003526 +1951552676 +1390531594 +278839588 +276562340 +1570704892 +876592865 +1074477861 +1030857150 +1181993935 +1035970419 +1040188482 +1956290740 +1674002667 +1408471074 +2057020725 +93918349 +1846212571 +504142590 +1323051729 +373856271 +1203098227 +585021922 +240559136 +629240383 +2133477787 +1316718672 +694304550 +843908538 +1902536017 +51504722 +1265912064 +1706605046 +1442036317 +1544751652 +1983167386 +865257561 +273860869 +910161599 +1896114712 +1455854804 +1946132018 +788819546 +1264661897 +1472651037 +49806973 +1174198974 +1566569387 +1896019544 +1678341565 +742137468 +122392167 +733956144 +1327159390 +362951304 +1363196527 +1313153530 +1679669976 +2057501078 +9578420 +1434722346 +2109005800 +1275490485 +993843744 +1403558469 +672758489 +829527482 +121332383 +946619359 +1739689081 +2017447095 +254990515 +1538337451 +658782993 +1519652412 +863504840 +708589966 +546367739 +282590579 +457125863 +77225656 +1024728048 +579518030 +811181800 +204403790 +942469334 +26894679 +1517557320 +474655663 +2084395757 +1527135741 +1909378009 +2045917910 +655142578 +755738105 +1301992731 +1327901067 +1585265587 +1423325114 +127036778 +1177471020 +1293288561 +382027294 +568324823 +1952071555 +1901679706 +1431829663 +513177873 +300563797 +1714420243 +970303736 +377789453 +591664643 +1549821767 +1188971253 +796068433 +344807453 +1215865933 +166142106 +819463116 +1152778042 +1693277847 +581357477 +1051212304 +200936777 +1337095582 +205721388 +1528837844 +774877521 +1629046502 +1655874623 +1952348541 +774851416 +2037901917 +373189716 +579439323 +1792097975 +1805019380 +1092617196 +2092661773 +1371955975 +2062920933 +322967578 +1963620618 +1465259052 +1511938832 +612205403 +1810066505 +580321117 +778347509 +482045974 +1733099159 +324141708 +1063403451 +636827816 +525078485 +253015386 +842549204 +2053916330 +1027892907 +324112058 +1562307305 +832757801 +1098963474 +1452725574 +1205947517 +1678402797 +1097339901 +863483249 +623536346 +1042518026 +87955576 +538973631 +1365485605 +2051576194 +2004232683 +729940789 +516297950 +1666815540 +1310261906 +1294645459 +1377866 +895877417 +1618787168 +1064781318 +1532705233 +2143865653 +1317796704 +227770789 +2050298335 +198205963 +551882848 +1465121992 +1030963764 +1650846322 +770363918 +89427634 +1181765472 +1867703820 +952910883 +1805301818 +762738198 +1040866460 +196791801 +2128223803 +944959006 +53540836 +710680944 +1461256956 +1720356376 +2020942850 +608418768 +1721734243 +769336620 +79722288 +639031913 +154558205 +76104293 +1956828617 +382328995 +2126402629 +7550932 +934211843 +1444040973 +1038514697 +437574517 +66921244 +1127942331 +1619339989 +1934625064 +2080853214 +1277158159 +549879614 +974236026 +1473949960 +530619770 +1919195033 +1527490796 +1241300714 +1232968341 +1100363525 +1114759917 +1841387109 +674614120 +1884096537 +1921109397 +1313646033 +2038654742 +1997213691 +1122991002 +273500089 +1976132672 +1130541934 +1207711932 +1272689997 +21572983 +1645286450 +1339611241 +1149515314 +1117142791 +1126752657 +1082884881 +246817303 +1676632272 +2057120907 +1720767263 +59768394 +1828832292 +1100774412 +1301069108 +914316986 +53654289 +268345377 +608220447 +728268409 +4958266 +381846197 +2041914442 +2043613009 +231576240 +1017421796 +169629450 +60225264 +480082 +1377341383 +1332915261 +22053066 +875144185 +525042855 +1171568380 +1992286976 +1651795512 +106969613 +91620631 +1180944136 +16606873 +1812387895 +1240712530 +1845439165 +765678659 +394297991 +612272503 +819332948 +662643368 +1220492951 +1547601357 +667601635 +1602339148 +1442032151 +563730996 +1833915388 +311970299 +733360446 +1894140652 +312450381 +2110701829 +1079572265 +334503447 +838362366 +1604615120 +1506071828 +683165695 +1108926985 +1613041441 +774786326 +142387473 +1629648314 +439690573 +1383100004 +1327603832 +1205369232 +1777397995 +1939876335 +2024702180 +292557715 +1012885638 +1424819889 +960159350 +467741138 +719368392 +1523890346 +154172878 +1031338691 +109767145 +2048313530 +1343789073 +72985326 +980402148 +1678292520 +911347693 +437533620 +1036880700 +1594513388 +1546460605 +502438494 +221816066 +1688848079 +2132086808 +661506640 +924464435 +1312206992 +1866875872 +554378782 +1104599680 +1744094405 +846936497 +2117485318 +1021430646 +1807095848 +437742809 +1740799039 +1183502546 +591915687 +624654082 +1293269691 +492745570 +1968443155 +1366255018 +1473147718 +1499252028 +130119063 +1910681338 +388649080 +1724632451 +1309658296 +891087574 +1946448517 +851022727 +875690735 +460471509 +1775487162 +40414079 +179863734 +182382296 +1145013759 +1923958139 +1029318793 +1115015430 +797905137 +688930993 +1552758239 +391220528 +1872433540 +2144673926 +1015874611 +1018219583 +489935848 +836834118 +236990953 +1963083566 +188602498 +367110016 +1726281257 +577251579 +2091742467 +888455905 +1468339153 +1890707337 +1739478632 +196546240 +203695198 +1367482146 +236960320 +383558932 +1549864442 +1381974079 +160033423 +431699587 +349505861 +957938561 +1120630581 +1902264100 +1349159089 +845580473 +1899454379 +217550052 +1863800056 +241906579 +1054384171 +2100791010 +57506498 +1242986669 +320417378 +1783787755 +1820238248 +264676198 +524760012 +1141093754 +7899887 +116754996 +1337639994 +211595085 +1484237142 +1574600314 +595154018 +886617936 +809090746 +755187441 +1318317523 +1158596607 +1713126002 +291464456 +913377060 +914801444 +1137044929 +665347791 +1132351496 +853361338 +907254370 +39252019 +806668700 +964760868 +1282238689 +1127086078 +601064975 +954993289 +1391762276 +1125824987 +2096087043 +1399662163 +1242579983 +1286243390 +1611257249 +579333477 +713360056 +58927619 +1465951413 +1522450802 +814115060 +636785289 +533563762 +379757415 +928249745 +1446940822 +1294558859 +2065294675 +2112288613 +279426707 +771172365 +872059335 +318678727 +1577841065 +1836820204 +1600917416 +557443495 +290401531 +408427057 +1949205772 +1416226519 +357030453 +1201384287 +511322854 +1643273843 +665157888 +1090656332 +209150251 +724085507 +409124097 +1731601054 +1538200568 +1045909386 +117681168 +1917957983 +1974159132 +1564621990 +1065033194 +1891970159 +1529426955 +1344459901 +515658876 +254002642 +1663138628 +2093499941 +2090822846 +1116572396 +503459788 +233740730 +1524999454 +305181912 +1649967249 +1882029907 +1506566200 +13806455 +1377820102 +24240440 +1104462787 +1586970353 +748325948 +1513586885 +1171087759 +139042868 +412012623 +1288768927 +2057000851 +238688107 +705907269 +974550397 +2130658266 +87850576 +171526650 +498833494 +341853219 +1834665279 +444849787 +285192417 +803754027 +948309576 +518933147 +181269833 +1253491488 +21416748 +2063299740 +612574040 +35223204 +1293636194 +636814481 +1139685991 +733122900 +1385140429 +505789228 +1904210659 +1524183297 +917801852 +1045495939 +1433700500 +1156489959 +1751403208 +260767249 +1139664578 +1839253785 +432293899 +1638498072 +33623356 +119475530 +2083347860 +318815773 +923229558 +884173788 +837748921 +1104499391 +2137665276 +859165669 +1020315484 +602755669 +894388873 +166468030 +1239570150 +2034074865 +899590930 +477226931 +392380445 +656317942 +2001410228 +1310182297 +1701813881 +1287627080 +319188609 +1305733441 +1548394329 +1458853187 +997503578 +1980688228 +949867611 +1031126934 +2100163759 +885731823 +1349942708 +875909669 +1769905611 +40207981 +1980409060 +1760087240 +899373650 +853240896 +215359261 +1793762524 +1019708927 +1454929411 +1680353741 +1919299857 +1932156342 +2072734186 +428134151 +1786082922 +1235432836 +2129948032 +926226354 +1554621445 +1288197826 +327137035 +865990984 +138217756 +160341615 +1815858595 +1169344691 +113021726 +554106771 +371803751 +988931395 +176528734 +412011732 +821856808 +1936615974 +1311385382 +1675097704 +4491587 +957664258 +547322983 +1459420998 +490534351 +319139193 +1244093692 +415784890 +747273344 +882692966 +1651217726 +729737729 +1808919320 +1058355523 +2017935555 +2136056355 +1924346507 +8669663 +148914323 +1592721454 +1178014354 +261936049 +2146828225 +1549818105 +1250867445 +175873312 +1961829837 +2072724253 +2112489286 +1125731572 +1600338309 +2116980874 +2083395830 +177645 +1428918224 +426446534 +319316838 +525528269 +842231424 +1066590182 +1408221235 +345965502 +1796327911 +1069656908 +1404321025 +1666779818 +1058229615 +1181183884 +1675449482 +1207143938 +626421690 +705980188 +1469079988 +625766268 +108314646 +572463785 +801639580 +2070144483 +497704390 +766645218 +1048392407 +2098042699 +736142444 +984304590 +2098220344 +17577021 +1410751124 +270053534 +543105290 +105498900 +1336643717 +1951326525 +451464402 +985487980 +873499785 +1855785427 +504784151 +1931729401 +889485663 +32749985 +991389691 +1515907353 +738730173 +312986031 +2141673621 +847044819 +885449816 +795829553 +769705655 +1383154206 +1562474772 +1818098062 +1333713258 +151133568 +654919004 +1284449954 +168710589 +2065670128 +1554503489 +711815879 +23685380 +743663558 +515658757 +475149782 +1729151538 +1389158542 +183451561 +86452041 +1173404295 +1072937224 +119202026 +17310339 +441360930 +857932200 +330296370 +435550903 +1704977019 +1215746187 +1231380457 +327199026 +451416745 +646371581 +2145297089 +1785130003 +797505149 +652732445 +922096310 +966215739 +570918926 +329116151 +1678031618 +594604306 +1072779709 +46206727 +1069754089 +654447599 +1435365270 +1253205650 +740899641 +461285917 +178659227 +860101667 +478596256 +620020157 +1718033867 +808892627 +1055571060 +1275527239 +2024638814 +139467869 +1602726265 +328571911 +785839450 +1600539706 +2113701915 +1583344600 +105788504 +888314577 +402076691 +676707430 +1217430728 +2080108309 +1271311736 +142726789 +2126315037 +193582177 +797174388 +1414196659 +1446787828 +1538074029 +1875482576 +1625447055 +250692049 +206595185 +97983564 +1968725916 +1015487812 +1153554624 +1096769507 +892642978 +1293022494 +552012125 +1221214889 +2078861944 +5068183 +1187433156 +1514722896 +110856687 +2075747733 +1916799587 +787564117 +1145694813 +1849424249 +2058875854 +1288421602 +1828255638 +104974383 +2085595991 +1094968649 +1551762211 +1476186372 +822967577 +1029725618 +1726878421 +1029562762 +1127709182 +1548120690 +2045050574 +133780159 +497406549 +790209904 +1426802653 +1049418674 +2011424794 +1358180949 +1054486858 +1051374302 +725420198 +1165343545 +979638388 +494736137 +1952907663 +2125333201 +196676738 +1864299869 +1266271156 +2024932376 +1969274252 +1204383499 +972417377 +1373552816 +533086223 +1795384955 +255794786 +112480997 +677464069 +1383503969 +1660601687 +575030996 +1517284128 +10524588 +1365240900 +796603133 +1059943263 +1229182046 +7300434 +2114430121 +133072701 +732720632 +1132290018 +1112711089 +1227456770 +937714033 +1090560642 +1424133508 +654530254 +209348150 +1301582237 +476320859 +1413731649 +126515966 +1849873675 +1946817873 +1921900921 +2105668461 +2059298870 +451881343 +1341688782 +1572416909 +1026912339 +711489262 +1582941497 +244669591 +1508092395 +495401112 +1473851638 +1515392830 +462347585 +1606924339 +100629814 +1594637604 +572151780 +1328086584 +384867989 +1662712422 +604736445 +1039398244 +1872060573 +1906318682 +1515719103 +1138308574 +2032834648 +1218109130 +937642799 +1807251922 +1176293943 +849458021 +111649617 +370499078 +274391282 +1138561956 +1081988340 +1857332780 +1383231547 +442597088 +205250244 +709599537 +1957989918 +667597830 +169040228 +2058619732 +114751786 +741192008 +1239222669 +499619775 +256420783 +1843959114 +1539018019 +2128481356 +1602794148 +907253474 +1119306282 +1488145148 +2125362604 +2056949082 +1147913422 +1154172900 +758923455 +1259563039 +1524671978 +1033314738 +250641347 +459176670 +743163870 +1633872895 +901773758 +948414114 +195988784 +712280028 +1616011944 +365029013 +623416113 +1730763730 +1106221021 +1862638782 +82899858 +1362641804 +1559114248 +1621917877 +1343639512 +1014424748 +381687704 +315462147 +355086248 +359566660 +224927581 +1502999671 +1513739560 +983851036 +615079062 +890927890 +2017165774 +865720410 +1350104561 +612845996 +352109657 +104394671 +1561260111 +548098441 +816674700 +1029788407 +913127454 +1440090813 +613068490 +2019348476 +1155245947 +695968348 +1234506632 +566876547 +170402577 +430662497 +1581301295 +552090281 +746124644 +1936387543 +911656942 +971052225 +1291903566 +277912854 +1954903261 +1906982629 +1168840745 +1824585388 +625219391 +371461658 +289947736 +977329048 +475856329 +1851207847 +1525427489 +1292531029 +733512607 +291071296 +585138194 +1346581097 +162936124 +1740384141 +2042549445 +1397442756 +159777040 +65468374 +1828105253 +1741078335 +617558656 +426746249 +1529982231 +1529215598 +1397798474 +674402149 +1807128452 +1205218088 +433901130 +828485549 +882319828 +1059120521 +1199947207 +1172267564 +2036449569 +1675803537 +875991764 +1414393411 +820850918 +1609504371 +1705464707 +1405989113 +808601820 +1868400831 +998889606 +703667617 +1118359939 +1158666647 +769135991 +798981545 +752261334 +1386694647 +1225727794 +134759917 +768426597 +476042621 +809162067 +428071402 +1681260709 +1243063197 +1256556951 +416096889 +154700071 +309020511 +1588364453 +43665992 +1984824048 +316872569 +1458059403 +658191318 +1926376940 +1016040462 +2064180431 +587495112 +736957645 +915586390 +1291162729 +1855317585 +2074253037 +2060298721 +506815482 +679030723 +1299509720 +1732543276 +813790641 +2067936318 +61102249 +1622952708 +348524072 +1742362958 +718532257 +1605081023 +10976199 +873232328 +1914101534 +1599340653 +916898321 +1751441934 +1916213222 +227474076 +262149605 +1695106515 +1243514539 +178846388 +135117979 +1980472184 +1094432778 +1426280709 +1688306121 +1021202167 +1339095782 +47637955 +1700232891 +491121854 +1780181232 +366539884 +411574524 +1841283481 +1989492592 +760098596 +1436162792 +560541201 +217695972 +1447138991 +1433773530 +2131797506 +898995996 +203188203 +1735755793 +667725571 +430662279 +1997905398 +215348438 +1674176818 +29268138 +350466417 +1507165355 +1123700917 +1776747126 +1047987828 +2144903084 +968359260 +1095625784 +1697652327 +1459481115 +728323368 +2064192211 +1871055639 +422123201 +1906201155 +483670588 +1858285993 +319258709 +701366560 +1157941337 +1753032239 +685680418 +2056937333 +1956220442 +273952563 +577179256 +239399073 +124374313 +792527694 +1913575892 +153642452 +1142994112 +1273257599 +1277343369 +772257590 +173761779 +1274762805 +1740616851 +1269387563 +824931485 +1052614318 +1997710931 +741640048 +776186309 +272350485 +500357556 +1259856897 +2130636478 +819616265 +1961223457 +1141094167 +425164856 +499420228 +1050547853 +233901650 +773372791 +1627727109 +473300723 +897747105 +272771156 +239392967 +1051389557 +1415765268 +1512650566 +181249278 +40539210 +1686412346 +1456012083 +1781156061 +808316261 +133459920 +686286731 +658543545 +875099969 +1462473041 +930894030 +1375457525 +574846290 +914046860 +47590142 +388586100 +2055141028 +472754998 +888006328 +958205233 +706656648 +1661379119 +438448694 +1179957371 +411642576 +711219850 +1419350339 +1463032133 +2126985118 +784517257 +1644281411 +20040681 +323445955 +952809847 +1801196742 +1131762217 +1086269767 +339999826 +1790305762 +1961369736 +1802472867 +573716144 +1189343613 +229835509 +1487763004 +1236933755 +618421609 +1395420384 +1709688753 +1506427937 +206141969 +268861753 +1020323409 +644590664 +1448819125 +1431965985 +1355810514 +720685816 +747514471 +1335311985 +1505203073 +244312234 +1355352666 +1828649029 +1197122081 +1009065760 +812927598 +135908201 +1349065586 +455749712 +2097277937 +1004054805 +1029465856 +1139137903 +1233890315 +369745212 +228588010 +1852311924 +1765165597 +1938276764 +1211256214 +1971307566 +59654869 +84095975 +468414582 +1508473994 +1516061960 +1824225097 +81676162 +116092783 +1012053434 +1586879236 +360405018 +219922452 +1268044617 +1557527099 +1228988212 +2080972215 +1693435300 +430570151 +389238279 +1643229590 +1434624956 +1418704135 +634883845 +521031623 +1788449347 +863471855 +225859900 +1406131296 +654264971 +1437116114 +1229955215 +713919841 +1521212089 +1698369797 +74910187 +889790401 +1375111246 +156586350 +1005883185 +239681032 +1743465586 +1366288203 +459603484 +864026555 +776331654 +1688591697 +797515122 +322283307 +2119161848 +1186753401 +1965512897 +1406303156 +457973888 +452913094 +1927334780 +98939587 +1316384949 +5711032 +1505070884 +1970649921 +1442827146 +587542451 +537086114 +816555587 +138428600 +611996301 +1706345988 +1513539847 +768582651 +564745525 +1753220879 +364564589 +1931033728 +65340716 +1228591144 +559881735 +1753932413 +2026106266 +882165042 +1725610613 +1065376019 +700194291 +984430121 +1523349907 +1153107385 +764281253 +1622289495 +322008686 +769992285 +979876731 +145174959 +65335783 +1567419182 +682261073 +881891370 +1705847782 +1294257375 +440753711 +1071903981 +2062840026 +1005499236 +677641213 +279920968 +789049317 +742981929 +1508512112 +1348931052 +349430694 +1387134731 +83612446 +2075041307 +305027102 +783806737 +911987780 +1828377010 +1936914122 +1676269034 +1303182857 +111439160 +298777671 +135575940 +256614120 +364113455 +1702995122 +938875193 +1246004825 +1261359256 +85648920 +1686758536 +185779590 +1005299 +544774125 +863420803 +280926267 +1333823442 +1606402732 +1789438379 +535270846 +1955833426 +1029089462 +618883292 +1883391085 +1334116565 +1402690029 +647895217 +1015009927 +1192120503 +176680603 +170709136 +1303559663 +475458275 +306285076 +1560173783 +839571730 +2009280198 +351565329 +2085576555 +1123155806 +437214249 +1624851444 +1308935396 +438219548 +22141921 +24872551 +719145815 +1355965363 +1631275283 +361100547 +1891236209 +1439625061 +1390190009 +362635853 +1175532498 +576822926 +1765325882 +1823427716 +1591832853 +809962737 +2000108319 +1762541989 +2113522400 +328082946 +2068827065 +1526212536 +1167654676 +1930623615 +1877777865 +1105747584 +906295774 +167508466 +583115380 +67747522 +605728015 +605257301 +92620074 +1324873830 +1961222664 +1723895357 +1685974377 +1704975225 +1016036771 +928680739 +2067611078 +44085621 +1505503665 +1685453312 +1867513337 +949852871 +347932401 +1720138009 +564911212 +313971153 +2048220955 +486254630 +1840183689 +1068391984 +269394597 +1570477906 +26655920 +1175690371 +1737986373 +609771300 +1243437894 +196230740 +1215028601 +1336057968 +1521104570 +1028767617 +912469677 +1059595300 +586259194 +1928506448 +1988276039 +506386624 +1972592070 +1346296056 +44356288 +1692621759 +148665279 +392288689 +1265276120 +713576492 +706259842 +1166013428 +1199831122 +398959884 +86921764 +1469225719 +1969437790 +113577684 +497432443 +1559940515 +723348984 +1740870337 +1756171255 +1938377585 +929444657 +1129792178 +819661554 +1841914334 +41903830 +1405920748 +1622937135 +2030179869 +1912307372 +1448045557 +1228992277 +1956663660 +993183668 +1377657557 +201468701 +110976141 +2091234049 +907728543 +1276989569 +1143581523 +1306688427 +1363911333 +465323594 +1128642570 +1477489017 +962756037 +541099437 +53354353 +556142726 +149787045 +1991731938 +1485587383 +1279579223 +663909844 +1180018070 +1321483053 +2069830592 +655471557 +1204179274 +1834654316 +2103517114 +285687903 +1643834328 +949217134 +1663345460 +1845303029 +1060193275 +1607095861 +605547924 +189699196 +603193736 +1912236352 +1553610529 +1068517331 +893395274 +883615898 +2031273368 +1434494711 +936970251 +439932447 +1584281756 +781218541 +1925519830 +716377331 +1445128385 +958054252 +2037860384 +1367475329 +1613525809 +1094556010 +1054645997 +1569559275 +1380243914 +550996677 +371292762 +896105726 +248816058 +1431486037 +355717940 +854363983 +1621185234 +958911676 +619116687 +1027312115 +2027429007 +1512511961 +1910928014 +1911218728 +799523024 +700414617 +203667527 +236321133 +1481633159 +2129187357 +952698464 +779277896 +939757962 +843075201 +2146753226 +405800123 +1937631211 +1053915575 +1975359399 +1170391477 +1604912253 +199168513 +2066497204 +1853728311 +1630654550 +274731496 +560608646 +1104356136 +1233643172 +1179725333 +2131668252 +1113588532 +544753646 +1895112618 +877323612 +1344276671 +448043587 +1080991139 +1580597804 +1929676746 +1062694848 +385812620 +561470995 +2002452810 +1228887821 +560740573 +260769286 +1019035385 +1614656148 +88645037 +41943214 +1072084753 +287813550 +2108440418 +778329417 +1918468100 +235688266 +1338938063 +875340589 +1469331439 +371179749 +859525193 +435436323 +915933395 +607154163 +1312759935 +112726418 +1055197750 +246267426 +1693324222 +837390849 +1308962274 +2079136843 +1398861844 +1163931437 +1160541016 +1959602417 +1424700723 +32092753 +1426774917 +1513345760 +74035968 +351376023 +1801159310 +34992738 +1129705440 +1572143762 +270681005 +321159855 +300000703 +1740012444 +692339604 +1159525896 +27965119 +1608273000 +1766680059 +1340725054 +1720999418 +674394162 +1586992480 +1266839993 +1511785011 +748471106 +1198493188 +763163207 +1912402543 +211550556 +575281976 +1189619618 +243643310 +2002056893 +555481730 +317679278 +205949268 +209157392 +352672016 +1335654708 +1781301155 +623353021 +1656814564 +2081301858 +215881817 +201670520 +1093344107 +243846936 +1809943520 +712540518 +1584571990 +1383459291 +1386934680 +1024080822 +502815636 +751236043 +1772551929 +1701308824 +1514399250 +1537470824 +1912859380 +2089681226 +579606795 +9019042 +1944254472 +1135088525 +326698320 +2720092 +1344245918 +679370337 +1338374801 +978063425 +1302723358 +847705717 +911881635 +1518605176 +1049376237 +2005225742 +1762452112 +711836110 +570282613 +1199540455 +2095295401 +1957217293 +76137629 +450627389 +560969689 +1848689558 +4452565 +2075368939 +1238676735 +1917311945 +2017566518 +1818283530 +1926330988 +1814337342 +805888407 +105545660 +1817057434 +2650677 +784915997 +1007948587 +980714102 +2087639356 +1855654304 +1892595738 +1458760884 +757546894 +1750337832 +1073729348 +1469383004 +173136797 +125786155 +1417194757 +2130354091 +201923785 +1867822146 +543840132 +2050613343 +1872274711 +471725423 +1141806430 +1642103008 +341808293 +812606312 +1420950348 +8661987 +1618494720 +1526496009 +1825719422 +1621145397 +163928358 +686184361 +454375852 +104084066 +394355018 +199487942 +1562844950 +1151901912 +1949825774 +489090651 +473801268 +2122962572 +614876806 +1890996025 +2105833015 +816800591 +1611334523 +502189499 +719930287 +1336125586 +973914922 +1861736717 +830744946 +1315723216 +526859382 +104211647 +1324385203 +2145354102 +1630707656 +1002620977 +1619015851 +1794636014 +1688805339 +2073391703 +1898720081 +2083160357 +125395997 +1314081383 +1087578621 +2075221772 +1803172034 +1561379889 +2050700696 +270565193 +1304892266 +2009050063 +1087365784 +768743141 +363755914 +1807296071 +2104868727 +1337670836 +1521549141 +788130025 +505910404 +2048408523 +892341672 +1830295608 +2046278977 +375565680 +685432937 +1517811180 +22718047 +226754628 +1443719236 +1921438128 +162431337 +1569115233 +1088035863 +1250009958 +1496853357 +743724250 +663906199 +1400070405 +1014289443 +1968798465 +1261636820 +2101655227 +590057958 +1625392734 +1761467651 +547443037 +815579923 +1135533144 +1335573063 +1321490327 +1036458019 +80431087 +1004302287 +935253348 +455996768 +1689735225 +305580880 +478714815 +1916489853 +1749300116 +252669295 +2078921191 +1170931702 +1340705158 +1181447501 +520301411 +2084429408 +1845353701 +1920371817 +951235203 +1666668518 +1034524989 +905406783 +109242829 +512434076 +519390786 +656685866 +1328013999 +1654923930 +1992258929 +502020678 +543898301 +2072690017 +1506322966 +1479151649 +381203137 +1048574543 +1784732529 +859917952 +817580748 +1386548998 +1112587247 +749018291 +409997052 +305808757 +1930465793 +930298463 +242754518 +1628335846 +703186632 +1193989721 +1147520716 +1737711622 +2099396504 +1256763545 +102662050 +471303642 +1913449412 +1430676049 +2126227572 +1758224693 +1932696727 +522642225 +1683431062 +1291536045 +2001793874 +2064634199 +192626940 +1639042756 +777068503 +1010207689 +878108106 +1889655750 +1759225980 +1288105158 +47980860 +1542208125 +70919973 +290735378 +1023060323 +774106606 +1484725099 +23097392 +364334580 +1436637956 +1279860937 +466996630 +1907941598 +1045826701 +1897672679 +1886685523 +656567747 +1682885758 +261844100 +192515161 +826938156 +116154327 +109665713 +1019565096 +1755197083 +886734216 +2029772785 +485821541 +628906319 +1641515118 +1773926699 +676887179 +1036239595 +1844846672 +967622557 +2059299919 +471469630 +304864008 +2082397311 +835804210 +1741501964 +1214774600 +1302800840 +1501959915 +113117654 +1052989871 +1241161790 +769685401 +588391982 +1503005890 +962200562 +1415330138 +1619160217 +1071866275 +287411586 +1226873652 +1958600492 +169700724 +1712695193 +440023163 +1811215842 +1339138244 +1116910342 +699971789 +1036501269 +2084532899 +611788060 +1507970899 +241913259 +546701723 +196291462 +1983415224 +1761476324 +1499092302 +1337891491 +1874593978 +404598526 +431569633 +496795731 +992990508 +1934575523 +1458996293 +260836998 +1406252093 +383378921 +548248584 +485642097 +194495765 +717949308 +50853643 +634518928 +381681502 +1389991887 +1751429270 +1081653292 +279009508 +1688478521 +1693441352 +1786980408 +1930391780 +92659428 +1983271870 +1766323356 +1854135752 +1334880524 +956731199 +1581246082 +1739479050 +1388300832 +2078041813 +584985910 +1175392708 +1389554458 +845822908 +434161153 +1772933379 +1394071493 +919803250 +1967429144 +2112020801 +970656893 +454464424 +346218656 +213165133 +58410046 +1427871948 +492174641 +1746888567 +973829652 +131671401 +1529796700 +1066489080 +2114943271 +1148636408 +773141184 +1302340148 +2105367608 +206903618 +894335550 +1346184792 +137461783 +1479321461 +374093852 +1527016242 +177660721 +808255005 +1152465973 +1571732214 +1728058256 +972411470 +1536269368 +551231501 +1426875894 +1882488024 +764396634 +1485285941 +1162876324 +1256571276 +1084690860 +2136705976 +1388242677 +467003912 +1055711409 +1355702301 +1615640321 +1828852593 +510558801 +1573524281 +2035756212 +1404894351 +772225425 +25734347 +736732164 +1146319278 +1552750589 +914392886 +1954574283 +557732915 +338641452 +1535148891 +1530144385 +1874910820 +2086380393 +809536631 +1609915196 +703293379 +147338924 +625307872 +1959864655 +1232029785 +614530201 +1200623685 +1699033697 +1670241610 +408842338 +1167190370 +1351610555 +919401139 +593231003 +1239883119 +176811842 +1365456429 +1265617467 +913544007 +364292059 +670884408 +1827936893 +171382694 +1228617323 +19094697 +1706531586 +611278060 +1894005518 +1645428331 +1420814692 +1356437066 +201238062 +1568153616 +1981744939 +13619070 +652699753 +448791492 +1214242755 +204249803 +2119033102 +1623085093 +1371440173 +1323160009 +395002584 +1964671177 +415559481 +571814426 +1182643958 +1681176948 +1485358433 +1546936017 +204577708 +1165811678 +1718318711 +1433195032 +1184906376 +1277366649 +2044473092 +931428246 +775311332 +1317804136 +140381664 +976549395 +738474105 +2122126603 +990168465 +1391173858 +423434447 +56927572 +1595423661 +394983901 +1680012665 +819380187 +1718143911 +2075015249 +636567716 +2133703392 +499346027 +1819211674 +1667396692 +1984704461 +1218664043 +1871974400 +1003032491 +789499106 +1157685784 +40455219 +2066865756 +1054675229 +971883465 +694693440 +224995717 +1112265130 +1671242835 +963469822 +1086908085 +513927652 +207160033 +1510342533 +570855224 +1802583694 +1905326434 +103384241 +474480233 +1475986697 +30915842 +1111047949 +1462206441 +530261870 +782775975 +982119485 +367482683 +2001440018 +706610238 +1370515174 +643455477 +1864296022 +1410970394 +562837585 +771487603 +235370211 +1257531025 +996483321 +1347635341 +781290213 +1959953143 +287059779 +1295217865 +19629528 +1797402312 +1866073090 +1822213223 +1555245098 +1969457331 +149209808 +883748148 +2000373174 +1260257758 +198470941 +383151396 +2043033733 +1180590427 +750634079 +1896990104 +1887200665 +2121149253 +392961933 +1604013039 +1384635999 +955799518 +228016995 +1620006211 +65846895 +1224500316 +820157904 +847137108 +1036969811 +1107217683 +2142354974 +1056599340 +757136347 +1860944416 +731328915 +164897798 +1682918099 +880538723 +1048645946 +1535807625 +2140796481 +1247116887 +1918959021 +2036346567 +280223666 +522109452 +1785853023 +19940683 +495775058 +31331308 +1623953723 +1880411057 +987130826 +1851970718 +1352933620 +1052977721 +928987386 +25607877 +1900114830 +1965957197 +1132825560 +1894986156 +875072889 +1889961908 +1608446924 +1606401804 +2054859706 +1143881375 +339456880 +956022004 +532205353 +332769713 +55655243 +303680726 +221632632 +335878910 +825790179 +2007485655 +355819593 +1321565237 +2038816963 +1979773316 +1054492646 +878464141 +1684260386 +259942619 +1931441863 +465764124 +285550496 +1684073045 +284237674 +1418376056 +1431575553 +1159310563 +1160854316 +892538829 +618228720 +1068230374 +2036420204 +957685600 +2024252378 +421141909 +1290455313 +2079907622 +724822636 +1512087946 +268302884 +1550612815 +1372089953 +624122477 +724694404 +1263423269 +456412146 +1779187050 +2141887410 +2140672532 +2039129669 +1925845625 +458953009 +177196517 +1462435022 +743190683 +1595572574 +746526927 +1902501246 +608943242 +1639065756 +373246318 +1677173617 +1528002313 +1330931918 +1553942347 +1949144222 +473903584 +1486366321 +526483210 +1985991530 +1754669205 +2077096025 +1210597835 +231308035 +654306781 +326537456 +687720181 +286010184 +320941219 +680909065 +177656205 +99303196 +1139862074 +354852723 +1561738219 +1883052757 +1950425297 +160781498 +1638070356 +411884891 +1799847255 +2011316674 +2089058508 +1180365920 +1194764945 +1495517208 +982026494 +1668668529 +834399881 +1508509705 +1507176411 +441585439 +1438122082 +570290598 +672893474 +2092428864 +896828055 +1360613655 +230955400 +1217769274 +2041522720 +408611605 +1317072470 +1033901147 +763464328 +731327041 +769470256 +566405977 +892108540 +260056964 +978290869 +544472147 +123889991 +919865729 +1724838067 +1318654936 +267899289 +559380913 +839839817 +1102299171 +2067890618 +199532580 +1543884610 +1358529053 +769823178 +69294436 +1303474269 +1666651233 +1429908091 +1534429669 +736936859 +1323947163 +1943041274 +2054009330 +210364662 +559021955 +637852723 +979834919 +1125427932 +1529961263 +1239891883 +2103718801 +2074433410 +1363781874 +876100883 +1651787829 +534953162 +1144000172 +63685095 +1374792979 +98815695 +2131575713 +1574325559 +1642700305 +1342621118 +196665090 +1711994741 +498611739 +1863316323 +994419184 +2033041408 +452769535 +170882700 +1828599035 +359295217 +381247362 +240137342 +997147940 +1361082281 +1365565274 +379625556 +453490517 +1321800428 +306575318 +1817272391 +50417663 +1958363148 +204741906 +1194417835 +2022048243 +1579534885 +1293233531 +2006140308 +1006376797 +788450188 +1201277779 +1203041887 +352961282 +1699889518 +918874562 +1347380466 +1585447279 +1371644097 +1518263166 +1266562666 +1730939314 +1899510529 +1506700008 +580603607 +1113109162 +724781634 +960229163 +1566599679 +2046582062 +1266804481 +1236388423 +2096999725 +1077683981 +1441130329 +1143933913 +952248576 +873181566 +289683796 +810905237 +1879558363 +1078133984 +2012183016 +935116602 +1431095266 +1564588886 +1853991165 +630992085 +1002552517 +1078151614 +1771603 +121631535 +661607281 +1901282132 +1628331543 +1242210888 +866907647 +205629530 +54956403 +286023678 +104727944 +1321760884 +1522412101 +54244022 +251961218 +816058782 +1198177935 +1204209794 +1689240349 +1487861731 +2015115031 +1421315064 +418512067 +1879814399 +208948019 +1849607334 +1296919638 +2062939184 +333115771 +151988507 +993607150 +334887374 +273620043 +1655214431 +88685859 +1901951586 +749941671 +955593506 +2107581116 +804898074 +1241617184 +64825413 +2126658959 +616545638 +119069435 +231136529 +1432604420 +1317247370 +1435346323 +974361121 +657625453 +1302977707 +248192538 +1076137520 +1035308458 +457140557 +778261206 +184744448 +372596093 +1111376977 +336732956 +1366203243 +1446264352 +610352999 +873934027 +1534950211 +364820937 +1623875698 +343060069 +324918406 +281290125 +1584677253 +389743819 +260465436 +53739243 +508813254 +491601965 +1486343664 +1826060624 +1926948288 +313221137 +336202429 +1082442347 +561413675 +1412339949 +2117750806 +1018554232 +43117508 +155011606 +1391150325 +1154494485 +491744562 +609869921 +453275189 +1102097561 +1483803948 +1988225400 +1466918499 +960195998 +183801821 +1791836905 +1241486123 +1768479075 +34097076 +1501951559 +1822218318 +542910330 +1993553524 +1161078334 +221487306 +1773018165 +1474299472 +557689735 +707976864 +2035713147 +1970029684 +678244022 +906783732 +2013147192 +833255629 +150450409 +1020158030 +1325000191 +760320330 +1473433219 +279614105 +96640630 +1314174972 +1746532604 +1056836629 +1497976793 +1390885861 +150839104 +1118972220 +1424982937 +1652790664 +793706891 +1967893267 +1498860540 +1954785225 +41896925 +1124395057 +1281601049 +599586660 +1832371922 +1169830549 +422132696 +363132296 +2076614281 +287796241 +1196387925 +79581042 +1307954271 +373904469 +839901373 +633903842 +653518574 +936542003 +1948078814 +252567530 +1993378632 +1298571960 +1643453391 +2144217737 +270060532 +920952680 +1649524753 +1063767423 +741362299 +1000901645 +871069001 +783259224 +2125296703 +5186402 +1382845884 +1810184977 +1175016951 +1804978580 +25833625 +1104147584 +2092774821 +1222221551 +1183728627 +1253245444 +1596126020 +2023630000 +1887149287 +102160946 +812688355 +1687744453 +354728476 +658583340 +838832765 +1998181867 +655317429 +1108893298 +771650899 +157358534 +25177073 +1513013198 +1158260179 +896246074 +148788774 +1136073234 +901432477 +1531634658 +798774563 +2076449428 +1189129590 +824608189 +1033113365 +1134420764 +2046829740 +69358344 +240182560 +1495472112 +2092988344 +2127331847 +1597633058 +758193051 +1667592653 +1952361534 +1416776391 +358941770 +1803059753 +2072093820 +1467835068 +427227004 +81968706 +1493012142 +1940240202 +1240228886 +241774568 +2089028976 +228818472 +1143207045 +1473179986 +1027593036 +1072172826 +514825928 +1852201225 +2105286191 +1649246692 +1751547317 +27160887 +1889429253 +1099535781 +2120149231 +1869277452 +549685191 +730858634 +1389386457 +354563077 +151378 +1748328228 +10139182 +2072245198 +1068679648 +437366186 +6730257 +414208142 +230122740 +1246959143 +655982711 +171668068 +1475777615 +1799189756 +1644848054 +355887003 +723878934 +12190334 +60604580 +681681477 +1661437027 +1812151897 +708842364 +1403382632 +764204030 +681507947 +1125176436 +1313889221 +1412366582 +367079246 +1668452298 +1412517960 +2115407474 +1678591480 +1337279510 +1036603474 +2115957666 +1344009767 +1450811617 +198596758 +443485262 +2106794328 +370264826 +1919262878 +1758500436 +2015112880 +127666233 +334895723 +2027303215 +188270814 +1016577200 +1541256594 +2000422711 +1725419565 +797155578 +617143094 +259443864 +1922332014 +1931032315 +1671810446 +141927612 +1452000966 +936844758 +109851438 +983108798 +126640621 +1146454913 +951582817 +1470650388 +449782882 +1150179575 +1914135651 +409093562 +1520444402 +1685914881 +20110350 +1388073634 +1813581114 +355006073 +1267893201 +2001851928 +1371583274 +661666147 +1854790992 +949519191 +1458821725 +324450438 +1208963055 +1233670092 +107999105 +733289854 +1375597704 +1560000071 +1670134612 +1485449143 +395625222 +1796775233 +484420408 +1347208039 +1119941974 +934203290 +349903966 +886593977 +1343296852 +1870348368 +425025210 +1363407202 +1110938355 +91122676 +1718413276 +231347908 +2092974605 +942512902 +893014056 +1800281949 +1892032093 +204352133 +2124732387 +953511500 +1438022225 +85247844 +1686801354 +666136282 +1645247916 +1209452319 +4101777 +2040873138 +858743904 +488522185 +1240597529 +1978685878 +1422725475 +1590501495 +717796207 +618538679 +1313366216 +1142821417 +1981945881 +276820923 +1233944094 +1552875509 +508168831 +1179435051 +347904763 +1401182887 +832233352 +92453208 +1605535021 +809482091 +1045964709 +896073598 +894729935 +585282415 +1562209880 +392494203 +1794734734 +1566311657 +285883693 +505994991 +2054833842 +1526481222 +337197221 +1330075669 +969499070 +1054993429 +1948614348 +135381638 +50331198 +1783076582 +412202561 +1284275292 +1188468443 +920371392 +316226695 +1536373207 +174070632 +1148460047 +1628826415 +1779605653 +1957942138 +527307476 +528195603 +705188426 +1112589892 +2090405484 +1097682629 +759840978 +1509233493 +1383566323 +1265835969 +1416583688 +762563897 +1603033191 +599175709 +1732062967 +510542972 +400306410 +1867444605 +560874170 +35899344 +132163518 +1845149463 +1224367787 +1052534911 +13892510 +613257346 +1226605543 +1162352558 +94600114 +858727548 +972811048 +621907590 +1386923151 +1677999474 +1734497482 +1329844987 +628198456 +346854813 +691594833 +2011764779 +1612690782 +2108178521 +626845028 +1068240325 +559870582 +211424348 +1578783297 +960176992 +2078868953 +2139657468 +996076336 +63548824 +1837323283 +72960476 +1116083735 +1851215793 +686217822 +195205630 +866084703 +780817936 +1053933178 +1838895752 +1402725527 +293372681 +1369411578 +989739361 +1623217669 +1997610034 +1336594174 +167328854 +1861891165 +801801309 +128023727 +341252546 +1870041634 +687894309 +552676894 +1301341284 +1648071302 +484062199 +1293515104 +496663990 +547611023 +983354739 +569624466 +1663694758 +687086884 +1255842289 +1858900388 +1553171588 +2036660225 +765349918 +1244583692 +1291902104 +1058722600 +466511622 +134157818 +534456621 +316638009 +1470751992 +701785475 +31045526 +125069653 +829809202 +372298072 +1995111288 +1517703511 +924974966 +1148968924 +1018291165 +1409037166 +295000380 +1514955156 +1956648189 +1278355119 +2084579622 +1472859300 +1965442003 +1192938263 +1184276040 +1371129943 +1082114841 +1949625959 +468229987 +226533297 +860864911 +934741610 +360691115 +1395321532 +1251379619 +1831443108 +2097107007 +1282425145 +1956512761 +779432561 +1654723218 +1804140401 +149652424 +432214536 +805625677 +1167943590 +1841251702 +1100626057 +535415098 +1650416244 +231497528 +472511072 +975791896 +49455884 +1665449336 +12584288 +1420585827 +600080529 +1962210247 +1888815815 +826613826 +675591510 +676073777 +1187304942 +2070913042 +1927453396 +871264402 +2020536401 +1062394893 +680293515 +652485314 +569634463 +336950269 +802137739 +1001849000 +1142575946 +1970081329 +695617054 +95718356 +358012779 +198549650 +327215884 +830523851 +1174341546 +376671768 +348489539 +1186925835 +1797257596 +948570068 +1001652434 +1538589763 +1775183895 +1677243945 +67179892 +815005189 +1600673339 +1994633288 +1686269591 +1473726093 +909544533 +219079458 +2126211407 +1479178997 +556029727 +780865498 +333544349 +1698605674 +603463179 +1029161403 +1794324030 +961475958 +1227711054 +2121539914 +1791999810 +254568952 +350728035 +2140489349 +1441494787 +501983 +941575770 +295663574 +1539091746 +569276017 +1972907519 +1606271638 +1384281206 +1426097210 +1453421278 +923067149 +752339655 +215482163 +1142146607 +731067415 +1694661160 +1698176335 +1511932913 +2028205509 +1249298361 +2115396093 +909883265 +896138743 +929388403 +2137594319 +870195009 +573904565 +244679623 +1220923044 +566910267 +1686174411 +1221425027 +1508486037 +1981837985 +613033125 +2077762054 +1807261856 +71821115 +1314559612 +1085875418 +1525242393 +90143113 +1838215074 +1740724557 +1232289720 +421798841 +1287902069 +782982407 +1933731754 +1168623931 +2032280768 +1901644199 +2078507196 +780935863 +683548955 +2068617867 +1651130873 +1257453520 +165813842 +724570269 +1824363787 +1851988253 +1945995297 +1185366176 +1686342590 +411544774 +1115644582 +1346120798 +483365890 +282720546 +284512569 +2008608283 +372863659 +2122727643 +1601849192 +1605153380 +397042836 +742267614 +240652139 +183290942 +1910891545 +125449260 +2084935142 +1841915093 +906385123 +621000449 +1763049312 +410032348 +1878453969 +1928863154 +1134602618 +1555334109 +1633367760 +933114267 +593216637 +1172226702 +1344659041 +1708861220 +370863853 +1828024931 +1991581766 +655376422 +1689149567 +216961778 +630620417 +1143515111 +1822115158 +1027663253 +1885782725 +2062767297 +1210954195 +1649190622 +40732909 +1148405689 +1343622067 +947118033 +1769406138 +959187731 +1357150381 +1500376460 +740567238 +344269351 +908226921 +226451350 +1277383618 +1501443558 +1398678052 +474559012 +1062821130 +1769541905 +155100295 +906919249 +277434679 +1844249862 +1123881027 +908055096 +840281326 +798512537 +1935718349 +578580403 +713796186 +999188897 +80287378 +754529096 +110938 +1423909445 +1701647129 +1769517077 +235613529 +911313862 +1122409889 +976180767 +1255583214 +2030636810 +1202632117 +385483184 +1384596720 +453826521 +860042196 +299934203 +75884779 +1015142492 +1206853452 +353319458 +711908706 +183250831 +1261374555 +1552190032 +981763368 +1049609256 +2130770436 +1695559554 +2048798153 +63574166 +302605002 +2048909092 +1487483611 +2004252131 +1670942521 +1723097140 +768082346 +645868762 +551794259 +2023665560 +529021924 +1754426376 +261665096 +1913618644 +60769250 +1121707293 +66069199 +136654029 +2136849785 +1272922651 +489973487 +701274843 +1456173482 +1751348042 +105981228 +290453202 +653473651 +89268016 +1986012757 +554788156 +152842182 +141134111 +456213600 +1640325793 +2145386243 +2127156121 +1215939286 +765984941 +625541235 +1767733545 +642166853 +1154563159 +1374676274 +903831949 +920698156 +1435445524 +2025539242 +986767355 +1572099553 +2014905379 +112206359 +2062073040 +568696575 +1568379841 +1665937435 +674677803 +1858833044 +171927438 +763945819 +1697362153 +726715594 +916788001 +1838496264 +1182929195 +409630146 +1836398859 +1162601668 +1625569432 +454900152 +1788142904 +1245819330 +1097067005 +795222415 +473011956 +2000898955 +1715920571 +1908457480 +1878954549 +555204279 +1333073385 +1746376281 +667410638 +1247662777 +167589208 +88306831 +766116564 +842267011 +1947139875 +938044002 +1606212830 +1497018380 +1664759597 +375517183 +1188030997 +700205144 +785147329 +876946208 +1862806812 +263233114 +1331846361 +1503466068 +1509052444 +281429718 +151204836 +1982064400 +134845025 +1867125407 +1743038232 +2013799575 +274846038 +928627969 +1612692208 +942256676 +28807098 +1780281416 +1030563508 +794923663 +475064779 +830219735 +1732967665 +2081277609 +179754468 +1250243614 +309311144 +1367785465 +1950448758 +1094458473 +97248025 +1665771923 +1357691587 +1429094386 +1021754343 +719260383 +1710524105 +1172959179 +553841135 +1845369130 +892600939 +149395719 +1711685057 +1167446977 +1078023688 +1176893617 +2109703654 +1106830787 +809691385 +992783514 +1901754450 +1284756164 +1823003249 +1487238467 +1218550125 +2002757717 +589998434 +1527861269 +1223059534 +392963544 +474836095 +1320307560 +2058735467 +1832527682 +601918298 +933006163 +404304418 +164958755 +2105965342 +958145553 +2010327886 +851082633 +1107541273 +1574529295 +2018529611 +38081313 +603939265 +1980749617 +1144912100 +1413630650 +826049483 +899182902 +550903167 +501569084 +238937722 +1769453292 +356843154 +828936156 +1149830914 +1579902688 +1221899700 +1624667009 +752726600 +1133151520 +1309711043 +1354644899 +2066157683 +1714015461 +1519603654 +2024639377 +524677367 +1382447892 +728238363 +1632218640 +809493540 +599284326 +1670299953 +1413432805 +432550295 +667728406 +679579807 +1258599778 +1566911308 +1230482974 +1760168862 +1805849030 +852452619 +2117012016 +487301538 +2002283533 +1549431057 +1709201239 +1479466894 +154674009 +694869111 +641694289 +1509318908 +613543146 +208226103 +881438915 +490698875 +732903470 +116403159 +1218937238 +217638462 +925896699 +1818221564 +1887938415 +191845856 +103288211 +408183173 +871425664 +1361887989 +1975094482 +2101908638 +974573204 +1633459864 +806877609 +944101572 +2120761403 +661677494 +346048981 +1682478994 +2141144388 +500722991 +229864457 +635355030 +2010041899 +843407603 +843581133 +743997166 +1334106478 +1576484603 +860400326 +405560069 +1794123065 +1786297025 +76297985 +1534577832 +1978142882 +179586197 +1942761006 +702084898 +1541474186 +1770371840 +656509888 +368563742 +1256348056 +1463387498 +1312665315 +1229625811 +2125064992 +1658714296 +764621157 +2118725733 +11953639 +994485614 +606597115 +2021995539 +1837893217 +1450178248 +618509057 +1024516048 +879179203 +1478909383 +1430076117 +525818620 +1117722761 +1506374102 +2060396452 +948381995 +1685960299 +1855673810 +1650466893 +1079950838 +1478562002 +159493133 +1448514580 +587426411 +1622880631 +613696247 +1817052222 +1600461976 +124926896 +434189732 +1571704061 +136880535 +1428675346 +30817528 +11392426 +1119084916 +1480995776 +629901484 +2143600964 +212691331 +2108810867 +1426193433 +738509951 +1079049980 +785083887 +651422755 +2027431975 +323560539 +359612918 +1530415220 +1403511377 +1838174920 +1689908354 +704542309 +278117683 +1165305337 +1318238557 +2095169906 +618283665 +1443165453 +381875990 +42504078 +1580045988 +1810551336 +73321606 +1591438415 +782152604 +1554317382 +73856251 +778269920 +1767008713 +35183470 +56979705 +358035016 +1114233451 +842063593 +1009457772 +994181778 +1165624132 +1369070690 +377113351 +421651861 +1059761962 +2067021705 +1126194170 +1337879646 +1084843394 +296949079 +1285565904 +1703127060 +1740114532 +1667441894 +1745631138 +1172676873 +1330509582 +1818952745 +616631640 +2112662187 +1225786479 +690487891 +743448459 +845311545 +725671361 +800428165 +1203346561 +1839904812 +1642491758 +65320685 +686602943 +660632242 +1434391375 +1063716294 +1082284103 +346669690 +983254351 +60994625 +1684549336 +2068097745 +357943705 +822631592 +1623741157 +2098058237 +342589838 +1221888648 +1123251462 +1673099420 +893357745 +1739883102 +1638277959 +2119144224 +282887345 +234242771 +816972121 +1008558707 +1034670936 +2020318683 +700979871 +529679046 +2085639368 +1387582814 +1190311288 +1372547096 +303815460 +125111743 +1719216786 +1287069811 +186106368 +1256282474 +1207683909 +544050073 +2078914066 +683941418 +494624663 +274020256 +1905830066 +1617876125 +1947119676 +651704163 +1210275580 +1437913988 +623364740 +1493162925 +1672156759 +1440336861 +354237984 +559344047 +1313171896 +1055217856 +1089023093 +1251327617 +295317022 +131850733 +476391065 +599132483 +256962476 +48124203 +1886202294 +443068844 +1304406677 +946402555 +987118918 +1235837095 +1630343974 +1481743581 +1509857351 +1388690392 +952136058 +1309493379 +2040394556 +14927990 +599923719 +516275648 +1508090916 +124596830 +1956612509 +1862328900 +683940877 +1122300758 +770063108 +1772963970 +226144727 +1065380131 +1904814703 +702535792 +1664512614 +14293531 +750659995 +1403231260 +457362376 +2055066672 +202150168 +1444481294 +1143420119 +1832494142 +778741227 +505793822 +1073700886 +1730877285 +1815287201 +966611794 +1745805276 +267727273 +1482887442 +1106412544 +392324103 +1292016304 +821257796 +1076264981 +266833414 +1591320905 +701745303 +492978141 +509217388 +459076359 +1195513933 +26246354 +473369890 +1946173928 +1429477614 +930732266 +1853756952 +1631627782 +227729912 +849693423 +1316638276 +1006471139 +1355487245 +242855515 +589864777 +1023290798 +1209467309 +188186405 +1291018071 +544871104 +1294598949 +1683342175 +1836887408 +2115856745 +612123508 +2103720822 +1559694002 +1313868811 +449215315 +2068911390 +1772945170 +1644729248 +2095157744 +98831413 +1443419528 +1377151711 +1029563679 +1149692832 +861295845 +1257293592 +1999386255 +30450474 +116281083 +1207389852 +273305989 +706145860 +83197002 +1482773298 +894332265 +1374215074 +2027644402 +41447566 +910073601 +1717048162 +9820664 +1522197109 +1673285336 +1569514666 +688582272 +2122500651 +1490942409 +314043795 +1619746251 +1438616505 +412875208 +915682131 +668284568 +1442438887 +2065374963 +1529580414 +552248831 +1917277570 +1560030888 +668529915 +977183774 +1833336877 +1374675775 +1060380777 +1168626527 +121524393 +287112203 +1048787282 +162971959 +1197185804 +618351796 +172792623 +571899265 +144153485 +1742307290 +1260481537 +119170488 +1085766051 +1574525332 +1738916740 +376898908 +1987400540 +507115223 +1045183477 +1282355780 +425006539 +427280243 +1834604611 +194800461 +1987311131 +355650878 +1171984236 +1673164360 +1730326654 +84881365 +694307239 +1851851047 +371993568 +1743094521 +2014823006 +1569179372 +213962670 +40131982 +2141078637 +358116155 +1782439272 +1254076526 +477286643 +720721675 +681118211 +68719735 +1097620583 +521035103 +575834959 +2142804060 +1803390883 +1000841498 +422600655 +1490511847 +1195641959 +262428138 +1846162725 +220142547 +1935592498 +1429005731 +305023912 +482416090 +1133373130 +677017480 +78026963 +1000712489 +98713204 +291989633 +1040844471 +92308193 +650105788 +675800095 +1346384720 +1127392432 +1396521770 +2027502931 +1196112167 +346658705 +401054386 +1771947126 +341979118 +56961622 +625304976 +764579773 +1547473469 +1820946936 +1027007912 +1246152546 +2041089483 +815116762 +527674630 +198629748 +1297532852 +1661047760 +875647228 +1375559816 +514276601 +974360433 +1667549449 +1555121072 +1066668626 +170171590 +83437519 +265569698 +1297564022 +1479959289 +145588981 +346192541 +1826617995 +546643368 +2118139668 +21113465 +603604990 +595960996 +785693238 +3594811 +269424284 +1812701150 +1249747357 +163030120 +480334265 +1777421987 +361659868 +1777867117 +1290986100 +1237307096 +1005943285 +1805262701 +64183881 +526009087 +1212900126 +1130852508 +696180677 +1296337645 +1396422206 +1993744699 +628813287 +1542011188 +192453592 +307947634 +2088654556 +163109612 +329061099 +544775898 +759070609 +1114754337 +548370709 +1028494893 +779971840 +1798118066 +1191525013 +1260306105 +1428056406 +1553184881 +890689574 +571558858 +643008330 +1896632860 +229337911 +707192211 +275158299 +1442238037 +1838044719 +971338976 +591092035 +1086983278 +817600027 +1219905322 +481510818 +1010053619 +1527852956 +422681726 +1173163232 +1856914055 +967457624 +1932233841 +824184744 +1515828333 +813245086 +1604156584 +1166462751 +2004770100 +716979041 +447035509 +1410471333 +1607668616 +1018594367 +2053479663 +1356817828 +1247932279 +613188227 +1631976127 +542686668 +303749298 +455831455 +1133778703 +1390732576 +1273431482 +206200377 +1872243394 +136001453 +1734053333 +147441472 +1309164685 +1443483740 +1114899096 +1093914878 +120184837 +483243781 +1907159965 +1724341421 +1649706533 +1764446417 +293836815 +2096742042 +1027434102 +1901505431 +967852762 +933430118 +1110839611 +68301393 +1546618345 +595332090 +610988061 +1850367643 +1051163545 +1744766765 +1093616572 +177111379 +1950967142 +818376318 +313112832 +1537536828 +965817791 +1622277518 +833536920 +2080716887 +568708748 +953721757 +416477021 +328385065 +530579531 +2066183554 +2092831482 +824416346 +2015441948 +972781937 +578438129 +835811062 +1906212055 +1689277740 +904112455 +1305346752 +137126182 +1515100517 +1008230747 +1188289727 +1112383634 +2101847319 +1365401106 +915867128 +772739990 +1678513938 +305920308 +1738557781 +1153307808 +1139457229 +1671791020 +1722016557 +2093178986 +2088268041 +2050401622 +476274869 +2006967947 +1995749457 +1300691215 +1874926248 +821047746 +1879129344 +563253662 +579776153 +1420923436 +1467366118 +1885122905 +1558049618 +834982987 +745870004 +598855697 +1947366621 +700233676 +1964256803 +715750101 +1472973666 +1495287094 +1021670410 +1064047799 +501111254 +13643991 +588355171 +75644163 +2106822977 +529139565 +2126045786 +435614199 +388623864 +1974311595 +1736305414 +116066464 +647875693 +1467951111 +679320127 +1227651846 +741390899 +2146686245 +965291103 +151956870 +834185584 +1711161107 +750812567 +634068557 +263911135 +567585723 +1349818658 +1736884801 +2062872817 +224005420 +653448952 +416500423 +237649411 +1241804124 +492144587 +196988741 +1770943689 +470706725 +632602940 +12083905 +297534672 +221424706 +128150370 +945410365 +1689375817 +807470497 +25578563 +283283069 +806673094 +990869666 +435239939 +1640858678 +554547125 +1186052506 +127443587 +818458261 +1753638229 +1477262245 +407859414 +1669027398 +1701267666 +1061308367 +2085527822 +1938917077 +155628843 +430188761 +2135905818 +1926572532 +900895486 +621025110 +1938656437 +1198430158 +842449817 +2066806807 +2143840523 +384341986 +726793656 +21935438 +667625055 +1533466750 +1012805104 +1102864994 +1026841780 +1567352229 +141433853 +1154285367 +238326842 +1895072082 +484063965 +646186257 +1416615833 +37847983 +1707494624 +1354660007 +1976765060 +1863123467 +1784848768 +1965187231 +1642212351 +538260606 +438728693 +1433385140 +1736690764 +1281178510 +1352708300 +1733047639 +1665520497 +2079501956 +1754983077 +185661904 +1465485059 +620304533 +1288526899 +344843191 +40173114 +1429960752 +1499128559 +278499957 +1177549186 +1983192524 +924686214 +446681371 +2021040507 +484697190 +1801341378 +1850321919 +200337009 +1438706498 +1668025502 +1842549360 +1976967104 +2106754196 +1128450852 +1566174220 +1240449058 +333675504 +1151738211 +758485907 +265693813 +759237640 +944147812 +1731178872 +1379542173 +85191063 +2076022063 +1419715288 +1515151815 +1427666974 +1698215245 +545217353 +1263375850 +475417811 +991898725 +1136932709 +960115001 +645756455 +839770981 +1160452010 +2084462954 +360312835 +855517722 +1913946410 +319583383 +1983968574 +1332636983 +1560032442 +170160431 +336891546 +171034701 +435854244 +1096129187 +1115182513 +19549468 +328187712 +1200373576 +2095571531 +1747903000 +568041743 +1375754858 +1298634597 +1113259097 +491647060 +1774052408 +2105157822 +1628579770 +586683761 +603430629 +320867103 +1747135771 +540409935 +681179938 +455169845 +306872698 +1000763322 +291654772 +1639509681 +413312116 +461815203 +1976401227 +584346817 +897669447 +925046766 +1699529331 +917218915 +1253234479 +752419259 +865306798 +853653831 +1320461003 +93578008 +4804781 +286236452 +585225069 +1778857189 +243910626 +66321191 +218057303 +847341255 +387188294 +1965193074 +1387751191 +1068368232 +272879272 +1694623889 +2069131554 +564534044 +1186649922 +334960022 +1026349247 +1015567501 +919306840 +1924018694 +1940614268 +471352523 +693753961 +1046365099 +1223771782 +1559060759 +1900018930 +396749137 +1652638768 +1904823711 +682985589 +90380189 +1536197253 +926896215 +156701380 +1754254556 +1774237471 +543889674 +1571963982 +1014505014 +1612257906 +1844843254 +561645255 +1533905813 +261893650 +1748295177 +1868865835 +1288242897 +616379030 +640689027 +1064777943 +409509650 +1112041550 +1758531904 +1455874749 +188329685 +1170109016 +1208410032 +585078822 +675264136 +965750095 +1268064412 +765644325 +354463700 +47476979 +922345705 +2108718256 +1821714450 +1466235379 +1533198591 +688735816 +931009637 +1230558197 +1250381071 +317431802 +1492451848 +851192600 +38813990 +633211097 +1467571631 +679503017 +1697989041 +1877081281 +1791544568 +1309037297 +1185472383 +1979874253 +331662665 +246398767 +417469427 +1006926801 +1212148862 +1685533839 +1772571126 +1566612563 +1733010819 +547433183 +1527847171 +1407241621 +2013668562 +913562114 +2095977438 +797194552 +2144120312 +1198874861 +1114626354 +1489088512 +2050067462 +1153440344 +2122299609 +1370155445 +1832943362 +1672805002 +1099753078 +1477004282 +834358652 +137741813 +1309394887 +1166021317 +384140580 +1726864314 +25464471 +1596289443 +1264914506 +1798035597 +1015418358 +850441677 +197985133 +395781881 +110199650 +64170047 +1309343996 +58693440 +861364599 +1305980660 +1257568302 +1975990954 +647585524 +1160152116 +981947650 +622401485 +382823913 +667407364 +147722840 +1482576991 +2144411646 +982081492 +1620318805 +1306322885 +619161 +2004459385 +885703552 +26083632 +1453265180 +3134410 +1824119230 +321199890 +853576087 +2022104363 +716981772 +963775737 +2086274410 +2026325768 +1022469178 +800155362 +1184822780 +132553832 +628662668 +1832408304 +1292705948 +1610610318 +307326141 +1675529861 +130534035 +455048981 +1010623204 +127462033 +1437130473 +483458361 +1433784919 +1437749635 +340434099 +172004823 +1463833267 +1793699279 +175139233 +1140468849 +2114899170 +1028715320 +1015089564 +684397294 +1992491057 +953880327 +563239414 +867476587 +1754035689 +1748062194 +1000030419 +235214709 +1432986850 +145252719 +1845825027 +1740312991 +1820782580 +1976359062 +47878325 +683922137 +2103821096 +1485008798 +1167380498 +1390122367 +775274785 +1507814597 +1562127190 +91624405 +1154030229 +1737266423 +1232093254 +1121445751 +618498095 +99699171 +1805843045 +463505504 +1053579498 +221598811 +1330982092 +660131539 +1969661005 +183528863 +895346248 +1255164207 +328781583 +593687627 +847993550 +2080515 +422563042 +895871875 +686002652 +378900490 +233397026 +1853383151 +1769022857 +1008671811 +1213714100 +1183666399 +1100296216 +220260681 +773449174 +184905823 +1341706432 +1391947269 +284604994 +1000065829 +1855452773 +1338184492 +1221664640 +1038951217 +1998316031 +1043841997 +1222480081 +746178631 +151522556 +1551261664 +1339866258 +999516107 +1553342179 +1762429300 +1895387982 +91861184 +2141329790 +2128785008 +1945244335 +1762868999 +989973172 +1011474787 +799051750 +2090269388 +1231735469 +1572500924 +127691563 +425958253 +816964545 +412296557 +1426024083 +524933671 +1750481049 +500205075 +1563884888 +1601313432 +1544047073 +638881321 +200008415 +1695569629 +42659337 +1539874674 +547602088 +1596001517 +1154820326 +295506423 +1687862701 +1148666469 +276807783 +1485623388 +764051820 +1266780955 +349614527 +1563103571 +1209566696 +1581349996 +988120847 +1337258259 +2007308250 +1805085393 +1749554817 +1285848685 +182535416 +1352552218 +1786053760 +1746420304 +806382003 +1182617185 +237817978 +1006390418 +730703167 +280477315 +398781444 +1278305255 +1876478832 +1553601771 +1573811678 +1416857885 +554784592 +1850619462 +754997625 +1318836412 +969916769 +1104612153 +734456335 +31999817 +538478501 +1722577183 +1369258077 +398303103 +1380178928 +971329246 +1684151788 +1562714344 +176397816 +1322721901 +1161651000 +982779819 +357855438 +1399468978 +1989170238 +1088558605 +1679946294 +240468034 +219380213 +1408941478 +1794069805 +1793191891 +678315716 +201370749 +1496327705 +1433313341 +1520207162 +318760827 +390441846 +107179849 +350760644 +928920348 +1829757032 +1720018721 +1327223451 +1062452312 +543864319 +863891592 +477683008 +720262136 +39129845 +1639334009 +1703041955 +396985283 +891319339 +1544728545 +1485543889 +423781985 +1785196580 +1704924102 +1832723464 +1431782737 +1350632345 +363555532 +1633153487 +699476403 +1796868873 +1005877001 +1018237230 +39827072 +1113056850 +1368997874 +968747420 +795330235 +941532948 +148487223 +1857782547 +1485397267 +1012378815 +187981908 +58175755 +1051508660 +1827315917 +1761217711 +1448493944 +571151608 +1158462608 +786554185 +994933594 +796175540 +343994639 +680173410 +80474630 +1694626984 +1043728942 +1713628117 +246619739 +693114167 +572021470 +1264856969 +732941239 +1685078320 +486371196 +1701688659 +332924907 +1427904144 +1850175883 +43223807 +765817763 +715071050 +231205715 +823993519 +1766579711 +2058521632 +437727582 +1067590007 +482189592 +1596190190 +1854144192 +1477123186 +244882083 +50655183 +9812948 +325356713 +1745282167 +1053541890 +2038984830 +1991901907 +1746656058 +463522652 +1109275228 +332113649 +1117324 +1595646424 +2033802309 +334042232 +876066920 +1736494544 +377266039 +1641884684 +304081946 +608471754 +318394555 +2070661657 +519509738 +756122137 +990768016 +1001699330 +204828679 +697428560 +331338869 +449710762 +748083743 +341151817 +775067475 +345882263 +1394693708 +666568657 +190300522 +993866118 +1130091309 +1299575750 +1325979767 +1131208634 +747738527 +1212298428 +1465250866 +1623805447 +801309324 +1842516905 +1118206483 +1105391271 +303505011 +1436601038 +1028569280 +823014749 +45239527 +2019337297 +1824714079 +250068207 +569282209 +8569300 +699778969 +1317365953 +349721118 +1474846445 +1663248216 +1744414826 +2141415102 +1853548738 +590797296 +1124022764 +1005640840 +1916777063 +107747750 +1753379367 +981591844 +1572998616 +1229701167 +1782901168 +1268031873 +200424002 +740808791 +1571536884 +1637025041 +1769378072 +247067985 +1682264568 +1641231721 +2071782064 +1932332775 +63030282 +2080351365 +484628097 +1380396235 +282588835 +1959474542 +896160803 +2027003661 +1953405996 +602225893 +470317309 +929945112 +1607866734 +239610724 +1037692862 +1213762453 +1221202568 +463207830 +295979972 +856620089 +1731239703 +496403975 +1597428880 +1155292939 +2133429016 +1219323304 +1402360924 +1668209936 +713071377 +1326659341 +1453059064 +776101660 +1259527058 +1937687161 +9014247 +1542115893 +1749678055 +905175051 +1421635906 +1555600403 +1507400944 +1891953215 +338061868 +967784030 +2131563939 +1375754730 +34062836 +1205282860 +1838962561 +330042808 +2061902949 +1422718616 +826446783 +1511848181 +430527908 +812392151 +583687838 +1832888832 +333118440 +1296759215 +1012064525 +1786177504 +2072860875 +124107935 +1576381017 +2081875123 +1666223828 +1178575424 +839566526 +940376086 +586692179 +199483822 +684845653 +924754047 +1167267853 +668925945 +153025130 +1201330689 +1874208805 +1991987691 +1531373497 +1788628106 +1267222659 +210336633 +1152992639 +1697750567 +1022728784 +1736680477 +1383155752 +1355847224 +885956045 +247736629 +994541080 +811333272 +371844565 +423438449 +745724747 +2038068393 +1602013873 +1585291273 +830960832 +41222405 +1784775096 +1515806485 +965976452 +804559301 +37248782 +1119001582 +2005889990 +1911457587 +963505625 +1389779839 +1552602045 +83244637 +1600116472 +558111037 +1780995204 +475361609 +147307866 +1016667308 +1831208833 +1033263911 +1264403938 +678266266 +1844597184 +1636248503 +1101704715 +442838283 +1526833248 +556234941 +2028129557 +210310432 +597457346 +1665421005 +1726116918 +1563433798 +322496658 +1763365700 +534951733 +180903000 +1527339640 +1498457358 +1570682839 +932458037 +1581701995 +1023315664 +1490569074 +1215213552 +1498677273 +1637876941 +84397212 +1182402458 +523657204 +1348801150 +1860668724 +220770740 +837566005 +814889792 +663609024 +216915606 +1371124733 +544254933 +427226038 +1968582079 +62192290 +5859308 +1384532229 +384688948 +1769225009 +1919483962 +565591948 +1149081001 +1270457673 +2136274787 +2081539038 +704676020 +1012106803 +1424624465 +1919889572 +363300428 +915017758 +2004286785 +1545702887 +1438674962 +1205604287 +1258887963 +1659445703 +2043170293 +2073777755 +175571079 +112602251 +1297418840 +719826012 +539828289 +1118517271 +782018302 +545687598 +355565853 +1166707250 +167428959 +127566167 +1732299198 +1316509960 +1398023840 +1721090337 +1250565350 +2102699861 +585713493 +527706167 +1875105785 +949013921 +1442723925 +1731908922 +347233160 +733915240 +790029562 +1606121124 +245877295 +685716207 +1532415231 +421448374 +798318458 +682350424 +1141274386 +1338146747 +1800867695 +1923292688 +1883834345 +8949900 +942516290 +2051263304 +136516068 +527331840 +1220289616 +1534539908 +100938529 +323371319 +1489756121 +686652022 +851077486 +1217378259 +1635665944 +146317764 +801803533 +1982899104 +880233004 +1591833095 +1441536580 +1126110299 +130065654 +826468164 +1547558673 +928384112 +1508818588 +541349411 +119047212 +1162202635 +317158451 +2002881557 +1171152536 +1259674741 +1906661214 +1307668604 +1787006581 +979467182 +694724864 +1887945110 +1302838501 +36997338 +427113485 +6432340 +1254375597 +2062779429 +152750104 +2056179130 +1898194885 +1032983108 +1500528578 +1192247818 +11609759 +1630594232 +2018715982 +1559168432 +411494697 +1380050922 +2100517843 +530541909 +394769909 +270192646 +385939818 +1565922445 +1529867387 +145117384 +726107401 +1169390320 +1124584567 +1420832266 +909851782 +279939420 +1457829604 +1336965267 +286371760 +564721553 +1252261048 +439121864 +473417035 +1002972286 +1472104972 +1973945613 +47736456 +1483714731 +1457056198 +2066452438 +895399515 +1868550895 +1299019712 +848433710 +251609156 +1693789621 +1118626356 +637548974 +1112228419 +501010095 +782666359 +1838335820 +1670400415 +1907250926 +1111684438 +432768550 +39706698 +422030394 +1769733817 +326078459 +986751947 +874511218 +765200323 +1460168983 +1877483504 +89821648 +1286630948 +1925219960 +1573536379 +596203498 +1844188750 +321452247 +317270745 +995724814 +1169885957 +568879901 +542030787 +141028666 +1206428876 +1654259206 +642038761 +1989095235 +1345111379 +164955529 +1748862513 +309312169 +597724079 +1788569211 +731342564 +219974248 +2114647670 +1718094511 +1094485466 +732364346 +1030779846 +824485322 +822185994 +169927147 +602221634 +248238725 +766130645 +298926736 +569690972 +1083401391 +1294651550 +1739576930 +1652281292 +1836682338 +1880605596 +711226520 +1343457896 +375160709 +552838107 +541085627 +540116238 +154216972 +850397797 +1137840317 +1942786184 +1581740361 +1357814566 +1909950206 +1152351224 +304816384 +494830904 +35647423 +1129301707 +1317016898 +205574570 +1731523341 +1565255624 +971705215 +2030450078 +2134946596 +2055106606 +1177617980 +1727039878 +1559904251 +866816670 +1460161826 +123647123 +62790919 +1835322536 +676485231 +603876546 +227955126 +830702203 +1454274343 +1365795444 +626004739 +888531056 +576126362 +388471298 +2040882281 +880942746 +883302202 +2076529704 +2010244453 +52835453 +134620626 +1594284147 +1618091077 +1106325841 +1477250577 +1605554025 +1013948800 +507384909 +1185110256 +426369403 +1374201580 +497788434 +550016526 +1436992499 +185627322 +1226501757 +2040869045 +413582449 +2057203961 +1347659741 +1779377893 +535725052 +88707149 +208020607 +924196350 +2129589430 +1088963353 +1807498553 +2058635486 +951724159 +1860334006 +45772464 +398524658 +1330941435 +1152098306 +1875775235 +789011812 +18563458 +235676496 +1974122068 +444932861 +1609878076 +324426855 +994949387 +899386927 +510054177 +73967497 +792772325 +923636626 +2131171458 +2140432066 +555530871 +519412862 +81655567 +763551478 +1443609213 +63761350 +1852514832 +1103624118 +2122396836 +656755343 +816474476 +20685653 +1055280001 +2147415911 +1172783959 +783571588 +788944075 +1191347417 +1019248084 +615582496 +1636280278 +481642513 +940009351 +483746017 +1381029440 +1450063528 +557713514 +26318117 +226216507 +541401324 +19266535 +781747378 +1060814187 +100922103 +1545298857 +356939752 +164683453 +1250330041 +1460563870 +139596641 +1907085384 +129554698 +160282294 +814881737 +129486961 +1333066253 +1598453325 +918431036 +376930022 +470217761 +1534013532 +2013210300 +951860274 +326539235 +349472670 +185406067 +1776602764 +907186184 +211724184 +2002819271 +1448587509 +230990720 +637083001 +361918048 +331912823 +34898210 +718857800 +496596276 +1285228251 +31938022 +636192917 +1044829987 +161492720 +796475212 +1859711724 +290979681 +2129541465 +1310681401 +1209410717 +358987840 +1780899163 +595940602 +224714492 +585275789 +922479837 +574187162 +770681856 +551598953 +1481373347 +982406041 +406934576 +782477208 +1213396761 +1044017578 +1144395256 +1545309584 +1078915788 +1863253056 +2041905860 +216660392 +1895191078 +530615129 +1261490379 +2056683798 +1327090341 +973718456 +200179831 +1309148159 +136916209 +1409590548 +1668135999 +1917815372 +2005531150 +1892850491 +355607514 +780527340 +319554006 +1126289370 +1332126293 +1800927353 +2108695411 +1739060870 +435920913 +1174608524 +635594800 +1580316169 +572434460 +1714510588 +1296085577 +466856672 +1931170980 +1043793007 +997471802 +1045177712 +952993157 +177078495 +2018896168 +1153172988 +1486226654 +8328729 +415279888 +1006879005 +1926144102 +273327391 +752245849 +134267968 +1053854731 +1071799855 +1260557338 +238497376 +725243560 +1221769102 +1977558246 +1161164473 +248893978 +465669398 +593996994 +821328439 +32696339 +1890082571 +1288185111 +1963867319 +786391930 +138173265 +861561383 +1739385087 +315251761 +732973903 +745074427 +1801478415 +741302633 +1160354315 +660873773 +519963087 +1433681706 +1413119622 +654231055 +340052789 +337435829 +1914788393 +578550166 +1062679389 +989073847 +408624764 +76360214 +1237967826 +874294163 +670357208 +2059296265 +906990502 +412956131 +1199997728 +723374173 +1199348061 +1338170994 +1584935557 +791249500 +1653422755 +170425812 +1536323927 +1307417522 +911728445 +549194594 +1968291295 +1431691532 +1982876301 +1233927269 +2085922587 +175445442 +1571363098 +1853227333 +753995608 +486558839 +694817532 +1162620373 +562919053 +1932785358 +2036914536 +1233276261 +1844597975 +796421390 +1646232392 +897112056 +1519795563 +698096805 +87799402 +957247472 +1489346305 +1741222157 +1127673285 +878186584 +901156031 +2039401730 +1427381179 +721963679 +1323609615 +1262773832 +1955890948 +1262048554 +1438219274 +1379770399 +967792239 +44731235 +1866329238 +1662609772 +1207351608 +281764644 +1447911482 +1096782496 +1515040905 +1145025810 +1893203886 +1013789650 +2042137866 +1265515801 +1711886455 +2129937268 +75279626 +1053749113 +1723675777 +1202952911 +1931935697 +477348160 +1094870993 +1211833228 +1199311839 +270996960 +327123412 +1007719140 +1533045515 +1765342687 +240005891 +353354106 +1810073922 +2106335129 +2015963878 +869941882 +240616125 +1316391713 +1966724378 +1755657031 +313933875 +1712444616 +621963033 +208588093 +830476769 +186365840 +191041713 +905756395 +1240114953 +1914717490 +2108709306 +1024567003 +244582002 +1056096652 +88916583 +1443893842 +1327093612 +416039996 +304129334 +712655479 +33899035 +544135225 +1066009586 +1843972957 +502986706 +934489816 +566431191 +743602832 +103397881 +385671921 +351776215 +417331756 +2098116537 +973739248 +625919849 +781109658 +1160105088 +816961562 +1686866054 +252736394 +584195404 +1648091712 +1277303397 +828777407 +556704716 +1366219980 +125187601 +1883798329 +1782259976 +429316935 +448970160 +1816159011 +973452160 +1514979746 +1512648320 +1476438866 +301985915 +2079079511 +72558050 +405383796 +317267784 +424334265 +822715553 +267900673 +1398073513 +1448635402 +1049010332 +410694954 +118113317 +588392738 +663431348 +702308721 +89000802 +1940734745 +1531086128 +645705519 +1159471077 +1656273729 +382020200 +794247406 +2085590664 +830990360 +462922769 +911559176 +198486459 +1975571090 +240514395 +500472374 +1907166953 +313072445 +905856170 +76951090 +737406711 +1728571723 +344851763 +2135480224 +1029723478 +1393862095 +398691530 +1147836795 +1982254833 +1062122878 +1850145516 +2071255636 +855373975 +1233747997 +569477507 +2014845053 +742538078 +951497707 +661608811 +680645095 +1782488067 +1124531580 +1592204271 +1980974526 +952619022 +1832718666 +333963252 +712302328 +2145791112 +1239819423 +789253418 +735714175 +820907498 +1134105181 +723710751 +1850630976 +380483629 +1122402282 +850984123 +215254814 +37041512 +553645992 +139026802 +892415488 +1787393989 +708504309 +759776893 +382448419 +1660002016 +1421385704 +1063093514 +1295006436 +398433636 +507814138 +1128497314 +1351052659 +193049156 +1462460567 +2063354987 +191356620 +554796342 +705124757 +927070795 +1375703840 +1839229938 +1650781547 +1078851169 +72229919 +625700181 +1929835292 +287484734 +662741693 +335997636 +426511536 +1555157181 +2123391625 +1135015846 +167450426 +358356397 +647534214 +1588836130 +1421449911 +1942540650 +1987269767 +1929264049 +923554317 +1190838778 +2122313206 +238531236 +1106710117 +166186178 +793327578 +1811834874 +1093256974 +21547770 +1503581164 +596554873 +1100398939 +1575811084 +1222255054 +882750584 +1863295818 +1884996747 +1218748220 +142323706 +1292670281 +1194656198 +1277339552 +1460120707 +1553012595 +1924873767 +901473190 +826978858 +1719930769 +741259309 +608759260 +496001438 +1932098087 +583588818 +734532674 +891324556 +749774996 +1527860252 +555675782 +1843031970 +1549408023 +2059256946 +292103195 +502323314 +1487584382 +1514358249 +1385073898 +1203396552 +1251871349 +456338471 +1345720259 +397057982 +1650994669 +475576163 +1857178689 +1056523616 +252966282 +611168231 +1883502474 +1972897052 +1352427540 +344778086 +321414842 +1137041979 +928366904 +1055947517 +2028366535 +1678141901 +436324121 +436558669 +1373690223 +1985732144 +348331968 +1665793419 +340571811 +1835916350 +1032668020 +1725645709 +891829255 +137055721 +34500532 +90065866 +534113703 +1685495201 +565642029 +243808745 +594535169 +818608312 +854976976 +330553996 +644021716 +59920869 +675332082 +965436558 +1196962848 +1603698987 +2021384075 +1077845736 +1134357240 +310224549 +1514404405 +360563815 +148473045 +1862736373 +2026357234 +489044856 +1551169076 +911541607 +67206918 +295514683 +1048597328 +101707450 +385580549 +1582711032 +1787202652 +951222578 +1826519777 +234254173 +1769830890 +534013105 +564808169 +266368958 +593933974 +1240140252 +1231805517 +1790896823 +696355591 +1105705944 +721258911 +1830712831 +1415930493 +88179668 +43792998 +1564403539 +1950916042 +2070150233 +2053448395 +1354601470 +834208192 +2120655313 +1650116153 +1882805520 +74879116 +2035696702 +1318032904 +1862081768 +839435632 +997069033 +2096335941 +461782875 +1531082139 +513660463 +728151833 +2125016113 +1753800715 +1959957350 +1768429288 +302672658 +918179647 +342204551 +2133385489 +186626492 +430384220 +29694839 +1751030031 +233816614 +2099845072 +1656994779 +1588418084 +786569616 +1630166444 +1091050589 +521891489 +1705045560 +979263643 +1839924393 +1419643680 +1818699275 +689509779 +1368495974 +132998502 +73108270 +1882156437 +861150336 +50640735 +1488473504 +673624038 +1819070024 +1791146162 +1591803685 +13790927 +1777048003 +1778430178 +444175147 +1806742842 +1381976561 +677991761 +1759104267 +891487692 +118926197 +398190235 +374170489 +1209976786 +920081724 +2079216049 +41756781 +612522470 +1351376082 +1860456057 +1302032249 +572388408 +1993454559 +1375140519 +307061197 +707121247 +1425781254 +1795534701 +1380745286 +1097367630 +1439197215 +825065323 +1111158558 +1068761570 +456011853 +1555333705 +728020764 +1837988415 +85841819 +339641383 +581992459 +204768016 +737831619 +956162948 +1414744803 +1657913343 +887895350 +1456501584 +122952165 +91787784 +1169473993 +1424984414 +664176192 +1015444905 +652641285 +971237389 +1722566152 +2078422540 +619288442 +955827790 +1028306522 +2058485657 +1780893114 +2139465080 +979763579 +89421319 +1547315138 +1707784343 +1927409734 +1633156957 +2047425727 +361918546 +1837924973 +637773698 +1318081494 +1105186128 +148203393 +58493196 +414204065 +271155559 +150280980 +1583678058 +1696139973 +814457172 +451639315 +201297611 +1785694561 +26721820 +132236503 +257499355 +982549610 +1160543025 +168501364 +615959076 +1152524458 +1148264943 +705380396 +552355948 +708565639 +485306482 +38029257 +608507718 +847225028 +1875954230 +1246281416 +17822875 +833656711 +1394484809 +76316071 +1247860776 +1665640368 +226597052 +684055186 +1214296694 +1041054224 +1135694502 +1415594305 +679265138 +1162416322 +1547830808 +936764493 +2144965932 +560890185 +1105265858 +613441361 +1713414643 +106047153 +1318821757 +118286943 +814612792 +1804128239 +156316200 +1423120510 +503869620 +2032270431 +521918278 +521692495 +718443494 +1916403088 +598008566 +1966304270 +1434559808 +824605618 +502875808 +501372854 +1865659843 +1638570310 +1916967159 +397441333 +653502984 +1317314319 +1334205826 +650985269 +1878204505 +291988036 +1264426630 +1444135500 +398035190 +435764739 +1562422444 +1212647982 +92409330 +1718738644 +488284845 +596278950 +1603525427 +1010203123 +1117971445 +174485273 +779122563 +1715980012 +2140789543 +66198724 +393101982 +496181704 +567571578 +111278177 +2134752014 +337055090 +508719510 +640771351 +1654369409 +1842925337 +1291756620 +1385090266 +2134913373 +408699602 +681742119 +385464915 +844464341 +96680915 +1598112898 +936873671 +1815419559 +2086397743 +1533152622 +1271461339 +949117218 +503640419 +1445946612 +1728239782 +72136783 +1439252508 +1794438506 +465238766 +1935434212 +214526436 +576516943 +1922702578 +551581526 +1085236454 +415990281 +58467288 +780678143 +1707746901 +1443557554 +768107868 +2116446503 +2125299673 +1153572784 +813427196 +74496940 +604202034 +1750300868 +1889916500 +543116129 +1135969842 +1013894191 +1492233347 +1639610261 +312357155 +1072989481 +1711747045 +1751609663 +719944339 +29502163 +1539560227 +934470776 +606019106 +1314779158 +1486052302 +1691255560 +1730769439 +1544519590 +324450055 +1291032693 +840593497 +1092557924 +1259995548 +818409522 +98647060 +2073422745 +892906463 +702849094 +1676239965 +635339315 +1245965223 +664726159 +1649233506 +590714922 +156852772 +1961590661 +1663704404 +1868599817 +1565716677 +236165095 +1898101980 +957793256 +1170635871 +356637439 +125088766 +509204526 +2047892999 +1855858206 +2053724116 +224859407 +999407251 +746833965 +1317417331 +111919151 +1565243488 +1416064391 +37858248 +310666303 +2118913485 +1714098213 +946005618 +1217395060 +231340724 +447755476 +1808109982 +388193497 +261862489 +1324330738 +109309666 +1827579166 +1560495834 +2007411647 +637888775 +583648057 +216565438 +762977541 +1092852583 +116974789 +471352099 +999093052 +341834196 +1470759350 +1745927017 +1659251527 +1582678502 +1163686857 +927832270 +1620536750 +1474353160 +899262107 +1187151316 +272875130 +2116657167 +1418492040 +720630606 +1777283502 +1806685537 +982493096 +954130592 +1915995204 +662588614 +367142778 +1775923203 +1300477389 +950790836 +1992488641 +2063454931 +2043643419 +2109463430 +387323382 +895252823 +303813979 +1858082733 +493696193 +1963065506 +1293277587 +1657383050 +743414129 +766330689 +984252563 +1642676236 +1953482005 +1257127693 +1611849756 +1224490398 +1977758300 +1241649610 +883692287 +812767748 +48296554 +652203843 +1475356362 +415439333 +280643398 +628350104 +1366230169 +125648391 +544321387 +1262389940 +87628174 +931644769 +10159116 +391442153 +642243854 +503855309 +207024011 +1935521441 +13754711 +950438140 +554368483 +998007274 +445630729 +360366840 +107651320 +2057480485 +1584857238 +2085409620 +1151646447 +321065878 +750693720 +1199943001 +973269721 +78566434 +1615382334 +1253913120 +706916538 +834128855 +1379561511 +1251237925 +2096518796 +1467189685 +35399047 +2106677912 +1858631838 +677642901 +463049573 +2065655850 +465680695 +476804284 +868610342 +1020049178 +1474811559 +1314241071 +1380416018 +1582462879 +1224237908 +817789609 +1520388851 +228400707 +1138855487 +123598923 +1428343709 +2112125208 +202165357 +896242395 +1218554680 +909081896 +1730371251 +450632544 +12836173 +1679406399 +1917822229 +48235220 +1638600663 +1628970420 +725878122 +2101650236 +1547142622 +1191558817 +430970872 +268269316 +64124347 +1905782431 +1582510388 +1444540365 +1340761662 +659264648 +114846326 +713666865 +887665356 +1253701813 +837265788 +168525417 +1218343374 +1039431146 +1064767812 +289414406 +1948513042 +647655415 +740046950 +1961349215 +179578166 +510385532 +2009584436 +1818178829 +2139355952 +587978910 +1772345417 +1539014926 +1779537727 +55832642 +1807284242 +1843662074 +1961615073 +1242310982 +1140718791 +1154893088 +1901575631 +1255565118 +1868559953 +641757339 +361783283 +558342094 +810282756 +1580126657 +1597773240 +1875050568 +1869541064 +1398802634 +375222336 +462104366 +1212668201 +554800502 +972489898 +1074768989 +225495684 +964362202 +1662747899 +1997841101 +355893480 +1294801978 +2053673743 +15694075 +990980404 +1867805169 +1258005057 +2131699196 +875214609 +1012097040 +1239780666 +596290914 +1653854379 +1601563949 +1154633008 +316653487 +1034206959 +604922600 +44220408 +756264375 +2003725234 +419442744 +1218368741 +1068909788 +974243246 +43374992 +2143678777 +1199738930 +1007737194 +1658943029 +1050096384 +1363630675 +806261359 +956286479 +1379324750 +1797241764 +676608000 +489846159 +1781457312 +1551822609 +1501943200 +873754330 +629876 +1008313931 +327834631 +1155262884 +1324967419 +1362041590 +1760185485 +1369187827 +2118305965 +1616427071 +1788630571 +1189191059 +537853211 +615390169 +1232566051 +534048341 +1815129100 +92819597 +45507722 +717741836 +1456450272 +851769081 +1674028315 +688291374 +501527197 +203152668 +1178137534 +135500861 +1754975277 +532597086 +1009255191 +1755605153 +1540911017 +1337089823 +763384390 +718394788 +551647765 +376086227 +2087582615 +522470083 +1992513298 +1728729538 +1711661142 +382882862 +196636060 +796743545 +916931203 +2011765160 +889563142 +962438925 +582023348 +198529767 +1814208006 +108568015 +886821141 +168251556 +311720683 +2064958675 +303752417 +2066695961 +450072113 +1313007609 +1674817466 +1990983131 +502613784 +290718208 +561894271 +1054261549 +666804435 +501993239 +1576731632 +511834086 +83239129 +1140909126 +894716948 +279875189 +1937652671 +1811648151 +144156701 +679732166 +626603428 +726180049 +878261933 +293327786 +834748065 +1765083074 +461579342 +1146468748 +1682558102 +765331760 +1065681061 +2132630215 +2078339369 +593014880 +1976129698 +433469505 +883733088 +390540322 +1487731054 +1550537524 +892533561 +916979039 +2062371610 +975772690 +2057888165 +809604910 +1255647880 +1848057189 +473769413 +1399804581 +380305707 +1100372841 +2125984631 +1258567640 +1393700627 +813249048 +876167066 +1855279970 +1959717796 +411241520 +473128082 +877915210 +396388088 +403983803 +1470930090 +225034138 +837453308 +207179530 +615574460 +177700714 +1757717054 +1508108021 +1094679753 +1672605016 +336397064 +1005084271 +334726278 +1592044944 +705657812 +808495691 +844365877 +1085963519 +1908868532 +822866860 +197047511 +1155085512 +1636115908 +1073214577 +862881834 +1448350057 +1484456098 +1336009916 +178781619 +1880844186 +1739993719 +1649711709 +2105878324 +429963379 +1856891239 +573969137 +607664093 +1467124646 +2082077158 +1702343847 +992246014 +270990574 +559944470 +1326972293 +1863035518 +1265602282 +2135467984 +559917748 +204082153 +1896852869 +1382784608 +401129664 +904454733 +871416869 +1474344241 +1767336567 +172283278 +811316691 +955862835 +351064897 +544677229 +548372906 +2000776606 +503071906 +978336285 +1710184197 +1077041043 +1586000378 +1029825195 +1011634553 +1140860577 +2022071210 +1282625128 +1700805047 +1201559855 +998176998 +818923681 +1189544191 +1558094746 +1023005834 +938913412 +793395707 +1424135498 +1843368145 +1664812576 +750996092 +1463221064 +1837095854 +1562312783 +271600251 +40677103 +2106990013 +819973157 +2041453709 +462578271 +1798309442 +1604154258 +1539619314 +1236826173 +486495806 +403770219 +230203102 +361083368 +1686395347 +1931008150 +1562643223 +537088698 +602448183 +604703766 +2095183444 +1625454018 +1543617179 +741095503 +902105868 +1239501676 +258424431 +1653101960 +555239093 +2095520285 +1067931096 +826839344 +2136197388 +1027437461 +1646812502 +2030167449 +1490015732 +1297638296 +1486838060 +882151398 +386980821 +1973333866 +1285921617 +617183924 +186933586 +824833317 +400708426 +1749576809 +1361922015 +1003156609 +206796927 +1309621811 +481126979 +1750414106 +2050717315 +1383232848 +842432135 +161658098 +888851160 +1397671228 +109694736 +1956782256 +77026924 +98408476 +836736069 +1723839426 +2128575926 +179268153 +873994075 +1467930338 +1061419551 +1260974896 +1293780556 +199857521 +1878158820 +1480714142 +1024690838 +131383598 +1082807303 +239129205 +1134540208 +1289604230 +1548751016 +1615667187 +892534689 +1451984683 +851416387 +1734966824 +1613642782 +1740267548 +985154404 +1723337518 +1549566156 +1062181328 +1821745994 +238818578 +638537107 +1802838272 +418086731 +1512531182 +1123284962 +1479506283 +626022430 +269581870 +1679363804 +356697603 +1750296012 +556570994 +488081201 +685619667 +795700199 +1622621409 +1975223898 +196967567 +1090804949 +720274939 +1648952251 +1942221336 +307758115 +1115111385 +1535005236 +1292912519 +690965255 +937087745 +207610199 +365227601 +1175906323 +846147306 +20582226 +1593993054 +211194840 +1143867188 +926015689 +837217271 +1413449059 +457895845 +1193914874 +1016261423 +1014466839 +1681996075 +1701881091 +1810167038 +1157133837 +1529621341 +2007134606 +100455138 +102412632 +1508603209 +2042676474 +410170747 +476230946 +1430198063 +1703083266 +1167196201 +219802160 +1910693465 +1532423802 +1395708483 +609357124 +1553006028 +842217889 +820551964 +549389569 +1768233579 +1657769235 +1962838628 +78645776 +704200461 +831616403 +1093112616 +238712889 +386013846 +755796006 +1395846726 +1915635187 +615446964 +1496301864 +2018047819 +2124050173 +1391494690 +280734918 +452797471 +674209105 +1983818184 +1619993672 +894011265 +1747028002 +1004933827 +142236100 +208901478 +410456207 +984453990 +1029453442 +959845776 +605203921 +539739030 +775200756 +683849697 +1243939491 +1606817160 +1776962313 +1482652380 +1992831006 +385274672 +731015458 +1760982546 +1000721636 +79833674 +1631546717 +977288162 +1471328365 +1912281636 +1430085633 +2145537470 +1748616172 +902595658 +892065088 +1348160526 +1907529485 +1034301188 +1557062004 +170502044 +2018755178 +439031799 +1130347821 +476475451 +978770829 +1905548577 +1160325149 +75226672 +1364882089 +789803814 +1557879053 +1210229448 +1175078486 +141410863 +823728346 +28316475 +221244538 +307791415 +1005604637 +1692572903 +72589403 +288206622 +1690626725 +1821205576 +1190802280 +435208165 +1021882454 +950848117 +1469509354 +431460811 +1121350162 +1340780884 +870492610 +104214335 +1817256336 +1849263439 +2009762912 +830097837 +1924490111 +1227161354 +1619901651 +1334885516 +289907154 +647496490 +1476296380 +1113635500 +675812965 +1697540918 +1421426915 +1681417602 +1242630173 +1494016319 +1969624224 +785773250 +1167738247 +1012942857 +1220981416 +42137053 +1963790974 +543007122 +473597864 +937657488 +1883788006 +1344090474 +1041871823 +1553560694 +1045870265 +904151088 +236174883 +822876729 +2131312442 +1856076535 +10278597 +273735948 +356089377 +1486574977 +1387371448 +1031902342 +1036632247 +661314715 +565836296 +131778772 +7847386 +387976872 +917552023 +1175585633 +1400919729 +2138533439 +1217722687 +1217227056 +534056913 +1691320551 +7400896 +270361271 +887927378 +1049272720 +1823921966 +1933797643 +1953423808 +2060096849 +609190724 +1937252602 +1768689736 +619469322 +63504902 +2124779113 +2106044299 +1450876350 +1009197807 +995192899 +2112191065 +1575034103 +1126971671 +2120038452 +1963010976 +2044523694 +1148140437 +1216447057 +2035573485 +218379476 +286190465 +422146750 +1909700028 +293591362 +692508022 +650143758 +1342864082 +368946340 +436457753 +1148804242 +281559541 +1045648478 +938573196 +2050249278 +1665117800 +1002078098 +2027544743 +1623678451 +305470800 +889258903 +471387702 +270178217 +316809358 +1598359374 +242733021 +132336686 +1495399420 +1390873459 +1348783744 +1383489258 +1609252935 +1634974209 +1805636008 +1371469315 +1928565571 +350660382 +2021613073 +1123946005 +719606722 +310587179 +125266599 +1001166264 +1356235657 +1063839795 +903931894 +873869809 +2065917893 +783992989 +350064612 +223905045 +1673251892 +821452315 +494083263 +1990061251 +272328041 +736816284 +2122397937 +1767727461 +2127689743 +1323698033 +1003733071 +1589459031 +811188595 +661885432 +813444698 +592270518 +1012545814 +687574124 +1716216524 +1732152537 +998161303 +1841483123 +585835153 +206913312 +757839271 +1489767047 +1080783121 +676273516 +126276388 +1430847733 +900178562 +1799528281 +104816400 +1394261825 +1642105884 +377144441 +2131078109 +1617020173 +2144871903 +2111284205 +793234559 +1001121326 +1553259588 +1604423154 +1663006758 +219220638 +49210024 +528068925 +906794762 +1765426548 +112737814 +1904956065 +1459426024 +698572967 +2111869377 +69781647 +40856366 +1045168850 +746055163 +167132754 +328532936 +1646233725 +1966661035 +433349336 +893011902 +1461283271 +810493778 +876606364 +930819797 +807882033 +840406921 +1724054356 +1809003359 +246182861 +1180993862 +1324526470 +465403499 +1230203886 +1852595395 +1372198262 +848146787 +1965333209 +1129670679 +160089163 +516422528 +1094056409 +229870810 +557278894 +2139225259 +975925973 +724411648 +320274547 +474676051 +543589036 +753623884 +1367687953 +2004872307 +1564117662 +96810669 +788208456 +224516047 +937217590 +364779164 +2033519406 +1183400451 +1545773026 +1210562228 +1648803951 +628493265 +915673975 +873518565 +1476640052 +733523536 +2003189244 +1636729215 +1249946064 +949762005 +1866600025 +1807224958 +941503617 +695042350 +384152959 +1261778164 +1169718401 +927741995 +2015402048 +389922707 +785130654 +1432036062 +486733376 +1573339111 +1656552109 +1423950967 +1938118275 +1542587868 +459867770 +1336407654 +605666448 +2108671721 +1964900919 +1521340424 +834706638 +1294057323 +107380312 +690412235 +783302890 +1357326377 +1640174240 +502419267 +1017067687 +434194209 +1197461617 +1401220646 +1695972374 +219696371 +181478993 +1563890774 +609619078 +966609648 +848443189 +1096352454 +392465111 +357511650 +372819773 +183099738 +1900099518 +832687544 +1519507392 +358282319 +793875617 +1336924663 +1879622743 +1628582256 +483498338 +1987003055 +171510843 +1266801228 +1196845784 +1811685083 +1769220495 +66429824 +98395645 +819198465 +1467650470 +1794368019 +1038894836 +1649129464 +1210775145 +1648513914 +468255464 +2059218334 +597382720 +860720575 +269246337 +970202494 +1043820313 +21862207 +1802890038 +415844058 +380144526 +449282007 +1752768721 +112283621 +2077864263 +88783412 +2099286677 +101891458 +1355584640 +1148648813 +1913576542 +977321488 +1215078637 +2011972187 +1796519953 +535245460 +1658856558 +687931141 +36891276 +722148055 +188961407 +505146740 +633882742 +786344127 +1365867315 +903129079 +1756546621 +262203980 +924991286 +1411953011 +678048038 +1305135813 +1861235019 +283333112 +1417419434 +1791615634 +372116524 +1369222463 +1893507093 +1727701164 +370387629 +1659599987 +557539004 +1585466266 +1524088526 +206575309 +2120711726 +1035461436 +894506450 +10119354 +1757609491 +1083467857 +515266094 +244008585 +1869811985 +1881133409 +1147137664 +1478874958 +2143337390 +2072128951 +743344322 +673901780 +1229781116 +457095693 +957234892 +499716902 +101227679 +1329351416 +1868939366 +1994734772 +909568933 +91843347 +1506851111 +1467107937 +1677309613 +883455989 +1673683247 +1650537692 +1918917425 +420706049 +1660657046 +1529043269 +1504173907 +28439493 +1773051854 +1226502244 +1909572902 +772705871 +557893554 +1905426644 +697351174 +1301237876 +431844777 +1927132290 +1758333569 +1389079669 +279365544 +1859561249 +570947438 +821262 +1706812373 +1480516371 +92664609 +1066179837 +800140660 +1769974223 +1949635826 +326340259 +1273028267 +1721069604 +747046309 +786201665 +1102629225 +103736568 +814641158 +728197431 +1330238812 +576730413 +1500903302 +1888132366 +334673409 +50770828 +1041886595 +766518186 +1977903118 +652736516 +8114208 +109785015 +364814117 +579061646 +110606277 +2071626491 +2059578017 +203270887 +990322680 +712235029 +1973245110 +792474858 +1038575289 +1098789729 +366060814 +1785621598 +1884991394 +1468690039 +1889358166 +552148905 +49403823 +1072113330 +1128879318 +1550307125 +812762048 +1463552727 +1601077954 +1854648643 +82587266 +1431497424 +359901512 +90701474 +1541282439 +724715629 +669763120 +1651888717 +648858472 +581857489 +1855159604 +1639181152 +1294092518 +1680921066 +284172363 +185184159 +632227147 +650233177 +1970805757 +369734893 +2118923217 +1712680275 +921883798 +20843392 +637309957 +2050763116 +1571150517 +1450072006 +1366832196 +1024744823 +1157237001 +1449419462 +308758600 +1517138513 +1540120936 +1850041039 +94370495 +62400408 +1354446108 +743228967 +644257897 +1062122064 +234926472 +1938350415 +595559482 +519098835 +2123534575 +1227786629 +1169332012 +1946856684 +1597521523 +1140771581 +1512053312 +371921673 +1161614973 +1879621 +275201142 +585281843 +1451951627 +1642033338 +1610026666 +461704981 +943969152 +1918785266 +1978843494 +336606440 +1621342658 +2073213989 +399006848 +828305118 +668959309 +1043264745 +1890427183 +903885781 +834131512 +338503017 +1422984616 +810182439 +1566289647 +444832980 +609555476 +1016327522 +1585604562 +2121608788 +1388249195 +599735887 +2123488409 +1663450337 +1185017730 +1427956389 +1158000027 +647560749 +1889661370 +2101969179 +418862367 +1721021216 +291091971 +2040205025 +1646751558 +690098819 +721026496 +168227219 +1733363564 +463970031 +1072113000 +420011429 +802473048 +347613968 +1230193868 +221279047 +792446948 +1839749344 +1237606569 +230567862 +1813874484 +478372117 +830303750 +1789879246 +2141822454 +2015321480 +1070351987 +1152338834 +515398581 +812529709 +1106824365 +934260949 +386067277 +1397916337 +826982326 +2032818835 +2088015156 +1548008822 +53562406 +1673895073 +2011978853 +1125675406 +2093906502 +666968254 +1473289374 +1176616722 +888247301 +118252675 +868882419 +2125853871 +348820537 +535273255 +456742340 +1179124287 +177668853 +451081146 +1046962120 +1248020840 +1603419980 +1562360701 +2060550549 +562760698 +349138002 +299134179 +1960677035 +1176120329 +184469366 +1901208543 +576645503 +238031773 +1427619968 +441140709 +1363707179 +1374042822 +1108108963 +689512906 +403175897 +1996356264 +807765581 +1272058316 +1974726487 +1156586118 +1807331571 +283985179 +188226758 +1985000425 +735066326 +1235188878 +1085537617 +191002658 +650065931 +998604519 +753763356 +999203934 +1297738698 +566956743 +27840615 +1482208064 +320681639 +604486118 +1720239837 +1748301607 +1045626827 +936463369 +974860782 +6252142 +1625976275 +1378036679 +2002608407 +286258208 +502611347 +1829851246 +1442844326 +162459270 +2113836426 +1631071084 +2147459695 +701419104 +718776314 +1085513665 +892421762 +1368842246 +2084118184 +1646185119 +220562532 +1234373234 +65658214 +248403147 +569097650 +386339853 +852889265 +141853840 +2134641461 +1898516093 +1078317209 +962018595 +1904768235 +556809836 +192571626 +1759892994 +843068044 +695182973 +1442260593 +138428722 +857642243 +1408613371 +1769499807 +857618291 +2110032475 +340792473 +1943131956 +854970589 +1709634719 +1879766492 +353672060 +1930197251 +966656078 +419330275 +31116750 +1535753728 +805670128 +884006016 +1677607568 +792827941 +635038461 +608441129 +1754846536 +392323048 +1165250965 +1947418162 +4732395 +2008319009 +495117487 +1446992988 +2146747732 +1352759731 +708122711 +1768763891 +62894374 +670671538 +2109556364 +2006026330 +1525642127 +1671707436 +1738309174 +1879314188 +1454421039 +557481604 +151160815 +1485537790 +2093235332 +956830943 +222060158 +1623359253 +1749658885 +857098619 +84316734 +1357021773 +1249421667 +1249567700 +1156956288 +1254154062 +1110403061 +1652073775 +553663402 +1109667145 +857349858 +1261786113 +730947388 +920244232 +1932457651 +693020105 +778786914 +1310616131 +217243893 +369612440 +1042446671 +1671664932 +927094044 +1193607486 +1009719074 +872845729 +2954781 +1231779232 +348721334 +1752613666 +2088877851 +433038068 +962151792 +1190815871 +1682605768 +2119108080 +297486285 +645525182 +1623698207 +851149688 +1755192327 +333564418 +2112935801 +338656068 +1253808650 +1897909805 +1031676173 +2032595565 +1061042288 +1248920066 +254724357 +2103488959 +773101350 +1181818402 +1149612797 +1782820425 +2054664131 +1152567578 +867116009 +255901817 +757697597 +808510213 +688939885 +1719849389 +1999326084 +224062006 +1691473821 +149328721 +869587188 +1167688380 +1000478409 +477295867 +1501252798 +965930563 +815951935 +607577801 +716356720 +1847628108 +492689718 +1777399008 +949064526 +747414075 +1733404319 +1722165877 +1929232477 +735533468 +1357502654 +1836412960 +1888101046 +77135015 +2092314777 +498314995 +885645228 +633771015 +70680736 +737487664 +857833021 +1762154557 +886816386 +1727420209 +782359290 +1887294795 +57232428 +136128440 +705741710 +873184364 +743706241 +1422098430 +573328824 +1236395959 +1052013790 +1522393351 +1983810035 +637934461 +1097075580 +1765558864 +1373467929 +307094586 +1454488177 +1114085328 +384229601 +1399319306 +1612400323 +1269874830 +2033090321 +1683081060 +2007362494 +743439694 +1297751969 +746695232 +323376255 +2080111259 +486506380 +380608684 +68756052 +1192248090 +1253793048 +812462293 +466862873 +1827121872 +2048858253 +1518876663 +1202031575 +1885184640 +9327477 +151623507 +1503259856 +1382795406 +458718093 +810264385 +349397086 +842947695 +62100044 +1961797410 +2112822525 +2095190365 +1497394822 +1972701371 +691146412 +647663143 +571912956 +1014522667 +580290755 +1058419336 +1395131351 +649046807 +103183778 +501440751 +1461509100 +570046651 +181078976 +1362883705 +2088923315 +1383110551 +1100584697 +2098250792 +1534734059 +456360906 +1333562550 +1993452152 +1266625291 +1682959637 +688916199 +1328725335 +1497273399 +654255076 +1276432053 +847184573 +479472800 +1967578465 +1494847716 +1051385756 +834617484 +2075138471 +2109805092 +82265188 +576701630 +65505222 +583705939 +2038210731 +635551874 +764784915 +1253610788 +576991541 +411819 +206711838 +527758685 +1535145878 +663072744 +1861321235 +1381114382 +1929698035 +1396797224 +2070030582 +1110939723 +746586975 +576802010 +239888128 +1593771548 +1056274810 +59982945 +941135617 +2107660566 +894600429 +868790440 +2069982010 +976865617 +1445492071 +2135487233 +1560571557 +1336219154 +623555459 +177872824 +442346294 +1200547000 +178284643 +649058132 +1728305685 +1713430521 +1312130876 +1442143272 +947061256 +1094345264 +691456849 +869608190 +57801339 +1438043824 +1446410200 +297689467 +884331725 +355201363 +357672412 +1825467342 +315378281 +1252272841 +546774134 +237876644 +81654811 +1992266205 +225880229 +1642226368 +1181001711 +849435688 +1820099192 +1623348006 +2049982688 +1998383836 +124922490 +1630804725 +1564330709 +1437053367 +925464349 +363908317 +383914983 +1616921198 +1233516507 +441716322 +907481375 +532443060 +739405789 +1791813100 +887644423 +1097078201 +1469796794 +1203022704 +201867394 +2016570928 +1440899348 +283522205 +1861353486 +1666779577 +1925748573 +894871549 +368731617 +1598364118 +370735907 +271230657 +1449264306 +495658398 +1902035382 +866111367 +1932711765 +680016084 +1230019685 +169143100 +149453634 +316052544 +610859422 +1056935009 +848495604 +1350265211 +701264461 +1736140027 +299859764 +23577607 +791679084 +501727158 +2040148536 +85094784 +785249364 +1754018374 +1751874362 +563514289 +501406275 +2120605979 +14394759 +872142183 +244352989 +1463659065 +1367800581 +2146388371 +182286785 +1153028698 +678920807 +1412306470 +1322171798 +828374442 +1728359014 +1933031220 +1885309451 +429370971 +1135812783 +439090265 +18027350 +1435672547 +462667872 +809706434 +1937399705 +355332760 +894801219 +575165421 +2109351134 +499191933 +1138679711 +463273762 +472314264 +1153074470 +1335415945 +716667253 +469249888 +555732878 +715571977 +651536673 +1708761576 +1394492784 +2063843143 +883449726 +75383578 +1644718509 +668997298 +1960693030 +2074089480 +1804810081 +252299647 +2092116831 +1092998980 +714967519 +754339617 +882915037 +1070300280 +1649140836 +1458080459 +1032167766 +849121 +449276522 +1495441528 +473163386 +1602350992 +683373825 +1189830639 +2071600880 +1239106703 +1905402616 +575653905 +800384631 +1152411753 +492013400 +1683834357 +1227795331 +2136731910 +205348007 +1041004713 +2063337742 +2010158088 +1293304360 +2007970925 +955673420 +2008271880 +614826895 +1838588458 +931088512 +116484083 +1149185269 +1963256278 +117333205 +1598461791 +1311214159 +590496591 +1053329135 +1994587984 +1780327230 +977446368 +1086211040 +1538246199 +1553100273 +1886595671 +543174304 +2045113674 +1422946381 +1770969635 +2034361936 +1628294388 +664490701 +1950216030 +1490968829 +1957795061 +1810703308 +299158601 +1818583293 +278046555 +2137747059 +602188157 +394530638 +1139448680 +417960788 +511863843 +590426823 +1729174947 +1102360434 +1643755959 +1576279283 +735204017 +473718679 +515006675 +125966568 +2026818952 +254118699 +669140872 +1924448978 +1677065080 +292626859 +1811327266 +1157875820 +957117560 +1614059649 +501361001 +767428974 +1277279309 +800519603 +438528619 +1555325864 +790783014 +1040716777 +1949856502 +1930231695 +1458677565 +314236698 +373174870 +1040368864 +1416597132 +2016930829 +469164499 +4317501 +343165860 +984171175 +130284069 +222501165 +1238289874 +799424941 +2146950143 +767871306 +1092051801 +1810793762 +1925747126 +2049169361 +1277369763 +279624480 +669114687 +407165424 +1080144083 +1107643307 +1962491288 +1870927097 +876436 +1764864142 +1653675144 +1459554001 +2079100840 +2026850015 +352439217 +1348214325 +1896297196 +821603716 +1352531826 +91979409 +1805774891 +1482815896 +314480574 +896581117 +134757189 +313947069 +1664452423 +1226808990 +2124740831 +1442715902 +1128494704 +1254626946 +1722340382 +1797609391 +1661792370 +655000817 +757769050 +1476800010 +378444266 +758645486 +1094180505 +2032119411 +70715839 +1025797697 +1911485778 +423155056 +226528374 +1660299326 +1244758773 +1579060201 +1752278735 +903050016 +914392449 +2066759309 +1799631134 +1049149638 +233222731 +1316599909 +128474981 +210479914 +611832163 +1256969685 +1465106861 +186688897 +907095428 +979415583 +841689714 +1664864479 +308731946 +1220133981 +276026317 +1402912451 +1104769744 +346742157 +281226500 +868771874 +769897213 +507754875 +381587552 +2014655986 +2086815076 +2133866288 +770222355 +853723877 +2053141949 +422369841 +1902873515 +138881032 +1738969750 +2031348496 +349360947 +203318266 +1140834533 +1814467808 +390007163 +2047929962 +646399743 +1231696878 +1565310793 +955131689 +304347211 +1841337110 +210560492 +1409116955 +40595619 +491786993 +130405181 +810492833 +999541868 +511992733 +677665171 +938873296 +498375373 +1447887526 +1792597173 +404033675 +1870257367 +1547987040 +542914707 +1461743470 +1431851889 +892275654 +1665061736 +425202774 +559259814 +2055068899 +325649088 +1205659558 +1139282129 +1890959881 +13307599 +1443629340 +1584813344 +223868092 +705262647 +1625408963 +715655085 +835667828 +288418148 +1715196953 +1347660562 +966083320 +506586601 +1846035935 +266487198 +151700126 +102585962 +2136744566 +1699687166 +645500670 +1451004388 +984055407 +1537776324 +968582476 +1409258182 +2097036139 +876167727 +1734907270 +1155212049 +2015449857 +1478383504 +1168519648 +1311595549 +915713200 +1392387740 +2016858197 +393638515 +2108042825 +705042377 +682056664 +1675756130 +2052702939 +1648139984 +34859083 +1751255227 +1914627182 +186559209 +1853841189 +1903888100 +1886246376 +351858211 +1207408840 +722818135 +1889634536 +28507668 +2132076317 +1839187027 +904675396 +1719499940 +846915428 +772641605 +1050399796 +2015435076 +2084237154 +1966112996 +1260339169 +1953611703 +212267863 +1220898346 +511170433 +894324527 +749170829 +416389724 +394980863 +784029912 +20161303 +162124398 +970589122 +1874002493 +2066012498 +709351850 +78377056 +1125937691 +1432169985 +1968011592 +1154445359 +1416762655 +1659714971 +2059120755 +988778947 +359146751 +684278712 +2039178743 +227098180 +621032219 +1857808091 +1487437349 +427160274 +2070075954 +560852047 +938330707 +816916834 +1310022876 +1354720432 +1211897697 +2094052789 +1374881735 +1374022095 +917158263 +1101400580 +1292550946 +1626510113 +1179777637 +271004989 +911196450 +1000305581 +1425450348 +180475457 +512536905 +1337087456 +1169254404 +871683656 +2021366168 +1060949499 +1098781836 +494914739 +771273942 +438735537 +922075014 +693866249 +999587585 +1860405721 +1510783083 +162126813 +1067642505 +575197132 +108695954 +295040593 +1949219228 +1025854217 +1396441173 +1094286526 +504880682 +428735162 +1365291515 +1416077133 +1429040744 +643258215 +1596552590 +1941577649 +1980345671 +618323347 +665777657 +1854228192 +1679272846 +1764559494 +201659283 +303063141 +55811383 +1123734297 +996929390 +1055398968 +836656371 +360228825 +1217525782 +1904298876 +935425957 +1326221736 +51855821 +737161537 +204592306 +1448296995 +1831448063 +709472988 +1877032157 +1049255930 +2125550121 +1158589253 +1692514146 +1574619064 +952683254 +1525376169 +45458763 +1618460912 +1232120713 +1724731609 +1235536758 +1433779997 +2027794750 +1291348141 +410030646 +877240492 +199263462 +1246687017 +1237469317 +1416789244 +1003502246 +25411627 +595527332 +1055358067 +762573164 +800119638 +356171414 +446537580 +1509592627 +85719924 +1495793510 +1487659100 +1244309177 +1040824008 +914794516 +49508784 +418716530 +960253279 +1667969696 +1650837243 +537501241 +756022806 +937133592 +417812343 +2047370947 +1347164239 +1295052836 +99150761 +446367608 +385038505 +1515940005 +1449869854 +410450132 +2111467338 +357744274 +1173023297 +764103328 +713915688 +1619560877 +126212307 +799635612 +967870739 +1613871408 +2043944790 +2008694748 +381182276 +2093453574 +279927630 +1341435556 +1613939622 +1930764873 +1878936797 +222478780 +720414818 +149265492 +122366079 +2067579057 +1444318328 +221516841 +366463017 +1829356834 +1737456846 +1816332872 +92323318 +1701440536 +26593498 +1265346615 +318060217 +740509186 +737423844 +444272524 +1540144799 +1705294584 +2058143932 +1436605941 +1566505684 +291842561 +1382575867 +1846433314 +1633278117 +849031841 +1629714539 +1364731266 +1071510621 +202645709 +1513996758 +1193876700 +122741118 +810831439 +1415393541 +489204136 +492704625 +1005366740 +158053360 +585027943 +559323628 +184646858 +1850374559 +877383845 +925156044 +440314755 +1321656370 +317817195 +2145609339 +1232316654 +1754423136 +1564631375 +1524159215 +989515355 +1263581041 +1009953684 +1838547196 +745811933 +227201302 +762574169 +948457642 +1741198061 +1956450870 +1071198761 +404545852 +1224360763 +1560402897 +897250477 +82243855 +1718456257 +1482278420 +641567484 +1903103115 +1185169331 +1518951329 +680775511 +1625484087 +693124051 +998592707 +1623609778 +1925440706 +605532195 +1040757506 +1302116273 +1595047551 +156854899 +164586310 +1286111099 +902666832 +391787612 +2048685269 +1851124475 +2132985673 +1857652491 +774839588 +390047877 +934529606 +187758837 +1287298354 +1016773462 +1906215094 +622093127 +1658340946 +1661834561 +1807262458 +1029808627 +195126424 +1285262897 +1722932679 +1193719131 +761389028 +1500889737 +1799251327 +1802146534 +655522362 +1246815230 +1959001433 +820108672 +385442681 +714184618 +1211896285 +286644302 +417825445 +1197398310 +2144296793 +1192665033 +1587446188 +931342752 +1380423870 +727260894 +1948116214 +1139155316 +1349354021 +1458973512 +653506229 +1009132832 +341298491 +848632653 +146912081 +2064231170 +2042351785 +908301109 +1417637259 +1694119464 +562963995 +2073159622 +793451046 +374481781 +745784646 +1178893727 +1088666399 +1957680931 +1465538030 +1506491844 +1007595594 +1462351175 +551673229 +447558134 +246210279 +1932097099 +1174819028 +46842845 +923768767 +376689402 +1505816357 +1577274996 +1385822234 +1847114849 +278424001 +1532734315 +1763862371 +173292138 +293551777 +1034015983 +1867411602 +856515772 +959691957 +513379000 +1230997553 +1705476603 +1692272728 +172180304 +1515673887 +1010327110 +1678672148 +375785833 +325194637 +82861729 +823343967 +571404917 +2014958828 +1998162995 +618247762 +791243947 +227368749 +2124064120 +221035295 +1613190983 +1823695321 +499459297 +998441651 +1440074044 +672751435 +1291993428 +326606379 +392679390 +1025552 +1286298336 +906058390 +1232023106 +844291292 +450847470 +1404203410 +212481531 +1461174580 +935391911 +588267364 +1786369218 +1018253640 +1411611331 +210290487 +885728821 +1262290678 +828538249 +1676972768 +1489659428 +805118721 +1898008064 +955366763 +481330394 +249983713 +1953808414 +1921404439 +922735148 +1098318194 +100527170 +1315414538 +1099343747 +1386825507 +73989281 +183883205 +83633151 +524836751 +1588086615 +296114682 +1986011332 +375994878 +884382046 +1624896902 +1394248519 +148509729 +1835187389 +132493692 +1410800407 +516241990 +1809466460 +752976187 +1321360712 +1559990876 +1708342951 +1802691106 +1809974589 +1514667717 +1576611897 +585226090 +465502264 +1677139068 +1900640628 +1564846011 +916480927 +1974629909 +1748729216 +1000114078 +351983013 +1189332183 +1296228760 +190510697 +1565327062 +33127158 +1815407599 +812091933 +181636887 +1503111340 +944585625 +1592437294 +2019353330 +606568437 +197929834 +1193230394 +19075666 +1906272785 +848437853 +1829050255 +1273456854 +277566102 +266792697 +1738959118 +1954705170 +19949678 +1156321481 +723702449 +1994579587 +757567049 +1723816527 +199078952 +1946899233 +872561639 +389589649 +1364742647 +905688797 +57513600 +29350932 +1087325684 +1560624940 +973936557 +532279331 +1432494623 +1580504994 +730209165 +478241369 +1599580660 +488998302 +1326679222 +1281147268 +1762455156 +1604245325 +1547939965 +1353930627 +1411466847 +1567889643 +362768460 +2135169297 +1414985583 +1120335510 +1711502176 +1614064535 +919751095 +436580168 +2003654185 +137010094 +1342268965 +2061167785 +166361026 +282111002 +1474309078 +1140297583 +814390333 +759320053 +573318929 +1544599498 +1237561422 +25415942 +2033597800 +416756997 +1306563210 +1648569308 +2021002322 +707019527 +855016287 +1284985521 +127425523 +1217784748 +1272671170 +1542411106 +190636610 +836689699 +1008991993 +1110387705 +1273269867 +865162530 +1247397799 +468055184 +778846668 +1413758825 +750166186 +105672098 +406572760 +1564556519 +864992151 +979891689 +961672369 +2102553573 +1005307631 +847786521 +371826922 +164387193 +348872182 +245345596 +871406721 +1203888469 +1530331118 +998832244 +274189569 +655518640 +393759702 +464826179 +1492208339 +1402751695 +1575213884 +617994558 +120430578 +675128035 +1086049743 +899277246 +2088886860 +1836215929 +1004949344 +347975972 +1253288801 +1869941495 +1327867662 +67477522 +1825011420 +185691645 +915264044 +49354695 +350078839 +1264136226 +294700291 +1221485560 +320541047 +1825031409 +72834156 +594730617 +333066402 +466593858 +1059556796 +1825274741 +1869345553 +487287033 +295785652 +1989776131 +1162415068 +1381835395 +741569729 +1103818281 +1070567676 +1746519073 +1451794253 +176372829 +1468976920 +632178267 +243850352 +1146504693 +817869913 +1159114396 +1195859388 +1167948752 +275766974 +1490559679 +241950664 +596308021 +1168107441 +314784820 +1191038638 +1501173843 +781378678 +103111787 +1178964936 +503240583 +590398820 +1474750588 +345533067 +1752813888 +709102335 +1087102796 +709148521 +1779670012 +686138222 +13459127 +1956042841 +7631494 +645637394 +52409545 +1154136187 +1463507307 +1211523941 +202511927 +483972411 +1487290915 +1693071607 +725923075 +2083598937 +713695400 +1040707895 +1127153927 +67385595 +1822086573 +1230265714 +1246350531 +177843509 +1820664534 +573617472 +523376576 +1425994775 +1282719807 +1610479372 +2135143296 +914906171 +149133946 +1118775 +723465365 +156765441 +646756170 +775874910 +1310901628 +2110263477 +1987398852 +1513413556 +446752241 +1327206119 +1059001515 +1172675316 +1263321408 +1772696915 +65899564 +242991688 +1840082510 +1887986137 +1473257402 +938949393 +2065829646 +1146438289 +1512566865 +441722574 +424949416 +647803025 +2052201947 +412609064 +1562709196 +53852245 +413727840 +138690913 +210617686 +1060484010 +914565824 +1521519315 +1023263839 +754481028 +887449223 +1470016080 +2081687147 +1946450738 +495207749 +1197524908 +1571664005 +561107313 +1440516596 +1264262867 +301609802 +766290350 +55728612 +219955801 +1912728639 +1568295478 +661678375 +190194407 +68614855 +566396674 +602803472 +1631324051 +620248920 +1016531312 +1770014965 +830866606 +2077015322 +537097141 +204902273 +952795513 +1291578169 +1092351496 +275327946 +1225781668 +891318586 +770535695 +275822928 +315498943 +1331643008 +1716339524 +1579761810 +1633252810 +335146227 +1635490423 +1853208611 +100391218 +1056302253 +367403339 +290585626 +1124917108 +933800013 +893389098 +608757511 +1554048933 +1909920410 +231288828 +237431892 +1839452084 +768385969 +442334165 +644763949 +2059964138 +1534685662 +920091895 +1138262159 +278520600 +1690627590 +1414085087 +594019544 +874786950 +982940964 +26297706 +360556113 +1318087191 +1661788129 +66281076 +1418478409 +570606734 +433684415 +1709064035 +1695523842 +1367484429 +454969485 +156797706 +774049714 +217406247 +388086534 +1011481606 +2056858331 +1156472504 +1453815772 +554138633 +1068952994 +841017786 +1474230528 +59731505 +1119538386 +1017374471 +1473816593 +1713557930 +1892161421 +309273909 +1739855637 +105233886 +1627361100 +1254160118 +171514963 +898355861 +1824766853 +605199378 +459936249 +1372807047 +1972683807 +914905734 +1529604753 +599249874 +1132311982 +1917691288 +1610731480 +1041686665 +926680144 +917063604 +1595825298 +1995633138 +1758081390 +922572179 +2055364644 +730136129 +1939946650 +1381697589 +296210411 +1684624423 +1690971498 +2036066048 +1789858310 +1170848950 +1142742519 +1961373273 +2069204811 +820025724 +419089003 +381657412 +45349123 +244289163 +1296563147 +1574953877 +843539037 +281391481 +1345161517 +306786869 +1323078146 +124358013 +1223850474 +771419797 +2119991151 +834448216 +1693991976 +2027872147 +1564584345 +1486454978 +1262086088 +1860794757 +1023595753 +805573938 +1749377157 +665970415 +1976422888 +744636028 +479860040 +1898144052 +1564661752 +898949044 +132317816 +1610010876 +1143238207 +1428880963 +1037481105 +1986777244 +1710272444 +235158974 +146080465 +885866943 +359516987 +1369930939 +1657286740 +332024490 +56895508 +1203795068 +212412990 +1621479853 +542766398 +1474499078 +1334790962 +1566362151 +132589369 +936684472 +84848919 +2109012257 +1681320500 +564708959 +1859672661 +1098498605 +1463658003 +1991990478 +561025833 +459412562 +1273387793 +1598506938 +298706158 +836176590 +1833665912 +444786624 +1722043533 +45699251 +1814717563 +1231846625 +377723741 +1871613071 +288158045 +590136731 +1345609277 +830924443 +2064635810 +532916591 +249802946 +49741531 +1469601063 +334651865 +11270140 +1003437916 +899360825 +1870942802 +2101936521 +215535180 +1715449632 +515478706 +674947743 +841353777 +2113985644 +973653901 +1677530367 +1800167908 +1418440525 +1252090252 +1845867159 +1085674441 +336453229 +76107252 +809803864 +624611274 +666243984 +7929493 +1455535717 +583396146 +540846085 +1705338664 +633137677 +2010447148 +2039990529 +644407817 +866401416 +791867706 +367866971 +820854289 +1007402887 +2083316603 +1336332995 +1682350630 +777186733 +1302834991 +508520883 +307233452 +955519251 +1926961409 +1559323705 +653902762 +865152202 +1895776934 +730010015 +1674956066 +372904561 +1396253999 +1682885560 +1828440278 +1979650145 +76247997 +1386295294 +465304174 +2086695145 +1278802176 +1109711991 +805612914 +2070669882 +1477578963 +1626467203 +930589121 +1413411918 +815316551 +465456103 +43115003 +2118151542 +973976987 +350348456 +926187146 +753454748 +1909672161 +1580089908 +1618606950 +1657965447 +162616275 +1146079368 +2030870008 +1558870274 +681481280 +1711826639 +1391036771 +757729277 +950638285 +1856340945 +696940775 +81956813 +818569289 +1502553689 +5143048 +148664604 +981537244 +935732169 +1562076522 +1796853795 +1401188273 +1605191526 +1767521690 +227681612 +1955539982 +546225188 +981136360 +1717728495 +2126315096 +452259662 +1228210294 +141447724 +1598339030 +1111596655 +1700317998 +132336663 +675939646 +943871122 +890065940 +1626577931 +652728419 +1587006715 +1708534745 +1471297708 +942076756 +1713677793 +1619962312 +1923614001 +501926314 +1034555187 +1572984148 +1903114587 +492263065 +1193022190 +2130796199 +300319399 +1739247378 +964448911 +2018047894 +1718078827 +1416708573 +1098774540 +1859526551 +867563956 +62887547 +1412360901 +999900619 +738827193 +208748375 +1889966559 +217921477 +861476795 +1329489627 +1926456222 +185290855 +124082735 +1492650367 +1805253168 +2047696736 +1994576681 +692324707 +1473197237 +1750207621 +1184587772 +518735779 +1733520172 +1484907171 +110499510 +550485436 +1355471417 +1828578337 +1967194009 +306762309 +1540621240 +687274317 +369649857 +805498493 +1687174936 +1108477050 +1014246869 +1429657848 +1326398527 +1875723664 +611663827 +1105371101 +2061014519 +735746562 +450537820 +1718784039 +635959651 +297630854 +263625098 +2109156888 +2047838475 +1448212870 +480409019 +1633874999 +785636393 +590908529 +36876787 +2141107810 +272003218 +2004070797 +300386472 +1812624458 +543861466 +670036329 +470639304 +83552755 +1778513379 +1484886173 +1513210603 +957428259 +1213126189 +2124874430 +2062799360 +1126657060 +713137344 +365853533 +697957452 +1349096995 +663484387 +961582550 +1310770235 +563839214 +262311773 +1791179255 +50230565 +1047948166 +234604136 +87107353 +1041572329 +506607355 +2091178150 +1341958801 +171748165 +487555968 +2011995130 +642387469 +571108723 +1643024861 +2127273642 +2084319326 +452969472 +1192916183 +2061710108 +368285185 +172089596 +627363805 +734138718 +870047048 +1976460800 +1397623105 +1831629598 +1139747388 +1961462319 +2093941371 +783442995 +2011692884 +994405890 +1018047131 +2098800237 +2035978219 +1524654486 +2042494739 +1230453372 +1696402652 +382567060 +1094964854 +191306473 +953675783 +590506067 +171096468 +890511462 +1043475540 +1364012651 +804737922 +1411760725 +1536102247 +1432101727 +2145899443 +258665647 +1261078880 +1396038900 +2090295246 +253342620 +1210017571 +2036752969 +1036785615 +1074226807 +883675211 +2054832746 +1025543397 +772169782 +1432003585 +920554488 +2002623154 +980922589 +1303121548 +950104360 +1172229062 +109313684 +1540610428 +1343325530 +999825146 +436602320 +559854534 +1804563068 +1848363045 +2095956781 +1089181148 +1846778840 +207138781 +202776380 +1095334092 +149950379 +456119000 +157868015 +39219700 +1492904615 +1232094822 +922894912 +1400253713 +110154571 +1695064694 +684773650 +1030709060 +1550204201 +1665696239 +186346960 +352824913 +690441654 +295660644 +1893435341 +2033767184 +1295485790 +182554013 +446138070 +952565211 +2030917058 +394611204 +2041746359 +1730212250 +601749985 +97039091 +678062694 +751700364 +553158091 +835930709 +790920064 +2046062706 +2068025532 +1713814976 +1298832771 +30696455 +1261396023 +1983606422 +1061405515 +664116576 +1501819013 +1247752476 +1016941489 +44777019 +1543413120 +762893183 +2078544204 +691415263 +945447196 +377198626 +1643980474 +828880607 +771809830 +1538243185 +411609209 +1373559815 +1635282276 +1089671904 +2125260179 +40956719 +1925602613 +768696596 +2087019425 +1846144497 +335027924 +1238368548 +1876840953 +1596423947 +1074491322 +790762820 +113056875 +428826688 +2038515296 +1129998365 +473603707 +1434444769 +1892891548 +404664263 +2125860032 +690855096 +781862890 +1622356858 +1519735703 +1553672720 +1013116395 +1931344913 +779748888 +500915023 +873533169 +757525419 +541871742 +651652134 +1526222015 +481407519 +350312984 +1861249940 +1719776067 +79670289 +1310190239 +646783742 +870433109 +1423247115 +1075610430 +761464758 +405761832 +1549214137 +48425879 +151169732 +1953878401 +26802263 +842024828 +588257643 +1649159121 +214276884 +2141930363 +514791868 +2145621797 +774195603 +1015706891 +871671318 +1531721023 +1557578633 +1523323452 +910459390 +2038986152 +1873636436 +624225682 +1611278571 +1953306725 +1934415922 +110578665 +676256187 +1210179389 +1186189095 +1437720945 +1615941221 +587919585 +1486146824 +1767110953 +394314338 +1512949087 +461652133 +982571981 +1014624560 +675929017 +977018696 +1529416428 +674067166 +1751214300 +397639671 +1545738484 +1135451675 +1955218304 +921578289 +2045911065 +1846720808 +647731077 +522653100 +1310515731 +453554155 +309585374 +1421094397 +1129810342 +1519764763 +459799844 +420047639 +988222336 +1047719429 +1906194463 +607849641 +1442033767 +1271659902 +1069501774 +277122100 +138800814 +1745430792 +1254140797 +1668217242 +272014310 +857871449 +2065856913 +1817752795 +1993323124 +1873591569 +591847436 +1891750541 +1572828729 +1239578513 +266919993 +735860812 +1693132668 +576505367 +9471561 +675459362 +2096270130 +469271406 +1095507001 +937008818 +1516990835 +854217816 +1544858459 +811540955 +2125877718 +466876586 +1088663055 +117194884 +64823730 +195320204 +1785412126 +336838040 +1053191653 +1703785391 +7107187 +899031129 +1429893312 +598954623 +643298023 +855238393 +1838533137 +910218016 +1591099206 +1384182157 +1486723384 +1600570767 +2059641520 +1435509866 +2069842173 +1007664873 +225035037 +1439349361 +1861882690 +1769893496 +103406668 +1840276760 +89286434 +1192069723 +1957471645 +154110164 +1387389928 +1595400123 +490948205 +293097933 +1151701867 +498055392 +1192129063 +434111531 +1097010016 +1835427086 +1289349925 +788059505 +598161454 +732965483 +24758014 +2084884838 +186052602 +2084399534 +1372911057 +108411128 +944580760 +1597946094 +1547760489 +658979802 +1220355942 +1651167157 +351772914 +1309642377 +695753232 +161760911 +1463752541 +2083143160 +1757161035 +1954700746 +228757446 +761379254 +305272491 +1420886509 +1195490785 +1402282507 +1108829947 +337357062 +42858364 +1706991401 +1070322545 +67616378 +1644392592 +1256375148 +4532265 +869820001 +1364786276 +949113025 +320282447 +765063117 +1608092827 +1540638389 +268746626 +1959865741 +702797118 +964499858 +2121626653 +19066012 +900159371 +1731304040 +1973766758 +1128916817 +345199646 +131555601 +402319678 +1540690431 +1533838108 +1511149625 +1878047494 +1576696472 +1070657378 +800886391 +1644312851 +567566322 +2057261539 +1648845116 +1437386323 +1274564167 +450474493 +1757668770 +2039627284 +2058567320 +1150823512 +160890262 +1870949413 +1853620630 +1125390121 +1845092418 +1872686642 +2025549492 +1428912810 +1698969753 +1006982661 +1774112456 +1830525354 +1409302339 +1167319240 +1216879815 +772968316 +897883086 +646092639 +1843625694 +1698769477 +142921842 +263708369 +1608547369 +1791766958 +1701094692 +735627888 +94757803 +1311279815 +627771525 +5841475 +314619679 +788661787 +1876790889 +20756661 +1914051908 +1574399659 +1893443304 +1792117752 +855828822 +1444929409 +651616765 +482457630 +1127971115 +2060919104 +1649776870 +197367282 +686403772 +400176308 +843459922 +382545819 +2098945786 +986381764 +646254188 +1560009507 +630665075 +199865232 +148153747 +725422878 +1511145047 +775925272 +731264354 +1825764726 +1564587060 +460571595 +1846521388 +1331155320 +2034971254 +1592481044 +975789425 +743316428 +889926805 +1627406190 +1225774059 +2017897920 +1540841647 +728067281 +67781555 +79761771 +1128243590 +911241477 +462307590 +1079705728 +1897623241 +1108561778 +492231587 +380804668 +1308427011 +640385334 +1106227547 +672088410 +1416310607 +1837491901 +350369489 +833414019 +150579848 +49407229 +17085691 +38067454 +1641888273 +992875116 +781383883 +384331430 +472797659 +2007157942 +254745702 +2013639306 +587741575 +322527257 +2093401077 +1715985165 +1233768734 +408225020 +648207245 +983908328 +1516786798 +1140438832 +1364712996 +677730161 +1780824167 +323456895 +1349818572 +1049651126 +13465148 +1700188061 +1883065145 +164044996 +1749595290 +1900150836 +202112451 +1243999915 +745542305 +983496334 +1628331345 +1218339964 +843170628 +1883077047 +1084495622 +1430912203 +58120657 +1030413051 +999413721 +1291889391 +1438638071 +1647620966 +128314071 +807941222 +640576151 +1493027068 +1485671383 +273916670 +1816483963 +688006307 +1323567796 +1829949112 +240710720 +1059149293 +1993994108 +1990306010 +811816481 +48622911 +1086822277 +1557358786 +1032119245 +567669974 +628215102 +1875289873 +303263374 +1712710724 +1158718429 +361384031 +595640128 +10648502 +1653273422 +2034278199 +1658269468 +1781587494 +694735773 +151361971 +1127130914 +32923509 +425278641 +796131229 +720929816 +1748846437 +478596693 +961640537 +660512082 +325107154 +804462899 +1472328564 +373730065 +1891285177 +882203702 +1405849311 +311471503 +1510418805 +1133655536 +614734877 +1075645881 +144890317 +976118908 +1671286009 +155538819 +481908683 +1558080561 +1813808288 +116012529 +105332686 +1965170259 +1243143443 +138256195 +242965253 +2039274672 +859186012 +1991811690 +370387718 +1820826549 +504840125 +695494872 +477805800 +1977168689 +1069224937 +221607329 +711888743 +327590600 +533078833 +74823900 +1461246137 +1147813710 +1150469782 +1606136454 +2123932619 +674272143 +1761675274 +458357654 +84869056 +1427999914 +574370183 +190201743 +1245686525 +1817513626 +328457938 +1488651778 +1709304650 +1187643950 +1332979821 +2079692368 +860986851 +1837819946 +627703592 +1338792652 +1667504987 +1696928530 +1560399981 +231910082 +2024519130 +2093478814 +306733983 +1338281619 +1093808877 +1457203765 +796934426 +1070257848 +2131475908 +411126052 +1528615502 +68861317 +1839125966 +2102985685 +259063060 +937328843 +1773015663 +587520998 +278496974 +1334836665 +1775164949 +1611476795 +1267045386 +488668152 +1301813093 +1894748978 +1827460804 +821834432 +1444193860 +1240377138 +1053744514 +1321229343 +1186372304 +1360478497 +512027314 +132697533 +670198614 +1308961740 +1202955381 +654190875 +1720087792 +584087235 +723052192 +1411730110 +539589272 +982115252 +201575306 +165121287 +1569636250 +480072280 +1499957953 +1197317551 +2091549075 +619519691 +1685985704 +1245878520 +366785021 +1365962860 +2067712952 +1810978882 +458856350 +973973818 +984724577 +1645228655 +186968668 +1496751891 +1777926188 +857167282 +658229984 +833397922 +1511358157 +230834128 +1417485157 +86926701 +1642564239 +1957074430 +1069041953 +1844139545 +2122195717 +491194556 +176728177 +1474670022 +1688512107 +120793604 +2094189713 +1227014163 +1366672124 +313491087 +445493376 +1286901428 +2124469969 +904349726 +113391598 +961710898 +402094733 +300360266 +310979141 +32537274 +1157527549 +969209125 +865935196 +521402058 +1200043254 +135936705 +608328760 +695123845 +2093011135 +1677370713 +391779742 +2067723205 +21081621 +568507919 +1394909579 +1709593729 +689301523 +1341615645 +789124244 +2055973647 +1655106732 +1234617620 +1195391427 +1632093053 +2138967347 +1308783025 +446320303 +393578432 +1609143292 +757299444 +426115706 +619187193 +1726508570 +1292050902 +1140589251 +779068176 +1427987608 +1748918011 +1474192021 +1373515095 +1278805077 +1865971763 +1293754652 +1299886698 +286996034 +541180584 +861996779 +976297557 +1882796229 +1651121024 +884787556 +1390419313 +738254996 +2080178983 +875028718 +729738695 +1241478360 +1321349021 +1123317128 +703138004 +2078648465 +1549432834 +1322325197 +1657673387 +694000089 +315430801 +289257915 +2121987697 +2064348812 +1763449936 +1348019144 +1195670241 +1481938051 +494290149 +348073292 +1768934085 +1035470733 +1210070071 +597747994 +770783314 +713707447 +1482535550 +13718979 +1451962444 +1415230885 +888747697 +34217491 +509225598 +62613070 +1157534619 +1212363602 +2141261535 +559483806 +387205152 +1651451275 +1253483895 +702635953 +1940709190 +1227987944 +619501117 +1556675479 +428523440 +1815171359 +891129882 +922813589 +15761003 +512580320 +1958284322 +1225831074 +1110328314 +581583988 +1939538522 +445380217 +595302967 +1244017318 +1860611102 +1484050664 +1278234809 +222353052 +1546663734 +288285781 +1434716655 +1540441622 +847769587 +1821921807 +1044409249 +2101253482 +377074112 +837634791 +1181757778 +996575229 +246826622 +1610281218 +664262940 +1137956505 +385611160 +680023943 +1650536825 +196411834 +1905855018 +613381491 +777995823 +1697909892 +1058761708 +1373298790 +794443562 +771889163 +709865807 +2072678371 +994242215 +109045893 +213480504 +281475222 +1649487515 +1061250091 +2103397029 +546413116 +1015019925 +332987493 +1384047908 +49294055 +1329562723 +1630874530 +1659575274 +1993825663 +621347387 +2045186434 +526365959 +124400564 +94114620 +284737329 +737782056 +872110443 +1982647221 +1796543764 +97925586 +629607135 +420949279 +807791393 +554801858 +1415191495 +916837286 +768282363 +1696666717 +418841154 +1829532454 +1652580099 +965254270 +697068732 +1985567592 +201818530 +746362787 +1167646667 +1832693061 +258454413 +1013988683 +306556800 +156157199 +1540354642 +430957365 +250271820 +1825091971 +1168739421 +1122382263 +1660255544 +817799537 +1220307849 +142379031 +1238748817 +2028099242 +697180889 +506456664 +797452881 +1465463252 +55639733 +1216294035 +1147512059 +1708219832 +34064657 +1844580791 +1546303777 +235883188 +443459930 +566466796 +2068576249 +701914344 +1580455479 +227649401 +858071543 +973326473 +658606766 +1108343363 +650934796 +1827346187 +83241979 +163706692 +497662077 +1303549828 +306085723 +1736410894 +1184165423 +1003266613 +95383910 +1981618304 +321246217 +151023643 +1050428691 +1468758276 +1859243476 +1084493348 +1165855419 +1258063605 +1320376536 +1609315350 +1824530401 +1241469137 +163746046 +1257502233 +1469118539 +1021817589 +83345058 +2127725305 +2130160953 +734279855 +1807587845 +65919284 +897986547 +157766274 +1369469112 +1204072271 +1894177168 +406150887 +59855236 +1989561078 +240285543 +381101453 +2140584721 +1290714234 +1849859730 +1852344549 +227723935 +868231501 +962924506 +1548100471 +330063203 +639971260 +642085961 +493809249 +1897473493 +2111204500 +1515626839 +1980818551 +2091446157 +1498304144 +567614758 +1751550354 +1564223428 +1465601306 +1909316628 +786208892 +522189929 +1656010148 +1192359780 +582045165 +1498087578 +1432645323 +963146618 +1491188652 +575875910 +665522700 +1196049553 +803599845 +1533754202 +11490412 +204216668 +1863817405 +651461672 +846302629 +210143007 +401451517 +810023481 +1725769846 +234786420 +753985991 +1076590342 +802401179 +358052697 +493330122 +120518837 +119885678 +1279539014 +642708766 +1775895826 +324415146 +1224753931 +1126499757 +1757060470 +40416901 +470204761 +185452732 +705939602 +1666254314 +989052577 +92210156 +1677744726 +1193269245 +1956027561 +181722750 +2039571875 +18686920 +583174267 +702111708 +1744456766 +817960688 +1456097699 +673563460 +1620361867 +1814150397 +1166893582 +1740880704 +1934036075 +298948949 +236105822 +1562448253 +623364095 +1460859753 +541464362 +232940917 +1501276654 +1011669123 +418393649 +59732608 +530439790 +1407446226 +151942764 +60700868 +453231824 +2107970326 +242423619 +345320051 +2126657246 +825597886 +1047431759 +1723630365 +1643558574 +356045811 +249710177 +1116436793 +22712560 +1416603760 +709833849 +1956748635 +1715552709 +945939671 +1371713240 +191433156 +259315776 +1913177603 +424374074 +1760592431 +777363078 +842767723 +1820325039 +1307802868 +102730302 +1972267804 +1368503737 +555962126 +1932754482 +1610927356 +901282177 +1911928080 +289041594 +1948713936 +1488074797 +1932600169 +157276099 +1737784975 +901553314 +179988659 +1006905087 +1611387164 +2136737294 +574974148 +409843187 +1360966887 +766407304 +669158964 +1126660842 +1190781378 +282267747 +1904023920 +2033549102 +2102592786 +1064343141 +2136279404 +1927376942 +285363230 +544757882 +1712647776 +1896290586 +1446040059 +1477092209 +37848532 +1247270347 +817683358 +1970448701 +1404546447 +407984685 +724518368 +1584535106 +1414889772 +188421884 +1573788753 +1989863920 +598265071 +787271992 +608787577 +1267424035 +1913932834 +1799568955 +1549691782 +1670473106 +1685634409 +1504800921 +587332599 +1674430165 +1284694215 +872695829 +71704399 +849858344 +621502767 +1517744458 +179466905 +659351300 +617531158 +997150263 +482316353 +2022077605 +1405134949 +1206834721 +1459129063 +672541073 +1395256605 +885434168 +514921346 +1993521677 +1672706160 +1123708923 +1113462064 +1439155346 +775794230 +515670199 +962144805 +313944992 +2020471120 +1549477404 +1988375157 +1157681687 +274689586 +2060079557 +2007540031 +896192353 +1430340367 +39523288 +1555543653 +2047871525 +1036673552 +2037860007 +1922465482 +294324853 +1097211080 +1234110898 +966865926 +344984038 +2119545066 +1481787272 +191022067 +1644767579 +458012547 +1304484131 +936439277 +1233806778 +1820154330 +1898584082 +1547751770 +1693141802 +1300577839 +1388643279 +703339842 +1575267425 +1301239188 +563396225 +323976130 +584095908 +602919514 +1879519784 +484483785 +1639593066 +1769896143 +259465620 +1933917919 +719623575 +1493576518 +753300197 +1064607613 +1465637936 +87603822 +1255629680 +962921867 +545616369 +412630164 +1899361145 +1779423147 +85300846 +1650461579 +1179691269 +1778442649 +803555770 +420850901 +334298843 +231339547 +1722090089 +897695068 +555315678 +158702349 +1500614582 +287351814 +643186135 +992724000 +2057247957 +902651755 +779158271 +629387884 +248744625 +1532458469 +1693995498 +1714382561 +1620062291 +802141530 +529820781 +18195012 +1214771694 +281698278 +1797618160 +1300072541 +1932159857 +829825781 +931031542 +588231980 +1250676682 +1265330385 +819571527 +825283124 +15541805 +1374887205 +983985473 +1516156388 +1662239019 +1627171608 +361396740 +1572003328 +382339715 +1140555012 +53907565 +631084340 +525529833 +1747903063 +197983254 +2145592124 +402560945 +727804035 +16303488 +1617332640 +1009502313 +1813921648 +769921533 +794178522 +496263782 +1700953075 +1382410502 +1746940464 +818799812 +54498382 +424739940 +834341617 +1429385587 +1408725414 +203014357 +944140959 +888413374 +564411098 +368660639 +1270753090 +1704966110 +422568204 +1901837430 +83012295 +22987619 +2099820684 +81120771 +425548565 +680141071 +97424259 +2042881205 +1689643384 +1911345908 +665319090 +336338259 +260126042 +218788517 +1718748761 +2007066506 +1037588329 +1773247143 +284322799 +1871929946 +1055149083 +1693048213 +2074944304 +1999290042 +433977939 +491871754 +220467033 +1704731029 +49354216 +643035238 +1459084812 +132366511 +666022857 +1411421848 +213487282 +1091571422 +2091562920 +310911541 +986968979 +1633722656 +74773801 +1652288069 +1970060915 +334899843 +1871076586 +1541326029 +194482702 +761181267 +1167089524 +478805501 +485627566 +74754959 +24370066 +413088222 +2074045001 +458348005 +904959976 +147028387 +15595387 +954314192 +790063625 +1474680199 +1086680703 +1456086482 +738618399 +1300167985 +400174257 +682697671 +1611079526 +1387143236 +168936680 +1685853328 +891947658 +2138997595 +2020753171 +615540596 +1532839976 +67752225 +1376721864 +552445853 +546557726 +1862349430 +627200812 +570927792 +127954004 +553762166 +1029275798 +1032913980 +700790553 +1044871185 +1987228172 +1490854178 +372067736 +926425227 +799457012 +1110686135 +79109564 +1199631269 +1793383807 +1690189090 +439290858 +1962320487 +1228558770 +1331238516 +1953834434 +1101828294 +1946779112 +1339190763 +1169580519 +1176017328 +1891636616 +1716138246 +890883110 +371353780 +139582390 +1018837114 +925115946 +1168858188 +2051751094 +1625906499 +66245725 +1891495618 +969277029 +438313461 +670437197 +1768734042 +1548999597 +749546761 +820881663 +1194899756 +292252204 +1260172521 +1009736595 +1520810974 +443927389 +816087381 +475155620 +243222854 +7794496 +1644736140 +1419240182 +1899431112 +1213390738 +162639645 +123301245 +1352973128 +1181476759 +1048417191 +374347669 +1085744206 +526840043 +440593394 +829756176 +1496117072 +878906856 +1500193374 +1117367466 +280422805 +102256487 +1938249130 +1475322561 +394508691 +1050938003 +337575508 +1915319666 +1494865393 +1153662889 +242991638 +1738088247 +1161457386 +1887727778 +1009844781 +913404850 +953634868 +1172484426 +1036706095 +159124349 +206477538 +2085123287 +533472018 +1292221744 +464479682 +974065412 +2121977920 +1960596754 +1852972268 +1474687646 +930480573 +2133395073 +1576944134 +721246055 +1461233986 +1971452825 +1772184058 +1798809494 +1739288843 +1119565803 +804988736 +1982280482 +710170402 +1966446122 +1722524612 +1720015184 +732367324 +528675833 +745015962 +1769073420 +687800182 +951493500 +1706713059 +1221272200 +96231596 +23709093 +47853964 +70725869 +1984305847 +1900826233 +1545413515 +767302772 +1886737658 +974874001 +1488548827 +1200487997 +798843179 +1113249238 +851813843 +390648374 +85331393 +1656802579 +225445208 +795501796 +1475765053 +1947969821 +368033332 +60648730 +329162006 +1113049294 +1829722150 +1016962188 +2064542795 +1388951561 +90750740 +13290743 +1412660654 +138604704 +84016612 +1249482853 +2039430937 +1629430128 +2016785626 +1778684948 +456820481 +1357850805 +831689297 +1255663660 +323616395 +1683503140 +1646312035 +408947789 +1192822072 +1871757243 +1204449585 +521103477 +1672243416 +1572482917 +581752207 +2001405422 +538048563 +263990709 +870883962 +455107710 +1652942270 +961634702 +468398454 +918119276 +1100239407 +552415066 +20118482 +992186696 +34361546 +2036904108 +623387996 +491182028 +1247271265 +1455077293 +1746845688 +1570887661 +991096786 +1245674075 +1979835450 +36435210 +969947671 +1036801387 +557538687 +494707439 +461800656 +1139290895 +348629214 +999849219 +1403281604 +1219513176 +1454956930 +908740227 +33664231 +1923355384 +1826859503 +1133903638 +328286802 +1846977985 +2126090334 +362648349 +1736398445 +601994683 +853830377 +836186063 +2057071976 +453192417 +259590076 +900685114 +1698866493 +91941878 +937120324 +521330516 +1128743265 +1494659012 +1016037955 +1590543921 +486466259 +1364667169 +442909492 +1889747863 +436696698 +1897866422 +651004442 +470360929 +1673738158 +330380298 +1604264567 +2002024961 +29874635 +1582871253 +217189662 +1766273081 +37382288 +1071020039 +454975496 +2094454265 +1524212456 +714565572 +847655731 +1075595301 +806507450 +1784776056 +1596925817 +1935250715 +1131951420 +465480125 +1378310988 +1618417679 +1830147294 +1821220480 +1360681894 +119360344 +1571603255 +2011686337 +589721273 +1097857765 +194582987 +46502192 +952399078 +224457622 +1629373446 +1169588740 +1990730703 +1666755734 +93125131 +298222551 +1613726351 +1617337588 +1012788123 +313898435 +545449241 +1819295573 +2098674491 +2142375059 +1607062640 +1083142263 +460371536 +837889980 +554076294 +143035182 +511626813 +1914758188 +262395527 +2083230068 +1778960877 +852116800 +1033604185 +1973543864 +898618993 +1986003264 +50517839 +380508791 +1008108356 +2041248542 +2047264525 +1101233488 +191987446 +1513507229 +571087428 +1204775569 +1827405664 +1116536669 +876587495 +1778596507 +1111428080 +336166487 +714255122 +1571799616 +1174056468 +1268331416 +1714834799 +1685683281 +1035605956 +1977230326 +1621429701 +667083186 +681863478 +507550238 +493143402 +1580482471 +346069854 +543661241 +1960991262 +1354178211 +437426136 +1860772140 +307928051 +629413582 +1226795721 +879015479 +1834189151 +906717737 +1995552148 +563292998 +537830596 +959496581 +899459486 +1252085718 +383812549 +2073515954 +372933486 +2098647348 +1611715587 +1408539442 +1928394026 +1085661640 +2075622628 +462773857 +1593211878 +421282383 +2043256328 +1939281733 +964943624 +1856763943 +1145976296 +1402369760 +1570052435 +1453904347 +2031783342 +649364508 +185436178 +1718488846 +1556082245 +33504678 +134298196 +2093912841 +993001259 +1033757682 +1198514911 +1376813809 +959789988 +1571448397 +1327977509 +424021927 +832504191 +1108887888 +1509683567 +760643172 +1571661745 +955411798 +1181925555 +1467434425 +747209883 +2146869179 +1176714720 +1893186179 +1401755292 +599283507 +1199606878 +1286054986 +1248648015 +1385043056 +857060184 +657246612 +1418547734 +991358381 +603675805 +264065346 +2025116063 +1802190716 +1640879155 +837422404 +1226155465 +821373016 +1261444331 +2058659657 +1930260904 +623644251 +671819181 +1354439001 +1579056049 +1853744736 +674389779 +178782284 +1853130267 +1851104499 +2071968463 +1107401911 +302904359 +1124091693 +245973250 +1551552374 +361651101 +1103033434 +61315339 +1780198835 +2094391815 +664991144 +2044264181 +1972024231 +319698213 +1537659688 +661962987 +1545853678 +211549057 +1923407318 +1457029687 +2141809961 +399567921 +2128848868 +1348765315 +1978623970 +1835109956 +2023155094 +9922606 +1540756576 +1726775945 +2081891069 +500674839 +2029680304 +1058499114 +746648089 +1433749031 +1420150215 +1849681524 +1495064370 +1052865403 +1796589691 +12571866 +949645936 +1621130274 +332270079 +339821977 +135609613 +1878123758 +551371034 +2059016932 +1187669797 +545697347 +311101205 +1169035018 +1894462662 +142241528 +856661326 +1770134108 +152164134 +249934254 +1349426406 +86571556 +750609094 +1231623062 +1145070670 +1497257183 +517888445 +417737238 +1199455059 +2012952815 +1470602641 +848561103 +2025524682 +272764929 +322207729 +210311113 +612586906 +457817343 +2088434871 +1163957940 +369350627 +1128621021 +1709655288 +680451832 +150172391 +1456634302 +822693360 +1006833717 +1079284763 +974857495 +1256767972 +281227521 +1061429051 +2007377066 +1512850583 +59016073 +1357150601 +2030739029 +476753311 +409122013 +1896208196 +1947355952 +1257683116 +1774249230 +72637234 +1579890845 +1984560344 +685224140 +2037708188 +1925511567 +1849182081 +259575167 +906648940 +1411353721 +940027000 +1056821331 +720504375 +1762720360 +2063655049 +1799789138 +590094207 +1172939373 +2081016659 +1651523258 +1032832791 +1446383595 +1710539332 +242499744 +1329638976 +39808995 +651621757 +1078363524 +1987164948 +1909304873 +705129107 +2059802182 +1341712071 +542205803 +597542674 +1231936611 +320233722 +299241107 +1491511779 +1226882663 +1710594828 +284055131 +136220346 +283615556 +2046775491 +52391747 +2083404694 +489386051 +1225331120 +2016937706 +2140909309 +110680263 +1315837653 +1703964993 +353180008 +497992981 +1743773989 +1004801765 +1576356505 +1583455289 +766622991 +134001964 +1495773823 +2108335062 +676207767 +2093316497 +1192788025 +996441490 +245073957 +536816156 +75840505 +1955668785 +820871287 +212060851 +91800693 +720163131 +264452599 +27721740 +1209549182 +1489783719 +2044659446 +1202974843 +1600463983 +1213013451 +759456189 +1953643991 +1711006432 +355746530 +810962108 +1139879289 +1939201819 +1577585099 +1273881254 +1287491994 +1538436513 +1950089021 +1233324843 +583740891 +799046863 +1478398800 +1120557047 +874887368 +1286583938 +1941428335 +1086948220 +1378384631 +514107818 +1351400819 +1406106371 +1723657000 +693700890 +1303282169 +779148195 +146681225 +368811972 +1538604384 +2100325216 +2079818404 +1894350914 +763803677 +1072214046 +1686069085 +193905128 +198611652 +826077431 +1732341642 +1217025 +2059402275 +168598885 +800263889 +1390317427 +1289155932 +1675151257 +529417717 +1083100619 +614615829 +1907802349 +1597208437 +1966016648 +1166425072 +1173381789 +512233891 +322223594 +1952529985 +658915116 +691035566 +1343650721 +611756685 +623370323 +1090517988 +1375560362 +1695584369 +629103425 +1569465490 +1894196021 +1455180857 +1154323484 +1895413046 +1367099484 +1322922369 +548193287 +609933263 +464594654 +75860897 +1139350981 +1547695273 +690476726 +899669682 +997420063 +509009727 +2066094754 +23318204 +1021243618 +240834700 +1975848189 +1680158734 +931870267 +1172015263 +144431771 +1555240590 +115049603 +1519992133 +1103341311 +744153028 +941973976 +850053684 +51850237 +2096297460 +597983082 +1418949721 +1271736182 +1146176370 +2028882985 +1736330836 +1222037267 +1020750318 +1136542461 +1912513993 +1920420000 +2133962524 +274040072 +1839031106 +9797081 +1295283690 +2079865807 +1985645270 +827958777 +864252426 +1010176885 +972390548 +272009368 +1125226488 +344899034 +1375350679 +1869379517 +1286873010 +77920715 +1921229754 +1235686822 +675903797 +1192695828 +359939356 +1822080167 +1074095165 +2096270192 +896633786 +2094845483 +1085329006 +661664132 +1867781835 +1071807882 +935704204 +1559329293 +1081604963 +83504247 +1491711452 +919766586 +911463024 +208480230 +1929943471 +1883853572 +480489598 +907686312 +81268958 +1855840277 +629582181 +1368141968 +1933760992 +403328287 +456345143 +462181142 +1596024115 +816284499 +136777661 +522635632 +765071044 +1033411448 +469997467 +1850400050 +1695075580 +190295654 +774724284 +483296136 +1749624948 +1856329248 +566800383 +1093852752 +628612186 +1478263407 +1302332983 +411072009 +1214633332 +1782822581 +1318758321 +1295902290 +1491179211 +1948340502 +516560611 +1277456555 +204185142 +972905754 +1739637697 +1800209257 +1789190253 +1876415359 +175361242 +406777649 +762343159 +645358709 +109694051 +309935091 +835654364 +884418336 +793231227 +437795664 +593263936 +1360031611 +1531648416 +1221876122 +690811370 +686497751 +1632948131 +1905444702 +321836685 +804222805 +1053863345 +1813015896 +605079659 +1570423956 +942988803 +809264801 +395846062 +535142853 +461990411 +37552667 +264074564 +637351653 +444330317 +1026417723 +1282710362 +554024368 +1336352814 +2118364726 +1438442704 +2129584041 +408676742 +2031706640 +1342132004 +1940325159 +1106099114 +2032943375 +479339262 +591563598 +1790904429 +801175947 +1395786403 +697284126 +466708195 +2000866062 +120224434 +1409696999 +662647216 +516070496 +1944839852 +1124637627 +553623164 +61430768 +1761989280 +997953481 +1087848491 +897215994 +1551977849 +276717657 +868097073 +842936906 +258818050 +1276773815 +727159898 +1600950055 +1069615326 +1833259013 +1486409782 +1548954589 +277338963 +1129830563 +202646888 +1673125366 +1827114690 +669355084 +1526507780 +1947339124 +2079052083 +41671348 +315925973 +1876408287 +1166308975 +869549137 +1937839055 +780814607 +1867502618 +878203898 +1678030602 +1271996819 +1154921555 +398644027 +2114933725 +1413739605 +1675417842 +694609976 +867206012 +597549521 +380385341 +206132146 +2146504110 +657724304 +1335962710 +201667350 +183366022 +1015593752 +871022434 +1709873802 +815449228 +802590869 +1751545151 +1131375201 +531515508 +770370478 +2000924338 +321870915 +1551185086 +1720943308 +1200074813 +1081732040 +845456480 +207512720 +1480376067 +812906557 +1621252326 +1008310261 +1507516533 +340974690 +1605859782 +1887901874 +547106837 +1604880244 +398142530 +1883069547 +1806547595 +581508552 +751179651 +530086381 +143898707 +1566628879 +1332677251 +1895443858 +550520433 +1864192759 +518330688 +403961123 +38580027 +2069515774 +2124904432 +1238654840 +1003764166 +822877264 +1446167561 +336656585 +1635783821 +919936239 +1344966847 +995816707 +1260910929 +803342981 +736234933 +1808017766 +260739578 +1134377464 +1543603665 +2067287173 +1715886016 +147299668 +449889906 +1859784723 +1713928548 +1782567157 +1607744933 +116965333 +1499276269 +2126075622 +520926456 +1537856296 +2048107748 +498347240 +629027488 +904388267 +1321224504 +2075195049 +1241044852 +809524678 +847647640 +438528051 +1805341385 +2108558570 +1241871033 +394092670 +1769092688 +1502610611 +1528470134 +1165212706 +1422414136 +1096872503 +1312512374 +1872304042 +809173578 +878957274 +1507387552 +269434864 +995922607 +859180173 +248026838 +1516849064 +249552821 +148650938 +2015196304 +878580309 +1053039205 +1188937161 +806291711 +146600410 +1998461839 +1653939351 +585128461 +1656319576 +1615014273 +1826999494 +2050412246 +1236623314 +1182126457 +1431398733 +254352372 +457056945 +380787588 +1566864746 +181877340 +1189961166 +298338373 +1689264892 +1459396030 +1294260980 +400961417 +1707422868 +663626396 +650514238 +1856073807 +531339053 +1529094547 +761629364 +1720276214 +187902610 +908229774 +1571254405 +1841841962 +1493358236 +1080090333 +1309372587 +1172874082 +983018931 +398512253 +207516892 +266934016 +652864625 +664573837 +647721604 +72245724 +846451177 +1837682771 +370584097 +388232421 +1149595153 +1664845077 +789193838 +709534374 +180987826 +1439708076 +418124533 +712326879 +821318976 +1179753897 +285119445 +1009221586 +2087983672 +1856373850 +703579900 +1433858260 +788980535 +2012952488 +459248694 +1771999466 +263981093 +666765586 +2038933483 +916845719 +1331339424 +539171439 +989091443 +30306953 +229370562 +1359675540 +418539375 +1378965716 +877036969 +1207733213 +2088500090 +1058024795 +499957642 +359140975 +1770351674 +1321276618 +1538894872 +2055471119 +183014556 +1479394896 +1764361321 +886594457 +765769508 +405858208 +752063297 +1225018203 +30374027 +1016044390 +1891783789 +2069307510 +1932890109 +1075639565 +460995301 +774497904 +1105946519 +690365864 +2134173444 +1524485894 +2069331580 +863726766 +584735459 +2010348022 +1921751561 +1084693101 +222005349 +1544619588 +258486071 +1760900221 +1452607059 +441500628 +1092811470 +1069484733 +1328095085 +1858580978 +1475342941 +2080158382 +936115533 +1505716968 +948719124 +680415675 +1427540830 +734125586 +1756055240 +1888536132 +1508623490 +714518111 +431418348 +1495313287 +91520357 +353266280 +211556405 +676255817 +216130654 +2133307966 +1760948918 +438136003 +1530443906 +2019434990 +51552576 +835567318 +313451970 +1144364046 +1905052051 +1641547055 +855461377 +1232911344 +1574221789 +1791576910 +591144665 +375457265 +324508937 +2018685495 +1109582851 +2080564178 +1759737979 +470722694 +647598641 +43672679 +1966035981 +739118999 +396938959 +30108738 +1415374816 +613069613 +15933056 +1028840086 +1051205616 +1546376963 +900791428 +1102758193 +234460633 +1214243398 +99638591 +2139512684 +708306805 +955099968 +1224940380 +135044946 +599193231 +1816085045 +510502212 +923702168 +1687286893 +1620085063 +856782698 +1299541224 +2090807757 +1504381340 +1343213904 +1909360090 +96016691 +1740152863 +1939468828 +1511391507 +205738829 +1955401885 +392747945 +1256944445 +1354295200 +1293539374 +212218990 +1588755833 +360299124 +311857582 +1580784869 +1068605930 +1266957550 +658241601 +1203650876 +1866150781 +326842999 +1714153088 +642369302 +2014129892 +1186754504 +1499152000 +1166187468 +1130078613 +856049692 +361917724 +891955056 +952066383 +2102070588 +683940236 +315974242 +160325769 +491858473 +708722188 +1417270214 +1846153673 +2002261562 +1629489205 +1287425858 +215077038 +1941346787 +720727079 +1283682968 +1060820689 +1378968681 +339850197 +779487823 +1705811680 +2054003285 +1421857125 +1572457924 +1093274141 +773525477 +591161744 +75869107 +1629575170 +953079469 +967824163 +434157905 +907666409 +1651764399 +750132148 +1067992178 +2143622873 +1458854336 +337778744 +1842292898 +1313632250 +1967267949 +982235109 +1528709288 +1761131088 +1702962188 +664908609 +674468130 +934447221 +1004758806 +1453955953 +492775253 +911278443 +728329430 +2065233177 +2004552585 +1501854907 +508911274 +2080421692 +983946429 +1461990743 +900762207 +1418104335 +222173504 +405042958 +20752835 +1290165682 +401182183 +1479607171 +1627944426 +95991434 +645755773 +1447728728 +1078226543 +26981413 +1061376168 +633705083 +691890022 +1735844298 +1568152305 +1696648828 +1042316603 +2060927558 +460443624 +1770646033 +1978677088 +317512561 +1125017293 +340104714 +250450605 +2108963722 +1802095457 +1151212812 +1379584409 +2024268961 +1556255770 +1400337244 +1166950995 +1957437954 +732460767 +647411773 +2053429388 +1378216540 +2095140501 +984172283 +1405197954 +1009033022 +1617877366 +2097087976 +597393672 +1038546023 +1646253157 +1639710276 +951989934 +2106696781 +1262872661 +783183374 +276725694 +240406306 +1123288088 +527176299 +201886381 +777899897 +1678389111 +1581470790 +654685210 +1087161233 +834324387 +1821636205 +897115539 +1566785154 +321564330 +803061279 +797518047 +269221184 +1787233562 +55232353 +1278254206 +1257627281 +4836681 +1875647878 +148689656 +1651089838 +1367874506 +1100679590 +1610302971 +483263520 +1883862964 +1887028665 +723669826 +859667404 +266721316 +925556207 +1637567301 +1945110427 +359543350 +144768863 +884788013 +1193867737 +1966405068 +1781903552 +613169243 +140485751 +437481184 +1410687290 +409706935 +77231098 +1465919643 +1687961141 +1334858379 +1470756325 +1416125371 +1483548036 +974362515 +636516230 +436743978 +437181839 +1119779750 +173123295 +176726856 +1843449576 +1032790699 +443448173 +621522136 +522874353 +241074952 +981065486 +667643216 +1125862965 +27449575 +486564637 +760282870 +640618818 +627050388 +1197764054 +2051306109 +1036757323 +1274995152 +1369742104 +577234816 +462369884 +693014781 +1993360187 +1945917920 +1667377297 +482392769 +235178250 +2104559136 +1602172519 +408301545 +133802344 +1298138448 +1441092245 +577250517 +1919660584 +1963966598 +818325470 +753242422 +484126166 +1944188435 +780691997 +970690803 +556987657 +1421310815 +1597741191 +1754751711 +1325133276 +487014866 +882263216 +547391733 +1064249682 +1344633100 +1240406514 +910126222 +1143067372 +760300163 +1392518991 +1378245622 +717375651 +847207863 +1786547168 +851177996 +2145346311 +1080155765 +1428428513 +1917523247 +896638715 +99270335 +523282021 +1380764881 +2043458771 +1303974018 +203972037 +452962780 +577801185 +1801713228 +60230844 +1902934462 +141244447 +942494060 +302842547 +1205494129 +139643512 +1543249061 +2115620351 +1282710884 +156065577 +1360655695 +513472858 +873441228 +60379910 +152536378 +1724619224 +58242573 +1232692143 +1005564090 +1975765820 +2129330858 +1104834425 +351564193 +1362612092 +1000809548 +1655538211 +1566584129 +1453772329 +85855748 +1220813709 +1514003173 +1988790210 +1362058156 +309013585 +144149109 +420068638 +448657097 +1687398171 +388205341 +1731367981 +1843463748 +1748861036 +97357191 +569421328 +1809240946 +249893570 +146556905 +1867483519 +1482585713 +1152120995 +1695765691 +1464432924 +109471772 +2047329884 +679561368 +1110281321 +1555384447 +98661849 +416570002 +1641240196 +1319475558 +1930573175 +1482546758 +534050067 +92103112 +1626695868 +954118705 +540760209 +1166610391 +1342324046 +124644542 +862590491 +943701435 +222001733 +1432011819 +605458733 +471895303 +1578568724 +325458605 +1954481017 +583206071 +2021224296 +1271430293 +692677844 +1921070533 +1950991661 +1802959165 +1328971332 +2049653510 +72045519 +822727880 +1221645420 +2002618694 +157790991 +1755695487 +2094721806 +1784486859 +562330544 +487998367 +803613602 +1904654591 +612642909 +1666204093 +700872378 +834644642 +950732264 +1306331111 +1306539946 +381817341 +1631789716 +1113537315 +965023412 +1505530365 +237483960 +1657701256 +1279117250 +40991973 +1313176773 +460604934 +2090645483 +1385222292 +1283332815 +1164807255 +1240357338 +1441123806 +773019095 +1187595496 +1078127017 +1335349639 +1675593863 +1881740619 +1092520582 +140753124 +1400461064 +1793392960 +975397767 +203709680 +952240424 +134454065 +585527021 +436546492 +1247991380 +1550550434 +1942076857 +1485475340 +1060768042 +1073710459 +1526467313 +226461168 +1534315394 +1469629148 +1611683460 +670164561 +486952755 +704557151 +2111288367 +1259971850 +1892152647 +1041931736 +447837842 +1420262863 +776188707 +1540358424 +1561015987 +29166123 +1186267737 +388930106 +232875803 +2138508161 +523384171 +818402825 +427571005 +1771375551 +221469611 +222164215 +1109367243 +1282237653 +1295874674 +488350908 +1508698821 +682706420 +1957980056 +972898634 +1352870981 +297449164 +1677455785 +1316675700 +1557421014 +1422124784 +211123788 +2005258856 +694903999 +987312495 +1398133633 +108436339 +1016478618 +436917722 +497366445 +1249354422 +427942235 +1020750617 +2067757247 +855513240 +644642520 +141743210 +1077677455 +1754009764 +1423980863 +226068482 +94877024 +785196037 +908774902 +2052857081 +1758094671 +114162236 +202822597 +1288066808 +1430837936 +1760243611 +562707944 +1641961725 +1618018820 +1257611944 +481790572 +868668805 +1366048283 +1498269191 +1305586527 +1863414728 +600139965 +1733528762 +736681697 +520413564 +441558354 +1381324218 +662156774 +1519235810 +987850334 +2086137637 +1745304292 +1082727358 +723850026 +506595546 +988100791 +334461049 +620757782 +1190923388 +1622527857 +2051595719 +803683352 +37752154 +1546073796 +274218524 +1295364098 +2027864368 +1142887329 +513928733 +1378649911 +300990208 +229859813 +1978789876 +2034518970 +966541511 +351719792 +328593676 +200382081 +1013876566 +1847829486 +1188232415 +952530556 +1445650130 +123476125 +1676380582 +1952245677 +1111576917 +2010841632 +425519811 +155016657 +1485885841 +329631882 +958700009 +1523637995 +1875705678 +1232918533 +671518445 +1756086399 +228322214 +1185447178 +987252662 +529312422 +1415306992 +818558891 +416347744 +234364855 +1170278683 +744941421 +434746936 +36671602 +445287259 +1622979351 +989202158 +1890937390 +1746455476 +518099092 +1695699419 +710548745 +381457076 +2121219230 +865565403 +1867342918 +303367465 +1824265412 +1243497265 +31589495 +909700298 +1915015711 +1787675894 +1138022512 +952979241 +627444909 +1667334935 +220802585 +1446003800 +2083682679 +455167440 +468798835 +681140452 +889914376 +505470437 +1126427712 +365410079 +1494672595 +869881454 +2111865556 +2012771688 +418097225 +674930653 +246745116 +391832807 +1540496056 +2114088034 +695200272 +1217277821 +1210101652 +726789768 +2126978119 +977633715 +366982014 +1117516983 +1930612956 +994426923 +637368270 +3931894 +292947075 +573567302 +459099334 +761745911 +1254707754 +1349013711 +1267216348 +233651818 +1714423790 +614405296 +1103533272 +1678805698 +479693336 +1521630497 +206252704 +726438452 +1913463305 +1746748760 +693042839 +461179929 +816542933 +1903144491 +1187969697 +796037404 +733294558 +1554951712 +1913554388 +516423866 +401894987 +403439010 +520355760 +694842063 +977006312 +979455095 +1456587974 +84230419 +180985158 +576320674 +317882237 +1895408948 +1190725970 +1421415510 +1426730999 +1670419306 +795562359 +1632983703 +249374111 +561542016 +1232248815 +942416950 +1022721946 +2048791749 +698077793 +63207995 +697345505 +1431372351 +1618159707 +463416245 +1947796217 +2020054695 +866855256 +320668330 +567413110 +1843861568 +1300123425 +2024001084 +1928091987 +1481108583 +452838110 +98490577 +1229033883 +1643564081 +1519906087 +508281234 +1166499739 +167984798 +2141264937 +1415873850 +729526815 +1226030105 +210807152 +1752248761 +1127338206 +908884945 +1815456756 +1824683711 +192773648 +1286132816 +140616309 +2140569866 +1158703863 +1007471565 +313754548 +1726116973 +703849485 +1613877973 +1602634409 +484457825 +947502908 +2055472519 +582948402 +29053143 +1551552952 +2102854489 +537334378 +570569044 +123355639 +531115667 +1986442894 +852882454 +1757145772 +49766399 +457647567 +737000330 +958651344 +125620676 +414200394 +1151424993 +1411753492 +554816703 +1144511211 +422973707 +1562288268 +1458265759 +1607032 +118654105 +924660084 +1604241441 +603111930 +1872162992 +1512230312 +1186060332 +1901216135 +916299617 +1141431173 +291066865 +1486868661 +1264786813 +822182533 +1325827907 +2117669267 +431844657 +1375594306 +427833187 +1168844988 +186762003 +553453863 +1583045382 +1338186996 +1965207355 +2137862085 +335214559 +240697414 +1552666705 +1793480318 +242304446 +1671320810 +570656754 +1846545887 +126949093 +295336098 +1211292551 +1313009425 +49068585 +2127592168 +306956951 +340135451 +1466977181 +1571743764 +1162317984 +645321441 +1541929383 +1594162641 +2020915747 +1969762570 +615523981 +60194102 +375732785 +51085715 +1398381098 +193456492 +41464152 +1733595657 +434153906 +1594130857 +1379592327 +676458352 +1117968020 +1950249081 +375520591 +1244917113 +98101531 +1586813143 +410442890 +147170117 +1566921663 +717399841 +487305568 +886415197 +141659957 +1649623552 +1531736638 +1683589341 +1096302545 +1405168737 +1505868263 +1711826527 +1465362840 +1881601049 +1762912242 +716260290 +2075057541 +1804376395 +302372300 +361727800 +1251023604 +1681964627 +1038186152 +221507976 +1484730061 +1413706744 +1466425089 +1582831592 +853036239 +1876867980 +1730001709 +272474254 +446784173 +69823629 +1158889451 +588444131 +1719447181 +543142441 +124549824 +668266079 +1948311179 +1630418087 +232608958 +1266190371 +1364535488 +1995521200 +1982450661 +1292109382 +1652413947 +137339313 +1653837182 +755953904 +1819303941 +544539686 +977461880 +1156550354 +1958246430 +296403322 +591898298 +663799021 +25787654 +174416360 +936273276 +472571827 +244239989 +2095162727 +1061015958 +1963687171 +490821521 +1185565782 +484469602 +291649052 +668500222 +717078560 +1557839423 +2033035710 +565116112 +1392806436 +1177661444 +70046412 +1530145750 +684014978 +826000316 +1201966043 +1228554665 +1803462196 +211032749 +1039317447 +2099865518 +802931047 +1703116469 +2125653172 +977347407 +491906097 +450741352 +1221587397 +439585176 +1511757310 +1037790920 +930406697 +549839445 +1522260522 +1222055749 +1218339667 +91855434 +632411524 +1103891729 +656971546 +2025217961 +134069526 +727017958 +1407880063 +818084504 +1553018274 +462362458 +2046639169 +1208996823 +673395207 +938472969 +1161378693 +1476326254 +494105790 +1139548218 +306190014 +986011887 +1590289570 +1527777411 +1425597063 +954563232 +418084683 +208520113 +1504402677 +1940345205 +1430575862 +575258696 +2032200639 +2062987387 +1679150426 +541688537 +1940721700 +1813219952 +1268706496 +1201118115 +483820808 +674241122 +1663480573 +382976330 +1883237945 +189392132 +1321449299 +897132991 +1665718386 +1815555089 +2036681209 +1971908400 +654083328 +1479487131 +1352202163 +2079680391 +286566715 +1770286846 +140716856 +1790969393 +1563148403 +1571292719 +218744441 +1447865394 +1486796458 +1897894867 +1989553932 +1280034510 +1563631171 +1110776780 +333668977 +2047451980 +1785017902 +1997149550 +282944662 +1520772200 +39058034 +1604393961 +270421543 +1704776420 +1272465402 +159619104 +1529201173 +1926548730 +1639106235 +733919688 +1858745473 +1925672950 +356722887 +1999462330 +1569158695 +1919871290 +1423271401 +1787903137 +1220253037 +762584211 +1538314356 +1062323321 +2042618721 +954461880 +25616453 +228804050 +854430212 +1810634355 +78469952 +1137374874 +1183922907 +117527986 +594285187 +1454344450 +1822304406 +1866750589 +1613963554 +1204021931 +1645815671 +1105586141 +1937941620 +1357077496 +883775444 +147180859 +1209056178 +305450491 +2067052149 +484843931 +2093353628 +1139821538 +1247428142 +1484184337 +54661211 +1142563215 +291162569 +80277664 +1371367265 +1145592781 +1890912020 +1449837217 +135484007 +927351279 +1567365203 +729769194 +234212082 +1242185962 +449036135 +1848175636 +298724245 +2094851806 +806278130 +89182217 +1304445654 +1690053574 +236363076 +366018185 +1995504065 +155931578 +850862116 +1941374046 +1295753116 +2098290259 +1278074735 +1350414328 +1093369826 +1569237304 +1430691992 +317253444 +567346437 +1174120364 +1767090661 +702830444 +2101471644 +1186972217 +1432599638 +188200078 +281674531 +1881635773 +2036375714 +580398776 +1829003931 +695170196 +669580994 +985965937 +237740122 +905944070 +1351984122 +85760540 +1061875648 +55362591 +2027134586 +210145117 +6169202 +1157725673 +1560559445 +1099539028 +579479329 +843767789 +1416792472 +1146825766 +2017888154 +1036399486 +1849656210 +1971876150 +75888055 +1134772200 +12592580 +357562586 +868924325 +2048968294 +937961362 +550444608 +596654843 +1607542356 +1536410545 +834394965 +366002779 +740911020 +920155505 +1427878427 +796273611 +799806443 +1638023544 +802442813 +1957532116 +1051099341 +1901981841 +389527797 +1894867131 +1171290666 +1536353563 +1765271637 +60206504 +1238526125 +1589664139 +136094559 +225814677 +1602256719 +493657145 +1094739002 +1503741365 +1431618507 +1645183610 +2100396208 +891677216 +1034110508 +787307526 +1257679995 +1775021528 +1707463031 +538074774 +423811491 +359785827 +28614671 +1226254304 +169834295 +1079714012 +980752497 +559362093 +827097495 +4559515 +2095715656 +444885484 +64766019 +1186758134 +2034549623 +200860578 +1412572811 +1489322694 +694517723 +359828166 +845580412 +2126136231 +2005011776 +798492972 +870329799 +891638636 +1585800498 +2128009794 +519176516 +1145779882 +518600920 +942988007 +1505565709 +547215591 +21758663 +1675400004 +1626929604 +1002511161 +87278449 +306543451 +1007070676 +35510458 +751428936 +1071836696 +1222268592 +638494911 +1272697274 +487357755 +2127817606 +1967214998 +847185921 +825914370 +1945867581 +704714050 +1624407342 +668713732 +1596352686 +1062724193 +649239878 +2115529203 +61020427 +1167840798 +911033562 +1566586136 +1715056390 +932792226 +1094502492 +1194502346 +1935303387 +1181780942 +1501045797 +794890415 +1217291400 +104991085 +1866727111 +292076344 +743485997 +991940738 +779434099 +723819955 +811672088 +1626620021 +1549734325 +610056021 +183850423 +1026658019 +1278769753 +1780203109 +2089382212 +1928009631 +1748248664 +2918991 +948366781 +511798579 +1569505127 +515939523 +1444590805 +516523972 +1710441869 +1232410544 +1698304914 +1064004019 +2027300959 +768112666 +1168995104 +1746544423 +1060189010 +1912481101 +591001513 +1839623109 +488817408 +1402673601 +1318759482 +2038551733 +2012729622 +1502609905 +917726105 +1144015727 +1135329367 +859624669 +924541710 +736094383 +862543661 +1872908491 +1247892962 +284565140 +241364367 +545000119 +801089112 +1951806236 +1777410663 +351910378 +868326607 +1657227975 +1120023044 +2037321712 +1256288750 +32728406 +1802319165 +1847290263 +1872351516 +143652926 +1102480216 +1043627350 +34721011 +967726190 +398753608 +952447116 +2111741917 +1534082975 +1812071786 +888799979 +122693710 +527131799 +614224822 +1370586673 +811696939 +855589189 +1915586792 +1612786052 +659911778 +1545513808 +1964696430 +1528238385 +1055258135 +937235827 +1418076449 +164063237 +969964233 +1072911967 +2011353500 +694832101 +1216564893 +966350068 +1738459452 +1251285904 +1934076258 +2137213060 +56249373 +1898334527 +1523812387 +1868321159 +639650858 +1646506097 +247969310 +1253875680 +869609122 +1059666249 +2109464870 +637712267 +524968653 +621893000 +35742427 +342181436 +2647737 +1091000562 +1279417263 +1420724187 +1255063799 +101897848 +346152506 +1118933651 +796729950 +1562717399 +2085283719 +387705754 +666519655 +1871876329 +377435166 +722769028 +1622727208 +1901247553 +443606539 +114894418 +1400270002 +691575849 +1368770098 +122395477 +1751242099 +1330751320 +760107744 +128727104 +1952644320 +795850171 +470908540 +1955292058 +1886850733 +1750325803 +1228532597 +994430884 +1852223652 +1574685103 +2113364535 +501469954 +989918854 +2051164606 +889175708 +1656438509 +1775557287 +1266610874 +231723890 +1250800847 +1020374779 +675330429 +1365695265 +273161133 +1366906279 +586981715 +395556610 +970664730 +1917733036 +1155664354 +1099391834 +1722893708 +1951514525 +1570300375 +1530702118 +1690881610 +1173142530 +611751067 +537828846 +877882534 +38952522 +503709733 +1379352488 +1028871376 +407390691 +121044548 +537826238 +35464330 +1387655422 +769550128 +1286265177 +260546553 +1444880557 +504476794 +533707687 +664303188 +1091458510 +929264297 +1634967918 +861707898 +2084928652 +586876105 +437117958 +1888959529 +9692832 +1967820077 +1432357492 +1182835362 +432087496 +1970186338 +2060717897 +471040019 +326412424 +1292586737 +1499911395 +733803115 +1413631286 +2037737633 +769267446 +653803060 +659804113 +2055532623 +914349614 +2104684671 +412525770 +1448057301 +621504211 +1503984280 +229837950 +108988482 +218208530 +167282954 +695864587 +655326488 +2056242484 +705557419 +475662917 +1341116328 +1888392781 +907750414 +1163819018 +1801627030 +1378790433 +1490231442 +946730120 +731218180 +76550910 +212877758 +621472166 +845818356 +866680818 +1281276279 +753867331 +1781030432 +1238477302 +1166393101 +1081604085 +1859981514 +522893733 +1311442036 +1968969996 +741102263 +1478724990 +517350935 +1396428752 +1387483826 +1222908354 +1872091669 +581116506 +963817487 +632358435 +1744935525 +617960870 +2011148868 +1087683319 +1564690990 +594883401 +1164234229 +1777568748 +1216355567 +2010052585 +496765918 +350148198 +616436269 +130312703 +1588625501 +1782829370 +1211916788 +1301123367 +158239456 +375875176 +1122609715 +899341719 +1854600167 +1639960650 +148286823 +1094600345 +715385356 +2020378493 +1675716852 +1679202843 +505253280 +1273168729 +149680065 +368918501 +213368400 +1714371055 +963801902 +1377602630 +1344456155 +32673821 +1240171567 +1841222074 +382822019 +1856607836 +1971534777 +1971447520 +1491953559 +1035967917 +1125087239 +1650193015 +1411843094 +100213306 +402051086 +1118959613 +1740173956 +550337910 +66076310 +308075664 +423232755 +1741793162 +1987278508 +928486035 +867478243 +2136958573 +1297404536 +1080846644 +1703845981 +113722790 +310965626 +900818488 +146396611 +1551137193 +594556914 +529218631 +1260261382 +418608043 +353182503 +604731293 +1454575961 +1478269743 +107440660 +718935407 +1578483049 +509491746 +1837895020 +1171173358 +1059829656 +1903971330 +1479249022 +1483062411 +1498280845 +1319043882 +264064799 +218275440 +1308518808 +1561469335 +1299122084 +864881141 +1675192126 +1610087710 +1765699629 +1821588737 +1013741256 +212772896 +203323720 +126518990 +631380939 +556506224 +731250283 +2085956900 +2034775967 +838690943 +657408659 +1465775368 +1348182689 +347820031 +489465078 +260528698 +104307714 +1968714101 +1743591109 +1602588559 +1140274335 +2007655908 +1820863999 +301309495 +1421641596 +972502436 +1166190636 +949350074 +435106498 +784406618 +623455163 +1448847754 +997179514 +826778884 +1575366744 +1628560453 +1383285108 +159133379 +1567033706 +1270577427 +997824322 +76958717 +588869147 +198523364 +424778749 +1078334226 +459052062 +529086463 +899564679 +55159523 +2131675022 +2039839014 +2062815432 +1805055373 +193664862 +1336973380 +630074161 +1359855498 +138839806 +1065180660 +2144262116 +762294969 +366544766 +993957982 +1589073853 +1941911511 +475034788 +824875313 +2101044890 +2042068494 +2095452740 +951385565 +2119027211 +536838240 +1149908929 +396322312 +1615172466 +1608960991 +925408775 +367253497 +1664120514 +909600149 +259608863 +1579452298 +567171875 +453273725 +768942030 +1197246036 +1813129224 +907781836 +114943048 +1809907692 +1670076806 +481487815 +656382027 +1111667011 +275915678 +1131416815 +1936542325 +229476920 +1026001661 +1884511417 +1180862485 +997545224 +273866009 +183287766 +1393867537 +1889038475 +1792248757 +171792664 +108808324 +1308885624 +1081392814 +368417188 +740854274 +1648564689 +821690913 +1509796305 +698327077 +487336489 +270094493 +813270126 +149760534 +1940171299 +1294757941 +806142561 +904354663 +1570673619 +1937559376 +693413340 +1800150539 +816077389 +430441109 +833529377 +1813622613 +704307119 +1016817143 +1060006502 +445861946 +661582253 +1231799167 +554670271 +1970467877 +165708333 +923087459 +563838503 +1814273022 +1744778372 +2073634808 +365116451 +84631214 +196245654 +1178386577 +234391748 +2136416953 +325660870 +1040534309 +893287968 +1896334489 +830610037 +1586701308 +1549001381 +1646687426 +2017142418 +235047110 +1312826391 +573965889 +1251864253 +225349246 +1019827835 +1913446506 +1457148413 +1574498106 +1736430735 +1622856746 +350101917 +152785591 +1289646120 +2094880290 +78936751 +1654762571 +32027856 +275182405 +685665501 +266419604 +264115711 +1011326371 +1306953913 +1157403679 +760177213 +2137563950 +596621340 +161694946 +1636767728 +466280110 +396742056 +802110471 +1040245999 +1648606309 +1027459717 +2060073834 +1414569168 +337124482 +1487088293 +1003516255 +1959981228 +1837190210 +1156301846 +1102143700 +1784586852 +1235238598 +609422624 +1816614708 +1510421003 +1295088125 +2083034312 +1774536714 +158930848 +1242504577 +784456746 +919108061 +1232584879 +1381078086 +1080803007 +721868959 +1847358196 +1477545063 +1523979431 +740120547 +978667725 +403955500 +652710733 +245753245 +741079983 +2139799026 +1249269500 +553577563 +1829505589 +258087699 +1655721264 +1466608793 +1493326297 +117660240 +1135739854 +856263652 +1412748365 +1071290518 +483316719 +1571679213 +166311448 +1267773465 +343303627 +1398896327 +501367903 +1424106634 +2120765287 +201242451 +754168050 +1497261070 +941362998 +1732835775 +1901216570 +1594073731 +1978589020 +494812905 +1586389110 +1080374872 +1048390469 +1268411051 +1338462571 +556628085 +587536196 +684305220 +674288325 +1723276050 +1540568873 +2087036690 +647082921 +2023885592 +1511232255 +813394369 +1144175409 +1854535882 +64807048 +1645543312 +1131158869 +38088687 +1846785763 +1885326919 +1535349757 +640665113 +1470679046 +1289082680 +87255196 +1301784418 +1783895585 +1673644306 +234675642 +684802406 +794571709 +1573138214 +1241430491 +1382107906 +109959786 +1915718816 +957900308 +1650528659 +1855271858 +1604983229 +1526930603 +1219020466 +270893950 +523622364 +926072700 +335700999 +21682028 +2057231569 +373789686 +1868467791 +1795074840 +1909139444 +361649256 +1118270238 +1050738476 +448904453 +272571008 +687150413 +2122548759 +507246651 +1371952820 +769636821 +2080384865 +465899663 +4261079 +42861003 +234134832 +962161387 +1693389663 +2089406690 +419660969 +1072836618 +1160943508 +690554919 +1596458983 +2087016209 +1026255918 +1618141011 +1996764130 +1400045605 +1339125155 +1644355323 +1161701401 +1700774411 +615141913 +64956229 +2195216 +887712922 +752106642 +2124743976 +1394959573 +2124059462 +746897149 +1327860790 +442475478 +751158228 +1370721793 +676610310 +1713319615 +916627808 +618533352 +2132980584 +1989464427 +1779476861 +676051856 +1438439762 +1719009422 +1702307774 +909097125 +1568289904 +954869731 +100738632 +1065161579 +2116571132 +1801513044 +1680303493 +34043713 +1803708260 +420532767 +786150356 +1780968588 +1815492340 +762726170 +380382089 +995869482 +1205201648 +1131540317 +219107627 +1881811958 +697376285 +1135735436 +352861663 +682873221 +977716215 +2132338524 +1358925077 +268672329 +1703864298 +913749204 +1177769454 +1124670554 +1868618935 +1278508087 +42348486 +1837706420 +932537483 +1722651979 +1871750133 +588762095 +2143184746 +510416841 +222247036 +1811193438 +1273143012 +602629125 +659579272 +330861012 +1734169443 +878686899 +65189323 +284062080 +2014422335 +418050986 +966935301 +844654902 +402905862 +178376731 +1113327231 +2106770160 +1092125935 +143613038 +1083957066 +813261222 +1422121125 +1126305552 +503483994 +207174960 +701473883 +227750480 +795937055 +697174981 +738167321 +1018184091 +360884771 +2011310333 +1620813217 +1020464043 +194687698 +1207499012 +1899150943 +259877021 +1491561092 +1766089630 +677928007 +311012745 +463260885 +1080833869 +489389476 +1576588116 +1040120381 +1581515411 +1720201154 +2124077447 +247292986 +994838631 +1102899352 +750776980 +1202013591 +1804373235 +978527460 +1997950647 +354064569 +1716694782 +868651090 +714949340 +1580521467 +341980659 +1735413384 +1775209165 +1549479671 +1487080679 +2035086186 +893557115 +1105686661 +565530545 +1204569861 +1568947546 +1646364414 +1693959337 +998052015 +539001147 +1127991101 +570769521 +515594947 +1375284087 +1565608153 +1618494299 +2126061067 +620138096 +1275383886 +957104880 +470605095 +1629448455 +526316014 +1339256186 +196914148 +2106837481 +1681236845 +1932327532 +1734562999 +1083232869 +1271924563 +1622165537 +1976789984 +230127576 +40212435 +1033876197 +1799075123 +1686576849 +580351887 +649643490 +78094349 +1708342988 +1220413011 +593689296 +936143427 +638537516 +64699947 +914720846 +1258675613 +1340083833 +1871825726 +1729280708 +822048641 +250658092 +921053246 +1018962789 +210011926 +454806444 +803806673 +1944574925 +1538039313 +2075731236 +1419256814 +1367345649 +158375164 +1459469249 +253738199 +1957450287 +998562451 +834090086 +459610129 +1076656800 +394949426 +1680023141 +1670346096 +1331092853 +171077009 +1735046043 +98330051 +1429752622 +927646228 +1970155778 +1011549683 +1749694869 +73330222 +1932602929 +621174010 +283342148 +239925725 +1424980683 +80433425 +1777965038 +1353228271 +1499690240 +997827040 +1511603436 +811675841 +1251565239 +1321570075 +1810238292 +2085655325 +1781180205 +739411444 +333121103 +1313719698 +262273892 +1664213956 +1484796707 +1997319935 +1762544007 +767065682 +777482516 +1585216137 +1778615365 +379693737 +1658546360 +1563734646 +1000867748 +1941888508 +1803660372 +278364783 +2022321934 +1434141762 +1631593055 +1374528526 +284485154 +995712843 +38720719 +1536050393 +169799270 +1848959012 +1474222070 +1950979475 +440886808 +1807343173 +1117215525 +703160701 +1324073481 +454528585 +552996988 +939133841 +1221594267 +1330479504 +376866330 +852725984 +1710173242 +2035412690 +268976982 +563557342 +1829817551 +2072637354 +841922125 +1704655837 +1359295469 +326031532 +931700715 +1643780623 +1321744375 +970421434 +1032347369 +1491543646 +671896798 +359085791 +1295039473 +1112783607 +18945317 +264771351 +1815944308 +1343018798 +719299936 +221457648 +134668991 +1940894203 +1551937153 +511535322 +646136539 +1114626747 +399464364 +915113521 +1678184089 +81798267 +840267228 +372622566 +1786454104 +52079049 +698654099 +570671171 +1695859672 +2020398474 +1541092606 +580723393 +1364458472 +65505756 +939809185 +512014298 +1178289363 +958754502 +776785649 +846750023 +154289652 +1496085585 +1068207672 +288958644 +1289496140 +472661177 +800493966 +1935632679 +1587287924 +1199958330 +703262552 +1117988365 +1281756598 +1543529780 +1490610931 +920727054 +1595608829 +41781382 +1491398226 +1143984854 +2062179857 +885007184 +1724708247 +1279154681 +950512940 +517033784 +1791168979 +2128802304 +1475788286 +420470980 +828068679 +1630077939 +1916556565 +1896276351 +1919036583 +1058569057 +221453880 +572046901 +846718088 +1808741804 +1772005231 +1549980641 +779246521 +906278181 +946026773 +122373805 +1827005236 +394151955 +164155187 +1170919814 +1538136809 +78851396 +2055926998 +1115361408 +1358006078 +858956290 +1632395193 +1001691409 +840274946 +960699831 +1422162390 +1668343626 +443294122 +1191235307 +1417136329 +214847057 +102320717 +1638590210 +786893958 +949038805 +1299848366 +411415542 +351535798 +2079094888 +1317693723 +1297562572 +53985045 +997215311 +1691714527 +218140232 +20651477 +1082367688 +296991629 +2076578475 +50245448 +1654997707 +788051118 +1682640641 +509205468 +1628326064 +495856825 +1931367858 +1149186042 +939150947 +975119518 +418838724 +1153998005 +1077440235 +2057428934 +1940891963 +2026479040 +1209793652 +204823857 +230531191 +1141404892 +1522517581 +1528093763 +1195389937 +372249244 +1072324642 +1413530170 +392900722 +7208682 +1710521799 +321995549 +57454130 +1218035858 +1110046667 +1740094772 +1727241326 +590889084 +88467949 +1511125537 +1740075126 +1027618896 +338761407 +11430202 +34133253 +1416201642 +2068859136 +1975025217 +1295197034 +1131169141 +32365426 +1525728225 +125090385 +1554883007 +906338340 +1320480323 +1927132252 +1978662982 +586526845 +172549326 +1985871664 +149564996 +494544875 +2043325795 +1367600854 +1604591543 +1635936919 +947358532 +47996979 +1724404868 +311000421 +1788072105 +604540116 +649761828 +1799502308 +638673370 +2065963470 +1720877796 +466214939 +1213676857 +704563289 +498580365 +591921434 +829653675 +2053463373 +1498259775 +2650350 +1833111977 +1329439109 +589177195 +2005661303 +1167827126 +738742191 +352722530 +1063669273 +2106343045 +1957314073 +552122544 +906217929 +2005311052 +129043764 +1217218351 +1645899510 +733583880 +1866980179 +1297918170 +1372257250 +1785460002 +871312318 +1838472189 +851653211 +1575875608 +189568907 +1443574645 +258045635 +95548632 +794350772 +260695985 +1928660609 +2123789882 +849873180 +1786838264 +1144133360 +1588615371 +2139560794 +60318985 +1547474768 +1949391220 +612441529 +306209049 +1807218624 +741485293 +1523427400 +1305634486 +1475069173 +1242923932 +456069008 +699842776 +880900286 +1327381327 +390831317 +1732553497 +755773287 +580400224 +1028644494 +1013818922 +675948856 +1822995267 +1274514907 +457125817 +1799301501 +2124388087 +96480433 +795951213 +1565519810 +88557580 +856270198 +965510930 +2037948800 +1468711727 +1271719979 +1697683776 +62713372 +647663732 +855834615 +1537782545 +1890587664 +1311903623 +90141673 +624004302 +491801302 +480972991 +209074151 +1247574589 +1061373215 +1237718645 +113909863 +1737322072 +913230264 +1388424770 +46964241 +565048117 +1365329209 +143444675 +1360999330 +783365371 +232002255 +69785880 +1748876301 +122467407 +1538497607 +873112633 +1820151183 +1601210979 +1520776365 +528502150 +991509877 +1263880381 +1840405774 +1081651550 +1887884683 +184723428 +1562624541 +2096958834 +1432298018 +476514109 +1187193831 +1546207881 +66352533 +2100424096 +787149004 +113316774 +517988565 +4994565 +256761449 +1878987896 +788359937 +488763704 +1948773776 +389752590 +611231111 +1339787736 +1262865223 +283898647 +793515067 +636157940 +812400797 +1785024944 +1900038321 +505322923 +719192847 +1640439356 +690046352 +134333740 +1589914542 +2122344370 +610847849 +629624726 +1521068603 +677200382 +582565174 +160733959 +790517157 +1100553739 +165728525 +1047278606 +832057987 +954088462 +1536042311 +633348116 +1343841052 +2147273422 +1973135852 +459222628 +283688421 +619167271 +1095380568 +1096089219 +256708568 +847935242 +1601412142 +975901415 +340890950 +143974846 +1110235155 +1930805493 +118835568 +1721083005 +412946571 +1639904172 +250799739 +995511745 +1800638131 +1041316896 +2096065484 +1966366656 +2088595503 +780639824 +772971470 +1477154166 +1413987940 +2116812523 +1476943940 +1239640144 +428551503 +1760632362 +1858807415 +1523932071 +709237933 +2115515983 +224383665 +163166427 +943933750 +565274616 +307141274 +2054168906 +348596461 +425976842 +1627768263 +761543032 +2065881014 +1878568002 +1757054777 +1719035498 +772401251 +1705636613 +1537918506 +713513106 +338792789 +163406329 +43183624 +1752780729 +132735204 +1520127564 +844937225 +561286707 +1133276278 +556260993 +2085218778 +1842514211 +524293328 +162118796 +2005680639 +1468227079 +727393412 +165338265 +1374912337 +1075989873 +591315107 +855196952 +1837532905 +509712474 +586281306 +1447104034 +81264324 +1358682557 +1005256999 +1619182830 +2072195663 +1344049789 +1782589159 +2115379287 +949346870 +1915324363 +1488023204 +1794284096 +329127422 +473815834 +203061441 +266862553 +168846398 +727354769 +428981349 +27043389 +48098200 +1156374761 +192381654 +1423010537 +84880986 +783696761 +130723841 +1922413891 +1293409235 +717005148 +1222034277 +1374673559 +2075687705 +79807628 +846372742 +2000399721 +1423857417 +481478253 +1968295360 +225720640 +249318969 +1308834916 +2020004736 +578446391 +1782650751 +75582529 +845308944 +1951497149 +802937298 +1274290293 +1978540538 +851035499 +283181406 +23438544 +126562388 +368062392 +807135305 +257286230 +142992635 +2100544541 +974291378 +1365026912 +1327734452 +902495435 +1444834541 +26623546 +755411508 +721208310 +508101800 +576223221 +946928950 +757420769 +1885058137 +819450038 +1335867160 +1520225240 +895032567 +33692457 +1324238741 +1697969866 +1307982750 +1155295631 +401521717 +1591164157 +1178734175 +528084105 +1959226549 +1985869481 +785370335 +2102219185 +1938930374 +1759661713 +1319762449 +1119181178 +514673501 +617113342 +1145804725 +1270085009 +1338321653 +1653906525 +1846308230 +137766955 +263843646 +1583882720 +957216994 +1599710806 +956624312 +1852249561 +1633403263 +133379406 +1402735779 +793902366 +1288675037 +1804257496 +237582875 +319925565 +184857954 +49325776 +158311398 +970228289 +4061313 +2097241772 +582406355 +1323823763 +1068939302 +1097079856 +1940937105 +67260379 +219681217 +1131775110 +1721166904 +2065989448 +1269542066 +1985010550 +1502388520 +79275412 +1437237709 +311529184 +1931524973 +923157324 +444908590 +1186777105 +1717059690 +1733583628 +843550953 +1954642565 +2053509193 +1028408907 +2003968342 +64336943 +1998637197 +2008029655 +14095067 +433559904 +1184369770 +1083034369 +1530639760 +977823228 +1150294749 +1750320977 +2109598338 +723978005 +1668826777 +1231656756 +561504908 +1023731649 +1310932168 +1998742617 +1335260834 +1094973494 +774416293 +1780169424 +134266951 +343992336 +1366269404 +977817904 +151151253 +1272294949 +2006226812 +7635947 +1336631892 +1857380361 +2015665603 +1350726959 +143456617 +1052551725 +286277681 +1674096377 +2030374953 +1436572430 +1276933706 +1992489644 +13066787 +798276836 +1076662752 +574571695 +1822008485 +240111273 +425830664 +1009785671 +1335084767 +1200246958 +642471448 +1469351718 +1544239294 +2008740852 +299685974 +1695390547 +1133552154 +158429138 +1703026495 +322700398 +2015809499 +1571208450 +1673427358 +11782468 +476276527 +1959705039 +1685878845 +359167833 +1248793821 +815328904 +204173829 +1261860608 +1613605740 +1280836581 +1836432304 +1288130577 +1520947854 +114779320 +150432601 +708548973 +1315026278 +792904049 +30417043 +711781924 +654161253 +330103018 +259688824 +1787713407 +488532156 +1962715319 +2110413806 +356858008 +1386440121 +1636357516 +368640476 +1862716648 +1448578907 +2054519322 +74400833 +549889080 +722364578 +278574662 +1811749688 +188486670 +1559411244 +1500698344 +1476617247 +932875450 +1615477665 +1627049848 +1641424424 +783020295 +272470249 +1671841467 +1494802220 +926631503 +2001944485 +1754491044 +566861262 +342992994 +1569722715 +529791420 +699851002 +808679188 +18665288 +1068491478 +523912188 +1467244195 +975527152 +598313022 +2017133275 +1697891730 +876887684 +1681399316 +1886378400 +288815280 +1034614012 +1215512000 +1221690731 +502608029 +695078200 +715631507 +1285628325 +967548450 +239989326 +632946897 +1894179953 +94450164 +239954293 +313557567 +437443158 +1809677008 +843348988 +1137294160 +470872548 +862014276 +58301990 +994784736 +181774824 +1033829143 +1593097758 +51424451 +584237225 +322501795 +1732823767 +323131978 +611317075 +619954132 +1538643978 +1833007806 +1122562161 +86238530 +401155665 +260706838 +1053786980 +641144992 +893653735 +800483285 +735595156 +1133608028 +1114040853 +1173038314 +795801388 +1957389841 +162848826 +1266673936 +671920469 +221150816 +113975025 +853695293 +1254979959 +1707072783 +905119745 +1839217185 +2029574578 +490459864 +14865515 +493408006 +1110413996 +1553509493 +178932164 +85492510 +1639748023 +580087830 +346199348 +546051356 +1221232822 +1239853084 +1346534641 +1956827978 +225977464 +313091846 +982382644 +1021778853 +122998039 +1145231470 +140969141 +794918509 +1366382286 +254944166 +1648613802 +473878598 +1962016950 +406249899 +165612135 +1844107880 +896709764 +180477650 +190032238 +2007123760 +1733987143 +368964403 +2092616270 +1226251518 +949052233 +291331971 +1772302874 +22801407 +1531185055 +971353868 +1979629385 +1757162519 +1284445714 +814528381 +631457724 +1407443754 +1959759851 +772426866 +54878615 +1178658489 +1027371032 +1703492417 +1652537087 +841904334 +2109742317 +1818149222 +538528567 +858968433 +1998626872 +728560805 +718608545 +1585130367 +1097525208 +663741168 +663898238 +2046577441 +955073139 +288717464 +2069378848 +338774546 +1260071332 +1901524585 +2095937065 +397033399 +568569318 +579911142 +1804477153 +380845521 +1352338008 +1859355768 +1559504011 +232225392 +1415364537 +1064557450 +1074129727 +1377623206 +735223025 +1612658294 +89107991 +586366249 +193735451 +807716537 +24012969 +1291260660 +1471457705 +687911207 +1190354453 +279047196 +976628671 +1112249654 +617821742 +89216356 +866290591 +566275159 +486249755 +1434859910 +1146186301 +143243260 +1815705431 +351040661 +2002599028 +1227725794 +583266054 +1270479917 +144799597 +1657395781 +500619476 +880022622 +1122570427 +589727467 +1466388871 +1316305878 +1397444004 +1490401840 +460082890 +721418061 +30829399 +1650437344 +1000465257 +1007458071 +615203350 +1618286999 +1096674427 +1481493941 +37078511 +1582924182 +768870203 +1183264812 +1726167442 +437091987 +1534305474 +1581282822 +1664817781 +2117571528 +704279091 +1809617378 +1627483661 +1204898567 +542156352 +602570440 +1794626035 +2008545224 +1918876318 +1044586391 +1351463416 +231475561 +1766004453 +1382292816 +1881912905 +618986062 +242267239 +349632607 +89789414 +1338941666 +1831126548 +126867925 +774382200 +452513104 +1310132737 +353065994 +889605091 +696954563 +1934348816 +406939224 +667042443 +491144259 +69072955 +147042456 +1696042827 +611229307 +749612896 +1343185214 +472290883 +521005567 +240287957 +1823754300 +752481128 +2006292410 +1058563468 +486910385 +477794825 +1300830707 +836542992 +567584239 +492288725 +520185892 +694452164 +1266670925 +972698996 +2004584901 +1619736919 +1862304087 +554055817 +1406602087 +121759664 +1221098260 +1897746346 +190832619 +1368140717 +1446305525 +802061926 +2117753613 +642007091 +1274352810 +491275532 +882295049 +950623462 +1243756660 +741103811 +2009186930 +1730667045 +1218898636 +1162533989 +419726389 +1786482875 +1654822714 +939912282 +333451391 +774009991 +1912611278 +190552645 +246263262 +1627431718 +744608462 +1652865349 +1749191382 +1965706722 +1403128047 +1940024001 +1186363791 +701949925 +594602279 +1156633757 +1343957016 +1868955089 +1647909289 +78768417 +672094903 +744182302 +819872229 +533798185 +327365699 +2038770865 +1696332174 +747092089 +1677770093 +1203671240 +1687004371 +2011221484 +1977681231 +1452132001 +54290481 +76460845 +932080071 +798898943 +1729326194 +533787805 +617122018 +984970594 +326328158 +1803485809 +1686920519 +920930438 +812635918 +883393887 +642401879 +313061560 +962162305 +1314496783 +1057243862 +1782034534 +1848294968 +1384609561 +1673321751 +1397143495 +2131701650 +1203608196 +453331087 +1671222373 +1067346033 +283528671 +975870727 +1121636514 +359989516 +1907950798 +1920535458 +2089315711 +294254956 +390173828 +926802657 +620583114 +46175989 +466239528 +1541513552 +858811908 +1349633415 +36431784 +1171873468 +164312072 +1350928567 +81633682 +1946346606 +1051739887 +1466243243 +1472184710 +301399734 +1450461246 +528309258 +754730822 +974199971 +1595655291 +1038259493 +1950070698 +569808158 +1398249009 +1710537849 +342859968 +1340081072 +2004792805 +733033796 +119400081 +477892271 +779209785 +585639609 +2019405824 +1638021693 +1935273025 +2055837608 +662411513 +2099585097 +1259282527 +744045195 +1898448056 +163538766 +62804791 +1223149118 +464938501 +1513266037 +1751458376 +1219669323 +339982360 +1199630020 +110445168 +142569411 +1769438178 +1508694177 +1853107260 +2112298146 +701291602 +1710416417 +697848294 +820691683 +40825040 +1477058079 +1406331293 +2060230864 +967596125 +1194120670 +1968584824 +1630007638 +1146222119 +1080383703 +226569186 +897186527 +1243922470 +289373977 +2120335645 +1708860971 +1802640014 +1724310374 +781046646 +2142622374 +776456746 +891491814 +137708137 +398411276 +252702343 +1990815397 +363225774 +953993945 +1553748166 +1061074068 +1774685629 +1594573207 +390648499 +1033533274 +1507320423 +1358244624 +80170296 +1328421600 +840768615 +1226392415 +261321655 +1067337801 +2123578943 +1505244125 +1356711778 +2096430940 +1066621448 +1011868144 +1673257666 +1847668094 +1007006870 +302230764 +591676260 +1144715008 +700642040 +844378604 +988046757 +1063867814 +1798372549 +394311276 +2124941882 +1425574530 +1988884483 +368106734 +311624156 +1348721258 +1726351358 +391794452 +529659210 +419636325 +1618186868 +790980866 +1486974126 +1594282163 +148741343 +696202256 +1543229455 +1215362792 +1708070400 +1069003474 +915547238 +567593623 +1371234238 +1507223499 +1712308631 +2071876279 +204118455 +552871740 +988260445 +2002491004 +947183016 +965718680 +1280581887 +788583851 +1333825414 +1592206043 +2137305110 +912693124 +1984000496 +519480672 +1332329450 +1454703716 +1310461538 +671819928 +901502231 +1459202882 +1368022185 +297248038 +527082026 +928608937 +1366251512 +1442629264 +1496202560 +590002103 +802369115 +1061027543 +514394734 +1006487570 +1613899284 +1502655179 +861494927 +413598652 +320890211 +2142076814 +1202182504 +1654715625 +1586799209 +1192003966 +419925102 +1423316057 +1711484638 +1752254552 +730536125 +874462529 +276590832 +1632038356 +186181763 +1644613017 +1929286395 +713263789 +425738307 +1148054259 +8409405 +1921940867 +1738056362 +810778521 +835484763 +104967448 +1817266091 +301900399 +1607622628 +531277370 +715499051 +1928512839 +525870536 +1917681555 +1435744817 +2112669746 +962201873 +1855669919 +1388502155 +526202864 +1460440823 +2119038281 +1400665393 +1737031655 +1603592989 +1586847156 +1234161025 +1385395736 +152627297 +1659899332 +385966348 +161036702 +1434356551 +2124022710 +971815223 +122357666 +81506511 +641597667 +424258065 +1689129139 +1172875037 +1139757117 +1470158330 +1698745574 +909955024 +758419499 +1663931672 +1872156898 +466605770 +904950179 +250876114 +1927046593 +876504812 +1651541507 +1516594601 +332614154 +1090905015 +603271978 +1718009890 +1243532312 +115687662 +2103976238 +1404569014 +1550044213 +2080515301 +228900590 +1672401880 +14538164 +870498257 +2096659945 +1703667303 +2043373294 +1088933414 +1026341985 +1594635220 +1998888439 +1784761485 +1111083244 +1723561689 +103883607 +2016033424 +1974437803 +2030930201 +745054588 +1478495662 +1400041154 +1077668742 +421917029 +2003313132 +648194985 +1665449341 +2119000794 +604687575 +922534707 +1521561359 +537719228 +1151435297 +1046479591 +552257392 +2021933554 +995655889 +108441047 +1917823201 +2084589303 +1134783033 +1364974773 +1935994094 +772060870 +328574370 +1512072135 +875944477 +197124146 +1339026290 +759391030 +942178734 +670038304 +11948536 +2019847477 +1091955333 +2015261668 +520558814 +609921026 +1986778814 +1125246389 +1532455734 +1360856526 +1662965618 +536407383 +259852469 +67739362 +410857290 +1255508358 +176180410 +181196843 +1192614014 +1310963443 +1546171616 +981124460 +2083024313 +1874745986 +345712948 +811485142 +2071870132 +1684739238 +1570876173 +866565219 +207293895 +1582824709 +738929048 +1299249228 +1450602730 +1259487862 +1909170255 +1289897896 +237250603 +1294142341 +503270774 +1900216221 +1830549724 +763123244 +1967955584 +93923366 +2018631602 +2144135994 +275120209 +1063761968 +1307615789 +1821291826 +2044886429 +1243156454 +1548554164 +243115729 +2054641596 +1472940649 +1927854967 +1478034121 +192022220 +2135148862 +913375183 +930951268 +1286914443 +216494265 +42955482 +1048601050 +1506392161 +280206085 +195259743 +2009662936 +32938659 +2025809467 +625302532 +2000894243 +2119732834 +496450486 +1997546589 +247369395 +1560212455 +1157678730 +2068661221 +1457615236 +253351536 +1469731738 +1700730965 +160509484 +795188739 +1481102284 +1638543606 +987210959 +1468767499 +404435141 +1918162227 +608198294 +620929406 +1961117709 +1656799344 +2127321567 +93840146 +1852059087 +1989500855 +126778805 +1730384906 +467319739 +2127673048 +1702634092 +963770226 +1977735989 +1950003488 +376499033 +987931071 +1871181061 +1834114269 +1241282607 +1193429151 +1387361586 +1401792092 +1988617890 +720980222 +892852050 +828345201 +42264073 +1297287191 +599023780 +650462367 +1918216597 +412657841 +159778063 +1898054516 +506497988 +2011837150 +1740071724 +633276793 +1594738409 +59907815 +613466194 +1149888853 +1023678041 +443718535 +952408693 +1400177074 +1431649607 +676106107 +1086807695 +525448566 +1869535258 +326685633 +1927240658 +1710669501 +1047665856 +672609060 +391531054 +1089929929 +1969896251 +990554835 +1740392297 +1740629200 +1403212676 +1900170360 +1491200069 +1909710664 +1764523863 +1083788145 +395503810 +1211778624 +1143695960 +1008970004 +214183829 +19890354 +1452688539 +1166592523 +1420067428 +736854498 +1842698630 +359391476 +1262303065 +1564750240 +686077109 +1042060075 +1127936093 +1733742965 +1714669136 +1519467148 +676189247 +1537081739 +362538335 +269097896 +1130227292 +1765751011 +21784608 +473943713 +1527978028 +1786308471 +1557731858 +1923481838 +850603447 +553944170 +784968194 +1064787277 +573834524 +90173085 +83896152 +1993901953 +827027584 +1926594782 +205809781 +2089330649 +1343861374 +891886890 +983907076 +324313820 +478146208 +551092564 +1843780968 +1154335455 +2088174304 +58835655 +1423433351 +1070917948 +1824586666 +1445217959 +1544861661 +1205081046 +1084042783 +955109871 +981079236 +1934646230 +1509054041 +1766047430 +851949859 +2082888566 +1856220516 +935846011 +1929306871 +535764452 +714957145 +2135116652 +477611453 +2058818520 +879519894 +1461518529 +235648692 +1357666102 +2012611094 +2079429660 +364517909 +1953301750 +2138265315 +1787951260 +876736050 +1815368333 +1085685572 +274114063 +872965732 +22244707 +1229223934 +1854044968 +1956890937 +590794327 +1472608751 +661357149 +526199245 +1181345619 +1597203160 +308022468 +1717110071 +164676658 +295655472 +47237876 +76011530 +1175175367 +1508756405 +311660222 +385357821 +1373883851 +243606234 +749875731 +1179701953 +234387901 +390343343 +2056438003 +2049756234 +1476028915 +183068418 +775238318 +1498273622 +1412292352 +481799639 +1307680912 +2003086680 +1954408390 +1969038061 +381802277 +988270361 +1418757573 +689824746 +557896784 +1583434231 +985480218 +605134660 +1659445761 +13171937 +2113891065 +1971105983 +398529759 +1340291269 +67228569 +1148405490 +372509574 +301616470 +1538748833 +281463930 +203889057 +867294101 +464532348 +979127375 +218084075 +1876824701 +1460927014 +1525764987 +1732427733 +1267851756 +1347319400 +2114230010 +108638469 +618593326 +656571108 +666535253 +54543909 +1642051327 +1271669913 +1713989671 +1655223264 +1238077331 +1537612006 +2053753023 +430884952 +1604840576 +1054674865 +803394526 +1906457046 +445940051 +1084858456 +2110346103 +1313234152 +1549390805 +941989831 +1531318227 +1278731858 +255433197 +909599567 +863675943 +1523284954 +109435319 +830422305 +1631923423 +728028645 +1486993414 +150975029 +782572555 +981561093 +1422644942 +349078578 +489300709 +513238625 +1886690584 +395570085 +944123577 +1344047512 +1450244950 +1747518104 +1103020911 +1896185001 +684892912 +1065883366 +1061935505 +86800069 +2007873197 +445770085 +1365531927 +115822747 +1355369652 +81724222 +1639107701 +1464804971 +912146528 +1123547476 +45349969 +251656294 +1274522505 +827922524 +1233217387 +549683800 +1177001102 +1722518096 +1062922425 +916208038 +2118088181 +2007046003 +112771903 +1420849484 +1607080459 +1215792814 +1169550837 +144489723 +134192532 +84002695 +231289793 +2142065730 +529772780 +1596821720 +110404829 +1885142432 +1678545943 +1749512530 +1202463755 +443208823 +725576358 +1247813724 +694865117 +2000098864 +2075736248 +1928082504 +402299016 +1105253702 +1503116952 +1465221441 +2021461741 +1473721486 +1324783796 +2134233644 +747087322 +784380607 +1202542810 +1916638159 +928870331 +1336735342 +2000640854 +1160160124 +1331317424 +382929986 +609498196 +1441722253 +120588770 +140560491 +1043751135 +1323052526 +583769314 +1769327494 +423382602 +1278634431 +1621942710 +351635203 +1059233287 +2024241726 +1456888905 +414866592 +1341979519 +1330866998 +1888588078 +519279668 +1317616994 +488191752 +1303660275 +372676156 +257346263 +85046958 +1709411499 +110503470 +1245207082 +893245275 +493433456 +1854705279 +187483881 +614022227 +1995265770 +1231235016 +1937074753 +431551437 +853078862 +212973707 +1710185868 +327537924 +564608910 +621935508 +204296002 +2021497816 +1036802100 +1546275522 +1204881166 +777906530 +2065555190 +375014513 +1266098282 +1221731817 +747690669 +1523444545 +1306778776 +309618520 +1633948015 +404502210 +1202863796 +2127381472 +111723841 +1390347677 +593920051 +2106989612 +474099045 +383511156 +391057401 +1327177908 +596484863 +2101243269 +1654715832 +1161093774 +575695129 +1859011835 +1035107942 +1612497229 +1257803709 +92505460 +242920111 +1175875251 +467519973 +1509018393 +250123420 +1215210643 +884979291 +1556902196 +1524829163 +371443658 +1961404407 +580209311 +351341482 +2073128248 +1970556988 +945261533 +2032634212 +297172386 +1328772689 +276207965 +1624350294 +1925257553 +229967587 +1131582478 +938867679 +805662716 +843110665 +1973975621 +270676298 +2100914374 +2066481081 +513596409 +1129305977 +386517407 +2022614803 +1379429398 +1601728050 +760110446 +788847946 +979073565 +1131554104 +602768705 +1559282877 +1482895587 +528413306 +1382356217 +280673472 +413563870 +1679528603 +1609446162 +689771836 +1156395249 +1387220067 +919739423 +140494080 +178604098 +1725402139 +983604745 +5096071 +1996078437 +937035472 +2071577152 +362191199 +2066341449 +310610911 +237322354 +1298287199 +1912338961 +997432800 +2087135146 +743928879 +2128986904 +542420203 +155728108 +1464398843 +1070833509 +1538084325 +1745072316 +1484397380 +1070129281 +1207034830 +26685568 +79040882 +446771249 +946424991 +219534962 +625375347 +524343482 +1203139708 +630471418 +372938272 +2140175180 +554564922 +735129471 +2059032981 +865175834 +972451825 +1209836533 +630031147 +1969884625 +1149488031 +1373960026 +1951387881 +1691908234 +1529688134 +1268303077 +615258096 +920288812 +865891745 +2099655476 +1990418093 +2072926575 +2126341044 +2069458975 +372214176 +925282387 +141510290 +997589523 +1449625869 +1344649998 +1628060941 +1822564141 +1337341530 +35142215 +410209964 +1248890863 +900318049 +1382661789 +311243748 +1530349197 +1205062766 +1460731779 +756825575 +1008967000 +1005156366 +139030062 +129786429 +1620414462 +1059318874 +995678174 +1572586290 +902253319 +921121101 +1551443686 +824228646 +1293335277 +329242425 +965738936 +143441152 +1778868294 +162905286 +1771502093 +1453948788 +1500246816 +1806644308 +1864158752 +601654032 +559478710 +1099336894 +912897780 +2089827907 +156916012 +226145912 +699169834 +1165883012 +1231302278 +838199896 +1295669441 +704233092 +1897518770 +143863967 +129335734 +652288441 +1064985068 +1680779420 +1476517088 +210836697 +2010021845 +294772376 +354277849 +1641406491 +457677663 +2125779942 +947871631 +1957924479 +1784940603 +664546736 +412094863 +196935665 +1763883630 +1324992644 +139279924 +1920799642 +1551138556 +838449758 +939199007 +634957186 +1676649655 +87384800 +1339190278 +1426684777 +231248768 +1468526012 +2078973219 +1296233836 +1001821784 +1408006659 +1507070534 +864359981 +1702779035 +1861348383 +358282824 +12973050 +1839644678 +1306154456 +1970897530 +1477101633 +1970701192 +235508745 +1674037298 +1587101174 +1560501389 +1813317222 +1360417168 +964156297 +504283332 +152132527 +1599113483 +33449339 +239517328 +790820113 +1460134117 +470766096 +111862477 +1391623688 +1766999932 +1113684261 +652146699 +1126586818 +1978044242 +207442086 +840451554 +188843419 +220415137 +532612584 +1494997875 +43829019 +2009714217 +1318215419 +279337764 +1536267867 +757832945 +1839839154 +1202101441 +2118250113 +656511803 +1706384773 +122898993 +108141639 +1739834113 +362416321 +898961752 +1052484582 +833182417 +1010824230 +296624622 +452698701 +2124508491 +948771321 +1579285520 +1955069086 +1156213407 +272253426 +2143912505 +1376628544 +804866010 +1491426732 +1420457563 +667096579 +662158503 +1699795328 +55880798 +1419991448 +1392150834 +1257982239 +1390757913 +2048662637 +816883364 +1513656906 +9320628 +409233829 +1876073227 +908282381 +1461718411 +561771996 +1919106611 +1758343033 +1014470698 +1896131454 +559630706 +446272570 +1703716892 +1715844114 +718525996 +1700145749 +944989010 +1523392006 +1044088833 +217962926 +43004937 +1706247336 +1917758254 +98885735 +978755136 +1162425440 +1356867974 +222029402 +1063604429 +26267690 +1735686308 +1072925058 +435501520 +1464275888 +1981207439 +1897219931 +2026047884 +1752830402 +1508079317 +893034934 +1501478208 +2067710023 +1339307504 +1057711453 +1636070489 +2057833500 +610373554 +433575852 +1433741858 +1654462388 +651538778 +1476746795 +1213226076 +421813384 +1575632530 +44497565 +1584238824 +785016856 +266526967 +500359605 +811284547 +2002213275 +1573284663 +1246786067 +1319005515 +1407008454 +996522350 +1197569752 +1012355208 +357118019 +2090604686 +366349769 +277344395 +1282428543 +1424061222 +1913414884 +1192778395 +2034434776 +199507088 +479036606 +1541413516 +851045866 +1955783401 +607155945 +1272859250 +1383932284 +651653510 +709614426 +21465492 +918180477 +1209974032 +832750039 +772910104 +635775047 +2079536106 +2091915620 +2042783502 +928574809 +1142001724 +907655062 +1285692828 +1085122762 +1274004831 +1563037223 +220067657 +550582405 +1328968460 +1412846053 +437533534 +1528475548 +1891882659 +1978947050 +232037767 +1700182412 +438619347 +1504897017 +936631048 +1090272857 +67027796 +958096541 +2008453334 +1277001828 +1790846580 +633879791 +1912776875 +1722899039 +578311763 +1808076729 +503990200 +1720313487 +568248144 +1789683028 +657952601 +1842252975 +1205236604 +878020259 +245351733 +386721416 +143382664 +682885267 +1915196964 +2035265323 +514348669 +2147234731 +1587964087 +952968017 +1504648101 +377111488 +2043240874 +1571675897 +1335208029 +1904210561 +701194077 +978570961 +390606704 +466487304 +553986352 +968918467 +127080386 +1057976552 +541748306 +695328530 +700175933 +1199700907 +390097857 +1905412537 +2077721166 +635449590 +144650305 +73620182 +1318334857 +2059847269 +2108885505 +1832683527 +2059598353 +1549365945 +638167896 +1416762806 +1926477433 +533925122 +840955055 +1114201814 +290652035 +1542149132 +2092772775 +681258739 +2008636436 +499275480 +1650177206 +2135716822 +1557252032 +44441864 +683561704 +109944317 +1244142772 +1073659562 +2015356854 +1174380290 +1709109152 +12523511 +1248000473 +879960362 +2072370781 +1209402330 +565160241 +1984485486 +611284627 +1203328137 +1253764644 +390278412 +1737253259 +2094719699 +1504480226 +2027905295 +1489385183 +1449769354 +561680386 +1350537971 +1949044834 +64373945 +1338771146 +1358813218 +108815809 +2022332850 +1468757536 +1352958581 +948508764 +1336630742 +379855224 +510134269 +1349154254 +1627855697 +1390094631 +1274041387 +689774379 +1955254872 +1111043225 +1301059007 +1011099361 +217324221 +1691337419 +600868972 +164560272 +1048333998 +481290619 +1653945455 +350619704 +1042971006 +856999778 +152180890 +1107344951 +48287276 +1510994108 +1216160760 +2070620127 +832267996 +421635694 +871645243 +21415091 +801490918 +1381779512 +1370569345 +281862967 +624390495 +497127084 +971637346 +432161719 +1608170309 +125212705 +1443261080 +1825494530 +1816550125 +2044130053 +1990054802 +717400475 +377937024 +1496516609 +1068020179 +1420908030 +206032739 +1220201069 +380769333 +254320016 +583711529 +1596930094 +177456495 +1415979526 +2018565788 +1049101738 +1437394617 +672573058 +283397603 +660480314 +954436025 +907788098 +1157607398 +1926073371 +1339949818 +618294059 +2051286077 +635727250 +296304941 +1720352554 +532373655 +138876095 +290269381 +910310680 +1635392704 +1358289560 +183735062 +1841425443 +431006981 +564504396 +2095745459 +1014718510 +13950842 +125718306 +283214388 +2032516630 +1174820045 +1720609005 +557606040 +1458217648 +233605671 +1512042065 +218522098 +1391213069 +1290631788 +1558471916 +2009507128 +1194434217 +46715519 +158328421 +767303123 +579089174 +297204516 +1057572504 +1489399854 +1932597220 +268378416 +1673134917 +1626539016 +699385397 +90155665 +1574800827 +1714103908 +104106507 +1700519134 +1997318296 +2136623137 +727855531 +1570443654 +546745529 +38589531 +1804049325 +2058787594 +257111629 +1047778747 +1201935734 +1815583546 +909802227 +248886304 +1862299065 +1068130649 +1016189427 +293904591 +1365335165 +2073761932 +1783304446 +1150448738 +194656700 +1308955715 +629504106 +894042098 +1399111380 +56821285 +460662358 +1503217887 +1757340419 +310497006 +1492357376 +337712302 +1880940660 +2039102905 +376301833 +1537506338 +1950406851 +633413463 +437801437 +1004858937 +301513361 +1347603664 +1253745241 +16328778 +268250665 +122451021 +310233369 +1633585831 +48729305 +2093537815 +636550921 +243386005 +1255009882 +1266055027 +1137428103 +506637614 +1322876312 +1598090461 +2009855501 +932733084 +1908587468 +1354729229 +1270445386 +1642044480 +1246348486 +1646747220 +1032067170 +1049271689 +132677035 +1469868607 +2054130627 +434190396 +669988624 +1160392220 +450519174 +938239289 +1282843241 +760752543 +424341472 +1331572546 +706806711 +1060892393 +1574958552 +1961816593 +179463772 +564903007 +320970560 +1502340085 +15509821 +183342413 +287589521 +1924097289 +1538071643 +1558034907 +1418658121 +636936481 +1057298479 +303241644 +1686208171 +1189975514 +1773110251 +1592855150 +1624165910 +295615227 +605763722 +2074685084 +1233854517 +1888606964 +687953980 +1658195989 +1072695862 +1394760691 +571604735 +500170766 +1209093636 +751068507 +1065073774 +1530064196 +105924944 +1080583595 +1713406610 +393514465 +857197236 +1103994605 +1951549373 +128371709 +1740931086 +861364204 +431613353 +1279655609 +2051339719 +57239957 +725027111 +1528021981 +352855184 +1330790834 +1455223418 +1586709701 +1071914150 +2143177398 +1097422043 +2144610012 +1390454441 +1669026778 +497297131 +452064429 +272611637 +1562370905 +1982128626 +378536582 +495470852 +1548051588 +772051047 +1352668088 +504562545 +576116772 +1481039797 +98009983 +1437480977 +1912653151 +1377665593 +1341337048 +1969893108 +2102692704 +721875381 +175264644 +1285999890 +29615151 +1761974346 +210430392 +25308901 +711912741 +207556757 +1415763342 +233455871 +704853888 +1867827772 +506067508 +119741145 +1702472750 +884604090 +615211997 +1103040690 +1656655138 +1967880085 +1607603235 +85288262 +1301436234 +1705613218 +1522769239 +1066605737 +935795163 +716622639 +889015197 +891004220 +1438498021 +1064279842 +29520462 +1468113172 +678770540 +239950855 +1493422074 +1390683281 +447507612 +761701768 +1624139152 +1152361500 +482045892 +2130206660 +1272102645 +37034994 +867327103 +1887314642 +1140075684 +376498593 +1707711079 +600195271 +461786855 +861663665 +158324842 +1984556095 +1928269403 +1094120005 +553695086 +669800952 +1985124225 +1992193107 +1734080794 +2014644688 +1312822632 +265367686 +107111895 +658761058 +1656050967 +554619507 +1420462826 +1132706471 +1706981007 +1902508719 +1115429484 +831600004 +1939543713 +1982756587 +571430998 +932135750 +211771532 +131658429 +1532331021 +673558387 +993322094 +1690655863 +510630834 +774107849 +637292221 +1064325921 +1443908802 +474932798 +909035380 +1030505948 +342093838 +74374364 +1295873635 +449205733 +733135422 +804440954 +1003825240 +6114601 +1937147426 +563322599 +1908623320 +905093262 +1394922603 +1700683385 +740366201 +1966353601 +485335487 +952137733 +2098012030 +2017666509 +1625696120 +943850477 +1560838724 +2136326955 +1717958326 +50647297 +1053169228 +1014383480 +525580096 +1962204608 +2044889429 +867673934 +2036578973 +1193279416 +1316879668 +622230747 +1997720370 +173221260 +628345348 +1787384148 +736543860 +389485020 +544993762 +2131466463 +2090168406 +1285359963 +1950336417 +428020245 +90014048 +1900864799 +298203106 +1715710169 +697231628 +1859041831 +1704553476 +267706307 +1909689128 +610239056 +1282089787 +287785576 +424960016 +1179495568 +1155459511 +314055341 +225291336 +324855531 +936286089 +75528059 +498076791 +1564631437 +1862912207 +1234620651 +1954116458 +260422322 +1218603467 +1896801216 +1545782285 +1021456236 +177337813 +1635796334 +774837387 +475540920 +1204022855 +1472069016 +187099103 +761092683 +1739775323 +2096788231 +1371331739 +874381462 +237090160 +1796291755 +2053877031 +1392549671 +2110347097 +131684719 +1717405202 +899149538 +207212778 +67998345 +316297327 +2070124986 +1302618997 +122930137 +183063660 +373738816 +2019731353 +1728845945 +1395195052 +49585519 +1217158631 +22548791 +525126439 +273697838 +1494617807 +712225542 +1034790521 +1086909482 +661530125 +258638612 +1961290945 +898620285 +2054930368 +1867684328 +143686308 +2017793817 +1999369047 +1861091510 +769459707 +59098178 +1929089856 +1085757034 +2129223164 +1084225205 +1208687172 +164803176 +1457964021 +1080934877 +1893649121 +705675425 +1130520396 +963324105 +728224216 +1655646835 +1237021943 +75358376 +220388729 +124328817 +1162267858 +881918855 +382967429 +976075155 +1780539140 +290414149 +696275835 +1924225449 +160724318 +548161235 +1637833311 +930184025 +607259413 +1419439519 +2015941060 +588998929 +356181076 +1077144584 +753802105 +1814145097 +10595813 +499967578 +372336874 +1141116210 +1463291683 +1100561091 +649279397 +552829979 +1175919467 +869668127 +677158796 +190703677 +1751586982 +1060126225 +1166778833 +1384642474 +1350540375 +1863054668 +1161384275 +1511264693 +263732255 +651733939 +293965071 +870991668 +2071173458 +162422483 +1459990597 +279870887 +1239567067 +66309054 +2094015984 +1250162880 +566276633 +318869211 +243795442 +2029568316 +1419430302 +893074840 +434914647 +447866121 +1762742967 +1112073443 +638569798 +1366846301 +24716021 +1805348631 +604005127 +1375256396 +1520919652 +1765389403 +739037441 +1784651907 +269639694 +1033002512 +508159928 +193329504 +1195424995 +1968150525 +473200391 +287508414 +2034459580 +419732728 +1537671295 +453252565 +738601939 +1781466737 +335337233 +10548593 +527057929 +770251881 +458414714 +142317248 +1882325324 +1096984512 +1509163549 +1907041345 +754849496 +2113168677 +1134814093 +128285500 +1731074432 +1873851535 +1912937407 +2000714126 +759370399 +273613687 +46559982 +1954795395 +94280565 +519760374 +94820161 +2128740145 +939493102 +1632491456 +434509062 +1678095041 +1266474546 +769846295 +1688643634 +1793532475 +1540098176 +2147058348 +1935849724 +1274939853 +1096559212 +1297529625 +1034497550 +1851408708 +1263214654 +21827996 +1979694208 +846805438 +1895679531 +1745147968 +700035916 +507566282 +2018761655 +746595899 +314878029 +2113042220 +1266356273 +409698191 +2094298717 +58365727 +2042189647 +381324131 +1736460768 +1161180545 +1151170427 +1277620754 +807229373 +543784955 +1277195454 +595595449 +1818724808 +226271018 +1893125074 +705738711 +2077679727 +1008856081 +727566707 +1909890287 +1855661519 +475762590 +1507554607 +408213788 +983328872 +1378832615 +1154809687 +1298206902 +1344391187 +273682312 +1707905093 +1291206257 +332048039 +1602611092 +1672530388 +2068508807 +616307990 +676217167 +1198645913 +1423537363 +1220002123 +328357719 +2019132812 +891243283 +554628737 +1764774238 +1596981994 +484824816 +626146671 +177065053 +247231456 +334324543 +652827643 +1754786063 +742538331 +1636156516 +986135030 +1897348018 +786879770 +183042570 +23546682 +347301215 +1474248827 +355594721 +1949912307 +999295567 +276619880 +418736649 +1675512735 +1475265793 +1842274012 +748031210 +1803623512 +1713923176 +1639274493 +210768601 +1331213767 +1088772840 +695593418 +1957360438 +1265837893 +942824874 +144201333 +1918665537 +550127289 +886739664 +1407338405 +1536262320 +636604034 +46734527 +1719304890 +660150716 +394035742 +1046070069 +1015745437 +196464401 +2045365636 +1292365317 +615201051 +1573394723 +620147462 +309991415 +173942285 +276287326 +2023914592 +1813216779 +487055928 +1207644711 +754505971 +1182649346 +1017521501 +2020343864 +2125474220 +1161722835 +1791525753 +528117861 +2048462499 +1051380510 +2064380181 +537582886 +1098115037 +1636201423 +1197733602 +1492150779 +534787844 +65995392 +1688615181 +432669833 +1358360709 +156332584 +2006064556 +1978508172 +466323999 +32523194 +107311850 +342754943 +1845739973 +594367778 +1550399654 +452762296 +1777017124 +420437508 +325622512 +1755007696 +1582160343 +2117148266 +135641910 +1483139194 +1021045128 +52538443 +2020722080 +2119160166 +1688739867 +1070972035 +1463827297 +76044063 +1136967427 +1004958830 +508713896 +347844488 +1161291414 +367294805 +178869012 +1627615414 +399817999 +286180863 +1970370357 +98074324 +880548641 +1373286364 +550836620 +510082118 +1793723872 +876459132 +117606166 +1228400567 +846123750 +253248076 +564056113 +1867168879 +305786520 +437294546 +1838845397 +1994526387 +1508266581 +1155189046 +2070570450 +497750360 +12664229 +431800699 +845594848 +1173955643 +799095504 +1024463861 +654087409 +1198913503 +1310644724 +476974119 +1296987827 +43709717 +1850260483 +1847824447 +553791835 +1496500707 +576799931 +671398002 +577417626 +1422923682 +924646078 +1141473739 +1142608913 +1230432598 +1578768285 +833970662 +1077475337 +939551218 +1989159708 +1000562140 +1437301578 +2001823937 +1432362839 +135412779 +1028295933 +83974695 +1159876640 +1682383342 +1282888198 +323037716 +11873813 +432392377 +366747433 +1862134296 +132733176 +920539269 +1211151355 +709533107 +1591937271 +1788568981 +2132456789 +369099701 +782559073 +1127582054 +1599532300 +213843710 +1961552716 +529523989 +1153394929 +1803228777 +1530086129 +443212859 +1657569066 +814965320 +578625638 +538381351 +898940015 +1738502278 +73281046 +34344565 +2061539994 +85154859 +466736942 +280803780 +1947289156 +599470118 +1201343049 +1010956863 +1309003226 +645796672 +652042197 +1293976367 +1014896373 +1434601270 +274074774 +466945025 +1648444980 +88143842 +996469015 +654356261 +1891372619 +379071496 +1097569121 +1401458038 +1194036817 +1676194759 +1939839389 +2092976832 +1267213390 +2013120435 +2127321398 +1181269736 +2098275295 +446574692 +1462073516 +1898080803 +1046044811 +515932917 +761554018 +207564389 +1161729589 +1413596215 +1501540756 +29142315 +700713837 +1775615530 +496087340 +201675170 +1863759373 +1492556355 +856031431 +1607648344 +1871627852 +1953600552 +861622734 +918181021 +1482311664 +653978476 +863674205 +602041406 +519615263 +843511955 +1783311142 +470406910 +1290086648 +1097901011 +221004065 +188647811 +1613833928 +982558084 +396212200 +628079870 +248670651 +1897752956 +657222185 +949384489 +1525884839 +1153309525 +1151059659 +1242160564 +498382233 +2007091090 +702325260 +222526437 +1813207995 +1563947995 +1140707458 +1148036011 +70442823 +2004381663 +1750077417 +590058086 +700409971 +1385904911 +1060464997 +1990496619 +336322274 +1281469062 +31660782 +1950156203 +116543498 +427872982 +430752425 +365214150 +178142290 +1087974610 +1314598639 +1704027129 +93800487 +318174650 +798704045 +592182720 +177782092 +1501029306 +814709157 +1990990087 +917493653 +1955416615 +991542450 +987936476 +1812314631 +594136219 +1577994562 +365240954 +1980041131 +490975911 +208253925 +168879757 +1772444974 +239914707 +2119035960 +1888988472 +667787689 +402304737 +106718974 +845929979 +1490279347 +1421317613 +402473461 +1584079835 +1739492263 +1201177506 +28778907 +1917274356 +554723164 +843488065 +1760780795 +1472216817 +651421032 +604839598 +312669645 +316252015 +1198975817 +1890664208 +681492969 +1031533300 +234156471 +889746894 +1200413058 +2006601445 +1129661601 +1171965370 +1748106270 +1797449290 +1574270108 +1854825244 +495895622 +917065807 +1128659210 +898369083 +353661994 +720667825 +2099546589 +382440902 +490458533 +506786106 +1225928967 +103755681 +1979002923 +1877349999 +708595279 +144188921 +46118367 +1907571096 +2034853129 +727611336 +791620749 +121525952 +1617358231 +1992033807 +2128127398 +599536184 +1016515529 +1728750020 +249501827 +443301989 +1436091616 +745397449 +1360367797 +417267178 +1643766532 +1714029791 +1137935004 +1595829473 +2096470693 +1628393537 +2102615579 +1174916012 +1732149218 +1934134855 +904782364 +293260849 +2078323776 +950900731 +53348298 +1965693257 +1678512067 +844969047 +2087219209 +1148386650 +689519206 +2067862959 +1747922835 +1706034735 +1649129331 +1997424662 +1853077 +937737300 +595338463 +1362220874 +1355004478 +91621347 +928767017 +345455834 +1687450820 +877754063 +1973849372 +1642582752 +2052670075 +1558514942 +1429233959 +809968791 +1851775792 +1360074087 +1760869522 +1905124090 +1178283696 +1291897942 +602609489 +1118019257 +292800944 +1292128695 +1038398569 +2040723779 +850679782 +540044252 +1890664793 +852532859 +1477781552 +338519608 +67270085 +685302383 +430140955 +996037103 +1030758217 +2117591776 +1873791166 +857123941 +1612690880 +1778977593 +268155236 +894441191 +441462737 +2119931028 +107031630 +54848611 +1877571470 +1285315326 +1346746553 +332697311 +255850935 +1639547498 +1624826006 +1294249504 +1532787629 +328022140 +1834293757 +1275968775 +1180555000 +1164591661 +1614488383 +1247825085 +1849894044 +2044629339 +96378540 +733168614 +2014737467 +1970169706 +1590292555 +1479944699 +1601663652 +1858447791 +226902242 +2043126389 +1830895171 +333933872 +2097975000 +1560982993 +1619249198 +1297237906 +1893680304 +1875100133 +789301756 +1371022662 +1021865990 +174605737 +1699044803 +708676099 +1450574512 +732116155 +1873267760 +917579248 +1979941240 +1575678157 +814724939 +2076319781 +161363123 +681978758 +1899005839 +1751655678 +14439809 +1353185843 +1462619822 +241342051 +1248828584 +1146031345 +575275923 +1199319937 +559530691 +47041473 +349074195 +305727347 +1922141606 +1138375951 +1676750010 +796523948 +1312981688 +1228311165 +1505200047 +616072553 +1960427320 +1230984160 +1533651801 +1792884912 +659178669 +200893092 +1721721045 +820541792 +882871850 +1473243237 +424713822 +897311659 +678945432 +1887333644 +1138653710 +1927774017 +885881342 +1713929633 +979610306 +1445412033 +1760971106 +1328684501 +1751139380 +1535629064 +319576804 +1280405742 +184669365 +1632558492 +361233259 +1689869412 +101147397 +174176931 +773369924 +1634799198 +1967061844 +1432548593 +1835692290 +1541299241 +105606737 +571080492 +867058830 +530320560 +1468392151 +1546004263 +270170556 +459562213 +1326294632 +1156051898 +26008198 +158421290 +453980283 +1786979304 +1487105791 +57636016 +1175124721 +1806682595 +1338041758 +1359794086 +1291757439 +1699275018 +902179850 +1392904837 +1873451949 +1675549775 +880220387 +1693030145 +960614720 +568429030 +1086845739 +1066221458 +1139509522 +1953904569 +1596542018 +460418026 +1352425184 +1866712574 +919980239 +531236168 +875280825 +945988438 +689657458 +1329261108 +585484094 +29279601 +1386897124 +1760608815 +1835962196 +577455235 +972919253 +980235988 +129246605 +1875099104 +225657177 +2002698554 +1403165231 +1105877564 +1548245052 +216296303 +1674306594 +487607143 +1282517761 +666332469 +294028064 +731576131 +1126750495 +1646453249 +450805058 +2046730734 +30205769 +1326085883 +845235524 +719863228 +507863343 +1430719619 +749142829 +1894760468 +1043844786 +437621378 +324732055 +2016764040 +1417857366 +453978660 +1744379496 +1643514543 +309193566 +1000061079 +601908459 +1857438618 +1216357382 +128731406 +197562113 +351391496 +795063875 +491590178 +1082967627 +1921814370 +2138043427 +1533772685 +1821061456 +20765548 +712374920 +518813333 +740628776 +1220238264 +1949532952 +1489771606 +967515084 +845894090 +1927392984 +1292247139 +715174482 +1197766702 +1746225799 +312070330 +693797597 +2055419365 +1312131409 +1295706056 +1765374336 +381005144 +1424437462 +1962936449 +732396640 +72017689 +307042979 +1815364267 +1993832059 +297602758 +1201653305 +1667409868 +318368307 +1914028225 +38739553 +1058997083 +986782841 +1988272505 +401285041 +1954297925 +686682947 +181194377 +1099061416 +1401857430 +1378961079 +697803567 +1713927760 +2072758676 +605739285 +878575522 +1220981085 +223629973 +1259580666 +497934899 +39082774 +1991977306 +569952589 +346125754 +1659857925 +416301000 +643728512 +714027582 +2083710868 +962096819 +480572160 +2122450421 +2021093903 +1467355001 +1963239278 +274895296 +1274169279 +502438578 +456089674 +225747047 +1904296008 +1835050753 +923550615 +1470740120 +1760325782 +1529289900 +201831994 +833823219 +1752919873 +1461412660 +1331758118 +1792002647 +1305906318 +1901710707 +2138128401 +818280596 +170528060 +634373266 +1532308178 +106755280 +1596470085 +2012880338 +81722054 +1470080340 +1332751692 +2044961332 +1744975637 +459437323 +399916262 +53581663 +685184370 +156728622 +1888632416 +1608734985 +1627468743 +1501474550 +990541237 +1829300737 +187814121 +595977462 +1143229750 +1519572240 +240496462 +301652420 +1273799299 +231141215 +1119933016 +1444327359 +865514481 +504757547 +1551082640 +314500919 +370154237 +1632804694 +1784581259 +1702905929 +1530282378 +1382073248 +14859604 +1930198641 +1435654911 +700043975 +2086927263 +1176803680 +161295312 +1566912358 +530794582 +1151836550 +1248729448 +718608704 +1747814012 +244475550 +90697296 +1988310474 +546127970 +1364496595 +71968042 +1666060987 +661340307 +937482523 +23334886 +64939299 +1251983442 +393489123 +1697743993 +889081054 +2096395053 +1080542723 +123670654 +2111254657 +863257716 +1559325566 +663814984 +802701332 +588645598 +825110297 +222130042 +1119440180 +1976946847 +1470859490 +1838048884 +1577277211 +1715335040 +1928746180 +1418104038 +113979363 +1145759128 +1490072080 +1780040350 +1807099435 +280070955 +1803375236 +1872038734 +1532054398 +49380711 +1422299079 +273651804 +2145775764 +355358154 +397322458 +2109546774 +1218615871 +1956648024 +625878110 +2021317203 +397809974 +1450988407 +95963597 +1517250155 +1280451606 +1566823088 +1207815391 +710245170 +1134674480 +989077924 +2128349208 +1248653843 +2134837052 +1470937640 +881210545 +1794452839 +1751008595 +537102133 +1519007925 +1135579345 +586482845 +793823356 +1409231149 +584774961 +1149181510 +1806553608 +546838087 +220313733 +1615717984 +1172716198 +94147288 +2013527959 +476220957 +190110886 +1383294466 +1756672564 +1756933974 +443626209 +319434086 +744124806 +1432704133 +300299646 +1992778650 +1420057537 +1771237286 +726505547 +1067026728 +1374762233 +1263607681 +438551005 +362857931 +1850090526 +1232374361 +1772089080 +287381839 +234072224 +1431159040 +834219927 +454385957 +899393377 +2006936125 +548533246 +765437688 +335673434 +738644132 +1248506 +2092345998 +348094458 +444874715 +264296436 +1092219264 +1877578849 +564596082 +937514266 +1150152738 +188349720 +1664019814 +69695819 +1563111954 +780143847 +508246824 +1925969885 +482750725 +1740621186 +1550575317 +770132564 +1974693410 +834250710 +1604352491 +281595719 +1733644087 +1463804968 +830128965 +351598127 +1799478403 +1568773097 +352846633 +1744340753 +1916867555 +797721348 +2008637190 +861603172 +527816549 +425749624 +1799117438 +1677969288 +614099345 +1315653604 +1747665107 +29727651 +2095797451 +108428283 +1955697536 +431064528 +1849049469 +1358789205 +1201197093 +1676259231 +45556267 +658065936 +1957854951 +1779200354 +2121870905 +640500268 +2130798481 +1773865660 +61789718 +336161466 +1370722765 +1978657273 +1133882815 +1231876307 +692776797 +1661699364 +1657625932 +344410588 +1192185004 +124241629 +1660064192 +792366463 +153969280 +1608377996 +900794747 +2109666816 +2039442524 +602360568 +1320972373 +1093155969 +131136152 +1366528641 +1751221906 +2088991103 +998245347 +1725609163 +582007723 +981560181 +1351991175 +643797441 +1317721647 +575230292 +474971067 +304120814 +1807106600 +1167747864 +1965820179 +1317248884 +1512158452 +1010521535 +1441490513 +1024738997 +1802887999 +1595459793 +485633345 +556199098 +1557642961 +377592221 +1158559666 +731131686 +1470748191 +1289695818 +2097660327 +1074486449 +1231203273 +948422027 +652611964 +1813210997 +1929982208 +2004603139 +309524790 +1100220207 +432349783 +784495857 +1404341022 +91972735 +1952243722 +1222677553 +1409221619 +1316918526 +85715440 +703228484 +194173875 +1888603439 +151204629 +679807220 +297318889 +1708847590 +1057399442 +1455878556 +292495629 +380663985 +598090726 +242672308 +1455150434 +1829294000 +1191094335 +2107762398 +1495021349 +973592895 +1964881889 +1804546139 +2073813103 +249748024 +441558349 +1330670477 +341720760 +246318423 +405864382 +1750942379 +1563236949 +491579822 +306687216 +1757410825 +232699614 +457891845 +289734397 +530018503 +19255788 +1347133839 +1985897059 +311751417 +1727797824 +436504138 +554423725 +1035464610 +118314490 +1745518061 +995743360 +1613335839 +571627308 +813141601 +1270398330 +497956763 +1062889626 +1711956679 +1828627240 +1404610386 +1958275102 +87007974 +1008069117 +1374028404 +578587797 +1314756333 +983955581 +811287411 +1772648179 +1273689978 +1341305914 +1791903967 +473340170 +1179719326 +2103655384 +53654346 +1616223464 +510595461 +1089118957 +1734537954 +108629874 +2084862317 +1200390145 +680257183 +750520271 +323304827 +1178213946 +1813409897 +2035261507 +859357539 +1070536635 +1846052961 +946365513 +2078605752 +1072597717 +1524953310 +1245878438 +2056553298 +188757073 +871042969 +1182759629 +1530062988 +515463288 +1656099799 +562298666 +471635024 +1709754145 +31038482 +982230485 +651389454 +1765576436 +1090860360 +588768124 +818482933 +1771117543 +1339288395 +1141787760 +801847841 +1005214644 +1029565619 +1661205380 +2075751279 +728134933 +460087246 +2006873383 +1800732650 +1985040556 +1105268173 +1709802301 +26313982 +1976311142 +745078282 +1556376970 +344290782 +253694433 +2118675636 +815925806 +1963448578 +2230470 +1798156292 +467354385 +1767806906 +741533004 +1056122509 +438806191 +365166899 +247927256 +1580593951 +1167014740 +1253141900 +462675923 +680736473 +1181409531 +1190810856 +1140823719 +1040799266 +844059858 +978380627 +2146067440 +406378511 +1004694609 +1974894934 +1151456793 +413587931 +171702069 +1405151226 +384779919 +987627875 +1221116157 +387010389 +638300519 +1688470542 +7333647 +1379833523 +597109403 +446139838 +1745000422 +845036659 +2026733790 +764531515 +2098178559 +341926065 +1445267988 +1132104442 +1532736921 +438608059 +25420060 +229313131 +1416988686 +24003852 +635691643 +274199648 +1998898787 +1787148436 +687787579 +23117208 +1044816015 +1072567499 +1010745083 +118448524 +1459577888 +1649045603 +1806919066 +1466911536 +881395478 +256544821 +1913051374 +478912253 +1101581480 +1792301516 +1243443768 +1052276391 +2134227581 +541228108 +36897185 +1519480854 +979836167 +62317245 +1748793986 +249341205 +86321098 +237001981 +523540853 +2085219885 +2024150417 +1211328433 +2108337093 +921482784 +136412284 +971598528 +1039931308 +1595990172 +473160483 +699366726 +915418060 +1354555962 +955911547 +680985787 +1833468215 +2057493027 +325803655 +929428335 +962285770 +312547589 +1470656443 +999182955 +1832028443 +303008962 +1061500201 +1433338781 +552350167 +1147821299 +1670340762 +1075891021 +1085557536 +1547007532 +139735806 +1046410981 +321006668 +276148090 +2018009509 +1360937977 +1872138262 +343686345 +2060304703 +640072675 +1698242307 +868732603 +1321058462 +1384226874 +778741982 +1646862117 +166171561 +1741027753 +1959409706 +1636828004 +592727060 +1643954502 +1939836966 +1654227261 +929809635 +344703485 +654564912 +452666750 +1420594506 +1740122448 +1999674282 +1560330312 +639049781 +173197302 +1836478402 +509575643 +1534135279 +1561133017 +853261988 +1446956335 +53722044 +404020647 +168205290 +1374780506 +1788247521 +946947272 +874158975 +1954419082 +540491377 +686085034 +1443763438 +1133218438 +182555888 +1236116756 +639962051 +1112365523 +1580820241 +1294526964 +1565032273 +853931100 +887165764 +1417222907 +266777764 +1526215546 +1590420210 +2103256167 +2035791189 +977071841 +1516905536 +741569529 +276544528 +1570627580 +1145590176 +444749818 +797924438 +786354049 +1391697091 +1672083413 +593289483 +1932188468 +210684799 +2037052921 +917923258 +393240687 +1125686029 +1557885310 +1505606211 +559022622 +704928626 +923154836 +1412953722 +1592094390 +192894096 +1679731487 +970826288 +1783314306 +1635504006 +859133829 +612902499 +1004925894 +1600703358 +889447028 +428069826 +598809886 +1334196846 +1225994264 +1385163935 +578410289 +750594029 +1978453418 +363115110 +961278829 +1868022691 +1281038368 +1354519516 +846225072 +691440030 +712642079 +1405247695 +1396368656 +1635796916 +670717769 +840979399 +1828691012 +202965608 +1811805687 +1464521670 +1838469614 +523455869 +2077424169 +695911860 +2124159227 +819387549 +1123981686 +575485466 +6100748 +202492302 +1960649401 +584511037 +953086332 +1791619172 +947626147 +1914365161 +1512158215 +81180868 +1121401029 +210899640 +772620898 +1834043109 +1616147335 +21505907 +1322356377 +139381456 +862485306 +1003563741 +342347065 +526807345 +320601763 +33333031 +1050263214 +250542284 +729244892 +1026938794 +1069929834 +1853226578 +1602424260 +1076030582 +2055718881 +1415590013 +1660541619 +861321565 +1059725537 +460684119 +628203078 +424400105 +541864987 +1749604107 +635299745 +1314485885 +1436163568 +103963432 +1335991792 +611036297 +243344888 +50993450 +1614600038 +585691953 +577800796 +1935201801 +619024985 +1628064010 +38260438 +1348269877 +507519156 +1108190272 +1054012807 +2109943416 +36737206 +962248040 +1378049782 +1697278825 +1823569605 +290291671 +10479296 +304289035 +714691776 +552344283 +2053893143 +1349991521 +1866830169 +1342573063 +1453954953 +1055338313 +1953609361 +1697299842 +1106331764 +1420725751 +135508147 +1684132560 +1208443905 +754533132 +1164712922 +1246704343 +2102803009 +1672232079 +207410967 +1009332169 +1634691847 +244148173 +1971580209 +865257981 +1941426998 +1647666167 +1155549653 +1951906295 +1951955202 +1870241429 +356766930 +1858364697 +1072749303 +76113451 +1053454113 +379220608 +1131451765 +859579826 +2076520450 +90299881 +132821929 +64544950 +1774432441 +1341265834 +819078082 +791661715 +440486529 +774397444 +316410146 +647897496 +1783729613 +1951101994 +892045669 +1607826174 +668876327 +685989020 +1108008693 +1824425980 +490411667 +912480248 +1547183762 +847178597 +623361297 +472449417 +923292049 +1676815410 +851670025 +2054743814 +388911588 +780706828 +2145043695 +521733518 +845251778 +1771992488 +1862999352 +1664329860 +416170555 +156002234 +291243656 +732580702 +803899730 +2074973269 +536199048 +1695945400 +1535315796 +1205075375 +234450772 +495840841 +882017708 +724862439 +1408321089 +281717822 +1572041036 +2031682387 +754167239 +347849437 +1561014149 +1605837264 +255109603 +1949925738 +239060444 +252669650 +324175608 +1084312222 +2024662138 +39691312 +601158435 +293349046 +195693546 +892402091 +1025929748 +999593277 +819891713 +1562128796 +548055029 +207723861 +619720523 +782505801 +703564702 +1501738231 +1507368240 +2111885792 +1783456053 +931925628 +1996084531 +390139644 +1279775066 +1409615032 +1995976909 +1534884669 +1212057122 +87553705 +1787554320 +1536232730 +1171865928 +1664732810 +1575924043 +1773024363 +1958081856 +1771617589 +517942806 +836527956 +623727218 +1337834519 +251173104 +1171782247 +1545558380 +870893628 +1954288048 +101639435 +225148211 +1314172640 +66041579 +2008604265 +98614621 +2062126110 +251260261 +1378389687 +1324257494 +99753522 +765790708 +388830969 +187307228 +405861380 +1925063699 +1359173156 +2070594191 +1353504094 +984713871 +1881192399 +977638036 +1502656677 +570236708 +1601365254 +693007549 +821409812 +625663854 +91082281 +1692303440 +432468254 +192721716 +1917451652 +1746640895 +258763295 +1778572269 +1845255516 +173405757 +2029832530 +1076161555 +1497663252 +2129586053 +1841952263 +1886494221 +169409633 +100329996 +1664074272 +1528582789 +23440539 +870094719 +365813012 +1904632938 +1847732755 +1868469689 +327385998 +1301614361 +413993590 +1148795811 +1927278215 +505075872 +693615603 +212262822 +697797588 +463583607 +1958903717 +956560884 +94672228 +1656675585 +1129966641 +2124504759 +585353492 +480146245 +2106607164 +279822107 +219156818 +128533149 +380152103 +1883231091 +1657115938 +403592642 +605842162 +2022928950 +160741933 +306091269 +1743914991 +488127931 +1607705630 +10424934 +1636923742 +1387500198 +515500806 +183055698 +1599763020 +1213298394 +646639305 +1411183089 +22375630 +741311534 +920375026 +1152342272 +718332645 +1505728518 +1632488517 +677456161 +1785550625 +1851645336 +805989310 +18219081 +1587392779 +315621600 +421811723 +45751293 +191066902 +582553656 +351842562 +1934981893 +1070681588 +1959548192 +1945406827 +560121682 +1199564742 +313423985 +743177380 +651844114 +1526722380 +1389816686 +2063027203 +1549098010 +2131128220 +835918581 +553956634 +701977217 +194163451 +38961504 +1379433378 +1979714077 +1890606840 +37939040 +1997933158 +1330515971 +353560640 +272261233 +1376267264 +544627542 +854814890 +1728109826 +332125787 +1925496478 +1540174370 +130048967 +338134512 +592255465 +443472952 +1081311893 +1244099579 +1970195332 +323644931 +1159643135 +1371809695 +307289503 +1995561716 +1925766329 +1009266720 +42241520 +1964727833 +241216450 +2021955597 +1707851025 +279155490 +1872405107 +890883348 +632716130 +2144666340 +119666964 +1177343672 +851997582 +1847776790 +1509469459 +630010412 +1240467513 +1639518426 +968144925 +1832722978 +2082991379 +2049456818 +929338909 +1905703063 +225618101 +2088982044 +1130029110 +532907604 +1937060113 +908311792 +1542174324 +1979301633 +725555977 +1783390774 +1853773582 +285923355 +2062546264 +1578695041 +1176806703 +547778746 +1575877733 +1296473668 +1725122418 +280391668 +996766810 +1087108229 +910402080 +89750675 +579143008 +1878547005 +1922473653 +514650739 +1780520175 +704328915 +272870154 +2006138276 +645827311 +1402899265 +391562232 +435403776 +163727409 +1933736556 +267221761 +889283386 +1569643682 +2120995343 +1175206741 +1484706298 +1552206736 +204529797 +2032485044 +980600822 +1501003465 +1610123814 +1260992490 +350286627 +549748396 +23910922 +440037303 +1128891404 +1902457928 +215027308 +1643542143 +1535494455 +919356223 +1916412297 +1394149084 +1565183535 +1171827914 +1785711316 +2000587311 +1335555323 +1571964225 +120325425 +77355062 +994124259 +93837120 +1252561803 +331346910 +1646043857 +1457091600 +216348306 +479161031 +810611417 +1826472121 +1740153521 +1160898045 +228736869 +1764064443 +1600935348 +1357628273 +1519038723 +1815962656 +853686768 +907049531 +587835232 +622615417 +153714967 +5535119 +1794443332 +1939426283 +2006122430 +982515007 +1363906860 +2126447855 +1059870069 +210547472 +72801328 +164948225 +541894382 +1718845185 +1622039825 +758242688 +50522568 +285167595 +437231161 +1790676089 +1446065640 +665968030 +1407256884 +899517340 +2023596303 +778811960 +567996348 +729799423 +1685861491 +1155831580 +1352414841 +1839576458 +1161366699 +999374525 +1631519093 +1020005482 +1981889532 +847942306 +998969689 +894275954 +1058489778 +1071771017 +1059224179 +1600384160 +643132554 +533780356 +211143200 +693655122 +818947951 +648374362 +336847563 +117529943 +1314342392 +1744104448 +1017047283 +1190455048 +375432760 +1585043632 +1920254471 +2061294251 +593391564 +1125185664 +1753387061 +1754758264 +2124560189 +1237422506 +627280098 +1958966074 +2085364812 +1626249787 +705758380 +996370942 +550537157 +1764982559 +449271454 +1193669711 +151279267 +660414655 +1887324834 +970227219 +1308789017 +76688749 +1087757162 +475647761 +1820793197 +2104804446 +1666102809 +48742309 +1542364430 +1438873633 +2110036560 +2135755994 +416575649 +1715939973 +1743030610 +393652191 +805878832 +222827060 +205134617 +743759996 +1849076848 +910892997 +1740130939 +252130357 +528391908 +41918745 +1445800068 +679671175 +702333400 +1185641254 +1649898394 +2011122417 +1262330004 +590171909 +339286531 +935639553 +547492707 +2005389340 +984381863 +2089857137 +1296779325 +946934775 +2078129483 +1713354975 +515391101 +1673676446 +2107007166 +1321269933 +1896503506 +164658135 +2065029929 +1598096706 +1075551132 +1657677220 +1850227063 +1603943040 +1699595966 +1148543484 +136130567 +254445718 +186701090 +1786028962 +118084488 +1449031094 +228717223 +457371019 +237187000 +776209930 +315276711 +1221568863 +718583419 +1612056037 +21019990 +649229254 +1177927364 +536411091 +175422052 +1137450882 +1857681024 +2071925559 +1302109017 +1775227306 +1522538617 +230176501 +1285420878 +1225282033 +1834119541 +837533196 +226341869 +1970250108 +1091978915 +413042959 +1608795422 +1210063403 +1862074054 +1837512645 +1667434422 +2099261054 +466238927 +1982711133 +1173346269 +1184822346 +1447283522 +1194366259 +1834051601 +477727238 +1730777351 +2009473653 +1615178120 +1440974727 +1933915564 +769803489 +1068718385 +1308970534 +999979990 +206655616 +386768919 +686615883 +1044188812 +613110788 +509382344 +2136167727 +1026153747 +2118177766 +1198747482 +740744153 +1808206764 +718698256 +692521559 +126962043 +553925742 +1865867828 +1311784390 +2001209264 +912750440 +998352343 +331452855 +496044143 +860342348 +1946630975 +1937018870 +646774265 +568950817 +858253608 +1955744799 +1568930807 +1064909224 +195030070 +108063043 +2109098036 +808140858 +617445387 +2097782116 +1834294605 +588139505 +1149045950 +427555111 +248862621 +1867744207 +1120076670 +375824665 +274186301 +838460851 +1687609055 +127911917 +1751211291 +538477750 +459364772 +99771786 +1398820098 +258512100 +2036790656 +2045594363 +827462917 +747560616 +1853855514 +248910076 +1812469840 +2048885584 +356973119 +1774084229 +709542794 +974418506 +1724382697 +396353752 +1562558012 +725944999 +823908863 +1811420633 +446205558 +1943985533 +39761650 +720391859 +634962736 +1727370705 +848303777 +238690379 +118364807 +1307668549 +338462165 +1517184906 +1566180649 +227769174 +1415295621 +246159918 +975329790 +1121667488 +495069995 +640315983 +1023069424 +852043114 +266916564 +1732612219 +1826461621 +1991299261 +2128965971 +1241535985 +569760612 +805391186 +905472970 +1015966171 +601893071 +945234621 +1736358030 +1236855808 +525121678 +437178159 +1475546187 +643486486 +1744846709 +1814008353 +13187744 +1163543710 +2041777527 +1428483365 +1409703629 +869623669 +402667205 +1904773624 +1509939652 +1425736630 +609333090 +1776856216 +1010865201 +288311063 +1620671829 +992347524 +1529847048 +42948794 +1797738710 +287836371 +1058914965 +252148133 +1233070992 +647789347 +1489003941 +1758192670 +1084967507 +817066481 +254195508 +682330568 +483591186 +267383252 +1845874278 +377885065 +1695866618 +1108094259 +1247508734 +2098533823 +865384235 +609964739 +1376786805 +1474717326 +239337307 +240168358 +1763028389 +1860009137 +1232515882 +1145391790 +1902957931 +882770944 +1433228161 +814389248 +1134919078 +518815505 +1462178595 +476439371 +129524527 +399662454 +1293505852 +383720036 +1081993022 +1777097038 +651103288 +780383653 +7498455 +199486258 +1888477912 +1255007190 +150536434 +606378500 +1864971929 +1527323239 +2081095826 +2104309236 +1767491598 +1696640567 +1816834725 +852523832 +694548709 +1572309008 +1735294777 +2127776870 +239214608 +722730207 +499108727 +1701393204 +1199169578 +628633255 +2101055658 +345191783 +1012353291 +1035565033 +2122288821 +1663456579 +1815948686 +2129787277 +1862942838 +1556942950 +1237310819 +2013479272 +15837802 +954799100 +1393318863 +2096933628 +911624688 +1013326813 +1646090548 +580975766 +1865850646 +193155609 +5801126 +1453661775 +173448832 +245015735 +28908334 +672557559 +1946408939 +1228077912 +1301190814 +1899980949 +1573269695 +166060457 +788062334 +1548074869 +1829517037 +456527372 +1530378498 +1544976227 +2013470323 +620205669 +1410971851 +2029308125 +1575004769 +656807066 +1978758106 +339145809 +1670133880 +1477365006 +920121575 +1388500878 +1670520615 +925922702 +694679005 +1843969447 +1170938437 +723587339 +369043359 +969863728 +1951665251 +1670234173 +722361029 +1377451299 +1836294631 +1510423364 +778042520 +1518328020 +1966950736 +160937370 +915820599 +1832937411 +781143039 +179308802 +1714761889 +208664160 +836115868 +1546036347 +547809969 +358766100 +875917705 +1467931545 +1747266978 +398954672 +246370599 +294462335 +95440472 +1417309036 +1018049674 +464483831 +239689116 +822231278 +2134718004 +962050145 +52198929 +1823528987 +324989861 +830241449 +1194373359 +144456950 +991178819 +2110193958 +1977394361 +1772321858 +142019112 +1544672602 +1980986018 +978134981 +943225301 +381312339 +1336901081 +1819143006 +1849243884 +936684412 +70614031 +2095614483 +1231146747 +166054503 +1365439871 +101712774 +630538334 +1605128987 +923944052 +617772690 +419695485 +976142981 +293818030 +744685346 +1806384430 +1488191389 +889142296 +650079601 +1450901700 +719053010 +274917811 +1592920812 +116241964 +108420181 +423572145 +1059467266 +489732520 +1760473227 +731126624 +191492757 +549673991 +801740655 +139623592 +1780820738 +967795158 +1505063464 +1882533512 +1598333492 +962708803 +658993916 +68622535 +1382404288 +1635136897 +362440565 +2127089635 +1294037679 +1850631954 +868748283 +1944117280 +1154050006 +1587801293 +71551443 +599487171 +1704043258 +179971624 +1023059316 +616026876 +669704145 +636048895 +1347153500 +861196902 +1185722886 +1410508 +1000820494 +819059977 +969205666 +358400310 +554109841 +420055511 +1321109114 +1213103758 +488678046 +556029754 +700757007 +851118611 +535635741 +1994794687 +554266917 +1404384025 +1791428319 +1708316924 +844701670 +1862979763 +160320447 +401261280 +2042951387 +1183379763 +1017288156 +565171884 +1819428659 +216958009 +1426368786 +857667897 +218368517 +279705633 +1676727874 +1187574183 +638105943 +83354068 +1607629694 +1959215057 +1296457826 +2096307740 +367761164 +1997214833 +799942703 +903396905 +1844525872 +1354209621 +160297282 +1488470544 +915042897 +1004998953 +1203966659 +1075363344 +1406260233 +1099434398 +111259459 +276064742 +1664606283 +1930688118 +493022751 +943491421 +640872368 +711391268 +1223197054 +170116594 +1898965451 +1861302998 +253470662 +1359111498 +1673034407 +1549928488 +1307935590 +2040795571 +1399659674 +2107878294 +796708829 +1096701898 +1314604267 +957006111 +437688794 +82163516 +1962005064 +1641655453 +1157526860 +1220781650 +593606204 +1268786319 +1496846392 +110728839 +1051990790 +1989869143 +1054220260 +1692863158 +553776763 +129933667 +1862979752 +305258566 +1991236665 +2116450415 +1664370064 +1516787424 +1518895255 +824822007 +1410099348 +771071281 +785216653 +59324529 +1867773180 +2099820920 +1016330640 +157978326 +34500788 +830852057 +1799633780 +1192027648 +2051633707 +245756336 +313330319 +1400996451 +356485175 +1365321109 +1243381946 +1410705435 +910700619 +1797158709 +1540639102 +626196724 +2102417275 +1384392119 +595163491 +1619303692 +753695896 +2114058746 +296642051 +16311596 +737646380 +1081858704 +75636125 +457935912 +1034195976 +1091966765 +615914238 +1068696764 +1922818822 +268064370 +113240764 +1826968881 +513820706 +426571083 +1080481684 +870305881 +1791892193 +176379982 +133527669 +555109164 +1973538691 +1674166771 +1181305888 +1928472319 +911075243 +1776469379 +1400292363 +1664771139 +1743044478 +1696934414 +1681082735 +333207210 +631309470 +1756718860 +791143122 +1665505446 +701201977 +1407057360 +586718562 +476537152 +1675121731 +699959326 +156022385 +41458789 +1126530409 +1236504070 +911764671 +770938954 +1412884052 +1045292340 +1326048119 +1238939096 +571975463 +359870359 +1019927767 +1483050706 +2136339739 +272736482 +1000338197 +1731900569 +1969670896 +533937284 +2065107779 +453496718 +143172496 +708767253 +2119002164 +844374474 +2115824613 +558237078 +1320911626 +1643462696 +1258196404 +1476934011 +1684921486 +237243165 +565954433 +449202509 +1008182120 +1978838486 +1494494849 +186746591 +1070293934 +2066470312 +546616950 +2090221701 +1402037371 +535473041 +215474535 +254891920 +119889962 +37661783 +788829205 +37514093 +491158501 +932001701 +746281346 +462677017 +1776376175 +714622312 +1020914095 +949804153 +210601360 +131626851 +279254517 +1895522846 +368870016 +845208950 +197241707 +1377052136 +676563788 +1691736556 +1563798727 +1746857722 +1610723221 +2110415678 +1689595775 +865276944 +498405071 +1905070310 +1120168864 +618295034 +1942732093 +1908998069 +655809127 +286406946 +693516123 +1402090474 +749083963 +322408650 +2116712786 +1769998058 +1272212804 +179830498 +1901624909 +1551467321 +2075353345 +123011278 +249192623 +125111404 +1500063414 +925756412 +1816847961 +916378494 +525130486 +1280087534 +879310524 +67242614 +2145364478 +1377715595 +1972312924 +1118049694 +1996010629 +1767561370 +879564116 +504336109 +2053968316 +1573080239 +1906426583 +655568632 +1895488889 +1875655721 +278083042 +1020218045 +2055486219 +32224304 +424201718 +1983355916 +155235582 +673394342 +2108467321 +1655298996 +1599150754 +1777831634 +424193842 +2124281240 +910435520 +1303504366 +44040206 +908316350 +533736314 +2016353131 +2026366044 +382263295 +1636430853 +758446512 +886599404 +1542915521 +184043103 +645542339 +51000505 +2079531993 +373714412 +329083548 +952266390 +281716984 +361307852 +1376468109 +117589252 +516543434 +2049862451 +78572925 +24358782 +1501529557 +1856404559 +448552625 +1478327149 +619356431 +1752056991 +1522367356 +1527672781 +138309657 +1391236839 +1406555178 +520572953 +880184044 +17518042 +1407172357 +275615917 +201561146 +2052714697 +326616423 +133609491 +278945461 +655699971 +1085875881 +560662445 +1017007823 +314860342 +678251698 +1533551257 +217239145 +756824623 +1557910039 +1718768702 +465745535 +2006462664 +1049612204 +1085101966 +1611036008 +424495912 +465291100 +1749345665 +1815732751 +1871846278 +122434970 +548433147 +1889364320 +1529607328 +824049064 +2090925466 +1434838377 +1150665487 +77051309 +1713783838 +1806365458 +1162927191 +126962636 +675889633 +1477787533 +805214334 +61957242 +1695026679 +1562038957 +1619867282 +1266311733 +2027784492 +1478846298 +168440289 +965402811 +942398658 +592936201 +1430693911 +544260676 +261185304 +1155056541 +666695646 +809618451 +896937213 +48819326 +1633667516 +840379032 +1483657703 +636849355 +917430341 +1049957894 +295731166 +2080357532 +1176920530 +971620799 +1410661418 +1982134864 +1033578042 +958204449 +1396690173 +505961676 +77032534 +1276991018 +1984807974 +245472824 +94910181 +779722985 +838409025 +1525604092 +1323983661 +1099594330 +533176985 +1990679307 +1909212781 +1430114198 +2039498634 +1395396649 +123009582 +1375672689 +2032246005 +1040439924 +278146935 +180493523 +973313808 +1455067465 +1152114322 +236491578 +1289718681 +38208716 +1194696027 +538925207 +544170392 +1271728562 +1815916225 +381494719 +1517201386 +1910826406 +1161217704 +208126763 +1288946850 +337717717 +1307721093 +1822123835 +180913376 +1069450227 +1104754385 +72928362 +317363228 +1227763968 +1448601052 +202125585 +120720244 +1726747987 +382619108 +1094034052 +1034331805 +1534733431 +1330525631 +176566838 +1572942147 +377738010 +715492045 +2117112540 +1649466572 +383924622 +351123611 +1019184310 +147267380 +1512341315 +1227311074 +1436214230 +1850059032 +387548519 +1110854417 +2030972408 +1456998746 +68125155 +2103900771 +1774361975 +1295889123 +1405018175 +1976487560 +1416609367 +984282514 +211623021 +363159771 +2018614319 +1746356452 +1693685402 +47697510 +1171814951 +2071423413 +763189555 +1141443843 +1573406337 +1147114178 +1492567454 +445107000 +1294381558 +857425121 +1672418074 +583112141 +560000505 +2059966593 +1693966558 +443489266 +1369481692 +1762091713 +399906389 +996360019 +910497188 +1804924564 +825363931 +179622907 +641723430 +1036986952 +542782679 +512854102 +635859756 +88984433 +560551612 +1807674708 +12924198 +1323741167 +801634903 +1586330536 +323371697 +146718710 +2031437536 +1617753256 +1004143831 +1556371962 +53381749 +1564144337 +1468854907 +1747348307 +2007633603 +690852951 +1361956373 +260056344 +1687212970 +124969913 +2064980908 +365093254 +304592821 +559220690 +1402080206 +847375500 +1072074792 +2037939963 +936359933 +1632626404 +1698131023 +949284132 +808883924 +352282278 +388131020 +1132255621 +499000988 +272084908 +602525229 +1503144820 +1828456870 +655906978 +919805509 +1149828129 +255771638 +779955464 +1840681081 +1617728011 +1040011808 +1380410403 +1742697924 +957509068 +1745503657 +2047290745 +1516729758 +1000100216 +747182597 +441320903 +890556531 +1683542531 +2073947307 +441203906 +485343015 +735347583 +793486184 +873474035 +1867603205 +1292487173 +1145558943 +322644786 +648148345 +826532165 +978551765 +1567953854 +1976360294 +1234323403 +200425670 +1669557727 +704567766 +1240437478 +902484483 +299782042 +50462898 +500504492 +199589140 +1567192656 +1500604708 +946771737 +2008513559 +243677591 +482830620 +1934977219 +684881497 +968173635 +522841154 +1478367682 +1841647670 +242960711 +623371207 +839722965 +565605498 +1271519552 +1666255130 +1544157263 +691989758 +1495131777 +630997018 +892415428 +1017205856 +1335564784 +2132852906 +1919690339 +1635346826 +35832156 +272711184 +1834935966 +1603024812 +1773315892 +634224056 +1464054724 +2016993484 +1117054676 +1251548295 +554391333 +2085228312 +1774389449 +2032759015 +1779392334 +2017350161 +508646574 +471631652 +435472011 +1780166126 +2137886782 +1979629274 +324672236 +1485534911 +463142644 +1217087664 +355257120 +1798707428 +1202456922 +127463811 +1286570606 +1238289078 +400174995 +974022925 +693830243 +26007240 +1608246981 +10401319 +2043000724 +577818009 +1261949614 +449908409 +515562673 +888855415 +335183777 +147471360 +758721928 +843830351 +619103012 +1194193939 +476512830 +609506146 +1026339565 +801185066 +2095041058 +1489482209 +2018272731 +302814530 +1140705989 +1073246005 +430278341 +279792948 +164051436 +830453337 +1253815873 +857881679 +856460577 +714579206 +868282998 +751977653 +1292397215 +2130232612 +1201886062 +1807959889 +871604379 +1537069839 +1955431249 +1630326308 +233416543 +427050613 +677036599 +709929373 +1036556759 +1703376165 +1511114439 +984114169 +1045374726 +1381903522 +1286928699 +38597068 +307665880 +1717207041 +318390016 +471717316 +400176730 +1572205889 +1329598995 +1256637307 +139301447 +50398345 +2008614960 +1431698662 +33147309 +1063017374 +1092174903 +904751688 +452603566 +900122504 +387594348 +686020109 +1327173117 +1064630948 +1395949482 +216246229 +620523465 +759580273 +1200360398 +1665898191 +2141483796 +339805450 +1704495259 +301666028 +2057012491 +2022885275 +773383344 +309705573 +1447607516 +2102982339 +1566342880 +1586908963 +5897036 +1427474192 +871123978 +39044345 +343007918 +1963298881 +943796033 +795611484 +715937738 +1331390382 +1481631593 +2043110855 +248537682 +730097427 +111873436 +869061147 +1489677701 +1312233835 +387475690 +1483677849 +1652039285 +2091970950 +1785343877 +1561568128 +1967372577 +411243573 +1871273701 +1267496446 +366742264 +1290132933 +706921761 +372639300 +570123477 +1578045739 +411683645 +913131395 +1393860973 +1355479678 +1708742880 +2109798711 +539386412 +1042890825 +2005425918 +787924094 +1772988253 +2117299355 +1656985241 +1115182306 +1282049542 +2044460932 +451376507 +786605179 +1988948234 +89236736 +200689659 +1808837163 +500480309 +2071963360 +928849961 +867222573 +1214612645 +1635771723 +1239861873 +1784736122 +1066333814 +1651545518 +550383869 +312711139 +859541548 +111643101 +275026202 +1398927961 +1154533927 +132968473 +39368407 +780038532 +102784180 +1696353649 +1895220838 +1384833722 +1593330933 +199113697 +23955253 +1434795519 +288350433 +224644912 +1096149034 +788830742 +149124624 +2024998996 +1656053315 +1363737269 +1513287071 +748431540 +1000989743 +432137237 +252493410 +1551373612 +744848377 +1112034958 +1663016714 +1019874579 +363479271 +670066993 +1152843052 +402847679 +1450105525 +1255627232 +2099201328 +1197842715 +492977306 +1545048613 +1396956412 +516932559 +832360484 +1685306845 +741577471 +1928509518 +326653939 +890702095 +1806024866 +1982707254 +106955716 +1171828289 +583655146 +1107945459 +1603965527 +836148556 +511835424 +201330256 +1948183514 +27368490 +1221204835 +164179138 +697435483 +226564240 +567026817 +57360 +1482191472 +518744497 +1197900075 +1975168779 +2063793110 +447372839 +344617690 +748669946 +2132679684 +1086195162 +529695816 +311849975 +1976897257 +188237035 +147073581 +2083852974 +1360065324 +730728727 +1044314785 +816547203 +1566877283 +1556150209 +1017877459 +1367577149 +1583518699 +91598647 +1531756287 +133470534 +318162887 +2098783104 +133527894 +1800354359 +470043953 +1331427969 +1628039490 +386353415 +1778800808 +1972657181 +1135023361 +1763996844 +911368695 +1664719178 +2075846819 +740782304 +1852956213 +75436752 +677151630 +1065537889 +806165479 +1721466416 +1882085093 +225559114 +1130132977 +752478904 +1593136264 +566168029 +844077551 +977408903 +699638563 +1162240438 +928708360 +833166458 +815111150 +1398752313 +17110779 +295666992 +1785105729 +1795911588 +120840525 +772645442 +1412424784 +1032209220 +289880972 +1340787956 +1772991525 +2142837185 +1416224708 +302659507 +1060891427 +74906540 +2024125923 +795492872 +300465654 +1006775253 +1547971776 +1893601918 +1572943282 +244565680 +723527174 +125098197 +1406806118 +1652235534 +958264655 +74433620 +903504199 +975375435 +370100613 +541126280 +623803375 +490941138 +1313771723 +2036228159 +1523150359 +1603652695 +1229532467 +1148658236 +1599006233 +498273528 +1451317743 +512414012 +573180068 +1327960019 +1307906884 +873645722 +187251624 +708395012 +619763993 +1760194906 +952960692 +1343291167 +1885293103 +212283163 +848043053 +696074111 +286716783 +1751547252 +1671449546 +656817396 +145189885 +147769273 +1147758535 +1458961608 +36513784 +523425246 +915130655 +1266046252 +1672083482 +366653240 +1764319780 +975917577 +879067252 +190016200 +156393948 +39490488 +1063661922 +343645572 +747885501 +1683425915 +2103840478 +1700846193 +879233434 +1841649934 +1913129356 +1727276487 +390240397 +52362492 +1331340092 +2061689943 +709179888 +1476529977 +61975568 +1856938423 +788007937 +98489352 +232880021 +1703138592 +1364535604 +1904963503 +2069791833 +981371736 +733397433 +801375437 +1171387936 +889791381 +840865926 +87566211 +1233436954 +1588751427 +1770992126 +1189793784 +1142113972 +502741913 +883960070 +907759681 +82534752 +1274200467 +960122173 +1413874844 +1188406762 +1669302061 +742921173 +1250382330 +1378756837 +1530929110 +1348871683 +1611636858 +1086584055 +565923639 +1369116714 +1008892240 +1547295376 +2102514147 +1810267677 +571199664 +844821880 +503649955 +658765875 +2078258834 +2092401382 +282274354 +1120568971 +1087031707 +785016267 +2004529041 +1994791388 +867551019 +1131245861 +807429913 +133942216 +172168975 +329248326 +876863389 +1422551306 +1708005163 +260308852 +623939341 +1172158374 +1346892907 +1189862980 +393791440 +208301499 +589674708 +348821939 +2018569176 +1160874373 +1193643819 +374735484 +1819640248 +1124419006 +319653218 +2101914602 +97504329 +1406684925 +739447221 +2102033370 +1253992665 +1606998241 +1085795583 +2061422578 +1740940457 +1257964559 +243187257 +470320198 +533032217 +1951192420 +730629050 +1156971558 +975867146 +2077521957 +199350890 +1369658586 +138339808 +789025599 +1718480525 +9425337 +1949899972 +764640697 +384160821 +1622056572 +1889059703 +703814039 +1576487527 +1986564032 +2110498965 +168451100 +1941113754 +1217007982 +1775449341 +879425690 +1130946913 +1368906150 +2137390249 +1374134170 +1839226349 +522938818 +1177842942 +422371751 +1679910376 +6226441 +352410061 +1879261266 +1375885027 +490749869 +520803217 +946881905 +500175206 +323219541 +1711522602 +884336027 +1945276114 +1453098657 +1588150067 +1374279993 +1292179041 +1551165384 +1542731093 +1085809147 +620689718 +1170696787 +1965234837 +1751636631 +392119289 +1955141438 +978287153 +83861990 +330596608 +8646448 +506233742 +2010506984 +14872889 +858643803 +1742284603 +1390757916 +1349393672 +115604172 +190156173 +1849568879 +438823714 +1901678775 +586421258 +236616180 +1207293784 +27087677 +1610896173 +351989177 +1578253061 +1006143618 +1437798325 +51459132 +29356757 +1255549514 +1803095763 +421476047 +1063207305 +633899269 +505338037 +1393803913 +642545717 +1011571779 +1256827250 +657418606 +1870215582 +851628205 +2048176522 +1072125607 +967232377 +90849048 +774210838 +1406056091 +1992527823 +1360632096 +1642672271 +1052337960 +1387719774 +1106084796 +1404327137 +818489187 +2112228415 +694641814 +869948319 +2141585172 +1950191329 +525560435 +415577571 +865914986 +1159459704 +920915609 +112235251 +1802005421 +1932487388 +1369062501 +311940379 +1655219323 +73207058 +212633253 +579861282 +1040439436 +303482301 +1354072120 +299011879 +148526477 +567220568 +1941684151 +1200864437 +1954940342 +900285299 +457707926 +625945882 +865030066 +1152349741 +1495894201 +859131591 +955057422 +2021454636 +1274709162 +1820972408 +1033430692 +48141123 +1933207659 +687952465 +1980628512 +1154786513 +999892844 +1488364187 +1227993571 +1212526098 +2068225469 +120949359 +1516008399 +1274813941 +419961239 +1664534876 +1842034509 +214161742 +717915665 +1649491204 +1114447041 +1175623592 +127953438 +1979477108 +180489685 +1623847639 +691125051 +1135547107 +1497818628 +1965834213 +809035867 +383765672 +2013975337 +594759878 +1071718138 +1847120201 +1749546391 +2071610982 +1188000740 +830056315 +1136653432 +1108742561 +951005674 +505178184 +236072854 +1370966913 +22229412 +2078107363 +1585128655 +740145078 +1580114919 +552092049 +1915768670 +1708068357 +384085509 +2096258355 +1184432349 +1075210560 +1084321814 +534767329 +893561125 +1893357681 +918533001 +760052814 +340633911 +1990251139 +459689367 +2090180303 +1914378474 +1647690107 +772752970 +903548258 +608949020 +1723758644 +1408726442 +845021874 +947241910 +1430955855 +775645590 +384886917 +23617285 +208276861 +936978966 +1939385955 +1916345219 +1321064475 +1888160662 +953293920 +248791387 +824998828 +1488061249 +1142352513 +570872861 +259110602 +1902405327 +911506772 +101878094 +214611047 +854203427 +2016256568 +1862301154 +1626956397 +772321178 +323766527 +1203231394 +33563973 +1168788401 +2989656 +1464519828 +1944433991 +387876573 +1488137113 +5227205 +1324855540 +1280039420 +1921572424 +498436367 +1020716434 +727382696 +747227755 +1845715262 +67960297 +1889580268 +269104475 +327070899 +1644501947 +1180611247 +428948993 +1859112994 +2034814675 +297721913 +1573930501 +1514287424 +1070043092 +1897697028 +570035170 +1103607065 +919001781 +573024826 +420643245 +715952125 +960901400 +1908780358 +721179330 +138273292 +1041336130 +495268106 +636709659 +2062052564 +1222650802 +1383937414 +1760284178 +1290611099 +1126034034 +2029388653 +1617681998 +623052334 +1062516252 +2046630992 +334681680 +949847279 +196869257 +1908612181 +316651056 +1266912349 +1658825561 +886686226 +223035766 +430343695 +1459711053 +643679011 +1146295820 +273128805 +404975721 +1867475150 +411402097 +1446311851 +215259608 +1048111756 +1360880767 +1437910410 +284565523 +973681297 +581037861 +1410599557 +855586302 +51236211 +2033651891 +1918102555 +2097867203 +220849924 +720466186 +147252813 +2129462105 +1037117242 +1414165162 +1640804019 +1923803469 +1637200929 +2071147714 +1236030874 +133396292 +1069959886 +1509159679 +538372014 +789951388 +1920561776 +1984683865 +1005210996 +821189884 +1198080985 +295637758 +1105755407 +24278634 +876675619 +368871317 +879864937 +927911830 +255039560 +650483844 +878295386 +475889484 +1370950030 +1025548199 +457867942 +260583625 +292229713 +2098671961 +36903446 +1929430642 +2022336027 +1272934320 +2062826935 +944812265 +634610351 +453715301 +1734763653 +407688479 +290915518 +592491001 +1228878363 +1488996503 +888128759 +187150123 +1513275138 +1764804378 +556021440 +245656427 +545232560 +811061000 +896140271 +1423527946 +1286950485 +119606653 +301592497 +1744818427 +380190278 +593822211 +1696006740 +417093724 +375769205 +1570859119 +1690028044 +291112492 +368187736 +177154747 +744827793 +2102951389 +584843226 +1035743312 +547958742 +1813721590 +377256167 +1436087501 +2000871713 +1890531305 +1053408231 +409409505 +2136187732 +1598640791 +1220470505 +884844355 +874685090 +359937342 +1004451009 +1176277587 +2104755769 +1384641287 +1770099798 +1653278861 +1801735012 +2145869004 +1076654332 +1344279408 +289497848 +1444842068 +1521434156 +1034325642 +1400309809 +2106277382 +2070068954 +1948268551 +1772515324 +299841473 +1236872404 +1625903389 +42889131 +142796987 +2035312894 +31593215 +1741437779 +1108299752 +916437571 +468639221 +1468237094 +1920888580 +1644916808 +1425509216 +1158046219 +1267532959 +931304429 +812297583 +1265918315 +2007958762 +9093344 +1555416163 +1305317182 +1530527500 +442258157 +558143344 +1489321234 +364843463 +358928247 +1114352911 +664684937 +1595800652 +592772652 +707574068 +1738597639 +480601899 +739167283 +1332551770 +1588901651 +1655604854 +1801190991 +909655097 +1429009786 +1298624152 +187680665 +439572358 +418673463 +1118985095 +1251869941 +1684591778 +979460209 +1260963285 +1092524293 +137293743 +644007137 +1534782451 +695437087 +2133328372 +1899625914 +1054365335 +1100197635 +416827203 +502682339 +1692970287 +1124401271 +93796330 +26088538 +1863568555 +1426348101 +1614990189 +1371689761 +1080055444 +377161639 +653215900 +231195948 +564842304 +1092788258 +649869411 +1683827399 +197174551 +186977541 +515803960 +1458137837 +1279501835 +653097704 +2102144974 +666800638 +1348534791 +2087989698 +418942904 +255416478 +1040703685 +835770108 +758098817 +586190325 +1960171379 +851895148 +612278863 +1676256286 +130759601 +79785405 +900462400 +1210815045 +456947044 +1553678300 +1442010994 +1021789348 +498982910 +2091880405 +558133100 +696157461 +131374299 +1073937060 +6811650 +1410876134 +1727034764 +2108956625 +2077676772 +928085908 +2049462675 +349136028 +1183502386 +942682713 +1184906136 +1941601204 +1528873038 +997593868 +646012704 +2141151901 +526366506 +776772305 +73453658 +1426828906 +1987587350 +530400702 +833023558 +1282114696 +1552190051 +1332006468 +1226511454 +2110323151 +2028163930 +1357885753 +1036776563 +2034975580 +621278239 +616327680 +1996448557 +551471363 +1544413588 +1898427585 +900607391 +580432326 +693626650 +2085513528 +374549882 +75016040 +935623748 +1020562586 +68684293 +1461990254 +1797334891 +142137952 +741335513 +1637438594 +672538654 +1574359071 +772069642 +77245057 +758881892 +1998581096 +40084560 +639562174 +1208983201 +1076861124 +527054106 +1830261440 +1693188804 +376019016 +234249155 +1090118744 +126962953 +1134856547 +1670551070 +820589603 +1072886427 +2045100953 +895605643 +2008510175 +918179891 +964289936 +1323016781 +568031135 +1106427888 +2064352294 +57986081 +1778966543 +1491227718 +830055723 +1856211600 +102625962 +681153172 +1896296161 +742188136 +1890136373 +825673637 +1269242242 +1572914166 +371378793 +1645261258 +1807163321 +1461497537 +1772224211 +794536220 +984564959 +445330166 +1867422647 +882182264 +1340935809 +1728449174 +1800362156 +157742098 +903982308 +220909643 +1264169986 +820850954 +278895724 +895652881 +164595024 +1108951447 +604380834 +267220986 +1790104619 +353193347 +1009409122 +1532757345 +1178866984 +131167717 +958187863 +1550245777 +1776428975 +617867536 +864259666 +1401169539 +1412403757 +1848824625 +1846499705 +1132342756 +583523242 +1039951867 +713308283 +236401750 +1197693965 +1617290591 +457311393 +314380303 +290657897 +736207117 +1210033185 +455252922 +1845158564 +1814414019 +722473908 +1487779536 +20123718 +1731883031 +873053233 +1198990702 +1863050748 +1831241096 +601752831 +1491996075 +301624984 +1466012497 +745681966 +1714028741 +1167353474 +444698024 +698887850 +1750876716 +1484649891 +1412196133 +1987278466 +534860208 +882003076 +297106211 +849240511 +1172660973 +1033313328 +2059273696 +1627913895 +730988245 +1726204067 +202904156 +71284133 +1746327785 +1934787187 +944337366 +797834839 +1650354287 +628094814 +1399587670 +994866714 +929719798 +718116519 +1740548681 +496264892 +1885469994 +37763057 +1195152742 +1488863062 +1522412948 +459865227 +1328657881 +2057273156 +1341868303 +1625764092 +759030019 +367045628 +511593773 +670820068 +1994959524 +1242582018 +249540487 +50380032 +1313866151 +1995868273 +1985167219 +110719869 +646219464 +1488037858 +738814683 +2045807135 +335420924 +1668534481 +616440006 +2075969605 +17315725 +354426352 +2113732662 +1212468467 +1843289415 +1488661962 +1672333694 +1024463648 +1398451470 +866718349 +502744092 +9997842 +1233763978 +1014337865 +680817910 +1081239854 +109436235 +930358397 +1131619886 +1423302386 +778743022 +969303457 +1534022255 +1424962487 +309857667 +125353290 +1323285974 +645278591 +1793887772 +1939725980 +573764549 +1811203497 +146668685 +540013563 +876188317 +1989958100 +2028675526 +401038363 +866938100 +1279643348 +1267756713 +1369682192 +1289641190 +354037043 +236536410 +1970459100 +1435276897 +345972645 +753333850 +419413135 +1769275032 +1532076872 +1388716592 +1155813639 +809555711 +1698574259 +1281166930 +2132841685 +196369202 +927571054 +1925084018 +770133751 +591290903 +2071752703 +1310147315 +1467479220 +1914227155 +1191339193 +1868517584 +633681607 +323498893 +988790649 +2003363799 +1613140084 +1342827692 +92416561 +1436115536 +630620941 +438389207 +41965738 +1050034076 +60180591 +1574042611 +291267020 +1215994230 +236114674 +1989841279 +349677512 +221472712 +38726833 +1277248566 +2146556730 +808860585 +1868539470 +2070825785 +2119007900 +1188535042 +1837569292 +1162863445 +909568978 +323767251 +1486362338 +1898359627 +179647402 +952018774 +1093703671 +272063964 +240650663 +1724324612 +710453171 +282616401 +626875040 +770633762 +1856659012 +918142060 +1986627992 +2092773687 +760499691 +188821857 +166762751 +799226525 +1466070423 +165835833 +1608087110 +1187126245 +89177970 +1579611362 +228177640 +1926747262 +594991159 +1137746618 +103030865 +2081353497 +888622598 +282678267 +885888624 +1982326269 +554742231 +1126539287 +1559167234 +1265195402 +1409155688 +38558626 +2035829164 +1118331053 +956700687 +1874973509 +1063621092 +1717200378 +2063795366 +1230383843 +368943255 +1382382141 +1396219676 +1977030365 +422024739 +1485397646 +1409158079 +650202379 +1264661260 +2004149238 +1787948997 +1367692125 +1938019088 +529087947 +1650370392 +676424064 +363930569 +57628976 +1802963351 +1923097803 +1322824378 +1064635391 +1961656429 +1211169895 +35482796 +770873468 +938659756 +1099103888 +340590199 +854971474 +182004083 +709533454 +89869967 +1578223759 +539080172 +511894706 +916137757 +1948238251 +1162097085 +33315369 +1804903842 +802562435 +1401007494 +1595439282 +1331650382 +903894239 +124379698 +1695580951 +961523215 +1927343049 +1471195106 +136863945 +844494792 +1285367888 +1348033840 +879977589 +2056241356 +139209948 +1979081477 +249347907 +994181422 +13601913 +958881362 +1084051390 +1591825672 +1497961534 +1595946096 +360479782 +1298716137 +610559534 +393795151 +956136331 +1413121969 +1794802646 +404091965 +597288703 +551213237 +528471663 +145386007 +1512736452 +308331064 +1616581113 +1649600397 +1152825857 +754465353 +850150590 +2032803446 +663223062 +989360538 +1864401275 +912570969 +1983541961 +1878003188 +1871452331 +920109703 +1322345213 +1221930217 +368572151 +1682824995 +373162707 +979131685 +2076620146 +1329299038 +244770006 +1723939144 +1733391004 +842058710 +127668733 +114379019 +987444717 +1640405185 +422710084 +456542182 +1142521935 +1575535941 +1211007536 +1992672525 +1460855739 +1874230598 +834549415 +1177773366 +639317919 +670607728 +908292907 +363286603 +1590717431 +83154472 +1585216820 +1959289583 +1765979467 +1958379527 +790937620 +1695115965 +1140194918 +1035707627 +1271571462 +726102274 +1877766337 +1399240195 +840481293 +717727406 +892161733 +1263191377 +1174269588 +2034683668 +691243670 +237793476 +1879872545 +4615761 +2112024074 +566938312 +1182389128 +603858346 +1237546041 +2090682035 +967144949 +680779824 +26352859 +404878121 +492585759 +1792332326 +215774001 +1283523380 +1339964643 +1355968919 +171747359 +464052457 +2082071193 +2049513696 +1863292653 +775068838 +619757454 +607970738 +2038260216 +1794027042 +495170758 +582020238 +2031820519 +227559655 +586636000 +1996360945 +794497967 +1769025128 +452735643 +2032044008 +1712223515 +1419880592 +565340185 +1738576374 +1824758714 +1057925944 +1383425052 +2040532715 +193965676 +575906047 +1249017986 +365713035 +1039958505 +1183605531 +267743083 +755767510 +1958674369 +887500537 +1363738248 +1849450937 +534043932 +1858909006 +283987528 +418380803 +2086468661 +870623528 +267258100 +733482980 +492165008 +719993744 +618043341 +56904875 +2139874336 +1183383526 +1795481249 +1817149402 +93825822 +1031422653 +1710198469 +287791499 +1607328700 +811732807 +653504534 +499803557 +1995338338 +921247618 +1255571067 +1806529060 +1808748155 +471825667 +1508496349 +195308439 +183251025 +1792483877 +613689242 +122236038 +515623757 +880947343 +855719019 +1007788765 +1600941087 +1473762360 +1064693640 +1593331775 +509662238 +712691241 +1262997530 +603488060 +1744113894 +825712351 +891279559 +1203958947 +1637445159 +1544784094 +1703762504 +1485299849 +318548064 +811849924 +1144345261 +2127296219 +1283675591 +505357963 +175121011 +1466926617 +150358192 +788810253 +1589162655 +665981950 +1669757596 +297398026 +1673770715 +1123215035 +1771160386 +590980708 +569063163 +133338976 +1303671949 +1832060693 +736827037 +900302196 +510289396 +1628106596 +2104261143 +250907 +1025407042 +1660539999 +1485550757 +1343955106 +324906275 +482412370 +1323767678 +1608581867 +987770333 +1498888689 +928024836 +1138128526 +140215294 +369703843 +1804110476 +1809972891 +667101870 +1330397543 +785704278 +290778608 +1921378251 +1354767441 +424117585 +1077566553 +1039344486 +1160944622 +1977868749 +1549633883 +641567570 +1934646244 +1549884790 +1666974613 +1447702595 +887951899 +863446071 +1772608871 +1370364270 +39730101 +1233707090 +210650955 +1538618790 +14248278 +1348779481 +1678834085 +383952121 +1005406309 +1341323328 +1051053991 +188320205 +2127027606 +1341832600 +2109698456 +1334311400 +1765950185 +1039781361 +226172238 +779411159 +870166462 +1775806121 +1420978729 +657329058 +1178207264 +940469694 +2105031654 +2066159163 +1803915766 +1730156877 +1289039785 +1843645867 +816380319 +1499690741 +1234781010 +830628597 +700986574 +766131447 +1214580718 +1706392884 +2107454775 +118151062 +1894713089 +2086998733 +1459983662 +1856927897 +1273826485 +1078450199 +749225611 +1499998724 +1857861358 +1619392073 +1128321197 +1131356439 +129237484 +159044813 +2071826134 +86785490 +77720329 +1728258252 +1816942367 +1366760114 +1424420471 +485839038 +718967207 +511717833 +1316467635 +1419953782 +1277849280 +383564705 +978863018 +1237820407 +501715767 +726092459 +1177335493 +1961699429 +435536708 +303678330 +892665980 +1184762319 +1803677054 +603043690 +656670745 +784514604 +1734400130 +785908229 +943559417 +1658742616 +872693719 +1021279746 +1239517220 +542152438 +240556213 +516454043 +1027991476 +959523420 +1028171877 +196975463 +231993554 +158537509 +580540168 +1210856572 +1396357917 +1082255936 +1936949031 +426209762 +896471717 +225002092 +729888092 +1789137698 +1409764411 +386081499 +244697740 +2066435156 +1170596103 +1979097870 +704859737 +2114155520 +1490356838 +1577553456 +987951619 +582390410 +2119705894 +1228507832 +1098844454 +1000213722 +40547604 +2127016331 +1197189185 +272541159 +138070192 +1777729354 +1483397731 +1534428109 +712501642 +1272863115 +1960637871 +1608973359 +1497865207 +543042316 +1250627409 +760145970 +929123815 +1495325150 +679097479 +2099719918 +1326939372 +1383957216 +2066391790 +669812563 +814027025 +906859761 +1252202973 +786249271 +2135367593 +203563779 +1786462994 +28431550 +183096462 +836168531 +300972709 +321166655 +466414237 +1784370440 +1855594764 +1178915879 +909749907 +1668748988 +640405591 +260131466 +64307656 +1891033000 +1020277437 +993431471 +1238874502 +1699374916 +945667741 +418330227 +935848484 +864575883 +1088142790 +1749875509 +1771435645 +192862115 +388641133 +1759319590 +396425895 +27620479 +1787751140 +579522357 +863789010 +2088723849 +900689012 +1330203248 +1725610642 +608800129 +361635479 +487876901 +130065469 +1002041070 +748008368 +194373125 +745590423 +1768285805 +1187804596 +1984464925 +1320177073 +2133472337 +255311504 +108541909 +850564572 +1343454294 +1858417419 +474516569 +1536316410 +99574904 +86352512 +1932742305 +127195383 +1874103652 +364781014 +990984393 +1815343854 +1265470027 +173703993 +1393470848 +1874270156 +535339473 +1881347749 +2004335625 +1537380543 +481872469 +51225102 +135487318 +102674626 +1239029698 +2119952244 +1422851699 +1225018387 +227780100 +1531393609 +2075582959 +1571234395 +1242327380 +402615881 +960067157 +1341902284 +488968393 +745325814 +1469097667 +215588397 +1110106828 +312598412 +2030932251 +228093207 +486302406 +1276919451 +2102363363 +1021641879 +1010783553 +1959215340 +411538774 +1492656022 +2010440442 +547026093 +1595330649 +1101986492 +519494689 +870698700 +179521231 +747274789 +254608661 +107620543 +171025536 +1496936041 +510236424 +1131092693 +691354677 +999204817 +1876418507 +12968696 +1214793214 +839041688 +325567109 +1098241818 +1067134895 +811869515 +227677621 +1022014611 +1833511394 +1238461174 +833746303 +97566520 +583633549 +696703098 +644592613 +31480550 +1798689590 +1164087302 +902179250 +1978210822 +1911362092 +1156787912 +2085831365 +2082387628 +506240305 +448584141 +1065996674 +1197594983 +1447788958 +794931533 +1210563679 +515098524 +1633973221 +1536130788 +1613340342 +553624469 +200516655 +1841017964 +1575639080 +2034028049 +931995490 +261901735 +2131594570 +1515629039 +958604833 +628703535 +1547109589 +609810776 +1792790838 +301805192 +440537950 +1556669282 +1458593104 +378885667 +1491573262 +1964833409 +827469808 +410086288 +1014944744 +127775118 +1205017822 +78024776 +642873642 +691507395 +1614155564 +108730337 +1245131864 +1814672220 +1949748301 +673287296 +1701216621 +734260143 +935189032 +1685327543 +102405535 +1893793865 +166547431 +1649515124 +356120993 +1959338269 +1951320316 +796658943 +1368523903 +1262429772 +1175544610 +712613517 +1079779534 +2003014418 +1122699806 +2094724278 +2130789536 +180233980 +25265406 +626179531 +871741375 +1639420971 +734909868 +2116873240 +1306609543 +537174521 +642676888 +860342516 +1271434664 +1577865920 +398186412 +1373840199 +1324176138 +564733843 +875871676 +1680297131 +376588464 +679708344 +329472427 +1745112367 +1942138117 +1505017037 +310242236 +874434003 +1360547808 +1432942042 +821674633 +1343853696 +1613176022 +846940040 +1970033227 +337433750 +338877363 +557459447 +306823342 +1645486906 +1094633968 +949500230 +358345774 +218584985 +379882503 +756532186 +1592425184 +1704058641 +1321266029 +320813212 +1236872124 +1697854493 +1000521557 +1566344551 +1295483212 +795176026 +923877941 +1605725449 +1669610029 +136942101 +891183843 +343801014 +1480795797 +356876218 +1190741054 +1303345377 +694309968 +1529618417 +1860804824 +1001133310 +1027621675 +807955145 +1950633540 +1385967450 +1026540130 +183032395 +2142499636 +471481666 +1887091036 +1316282018 +792294879 +976479513 +866652863 +1792816436 +395340416 +14652428 +440508814 +1319218357 +1620377877 +2110118843 +1456160458 +364078072 +306436209 +789472608 +720954290 +1497177264 +2092817985 +1415264258 +879312033 +1806139161 +268913920 +1906933709 +466610658 +72063813 +1145417511 +1493150788 +255096208 +1140433499 +1964632455 +2142187245 +309231869 +609443686 +971183110 +1175884733 +254776474 +1366523526 +1190537161 +695285288 +538258236 +663431390 +657920483 +1994418694 +1027509462 +964356692 +636407654 +1748463753 +314050308 +581741991 +1016244363 +1193362342 +240397505 +1285158284 +952812403 +707008163 +1357222097 +2098229914 +52675304 +1612318305 +1091179765 +2017307759 +1607021902 +1400411635 +479267797 +430721364 +428812720 +734044271 +1797244891 +1619349881 +1429329559 +188019479 +135297623 +2087250042 +34954525 +1162807085 +904123086 +671362180 +763787190 +1218173395 +1253104171 +1780031554 +264052089 +1493501676 +917706190 +1216864492 +53026192 +127444639 +1167610758 +105701496 +1739762944 +111306875 +2123009255 +1199301199 +1511718510 +454793404 +1630022563 +1940531230 +1188837675 +1279783806 +1412397463 +470683586 +1467803285 +1547695086 +410449980 +1502757811 +563018524 +1314573066 +26636343 +1326805714 +385262813 +1279740514 +959353620 +649314902 +625758543 +1877059810 +1866179394 +678784735 +2004504449 +886306504 +784486231 +1596783746 +997613380 +760011838 +648601297 +361848242 +1214805242 +131140212 +154895825 +256159269 +1410924019 +1567293288 +726842855 +731243656 +967504727 +1137292835 +86517819 +1530523251 +304382253 +113154162 +709845317 +689645067 +1392894677 +1669198938 +1338959969 +2018653220 +1398775100 +1057655716 +549954307 +1255795902 +1943962220 +1334440538 +705096000 +794091952 +2094452376 +1353697297 +1155940195 +1161773970 +1484837509 +1310836020 +1417933239 +748277880 +730645660 +2144776094 +1479521537 +1698150387 +1134585281 +1566039356 +1081189990 +1438967534 +1679193519 +1791035308 +2128612601 +924604548 +1312750598 +1320088923 +795774120 +564042050 +230260991 +1345728427 +1819837952 +26739563 +532685317 +377450304 +820831516 +479654045 +1731147601 +1976771711 +1641428015 +1068501463 +1140124083 +911877606 +1816779343 +1870769743 +909170052 +1148817232 +1421436483 +2043755333 +567372941 +355142825 +1335239219 +99082812 +2146178133 +1316368173 +1023687360 +1311445083 +488973448 +1819461480 +1875487134 +719234439 +1017706259 +1547841438 +745974002 +1550391576 +1925291743 +1566805518 +2030045621 +1508955696 +1396093581 +1523989988 +429973511 +388734016 +288383946 +99269207 +112020112 +1197553998 +1248086439 +1533456595 +1093825683 +1815459380 +1888599420 +281581254 +1914542192 +1887293906 +1597949427 +790745904 +1051255341 +2086922875 +462723736 +779258827 +658673666 +1480429995 +179616618 +1404647669 +883337923 +2104908361 +823969539 +765899896 +1466380409 +72579473 +142406236 +1896353921 +461313489 +430790182 +1995623128 +573333601 +1628344180 +1096225919 +2106790196 +574686215 +764201652 +1847905969 +856267470 +531260196 +1587716227 +306733249 +1322006101 +491487920 +246172477 +1784729837 +1270746748 +904846143 +1117676185 +1450363366 +162010164 +2001014108 +1407788079 +985979704 +619430357 +726684840 +1058559177 +761836593 +475555113 +1519872666 +1192626776 +323694593 +2093206268 +673487308 +1419920513 +2052512816 +1248173524 +36638517 +1752935137 +2104440994 +567898713 +1193167716 +263690595 +1889904814 +1684655637 +509863072 +1527151004 +807918737 +1414709216 +497343541 +110798455 +1576719380 +350874001 +1518586534 +415215436 +970304358 +97787726 +1473774613 +1732140952 +573342840 +846163632 +777284080 +897037433 +791886252 +1450771388 +169474298 +696915420 +551461264 +206112815 +302366910 +508418610 +774011529 +1495534626 +772109206 +516432695 +1032706615 +1281972278 +2043583699 +1840625352 +549197846 +393443592 +1951423807 +2125917227 +744317594 +1322526693 +393649015 +1714621952 +1420314420 +1867423629 +1299279256 +1993657260 +566103613 +2076563336 +743211045 +1357989865 +1379851077 +912685344 +2054905285 +1931312341 +1118798159 +209788547 +292247304 +1892809688 +1705323174 +1064356510 +261758736 +590546141 +198845140 +157858787 +283687846 +748042987 +551302380 +87628005 +726476566 +1295619974 +1410154699 +1120125581 +862758278 +682985471 +840065562 +14553887 +529159083 +1406169175 +2091117223 +1272370128 +616675392 +1323484652 +37571824 +524097030 +1107313346 +1156369984 +733885577 +1399560650 +901696024 +291725103 +316433512 +1163454760 +882271245 +515278652 +1321313548 +1165959091 +1263321639 +1872615928 +1253587096 +1989798205 +1020752254 +516258147 +962440139 +1883510532 +1199243618 +1802505701 +1898064419 +1728402701 +1061191229 +1841697995 +853289182 +1677866621 +1017698999 +890861006 +54480003 +2125012345 +2047230990 +788365581 +1377089347 +801443367 +1080090684 +1693522859 +1964898127 +1962361929 +61317864 +1138728027 +980837372 +1324639503 +863860307 +86940821 +1166954061 +1884612561 +603198968 +2129394200 +1620639446 +1802442587 +1784416253 +1371220217 +1383361640 +698123834 +1065434564 +89167174 +228506808 +2083133564 +980028181 +282986811 +2060662261 +879775523 +1071352392 +1290267961 +1681218890 +3959429 +836307172 +1498633370 +1966321358 +897625036 +489877749 +799675083 +74780892 +1353738057 +886615904 +1241734953 +1090866970 +1489814872 +1223645505 +564022768 +1144773811 +860578110 +1935242986 +380651804 +1558701945 +853193902 +469818978 +1787208753 +788843818 +1449847159 +2070195564 +702022432 +182139035 +994064309 +1992290393 +1863357925 +998023738 +681113917 +1214507647 +816861448 +1578738954 +1704385397 +1616536531 +1653519846 +910639806 +355668787 +747771151 +2001506776 +1845483660 +1971416656 +418045897 +842773823 +684511118 +205805235 +1223425627 +95729415 +1058999137 +1693244606 +1882938168 +1847842956 +995608117 +1805650085 +402381740 +1177747152 +652230746 +247188485 +893621430 +1650254484 +928302402 +2108129077 +319632284 +359557708 +1665030826 +1936168816 +2013077554 +428186984 +144353955 +613365057 +282210113 +1989837615 +437298065 +700256010 +685127791 +1121809184 +906061245 +1908553418 +1217538599 +1965060382 +1454314376 +952993120 +1665419690 +302438846 +611159557 +2067801430 +1480185998 +1263390303 +167506267 +226323780 +766161139 +1095808670 +186969210 +1085793423 +1455366378 +1852000036 +874478591 +1320960285 +132703373 +1018832547 +1934325342 +414913486 +861186514 +224139760 +1115169496 +1546314305 +1345948944 +2021230741 +1307384076 +416003895 +1838807475 +614214804 +1368997015 +1356743518 +916653650 +1980156572 +1277061300 +249356001 +1096063227 +1444567568 +475679781 +1862224366 +392892590 +662648991 +800534142 +1848258968 +367165380 +1675012733 +1021735605 +499868753 +546361632 +808577300 +914782239 +1407548147 +1032717060 +2029951735 +806378804 +231182356 +1903698828 +2113762880 +647186251 +1595022655 +580494037 +2016183267 +804282525 +1497147687 +1848856191 +2081343826 +1746503688 +797435771 +1378427746 +74699822 +512176489 +1771320336 +737348813 +1312710631 +1472095656 +1104514193 +840239717 +346347614 +1604382946 +1386601349 +1154924914 +371681537 +646665848 +40158326 +254149624 +1453044653 +271340682 +10364804 +1419323885 +918526933 +1605387460 +1999817922 +787226552 +262186337 +1349481962 +488599096 +196046515 +948502002 +1286034867 +1574474261 +1023201824 +1798211356 +1198310949 +1760550638 +963438340 +522922958 +717581183 +1803678057 +869270572 +174480482 +1042795758 +2024195486 +546162019 +1689461607 +2064353812 +800311644 +995022612 +188210846 +810676448 +266862849 +1106737779 +268580260 +119197124 +1893964332 +530766598 +1468679086 +235079780 +726813113 +269697440 +1521114647 +153803727 +1292899265 +1171842355 +1352114676 +905966255 +2135280695 +1875037634 +1623547438 +1791475104 +596824558 +1798027920 +686787215 +473536396 +196706292 +228765174 +390406560 +997017936 +1223787786 +578617406 +1807694384 +1490650635 +1685355186 +2076274645 +1609847759 +1431835870 +459557595 +931043197 +1666915650 +1186370708 +1200740638 +1040546649 +1340174435 +346156255 +64905356 +544805464 +1252122510 +52702404 +272359450 +728186300 +1844177508 +869184009 +378730573 +383481075 +1342720405 +575436865 +612246249 +1733126966 +1572454801 +1836034035 +164260724 +1232665537 +1179201023 +1849615910 +1161456534 +641565134 +1133968132 +1621014129 +1572608332 +653400134 +659901190 +625865322 +1693946783 +2000075625 +972021577 +1758852140 +397397441 +76660439 +1811554544 +669756892 +804846739 +1508248404 +1538940901 +1183577312 +1891729480 +734177658 +1759014177 +356492081 +319820976 +1183985330 +45042469 +484081701 +269167220 +1224243492 +186213963 +1430623754 +1865808626 +1320182096 +904154236 +1290933310 +1973582230 +1564055426 +1916798632 +1520045366 +1416647403 +741336561 +1131413858 +1814044845 +817997000 +795484754 +336318089 +1622843740 +156249510 +1875258990 +658937404 +2047978990 +461953000 +270467934 +256987424 +781773977 +1454453264 +302029893 +1265855678 +1723620484 +1526273385 +1452069641 +1006760591 +1244598363 +624768089 +1910914827 +388048026 +450866672 +1327486605 +157363010 +1970912038 +596650360 +898699572 +954842248 +263211557 +1716696572 +1750327002 +599529646 +1192056664 +1906576512 +327304988 +1850994069 +1807071855 +789257989 +2121462003 +2064059279 +1571031966 +1428431619 +218605524 +689403996 +1004568456 +1744878909 +2141473637 +2011329047 +841993624 +618758079 +1774760226 +1230041650 +1069624751 +954763183 +1387404661 +893053141 +1551413543 +138620585 +1847895389 +1814625101 +1855317157 +1450738743 +266671099 +899890174 +1209831607 +593976088 +603400595 +869419814 +1383234077 +577378950 +785995445 +806782395 +2005810569 +1004600969 +1496186391 +862895377 +601996230 +1490176380 +726740776 +1443989855 +2108934459 +354017354 +526547857 +1031075562 +1308780537 +1913952518 +1924128703 +712710433 +2052573103 +1624540444 +379851886 +1760406613 +927795539 +646522985 +512813139 +2137627147 +1240499073 +1116213734 +859563313 +476249502 +1693592684 +1645558759 +1283031897 +1551919605 +502676080 +631734640 +267331335 +1104672311 +2121911021 +994072111 +401178518 +2083361832 +1348089466 +927726375 +966953747 +509386355 +694195246 +743598802 +1222096788 +599284701 +220655599 +1601948674 +212207666 +1148451138 +100988012 +725020805 +1138594637 +1341487085 +1841234539 +1998157951 +1817736588 +1387343575 +1496233062 +953284837 +791779533 +1998909142 +1585019478 +1059110868 +956097805 +1559446851 +2053182979 +1357276323 +1495325035 +1253788797 +137519051 +314795134 +1763175153 +831714297 +1058393937 +837788293 +1430998998 +1279049536 +292253320 +1643206665 +280017026 +393241332 +220743822 +1418611664 +1734728417 +2061978362 +1269285967 +1404981357 +1301838289 +618035381 +210782547 +2093617822 +469460875 +1795802025 +1005245042 +1425558681 +1207765228 +910944374 +635351356 +555606615 +17249523 +772870407 +870401750 +1780424676 +1604584704 +1928795687 +470729322 +888100055 +1060361575 +762982642 +383823072 +1340378601 +1156223974 +604566894 +611506617 +743468743 +519061608 +1880792584 +966453 +1820899898 +351344317 +211749000 +1767034072 +820805193 +2007551025 +624795467 +98880226 +1067832605 +1535739841 +734231582 +1623439220 +1552989364 +1507101990 +346357322 +1185930393 +964203046 +127669361 +1656659715 +1852303101 +1188030936 +272158709 +88642525 +380925890 +1428382683 +693209420 +992432507 +24367778 +1212271028 +725741444 +25334231 +885687278 +1077085761 +237083231 +505237703 +1897890954 +97150608 +1130033170 +1996771180 +1164983213 +518289363 +583519115 +640938786 +2071278727 +2090621105 +987296108 +1109725472 +907340503 +1114965470 +618901539 +612159957 +155512758 +891060248 +700802482 +536438648 +171959283 +1394011902 +1528871156 +196327062 +458799283 +107128952 +221661293 +1344486561 +1184214713 +458744525 +1849724264 +934622020 +555895133 +832273786 +783909552 +1720878347 +1350563149 +1367428667 +214333485 +1274358229 +1310566124 +1201629593 +236600053 +70422980 +169111415 +855501593 +682582937 +324624174 +1746561841 +1383385419 +861062822 +1918521125 +629913674 +242450330 +2114848187 +1088712957 +349579282 +189025832 +285715870 +1533793996 +647770357 +2135440135 +320932368 +1203665491 +820230273 +1104841920 +777060190 +23309775 +324786940 +991393675 +1297668004 +1635353064 +45539620 +1534268057 +1705776044 +214651036 +242286002 +240875333 +539275210 +1988847844 +1624260753 +1400338032 +1759885321 +106690779 +1642788363 +1727249860 +1195403736 +1992367645 +1916275692 +1481119606 +1378677993 +416562402 +1469076093 +1699610361 +1620227893 +141822719 +656968634 +249804435 +165132494 +981755574 +1241198110 +1462800498 +469624990 +1286737730 +849584907 +27917387 +1501388766 +1091870910 +268792720 +2040663976 +933235106 +1893053473 +1293518361 +545636779 +1999744252 +788823076 +125402991 +1047664340 +633707073 +2041678683 +381300299 +2012385067 +310757437 +1850376392 +1564511780 +1930985330 +1992199111 +73996766 +33306117 +9847957 +1055752340 +1274504227 +1472648455 +1525377331 +413758310 +174749715 +1553294718 +1915147076 +1266620625 +1822087438 +1808327405 +52372083 +1567657264 +954362118 +598008862 +1419917868 +1743185194 +723411853 +320098561 +229408619 +617606888 +701398860 +94310038 +928364326 +404291604 +1658821819 +711866008 +249007068 +1732818585 +745172126 +258855025 +641087278 +2019676353 +1731503481 +18980961 +285951015 +1906253196 +1572275679 +53614444 +1025390173 +1246879469 +1861941849 +1077762256 +667053085 +668820319 +1675771118 +2086970954 +264521865 +251699323 +259585867 +493930484 +869306211 +960984727 +588240523 +1797670537 +1365276331 +99578694 +362052898 +1614283399 +1832397279 +1107225024 +1873138425 +326000909 +979417729 +1457158258 +344981870 +1265368745 +1215927806 +1917257549 +1318983189 +93834331 +1016653371 +1033441390 +1171596587 +1683706456 +1702261709 +699884057 +1623193762 +1966783574 +951583380 +1882779629 +313230410 +1820889591 +696280708 +901470933 +1471076481 +2061557040 +1001049627 +1833129379 +1528356791 +685963259 +792870755 +1254011568 +1011964168 +1772288484 +563686178 +1356946039 +890173581 +1779613984 +1126719940 +61673122 +1873448315 +2143373311 +1095114512 +897561254 +1679596120 +649892573 +1597445311 +1155306234 +469192499 +401545043 +890602216 +782422910 +74950987 +1586882924 +1683893843 +1546027468 +1500956316 +537459823 +1231673199 +881829460 +1223423082 +2024543954 +2135841028 +87903602 +1649348790 +552043559 +1444849641 +392038724 +184173895 +424085934 +453711846 +2057622211 +419975597 +1548826359 +807699817 +2099571717 +51235284 +257661481 +1107394304 +520427784 +659206524 +1997996520 +1302850694 +734157511 +1437395796 +839260889 +132701331 +790868465 +1376720712 +1364374530 +1672697925 +452660146 +1241434836 +1661055305 +540563749 +743299979 +65615216 +1985413390 +1135338703 +249789112 +262015676 +1589050549 +159927675 +681991274 +990393260 +967627492 +634079343 +1041628545 +1225288973 +1741473647 +1562056329 +1884495498 +1591986519 +717423375 +471169361 +881898668 +1556684264 +603870693 +1672767133 +785921329 +1968245223 +1197981410 +1238581475 +1062196412 +711553067 +1779145224 +1805496391 +777168284 +1617074967 +793351446 +1026957396 +1879090643 +234918347 +1186885071 +413598269 +1225311608 +7028915 +1047677613 +119456505 +1232317889 +641667612 +1681512834 +969329739 +86170484 +251452561 +1440499100 +968069152 +1808136825 +2044369793 +493352637 +446574506 +1865131369 +1691334047 +1685155982 +779844133 +255403466 +1316817558 +437856876 +1032571750 +786408877 +1231208322 +2059529146 +518015873 +1466126669 +1098930569 +931614142 +543954629 +1105959485 +1979291755 +663411134 +190793726 +473475720 +197440320 +1160123465 +559646204 +448892881 +453138917 +1527715356 +109546059 +350025063 +2021067993 +556120565 +67672784 +1564918392 +93792899 +847516917 +1820321858 +1410610458 +1285373793 +705409961 +49535687 +369098467 +617455459 +567551560 +1835225136 +1716386029 +1499165703 +231696118 +674861866 +1330973810 +895107252 +865655592 +1804449530 +1092547573 +2025779057 +216612086 +1541440454 +331434326 +1744327442 +1650986513 +681459389 +1617911787 +59623431 +749132173 +1035346531 +153416330 +1596649090 +708184742 +1564026788 +734539235 +1413594703 +1613562476 +1103637702 +2031050162 +33630388 +791379191 +1599952543 +1532796091 +1023075309 +127330761 +716286254 +1918182561 +992986353 +373252136 +863246486 +871281762 +589864223 +257203293 +1202716089 +186708017 +1908189806 +1884175478 +1804619805 +1967813237 +485824004 +692482688 +2121229568 +2082473094 +1400667430 +1537772708 +669528682 +666778485 +1003851536 +1773166384 +550345000 +1037481925 +417061927 +2813895 +422794368 +1440137236 +130144657 +1139080622 +1210836150 +1123131010 +1512332759 +2074082636 +1994412773 +2102196982 +183802281 +1049645214 +141421351 +2091992088 +786337044 +1946041156 +1912321677 +1272161048 +491040197 +1886067597 +1207150495 +1891707627 +1276356658 +1876679177 +411002465 +132724546 +1502361913 +961347465 +1170206471 +1919423841 +964161360 +1593000840 +1212077429 +1094306017 +584597814 +275429931 +69953380 +2096930573 +202028920 +2064366153 +2051643907 +385831201 +966527719 +45581611 +330339641 +1752864763 +1991622767 +95177671 +877542164 +335179316 +1981245268 +2084692659 +79403296 +1110118278 +1813888188 +490405761 +1242842825 +1168766453 +1451753226 +265565648 +940706646 +268430938 +1858566488 +5300428 +1362736956 +295680655 +280730359 +1432690336 +245127580 +482759279 +1349572841 +149287840 +868590481 +168616912 +194869451 +1198930122 +1921481675 +39008570 +1294107793 +651540191 +374187887 +1127869414 +588749202 +453591183 +90504044 +255153742 +943996944 +1333346869 +1423920196 +248266522 +1598912518 +217143194 +516697460 +1309995358 +222443622 +1879434416 +1605676013 +503173982 +1164641104 +1850803594 +985933261 +366730297 +2000091434 +1854523742 +535347209 +47477237 +905970217 +309345237 +86485807 +52594362 +960885428 +460673694 +1180463776 +1549634631 +914264877 +1270967821 +1804788373 +1858261821 +456831042 +1081224921 +2106528343 +2055743560 +1298368116 +475742156 +1218255271 +1520811738 +207692924 +676447636 +2023985720 +1372334029 +379767582 +862435334 +1739064326 +232375368 +569475428 +126927888 +279852605 +1475445645 +436273125 +366338413 +1528040008 +1397158553 +827012107 +561020136 +799309536 +1741276985 +1831987957 +456614262 +1452055158 +141335352 +1537839183 +1411099854 +49595264 +688723651 +1886842010 +1267850535 +62051742 +2094534934 +1944298172 +2086037462 +1319385315 +176582106 +800989148 +910965994 +408957475 +1370464577 +1037893882 +688810080 +698426574 +1474167007 +1055148493 +78982934 +723841912 +1882160601 +640003071 +1523151449 +1475953938 +324507380 +1979765711 +780525448 +465842732 +1370121246 +44141654 +515437997 +2058844898 +1930983664 +1783288532 +2120896640 +1878034951 +1580103056 +2059450454 +1049936618 +1756685163 +712955955 +1960902612 +18158990 +2083420532 +851312846 +706969070 +634363458 +177996205 +1762117564 +713346393 +901838118 +1496794517 +1353349464 +277505919 +825264807 +1677856844 +109787982 +1605790255 +2143699577 +1479909228 +1649931910 +511653926 +1391270478 +1433431926 +147458810 +1364683470 +1163983229 +1727561867 +1276650277 +66436200 +1336763382 +1989606232 +2027338812 +1354922372 +1925543116 +731168011 +2061891442 +412422926 +909164216 +1676525358 +1125769319 +1811002334 +1025836227 +331635135 +2088508253 +1851101034 +2009491980 +50812587 +1309407642 +2005707909 +1530721816 +811855904 +369878187 +774508646 +97804182 +517336997 +2139192117 +1261787412 +97415216 +1268358746 +1328223612 +1434178598 +1110481330 +1208078776 +641617322 +888540798 +1939246787 +556025117 +1300963724 +700927356 +85066827 +279249396 +364446042 +1110903055 +610884531 +305470648 +814520441 +472892863 +356283235 +2123928083 +331117124 +1887005051 +788300339 +700995311 +514030050 +886104522 +1218332309 +505738519 +408286 +1315747525 +1774097265 +1328631898 +602442476 +737094947 +389227026 +1244059798 +1625635745 +180990166 +1800084915 +779115821 +881917522 +1885151743 +1058365217 +1246363564 +848571150 +1669249749 +1551834212 +1663091591 +2142142612 +1908117448 +1639536027 +325776089 +1647638851 +280352718 +1026771400 +14185253 +1166457240 +97620061 +519923772 +1166865526 +1413367587 +146537389 +348013776 +2015810063 +883632336 +737240803 +1112386213 +361784433 +918230969 +764987481 +1140900255 +1800148491 +502655576 +51781824 +899028407 +1351226726 +1721031573 +303378972 +866834669 +1715690538 +64012772 +358887048 +2041466627 +1711651623 +639239767 +920754379 +1725836877 +1805697007 +1018374441 +98277001 +825078886 +284258380 +244814391 +1173092662 +152584795 +1128446727 +1910333465 +1264971008 +1490231161 +681080786 +2029958489 +483647768 +333745629 +385130417 +535429592 +1232774037 +1736357143 +108977518 +1536153009 +455708165 +1824668056 +1600165781 +814595213 +1718651035 +1164333756 +1453834980 +491921766 +742686985 +1112048340 +1510296207 +840963987 +1937127226 +1794554587 +1085778378 +962736240 +1947139382 +66741457 +725586058 +1064626743 +1556972618 +1406666844 +947101584 +2040620386 +1740412474 +1332232002 +428566331 +825702863 +921105497 +537543849 +214372224 +1376813662 +214728257 +1814538005 +43925228 +1933379292 +831388113 +1497760208 +277817410 +1574075099 +462324900 +1788113618 +267555438 +251968478 +1435184557 +1353333816 +1214704719 +1234840292 +1420075273 +1940290777 +151983387 +829564244 +1199473973 +1099084971 +722700982 +792402799 +283833325 +1151267313 +1618105662 +1204938823 +1688811162 +1832477886 +434268837 +1903539419 +1499532243 +478194065 +1689435063 +183436709 +1975954274 +1967252474 +1757511808 +290795526 +1607882444 +2025067246 +542764005 +895583353 +1230917414 +1757468724 +2130423645 +503509039 +1550275853 +134923384 +1333073283 +602266178 +1234008356 +2055774266 +1394668978 +1517841681 +1059557931 +865290992 +575296856 +600885446 +550285231 +1009565694 +356941217 +2049817474 +1487759759 +2046376281 +85770535 +1316230385 +1866145107 +1843282343 +1607025912 +1326543903 +1720865941 +2306269 +74643608 +804299707 +1759774993 +57583606 +1307808747 +1162567198 +192506990 +493398382 +1764833376 +1426515346 +401689000 +1012018706 +796873380 +1461246932 +1877309699 +1372170236 +2062132378 +280111282 +234252282 +271589947 +182445108 +1722012042 +170482580 +268215644 +890758779 +2036627687 +2111497987 +350301043 +1215687942 +1684880281 +352607312 +1290331551 +341696340 +2112382305 +1347915157 +1649505087 +1127465855 +1540422147 +2142903470 +744815584 +819453846 +397108822 +1756834290 +1616327226 +1858355754 +1486660341 +841013814 +1773004484 +1766771623 +1075266097 +2044594432 +1949216732 +649794491 +67593364 +69948728 +1540553270 +2104221052 +33963067 +1890854314 +1172425346 +1718843348 +95977978 +315273249 +2060539689 +60876636 +1663188406 +1562561128 +1188342491 +1056126906 +1557980950 +1933158075 +1875580752 +1955089773 +1542508718 +1344424330 +1665961879 +881685411 +37954496 +1291482716 +500973387 +1113220593 +1188593500 +302706471 +1763015084 +1256186864 +372655199 +1156084707 +1212924268 +406618266 +899455373 +237865967 +2125461615 +995433351 +553139216 +2038517656 +1056309987 +68843975 +1453595136 +97168831 +1124970881 +864092439 +2030326906 +853067985 +671698564 +1425351976 +50008667 +190176795 +159553740 +87963163 +1481659511 +660527127 +1201183757 +522769363 +963233598 +816715193 +1778956228 +1335888797 +1972799900 +844396848 +1742507063 +724771625 +1082262815 +1720485030 +1720204977 +1635402032 +1611519038 +629031316 +1704246007 +917630527 +726200147 +681733240 +1781722966 +609043406 +1534801225 +305937882 +2034395382 +1584809892 +496114677 +46465474 +1672773055 +1977774189 +706992601 +726473164 +353059904 +1670226199 +1543188358 +2132016132 +858631348 +1368504610 +828929333 +453654764 +2093276236 +1911192148 +26656146 +1665997565 +1399110532 +1638175185 +147545233 +955872891 +408322064 +873745381 +1637606131 +42561382 +1482788787 +1024923708 +348499264 +1369700521 +462249952 +844613941 +1416165996 +2135023008 +674904482 +2123158597 +714012524 +1027964387 +1645901149 +109717234 +1012496871 +357048849 +1478221845 +1841426204 +810703613 +1424014433 +1605134705 +837359760 +942528350 +856761589 +328051297 +1090073583 +1812634481 +736373361 +1963818964 +1302756964 +778934743 +1299124103 +180197025 +1127434007 +521340977 +642446977 +1972047948 +1937506973 +629986337 +499468783 +1913181922 +1343998862 +1527433170 +1411599423 +1453716096 +392446393 +1768648273 +784454293 +86388950 +431868238 +60985078 +1691523655 +1269227998 +1003513428 +400801596 +1597279295 +2093587012 +65952429 +186169008 +1909922328 +1368709394 +965103751 +1061562784 +1548906419 +2092537758 +1582903761 +43869748 +1917102059 +1372927086 +673856086 +269087194 +1138625360 +2017854948 +1796520364 +402741136 +1324087396 +41483109 +23905761 +2108541690 +127872059 +455773999 +22043120 +1819395714 +1725001998 +1025556549 +72713663 +1174797645 +971659913 +138666092 +1360966654 +734098593 +1507375486 +178586757 +1795661377 +908798257 +123640868 +1231081490 +952668006 +2040742927 +456524928 +1626524092 +162346473 +1595150289 +1496895392 +1958866837 +1997891425 +673499140 +2000349946 +2021797186 +634557182 +2128222006 +330087537 +656600303 +1800134072 +2055089535 +1682156852 +1872847735 +1082403533 +506333117 +2011513828 +295886539 +1240431710 +1371405666 +474473296 +888609440 +132720276 +598114164 +2119690930 +1085388282 +491373443 +428732211 +564428726 +653719916 +2023882500 +2061324118 +465103105 +1874290277 +587339610 +317969404 +1748603815 +1221896793 +298707762 +2078691352 +1878497096 +2098841834 +1986297240 +1413170300 +1824205922 +921217125 +1919503417 +1688236102 +1217103664 +1012451479 +912158120 +1691576960 +1901060919 +1044878396 +142207477 +1873268202 +2130266678 +633580920 +154516765 +547211756 +1287300837 +30915617 +461052226 +1752403942 +1905205894 +1048391837 +2070373346 +1506326061 +122804982 +221597460 +1437533765 +2001302078 +172955647 +1276347357 +1266988730 +1997161569 +50080834 +1039008499 +1537914023 +1267184498 +2051459978 +302588495 +811277811 +1805037250 +1347466892 +953485288 +1530821804 +1330249922 +1587066208 +1685338569 +1877461679 +726883397 +1716254186 +191030257 +331803692 +1473976432 +1239422094 +254693390 +832818845 +1362227076 +476290851 +122868962 +1216045506 +649246498 +1399216320 +335550588 +498924419 +1449297154 +1374559087 +2036838442 +568998005 +1278535418 +191943289 +1380275816 +936089020 +1539410181 +186277456 +319427176 +722176456 +1773343664 +2004765745 +452154487 +352743414 +1573536283 +643184744 +684547106 +900029067 +1882606839 +939240496 +1732847912 +1097350267 +1415531347 +1855716874 +165912126 +2064777845 +1107449546 +501462714 +416218616 +409263053 +1876021802 +305573410 +978261058 +1007073572 +497516700 +211053226 +1943162592 +2036926881 +397330682 +115106120 +611619689 +23190698 +2119871865 +1063774176 +375934112 +1545924500 +1706958921 +1060481218 +298469919 +1442082112 +1999721715 +2031317831 +391948731 +1267769414 +1739551057 +557860857 +1185063612 +699516956 +1059323572 +1601282228 +1108780009 +787861726 +1906855639 +2087041067 +1794935298 +256888691 +150610645 +1590614242 +146331924 +547941327 +1705720362 +757951614 +571132025 +1678108579 +1821725790 +947066138 +1076549431 +1381201063 +2007547356 +1375019350 +675799527 +1859785423 +1258853533 +1067748259 +980071190 +850920942 +1625609116 +17651154 +1550437898 +537449040 +1618933382 +511734259 +1325310766 +1378305373 +451291678 +972762416 +1635194064 +601902323 +415893010 +1781525989 +1149843650 +2121613372 +391993955 +1720975676 +1652238303 +66236097 +520558166 +581304086 +1447437161 +380621874 +1956323436 +2123236688 +92923650 +1067693321 +1043501299 +1072994840 +1918614264 +521626768 +1090645994 +1321568514 +1059075808 +562095728 +1833302774 +236902927 +1940401102 +137110804 +1209665343 +1428111518 +739013128 +1625558354 +1062153859 +1888856778 +1599688078 +1454147814 +1462348806 +1104442734 +1520383912 +1982906972 +1685746820 +820337425 +216045199 +1494586609 +796090465 +308968849 +414796282 +1839591765 +1381963689 +185926898 +213734885 +325126035 +1507495413 +1272810693 +887221763 +1193314539 +1509713620 +680139217 +1330425343 +571895316 +2108250736 +2069438471 +49970022 +1022920947 +1810811602 +1649658100 +329585114 +1125676760 +606617186 +1849969026 +961100085 +144880359 +522822803 +1177145284 +1639466968 +1318913268 +1486114133 +2054263250 +1011021385 +720594174 +92706501 +1224756270 +1045720209 +1600201914 +350083316 +1932941972 +646032805 +1859796936 +465597542 +1976458148 +284208604 +426364630 +1898412972 +334178626 +1449285577 +1561740926 +1983836727 +1778870691 +539934038 +442970265 +1481356069 +1501034123 +587850624 +2004178872 +530695759 +79833944 +1175608493 +2016809892 +2134097195 +39146230 +589920418 +79320048 +1263902501 +1635640627 +1679521962 +1613985817 +1421098952 +178071119 +1326299105 +1886696494 +7045619 +1610507710 +165577476 +1905458591 +1944686336 +1614863053 +1319715869 +1781039415 +1246250097 +1859649908 +76526033 +580122518 +1213200383 +664376657 +436817743 +1743896143 +744210602 +1612426236 +1613222387 +730824149 +1651572466 +55659158 +810144197 +767991319 +1691299785 +342182511 +234493488 +964915089 +520253630 +1560792594 +704127935 +527299249 +1023816656 +869705411 +285274193 +821019344 +337084817 +1604990062 +454575112 +1583334914 +1317156322 +531101145 +15973784 +382873058 +1195477802 +452791527 +2126769201 +1939688404 +2065217763 +1592507940 +523028905 +1569306582 +1648167098 +1333173102 +189814253 +1191983236 +1675355613 +424307742 +9414677 +48125595 +1985100336 +713542613 +575424845 +861433344 +1583248024 +860699038 +1682452688 +1920332841 +318205452 +2137027800 +1356184107 +1635361775 +520645297 +1372157892 +2018234833 +1716123100 +1824949419 +1997520386 +1508327856 +1742683535 +1442544678 +2031356762 +1164506469 +943228129 +1217046216 +1354320722 +2135211365 +744918182 +1778628464 +2144626042 +793043777 +1616245152 +710685007 +1368468622 +330194848 +146449384 +81684012 +2012647537 +2066782225 +399889465 +2002191689 +1275482685 +2035251240 +375353339 +500156929 +1906002425 +2091476439 +177622700 +1756039163 +1452320647 +1920306235 +1051100193 +1336193761 +937329056 +1994328322 +405756330 +144166131 +1982056039 +1150674512 +1922794595 +1979198434 +1943718289 +1391556100 +542399793 +1164703264 +1721750948 +688849177 +1246387276 +1586914837 +608147755 +1646276741 +1441622879 +1883630440 +1534044333 +1816976218 +236303721 +1292563110 +1760969009 +413926421 +901118625 +1065806008 +186749009 +1952218819 +254516122 +1124078065 +1799063493 +660272452 +1268244196 +1633635885 +1810946964 +1043555144 +1465350671 +1607181605 +287627596 +2007750464 +624401221 +2009378544 +549115994 +1870788498 +1448809734 +1157263749 +1369581591 +742948965 +893410541 +756142277 +412441535 +1129714262 +2048705387 +25926896 +1543640683 +802340365 +1091732904 +1730389692 +607075536 +1346249026 +706984110 +258655381 +2006521478 +1975228306 +1892291266 +1669984794 +871299802 +1210158289 +1129682752 +1158927398 +1070425106 +1754083973 +1020822295 +1619541100 +1477388823 +322148381 +629321201 +699486767 +1065097346 +1522731742 +1455629044 +1477538881 +504962356 +1356850783 +1503465777 +2048603039 +11707500 +447715033 +1631509084 +618783036 +1793964060 +191009546 +877438418 +1653001890 +18754204 +622246036 +1175503037 +890054007 +1832404326 +157702141 +2048981405 +755345784 +1911786114 +922320052 +227403236 +1241691290 +1244468433 +856724437 +1941178057 +162082131 +231972531 +1249323453 +1639621012 +736934887 +458690588 +995603141 +638054278 +470398089 +1443318175 +122079714 +1089181125 +1089798587 +313089260 +1966619543 +595316829 +331843465 +441381932 +1770819866 +1221897472 +126302610 +1928522007 +1123395229 +881648394 +1692824474 +2045715282 +1109051630 +787032116 +1142700067 +1965776067 +580726525 +1304782199 +50264950 +1830049978 +796919563 +787199837 +141256918 +1792522705 +1425254115 +611655007 +1088357232 +1547333830 +1700836133 +30672171 +1860423090 +1519972028 +625989000 +44782907 +1961353960 +249325219 +1266680379 +2087656570 +30363578 +242591961 +821821316 +1723188052 +140823595 +1930872946 +362736520 +1283523662 +1749165365 +943463045 +440822213 +1799430315 +626029375 +1237741777 +439146504 +767286294 +882780834 +1864400620 +1378941301 +1971138066 +1264250802 +932293786 +2001810237 +977190244 +304782167 +480315589 +1021973152 +118652479 +729640808 +141169883 +58825402 +760004387 +383761844 +880646718 +335708791 +524585439 +664036017 +698445312 +1808109102 +265717734 +1641908357 +101447667 +2065148050 +120454085 +1339189444 +356810906 +887740379 +74486630 +73727878 +119198032 +2045624696 +1337978680 +1051491819 +1899951285 +167685277 +1356273986 +232783227 +1189658429 +1474926465 +962424035 +1330828312 +1533751867 +1722428422 +1714590157 +266914938 +2058137214 +91691948 +930950955 +609098878 +1899801050 +1196668689 +103523587 +2001248718 +1114333091 +223977672 +1192954514 +1471143998 +1111718051 +1267441145 +1544871876 +1230916084 +1165582193 +735366909 +134924255 +918049831 +903052186 +1491198241 +1150833058 +2092710615 +818641058 +2113257093 +1276055279 +204909278 +1688201868 +843161788 +471824216 +1598855434 +934853737 +1402775171 +60470664 +687171139 +451960212 +163994251 +540936209 +1566293304 +387971924 +1733890724 +889953654 +1499689975 +853848221 +287341882 +583122411 +2019430414 +1022708791 +718046666 +789996597 +1925760977 +61761259 +1940829655 +1870987944 +880402318 +1906603101 +999559576 +1085311596 +1447321321 +1842721364 +1557135812 +898693107 +630091453 +812427335 +959163771 +1317262593 +1264387547 +1123158022 +1858198802 +683197203 +1511129946 +1444605878 +1573150857 +863336274 +150970451 +1860492740 +1446458685 +22917218 +735717883 +17021704 +812913815 +513995213 +78782963 +606259823 +237499509 +959185281 +365379276 +1237059085 +2044496877 +1812700597 +932296802 +1454149041 +563910056 +1562388255 +119092728 +1523073827 +732167200 +1383480276 +498748201 +442882355 +2066677479 +2009878148 +1887488233 +1492344689 +725730774 +2038458685 +1205353781 +24705811 +2061375903 +1941071664 +41727515 +726806070 +307583229 +120510479 +1333065893 +545082739 +1079695760 +1698445169 +1782141824 +976708990 +1363662118 +566954978 +283374383 +1927572174 +2129343234 +402467112 +1303162353 +714026786 +1785947388 +1801910555 +1156909141 +1705141219 +1664305055 +896913727 +1050002260 +242552181 +787888764 +107872393 +267257992 +701781019 +2048944058 +308985508 +1428587089 +209043639 +429495987 +614169335 +754126378 +1509191747 +165130856 +388784555 +338417089 +1528792975 +955739533 +621791473 +1308881501 +937599119 +1024258585 +464560207 +1651625906 +662722325 +118987114 +661051399 +220379896 +1783292169 +1557965126 +1270382157 +2025844350 +198370242 +1378254550 +145618694 +900151261 +1279714960 +454604202 +181254703 +1488758600 +884100189 +795424038 +95401330 +245808289 +960554894 +484185885 +584225378 +341864221 +1439925419 +1206016851 +1650745723 +230040890 +82791788 +2115305930 +1881666796 +745514113 +86809396 +395234548 +965894010 +1870101565 +1953199674 +88792519 +1748462267 +4086269 +1467047069 +1894080961 +904237530 +599278382 +201201516 +1085492233 +2088036982 +1085301705 +1880916271 +35954664 +1331109994 +693987518 +520140550 +1915335373 +1035851739 +1960065969 +973868576 +539113814 +42623211 +1056660365 +506936096 +1924290008 +1802174478 +593745492 +172040908 +620584840 +316363409 +2125240582 +709377359 +2064825676 +2129326851 +28940781 +1811422990 +886080734 +628219163 +2012624506 +1971572967 +568772497 +950442563 +1705005591 +604727161 +134068910 +251509461 +1124867711 +2049404283 +1287361200 +937450032 +875789211 +1826475015 +980073244 +1932449576 +185927463 +756879604 +1587140407 +779672956 +928920512 +60241599 +1096036365 +906677446 +769618959 +1013378394 +888520650 +798559740 +677317736 +1774601384 +1426778903 +542458594 +1598690703 +1995551400 +1492901157 +1156212646 +452794913 +1626970067 +1407722107 +1577662625 +1528890702 +547599660 +367629009 +257196266 +226591027 +1347702253 +42162194 +412518490 +2104581857 +1629302601 +1192191446 +886018721 +1689544201 +140744164 +1792696168 +311679512 +1154122558 +533733170 +1110239252 +1831440294 +160850906 +389534507 +226415240 +1759541609 +237602259 +1719316397 +768270608 +690397172 +1198802817 +28509067 +120576149 +580209871 +576108727 +488205159 +837406137 +802699754 +1835907412 +879568332 +1215218245 +1793005622 +361387285 +259926043 +531540695 +2050931486 +400670207 +176753215 +215127350 +1554792765 +710486385 +1325366602 +1238749411 +871337291 +1714901109 +1465164651 +483395253 +1952503368 +1036997401 +1251665861 +495416893 +88316570 +1280174928 +615993042 +668526441 +1856283656 +1104198201 +1505932579 +511499762 +792621966 +238017263 +1726718007 +438143940 +599404548 +1986644051 +969684635 +502852387 +239830610 +1146437851 +717979737 +1794623376 +1856924236 +2043346340 +885889139 +580777880 +1610763801 +203570143 +1064173133 +1415783522 +1240567544 +168355346 +1911200415 +1328884114 +1448530274 +379709809 +1997410555 +1157330282 +1483908011 +1355859486 +1668830045 +129046329 +1593876749 +1248064404 +567190269 +45797650 +1087224807 +1536874904 +548650037 +1327055418 +535829107 +1266629774 +974195146 +245269696 +1162492466 +1860084285 +826047576 +625772620 +2063654428 +1890220709 +2041556142 +1156738324 +2058576055 +1805272909 +338138790 +1359622681 +37499070 +188065698 +369469316 +1521407081 +1543925184 +2038299361 +1650453410 +990318286 +1138880117 +70160031 +1036115936 +78621277 +1607034936 +1584765973 +1405676695 +2142864043 +703912099 +232388193 +240650091 +1866404566 +2092472478 +1066697667 +344693538 +2008643259 +809434728 +238766032 +1017897935 +720527135 +2044038941 +1356036726 +2080149817 +2081538011 +1544102424 +302135485 +1455461445 +940543960 +192951198 +958431207 +1930862246 +1331831315 +1028591239 +819494534 +1410452592 +488142527 +256776859 +668645639 +483522922 +960688959 +901033832 +724173014 +679609877 +846022663 +1790870681 +1024303415 +707182274 +452821762 +1263069447 +1725080209 +1173348897 +1159624740 +933633287 +1106015066 +1093679103 +330252063 +1408150551 +401656900 +1270796024 +1601101749 +1360088108 +1054174622 +785449417 +241195699 +1873669157 +48418361 +729338226 +2130446016 +717064001 +1212861148 +943651327 +1618097833 +1937034162 +1623261204 +316636848 +1580421196 +500080971 +1023819122 +2033242958 +1763150418 +601415684 +1059108207 +775291510 +1535048971 +17639626 +1868970614 +1865301035 +1425790177 +123143866 +988613411 +879408279 +1483231974 +2042788033 +1664857696 +1724427673 +1768973542 +1713276057 +306282251 +1751935911 +282856410 +1519143400 +548103590 +1900954244 +1308693914 +23881147 +70107444 +741631462 +523962118 +1093926567 +627390772 +139628889 +1695342251 +1686498980 +914920399 +1082907574 +1704138606 +636407365 +800724961 +982445135 +759551232 +1789338372 +1861853414 +95299558 +1684642758 +1379227462 +1819727232 +1306132652 +945019872 +2126009483 +910584915 +1227876282 +1497669235 +1458688506 +981346878 +658879502 +1482569653 +1051454323 +1400510964 +2006531771 +2145380890 +2027901737 +2146160660 +1693239493 +1566917069 +913597412 +628663419 +1123572027 +1550004777 +1429388381 +2106017162 +162072361 +1071243105 +1820386929 +257371920 +608402215 +1052130743 +2077099152 +1914534868 +1997150615 +2055624987 +677636135 +1077543250 +1405810575 +2136324641 +2058890128 +2064690077 +1471410646 +962860803 +1317717393 +1330458770 +960758045 +1198135482 +1329135782 +506513890 +617568903 +95249546 +1135177310 +1741140930 +1645254324 +417082043 +1699674445 +1807326685 +1488325148 +1372577726 +2064698605 +2096727364 +277224821 +1994314109 +1863778584 +126891789 +1902455449 +393931071 +1204435039 +1160782376 +382772065 +1115841519 +1077988805 +1854182711 +2078702323 +248222550 +1037157833 +891976720 +1446358033 +218809968 +1398490611 +2063926936 +314059514 +386184273 +1657584219 +1959313838 +803266316 +1209775016 +1619156876 +144107816 +434869094 +1536371833 +93351532 +712093915 +1383202295 +1957130116 +838985704 +1138174096 +203577540 +2043420743 +151472824 +586349605 +1011778615 +1229461629 +293048668 +942997290 +1477684179 +1330206502 +1834974010 +776558564 +1549016470 +1085980973 +693001853 +1863075984 +1472165246 +203102424 +1674906175 +127947914 +1412877440 +1146579403 +272055731 +1847746534 +535467588 +365407263 +412356801 +1918669883 +175053732 +1251342506 +909360331 +378631272 +1147279601 +1060833155 +964980877 +11574568 +142811136 +1258029545 +954571858 +1620495316 +440752399 +642062221 +249570232 +1989768869 +1728043194 +942572085 +1705361206 +1052724793 +1145674509 +1232783733 +1180672707 +411068301 +231879488 +1452728438 +111331187 +767347076 +1818135702 +523687989 +538533312 +1993189434 +1775030495 +1447893643 +224337058 +774826448 +361243151 +1189317935 +786401017 +504054287 +299863832 +1740972875 +2124549603 +740616232 +235551448 +226636188 +582901453 +1963594643 +1169208273 +140779011 +868835788 +167399135 +1373562744 +2049508495 +578467436 +1605442232 +1354753286 +689798624 +225305661 +1025405340 +1213486613 +763838973 +871111126 +841033460 +64248968 +1095448184 +1615859908 +425492119 +137282471 +254777277 +929546407 +437146303 +1995750153 +906612362 +1177762535 +83817953 +1133248550 +1760663989 +2047412596 +154973176 +1901443000 +768764736 +322372311 +1127522097 +670789584 +900839747 +585480681 +2025542870 +1590638371 +810786342 +903464562 +656641336 +1574625315 +1774575688 +1497674796 +1638874284 +722540224 +966051057 +2064366403 +859822695 +1220828334 +846429162 +1296968998 +1069094839 +1753041525 +327247886 +1152912793 +738806427 +2087911875 +1052841741 +893779603 +1841871227 +1821606478 +1216151914 +821909676 +344912414 +2116991662 +1407390358 +222971636 +1560146385 +70693052 +1126436198 +69304074 +1645318368 +753528238 +1566978870 +1136709004 +1476068462 +385546279 +1053591759 +188407509 +1606374614 +1900020922 +1485376507 +527985805 +1505578799 +1812624393 +1680898598 +96901578 +1753052620 +586256692 +990681182 +1447440200 +260379522 +59349448 +121866228 +605291936 +28857462 +1529256586 +828263572 +1589003848 +1599949639 +1954699770 +1658307922 +1097784359 +560744360 +1077803144 +87009715 +2036812822 +1463349424 +1140601474 +77736683 +922240390 +893138748 +1563113190 +1450226195 +251233899 +1228253936 +983641146 +348135478 +833822908 +1569897838 +1338816660 +133779460 +1830277360 +1398166108 +255645689 +288085648 +1427023571 +1784902275 +1116349220 +868543771 +1237368266 +923565342 +379368045 +187668977 +1484309702 +1457171189 +274678692 +1373638876 +773036965 +1415280167 +1451375559 +1695277355 +160935267 +867005101 +998019903 +412169167 +2095259037 +1981661049 +760304645 +781598298 +1404075239 +2099121305 +915377758 +1086868951 +1349803765 +1171023447 +1374954599 +629343688 +808442075 +343820171 +1497887459 +2045810341 +1267385513 +1877255504 +85995671 +604211567 +1186943046 +360674363 +1977850443 +1959980011 +1775954530 +1281742354 +1507773719 +1936889798 +1263807 +358309974 +201575317 +2096522845 +192487375 +961879962 +730637495 +1596562614 +913517619 +1646015253 +535947917 +115837736 +669555053 +1910902516 +745181425 +1477997128 +107239039 +95585236 +1376323821 +1374624552 +1972840741 +1462319492 +1978836119 +1012300139 +1822993856 +1809202914 +824796502 +1451464738 +943461620 +185086573 +1240870888 +944725427 +543396547 +1442446205 +893764624 +735883922 +256842519 +1624402119 +184962888 +1170360138 +1122933725 +720910805 +1286197875 +1792488778 +484329673 +2031379300 +1123002258 +591568712 +2126964536 +351842431 +1966193264 +1952321629 +1814161924 +1797545735 +817138120 +1489672132 +1459265001 +1641934623 +793653222 +255242973 +1827021196 +2034524111 +1199968401 +222934096 +1329486668 +2093733025 +958818018 +1586329188 +1570651497 +1143780907 +609205678 +546101574 +1864691712 +1895403553 +191106704 +201537738 +1779299205 +1314108962 +793106450 +1758780094 +1665951393 +611816067 +1563618075 +1332629669 +261878154 +233272548 +674818153 +1721143156 +1875207171 +1468471376 +1976386129 +1554744719 +1355511839 +1028870882 +1777678815 +537514859 +975120260 +589013186 +2123844047 +398288109 +1732794093 +585566078 +944389683 +1450002157 +333485983 +1135496387 +1651539895 +2112785189 +302121701 +297162698 +1724081635 +1968073094 +908978765 +1140216062 +1153219116 +1170856919 +1373488610 +1828037269 +744516427 +1101212133 +1149024997 +573418909 +508473205 +357053188 +1602289791 +138668372 +894568048 +429926403 +727681558 +870928447 +828214512 +312992003 +1456494525 +1772604195 +1762994161 +1789980509 +760616934 +1267050408 +1755282050 +1062738635 +1564213106 +1331880037 +883328082 +325708223 +324612451 +2036547198 +1496565143 +1698101062 +1717100819 +93597922 +651829547 +718642169 +667016831 +1160302752 +1075695357 +121822975 +1298971125 +1970263405 +551749378 +2026652683 +693708205 +1379963891 +192161039 +2719082 +1005084438 +1955155200 +1792699591 +1765701373 +1074721960 +1400497993 +680956360 +491451419 +584894382 +1564284442 +817159642 +909506834 +1453347992 +166241137 +460124248 +1022965164 +259839060 +1111953795 +1741607333 +926855891 +124772900 +669819042 +1048678866 +1423744025 +492598800 +1600428245 +1302913060 +1186307005 +832908488 +1495074099 +1189026087 +1837992926 +1302745651 +834242031 +1456210651 +229983964 +87256376 +2137167012 +721435383 +672150759 +1553967806 +1538595025 +1581657593 +859832151 +1704836163 +2041781841 +1882797315 +1964675223 +1006251988 +1476921000 +744047466 +1131024888 +2146740042 +1792726333 +407285265 +491855194 +1245670930 +1710198326 +1678162199 +2078579418 +1057788777 +719704639 +1769088696 +213050781 +1553946670 +1077815700 +443034745 +1641203046 +1067499064 +1164470128 +165870157 +473983222 +555581505 +1747527750 +1333815373 +112934020 +1641825943 +1069129040 +2077609243 +500594284 +398566392 +674173062 +1631619172 +397822787 +319415747 +2038904438 +889677981 +1565086677 +1601619116 +420356533 +1496182447 +511924245 +1140061172 +1117787495 +724975026 +546524194 +48119547 +1168009771 +40243592 +1115618611 +184996251 +206113750 +1589601834 +740577757 +1953641500 +775933559 +853511777 +1447983796 +1845062600 +783637373 +1948578080 +96145344 +1457810435 +1432713604 +493968131 +1777226182 +1324134394 +1383646113 +1194829211 +778269862 +1804002646 +543528010 +1290194108 +796580170 +1661315505 +2015169134 +1343104364 +1709435053 +1035695258 +1383347956 +677570016 +1220691509 +1589461706 +119688202 +1961269266 +1395619559 +895621762 +667297396 +696119707 +593200714 +1450934769 +497214139 +689346058 +761261556 +1929927743 +1183314190 +391004090 +1106578490 +419476655 +1585833301 +1884848352 +75995653 +2129361311 +1027558812 +872575823 +1643193168 +895244299 +68196539 +1205144573 +1930939557 +1451544495 +1882714590 +1004147418 +893522554 +2002402792 +817933037 +141658465 +750540906 +1485230433 +837778172 +1343741620 +788681554 +1334992311 +2033087679 +1549943110 +1117436406 +1068918221 +1940947200 +76531248 +1488394876 +1379296853 +1961379601 +1564390529 +1361174516 +841454765 +289482704 +856884036 +1736699064 +357679243 +2062028610 +1520154973 +1809223738 +1797259552 +376818744 +555262644 +1652178696 +1194751781 +696921109 +255235955 +532498566 +1534699281 +1598977575 +1321180120 +722207944 +1484581606 +723639582 +1839644351 +406016179 +517103134 +1916175599 +1894411055 +1896399987 +1730071552 +1311317936 +1110090855 +424042670 +1600800640 +1966974891 +13258086 +1958479883 +1881519853 +1533413060 +1620219974 +1531295757 +1910231804 +27998970 +1035990806 +957499937 +724920080 +1291226761 +1489998503 +112135713 +742720688 +663694975 +834343658 +79818647 +1387334557 +526504361 +485834826 +1904437691 +295196312 +232762234 +1653354030 +2025267865 +1544080170 +615961237 +301826887 +997397163 +435452480 +315084973 +808393398 +169488686 +1848498033 +281129724 +1700784443 +1611246189 +309128695 +589291601 +421262478 +1034048775 +1880518362 +1911260981 +1146184488 +475755403 +427472308 +1980528146 +555574050 +1814806865 +359548859 +1041408876 +1571760908 +654745172 +1274171110 +1077631290 +532529389 +670767633 +1693592527 +834356276 +1668164796 +2129045008 +1149441249 +329074546 +151050046 +850455635 +610204271 +1851834489 +314218176 +919332966 +293642443 +735480655 +1953381741 +26677157 +499257988 +952082581 +502432560 +926730297 +785127080 +1058006610 +594053514 +1144675939 +2099415487 +18330775 +1799421111 +1226102949 +1095962065 +184466852 +1896870582 +642070945 +1018823128 +1417551730 +623632305 +20780730 +1746626277 +774682351 +871236365 +209346900 +479033192 +1185454541 +1128679866 +772675635 +1920935196 +934577959 +799352793 +272709537 +1886660540 +1301785353 +1199439834 +524303972 +212308316 +1793493348 +1668979912 +164240155 +1811824123 +1320917375 +1390343104 +760302541 +1505384228 +1139730039 +1402373486 +376723708 +409798121 +2026005791 +397504438 +8940750 +653204494 +1268740803 +218287650 +1132237686 +306711697 +1346967516 +1904913322 +80163245 +134061827 +556782467 +352872782 +2020722368 +1858567820 +1552312616 +397542692 +2070876136 +1198322317 +2066522604 +87632643 +862662792 +1239956332 +1477975748 +1622965333 +597856912 +470222139 +877855171 +974580620 +880020260 +756377314 +1372085059 +888961011 +1409581808 +493342214 +1107248661 +394335847 +800053911 +306732530 +151765521 +880217157 +440794357 +708547988 +1233089939 +314033077 +419632160 +637918908 +711575770 +343024649 +1836241225 +630614726 +430657292 +551420369 +1870571058 +1908633040 +26902055 +320944322 +231371531 +904757226 +1295524943 +1111391792 +1661134541 +520126354 +2000352803 +923232701 +1013468568 +960117816 +1317568548 +1813522480 +1266850346 +1469334069 +546255989 +1707644704 +30398409 +1779345928 +2021677781 +450030570 +269781188 +585769903 +793055219 +2106022413 +1216384630 +1223712511 +509959135 +939472040 +984861904 +536861190 +1260416363 +1216233435 +1441618416 +408457658 +180141579 +955269309 +928584012 +33010734 +1878502011 +1942052580 +993128551 +1048586911 +1608091412 +112495249 +370437333 +6863753 +1820139953 +400835742 +1786209682 +1694334087 +850866312 +2055990870 +132620342 +1643921531 +2014529636 +1349004972 +720150395 +377005123 +140993365 +1705012299 +913866313 +1401409728 +773762086 +208001081 +1809867386 +953903666 +1163270391 +590967750 +986914400 +894288754 +385536682 +1980042951 +1942875665 +1993628095 +2092538201 +165829350 +2000491848 +1765194506 +566665093 +1639217882 +1312044945 +1417531405 +1547725105 +1444665288 +913969289 +1414771093 +646186612 +1634119684 +1791776216 +787179977 +1191648335 +558158881 +41106057 +1965410421 +766159962 +1850973443 +771830439 +1929430353 +294457545 +1758744840 +676235459 +679994228 +1591304143 +471627477 +526138675 +1536358696 +637456827 +379146875 +1154069555 +1204121920 +2018364758 +318630852 +474169678 +1418606215 +1763296140 +1388138967 +685893660 +261999105 +874775003 +330186228 +1049179082 +2066423338 +888345109 +1090285140 +1884350111 +1654505071 +793774935 +508696903 +1436451777 +1088232481 +119958095 +2112687236 +1768226709 +1711262238 +436831065 +146881736 +1100137287 +1074287893 +526028611 +106723194 +130926165 +396909721 +425354046 +605095843 +1815515936 +41166539 +1993234810 +353925948 +303165644 +720526165 +684112176 +1352344726 +639465855 +1572457285 +295146218 +376332319 +1079478709 +1088921154 +885029222 +368446838 +29669987 +1004987317 +333650426 +1797896696 +568765907 +770481492 +1944778432 +1668903194 +1844769385 +323323395 +1775626388 +1975695550 +720233117 +53496787 +433307746 +388265405 +94663326 +279058908 +742191354 +397828970 +999585074 +1426303530 +1750173696 +1639050929 +851277168 +2045319915 +2015383248 +1930755877 +986757421 +752928822 +151719067 +1016427408 +1757916139 +485369493 +666840456 +179198399 +1255850985 +464135240 +1848101593 +953136722 +787458635 +1476244334 +781348625 +1507691752 +1529741121 +1214656371 +1895957158 +1624404447 +1493715279 +490664864 +2022233417 +345816705 +1916968394 +1624923465 +1984867635 +620761914 +1522759732 +1852767235 +404034143 +362033505 +458212410 +555753210 +1378460913 +68644901 +1041122704 +2045301369 +247843300 +149490041 +361952961 +2095944894 +1102626764 +1149411597 +1424705580 +1883975389 +509619701 +806963053 +951148112 +258093211 +283883852 +297379743 +748758075 +158633621 +643196449 +518242822 +1783557086 +480580436 +1139004736 +1158833171 +185864023 +1543038880 +1520866676 +644076433 +2098792090 +751843942 +712721335 +992431146 +649661663 +960564635 +1141921188 +1011614625 +909025881 +97064304 +13542574 +186247813 +1981039693 +523162275 +993210866 +784704157 +781255487 +1277094718 +1082083900 +1530013562 +1435728339 +1725280349 +2048256384 +1071801778 +58377137 +1039777473 +83151301 +244241161 +435332705 +1604017977 +888317594 +386641147 +208378271 +1601038929 +1379072294 +858039935 +414119917 +373509834 +1869654560 +1323145798 +470574138 +1883197134 +1509393612 +304130183 +258875761 +355120830 +1088834340 +1040131248 +1632215549 +23434592 +422661163 +920460240 +1748714942 +323433899 +1992262018 +1807092079 +1363211372 +2075413319 +2051333240 +1798544077 +1531947649 +792167187 +37701577 +1740325920 +245722468 +1416773871 +450882207 +659842385 +1790283705 +173053119 +1982988184 +113374195 +2056250253 +1344898148 +417504378 +167642367 +1700018978 +1506338718 +1207773615 +1184750879 +1529773310 +1630434778 +2105211120 +1131004604 +1953868678 +1949989490 +790613036 +1169596402 +1877919162 +694462628 +820656832 +1262383163 +1486629815 +858358409 +855225435 +1732352284 +127648632 +1306107643 +244711021 +1917932337 +1479160762 +80215557 +2031306532 +1387927368 +1425113705 +301327262 +1555569735 +977649036 +1807665980 +615859702 +14916267 +1189955642 +98810833 +2120127387 +173476599 +2052679511 +1922633230 +964089635 +1074792265 +1653068744 +1658552263 +1895449097 +767968259 +997698431 +606323858 +1623193694 +582567067 +733972490 +781817689 +827278088 +504421179 +113494804 +907493646 +388244063 +1501422172 +185123703 +689571325 +909508259 +1162772739 +349753657 +1525367961 +1177689007 +1539709300 +1624178794 +1150332746 +1713185899 +1529374657 +925482328 +529791886 +456683275 +431067424 +40860501 +204648724 +1199035683 +1038558932 +810972583 +674745730 +1621125999 +1544945073 +1456563419 +300920440 +2049366253 +1570058223 +1208414086 +290126668 +923996747 +1393537789 +979697994 +1833505006 +408826881 +1329451651 +1211389320 +1586515888 +721677303 +688084466 +589364986 +287379554 +69975476 +1514847315 +817171440 +526658751 +1945914739 +858031942 +731307475 +997466775 +1896590874 +1542280058 +1672212505 +1370233226 +939741484 +981292276 +1671153666 +841624089 +403866852 +732084104 +1131750757 +1327863599 +2125621893 +2111448751 +1013884958 +386965126 +1293416755 +77790630 +1973481014 +2015094058 +765875096 +415362353 +154989965 +835850572 +1930209668 +972161405 +1362509323 +1728640759 +1830193347 +2093816799 +578623886 +1579300574 +1488613209 +103352743 +802050152 +280871045 +1084645020 +325720170 +1122495134 +1488511872 +1057804274 +106762244 +668891823 +1035942519 +70727347 +1682776781 +1422907646 +1364144102 +1760567411 +1248905012 +1231754513 +378958860 +1664267365 +1386744478 +1214809432 +1446993385 +211422235 +429835108 +1028150497 +2041615583 +376168259 +1606774383 +1473432509 +1864781468 +1710127127 +127999013 +2145652514 +647288499 +453719183 +1120664000 +2135800371 +1511523457 +1227426244 +657208546 +399982328 +1298153592 +192501680 +1822889974 +514814046 +1953069091 +924311339 +1746568559 +184544303 +441095056 +985829389 +1399353736 +1888088442 +1197251625 +1829188844 +768755291 +1091383560 +57873455 +228046026 +417332421 +1922654923 +1938173153 +545331434 +1920823789 +437978004 +999050617 +894004142 +426294727 +363090426 +2121430386 +1083503274 +763072754 +1272100330 +1276004954 +438479081 +1786914377 +1081590397 +1362790420 +1385999288 +1266134701 +1803885476 +224345030 +518004789 +1544490270 +1421596655 +199709985 +165761913 +365496567 +257583440 +393807940 +782828988 +32754715 +184497445 +1328160422 +1953578505 +622475450 +179727391 +700098999 +1048770177 +542817817 +674045737 +2132273451 +1305890571 +1946146068 +1260794757 +1744369652 +1585576797 +194901507 +959676424 +824092437 +1461036208 +616078253 +1048437467 +1979040997 +13084875 +322550474 +31267334 +178846789 +688047041 +288850774 +572654729 +1470876029 +321605489 +757152174 +651552803 +127700346 +1379627624 +831280194 +827799345 +280914154 +1374098011 +1501845083 +265703957 +532504935 +1300507503 +1526498715 +129390939 +738600652 +1721400222 +1089067364 +1562693089 +1034952782 +1705145617 +463646909 +866510131 +1718230492 +786197383 +897777465 +1897077281 +1474244425 +1186628239 +322248362 +797636806 +1508233728 +1079400537 +1449189610 +1635934075 +311544513 +132986156 +316249772 +592458667 +1507084168 +1818094855 +858162625 +2039589103 +971118710 +237177692 +21496394 +1709719362 +1958577914 +1110563758 +1124928804 +846047048 +668225727 +1588575713 +1712557179 +238972572 +227289448 +462850996 +2136049853 +1701533873 +1649479235 +310814568 +351687032 +1010229315 +1390215105 +1800876642 +498679742 +1701759618 +1933862798 +814929515 +146734638 +1293463318 +485540722 +1004897263 +1185568773 +1456659433 +1242074955 +1207065168 +1018895147 +1053169221 +170145278 +2143823951 +1899216269 +838371006 +1584916016 +1464289800 +1077343578 +1812205465 +1927140796 +1065909783 +1366255690 +1429136383 +1376724351 +1717942722 +291882050 +619455808 +1371335716 +790561793 +173731779 +1157714867 +1605491308 +320466417 +303694537 +2091032030 +1325363680 +1489263311 +1400207815 +419954987 +548844831 +271619315 +1473124208 +718990109 +267959618 +1224856829 +1557361115 +1852875635 +541662981 +487221045 +1517597452 +321320129 +1553130829 +736369494 +1750456512 +782371532 +306828569 +2042338562 +1401827341 +1678164285 +685416707 +1575559120 +688395504 +143424367 +1896025537 +992090042 +86972750 +1073905569 +333869705 +1487180565 +1493860556 +882714536 +1758799880 +819501116 +1601704645 +2026759499 +2044357945 +1011582113 +1732151486 +438537278 +1498803158 +1102265290 +759857407 +904450339 +1838634784 +362830271 +1686821872 +2145463353 +257685185 +941165565 +1676143991 +943101893 +369241037 +217055847 +1086526260 +117782926 +1209145889 +1173499010 +1191688495 +1543015594 +513195928 +538065403 +278246482 +124512160 +1357566519 +1879951128 +3788011 +1254440816 +744049593 +1735939497 +1692978094 +95369103 +690721139 +305351853 +999819443 +381872276 +668182124 +539157667 +379851981 +925867309 +1480323232 +2055995972 +1868969202 +1849564269 +125568172 +808011815 +1967347195 +1334714061 +1981510825 +1011552042 +730246008 +347223105 +1549617445 +1008492490 +471735266 +759700316 +740959970 +475523277 +2014141132 +1485009563 +63979127 +1559635578 +1580378667 +754700266 +1864987431 +432714462 +1136572542 +385685907 +971872129 +1516424524 +1311553216 +304711713 +1424936848 +1033038771 +6792334 +1550505020 +1841050586 +1974139529 +737735434 +1675077763 +838207923 +1467981442 +2022300869 +240341720 +328990284 +346552487 +1000042036 +1069950255 +822075764 +866699520 +407476170 +886054891 +278851450 +1987854837 +1640755158 +2143838881 +273085651 +629844052 +382041140 +1244957780 +2146268576 +1693594356 +1549669493 +1423721777 +579149479 +1556461827 +826743149 +272716417 +1383117708 +1564478583 +1947794181 +73841983 +884976377 +1822611402 +314183703 +1213966662 +21680241 +1314225739 +136433269 +843756005 +33441611 +543909439 +1729810897 +312293061 +384280629 +1223082407 +308648294 +657366280 +1852926459 +690689434 +1902324061 +1851711388 +236800143 +1304509906 +1127949517 +815949622 +713488086 +1954692666 +1088666040 +2096605794 +1371687602 +888976573 +22964130 +109180331 +564104327 +337147833 +1323146993 +585784568 +1651373573 +1459580262 +1429540573 +1684815184 +2003489702 +1011867822 +1997108246 +240286683 +87466581 +158272892 +897652963 +1940393041 +848962327 +652493376 +1644620781 +1085762470 +1957003283 +625086650 +1901712092 +523007721 +432295668 +842894484 +472129867 +1803983270 +1731871057 +495093997 +1913163602 +148491736 +832241831 +1088826947 +734276304 +336131756 +400923562 +16333230 +2020946940 +256929616 +1028201052 +1870571538 +497216299 +1115667634 +2028844431 +1394869262 +908577027 +730323110 +2047362639 +405714160 +1816085580 +1856882274 +1030800810 +1570314024 +232406347 +1463096478 +265724861 +704536214 +1119596101 +1997595918 +1199630212 +885276055 +2146087655 +2031872043 +1974103002 +732880311 +220520151 +227542916 +749213541 +93983443 +484472532 +1777414594 +1964554982 +981688831 +745598580 +1845915765 +229074446 +1654175607 +428755227 +128953437 +2059889767 +97357159 +1985835711 +943206929 +1667671183 +70758410 +258819759 +1933396044 +775294624 +1378415860 +1783508315 +1974924836 +116208267 +1782112322 +1859313231 +2090311270 +367508985 +2079833382 +170370538 +1116722527 +26333178 +654843071 +746653473 +1990888160 +1636531902 +1492252053 +1689320277 +1865606348 +998944012 +2118075504 +1994559785 +911350131 +67949015 +1832911848 +1854557060 +1735620198 +1903670258 +2113376819 +1521532595 +531481235 +1344309032 +1157557262 +358922423 +1460517299 +792185936 +70752007 +1403344921 +1159694921 +3101741 +1573715460 +128933800 +29434919 +81074883 +875587273 +2020323079 +1717606785 +220355678 +1562159708 +1435729486 +1219299690 +1532751564 +1282805623 +2130649821 +1600700579 +968233824 +1837723233 +1188837130 +724420434 +1803616405 +562886077 +1255901669 +1000441789 +1720443339 +1614824093 +313475440 +365145627 +1685576100 +1716820362 +1524840548 +1688677841 +1143052174 +1653774349 +1718112761 +1224127057 +381877974 +1590952192 +794250194 +602233653 +1005628253 +82496032 +1821533343 +390896169 +1365301656 +1804699517 +1991596749 +186051832 +1494939102 +1032950231 +910472266 +1151071859 +1595836308 +18890288 +4030000 +1168795999 +1633714381 +317505441 +1533941626 +1171806833 +2034325803 +911298526 +713001026 +1029894329 +417589227 +283630139 +106537738 +799467202 +1874582332 +900787932 +1401700855 +732726937 +983283965 +1075750550 +1123623106 +201101973 +732966419 +967736207 +387153805 +80421874 +2000686438 +1297626071 +1231493733 +1449039098 +1316516359 +1235523734 +470351449 +802747092 +1553029175 +2004293075 +1974553925 +1439871330 +768107954 +540071304 +322282011 +1185697181 +823701443 +428819749 +1985164383 +550800127 +1329607681 +1239381590 +1283527064 +165407998 +167648493 +259666523 +366509971 +900614912 +1227402730 +753663776 +981036786 +1080605521 +2051289848 +65046872 +382160971 +1220322559 +1300570606 +852512421 +2023069652 +706116133 +709321848 +1850139929 +2145987463 +1477429802 +242727585 +320785826 +515643336 +1066429029 +749605575 +353324071 +1617229156 +2079213256 +1592705662 +753272573 +97137607 +1760354155 +1012939096 +463647578 +513485419 +92858178 +1217311355 +1494522206 +1173463699 +1121117555 +1559569078 +1555624671 +193956466 +712656036 +260653444 +69542470 +1418772169 +969975292 +1919682400 +1417275984 +299921447 +14926337 +1738061810 +815564783 +1081355366 +340183737 +1168888854 +551100875 +271913345 +614110868 +1304373448 +369050952 +226981375 +169828896 +832698531 +740466795 +262687074 +2050009886 +87505353 +1436150774 +1023643793 +1647074431 +844291797 +1217600259 +212246819 +1104945241 +1287142730 +1631018988 +2074920533 +1059341482 +900811324 +227358332 +1074267819 +491389486 +1042923115 +8139538 +831573223 +64328322 +559240413 +1103486568 +678439190 +1863613861 +1472537521 +905420566 +2033442757 +157752404 +1645887361 +148646183 +60278642 +1733392714 +1584796957 +1083922435 +1232983497 +281605106 +154039046 +1445230316 +1386550347 +1441181776 +928765656 +1313987233 +353039610 +1829576980 +1541345565 +1427307430 +173482818 +436785033 +1435446968 +1005056041 +501113355 +1994687381 +2108542609 +1179552545 +1710817594 +1433596482 +2084973111 +1596776703 +1591348886 +1583376824 +1745422886 +1651627528 +1169285890 +1182736196 +588066315 +254785739 +1464341302 +742105362 +1700016055 +703408002 +35803490 +481298063 +2017395235 +388843101 +163391395 +1411257152 +1816150531 +336874213 +1848042185 +1104113851 +1341930254 +201671892 +951317584 +1302989216 +1381224438 +514651530 +589102050 +1318713901 +2111428233 +32967289 +754607078 +1709367471 +1684594817 +1923892968 +744620019 +125177485 +31195060 +61477674 +867282847 +1731211115 +764885676 +903086337 +65025531 +634797263 +1291929438 +228416926 +2046054415 +960596321 +565291140 +1746612953 +2064710172 +1907221394 +1948284845 +868544108 +1062726962 +1182025635 +1383195638 +1651829013 +353255889 +1347140223 +1684796302 +1107862967 +909024047 +1221907471 +884272287 +1653644066 +1347084956 +915467347 +1715121740 +66884155 +499194815 +332523768 +969970493 +564220346 +967321031 +114416283 +792637272 +865891799 +1075012605 +1357928412 +465021104 +992239129 +1117666159 +265822301 +1860783238 +32909473 +1447847937 +1096495228 +1684738486 +1801103826 +296151804 +1222051140 +761483145 +1205175851 +296474964 +1645755432 +711336269 +1643559920 +413739132 +278974362 +1710444076 +912933947 +611498130 +532930921 +1477154293 +1578819162 +647347204 +122307917 +297227313 +1722359809 +1480236330 +762248417 +567115291 +450418841 +1028070718 +280414881 +483328314 +328435007 +1376910109 +20583153 +2129538833 +1673061913 +1242634293 +743538330 +730754116 +1539109257 +241810115 +1442090386 +1035185530 +655549247 +1721064748 +598145958 +1568483194 +185079230 +1131076879 +898153839 +1763898392 +1778424083 +1020461756 +2061125705 +1353300245 +353214438 +675890474 +1920415536 +803633279 +1703961193 +53346769 +1286961594 +2032396200 +1430256878 +1307544747 +2014451386 +955835144 +402695392 +610506068 +1686589260 +1941804650 +852316183 +981195998 +829506532 +1507865430 +554777098 +1427652490 +928864976 +739856329 +411245721 +1827018815 +356271073 +42186156 +699996924 +269913131 +1395486401 +1053211362 +945803605 +1168418289 +1856844642 +502281150 +1221765058 +996322588 +387193703 +504538289 +156383687 +254161441 +1460373433 +559079079 +864667509 +999479045 +353400081 +1716983693 +1980675044 +1182906613 +1077365475 +387968494 +463075455 +2006230452 +1127824823 +874321176 +1685765619 +1484095897 +916507333 +238278895 +1754009028 +164510086 +1291490258 +552328985 +1332928376 +1000851252 +1054610136 +407209786 +1997173840 +1441803839 +911748075 +6073879 +1695965280 +224637860 +565152958 +413149141 +1224116906 +918553040 +2130132834 +1057308302 +2101459653 +1060014662 +1445276796 +417051461 +918761466 +425617972 +1291372637 +457043437 +1909713869 +60396322 +695322333 +1516239249 +224906409 +1986812591 +2068568234 +1557834785 +840180195 +975694722 +1965044571 +689870387 +270014913 +729308999 +695944266 +1965980193 +953946859 +1261097224 +231645687 +30580117 +32166616 +214294873 +1087888419 +2133626270 +1274309535 +385681568 +403194083 +45587353 +811299540 +1694566720 +502630791 +573529761 +1754963043 +1197953124 +2089769010 +1979869452 +1037282067 +2010853596 +1390220589 +1877462262 +839064671 +1207781512 +419849001 +1109079584 +1937090511 +1115793267 +927576130 +743553723 +229406843 +1159221817 +774133840 +261573460 +1373516690 +1862022260 +247716082 +500342578 +100220180 +650910165 +545929931 +911519720 +197993237 +1048560722 +1485049481 +1952956280 +99030198 +1427334843 +1785342084 +1136312265 +1290704791 +1028079025 +866290879 +2129769462 +88376890 +1286139880 +1091365399 +2025467401 +254449499 +2018941529 +621537476 +483856343 +1030679698 +1395671317 +745429803 +256712740 +1110209929 +993145885 +757055318 +1210430109 +1644056050 +1302985250 +2121949829 +1842049287 +204062324 +1459515662 +1647521920 +303092523 +739366857 +1285380356 +1439404788 +2030071648 +165975734 +158212020 +2012357463 +254352624 +1444351900 +956239214 +132336377 +1698801400 +827697095 +753873854 +35174095 +1858376793 +2061523 +780603898 +2115089533 +1112271452 +1773749783 +724661204 +175217913 +1270322185 +2027646454 +149684094 +964887824 +84225130 +1609199756 +464926096 +387317653 +201082965 +1750306453 +1826722442 +83670965 +1916282187 +1984934462 +2096028428 +23151163 +1281802714 +904783994 +155487540 +833120466 +1732481089 +909361394 +868294561 +1443374234 +911422917 +1648898459 +1410980120 +2023694369 +1275164594 +2135641324 +51428634 +398003131 +2015804130 +201112728 +1362890956 +2100029260 +1810312484 +1827817052 +339863266 +2011395449 +1430639857 +19102060 +2095066415 +1199438396 +2004036522 +2043611195 +1222589559 +1138355588 +800911542 +1378077100 +1971476055 +385908983 +139954846 +692286968 +1829283218 +1051377764 +193701780 +1092779690 +927588485 +1468866374 +1080937366 +979017120 +1866869506 +949257848 +1180129848 +1082276814 +901803460 +842958685 +762610218 +1241666726 +706870486 +45766428 +1260768786 +654453253 +1245204824 +1117321660 +550580801 +320310736 +108193601 +1351492343 +1698387836 +2079669656 +1737401326 +1838342682 +624472976 +1419200896 +742236798 +818174756 +364496938 +1669825284 +139557483 +1445434304 +501358756 +2006426989 +247208504 +1681488604 +941220155 +1149011965 +376963641 +1703830373 +243195043 +1083834128 +1749596801 +1503963830 +1738287381 +847317978 +473801842 +141384534 +1167628714 +581995443 +1492876877 +718532902 +514181451 +1082794556 +409391936 +1138654428 +354511804 +1151628735 +1956829184 +719008743 +673970371 +2096386667 +16959399 +1175329127 +1955330008 +264167904 +709334083 +749066515 +1413179869 +1086297725 +305413241 +1656374912 +22648205 +2055010042 +1012855094 +1760935586 +754844372 +1486656937 +1902320121 +1922473086 +2068652380 +1247713350 +493522340 +435350184 +183024258 +902914277 +1574004612 +537536063 +2054543012 +1383350148 +1256544806 +581029735 +1332253168 +1273504205 +1756358862 +1140099528 +1537672109 +318209297 +1889166044 +803368330 +1404507022 +47095637 +312259595 +1427155227 +2102105679 +1325114689 +1040607166 +709466404 +664287978 +795443639 +484455842 +585456711 +2043156989 +977978183 +1020806895 +78697600 +1880892460 +447327859 +616233663 +1787951824 +1830678007 +1872778469 +221497911 +1015447527 +998799026 +1977856773 +8063408 +388987488 +148582422 +1897229452 +1192355818 +1553089445 +1944325089 +1504615413 +832761024 +1898947120 +682246455 +1873368190 +460929876 +1346534433 +521328181 +945385719 +1931991144 +417001523 +1923363902 +805314391 +495699123 +1656772714 +1252642250 +1111932786 +1297240890 +935836610 +837227607 +1518738801 +1951284137 +1836026633 +1349111926 +1959347545 +77530473 +1497694348 +1709093349 +1269886292 +903300145 +1505934790 +627018057 +1736061170 +1257398263 +1309264512 +1461945712 +1718328139 +508315298 +1983273894 +516230210 +292822794 +252791769 +292110464 +1098137186 +748490892 +1948883178 +203295788 +1860423678 +1098640420 +1139132398 +550167637 +469895573 +942932888 +238710622 +1819007499 +754796785 +316241096 +1169218200 +316406487 +1586127388 +2072518345 +1822341277 +65661797 +1661095867 +932255892 +1374926310 +975557932 +503100384 +1883241608 +811348178 +1019330594 +28580754 +1064139947 +1311441059 +1126717940 +1812630839 +1112840589 +1330013729 +1525570869 +63997362 +321662479 +2075738506 +533892935 +1264595367 +166965480 +205416787 +2019392153 +483206576 +1374634987 +188314992 +2069333964 +1299669684 +2010656269 +2134995762 +813281904 +795428514 +1362438424 +1788839836 +1298528898 +1098196384 +452704366 +170375844 +1126777138 +1516844313 +1481816903 +106011431 +1181991504 +447173845 +1436025160 +560078725 +511171207 +1757687639 +488333583 +1045064142 +874799359 +655299063 +1250480929 +746707864 +1138505640 +477632268 +935022856 +1060355956 +1777301953 +798195477 +1047868070 +443100209 +1593623991 +262822846 +84456397 +744669241 +1361019230 +537160763 +915045086 +340312721 +2054005076 +249378341 +446324152 +1088512932 +696552186 +1882349312 +1648591657 +1207723393 +1492553303 +2136925240 +105303888 +219869014 +644740655 +1355784817 +966576878 +1783246295 +1833417086 +1901599734 +696118604 +1463235391 +552311564 +1743986674 +1906335600 +2145935555 +2006809521 +1990791997 +743121149 +1220345103 +380469112 +1658166235 +1560657824 +286990540 +1907544576 +2006981976 +1375503472 +456613115 +1741847640 +876611481 +1664336508 +1086917296 +866053073 +1769640396 +1306786310 +1510793728 +977941566 +125879541 +1146556376 +663875004 +2027479275 +1842674980 +2127110395 +432307191 +1439178006 +1885962347 +430759099 +1298503879 +1729270696 +1173880248 +371365335 +2109739808 +684562835 +1932023159 +249246700 +444623763 +1791521488 +1624750172 +901236878 +1385885480 +353878005 +418089739 +325319128 +1219931078 +40246487 +1632105439 +583241158 +1018188053 +1757984980 +1729797534 +1682063057 +1637980607 +1424988866 +1661689804 +2070287799 +716683225 +1400168503 +353563250 +2015187104 +981955551 +1527443498 +239068791 +944211711 +64522685 +23608303 +1193458411 +509146448 +1815129791 +670724935 +1410383327 +1053531623 +1024602940 +1828473066 +1378850752 +97050370 +1868719553 +863472543 +680291529 +739423959 +473973875 +262605415 +274003368 +2111954482 +1687594282 +1935693173 +2034758633 +256793859 +1188378028 +240838235 +124497315 +22849932 +1768281733 +363566107 +967061643 +1832804418 +387174410 +13036407 +194467219 +54820553 +683761342 +1604850546 +1108352176 +1708364283 +1285839964 +339719280 +1805414653 +1007075869 +1203191823 +338222534 +1746499828 +1677165698 +600827950 +2020503197 +1641636533 +140938584 +1808712722 +1528911518 +397732443 +849607102 +1769749754 +522229758 +872457034 +1390547839 +885795865 +1839518678 +1075868610 +1272970275 +1852555085 +1270335829 +1327790828 +388832779 +727702727 +288659357 +2097197062 +2013542691 +628378637 +1755128068 +873134912 +1831570461 +2093350602 +472151093 +1361252511 +546694904 +345170642 +855405396 +687633488 +6399716 +236833267 +1085365931 +856006818 +2006583021 +1607595690 +1728463853 +1249647212 +345907907 +1420498883 +178032174 +1618878183 +1125570320 +1448368003 +799185363 +1514403099 +28587082 +1087844720 +1464116514 +2042129773 +1716223358 +1071760934 +767781038 +1400310171 +1017627888 +1239932131 +614079034 +1564322793 +1585102773 +1469484431 +104472633 +1591502489 +1706317698 +1189838565 +300025659 +1565417071 +649950607 +2028489512 +667580635 +995858514 +1301504747 +845612810 +467253049 +279591419 +146497165 +1266438413 +1793994519 +175084248 +206799485 +1110627385 +69730373 +1923022843 +34904671 +837511411 +1175849366 +1052532559 +2077443542 +1789928401 +469371704 +1515062667 +1111929184 +573844338 +959081508 +670763234 +1763682903 +1259107168 +88696657 +266149862 +1140113032 +756277292 +1262008376 +294134132 +1601890102 +1729261426 +573725551 +1748387268 +848216191 +220236422 +1923471516 +1055015676 +1330863807 +1993201889 +830554872 +1365768478 +683229653 +2006404238 +270817390 +613189547 +1648848991 +740189094 +2128252215 +613294527 +1314033432 +939850075 +1284057761 +930232687 +51473595 +1372754418 +1196382549 +1191586628 +2129031711 +310907278 +1485720760 +1583438165 +2040168704 +2059446311 +1184341785 +740901247 +132199086 +960329653 +1795916923 +1463062893 +806047895 +478988147 +681347724 +1489277548 +337908738 +952165114 +2102467095 +1986757729 +1692354208 +2083235662 +452568609 +858903993 +875602090 +1736626370 +1789136680 +927075685 +961897141 +838035582 +2118662313 +943445204 +1148942860 +1456899425 +379399721 +1041627916 +1368862089 +1563741507 +1782529163 +1501061175 +376587512 +1430962438 +816640420 +1182635407 +1909950586 +1497988144 +524429307 +100375676 +302669610 +479412755 +2087133405 +1995023819 +415164769 +392218366 +706444164 +1290766859 +2128844737 +348097196 +70358897 +943258230 +1186132778 +41537562 +1886703434 +187591990 +1498436988 +118619507 +1229219906 +719815429 +1682361014 +864265421 +73392956 +2058948527 +147744212 +890033376 +1094100286 +2057694798 +240537873 +1618529594 +10586826 +543207483 +2097942349 +2097720231 +390747654 +365623470 +342454950 +1097191818 +1656390330 +323816039 +1445289015 +1726749227 +1267074269 +483938145 +1768286789 +1006294055 +671530136 +1119240129 +1124913562 +1900750042 +1839055558 +659790929 +617531816 +1912448514 +571255808 +765276028 +654998243 +1665356094 +675487178 +895536116 +1136402040 +686074004 +1438743599 +1086860741 +636310587 +1829491254 +1452484212 +978765537 +779199424 +961390894 +1302581576 +77004791 +540656473 +422172197 +560942937 +161459614 +1428466252 +1232473073 +1280699744 +405896167 +985739467 +972271654 +1065687096 +1603271283 +737236521 +1636942904 +221063663 +1392234764 +1154815350 +896550841 +140287232 +143733743 +1582624845 +1579030831 +1230594484 +71451785 +1261038437 +535595048 +1050217322 +2040237862 +1496985942 +205315251 +2117242653 +2037642415 +627487448 +530701942 +51618382 +2055953701 +1763175015 +1332318126 +314366220 +601430835 +157106132 +1380053316 +57218470 +894342653 +869512572 +278282134 +139093769 +2024327922 +1174832975 +279381001 +20578017 +609974173 +1858411833 +1251172502 +681425958 +971966622 +1786767550 +1731643280 +864720836 +1136269845 +1936958531 +834479842 +1026428612 +416962332 +1365181784 +1078046994 +325432385 +980873152 +262881472 +639798605 +1582303987 +419987605 +2019851921 +1639522457 +1314330258 +741880845 +1917804591 +1453424028 +618725119 +945153919 +1732805029 +639303137 +1555128092 +1443733214 +1890475639 +89070402 +268216189 +1529759541 +1820713682 +1132937025 +518545738 +1610188566 +1967416867 +1544974351 +2027150898 +1185115004 +475537697 +205099635 +18504508 +738419170 +844898240 +1600808495 +1158406775 +717266513 +1092847304 +325253385 +1459147358 +863168248 +1778677413 +2077872477 +1808322167 +1363998795 +569691966 +1215966611 +660248361 +312683957 +1305037013 +928464550 +1842443499 +978267047 +2061401576 +213505589 +440971965 +1881334795 +1758479940 +320639215 +918966151 +86533990 +525738850 +937470659 +824953160 +1370637090 +390795506 +1983359935 +2087903603 +1483642811 +161129672 +1399567313 +199327411 +1939807086 +1329956143 +2007649578 +1156322233 +1899648109 +1076132541 +1816570594 +64848419 +233685906 +597551497 +1907291918 +1211952953 +511469425 +2120797507 +1652924919 +245320572 +1731793800 +1973564134 +1164286724 +1818327790 +351819337 +2101757383 +495797302 +1722456427 +345069242 +331673589 +1662876383 +1828712053 +492803261 +914960048 +2028039464 +285126699 +97432543 +1888205394 +1441448932 +1997080653 +816854287 +1110535879 +2061929072 +1050540193 +1708087376 +1821737342 +115009498 +72073153 +1795051201 +1767934417 +317393725 +1379361353 +1594014904 +1481680449 +1050205495 +1945834241 +1435954185 +1546002797 +1520807020 +1781023427 +1877676386 +1036199755 +1462251832 +222996000 +1951159804 +1342807648 +508122699 +2048592347 +1083529394 +1949571632 +1898189352 +1900383681 +912623863 +1812634776 +803440226 +473227591 +1486888470 +918449724 +545300744 +1134456024 +538900494 +862694469 +366333729 +2132915398 +196891271 +1416539225 +1931265991 +1632845456 +815058374 +1304589363 +1266385235 +545251113 +193305471 +581153419 +768247113 +2144465275 +1923961067 +1276369812 +2045573974 +860006813 +1078457796 +1796279679 +612906846 +1991081659 +1461430807 +1416347072 +316825602 +800835630 +187313148 +862126346 +1935291654 +726213642 +1724820816 +154141735 +711645392 +1921712087 +1570680960 +495427735 +1407073895 +238255687 +1800017099 +525975482 +783506800 +1993322570 +1107128901 +1551753913 +1990304197 +883606320 +680640077 +1888394523 +1743613133 +1759097874 +1537190554 +209036331 +1602695885 +851137714 +1625383403 +1919521488 +1651973344 +1812696551 +634164186 +1439781350 +391426546 +211501354 +1593923085 +1103071938 +2133213441 +1017120398 +1598499674 +1392803688 +1255376085 +1251033125 +1918779170 +2038882885 +1096872047 +878424423 +1443153150 +939692596 +1762030743 +2123793227 +680603471 +1358160228 +1735407453 +70310378 +1567196559 +1190619691 +921448092 +1045096314 +962657531 +425937788 +710309218 +1596821717 +1865719138 +1101735764 +1808323072 +1312158575 +57324054 +1794052865 +181795325 +1655823728 +1039372906 +1437171410 +759373205 +810668428 +1328570647 +1856245252 +1689092852 +624240149 +648454200 +1303639947 +600549729 +1329057672 +514316528 +188473534 +1399368050 +2081513087 +1379093225 +173332494 +979125754 +194267108 +599270282 +1689434972 +1791088826 +317505772 +643687088 +1451928250 +1629664347 +701011142 +1098497467 +1811459673 +209351223 +2137870373 +1101147435 +968724428 +801055154 +282234435 +677486033 +342664358 +906474584 +1325940233 +1646304305 +1507024313 +507514257 +13137185 +1695497848 +1906882307 +2094650273 +927107425 +2080214801 +926292379 +1121374534 +532001435 +468243703 +764979712 +849507207 +1111930791 +69424314 +331687907 +1812941933 +1167921781 +2143147580 +2022293156 +1158308507 +1096811367 +843533937 +1959363661 +1379045802 +1521019970 +154544371 +138036739 +699476555 +1800848676 +1645061052 +1206990813 +1813985862 +1193075252 +966389472 +1761152487 +2120182678 +899120626 +539961218 +1094073564 +1431122061 +1008204921 +1859053276 +133145621 +2120135712 +1928477590 +464833528 +1785593997 +948915723 +460497460 +1660403506 +2107224230 +1557308827 +356453795 +1919104243 +788870982 +1877473765 +2073648614 +926907721 +429466672 +1727013643 +424485125 +1636457485 +1393515857 +1617560378 +455363310 +1007184696 +1590259408 +1354483936 +1547145914 +536849324 +638122349 +407867187 +248418952 +771267970 +380519251 +29412894 +1236101498 +18629600 +978328617 +1696598958 +1679033106 +938069200 +1106424138 +2035486901 +709689795 +1895295120 +1765477018 +635854762 +674719193 +47460043 +215384757 +1099204318 +1683917528 +1608900614 +569281048 +2139280838 +468601662 +12056808 +1346281126 +2015747576 +548906132 +1984403476 +276131115 +797325084 +608187798 +656650366 +826737978 +1844289297 +675279966 +1805066596 +1393404607 +206829425 +595652148 +352345097 +94832678 +1305341943 +100156569 +1860309697 +1941196705 +774875762 +1907769740 +9097814 +1874080081 +1444203620 +1617998428 +295877481 +1436000811 +2086600090 +307934290 +634798289 +1954864018 +856840422 +471718117 +83511485 +1654165507 +1079905916 +740161851 +333419837 +776711565 +1415441818 +2138486433 +22632524 +1622271243 +586654933 +374977622 +1717103921 +1891996877 +475134191 +1429929970 +1685709934 +1250009954 +1190216062 +1694807749 +976606387 +486936035 +1165322529 +1272483868 +1922936846 +1104438972 +1580418158 +410251487 +911819342 +289774933 +881969605 +995330828 +1943940440 +1961875521 +1735492679 +129876629 +591103438 +1003450849 +120879415 +613735962 +478238444 +707534348 +988713584 +47858718 +452047577 +1463847776 +1477788688 +2137757512 +566374082 +520521103 +1685081613 +1542980469 +1007457138 +702920494 +667980689 +782910336 +1807359466 +100915200 +1193161823 +571695161 +390690133 +2075131428 +1567025989 +187146925 +1889523301 +1155035020 +317023554 +333143091 +11002222 +437902969 +946879054 +489240666 +1145437318 +1935592638 +537099384 +1597484895 +1251956766 +2014888073 +1587758759 +1818330848 +387925528 +1125356724 +1213827669 +1395382666 +1828277219 +1881808359 +30809354 +1488153037 +1982723559 +1223971177 +2059848198 +225930044 +1151618958 +1479390539 +413076969 +893658611 +486941912 +730100523 +1226801703 +497944134 +1168003493 +26197109 +987184800 +165957163 +1961789747 +1524284185 +1763442058 +1066262866 +1391688610 +1203717170 +737110066 +1779614138 +181590246 +1950937736 +1027513156 +2009867465 +1685262447 +1058322510 +1350536855 +1520502358 +134810039 +1262901405 +1746432402 +1286428997 +594808297 +12025723 +32603961 +1081750209 +742126246 +1259405664 +1579694343 +1910129739 +1285602773 +419395495 +2076086902 +1099908872 +1943679680 +1692045313 +18688090 +1187884642 +748278835 +755798157 +820015132 +929869081 +559252245 +1847528288 +792252899 +97031044 +758367150 +2142789754 +1617533402 +893177190 +1258207511 +1216482156 +32122539 +1853015808 +1228507879 +64726500 +787282369 +1970634125 +1324132164 +219493064 +1733280217 +462251289 +638888560 +1661883471 +1562160162 +435084592 +1206445136 +1580848252 +1622969235 +1954723971 +189162761 +295500719 +737109405 +748415006 +2143029008 +1529362304 +845446050 +753912510 +1524668410 +315495804 +1647089700 +635392273 +1531977960 +1679212240 +340924434 +613002191 +1743938740 +1128206803 +436152669 +920587257 +1347699868 +21949238 +1382838546 +1986588428 +1683832709 +797515060 +274189372 +742794198 +230879665 +1897158607 +550034521 +420042426 +45175679 +1287143926 +1168457433 +40721039 +669022582 +2013903483 +794633549 +46207344 +181915640 +294239602 +681599618 +1713893600 +1973451842 +1022524052 +179412144 +1569906934 +3247207 +615564813 +343010543 +1350947075 +637514051 +1725849090 +1190051855 +173863112 +375880502 +1464241228 +916657310 +606760167 +1213916187 +1466691832 +1026802594 +1259091866 +606352110 +47776379 +1299812905 +1275374693 +2061679862 +2094446455 +1321582037 +96111854 +241202409 +2003181655 +1810005455 +67170603 +878222059 +1989417599 +1637077537 +881469267 +457498764 +1980088081 +84932694 +1095012815 +1558453523 +1274984550 +1268875927 +1934334025 +591742130 +38049590 +393610545 +1805658317 +1504741422 +1420413139 +917266536 +2111093532 +1468189518 +69595793 +1238984577 +1382385732 +16558600 +413082967 +1478497587 +257761009 +268780974 +1141019394 +324931612 +1147003034 +982953345 +1962009150 +2028472301 +1440452109 +1794613583 +2113404995 +387981276 +1205583458 +1240905897 +1656857203 +992433835 +1832648027 +1694906793 +1386044380 +1490822697 +1052164567 +658973871 +260605585 +1015774452 +2127163389 +330201378 +107275381 +1362065474 +346759979 +520358348 +693079413 +604520988 +789139323 +1834098807 +929452601 +1936142357 +669568504 +743978103 +1817131010 +2110020613 +391108038 +1783052357 +350518241 +1596691496 +876474607 +2007375444 +441641683 +561638986 +1554798590 +1827686064 +2052461683 +459479509 +339176287 +165583620 +1475253961 +318856029 +495784999 +1582529343 +1680921503 +842544978 +2102887691 +226517268 +1447065966 +744543366 +2060616075 +229034919 +533202075 +582700931 +973013022 +202849437 +545237896 +1364121060 +1985901795 +895756137 +813328908 +714892754 +755647933 +1254970592 +1276531740 +162962875 +935173008 +1181509776 +622442385 +1274349295 +1347093396 +2097696346 +1593205324 +1842878395 +1532742041 +1126643179 +537939725 +1488146085 +1353160447 +1985005692 +85205803 +1266292874 +66556963 +618407879 +1848993805 +1039569986 +821257316 +246748053 +256207398 +659675463 +1142504190 +1069536307 +1374568217 +1898152124 +177023251 +503616310 +2061114999 +1112196259 +1685126086 +536073736 +239061906 +884735834 +486286435 +1832267231 +580130582 +2019028476 +811426762 +1118070307 +1359690913 +17103562 +955592351 +1444896717 +1283396436 +1022149315 +2063304596 +984906594 +2061719301 +737078264 +1231654647 +170443051 +1396753728 +226675190 +1239979358 +623838297 +2124827314 +1417002609 +1127454607 +2038458665 +381715220 +665097045 +427048754 +620777127 +1549832880 +913335189 +305560710 +2129963462 +784880017 +1116987472 +1100550121 +2144570931 +1134091034 +2056142473 +1441984000 +270003823 +930808140 +1357804948 +1254910417 +845043793 +2094883212 +339081416 +1015486844 +1344153292 +565756606 +107982555 +1967991590 +543100272 +1524985164 +947962549 +434075290 +1906700385 +1613059595 +861124044 +379993864 +1015408827 +1774459233 +685554574 +997888641 +411855602 +1802542046 +2098438762 +408942885 +789149433 +2007097587 +1850926885 +1059153256 +790422079 +1061248185 +166580025 +1635465872 +1008647750 +505661441 +503469069 +205317394 +1071418048 +611451624 +25825336 +1614518320 +2136436788 +973787886 +2048593610 +1895653525 +439363833 +762234006 +128163741 +1454772660 +389209591 +813718315 +305177653 +801065194 +468776714 +256132767 +1210008079 +1257926147 +115746707 +913451317 +169595755 +906168786 +1974699502 +336175780 +394151011 +835863604 +841837221 +897620080 +1041180999 +1913255269 +1509071704 +1067006335 +1380289942 +1498024844 +2040794221 +1281399904 +1246194722 +332674406 +2043633911 +1374358463 +1787447066 +285359854 +40593131 +2092624719 +1086425048 +509369845 +201273839 +148949480 +1767295992 +317020546 +1062400797 +1936891747 +1223189332 +889616651 +125583879 +1617340343 +1725480256 +967421100 +367476775 +619177607 +733192722 +1876548479 +1686183942 +2113482664 +1227089676 +1579494516 +1247398920 +325800750 +1912168922 +1143549183 +1700159213 +1552132341 +1428909038 +1740752344 +1497273412 +367850438 +102638541 +1698547251 +516799918 +1869934533 +2015567797 +1579200715 +1659342632 +1091273482 +321333719 +1784926511 +561130177 +2046813975 +604863964 +928606953 +518507934 +1338056686 +657671784 +57208228 +1304055702 +1884761460 +1636702744 +403970974 +63078562 +1401388019 +1547520158 +1763237776 +806036712 +828945548 +1356506472 +155826476 +1196795986 +1459145014 +1854373728 +1713595905 +1181595899 +1722457877 +1145312972 +693454884 +666247711 +1466646691 +330897747 +1227377889 +1365977018 +935761711 +8501194 +1884484952 +126334749 +666172978 +1941693181 +1430390451 +403450791 +1430912277 +1834361426 +466529353 +684816648 +1234397936 +82283481 +1490853360 +2063343484 +1438789954 +1646679837 +1112655822 +750451320 +1353569917 +678768079 +1932047219 +928544146 +1824081052 +478018455 +1594791858 +1143244095 +808916203 +674686099 +361737466 +1744677914 +683187293 +98738770 +1871012664 +1349360271 +2040431951 +1153919467 +1752811062 +1323860581 +840797245 +71856768 +2008677229 +2075195181 +154140249 +1352046942 +1991055017 +1592930203 +851243131 +956227192 +195897875 +57329400 +1634995271 +2127945095 +985873546 +1311592675 +458479902 +433181756 +307353123 +1267396105 +1107867855 +669090589 +864590372 +1791055148 +767829359 +588119388 +992931772 +660777663 +1742038855 +598259186 +1984638244 +435352453 +670115954 +1845831825 +363063986 +824256204 +1050395119 +206635356 +269702759 +1901638250 +1162862548 +465600635 +1958967650 +650374171 +446062082 +797357549 +1961966847 +904541984 +1230539305 +121836322 +24454442 +190923513 +790926911 +889044814 +1981978661 +1558756270 +1477164202 +827426785 +72050285 +1071719409 +1425685972 +2056688529 +1507071862 +2095801926 +1755036707 +1870135849 +772574482 +657948178 +2076771205 +1042277242 +412102781 +1092150105 +1507877877 +223586783 +1742524276 +1953939959 +1020944332 +1557007475 +710998295 +103999990 +1678843797 +735452737 +294923503 +322287060 +1624497551 +129418516 +1881043331 +954178105 +956845302 +1953093616 +2025897515 +235047626 +1862298498 +1385485729 +183365904 +1469851557 +1108137930 +955940387 +2127799735 +1037425487 +1998217629 +392418868 +2129575592 +1358611858 +616005652 +1724616221 +1165068169 +1636949984 +1134140048 +1876066464 +1740949974 +665500198 +464035554 +2035873477 +987787258 +2088533105 +17808346 +721346941 +895227563 +974653648 +526956910 +773641430 +1209701274 +241771760 +11643511 +1393067178 +1711623317 +1119781442 +201523917 +1691939404 +9723281 +52257898 +2084358273 +2139298874 +1410869756 +552880277 +1716431447 +428454277 +42346613 +703087847 +157037094 +1783296588 +1368588045 +621072648 +1671686417 +208891656 +562122105 +1689494763 +930238597 +1457349668 +516664763 +1457195507 +83507450 +1726366037 +1698967267 +95150962 +971949568 +1263106936 +1214932404 +1173473485 +807562693 +1224655685 +1225731384 +744437318 +1216470911 +489117492 +1297317595 +785418710 +917571770 +1339664208 +1488506558 +1074608864 +975477148 +709610955 +1695681512 +499679918 +918502611 +110319969 +41691033 +1848741209 +1567669638 +558355797 +1158453068 +1651177088 +137238186 +709936688 +1746328050 +1109187754 +1973043624 +813776806 +135177592 +633122669 +2038432492 +1360908976 +1377559987 +1107419755 +1850026468 +527393934 +1892838466 +620114590 +1867058143 +1233861376 +1694723454 +695051643 +1943472331 +1242921318 +1194731561 +714491295 +1353241288 +1236422595 +415748856 +773427278 +1794778392 +1574201924 +277120718 +1932016578 +136654964 +2023448769 +893720685 +2109698589 +689741927 +1028898277 +595337610 +580690771 +242323605 +1972897598 +1688110527 +2092350073 +352807884 +1433465345 +564981016 +72382379 +519843073 +112220822 +767434023 +315831756 +1355142141 +1962165584 +1030323051 +560899781 +1051104531 +1446071907 +1334327059 +698399275 +872790184 +1611447777 +482932206 +1009445148 +1487412898 +1376652891 +971660089 +29671178 +258067520 +1566997700 +610361949 +500391125 +1392411650 +150988828 +445257550 +1745219534 +1584454173 +1010238566 +1817601914 +2104297246 +1122459389 +437552289 +272645355 +330117882 +252234225 +1302968406 +891017663 +1303338757 +601556666 +77861074 +2001738032 +1474346850 +1689308851 +337186590 +336308350 +1029238102 +1713839481 +1307968440 +1058909280 +1971907001 +727482492 +1669271229 +324814478 +2119894142 +1820260058 +770072029 +1717630028 +1257230583 +1780310595 +1387748294 +1214044182 +755286336 +1825300583 +1486689537 +1085404218 +2077534809 +642174295 +1976421881 +1233389918 +1243730961 +2054282955 +1087644302 +570594163 +1596108159 +1424830893 +906902514 +477862613 +991186726 +67387306 +1536771893 +815610080 +794869798 +1058559474 +1140424558 +767280292 +731335884 +1910496587 +337426672 +1988566468 +1543323535 +1725174967 +1055127002 +151126223 +1402991902 +394332891 +1236530442 +1333043063 +1036507186 +1065468675 +418949333 +132754500 +972267983 +1506593636 +703348663 +420892494 +783940881 +1610251177 +898755107 +1775127607 +1677638483 +288043352 +443254039 +325024633 +1346602826 +1583678598 +1092304925 +2077938711 +1346691537 +1429731598 +1919021531 +742531424 +1007422917 +826664885 +893657648 +262931171 +1220997776 +2130188090 +1595974235 +110021314 +1048173117 +2014923568 +242775814 +2020441100 +1374033556 +946124478 +293849946 +10490789 +408892007 +1192605053 +1785618397 +2086530491 +1480648405 +81388788 +264071476 +679767584 +1665067386 +1356376402 +610222647 +864275276 +638624352 +381760530 +1606806700 +1646047269 +1208425415 +352980700 +1908978440 +281939543 +335685142 +1357469027 +391960857 +1383858260 +1224908948 +634736672 +1256815712 +451458856 +1580861150 +1550665659 +461949646 +1989753157 +595787064 +100084395 +1928800000 +2076435470 +181473183 +45387829 +608719406 +1846540570 +1401764231 +1218942053 +563332198 +2040388583 +1600702583 +22655250 +1538952204 +661644350 +375635951 +1300446996 +943583893 +711321093 +510432376 +1335544750 +2095179353 +1735341324 +1970281422 +1204511418 +39316532 +1403658924 +607693429 +501266178 +1245928434 +1203480493 +601350573 +1027244786 +1132432315 +782823757 +1072632615 +1741151721 +481880679 +326913198 +812610126 +1045212877 +219818133 +265829061 +1067868127 +1758770337 +927473411 +1443504078 +911733686 +1871057304 +7341524 +1422166062 +1059118407 +2102520877 +1010023738 +881916181 +1159548647 +1049340270 +138091458 +1767242076 +1550606449 +1384019892 +823238922 +4473374 +263781030 +1955671237 +787297131 +1336413646 +1549339311 +1269177810 +1663326844 +214465789 +166907039 +1883144978 +480294851 +1234775167 +1494431667 +1407768262 +530795597 +258681705 +1131341919 +538137121 +1680847767 +42976678 +493174351 +543387857 +924892859 +1652722998 +1592728128 +1062984317 +1272481427 +995850929 +299520561 +2095720349 +1000324303 +563301592 +1903907938 +1787621435 +1899715238 +1305763601 +909315597 +1415558434 +1520229391 +1076222637 +1151219764 +2000524242 +163514156 +498167784 +1260808856 +694309753 +756849489 +244667127 +1232446875 +290213609 +287643805 +1725621226 +833601466 +1212536665 +1230860576 +278845946 +128037334 +355858355 +1274696875 +427557896 +304095056 +127537531 +990859488 +60519347 +1915158966 +743091078 +1366282948 +676990915 +11165864 +739028691 +1753213552 +1162385629 +592069285 +1916727708 +1660553413 +1852878142 +463553814 +269919254 +2097545269 +1696000689 +560132863 +237705427 +1274138267 +1393734330 +1450242092 +357515195 +1672580276 +1578279426 +713373551 +799793504 +2005837322 +1017468607 +927331035 +849213162 +1077987954 +695006353 +1592304240 +296787255 +1371997268 +1603470105 +1035815946 +977727173 +618372086 +1627885232 +746971233 +131441851 +1333279726 +1210525047 +401361105 +1283341347 +759042088 +961493969 +1521046774 +2033180355 +207744651 +823805218 +243211903 +1880324927 +254600997 +956585454 +532634783 +112954671 +1974054061 +1459965818 +962167834 +904558368 +7488523 +406988426 +1201345623 +1379485792 +2010458531 +89677921 +209729317 +481346969 +1717563153 +956700550 +612788820 +903359231 +19741950 +1014149926 +39216931 +778784038 +1975643895 +1560263705 +664480746 +35904898 +236585276 +907692649 +1916229825 +491186273 +1864278103 +301380961 +604140944 +1690848516 +1761346779 +1566308778 +447923236 +1768835303 +1973297205 +1649268859 +1000837447 +1836272088 +1738946781 +1210566764 +170135410 +1309026286 +19783666 +782924230 +64901870 +39525616 +1797074156 +104118801 +818309655 +1625234403 +1664382506 +1482790401 +1661139301 +1900967782 +242999402 +1429885479 +244670407 +2107277505 +1731266440 +848811352 +1650642373 +1345129571 +267636482 +2098565610 +966481226 +93450039 +1600350821 +1967318673 +1929722128 +1191813954 +1030401789 +2099857538 +353356593 +1050185456 +735298120 +418258463 +1089711072 +384888629 +522377264 +1908020727 +2010123032 +39276122 +1243327480 +1523778686 +1940243905 +1486326882 +806180517 +37430664 +1446120739 +389963309 +886242016 +949279465 +1735092880 +1153878499 +900361427 +554090459 +1247328538 +353228600 +373925484 +1029567018 +1545042555 +1404327274 +981940908 +1898399148 +307029082 +1717239029 +169173963 +1396740154 +2102127658 +691551227 +1157277234 +1964767042 +730827349 +253121066 +1341062080 +523587606 +1739447949 +2147242597 +561018271 +1038085040 +389722258 +1447260287 +1987364505 +2124815139 +453655138 +740242284 +531421950 +1700983677 +1093470885 +905347434 +583067047 +491029792 +162191060 +1565007956 +241945292 +469220142 +1134763337 +411119255 +1865960297 +1089407347 +1102670482 +875753883 +906690741 +1833497831 +1128874949 +100269174 +209601790 +720839250 +100028123 +770620061 +1758924291 +489750382 +70396700 +1598805148 +467081873 +524051839 +191563785 +998503823 +77551868 +1285034670 +1903851257 +660618915 +1776064462 +2066042318 +78143223 +2018009754 +387778812 +1212906560 +281645361 +106255461 +154830259 +1384315843 +982009344 +1061521001 +1070330026 +2110884294 +1161790175 +1279931816 +684239896 +1261818298 +2050551877 +295680539 +1751568680 +2120948578 +1894485688 +71166905 +497516769 +2086049473 +1069670728 +575068637 +1223600495 +826038338 +1235687552 +852181309 +744597008 +1313830776 +722707415 +1132375820 +379253688 +1004352776 +1238631282 +534083948 +241184971 +73156978 +1595604949 +1311514997 +36557624 +609911476 +443963166 +720797521 +1871729774 +347031395 +1016478060 +1475814807 +320496325 +763480100 +1546981712 +818013094 +702045925 +469168793 +1393081731 +1925646420 +1295207131 +481285636 +630344081 +2039804139 +1795116412 +1353051496 +1024696311 +26886452 +209920624 +115843945 +560970400 +451105595 +189000924 +9091701 +1762620593 +225558548 +619003177 +59100111 +946356069 +343249304 +406131506 +1962834130 +1819064111 +726627832 +578830582 +1218562175 +1544640926 +1280876508 +1687730968 +790239010 +1059039280 +835454451 +1271524646 +1689383362 +727774942 +919157410 +894951210 +1752471254 +946043862 +1104871835 +1868315199 +1507014263 +1555977430 +2057316123 +1516105964 +1171114375 +135391024 +2135109142 +1230214486 +1081747093 +330874798 +1636345993 +897097575 +2455261 +215490177 +1475928158 +1221017436 +1760131103 +609321018 +761264757 +402886465 +1668360298 +1596719208 +1674411111 +1210260012 +177010503 +446084873 +2105211223 +1929481757 +1392128736 +1062599410 +1650313308 +751659351 +471093192 +1560145784 +120281667 +1642207568 +1695536808 +107907161 +724938406 +629800253 +438781959 +213800751 +1526897829 +441237220 +429290928 +855342339 +1662254657 +41938384 +1464663357 +276035766 +444824849 +985540007 +1872754974 +2119235961 +48316372 +2049765477 +417837186 +6043947 +1831763586 +1809965922 +1068643357 +1334593247 +414141625 +1539736549 +747255383 +534423293 +1034460469 +295308543 +642330454 +1759398876 +925108796 +1081112414 +1973199627 +304522977 +1522349634 +255006908 +1159865316 +1037120643 +296945292 +477045025 +1313156409 +741770141 +1462585033 +1038427736 +713522454 +1510901405 +940709565 +1131359641 +1516945352 +624989504 +793841915 +438105061 +1959582751 +1207983541 +1977841610 +559354486 +1742406834 +864818432 +854663029 +237253640 +476733660 +1779771825 +1318366054 +302449639 +2084294803 +693232041 +557456547 +1096676471 +1730352684 +854401839 +1573721497 +896025446 +1596171981 +888822882 +1934453182 +162210787 +252240639 +727679099 +1293570428 +1769185991 +1352668603 +2087412344 +59807404 +1164767706 +1147912237 +2037649014 +1724122192 +742835423 +754983798 +431301573 +980089063 +1231717458 +63589751 +150971470 +1534167098 +400906 +844203511 +2091623645 +1097077377 +427072547 +798541837 +523315226 +1323097993 +247230170 +1412138108 +1110067527 +409440957 +1664378747 +1837746627 +1703011386 +1286081090 +1042931582 +1642940082 +1345888494 +60215641 +643368671 +1236053861 +1784337833 +1386204094 +1991037659 +68155759 +218809509 +1075271470 +131745510 +369780979 +461954920 +132146416 +1213984490 +406094917 +1229223793 +1641057038 +1204636754 +1752539020 +816671383 +1451866924 +1017193480 +1926738911 +1861307882 +534088580 +1617001890 +1416835620 +1820169670 +512449824 +912292054 +1018574517 +572665465 +1555660725 +107144730 +209519651 +794381171 +2098182389 +277675410 +1013190680 +1025970211 +409420920 +1382971660 +1487925131 +541567336 +449472502 +1894020049 +1770791129 +2090529540 +951173155 +1375846501 +759717276 +255556432 +245556334 +538972539 +2116864314 +779644914 +8490781 +1386216286 +452330936 +520940605 +151024692 +1470905453 +1093606071 +1706685417 +1578050183 +1303125722 +353582940 +1528748925 +1580801132 +1366773620 +407235488 +1990222052 +602261632 +1895160620 +384305740 +1051734135 +1641697021 +7613221 +994780027 +445386528 +1383459723 +1754497303 +700942960 +1629016057 +145986194 +670323626 +261177323 +154476975 +2056539912 +713508259 +675417581 +60080956 +36930065 +1769023652 +1766766373 +1614980248 +924665726 +2120349313 +996245525 +357983210 +1339639286 +1403481014 +200721614 +1941900918 +1151157986 +585027354 +846151405 +645371359 +592640575 +1840931433 +1090757887 +1976100298 +1447945088 +1791700848 +1457632707 +1593931283 +314540826 +1718810030 +1748408258 +223597091 +284834642 +276342191 +283678047 +321764707 +2045365843 +2050444421 +1936744955 +822547921 +2023310086 +785506833 +1180531131 +1215465724 +41504199 +1381252745 +1009882995 +1192662185 +1966280099 +1856034400 +1838033544 +411437027 +1549482185 +781307783 +240053677 +849943626 +425524983 +1697686385 +296391261 +740065810 +1269012767 +2044799519 +963662901 +1553847409 +173658063 +1247340948 +1875612116 +71540258 +1150301721 +1664873424 +894088180 +1026128160 +302896609 +2074619311 +94110236 +344400808 +1308388409 +1103993231 +1537062993 +1127184860 +812543984 +1227612889 +1538621887 +214542521 +2008920672 +1778675565 +1064486147 +286962008 +1328878302 +1360877408 +1027027818 +450407421 +1258193280 +1990690719 +2004254831 +1431851343 +1090548019 +1732383299 +1503391601 +93366093 +1249773075 +249996133 +1119494253 +1552669684 +177131797 +1213604489 +1897070492 +1485520206 +170114073 +1286649837 +465221418 +982658057 +366779078 +2003843306 +1197200578 +228216103 +1635035223 +114203078 +515178111 +816429877 +1475080486 +1542205929 +1266837298 +585790118 +1385413000 +1123608481 +2017641461 +328477371 +708508133 +1373549415 +421843464 +1958281208 +1623545548 +1541337717 +1363467245 +1800677345 +607458559 +1113054089 +1138713903 +777572632 +252220279 +1603935322 +1760230689 +618999357 +1460294980 +809947619 +847215460 +947846555 +924150697 +1362393571 +1764276432 +251747536 +757115852 +883630082 +837537654 +2142528852 +2007238564 +707695468 +323522576 +568263049 +2081244883 +745366040 +379060609 +1557306783 +139220110 +1742527854 +1210500481 +746678669 +708098296 +201730736 +1524251301 +960318575 +1805666058 +1136998342 +1579317932 +1118477390 +1946945961 +279049745 +2066323945 +723613011 +1641443316 +1683116729 +975360547 +251075521 +419263164 +1812898201 +246120725 +279018080 +373110021 +569643301 +847281129 +306871256 +1315009342 +1226341738 +1864178040 +1454229452 +821385945 +927194873 +53424473 +1529484241 +1128925609 +1577675774 +342319168 +787108020 +567190468 +1921637100 +1905585410 +366652781 +53203197 +1824425708 +1090265792 +1694646514 +1360058789 +2065626339 +1945722035 +1779321953 +1731040893 +44359112 +2058340033 +2104150914 +614002414 +758137514 +263538523 +1929011756 +1984479253 +2127716563 +1235757560 +658381550 +907427788 +1289182033 +40382143 +2036353397 +719374159 +382701311 +675977769 +1286564627 +156854763 +434079532 +1653217408 +210057961 +111021592 +595999553 +1904704475 +1471080381 +514142244 +1702942862 +1102918687 +97699489 +1747301974 +1013775072 +54366756 +213820740 +1771912587 +317905279 +2142832496 +1608908192 +298138194 +1231106408 +119806094 +1205565982 +372804793 +160188237 +1094435731 +1092178952 +542889548 +1770413501 +231259931 +699744311 +57009385 +1884477340 +909802272 +168030977 +332993245 +667023099 +1639111358 +847135489 +222482313 +594546397 +944834979 +1969784288 +1608321470 +999201735 +36121380 +1232750409 +1317107014 +31470229 +694174953 +1615245208 +1262576637 +813981047 +673327542 +1635381431 +974169284 +1767763273 +580076735 +1517058832 +1390693126 +811336667 +69319495 +1447702511 +548330359 +979121768 +1615733488 +881323604 +1646144867 +1107361199 +1728459093 +1868627181 +1701907596 +525810424 +1690927821 +1162745418 +1525012159 +1727049201 +248012179 +694635525 +1758519430 +942187132 +162397085 +873612420 +1756168179 +835724627 +361510203 +582853815 +456004253 +941586938 +2099912647 +1846697379 +1752923605 +21748495 +1146916243 +153770316 +1000870263 +615166083 +1035093920 +499531482 +1722527282 +616069366 +220675015 +1276951231 +1141879790 +1911602836 +292213001 +519408302 +1491168390 +540225181 +1214043827 +1102204172 +1482412313 +1376440913 +1975816592 +1091096845 +64681892 +189843147 +1673950660 +520686145 +1131430086 +1626379660 +219899877 +736870043 +1648128155 +1366816120 +890640360 +501514770 +1981982203 +1925734280 +1001046252 +1557025838 +394319998 +1221721268 +686493421 +1536199789 +985840456 +978706422 +2055608091 +329525198 +1518931603 +1122168270 +1431729371 +853860269 +351125535 +1260062315 +1944957114 +415807428 +1449905463 +1471424126 +936493573 +433851901 +950320138 +1156393450 +1170721944 +450964645 +375725922 +2061362304 +952479415 +210224478 +1839612937 +1953525668 +1767250316 +86449287 +1027763288 +306260089 +1622649076 +2013603744 +1284966511 +1530773519 +195645295 +656414467 +505458142 +1627374666 +1510274736 +856583677 +739953333 +1307748202 +1272391105 +42375148 +631688680 +61401031 +476227049 +1582008819 +1217794481 +1646948994 +2032973464 +1593520404 +1560827650 +837969232 +1803744882 +1252956939 +644011252 +1423511550 +1339406227 +1671774540 +1729771639 +814571655 +1537894636 +867254502 +197861527 +1733539931 +1523668969 +703319669 +1213430949 +886460057 +1559903346 +1953384283 +46724611 +684810804 +1995759431 +678413292 +746211835 +324502833 +112938463 +1964006316 +1971451827 +2145911927 +1410043072 +1384795829 +836397511 +1066304306 +490269121 +1480408763 +342332208 +1829675348 +1004699655 +2072103847 +496763355 +395110644 +791874702 +694624882 +2128650575 +168060023 +1397944551 +1194597877 +1054520081 +810364250 +1000498512 +1101244692 +1495175054 +848774295 +1779657984 +93903241 +1173277128 +1892596447 +2057909557 +997245307 +1891024727 +1320468982 +234557489 +579938590 +239289640 +724826610 +2060347354 +581621849 +407018310 +917563361 +506242048 +903781665 +1312674005 +1298116750 +1598406548 +1293840933 +1466176774 +848867451 +340955162 +373213207 +1659231701 +1341453674 +1474457899 +1006923107 +42744321 +1106632236 +1100826348 +1216021450 +851745035 +1011252258 +65783109 +595286114 +184237592 +300340598 +1175224705 +423527232 +1025167208 +1088088411 +1005149081 +1432185518 +2005651772 +1511391130 +188483536 +1170842130 +662024232 +1786890084 +317199415 +2128201006 +488273887 +658154577 +353930565 +21941 +1999608251 +1828388465 +1006945048 +2042352572 +787537053 +2107771397 +1110890374 +1639282088 +971540007 +1176673484 +87084555 +1155777599 +1477014082 +1262309260 +1579304831 +354697643 +202914023 +436970265 +1786883161 +61082147 +1948361395 +1975366697 +1231924277 +462901979 +1614773133 +1549123692 +443619338 +2103047021 +59794621 +797549903 +2103068962 +2059402872 +478454720 +962530362 +1954271797 +1265991773 +922818111 +917678523 +757790214 +1894358118 +2094352007 +844874769 +902652069 +1423882442 +2107184029 +334473253 +1778580085 +162614404 +771443518 +1417979598 +223696551 +572321265 +1245862648 +1455620829 +1035223244 +713152133 +857260873 +1478842582 +668715506 +917055495 +128908838 +624300820 +828974719 +607363558 +1586831183 +635762868 +1873355332 +362165646 +1553441392 +483661898 +109040117 +1500309751 +1328536667 +1011692186 +776708545 +1288237048 +1346165439 +407804982 +1450851452 +2117608957 +1825784581 +1674548003 +542446574 +924163581 +982685184 +1577669819 +1637315714 +1839946058 +909028753 +158547573 +609517905 +1037937591 +782848393 +1438492624 +1645301150 +222195928 +2074255493 +1371172834 +584361575 +1480213237 +1854834732 +693401692 +833039340 +1035887751 +1705093878 +1609747886 +176641151 +903775670 +2017552868 +1627492603 +873900979 +1695853801 +1154556958 +1416347554 +472533734 +2137242143 +846533725 +2109849449 +1829704553 +1755562478 +120913374 +291738810 +646016422 +903761767 +1730231434 +143833924 +1125957696 +1657003279 +1515006758 +1710319271 +989732868 +1222357842 +256237315 +1822772209 +110761945 +1961331193 +1285036447 +287403096 +717623215 +1155105667 +1914895699 +1591524195 +703475821 +921969009 +860388101 +1176009555 +911727504 +1706921826 +1138375356 +593948409 +1315000656 +1259288730 +885687219 +1961017078 +15566850 +468435006 +2104851002 +1141524546 +2125438285 +1472374112 +704360169 +967687506 +547248306 +960597484 +642976067 +658010251 +774445029 +1928012514 +945413347 +1492068245 +935634533 +712825398 +936108792 +1639110354 +1634794408 +1796496893 +667636262 +399038264 +1355935071 +1806011618 +992986674 +523452079 +917816701 +1878673893 +336985510 +933383551 +199625251 +294352864 +2074908097 +177579889 +1766726977 +631784618 +1145267395 +166491635 +1592382102 +1788243462 +824501887 +219343483 +1568772328 +1769915234 +1711411728 +356923213 +335256985 +500036872 +1996033568 +1970051393 +149050117 +516186182 +221606009 +1504985188 +174714152 +1214592683 +2028437268 +1092530853 +945782929 +217939130 +2025914404 +1145408180 +512291994 +1953338853 +1322988069 +131535323 +437639823 +320771816 +298026959 +2030021925 +2109015278 +1122528846 +101881761 +1530303958 +744960432 +1813293489 +1887227172 +1080217417 +165846714 +1735777092 +902785162 +314896831 +104479626 +1124391172 +1819882020 +279193778 +191500207 +1700835640 +1371724632 +1137283136 +1918774770 +1250155388 +135207669 +283583116 +1056010594 +1458195738 +415118440 +1493650417 +1778967555 +713145399 +1376188695 +1740499185 +1835674245 +1478070456 +1123319496 +433151029 +1143880297 +863063020 +1513368447 +1309727011 +451356464 +268669961 +1624623843 +555836090 +1393061133 +1297022215 +835029868 +1584561341 +850374207 +59270852 +574360829 +621665329 +1309426241 +709568498 +905248445 +217953187 +20280589 +1320366885 +1711603604 +1799248144 +2033512284 +940308651 +1392263681 +1721702881 +270895459 +368099529 +7370263 +1414775757 +1231162549 +1520738710 +577019120 +1682519013 +1789408671 +54159315 +90871455 +1034986157 +1351181530 +925901324 +472063850 +54072089 +985172176 +1046424679 +675737418 +147114769 +1755993178 +1580985864 +365067956 +1776273767 +753869101 +2076671561 +1428038263 +639897738 +869496564 +672818296 +214116971 +1140392024 +1040917826 +221487234 +407684133 +124596727 +1742225944 +984703253 +1807115741 +1384150968 +1038862569 +1897987196 +271653477 +242560451 +676404872 +743717327 +296632541 +1661577049 +1790142006 +972369959 +1808691818 +1398651536 +405872175 +26276127 +1027441655 +1159741277 +2102947688 +307996270 +1799639015 +824960604 +980814567 +2013755986 +1965352628 +2021732393 +87759573 +225553113 +2146329120 +1829985517 +1210256367 +1805961213 +1066652837 +101635288 +1556464762 +1338306314 +344195739 +85385986 +2082023641 +640828280 +1746963035 +1724682000 +1613198240 +1408171206 +975849888 +2019070415 +1434447333 +2003291544 +1031328044 +1389911373 +163804166 +683483411 +67388329 +1144618733 +549755750 +2032740958 +1018867478 +637515323 +110810423 +1017712951 +320017192 +1321066790 +676190516 +1386670030 +1422702078 +85171630 +577492696 +1766897818 +170557617 +512032690 +260242450 +1917520652 +89231042 +1873440690 +1178208210 +1065080930 +1745027458 +465171895 +920888826 +628871854 +1855083268 +1084692993 +1312355266 +1922471598 +81828078 +1862111016 +1807728908 +1100695557 +352142691 +1918539331 +2118408508 +672159883 +1092122474 +647115376 +2058829913 +367340904 +732287007 +488838962 +2134238722 +902844624 +1000871652 +246997525 +672881628 +1090102694 +2120438215 +1851089839 +7699976 +1717982025 +168778086 +928588803 +199370232 +2023861355 +2013281796 +1511725498 +1798849305 +2095109874 +1226352866 +1459094565 +1048321783 +1578495557 +1230150248 +1019246643 +103171792 +174789074 +1666362020 +14518058 +542129979 +251165379 +503357020 +528885053 +1154010003 +1504228672 +775882578 +1826891631 +446847718 +748837146 +1530497822 +454547694 +319335523 +1699275909 +1383136497 +518705755 +1575653616 +1248934645 +2030431253 +1227019273 +1196560872 +1109300471 +538630190 +97399007 +540312380 +1768780438 +1116645651 +643484173 +1943569513 +635524023 +658002231 +338215844 +886689402 +1161359251 +867100897 +2040699405 +518104275 +1642983476 +1720107388 +964951993 +244336974 +1103121563 +1419499687 +563672497 +654913824 +655152537 +1082378253 +83083792 +1904087182 +965325858 +1310103065 +953164406 +2074626330 +1848733255 +1050563414 +467455062 +1470030045 +19725417 +1110939235 +1266115910 +655249440 +1768941466 +1604331754 +1541938842 +782817069 +323949004 +1435154599 +1300921344 +1966932480 +1007778339 +118389689 +63785806 +2110899902 +1537889377 +627458303 +618330078 +45558266 +1709836556 +701413870 +1949645448 +527678767 +2011516935 +755326207 +454821449 +1712766542 +1805889621 +922276511 +1035312940 +1825615038 +2033215747 +153945202 +333380830 +1654673565 +1758276957 +1875319672 +290006987 +2082225961 +1162990623 +1590928331 +1901674793 +23285314 +1709318021 +1965460599 +2134185217 +1099723750 +445435254 +605031647 +1145282016 +7788163 +1306445518 +947443816 +535466930 +1170478805 +1702770023 +990288379 +735761700 +1361175996 +1912564890 +1771074640 +1039307386 +1798296989 +1925019842 +1372688216 +1305486907 +1535813151 +1100524240 +1595493894 +1470555464 +116031215 +1038938577 +1224746609 +139316530 +600772950 +1042723560 +126018099 +1700496700 +1488158815 +731049746 +698295068 +1495946978 +2037495264 +1645738885 +2031413908 +1060490422 +1201025260 +874218639 +1796252122 +414717609 +639299881 +1419843114 +1454024995 +290113223 +1197379308 +679229564 +1595600130 +585708812 +1779753804 +1043610376 +2056264276 +1895785020 +2082548953 +1133527238 +2035101550 +535838256 +28767150 +13636001 +88851308 +1516925965 +744685747 +787146377 +865389295 +634697364 +285401614 +749319555 +1695187786 +1486426874 +1623538194 +1343956260 +1901144483 +115354428 +616315726 +1207685831 +405467651 +1813695034 +1886915395 +2001067781 +251920198 +1519185551 +897194509 +160700827 +1267486923 +832259814 +1294228065 +1155104825 +1368098070 +1322995215 +1168740826 +1456949379 +692437533 +1913426574 +96612108 +1557826828 +400640290 +382013722 +159662736 +2095828076 +1868440596 +1783200930 +1292300688 +1622101432 +1898555358 +1908616414 +682303615 +156539361 +1574827800 +421735362 +10123494 +1826747999 +1940920913 +907318003 +1987448826 +1060924189 +1739577818 +1134193243 +68545366 +960192240 +309704810 +1237286193 +269657971 +1002142343 +1003229119 +366270079 +412485524 +1403869409 +748283801 +572148260 +1352213837 +469240750 +207865542 +497030877 +2091342182 +2106420901 +258163643 +626162149 +115476614 +1832991443 +1047897511 +125600109 +1512255794 +841334776 +1032918112 +1352220972 +1902258965 +625012282 +338930567 +1970804332 +1585204523 +648635378 +1060606877 +1854862494 +1650777721 +2063835996 +73648926 +2063263245 +1320221757 +821932727 +487927857 +524951946 +1291173477 +695793400 +1021982823 +1235032011 +654730653 +1280146466 +1861194160 +770207267 +965654261 +761608023 +895807376 +330426408 +1602942800 +1928725489 +1682647380 +1357718117 +406254123 +2021577948 +1181038801 +1991458646 +522729678 +94162030 +1698837493 +26023751 +10514378 +1772486419 +2089286997 +1330736135 +446935498 +429731206 +1855688081 +1738108976 +1125524606 +730187256 +825657339 +1780255259 +2010333722 +539367852 +402978879 +828504336 +1300975875 +1298786255 +1158930744 +756435027 +1080028096 +694094476 +2114153145 +1486282220 +568188776 +1147708298 +1330257218 +1090918454 +1241870329 +881611063 +1116942206 +1252384707 +506613834 +1058745555 +435637195 +953549333 +1488476761 +143841628 +544174661 +466517720 +874028885 +1369832000 +99289331 +736878959 +1909199852 +502268210 +1565383295 +1062692080 +1801054466 +576830391 +1819127107 +733598914 +1270924868 +1785796604 +72397486 +1839113644 +786021255 +1402654705 +782548451 +2027891584 +136782120 +1899490657 +1132792643 +643395955 +810752564 +1568429838 +1596945288 +151745677 +1712271467 +2141119949 +618263397 +438816704 +1363468301 +717552729 +1175695663 +1125184506 +1219820939 +593595311 +40392938 +873391757 +1170425702 +1859520045 +1606990672 +293866922 +1497833002 +1679388158 +2132980567 +136370609 +934559215 +768045370 +16778545 +1071341336 +520052379 +1149571188 +1714737291 +1330804943 +570517379 +1164198931 +1482550620 +135305198 +1157835232 +2100814018 +574121902 +373819885 +670883099 +1749817565 +1499004391 +1890704038 +195929228 +1539397329 +616612148 +1366354931 +1251433727 +76119172 +1660221853 +601783081 +1755507330 +1645718772 +738153690 +542582898 +266280494 +754932235 +1613924234 +786332873 +1904503423 +1181177877 +2117137816 +327537154 +197893160 +1452204789 +462842352 +1355728392 +1405535159 +1036964254 +1729548277 +2076418258 +639298172 +1081069021 +1819638648 +835227400 +472982702 +288767148 +54098683 +1724416429 +364886320 +1714320537 +178715862 +2120393651 +1212555661 +916869552 +515492901 +1478836156 +1671801787 +2129417135 +117685381 +1428821563 +1163111364 +87339550 +1756358717 +1361004524 +1539544339 +71717422 +569249268 +797595850 +1108681676 +151313897 +726530460 +1747979848 +1232382918 +398685460 +435723601 +1705365621 +687452609 +489822284 +1282298402 +1052338929 +56659173 +1461014265 +1025248932 +1269214835 +230400169 +1540741833 +600567343 +1902201957 +1522675320 +718252724 +1183539872 +538303036 +805592274 +792414941 +1899307560 +197652965 +864132363 +321073180 +995248815 +1972814040 +472387078 +1721779275 +1573310240 +1704769996 +2120464736 +2009033841 +1262651969 +660433697 +351372478 +397466724 +1712772626 +408031651 +1858480989 +590537911 +1677246486 +2088881158 +2131279744 +130330181 +1843599467 +1506471417 +848582906 +879655691 +2044774453 +1654175180 +1672070633 +1796598366 +1851828146 +388719348 +2117671546 +699593313 +214049740 +442574976 +273888941 +1787359981 +2147344973 +246870029 +1648910174 +1262513294 +907303726 +2000282652 +1659980018 +472592704 +260830656 +1370977359 +1063130615 +1938077142 +1312374870 +1046926712 +2068407324 +1008490689 +405914481 +769506582 +1888146381 +303205286 +276198114 +1412733366 +2099803652 +2128026260 +1801452714 +2069991551 +680135926 +2015502455 +365082879 +954024867 +1655378788 +364944204 +1200894896 +1156805314 +1627457499 +2108198622 +1009604319 +1139953869 +433307678 +1270434975 +363447581 +1496438294 +1061028469 +1675822451 +395881358 +981952145 +536829492 +801795839 +1751458727 +277492225 +1105001125 +2027656842 +1690225591 +1057321130 +2008199454 +1344194658 +979829033 +540851732 +1212213465 +1344911912 +1494876599 +720108605 +1709856117 +548287847 +1876913919 +1189829968 +509002821 +739034590 +182300189 +942310500 +2009469565 +545747770 +291265146 +923014387 +74086573 +687146504 +1904966532 +610916066 +1488942343 +1508941612 +888408291 +446459820 +1389114806 +431150235 +1503780950 +1249830612 +1775344893 +336126335 +1790682345 +840074710 +1681038248 +1138075296 +1560183315 +1243410717 +1686363144 +1289613586 +285757037 +47882317 +2028648177 +468057226 +990192817 +1890634094 +1013804997 +1281457963 +666164833 +1087891570 +1968604467 +423647718 +1698807636 +1310063162 +1932589330 +439732280 +1756522983 +1174220488 +870882515 +1112820285 +276567452 +498743760 +1448946621 +2067249797 +1338818470 +982501221 +1057841446 +751518137 +78428290 +596720942 +2041131723 +364185327 +644603259 +1922296252 +832242553 +1634796077 +1665446699 +1846047550 +768770392 +184127884 +786455473 +589891212 +607775602 +337779461 +1899954374 +392881284 +777511741 +1508993709 +1567101772 +1648394256 +474330347 +1843669225 +2147138016 +1923276968 +1763435374 +1338472838 +758294541 +673793172 +2089990975 +836722831 +1270514114 +1983639051 +1200908158 +1915117374 +1758451655 +2033150711 +1402429803 +1276414706 +1731714614 +23716547 +1460542591 +370686439 +613607759 +2068318193 +708465900 +366078486 +313715830 +1485977642 +1875072195 +1880817602 +986888250 +201918894 +1577003179 +986542619 +2125195862 +1192954906 +177531809 +736006755 +1866748078 +120039137 +1572729586 +989778545 +2103678188 +626154096 +757412271 +1714646195 +511821160 +12358426 +843577254 +96052126 +36074973 +156636197 +466738565 +649682733 +77470742 +1175204465 +1015761219 +391186572 +513698459 +743349766 +124520527 +1500586710 +945268661 +1701523706 +339645681 +922980875 +746994964 +517177490 +1658987631 +466259395 +637216627 +1084233569 +1456037940 +593411167 +1710387666 +65966563 +160573715 +74725178 +78324989 +1004150969 +170777304 +114399962 +1160787166 +637515869 +764082695 +1238257908 +1812720334 +1779843914 +1629444481 +178935146 +375710033 +1753965008 +1679521856 +1320978694 +1308005066 +2019167537 +96475921 +2055000031 +388861379 +1755463552 +373775778 +1026078007 +692213474 +1829813718 +1619489174 +255117492 +1895780281 +1780062889 +329842670 +1974105270 +636730210 +500619974 +2088505232 +1797517376 +1138135843 +705104280 +888291637 +803372529 +337464546 +370252470 +982307675 +713174579 +2124217478 +514345883 +2034153273 +1284738896 +386029772 +2130629195 +1192255279 +774891152 +1738609099 +1566031057 +1800969159 +283338925 +1248361127 +1272974685 +538456417 +996657760 +905553927 +868299087 +823279382 +1542284137 +1368919061 +764300967 +1192317866 +359571256 +1469405247 +2080609503 +1162943786 +1806869793 +303378325 +2145251461 +372560725 +280112155 +512113697 +259230350 +1564851051 +898143469 +242375897 +609622683 +1673034621 +1980984997 +28170092 +1326520132 +116840274 +1276531220 +452011170 +655296692 +125705332 +1357565097 +1523595779 +948984715 +752365586 +745031193 +1713285682 +1944683452 +1104602449 +1035207281 +1877809307 +120062587 +694593426 +33703984 +117830401 +1067154151 +313816139 +629944098 +1326384502 +1878667191 +1528087567 +1568760399 +340806226 +1053638541 +1402261748 +368976318 +232675025 +1519102023 +1645507538 +684686195 +26915067 +1771212871 +2042251292 +1550510846 +572713938 +647133231 +148058391 +138515972 +444333035 +1252660841 +1173723253 +174658695 +1372723428 +1868316679 +208362679 +1490553829 +787987183 +522178819 +2120497927 +2114371685 +253362362 +1501101847 +1535648436 +594168588 +407256740 +790426537 +963144906 +639931765 +162044912 +461168797 +1324617961 +188959979 +84898020 +1219385605 +1739470825 +657611958 +1866518836 +1887529217 +796127930 +163368224 +992706410 +1969851183 +338026919 +217946190 +1690684214 +546389598 +1708500020 +331187749 +1068568417 +1681514299 +298075786 +1321930779 +1035132498 +1833724223 +1916099367 +1442389238 +476667112 +731760626 +2082321004 +638712024 +1192929423 +1259455317 +827672003 +1277827443 +331357274 +419659180 +1935439401 +50392463 +159704749 +584083683 +213760687 +1152411159 +406451218 +551787606 +1370357350 +2097135432 +1098177204 +931373722 +280839534 +19261974 +465404373 +578915320 +1341192753 +1500536872 +265155895 +1109808473 +795442462 +741823007 +1841569099 +730279818 +1380535031 +887014874 +1989735135 +60723386 +17358669 +173608762 +480382567 +1952798070 +224001225 +640087316 +389398105 +437761912 +1792498476 +795849323 +989549518 +1015372178 +745501107 +2087726722 +1946745900 +1026340641 +2106988696 +264666625 +1605255962 +1300697802 +1765203497 +1870411857 +263022627 +413162312 +464751217 +2104591726 +1143442130 +1845286248 +844122952 +985693618 +1906009635 +861481621 +1159302380 +238908554 +666796043 +1383303605 +878995870 +1056194148 +1821065517 +524010698 +1852043471 +663131387 +1539382876 +450060930 +603374461 +1338645128 +1476401572 +562879510 +1603311754 +934173886 +1863577312 +1221031603 +657102095 +2126599939 +1634193915 +1121853312 +2083708017 +630152398 +819655913 +780347321 +1615846016 +578181900 +1641828942 +627664748 +817090454 +161141337 +2010968353 +1696086324 +1217335485 +1684550222 +72613375 +921895308 +200197961 +1611996251 +1371956238 +803572422 +803157732 +700874162 +1366451932 +258985838 +1635048048 +1082545596 +1480017441 +144666496 +1061661887 +966727709 +1266519808 +997886256 +1596880107 +2086175721 +1778233577 +1065242475 +516873973 +1272578871 +1692907223 +1333964427 +1433720208 +1556391928 +882567104 +503572045 +1093458502 +955180479 +1425467353 +1293656463 +419693082 +649939944 +2097228885 +1222850814 +1350814106 +1316197170 +1481836652 +838378507 +251259118 +814370446 +983045003 +1312921006 +1781098155 +102081163 +163323614 +1230494614 +40773237 +1941557192 +148253441 +557647210 +1066652415 +1841160664 +1891611638 +352888976 +1250068944 +626695094 +856461021 +196043798 +1581875573 +134444727 +1489700261 +2001568655 +784384671 +1439445498 +1076935822 +2135198777 +608159020 +411288826 +826093636 +859418139 +1225659272 +1809138639 +24855497 +859273779 +1911219803 +188179111 +2089768393 +1951993040 +2129736303 +90538186 +362156602 +1048905071 +1931698850 +106284592 +1401794047 +1034284146 +732979686 +110771420 +1230327944 +167371611 +245216147 +572544557 +21456619 +1029600818 +2011990056 +1098392441 +1017315948 +472665428 +1509681267 +1843409584 +1332083567 +587856892 +1505064576 +1356939064 +1447130671 +1268800731 +1545118176 +1389415417 +1073310123 +1527370831 +1479953603 +1435466725 +428792254 +1264168806 +1541751318 +1830586301 +150969304 +127247356 +1941357722 +1381297249 +294618968 +39090221 +1953841806 +316075587 +1068691040 +1818348214 +1414468028 +2086006988 +143529995 +776665647 +1781932924 +1475613562 +1364522539 +1139513852 +685068979 +664169563 +260830935 +82703507 +2053584980 +1334141058 +1610074338 +1386054935 +622124136 +2038866593 +502740093 +16391806 +1721969246 +653709398 +143639162 +1515843320 +2035006647 +438258130 +1554933542 +1841364805 +754333717 +476140934 +1512229372 +21318097 +414664274 +1655759367 +797983745 +49113550 +983889281 +15022636 +1188627403 +1668958260 +679192199 +1449458338 +1751661767 +585293531 +636115749 +1214252458 +1971348467 +1258239885 +1105635403 +326604912 +1274631691 +680121001 +980314310 +1418270853 +48480674 +867837309 +1856528984 +1603414216 +561718467 +463379053 +2079555150 +2073947839 +484697151 +346735776 +1582223558 +1282680896 +395849326 +418629191 +1297703532 +1584476729 +2087587452 +1976895732 +886451420 +1691765571 +414705615 +1522567169 +758534381 +238570434 +633323406 +1864169784 +565175347 +1907955097 +396807138 +1545489657 +1178742302 +445287812 +265843319 +887787638 +2048702028 +827561786 +1351166692 +1980773530 +754025977 +1835863843 +180025658 +188765887 +971061091 +575874984 +607395078 +121280975 +12868066 +547498882 +2098176707 +899319486 +91780806 +365398675 +274403007 +850315187 +603969109 +907726413 +567001324 +1169144456 +668197862 +963808462 +567150466 +1846940164 +1409096274 +832993785 +587244155 +1310314654 +1660555571 +1938410847 +1143604536 +267097900 +1626791042 +1323630194 +455863787 +450368485 +1899505178 +1063258865 +571649460 +1912373244 +1610757748 +522342520 +664209082 +1702538554 +887741195 +938612089 +405370093 +1491710304 +1846338502 +972371417 +513371113 +367052716 +1936179879 +1080521579 +66509233 +1197792505 +1913515364 +653753388 +360623511 +1426587287 +444680587 +1504228047 +1693685187 +2071471629 +680374593 +2065326 +374356466 +432396124 +1065324191 +946005926 +197285720 +528598291 +1468348446 +861494803 +83653197 +208605993 +1800106892 +489023291 +1700316298 +1498961747 +1461394708 +66203763 +1866014463 +1250090940 +1146725342 +1932523696 +300399797 +912757058 +438793436 +661023309 +191860697 +883474023 +17767708 +1885545884 +807462004 +698142302 +1887611210 +1181818470 +1130538426 +805451753 +2127824397 +1327824146 +1334050045 +1448689195 +41835301 +1417703242 +1657295189 +1841942194 +1906726533 +1210127839 +1193420293 +1220637594 +1276331602 +911951108 +323244886 +275573296 +696991157 +623644683 +1188330354 +1135784593 +1284667992 +1380191051 +2019258617 +1302435701 +1118253287 +679236973 +2000578003 +858380849 +1861055444 +983632781 +1663832602 +1841396193 +163973279 +850398999 +1142601740 +205808581 +120618594 +652413281 +2047750775 +2027345127 +1862541120 +1093687420 +1100499073 +991389074 +2005638528 +1423743959 +1266962370 +555146037 +2047388643 +307809076 +1690930631 +1184572987 +1688000127 +1562705600 +339525040 +658769766 +94458925 +192619395 +1517150615 +1955514369 +1176252176 +1033499570 +1649426914 +1340225456 +1883898569 +644545007 +1546034037 +2004517163 +1296958288 +1446301164 +1884378643 +1012015761 +392504936 +837394068 +2003404835 +250659816 +113654380 +1122883558 +805805854 +13559375 +1430692634 +349252837 +1198132362 +971209114 +1911958437 +1537657403 +1629978880 +2006417362 +1730276798 +999645848 +1814448084 +759045327 +2033145418 +1316391350 +2099270783 +1769560339 +1960936357 +1497821172 +1626593855 +1110410998 +796638688 +1363488850 +2122426759 +1189143624 +53399270 +1978347946 +1439803440 +167053650 +953747856 +98125646 +180613025 +236956843 +447378483 +1378745388 +1208165957 +211853272 +768919143 +690661189 +70786987 +351712293 +1690307037 +1885235071 +1110757620 +1575968807 +1054142773 +1062544755 +1198045499 +867595483 +412882279 +677155706 +1978006481 +1209520967 +2040644556 +1952949592 +251180943 +2094043826 +1783813890 +1690984384 +113613829 +590078099 +1789110030 +294226854 +827034942 +89004866 +1672972242 +2035200899 +300858138 +294407737 +578378440 +371645125 +646120031 +121201830 +109396548 +1756877651 +1697170637 +1163539322 +671938759 +747732488 +2031134805 +1084821038 +1424888194 +1861657638 +146858358 +1318049102 +1667123582 +398039301 +1264609281 +1303453824 +2089023685 +1378223110 +1893531923 +1730650068 +1672449964 +573083217 +1819654934 +1197938559 +460800468 +2120513072 +1492346296 +1039178909 +344674550 +2138466327 +1160380739 +454071098 +1747860331 +710067728 +1617610420 +272315442 +1457800217 +1501261577 +1357136480 +735204763 +1215435567 +1503994838 +2053253866 +735075501 +1902034140 +1170379499 +2038529326 +1843574177 +401118961 +1784577601 +1426740597 +2073568925 +210177171 +1098911883 +1124023836 +670977639 +1071941308 +468886485 +1710156548 +1416615858 +459869164 +723053639 +1870686956 +60245847 +1433121368 +1340813729 +332561289 +743437937 +694591658 +1689697770 +1478642700 +1910027226 +1046208960 +1384412918 +497619079 +800759452 +407308769 +388664757 +496849982 +808427730 +25758711 +1923590579 +734513008 +235935882 +875018815 +1858536844 +906913521 +1946960123 +179939681 +469586422 +1216092333 +639808846 +1192640061 +939295641 +700054693 +478277781 +132625722 +1032615983 +1221715718 +827217381 +574830105 +552874771 +589760959 +1621039065 +1937287689 +1087380038 +274314870 +197112811 +1476044796 +771164852 +1005540541 +1501803507 +547271783 +1740053549 +1737739389 +1422290598 +1451106746 +497169262 +1221767073 +1631046427 +966755684 +290375758 +123371625 +11912098 +1229671400 +823426319 +490189879 +1362297122 +1856042302 +1711905598 +42030855 +283388759 +117296721 +631791814 +1904427824 +2054584410 +1719171853 +31259046 +104213573 +1047733001 +802423898 +1109754115 +402052860 +1349695682 +702324016 +2139792249 +624502632 +5947114 +489477863 +1846269706 +1636993542 +1456233548 +2136645464 +1760365167 +1468145646 +1218833216 +436307838 +1958335525 +433646691 +144866492 +1522757475 +475677546 +428255251 +1640054196 +1107469361 +185199428 +1547154959 +679157566 +216458474 +1651368532 +1726890567 +1018882373 +613638999 +2128943427 +221094407 +1315963016 +2121252028 +845597039 +1321910130 +463246243 +544383097 +811420024 +1919479791 +533544914 +424301544 +1240141789 +1752378130 +860609382 +1050993667 +38541173 +1005475875 +426267494 +514218720 +1433731126 +2066321691 +1621688081 +1618930554 +1465993002 +153361999 +1835389029 +969877886 +1880252566 +706787754 +1583516886 +1861712345 +927882161 +751996254 +1835480725 +1773479200 +2073906384 +151243320 +170378650 +737842761 +2070723112 +703923564 +1162144305 +1163381253 +308818046 +2022753687 +66891272 +347359220 +880745914 +493158767 +861577940 +166993393 +411996810 +335782373 +1785923947 +1877989812 +489144372 +1473829328 +700384050 +221913290 +33133434 +136417288 +2083625635 +961015595 +888413542 +1771622712 +587011148 +814836279 +1922866032 +757389798 +1552679040 +1846105496 +1461313362 +567339697 +862003102 +1770131408 +442609736 +928894374 +2117490628 +1323355651 +1422053141 +831584920 +1490349044 +1834049951 +1167367293 +1128789343 +1564556115 +1656511665 +455135024 +117456518 +1878424955 +488268458 +253873806 +1814566942 +1449284054 +1142287349 +1438706006 +2036295202 +1957123628 +1214088391 +646201352 +1362319020 +912710239 +2107514714 +1929658717 +1774713341 +1730162474 +224784805 +556124068 +1700169455 +1548140456 +1978177209 +384270727 +891005852 +1664743513 +1551638021 +2019795196 +1081815980 +1060666038 +327446572 +1199272498 +791607346 +815715030 +1453146305 +458690640 +117515436 +447950006 +1897396647 +6326990 +257589986 +964001390 +652528342 +1619909006 +1876711629 +612559408 +1402084075 +1503941323 +195238235 +1626868880 +2060065391 +1895407690 +1027525689 +1890758952 +132194769 +1918531541 +1408018817 +1683832790 +1790843089 +342351150 +597015181 +2118289661 +1541623648 +1388622527 +786521044 +847286305 +1847313167 +904036480 +1295236311 +1597226166 +910363471 +1552826297 +413743908 +1562891813 +1025251655 +142971890 +27967574 +279852082 +1646913213 +223205809 +1906720963 +1559494956 +2118613499 +786763004 +1302770260 +103324620 +557810897 +563305430 +1787157411 +201170339 +905656580 +236688944 +171976352 +299796580 +1625311471 +958497396 +1147082886 +1325140990 +1862533877 +294835549 +774883509 +625413700 +1847661847 +1188627417 +40821865 +725429854 +1331599307 +68789439 +1005281937 +831028872 +291995248 +764519252 +243040180 +263125099 +1551282256 +1545810441 +366449720 +2109093153 +2109115871 +6123483 +162779844 +867288803 +242812427 +334756197 +1167085383 +1868123898 +1293253593 +166684621 +1045781240 +1008303822 +461520171 +1820664749 +1633717522 +161698370 +861808519 +1674539388 +887128224 +45924178 +1743328827 +1892410161 +876953051 +2035324076 +509445765 +1119993231 +150965527 +2060728021 +518320024 +517415247 +2022337527 +479952247 +523538730 +37633723 +1347241050 +766351157 +372389920 +366842786 +486991407 +1665643514 +533527407 +1532772648 +526463688 +995047578 +1205953749 +12697563 +1156745948 +2067762268 +1687236951 +2043874173 +2113686447 +1283082130 +1788800686 +843155850 +1170922558 +150762804 +1963149081 +1321888086 +64007177 +333985458 +1839303333 +2086344704 +813937705 +215358416 +2123978428 +13695108 +981709573 +348884700 +380537894 +1468700981 +2014528214 +914065301 +853989981 +393508255 +1909112880 +2059943730 +406205818 +918375180 +1980222351 +2093442769 +814765705 +1946425150 +1229041251 +456082744 +642097352 +252480162 +606845548 +457762785 +1574368248 +670852725 +791748243 +1266187933 +609713782 +1605685949 +1481546349 +586208562 +1619381057 +315772275 +935093262 +1999918951 +1784473256 +802137829 +766500604 +490979589 +1195646084 +528129836 +403439671 +1601851902 +1446505017 +236178374 +1547811023 +113787074 +35119876 +629368626 +569869818 +677217228 +881848788 +1176715366 +1134980014 +308733388 +1847568092 +1926728257 +1574921322 +309798226 +1384930558 +908984023 +896006788 +856827967 +1224756298 +1831100050 +709263270 +861745906 +485754231 +1475763875 +1352725495 +1681400315 +2003893711 +1756165167 +1135768569 +1302915080 +1992343541 +536095944 +1416702155 +2027463418 +1165464571 +1986571973 +557196998 +2047313359 +1015803692 +1692177012 +208563100 +715888136 +1471421622 +1783484422 +1025686362 +708868532 +544984797 +1921693150 +1565696500 +1769741096 +1605309552 +127476122 +484003354 +2091063784 +1603239997 +1836728850 +1624980451 +1459650061 +1445410369 +613265373 +615081493 +1290270262 +1149361317 +2031783648 +1170250032 +167342240 +1870871974 +1727447031 +67171952 +739192018 +1272140395 +275735052 +1455080154 +596078369 +2059219474 +333282868 +1304946902 +456720623 +107492370 +723159754 +78978071 +1712801922 +850635876 +562981426 +1656382058 +306392226 +252226628 +1133878862 +1766042287 +1697636997 +1747144235 +233640132 +840423611 +749021904 +117940133 +2010673644 +916364145 +1988812107 +1590637027 +983536097 +580520477 +715293774 +1259271149 +2035600631 +1311372144 +1171006975 +221399851 +468835398 +1627727598 +328892221 +1191995152 +1706705670 +2041694143 +2042631028 +122203448 +1550592554 +201539606 +374430076 +536987768 +1967581893 +2072067073 +136648355 +53738378 +765007036 +885670259 +171678511 +628197032 +1802034404 +13006970 +71350411 +638086853 +593527447 +786644186 +1897358002 +481644430 +2098016330 +920881329 +703044281 +419368080 +401125280 +1031936502 +1611363232 +2107830950 +926146997 +1506510612 +82550750 +329255903 +1708050219 +456980826 +866243671 +1528148464 +381564251 +1002892026 +1581886842 +1146571287 +1888562286 +1753565353 +1774768320 +1543113042 +1766572323 +1846118731 +33716248 +212616122 +485279269 +1931074250 +694260552 +435811951 +704471932 +1397304833 +855180031 +1105597212 +281757687 +319059615 +1065944514 +1207904685 +1825570228 +1148495264 +1537160588 +1386136799 +1605476090 +255920612 +766801615 +1987040341 +1258812638 +201204810 +986127980 +999891276 +1954770163 +613412652 +395520671 +1573858839 +312047736 +429236919 +1786474961 +797327005 +212827521 +333251866 +1233138957 +917299453 +1730556699 +2088318988 +2022896665 +2012314387 +259894956 +941357531 +1072735424 +2085465184 +2089852795 +462412364 +1324118335 +1547845237 +718332976 +2090919950 +1387401930 +1977145615 +144641112 +226046263 +829553243 +2099411276 +839458915 +1225073914 +1525786467 +1151506651 +1654310833 +1164777780 +1948833657 +1867138355 +1498029646 +1034488966 +636954160 +1081102698 +975324306 +512367178 +945933437 +1235219262 +1453724709 +2018668861 +1173200798 +1396093857 +333597577 +349835485 +796455446 +1051930554 +293271788 +36373729 +881592521 +437912900 +262419992 +1711145764 +389840528 +1101878907 +788736031 +1915626995 +105901911 +295563216 +932921128 +2054735568 +15217923 +283467126 +941740886 +652172084 +1364569824 +1917065192 +1164539262 +163019613 +1004800807 +470780323 +34204826 +30517957 +1866874180 +367802404 +380353443 +515845979 +1419732958 +673625231 +552219708 +153841831 +1111538131 +814639700 +1864987595 +1501378660 +1916518607 +506239978 +1269522007 +2022420518 +801803195 +54959487 +1929672438 +817021118 +338426614 +723929676 +1469193202 +1702996438 +493511221 +486248816 +1866016052 +1498312028 +957029140 +1900220878 +1528829985 +676419672 +120539634 +1909183428 +1192265651 +1540272592 +435325011 +1744485359 +1694114423 +1546863143 +411641411 +1411618371 +900758155 +180676371 +1917858349 +22796514 +55613241 +572177896 +77756002 +1985285680 +1389199015 +416182616 +561731708 +710908569 +2119179054 +1055242929 +1197157386 +1837711458 +406071309 +6702878 +1590448689 +1934901295 +683122550 +1710988323 +1696601075 +1875388202 +1103777268 +2131926087 +1472389913 +650408043 +1531305582 +1884031325 +2062026414 +284580089 +2064707696 +1832401116 +307376603 +2120320937 +257095364 +385132605 +1958122969 +1646294379 +801315221 +372371030 +209719301 +773010628 +1427613959 +1406876687 +463238438 +1833685269 +1413579565 +2053687127 +1621102916 +2096702115 +1617191803 +1170220343 +1824606669 +573485423 +1154662782 +1149512935 +1223893466 +538484716 +886060612 +1138436233 +823064805 +803284660 +823353701 +1130441409 +776121949 +1080449065 +1515574014 +586761271 +579259797 +169405588 +959132301 +788979098 +942416216 +239262612 +48372137 +1405654654 +2072947881 +1461951702 +1311858134 +1546567149 +1411170169 +781566289 +569303845 +1088293191 +1355051712 +1723966627 +90322478 +431461530 +114967696 +976383090 +1569897763 +938032501 +1779667750 +245767816 +2068473910 +408306051 +1326216882 +1436564277 +995067322 +1905476679 +1605969865 +1954199623 +546972129 +400902433 +45978588 +595344266 +1806557087 +2118926469 +2057295968 +970931573 +1518009971 +1320982489 +1752497862 +2087313816 +261792032 +960065926 +1663796795 +352114510 +1391527457 +1778764491 +1328497600 +813941572 +569313345 +960681702 +1059709389 +490303607 +1368987754 +238442623 +1926867884 +216571428 +2143919302 +1385354101 +23287404 +543407783 +1786256534 +69265992 +1138752049 +1445329974 +40708813 +1048564369 +268777899 +1558718784 +222063210 +2021275762 +1498548952 +483855243 +833858040 +1014862100 +835969753 +77901849 +646142943 +16983706 +891843422 +1215456288 +977665408 +1951552811 +1705759896 +199169514 +42511786 +1485144132 +415740943 +38947440 +723014586 +439028347 +582355223 +361787472 +508294339 +1721107272 +1807117446 +549003152 +622187993 +2075895346 +2107721937 +844251203 +1949687460 +1458787241 +1328106446 +636061852 +326165693 +16592552 +713963702 +972308637 +33576258 +1605807124 +40281277 +1011241666 +1409876287 +1746041173 +1210411181 +1452388073 +1083701658 +1626152124 +1491335513 +1806716244 +2065180471 +2073690736 +21020068 +425991162 +1647314360 +1828137515 +974994314 +122018705 +1756549213 +935232603 +966269908 +1558753025 +246536197 +146892707 +47331229 +572701890 +163485259 +761294931 +1545010527 +197061517 +219618407 +1585291805 +1208303183 +1629494694 +1183849330 +271230716 +934399119 +120067340 +1897382840 +278250984 +1926783584 +1815079663 +204458072 +1947803653 +93587177 +1851772432 +1628457520 +1068581492 +1973791137 +1237523085 +2003814095 +792577398 +648792462 +102866644 +939470105 +696123691 +675568535 +1102955364 +1457418623 +73095414 +1300016881 +1677037030 +1658387219 +360836416 +1159048077 +694752902 +632067133 +2093447196 +814820242 +381966325 +224214533 +594120179 +49562341 +428672605 +394440184 +143149518 +132961390 +2022897704 +1211731010 +2106752527 +1112937141 +1068061458 +751846277 +1761729603 +1170928102 +1691316382 +310369646 +1846496637 +646788098 +1767788269 +1919592052 +1946804979 +1297341652 +1430495623 +160157748 +308906081 +2125248525 +792224881 +254869629 +792585120 +1174191206 +479084162 +1386705299 +1223753547 +907756768 +1781145483 +1366903066 +1040718158 +1656559539 +431150428 +999987037 +622013032 +1499211886 +1751833315 +236258987 +522656341 +1295666049 +546628633 +221669330 +1942454148 +166933255 +2141261382 +1741775479 +1464274907 +1424273358 +1901933227 +1773180988 +1402038235 +546674460 +2028050617 +47139707 +1720865667 +359651132 +1433845006 +797135566 +1267407900 +1067506841 +16554984 +160642410 +576582732 +447705413 +1160629447 +1198595764 +1946917299 +764979114 +1434854751 +322089992 +2060645164 +1981483385 +543759323 +1855615664 +932992 +537537057 +1449907495 +1465207899 +1961810415 +1204357075 +1090905239 +1216365003 +1751031535 +971472208 +1263504710 +1324413554 +1331123340 +549866069 +2121549121 +451047592 +1617372910 +2138104105 +611690002 +46471995 +438325870 +1772319450 +1245067759 +237759522 +389814916 +532438863 +559849514 +302976432 +366438600 +1103608837 +11108448 +367371592 +1641145895 +1461015944 +1832579491 +1455472662 +517889371 +776001082 +524354017 +121437258 +1747473290 +1787858728 +1445850813 +931112983 +190241149 +1419916286 +1382160575 +1807614059 +1410536743 +1993850578 +1854086054 +1848862614 +1618686380 +951670166 +2086622136 +2008501296 +1484109029 +498988002 +163994081 +1850547629 +1602596840 +175102529 +70435573 +1096259087 +1636118473 +1903015064 +404248101 +6524196 +531532498 +928602119 +127961455 +131522140 +568977199 +1573812268 +1062635123 +759218348 +846244906 +297312051 +419348759 +109298001 +143678981 +125951166 +1958160615 +1762365361 +1077621332 +1897299103 +1623383009 +414246713 +248803458 +1787377090 +117310694 +1851400298 +1962479620 +187746267 +800175737 +1451114445 +2090761331 +1204423838 +1457638642 +474810181 +2133025957 +1585600097 +606332321 +554519508 +1011928717 +1668967445 +1313737856 +1858173623 +1966279496 +1733086616 +1967471624 +2109958477 +1859037782 +1778148592 +1724840190 +789175466 +1527964047 +1200739551 +1203422179 +1776767505 +840632994 +1320732873 +1480684155 +655628966 +1508479140 +133376244 +2106743411 +1451756823 +1337800083 +1416898405 +1926567004 +1323342392 +855014854 +385415677 +1877861901 +1866943571 +2054383122 +1044116109 +1577633546 +1873178970 +629719077 +1397621523 +1835653799 +341273211 +1028286467 +1413010341 +1130448677 +408766866 +466266245 +186387208 +38050724 +1306899239 +1507120081 +1518734879 +1962528205 +868115573 +1652111124 +1921787968 +172388748 +842427559 +1191202726 +2098955752 +18286303 +2046217580 +336887782 +1896148204 +1765677504 +243787256 +792780666 +1195827402 +2116966227 +1422499743 +445965277 +1805136378 +1763772955 +1474251744 +1070663072 +746737984 +1883018611 +1536929317 +933125193 +1921069335 +696344908 +292761626 +1292320566 +511389465 +1160877200 +796948042 +285693785 +1333265948 +1639375601 +1476896511 +1284738053 +1657661905 +1375630444 +1621625835 +1406326461 +993824300 +1865413091 +51623479 +42168054 +1834895670 +1474123223 +488133332 +1492548401 +1090412530 +1962385076 +415727825 +1837150514 +1697920039 +1952657142 +622792059 +1471505726 +501518402 +915553686 +616342645 +1012907867 +2076430886 +1413290687 +1298601652 +1262213186 +905182641 +628014516 +399467591 +415360898 +2003644960 +2021093426 +1821687359 +849985612 +1739022870 +1873310839 +892153666 +1426434892 +1199950414 +1380286998 +771499645 +142879296 +1195188427 +1187227470 +1980029810 +745624818 +992400964 +455338222 +69646897 +1493919366 +1370891908 +685989542 +359343585 +1299839146 +2099280229 +1657945238 +414568684 +856979222 +138476106 +814036276 +1272340120 +2142121066 +687646054 +946543832 +844623030 +279185276 +672371023 +1736776696 +1705620169 +1872321437 +969580047 +329636166 +2015200733 +17284826 +1516863637 +1847746895 +762909644 +361780953 +155601469 +832556541 +1855700320 +1526493377 +1518546083 +67560257 +678848875 +1470342665 +1725505495 +1093417560 +179838239 +1863981601 +1907453836 +1452178360 +1858619019 +447616242 +251238544 +555758401 +726801519 +923609567 +145051450 +284938040 +648447356 +1114631497 +614574206 +516164441 +1131916323 +2131437843 +216427688 +1894825967 +345735149 +372029158 +579898861 +53951821 +1898522535 +2098444944 +121512078 +429887763 +1421303961 +1847017574 +1523305323 +1601142201 +1563515527 +1283275511 +905836913 +1274650899 +1730891753 +1157075457 +1830409300 +310209624 +2080685024 +1975460750 +595147664 +581648732 +942608599 +1209721871 +1097813173 +2074524922 +1193676066 +1314240861 +1821867242 +1539411215 +1686270019 +254282455 +1593363036 +1437308907 +205243751 +1714875115 +1867196670 +1626547713 +1414409041 +1243018345 +1080206266 +830440920 +378810208 +1986043179 +2105091819 +2109701961 +995634988 +1788017472 +272427938 +928836364 +1615994574 +867575602 +1510485096 +411119526 +2077297473 +460814621 +338160800 +1123489892 +1775055482 +12544394 +515417459 +1313841854 +266826849 +2108780496 +603667113 +472070601 +1676171963 +323380135 +2098618314 +943097356 +1566398480 +1031340932 +1773538276 +1945208688 +869900463 +1731146448 +1907427001 +1865535451 +1371680272 +32371291 +646888167 +840191198 +899946894 +9889615 +1251310724 +829760719 +470704236 +1589471525 +1953250611 +98276070 +1602015919 +321184423 +1412117924 +1868842769 +282481271 +2015785037 +193429722 +1958653234 +191681524 +144564388 +754266942 +1758080004 +1175905320 +380321570 +1555805044 +2045805783 +2111468018 +1315748398 +1763857586 +1335664642 +1348119689 +263262105 +28372193 +100582935 +273151720 +1279682917 +930343655 +743855956 +721670794 +736110618 +842132026 +176203066 +1057295041 +106766303 +2045045835 +1339776312 +2122551340 +90991909 +1150945898 +166749217 +235556297 +1905212840 +1924829221 +1411461617 +138050763 +1333150618 +1309783752 +102035133 +501415368 +926157690 +1437699776 +1849535057 +1189419795 +1466071969 +1950117993 +1462571515 +598271238 +732978000 +58943823 +1319942033 +1469088618 +901075849 +1496145099 +378900012 +1007842152 +1393707286 +1718676324 +982909845 +1484699195 +722138575 +1149659062 +1720255492 +479867767 +927004635 +984233461 +617918530 +112671605 +146533565 +719953664 +614086973 +1072691255 +10169792 +316138383 +114627402 +1476241761 +118772728 +1577198917 +2074512999 +851750728 +1636142740 +1246971384 +173355698 +389734941 +595632835 +552255710 +1397577094 +1989340121 +123448387 +233003291 +1326555668 +845586962 +1382662353 +899327512 +1325454729 +162183340 +1883560973 +1943373260 +274854946 +2030094538 +515843276 +888941919 +955302145 +526013068 +1205080302 +1069929547 +2002254829 +1323853030 +499644816 +1929284180 +28120110 +2135787556 +1028771917 +201475809 +378038850 +1624404752 +753731519 +1775615944 +1466261226 +877179906 +2008619235 +645333246 +1722766868 +1243797940 +1544660759 +900737950 +1405981280 +1280738084 +696627562 +1680836226 +1163348975 +1212470838 +422294498 +2118651120 +1738483906 +1627374800 +1041097020 +1593255087 +803744183 +1540741836 +1375055619 +831864293 +1529045745 +256343888 +1033340102 +1907084595 +1880748641 +1787071622 +1535216891 +1199526219 +516767880 +1396352478 +1844859465 +92051101 +492666770 +1242036576 +992789051 +1898648050 +375291013 +1689416613 +1432000629 +1538639988 +754403803 +1854295127 +1509807460 +345404061 +1334186279 +403420832 +1938659148 +2137930462 +1944162669 +1166231119 +822311108 +1325724766 +1422575008 +1855651210 +1085325713 +1155840001 +1495239184 +473058956 +207882572 +2012007065 +1869411434 +2052742037 +2104058166 +214594556 +1147294966 +949363569 +2113242606 +1522585979 +491296534 +1397759587 +913742319 +1245700337 +1104571066 +276066131 +1591104398 +291273698 +679486964 +1382279898 +281720512 +476165985 +401027369 +1104031620 +1801890751 +1823602377 +812199183 +739732816 +831958730 +159954719 +1212791772 +1039841302 +24478136 +934719558 +945099692 +2128536302 +1149314114 +2092394658 +930416223 +1115073072 +1467496989 +1421712757 +365349012 +233755660 +519929446 +1469920078 +509821791 +2111033844 +1761193776 +1189308755 +1345830094 +2042914289 +1665474740 +1746857464 +999462261 +1319881843 +1422976193 +1811661444 +2059614659 +107451276 +1971616164 +1124922783 +1147292578 +1996094300 +2059642341 +2092392270 +1977146955 +1061472807 +2037303280 +760079530 +29062232 +1357316621 +34308640 +394411244 +1591072281 +554238086 +1864331322 +2100894073 +517788283 +1478041451 +1142719180 +1863618377 +1373472092 +660710273 +1462992193 +225450705 +1980592116 +738484739 +2037112150 +1892723128 +845936015 +1861244666 +870162263 +1993228593 +1709855318 +782320957 +1938137216 +1539518625 +1843793764 +1827956848 +152114508 +1872855996 +1037789822 +186423148 +119783592 +481378455 +740661234 +1984114915 +434788880 +1258449517 +1314672718 +1577508061 +974584247 +540661162 +90734686 +290092792 +766111867 +2071326802 +1028577531 +655740369 +1816566282 +1874513546 +369501387 +539244898 +1720258492 +2079356706 +1321565855 +1510912060 +1471391683 +1017875971 +1191385260 +1623506191 +743248320 +81691434 +1809929339 +863031912 +563069890 +403106926 +699663179 +997858770 +1661556443 +2014335897 +427883183 +488657042 +407513411 +518617869 +778749835 +1173625279 +442461024 +1807327366 +1829365648 +111543658 +1534357265 +51383388 +650788556 +1107132109 +2130740094 +1972354411 +470560521 +1454648129 +842746735 +1661945781 +930670673 +1585995055 +1743637216 +593116364 +301543319 +159223458 +996223290 +1001206499 +1157082228 +510296086 +868058748 +1584965412 +998953128 +1275572160 +2103583281 +1777702963 +301713791 +398560657 +1437546682 +2131079439 +510104316 +824420299 +34979179 +1160892872 +1931552408 +18235625 +985763636 +254629281 +1472883755 +1828510371 +1916575062 +256070780 +1267021778 +1512728630 +849187144 +1568565097 +1671952088 +1845410435 +422287948 +681550669 +208222873 +1290346697 +119032433 +1207176001 +418435209 +75132066 +837395317 +720149000 +473692724 +127458351 +703744791 +983797040 +951878650 +738723971 +2144689912 +735947410 +756959596 +982969900 +990576691 +82359703 +663996623 +759668105 +338430483 +1931018401 +124913088 +1187617628 +1352099851 +1796865176 +885544415 +1774387799 +330932197 +1093767288 +917250848 +449964630 +153459641 +1335686057 +525096697 +990854958 +2055835057 +998789421 +1118313309 +612096201 +1982586461 +2070191959 +1350820172 +1979792725 +658655721 +2107779768 +815278978 +1649232412 +42655824 +1479275601 +261416870 +381086307 +1262810355 +386329958 +1568703935 +467426558 +35711486 +306764702 +94330709 +366643684 +1400531990 +1011581558 +816608314 +1553991632 +199783967 +1341705011 +397362942 +108135377 +193010784 +1515676252 +720231578 +28113597 +1438384563 +2071051750 +2007906323 +2097040285 +2031347870 +675701653 +1598789049 +2074003694 +7493606 +1860205919 +307606354 +1270303961 +99052229 +1876310289 +1737730519 +134763716 +35591344 +1832061229 +501407400 +1436123334 +696159139 +1318015714 +842631318 +895943106 +512237078 +1239994261 +1004078483 +705247862 +608186865 +1724310061 +733361460 +2046571428 +1647878163 +593784135 +1996128065 +1531742386 +1269485788 +1447433467 +1458262432 +1276979394 +1160155738 +1765868786 +399799708 +1259207968 +1494695428 +2137530227 +1393971684 +1530286772 +1822107808 +1895379084 +818926458 +370783299 +1065911150 +1661557777 +1266726406 +1578148228 +754068390 +123321241 +135912443 +1362255255 +1847631303 +869273903 +1261343035 +1348025818 +1463058038 +1109987453 +732284556 +585060178 +409937272 +43063341 +1862039572 +1570093010 +1808932127 +114355632 +681817330 +1156143907 +104402212 +2075789014 +538947031 +1926510020 +1823684450 +1357873490 +149809672 +742111953 +871947619 +1416536078 +172776533 +1626016009 +1539857319 +308688976 +840787616 +1240004974 +1177962879 +2102130651 +440547145 +493537269 +1064634456 +1172831701 +1078597447 +1474571728 +1215895042 +793153372 +897181091 +877343522 +907509004 +1578998421 +2033487429 +1011911216 +1507303788 +424950813 +790937589 +1183504590 +1782824303 +940747261 +1925616543 +507288274 +209799691 +2098393077 +2133304283 +1749657010 +259598405 +826608251 +842178337 +1437561285 +781255254 +1282725482 +1931098554 +1845889711 +308073535 +862212354 +1172977791 +1523968578 +1655365726 +2070158882 +253828452 +415391082 +1501673656 +139832233 +1427302299 +861493796 +564783046 +70756240 +2044998386 +200123701 +1011503501 +1823131282 +707411975 +1221303192 +1774040711 +693232610 +823476554 +2033639116 +1519840861 +1665654891 +1323716753 +153612468 +800896725 +1107331660 +1999502179 +1108970261 +1969544014 +1024996322 +485455191 +1477426092 +947671557 +739283643 +1892817174 +301861565 +879115876 +1172635825 +1163355361 +1443898923 +1243392065 +1060870099 +1644022624 +107411918 +736517733 +203950952 +1328715110 +363074796 +897183562 +4708017 +249230265 +269540776 +1670362908 +1572947018 +423153244 +323775986 +532795030 +275171775 +1432746247 +354855396 +1300168097 +1918201438 +1832281488 +100356006 +510001433 +1577615015 +402217571 +1389117309 +602767192 +1565572932 +685532584 +1846159258 +478959384 +182071561 +1953571176 +1215477117 +386022513 +1134802639 +1578551914 +1283206075 +1139510656 +1827782179 +1552746851 +662389916 +1253245549 +1975900095 +986165902 +1786040580 +103588222 +271428501 +2140895976 +1403756320 +42146291 +1825693817 +1504112326 +552147724 +1255825184 +1906329898 +1941265034 +1858592376 +1324419182 +479313970 +1557267986 +1803378566 +661385531 +1363355515 +871372036 +1047408044 +350674506 +302440302 +183130472 +1490185162 +2130222481 +1735877323 +5091430 +1235984382 +1564293771 +991257333 +874541314 +1667881993 +1262685834 +867953643 +924154665 +1304832126 +546163812 +280783344 +1856979850 +1801988996 +39629594 +1650761236 +1513097724 +1364048776 +2130075207 +922882063 +1019943695 +643977090 +138753930 +1891315731 +1691385135 +489428436 +46272385 +1874515607 +1979613598 +29011218 +1462909282 +1984705028 +1264995600 +879719405 +828478713 +2139536915 +400117751 +2091164548 +860006910 +1324272416 +1248513026 +1406170722 +1605055760 +958009228 +1060676070 +1644685354 +461286817 +426290146 +861250483 +443878376 +1349172209 +1881194178 +1087855466 +1487926139 +1625026261 +631756953 +1977354575 +1671298646 +358788912 +1809484525 +1700309864 +1821698195 +1646705906 +817821816 +553933952 +327700971 +809875083 +954051703 +271381871 +1669881993 +130840472 +1519894897 +928569067 +1735896232 +330420478 +1989245137 +1233097939 +791707295 +268051636 +2094348422 +1235585671 +1617223845 +1828058952 +175957489 +957666337 +1305601565 +807714443 +787537264 +829416563 +1166503355 +449538142 +382242779 +840717902 +2096244048 +1200064595 +1394651855 +276461371 +2009939679 +201219910 +547843243 +1532338024 +332060382 +2067738140 +313423444 +2067956615 +250674970 +155184933 +1153570906 +1042382265 +423236569 +1100435680 +130484288 +2040460415 +781010984 +306441778 +850643104 +2086612549 +1114156221 +1638180368 +768545464 +133175928 +2087718510 +1150788243 +973893831 +2036478910 +203369190 +221062038 +165456634 +65825221 +422281948 +713299877 +1598163246 +754342331 +633554369 +1911586690 +674815298 +884229340 +2066771623 +1828386204 +1926611605 +342524545 +781338236 +2057095894 +235501312 +1562349220 +216054024 +1086144416 +1501478121 +1330210245 +576841136 +122539937 +1463386173 +517075999 +1273328180 +289796356 +406071261 +1476697370 +510858394 +571527895 +1542522592 +933140343 +1284827772 +993202190 +1687482674 +1918382142 +757305232 +214814324 +655127834 +676593207 +2043200528 +434255791 +1019117752 +677055116 +343868037 +1254619064 +91920688 +559922061 +193279832 +1593398809 +1890132306 +770120969 +1715938746 +1206034832 +1287196968 +841783278 +1495831188 +1693268229 +170997000 +2006689583 +117312477 +1713519592 +792346278 +1402140249 +559238134 +332345304 +1173038743 +1316543366 +547159628 +1828166577 +1993136574 +442876508 +114938721 +864770678 +1119931624 +458806758 +2119389743 +1211852312 +1018728820 +165185927 +657767473 +761377478 +935306896 +226222571 +1967412310 +75020216 +1068005849 +1315759851 +1768288446 +1239002849 +1174965786 +1885600923 +805038794 +1967312064 +1140257524 +1364276928 +152173720 +165812620 +533336647 +699333348 +1993979197 +378989573 +1142209856 +2108917918 +1243760251 +114657832 +420241029 +1215666346 +1326510144 +1438969849 +1380852274 +1984277617 +52863679 +168675522 +63016540 +2020275990 +243695739 +1131022389 +1188552193 +2011984185 +222541590 +216034331 +1750101460 +1027580384 +35862747 +742875336 +244373665 +188036467 +908687956 +777710312 +887369815 +755183506 +1156699885 +2029579671 +716617776 +252976488 +2144237503 +1136858805 +1468642835 +1323263999 +428345006 +702011461 +1160057968 +481208686 +870686983 +1223074508 +354001028 +1114382722 +206613249 +1542553221 +978883259 +429154839 +1758587552 +581501071 +1456735224 +1794450299 +1324376408 +1701108889 +1982486766 +85580716 +331335553 +722372933 +840764222 +1488035438 +604468956 +1557381999 +1741011926 +601222811 +546757156 +1062171113 +1924486810 +975102163 +1764182574 +937061130 +1456310849 +487385910 +12651990 +1810311877 +1601768632 +219265239 +1205381450 +433168244 +648420078 +816485354 +1014669315 +2105155302 +463452005 +191562075 +1658780543 +298455123 +277142792 +1990116096 +1020828056 +1117907014 +1330667886 +1625297012 +527805365 +924196165 +79036175 +1074562522 +1986367278 +2003522985 +2049664685 +1603066205 +793100467 +1358491886 +2090452115 +805752457 +1021320115 +1544737099 +1025017696 +79217917 +1977905343 +1673437774 +895703271 +845091011 +1631109429 +1359155276 +1036653086 +1142406324 +1657610399 +1313795878 +985038773 +530954807 +284219245 +168223011 +8768171 +812024610 +1092419176 +87804346 +1886587132 +931302807 +2091327331 +1788768169 +386885364 +736944150 +999776407 +329853831 +1542696607 +2021096522 +1874590930 +420230655 +2100314439 +1705012626 +2093668429 +848534062 +402619989 +1577294210 +60205690 +1439273075 +572216887 +1717816089 +605585306 +1557255660 +101287248 +889804551 +1725478671 +110055419 +1701829161 +670414200 +197859765 +1440932646 +1601717007 +141703448 +1082217167 +1988602371 +878647598 +2081993575 +170972554 +273860557 +1955606449 +2045563484 +694091212 +1908437241 +1603092462 +640275994 +609487655 +2005712451 +70086556 +669693346 +1297501879 +642303443 +240025787 +1903087185 +52075455 +341313036 +645408088 +1777554127 +451368455 +199753601 +300484679 +649228221 +1640686247 +1902201686 +790931669 +575419767 +1743320409 +1669579268 +509929694 +1914292963 +1943439825 +318052495 +1812372799 +490047390 +79006088 +1267981614 +1130323384 +688493744 +1126210417 +1200409940 +1358187090 +276228648 +1842713384 +1598212877 +31832185 +1894788839 +1939525913 +677240273 +1524859318 +243410721 +876993875 +1825343997 +892638942 +370196474 +1580062035 +1683570611 +945616241 +1175898796 +1205666231 +1455545935 +942708111 +1001622409 +1773598431 +607597263 +1491669799 +1852604519 +1875578877 +474509535 +393614615 +854305646 +1674919475 +1751801705 +1130534295 +1370149211 +1202530935 +1162366480 +1117454403 +994573200 +1839606754 +494830073 +1237983921 +569116981 +172690423 +2130622863 +939313455 +1752752458 +1666709827 +1884929697 +781167607 +724892410 +1192991984 +1723875718 +1726514819 +819106767 +183989333 +1070700970 +524227639 +2059568210 +1545210505 +917842254 +766390209 +1072646333 +522160312 +1896924504 +295311896 +1724691247 +911807336 +1412766299 +571780799 +603930442 +1907596373 +1809764721 +1173047423 +2080286796 +1792903936 +2112360879 +1685555606 +1312130115 +1849806928 +319239565 +2037022526 +895315264 +2043115284 +1616053697 +1714422032 +79620969 +539271020 +91166023 +2139189180 +2084481525 +1009008277 +758095741 +1009644210 +1531168589 +507536597 +1304956107 +1108376188 +1419343933 +570238758 +1680156988 +2023274376 +330351483 +1342438061 +1048838151 +263154631 +987858349 +1013715382 +1948710238 +152504817 +716038662 +120466155 +42043695 +1611353927 +16097791 +1658097392 +1178292311 +95718761 +49884764 +1269458334 +87424293 +2134366290 +130982963 +845520034 +996526852 +1662151553 +1353056631 +153999311 +623044093 +624916916 +724238070 +155717433 +500707644 +1054589553 +1498155494 +1549545796 +1317744185 +338530196 +415777530 +1118970775 +491035013 +1131816193 +1239436930 +533078708 +595686472 +1255534722 +43692452 +1773978783 +1351253483 +93577217 +895953469 +1438677776 +80459859 +1026936432 +136714162 +1076986711 +541604337 +1489770793 +1230986023 +1164648431 +2114687709 +1955224093 +1320365864 +467911706 +862329998 +671037711 +2017457502 +32590535 +1009567907 +285751384 +1151561310 +1500602920 +1417567577 +243514593 +2033681628 +2013254049 +1499049315 +2077374080 +1639749184 +702819150 +23467649 +388219005 +2141496926 +103927508 +1415155438 +130727440 +1180914220 +1956759775 +1620498233 +264416595 +973924558 +1587702294 +72157040 +146806775 +2055614000 +934487038 +817844486 +1925587854 +967077574 +1827412393 +63855591 +2118638884 +1180531665 +1481423168 +214669829 +1066729645 +1347193570 +1713719144 +996620077 +839459106 +269054646 +1020087727 +1227678112 +263067924 +1124015235 +495349902 +393795364 +157445807 +304626029 +2014293597 +421862402 +1278550588 +1454512244 +494019442 +1425357363 +1362642596 +1428506481 +95718201 +1140746803 +248100407 +1923130594 +1204602394 +219255643 +956178611 +538541914 +433925473 +2022908256 +1885735484 +160969 +872044685 +577710943 +269215616 +1892132412 +1805389055 +532283540 +868664000 +153255309 +926078905 +1026109807 +457881338 +792888854 +1447972210 +1736431926 +99917450 +1941991652 +1014305641 +1462560047 +1223014485 +1110023842 +455823202 +1471114892 +885670788 +1660425596 +1690370536 +1841849399 +51483862 +2124296009 +1717274007 +1937219347 +2124456978 +441835045 +367446642 +246188946 +186483809 +25352049 +778472487 +1055147809 +178607358 +1704551392 +2081257617 +636488696 +349956598 +1381746179 +225436975 +449874049 +1176254183 +1239742616 +1912434096 +251785021 +202282811 +220773650 +1722899913 +1087953599 +1881199246 +1265786801 +782319351 +1932683108 +1242599162 +352109710 +1722418807 +1219572493 +793944755 +2089865449 +1465761439 +980428565 +2115217498 +96750278 +2035576374 +146341208 +1801301670 +1969350343 +782829905 +3774621 +1203612874 +1008266880 +453648670 +232383410 +100525848 +218599118 +484168431 +302808659 +439372768 +59584696 +1390762259 +173088366 +1325371498 +25597962 +2105771474 +420487012 +377707672 +1680706634 +1640059505 +1171652428 +1623088435 +958337297 +4597345 +1590822286 +1055087575 +2040173719 +1737163494 +708905598 +1862040415 +372509751 +712680219 +918169641 +1380776631 +1166328889 +1150553051 +1481302480 +1384928007 +1634721482 +1784111139 +1824300775 +1694306179 +1027389750 +1997389141 +872194029 +1052987712 +1955676967 +1292681041 +1430695385 +1488899953 +785256899 +454864165 +964504741 +1743594196 +459461510 +407843379 +651198123 +352151581 +2145006873 +1360103721 +66708348 +370032977 +2072783940 +984877990 +1750809608 +1091629181 +2135431041 +1084628440 +329073540 +1622668876 +721255932 +5890667 +1169491407 +1748645682 +2003279808 +2041685436 +654149747 +1811473128 +1186882829 +2084845132 +1152889433 +1972139728 +392225649 +2117394174 +1568250276 +851687159 +377753905 +71964752 +1203838740 +375277131 +1432068473 +1270547089 +745310108 +1357368766 +107941431 +348636068 +301514299 +95888824 +1433264509 +630587840 +1718557700 +7036793 +636478507 +740565459 +1755682475 +492274668 +634767247 +262348574 +156264148 +1821650077 +199710058 +1309153581 +1646306157 +591935707 +1279064108 +1067072786 +1443622866 +1656818013 +1139037538 +499977959 +2032095144 +423622363 +1770525048 +629921604 +1780991129 +1878466479 +978557673 +2082505429 +1974355303 +264338534 +565609621 +1545429356 +271375327 +1202088128 +138511167 +2027057802 +1694362796 +773278415 +141922729 +1850626944 +447444844 +341632787 +1012296878 +2093751001 +933568495 +143877338 +1013340139 +229707713 +1800695351 +4894029 +729685672 +1685306848 +428516393 +352727072 +167744804 +62023874 +83709903 +1146302477 +2144529303 +2058065207 +1410641011 +562655276 +1456010915 +1682016338 +1764743405 +1594522082 +1561590493 +1311622553 +220316849 +1703513222 +1014765850 +667761693 +2045146009 +2027062728 +614029047 +831230856 +23456418 +1627369186 +1060938570 +1824151769 +1632263216 +1790624242 +1361974969 +2060779609 +2143351315 +1529719774 +2122803483 +79577570 +528538603 +2119849139 +2137642777 +1939179615 +535020767 +1446170044 +1473712305 +152280524 +893208479 +887819150 +1463903078 +1113525328 +443848724 +331185280 +1781287022 +341511086 +210764360 +247832421 +1172741942 +234220778 +1875201607 +86196864 +2058372547 +1359981175 +1876821107 +1272863869 +1273277136 +1872688774 +655099995 +1248596972 +1952266344 +1183638598 +1220962463 +1942425474 +975334565 +1755983230 +1241111870 +301563223 +1908263755 +2134320349 +1189382373 +1224683185 +1100362030 +1633231098 +1555868465 +734165404 +1974742184 +1766632825 +981997825 +1000000478 +2000853603 +709715784 +1086197343 +1911742502 +2069696960 +815534802 +1037122723 +1195490448 +540739928 +1692222718 +296603772 +345522624 +728377669 +1517566235 +140464450 +1703712234 +1126065818 +1381576321 +2005275457 +886845925 +1368413022 +1047174183 +2111529110 +321291404 +532921633 +1519913927 +1055456808 +360180169 +1139063104 +2037454633 +1360180647 +992433059 +599686770 +298894342 +756691913 +521900082 +1114429144 +1793814637 +1717390530 +1655169072 +1338553707 +2013994303 +2000691697 +2066931376 +1384076890 +2141156147 +1623159963 +362659060 +1375248820 +1480951772 +1249504985 +596178195 +380642307 +1213550447 +917469599 +913563940 +585980726 +1972926408 +1273744109 +1725043830 +1862897393 +486441109 +569993241 +315100515 +785335451 +1326685155 +837000597 +1899764596 +973016144 +406907480 +1407450020 +164086203 +273418135 +1260658069 +83533932 +1657495025 +1254330569 +1706693895 +2020154086 +482095741 +1040162019 +1122175423 +1078273936 +1420804327 +188242223 +1995743536 +186884619 +774222949 +1821186296 +1460628729 +351783132 +1536600041 +1947069838 +921776373 +1851700557 +584921641 +100977880 +541217506 +337202589 +1073994024 +948124986 +1744652610 +1238080228 +1221543121 +857827031 +1321614160 +731554499 +2112157600 +880824407 +604224937 +446769694 +1920986426 +1726400360 +1525043630 +1194307105 +1914642583 +1373303518 +1381191725 +541381885 +1047006166 +694336806 +893165017 +436122560 +493922996 +1814941390 +140339469 +1078844637 +1915919271 +681556975 +1416047227 +842429647 +1629681962 +1013216189 +2080509875 +703741435 +1871043220 +1254640387 +1435295934 +1835717173 +2135464794 +2039520871 +135003219 +1908967573 +1618437584 +1660046849 +955791030 +1385596519 +885866720 +189499107 +1926978404 +1932872886 +883835913 +672659773 +221511798 +1377758909 +340117516 +361851267 +309119899 +108553139 +1043408243 +1725167126 +950982786 +525606557 +590899667 +884009014 +1229347992 +314459239 +2138649401 +517160279 +2692764 +2126630548 +409197502 +137695983 +1888114473 +2027635086 +1797742833 +696421855 +1265747958 +536125905 +885920963 +1045242714 +321515143 +1769756876 +1717902488 +543026942 +1000032138 +2058020004 +904878209 +1309152037 +19089495 +1948286452 +886835515 +970072281 +326409361 +1477735182 +1854081295 +1555757354 +1792194421 +1845247049 +2072917633 +1794887186 +1824393949 +334631487 +1932583169 +1565024774 +214782926 +1582842354 +113962981 +1480530884 +2118968259 +999883944 +378289950 +292999755 +622157173 +2096192438 +836026697 +1622189311 +2006728794 +1740904906 +783857700 +2025818289 +1541707711 +1670693215 +848406923 +1868117072 +1000944749 +555004570 +1276390778 +645655522 +252767971 +1201824763 +293059060 +2077161920 +1536456251 +78158582 +1494703046 +1751239177 +1661000936 +1608666028 +1084286413 +1632485548 +461066324 +1462576363 +1925485303 +1083223497 +1411285154 +614028352 +557929160 +1270530300 +207449610 +1341786860 +1148864942 +1749157321 +864996427 +1997271865 +1469790746 +1865941176 +404792787 +598697876 +364113051 +657560759 +1800522640 +657172111 +587239031 +1189495243 +735330693 +2081942078 +793250772 +248847982 +1543124458 +1877537185 +1881333530 +2004190782 +1192629900 +1659335185 +939930632 +456431406 +125879889 +1497859792 +1726961707 +333329499 +692163005 +728343001 +2082486821 +1557159432 +578131218 +1404793919 +1275616961 +982924005 +2003491795 +1639730012 +1640484764 +1656530787 +149418475 +80240148 +698542382 +884749169 +14698578 +1491793154 +1133597151 +1557823036 +1221846691 +867447033 +1414530170 +266992944 +379298570 +206977154 +723424350 +505178459 +1704836947 +302902409 +838507958 +249516304 +1031245410 +773511131 +1806675736 +1609376628 +30821402 +934809049 +444816986 +2034313198 +427055413 +2085301750 +1543360337 +576473889 +18058250 +94419072 +1461223058 +32756828 +1586212226 +447336561 +1590579864 +660575270 +1314783594 +857626387 +927568214 +1694082164 +1064603541 +1650992564 +51776975 +621956840 +1953894974 +890284933 +871473144 +837656736 +1663796065 +530665233 +299549717 +1694617467 +1465474282 +744366703 +1581447017 +1892529696 +682184805 +977323707 +321519937 +700243056 +1071742779 +1782742995 +732999884 +510471357 +82595908 +176096101 +1171046627 +1397379502 +1033722488 +2098614841 +943978018 +2098326029 +1602123758 +995754993 +572799222 +1408535084 +1886039926 +1444272366 +98708172 +1402352343 +1974937599 +398257889 +949486163 +1292928234 +1142624592 +383449532 +1037974282 +1824809398 +1360773239 +1359494219 +377568806 +285032370 +994753566 +1110568690 +795503728 +1077349474 +1286664791 +1966550355 +327245328 +172903631 +1917681549 +1271223346 +123746013 +1372321659 +119494691 +696545235 +633373095 +2005534617 +2140817601 +732081267 +1260403313 +1968271553 +1130339157 +62405828 +1113716139 +125480101 +445855360 +4206773 +1950289499 +1806628600 +1363700992 +180374657 +2091660970 +210970910 +1290943348 +739681050 +1288320384 +430124491 +558747758 +1615565712 +603028123 +328945659 +739305410 +726774136 +1701267318 +858800101 +1423319371 +187156765 +716851070 +1416653324 +919238032 +1977254383 +1237441229 +2049577189 +2039660211 +203673720 +27573643 +338031924 +207880493 +1977863142 +2144660524 +1571581485 +10754152 +2088837846 +1782552395 +1301697500 +681035249 +923389131 +1731821991 +1239783007 +391471195 +187366466 +1568728666 +1130776605 +914140602 +1122512336 +1989576706 +189976325 +1309669101 +558944129 +1606629650 +81423485 +388714864 +696587231 +2131000675 +280891428 +900260952 +11090670 +618923352 +1108141445 +1988953812 +616100228 +532239283 +1999707964 +557454426 +167308030 +1153921816 +1238489675 +1090697162 +738260160 +330789034 +1482168357 +925626626 +1899517700 +465461315 +1839767229 +874546388 +307554373 +2029743554 +36731841 +866498502 +1488889556 +118155327 +1255213367 +37993140 +101672354 +1536104795 +938254092 +112763024 +7544499 +2046395537 +2101716836 +623644727 +431151172 +1953941153 +1181099153 +598459203 +960379321 +272105181 +1689156365 +1698639481 +602894215 +1023841074 +476782460 +354928268 +1489302389 +169066041 +1229474656 +1796856763 +51325947 +1266206498 +515871617 +1540215504 +1384361825 +1771084984 +1578208644 +1486034179 +1159706131 +368979088 +1598797203 +1167250630 +267890977 +1553030391 +1790895357 +699042150 +1359487896 +824510863 +1297501353 +172383570 +1096616044 +839174070 +1871023051 +1699510259 +1863015144 +200321863 +2054438527 +1204833886 +369387904 +1136429536 +854207001 +420713852 +255152386 +1370078618 +1960929356 +1639514211 +993679955 +1391654352 +978064742 +5902438 +1760633440 +429378297 +1173153069 +2028524417 +1982408688 +816564778 +580082919 +1194412937 +1641075641 +1877584272 +1366796507 +590208037 +569274694 +1090335910 +142234649 +284806191 +1290657774 +49189528 +1489640077 +1660045678 +1185619064 +196363430 +2080759530 +1440771450 +1566442048 +1894205238 +932802013 +412638355 +1138375942 +1910866755 +418540794 +751525734 +192761404 +1591693863 +632566504 +27686445 +260774993 +1212649423 +1222099382 +1901850635 +942750048 +441412241 +344575024 +1512024742 +1531748151 +486809673 +1796830933 +674922277 +535999202 +1138987362 +187484308 +1721618266 +1335350792 +120760190 +1014906069 +754309193 +2014965429 +1947708082 +1166947548 +1005857723 +1711091190 +1585488342 +1757383458 +1903852594 +1029698557 +242466314 +1931539039 +1290473551 +1455115737 +1006154773 +1044840538 +250382137 +1447567014 +1389415562 +1762406880 +831831518 +1876225236 +1411754165 +1506753795 +264740790 +403257880 +1694238103 +1986359056 +1738608672 +1814998294 +853781477 +345434217 +1682480075 +654005912 +1512381766 +540854150 +217613454 +950386460 +150753960 +2121466048 +1980085018 +393220274 +1905521440 +1123074921 +1848336012 +764192565 +20431811 +2098718149 +64275932 +1409847373 +1713641381 +896107450 +1138588961 +977911899 +255377597 +1403329751 +1381169779 +1949615701 +1242205160 +972294803 +1617130347 +2095986637 +1317729021 +1152126774 +602508901 +682627139 +1692980924 +820122355 +1633013599 +1843734885 +794104756 +1465614969 +89471511 +552142548 +441206242 +1937807523 +1316335113 +461638053 +1889042025 +1380611045 +1871485427 +1455199758 +129234847 +862590740 +285628009 +384612445 +118436844 +1666797788 +186744498 +1360642004 +491608944 +1803874845 +1309144993 +1809337965 +808517971 +1911653895 +344481456 +354015247 +584292602 +1977495055 +50266484 +1378397358 +1295626377 +139737996 +1930539906 +1736832619 +2077545519 +1099391372 +50987025 +1819103896 +332518769 +1922472452 +1126820007 +461753617 +637579544 +1412448016 +846366062 +756016388 +931762157 +1033110560 +2116658392 +1423371101 +689501757 +1278319738 +1085225418 +1498019728 +1042489985 +1429706874 +1852034975 +1626782587 +1259718281 +1902301460 +857696298 +407861010 +2042039456 +640752556 +2144693630 +1972101327 +1740143928 +48197007 +1643721576 +2072662698 +1970669459 +623057935 +386932667 +460765355 +2035505951 +1233298729 +1216781744 +819784460 +118925641 +1185956488 +95671913 +808427398 +316792578 +1180897331 +158963478 +1359282563 +463120557 +2010998453 +838581503 +1722838839 +1765816265 +1696277801 +2130699849 +1660372073 +189546709 +2127909831 +1484989753 +1929690638 +28623190 +981227681 +1854869688 +1999292649 +1604285616 +94318707 +312574357 +1492307919 +1327617436 +1529356101 +164608732 +1446543077 +567828941 +260280645 +107486827 +884621520 +1441177977 +266450305 +96420435 +1904298534 +129965110 +935001938 +1479653725 +1895781376 +483796091 +1462869927 +1408669801 +673342801 +1443296110 +746175906 +455549791 +1471919301 +1727403587 +162935831 +1323728302 +1184205555 +257254538 +1636302659 +529029827 +1584871974 +1018175112 +693638559 +883931403 +1586004054 +953919204 +991418230 +323141926 +247613533 +1257868535 +419562361 +4428420 +1387833645 +1354564300 +1484082145 +1136131373 +1838360391 +799468424 +397317527 +364219544 +95280887 +1143493433 +819769335 +1567200188 +723413373 +982705166 +743444842 +1907618928 +1239959704 +232263854 +289165107 +677348030 +1250438966 +982803666 +1561279433 +688959372 +1936722871 +405214015 +1012101298 +36852756 +1663082550 +1431663660 +41281176 +903432548 +638744312 +1525363322 +2039563921 +329621055 +177348098 +289397800 +693840600 +272628985 +1432891234 +1513609935 +1839829173 +8820959 +348831454 +435790368 +1916439887 +1588791158 +668054222 +58121347 +118655541 +1918493188 +1040925013 +1679934974 +459968913 +830164236 +2085148990 +1472070211 +867016993 +1600747892 +756250223 +908298169 +356696792 +1394994535 +286177843 +248777066 +1724615591 +463525942 +538174866 +270972543 +736154927 +1971066100 +1784582478 +428500453 +1979887059 +2133413932 +864290821 +1748843299 +1574721443 +1532345043 +1806964646 +1693376984 +1303354583 +700406011 +1225828310 +1763323496 +1530570248 +1163493652 +1087910060 +250103593 +616757897 +1844160283 +1158401762 +973454689 +1091671171 +1444579606 +1222231755 +668803114 +1908105548 +1760406622 +939775657 +496776827 +1583989074 +576874487 +925277280 +1416392486 +562804772 +1789568101 +1017752137 +2137526215 +1174429496 +677233135 +1683419551 +330300432 +1377639146 +761764213 +2093623928 +760725746 +1925257866 +1034050340 +1010829339 +394532115 +730726976 +21747454 +1367986804 +1822398147 +1466327060 +442734912 +343717613 +1226948960 +55657886 +1283493270 +1723725787 +1639646960 +1860367757 +501519420 +908555798 +275688881 +143603873 +1926307935 +265731448 +1318033370 +456057422 +1949150999 +1648333802 +1833696569 +563431565 +1594474082 +446938667 +341205783 +481040775 +1457768007 +735737898 +1211767751 +1479515461 +2103724702 +886682250 +798358873 +398975966 +1230399863 +2025307833 +454633852 +366409485 +1601549972 +2094280813 +79293594 +2103069392 +855352963 +354982476 +99189618 +634177251 +620713924 +1417222988 +1090234673 +422381276 +918073142 +776447594 +985812841 +365063576 +1223386262 +1327018624 +846104351 +533670621 +2062756522 +2057872102 +2013186082 +2018997576 +797070704 +664061307 +270489895 +2027470567 +541885492 +725123747 +246396404 +2143435464 +671920912 +325689999 +2099021209 +1527273876 +680672475 +50727179 +13967479 +1301386399 +1467950167 +1104202152 +1723767675 +238539661 +1880649747 +562096868 +603603237 +956552361 +1889115492 +1449707589 +1490222982 +1804388366 +1360096043 +1355925416 +1675902295 +9683100 +2019986723 +1946392190 +2037153667 +414388567 +524032289 +136066424 +410340383 +1195953202 +461756423 +361877944 +575743430 +1142428898 +412605123 +589710909 +296331649 +1880555290 +1693913061 +2020099325 +2119094951 +1427079160 +434712545 +575214541 +236147873 +176344390 +2024922130 +1726370855 +1980732756 +1237534525 +934812623 +1509151403 +1247217625 +807315698 +1308059945 +1136887645 +1221704265 +1832092235 +1272954069 +1632044649 +880561789 +1734710492 +1993922593 +1456305219 +729655742 +259044069 +2046016128 +1025987391 +2139599359 +1592445541 +898603068 +2111210663 +872041054 +1333315614 +538941556 +1108188927 +1509660004 +416380038 +687076135 +1342909112 +1653914563 +1621888758 +704576868 +753648541 +281720809 +2012636813 +1890536186 +1503425074 +1697245400 +1016006607 +987986075 +430323541 +603233451 +834425021 +1886628760 +1332889193 +1093469090 +1785161240 +211392936 +1085584801 +1230123134 +1109996005 +1049311816 +2102164188 +295827971 +1588253372 +1062869467 +1805487975 +2004633410 +1749945602 +1000913439 +1511064326 +1224350713 +1705490307 +117229219 +1506071522 +1570643473 +2007765405 +862012948 +1120405225 +876288364 +1849999024 +1550728767 +1479521815 +536940397 +1289873879 +664927360 +1630409487 +927551472 +876320296 +568510640 +10190958 +1986316301 +1617822457 +2112355146 +134660624 +1058592181 +1027740965 +1940148599 +915741944 +630202920 +793578391 +279322622 +1854553633 +351585050 +396551841 +1213141507 +1922228523 +256833598 +2075154455 +895150101 +1133121962 +1777669831 +298395220 +465160129 +167126580 +1588269099 +1130087489 +1797536067 +368336923 +2006407785 +218563060 +378527881 +1845240439 +1836385517 +343399379 +1979901063 +747494050 +1371140345 +1772566015 +1663235994 +2001343265 +418660758 +1942558616 +1708413250 +770245808 +191626809 +774071109 +544990684 +448460407 +701741916 +1440140785 +1581582369 +331928100 +1738536005 +2046742498 +499054680 +1179321456 +1029346339 +149107100 +1547658380 +888270477 +367670160 +1926186261 +586027268 +56572029 +122101993 +418444683 +804066079 +1493242338 +43527050 +319818426 +1347101955 +462187808 +114893394 +908031557 +1232433617 +306520204 +1682102666 +1777424301 +754980611 +236360934 +1070081438 +189079333 +568289034 +661133795 +88338183 +1067343715 +1840455251 +1117684523 +1216450815 +1240629983 +2005955000 +1584120975 +1019332597 +444498620 +1640693004 +1141434590 +862943303 +297275435 +487193280 +906470354 +617093861 +1834295235 +1368658162 +731987256 +594843144 +453608131 +1038507460 +129462162 +83548784 +1793488071 +365823096 +1153630222 +1982567404 +934112131 +1814764017 +2070905588 +2001455846 +1507735621 +1041106463 +1070423013 +600881956 +899577815 +507060340 +1620214553 +1344076435 +269696 +614165495 +59536090 +297545131 +1101358775 +966006444 +914638993 +788170362 +187180959 +1646626249 +1383013506 +640789090 +537650061 +1512475668 +724337875 +183654484 +1878298765 +1877968097 +18738241 +664927248 +1545248467 +2089643829 +518899446 +905500440 +983266644 +1589322459 +1506382396 +1882844459 +2096382799 +979113302 +1079437246 +2096652495 +1593278797 +1138973336 +246713978 +547153925 +2104979781 +1161352971 +1335324287 +144677092 +660495572 +570854146 +785466182 +1198145633 +2083329814 +1509804057 +1381800118 +1814144931 +1240288507 +1400538359 +331588531 +638053326 +1342698540 +850487977 +1543553766 +178481536 +292326788 +902452514 +2061325995 +241225939 +1881565816 +993279593 +190394786 +1327360966 +2132252929 +437108765 +1874514891 +2089749062 +1598461736 +1062355530 +86942506 +111473661 +1633209676 +872408689 +1309619294 +1569055843 +234729098 +543935764 +1235717126 +1475017605 +1944474123 +1567305658 +2113070931 +1139689015 +270309987 +1509141049 +1318170551 +562636776 +264109916 +1232012898 +803862715 +2145675732 +77808843 +994257502 +1325553050 +62578125 +1431366267 +1052584293 +4843539 +882344355 +2114939824 +91786046 +993818016 +1600665852 +964194735 +155953663 +1022238047 +1198923833 +699889427 +110471526 +526457791 +496879903 +1677777184 +492045074 +1636568918 +1948087171 +2001186124 +807255822 +363240299 +117812392 +2039268720 +1167103015 +116004476 +2117077564 +13876869 +1441557527 +32172041 +1445243136 +346658172 +37015580 +180103843 +314114348 +128801626 +1173921860 +1914780201 +1092996361 +1329875523 +789534600 +144436547 +2029764950 +900006126 +670894338 +379161205 +430299662 +1162939412 +2015730124 +230903186 +1016641888 +675502298 +594143485 +1134454280 +567287370 +1761246500 +1250458757 +536881286 +1775123369 +544532636 +569053327 +1072882857 +891190808 +606068908 +1252986701 +1205305157 +734870534 +279424913 +972601710 +1827866896 +1609300436 +1762136310 +1972303443 +1491581738 +514658789 +495714133 +1870742944 +944958451 +1658653545 +1738989420 +1175861637 +527811786 +267008070 +1770005123 +1662266066 +834295440 +1383767975 +765241175 +1371176727 +1011407697 +1309773811 +1940230054 +2084290554 +53480972 +398815314 +1189793607 +1258786129 +1133685849 +1469218520 +83904191 +814069097 +931035308 +1846040501 +638888892 +275133399 +213215642 +1134603025 +2145876343 +1158174094 +645772922 +1737382115 +186552083 +1173584708 +2004390185 +1956557206 +688367127 +691201977 +1192841534 +1453608302 +2062378704 +56765583 +615898466 +1855125111 +2141056137 +669379438 +106456777 +1183366097 +1928165567 +1240142626 +505100969 +2012069758 +2054211723 +1436136278 +1710626611 +545616967 +1711269677 +1923842254 +1680219992 +1709662372 +934532700 +178509267 +1299560839 +1121084783 +1352093975 +1156467376 +930158342 +2040461102 +1847669353 +2122999876 +1346585757 +1762564410 +32281811 +1962484223 +1470205873 +25854300 +484380013 +1576662650 +1209220397 +265061932 +669321629 +1714321367 +129648042 +576049704 +1002973997 +1840274653 +1121666672 +566760026 +1616633259 +654403016 +128938750 +403682311 +832912283 +1428499589 +1524767095 +37522611 +437483317 +307441789 +2077983713 +137669022 +282958017 +1277085822 +1900233432 +315239828 +1092086397 +1222955657 +341094128 +1576466410 +652134660 +1550314526 +1841528342 +1321456289 +1117152245 +1971176384 +1897505993 +2120126242 +1663967390 +871689017 +539402620 +1133117001 +1526092034 +668341370 +1536799313 +211520669 +2096840959 +914082760 +249043280 +386840628 +1221524549 +179543346 +524509650 +1504482566 +1456629168 +277259435 +1819722394 +401231918 +1500215092 +13332874 +1977698328 +4866104 +1563647400 +1671743023 +1326322393 +533315997 +1495435759 +1076344739 +505958591 +1011919501 +1948033756 +1045361211 +2145036503 +1326642142 +1713702581 +1534352168 +1538162812 +1663059892 +300951280 +1787206092 +2049900520 +1522475829 +1966749438 +426926523 +879474747 +1275894959 +704185958 +551713493 +1677126877 +56917402 +565046367 +1507341557 +61783507 +2128693768 +1031600932 +1388105900 +514526117 +379553044 +316966991 +1020484709 +1391472545 +117517100 +2065845920 +1389025400 +1444159242 +1632064854 +775893920 +834838406 +1147641098 +1076845200 +474560851 +1050057971 +451837381 +293826641 +1476984494 +1331312128 +1569721600 +33686804 +1883025621 +1099364829 +90604206 +300588341 +459222739 +152387713 +281798461 +1490823671 +1540493614 +796324578 +1870376715 +1857460605 +1816809287 +1114365613 +1974977705 +1735171560 +355907365 +1271653300 +1219752766 +1131801286 +2106491706 +219910216 +61162838 +433568909 +1269968187 +513000220 +727395551 +599469033 +1844312348 +149633503 +633155837 +1579854322 +1248998333 +723760044 +1880442663 +1708221072 +876147757 +14757476 +1051561095 +269157723 +811082054 +774454163 +2126618329 +480407694 +1888819776 +1954112386 +68095606 +97243493 +1078282038 +1287848372 +1229044779 +1037290097 +1507758588 +1290207618 +1470859006 +630243128 +1803207838 +50770909 +1229712161 +1500036538 +200404413 +1862867999 +932407212 +1449402746 +439144395 +665366227 +1010140170 +1315292152 +680123703 +2061701265 +1584449876 +1491205758 +688671780 +1563584557 +1971613452 +430007908 +1370213295 +2039709058 +527251402 +301011686 +1180073782 +1756296181 +1338301783 +540348722 +899020151 +661677141 +1170591850 +554744341 +712448051 +252820364 +2054780880 +912852464 +2115688363 +839704444 +214771562 +407349110 +1505070672 +1224911732 +1722641262 +37710727 +1139129349 +1159607490 +1528916485 +1827801130 +575708399 +1353046289 +110325390 +1945921695 +1245271699 +637576792 +99449733 +277861833 +246389326 +1437751516 +818210556 +1145409477 +2099428657 +1988802406 +1700153819 +664393060 +94139122 +1607451051 +1577245524 +62343837 +299671847 +1792017086 +469692947 +1804742519 +869445170 +44850562 +1842453247 +2008574520 +1204458052 +1223886084 +1688892002 +1780166452 +429448726 +1799217392 +1578604499 +1674720425 +289310537 +1678054232 +1952582259 +535699863 +968322100 +623309167 +1681109340 +920267109 +464627925 +1233779511 +1584660170 +558767048 +693746914 +1014422046 +621110885 +993418762 +658955485 +1090803833 +650677633 +1528400655 +1135654395 +345647232 +1389491527 +192628799 +1569533317 +930899881 +1972795251 +1998982043 +582633626 +1403916102 +1526218820 +871944163 +934486686 +1331317431 +1407644026 +1902808786 +1954626598 +941269718 +675592248 +271770876 +27565582 +112768770 +830537924 +721312496 +1127190816 +1451648809 +1714731258 +1786146301 +394968994 +217925244 +1167063309 +1530623389 +563572476 +409071188 +1723252189 +2133105793 +1339971070 +1548563792 +1984604188 +1922604696 +804996247 +1363339361 +647065211 +1739482933 +547173144 +2054709237 +1494808072 +354316095 +848495307 +22916672 +626086971 +876060889 +135685442 +1456624895 +1597373386 +1262876258 +760790056 +1164620996 +901538912 +1155759051 +1382546240 +2068602221 +538898792 +1946118717 +330189761 +114667333 +1931740862 +1670160831 +1663231126 +1768861403 +1445281879 +320743725 +984717116 +2092347090 +2060226658 +1531890260 +1999572679 +1407551082 +1886206355 +700584339 +1430467754 +364809678 +1576645228 +1566153196 +1821434573 +1026534966 +681545807 +434740982 +43672315 +1583084719 +1590500033 +1426218555 +1504203292 +2129398825 +1224853624 +1834393053 +96582511 +1009110839 +1357070237 +1759813637 +630488594 +654868468 +2080557362 +1615205710 +599731911 +1993300372 +999612322 +451820942 +1253367807 +738335030 +1152405281 +536351913 +1103144708 +581566862 +2102505110 +777095634 +1608101828 +636567269 +1211836616 +1651774143 +72168340 +654853001 +930509051 +1576371632 +636768178 +7879027 +1263281037 +733350689 +1016989866 +472867626 +345680678 +1647478460 +1127736095 +278754392 +1115200522 +1727468006 +124571117 +2114812845 +31805300 +1377938924 +705664227 +1184210582 +1914290837 +1808808935 +1765777444 +1869312299 +438420921 +1226395624 +358395920 +1650257537 +730686120 +430564260 +157626890 +1661195171 +2006935892 +794395069 +1669074198 +1122733282 +1527745758 +538580417 +1595600908 +1873426437 +38575229 +575853355 +4697181 +1153775752 +155837713 +129268298 +1121104949 +187643014 +1507207222 +1826769176 +1371853596 +1274014412 +1488094463 +990147392 +995843063 +1926515385 +69059368 +1354238984 +1429289274 +799745488 +1784803244 +1586916165 +313457011 +1644255489 +233827586 +1982531210 +619505123 +1761573344 +373627979 +67622383 +1487516133 +412203208 +643475739 +1492213315 +1565978960 +799313452 +1621481613 +539600261 +986956466 +981205188 +218885789 +211326414 +107735952 +1706980253 +1201473806 +1103579015 +1486011990 +1270533175 +310334351 +767817616 +2070278663 +2095137596 +207250133 +236252027 +1591909437 +441077719 +71299589 +63930912 +55167416 +444927568 +131553295 +1542683549 +857130776 +775029034 +887413216 +275626089 +1574342487 +361411182 +815226350 +413815305 +1342616370 +1034112140 +625141720 +1450352322 +593608745 +1826615526 +406447689 +2079620735 +949665053 +716782041 +699954703 +872460069 +664435989 +907204837 +1108712096 +108861778 +1348282556 +1180011685 +172792690 +1403449972 +1624939253 +304345985 +798649874 +334586381 +1079375020 +1686063090 +610212470 +506233859 +2047474272 +1425438821 +920049164 +1242606994 +312067313 +1545190884 +545475668 +905676058 +1224322763 +951923358 +837813145 +26504168 +1668705399 +1537767848 +898964237 +185657740 +297489037 +2007676333 +294519518 +1645771594 +1040204370 +467312208 +901737918 +517659975 +771658193 +1700387792 +852246357 +1851033213 +1238967235 +1462458827 +209783424 +1138957859 +740414000 +1129832589 +234081206 +1052481313 +527539825 +779556874 +1958157371 +1751862588 +1731480232 +648486868 +1778366757 +1252701983 +38771069 +529847346 +1438359723 +336260106 +390040032 +1732879241 +1982031700 +1430244402 +52707801 +736285971 +1947904378 +824365995 +289190115 +652667087 +527915560 +1528157350 +2115125914 +737698985 +519631562 +708056267 +1867531574 +753712768 +1760537580 +247587751 +1533269642 +1571211304 +1999450340 +1117266227 +72214524 +1630333449 +222484562 +110985593 +12697147 +1660844286 +447245700 +402737179 +1246239879 +281793752 +1832981582 +1298947681 +1018079723 +1633402312 +2123313676 +1307269839 +138585751 +503745588 +687943541 +106228017 +1241444573 +1207575103 +814284284 +961492499 +1961287871 +427338217 +1209080251 +1347073866 +1998549521 +1061046943 +316856445 +2070764045 +543896744 +539341007 +34265991 +556593891 +52701645 +481511691 +959331071 +1298941525 +763305443 +644829005 +450405558 +1781385167 +130747669 +426235586 +941171358 +269333420 +929981174 +1629114899 +375561437 +23942100 +689206355 +1189845722 +985434599 +503010578 +1617183939 +47031202 +1850084444 +1468249812 +1108078145 +19457241 +1391530209 +1651974889 +558798249 +1425796200 +61085133 +611499894 +1907307891 +1020416204 +1910441419 +523129687 +1665245209 +213363329 +157031206 +1795992878 +639598915 +1098202564 +2065326298 +1569580090 +579833815 +293404087 +1593522190 +1269040170 +1483249809 +431473141 +1772050749 +952950100 +478504344 +1474651545 +273716264 +1586582489 +1494108787 +1665246474 +1091073731 +2052907036 +943559026 +1152158864 +516923282 +703383270 +25091420 +279881054 +1226512957 +1690336629 +493244383 +1383544163 +1338845859 +1132843299 +334263079 +1256688509 +554939741 +914096894 +1550092596 +978283 +35653417 +885858758 +432451424 +1807704166 +1838808858 +910955768 +1134872063 +2112525123 +350054610 +481497202 +1630287949 +1441128341 +386920590 +426363327 +445803557 +903843873 +1129746597 +470894977 +1183724927 +208775906 +13747958 +1676969310 +1592320069 +1352593817 +662328961 +1926583148 +461798678 +1217268702 +693196395 +2011891274 +1218246985 +728849812 +750266384 +1650698410 +389070330 +441591595 +414170530 +1523942393 +406633070 +764225140 +2005439596 +2036921019 +57869833 +244876538 +315800698 +503673390 +1148720411 +1445547296 +974568367 +184961690 +1654323202 +988316325 +1861931001 +1099159624 +193426494 +376776314 +878259124 +655225172 +1594045017 +1571455519 +519632799 +664808354 +152821683 +1269899183 +168023116 +541892013 +1711490778 +582193647 +2065834407 +2118123848 +1346418787 +1923790355 +2007561219 +1404288621 +21183245 +175878270 +1907962011 +1169903657 +1621425566 +735046731 +1354865347 +1128265120 +1723363056 +1069312700 +79941096 +1916789551 +1446089015 +958200221 +424531075 +892650384 +382172092 +944163874 +1557458738 +534993776 +66579410 +1725481855 +1076885789 +1778070188 +160191854 +995236548 +1748710389 +1506610641 +771543255 +1608787960 +763415614 +792726501 +1784666230 +523893978 +1962630158 +1258608148 +1258940709 +1170011857 +239389621 +834820117 +91840910 +319330717 +604126020 +1537929925 +1277530938 +1028657096 +283096661 +1659703031 +1972820970 +1840555399 +47213159 +2039400380 +1418553606 +1124098948 +1669986921 +1578745460 +2119335497 +1271213662 +937872454 +743395104 +732517974 +1701288068 +1536121605 +369700557 +77698398 +1351268115 +1628308705 +1336639107 +373796325 +1867698326 +23975577 +465637235 +39545396 +628101597 +2003567160 +1317076334 +1656758693 +139180173 +829295717 +1482096016 +1979735572 +876508876 +1374012748 +1250805531 +2000607825 +896516021 +682067343 +1972459674 +20246035 +1619939797 +568371130 +752764010 +1173744218 +2104492736 +1122464567 +1251442616 +1308277203 +603289624 +440598076 +1682073528 +323504303 +464573653 +227115 +363049699 +1092675250 +2003794275 +1680126033 +601950296 +2142974448 +361938103 +2084046312 +1975226373 +1238446979 +1310575412 +1078548256 +1091571156 +59607786 +1760615599 +916547182 +79853821 +1233071749 +1484918313 +832617831 +259332319 +1441927401 +1955082398 +1510774935 +602720956 +410888375 +1951373011 +137310837 +734392678 +268463016 +137537952 +1097442377 +1361138267 +2141332228 +630084762 +1963088563 +2136823028 +992022865 +1899651227 +1964565753 +82986197 +1062742991 +895630361 +1174557353 +1122350777 +508762313 +2091104536 +1202204599 +1741834062 +1428539201 +2034822430 +2001166381 +722982954 +1842421181 +1364457668 +1325703910 +105825908 +1168347032 +1463014747 +840218586 +1436810048 +1600552700 +1937660963 +650464667 +1594401280 +420262077 +466069582 +1583740660 +1412284943 +218237161 +1400822766 +1495271140 +1280980153 +148969479 +522344845 +255847282 +657731792 +465965733 +1458051881 +252082206 +1894504934 +1345390664 +105764939 +470004240 +1040328197 +1470222608 +1795708151 +1146154105 +491085992 +1111239250 +1986372691 +1927896040 +564308302 +1776550006 +430877060 +11225934 +49328435 +896946642 +1594966595 +1461613378 +1115183804 +848305713 +809400870 +248680309 +997275192 +1331745716 +504527591 +1655006985 +1797711449 +1962579473 +1907089191 +1544732736 +1160486489 +2012854131 +2014736976 +53331038 +1335593091 +1662961479 +1199485143 +1826679083 +626717082 +1038374186 +1607091475 +1191025384 +667440544 +2037968535 +1202251319 +716768979 +787431530 +649734266 +30898710 +1902615334 +1498039979 +840299580 +3811995 +347831523 +24561648 +508339586 +2002838508 +1822273098 +323435411 +1762444052 +1219522186 +1483921900 +1627814535 +1086775514 +1537252938 +815923978 +602253346 +589254433 +495119413 +1228970428 +1627628619 +2102210888 +272512164 +147585515 +1992695776 +1474763483 +864354495 +632643658 +2124497749 +895253205 +387775344 +1475054080 +1735552785 +391587339 +1822885604 +1760114434 +899926925 +1678240464 +1434903884 +1223362337 +1293200868 +506942422 +559800589 +773531755 +1593717936 +2097053528 +1589455733 +48487634 +538824313 +2084575146 +1277458062 +18969285 +2039302387 +1549970227 +166554800 +1884514515 +877250062 +1030909295 +369674525 +854264164 +1926162500 +757449869 +181834596 +1514231638 +1149037208 +2004720200 +1126862424 +2048964133 +1535477017 +414282660 +1124842822 +681194237 +921225082 +1684643412 +1454725993 +367459370 +1634213292 +896698078 +415947005 +25553957 +833789577 +1693405067 +44523242 +725608316 +1095891646 +211078043 +462639183 +1973141709 +1241987338 +832313708 +679922225 +1020666191 +1589763577 +861756821 +387414181 +591317137 +718993374 +1514276605 +492797622 +106986743 +1928559265 +1617640445 +788180980 +702300699 +1154800209 +95423325 +1069760069 +641529853 +992121404 +1485707074 +667083810 +1825910981 +1031628494 +711607053 +404035649 +2127520140 +922685096 +866674832 +1953178201 +17188786 +1698988540 +485616778 +1037854977 +1141268469 +1347373600 +1425269158 +1732585606 +2066366974 +792062115 +77899580 +25870069 +573137732 +1695540025 +814051049 +1275438431 +702856586 +909474375 +197714853 +1344386439 +1901595779 +1683421927 +2011470250 +1580023112 +567566773 +575593655 +1984058761 +547603266 +1498278751 +703249945 +353297819 +1515467537 +254754837 +838914598 +405838867 +1396023306 +38804550 +1831108025 +981125264 +2105171524 +475686493 +1059024844 +2131041593 +1048824225 +607081222 +797608994 +176779009 +1309937808 +1707083369 +374493862 +506840600 +1461195500 +2057915789 +370827202 +893734964 +477998915 +946420857 +730310077 +1025602181 +297215960 +1433560022 +1378900000 +1812683497 +1688314859 +70330950 +71038716 +936854517 +109135500 +1902146742 +1917979781 +66823376 +230349587 +829520978 +50381321 +1279173812 +1436602200 +847990316 +1455952821 +599056360 +407590037 +1830446683 +1105896960 +1868785538 +1740878825 +1476724162 +615036854 +71394092 +275661371 +1345346932 +1096996273 +572877331 +631423306 +328412625 +238077181 +172254518 +398743576 +309115897 +1109109035 +507879076 +63778991 +879605169 +574702453 +294128578 +1709126147 +625083774 +1573302391 +998244699 +1473074090 +881771564 +1597301059 +1880664128 +564734600 +555714372 +1601966018 +158129777 +2032438534 +69519224 +229523869 +160616258 +1414866156 +1326520142 +733493589 +2046289463 +1654932767 +971570770 +71060333 +2053676343 +1280686668 +1180169368 +414071772 +1344465659 +2059774537 +988774225 +1638594238 +1621417036 +1613857999 +1064412981 +472178087 +939448442 +1946184545 +2069479147 +672628922 +363435497 +477709871 +127111292 +521565274 +362664757 +196630516 +751089143 +523281015 +1611496673 +2077609285 +1256774605 +1510302488 +1585058405 +80861727 +1581362821 +1491251100 +1361548395 +614048541 +1905322872 +558530407 +526339431 +746613449 +49640997 +272819 +212987801 +1114053978 +472450907 +1152436243 +912754875 +394446406 +1825065165 +1276190373 +872156277 +1952176457 +1797755647 +1234821034 +1323325 +401361143 +1758102050 +1612819998 +331486780 +867393007 +975638838 +1916545185 +948254734 +409518011 +1260312638 +162319482 +1023566553 +1018151862 +720849889 +1549905984 +1764765312 +770490886 +1550178803 +1977753113 +1884544864 +2022629710 +982705708 +649816091 +269592468 +660287225 +1926006464 +1141748745 +464980034 +1576278464 +229086132 +466303359 +1977639607 +1987188182 +2079123358 +161642739 +707097541 +907278548 +2078187925 +1655352275 +1316796560 +1191016915 +1817671757 +192879465 +61685129 +391037998 +1742785449 +1826450441 +1161528884 +1145480604 +1656719906 +898590100 +1020626667 +491941966 +1548406192 +1290219135 +1152229191 +1326929008 +284484233 +1617209225 +755723824 +513570365 +2083512585 +585879783 +353274899 +2015152295 +747522523 +1060372440 +774947195 +678226800 +568241067 +2091743755 +1869243715 +238429177 +137139572 +1930928844 +629467175 +1879925021 +1609895638 +1790996060 +877921978 +1119131896 +542102512 +1898548645 +1611073863 +2090508704 +1041284132 +615819406 +1269954065 +1325768365 +85544984 +2025677889 +1839338730 +21573921 +464074025 +45129981 +2036726216 +1211596548 +1105502421 +664189763 +1889823348 +1673743489 +608449871 +1611583415 +1912172666 +745589443 +1395028611 +394156193 +478030817 +857440601 +37668605 +1355952795 +1976572498 +579771118 +1107017792 +1440162713 +522796174 +818276 +2055982119 +1792750239 +1326586642 +2141527103 +1670944481 +1018441724 +15617376 +2135018506 +1063571706 +2052343592 +1199131406 +21590479 +569049708 +941471106 +1695333968 +1177499579 +405570873 +1460022986 +1923089022 +1800599484 +1854179180 +253636191 +510556438 +1891847785 +1609588986 +339645288 +324135255 +569123130 +1779808001 +846931430 +569941407 +1688306472 +492198021 +1896528049 +1682349928 +15658854 +767486125 +1697967304 +3193712 +1831057831 +1602827249 +1202325118 +1852648311 +24393309 +2143796224 +1400498631 +1201892888 +401883449 +713037970 +977498262 +54999286 +419733502 +1231134454 +565555724 +164097639 +693239792 +905201012 +488232895 +1262362923 +537525365 +1335164325 +1832304330 +78348189 +1827362346 +1581348731 +1760698117 +1843021201 +201351208 +1311181774 +1846214913 +2032409040 +766525375 +901056384 +1737573703 +790918684 +897368960 +990588686 +1992811572 +1299252410 +1703626656 +822826186 +1354251696 +2123360158 +2053960640 +1919807420 +139974150 +599716785 +677524784 +628207045 +1862079708 +1215050149 +1963371370 +1546900390 +1293398338 +1643250068 +980765473 +906612808 +1338787621 +1182116681 +70310934 +1037518887 +1067042073 +836836309 +1938575271 +657132128 +1627754993 +688460583 +1647720815 +1473082917 +1987712993 +1203863823 +148425455 +1194481041 +1179740334 +54902448 +966804813 +1319714484 +654619233 +1644329597 +1947921529 +369215293 +711896098 +1763809251 +1916115683 +2005294437 +1259575671 +749397508 +764423597 +450879645 +1931514189 +834734531 +1488398532 +851072615 +1671570840 +1279490155 +1508204743 +1151842185 +1967950738 +1008441910 +477441454 +1808180084 +64822086 +625866909 +855177477 +1244562420 +680769357 +1821982291 +416793256 +1335388590 +1318828240 +217231137 +1704603883 +2030724339 +1981040388 +1473235918 +1888535128 +1093132411 +75149778 +505475077 +1544012056 +2006663968 +1340209608 +884926940 +710252935 +864296800 +16933447 +70974030 +2016138985 +1984884186 +1079415941 +346096791 +1645580622 +1144238027 +971963700 +353274451 +241316799 +1652733058 +27773094 +658110055 +840638000 +1346601335 +875341192 +397758236 +1229842026 +708897932 +1870994154 +970893506 +1802030343 +1946143933 +1476368583 +1198558752 +1805324253 +669094543 +2083485692 +368093540 +1533391343 +2100419140 +439067570 +1402046680 +1937819678 +1518483511 +1748143471 +1435916652 +515237890 +572623523 +1789191103 +756554689 +77872933 +1816964198 +1414664744 +918510934 +1016081885 +142522288 +1316269170 +98440263 +851420220 +1039779676 +1069333769 +505966916 +838439961 +398218704 +1704525668 +496280566 +1067313247 +1640527712 +864374106 +453220942 +1593463204 +1303441677 +1855267622 +1383799234 +674441540 +1455927445 +672232238 +1189679431 +2028550968 +313939694 +1946234120 +2106423902 +2130903892 +1213415217 +877451188 +999502129 +1355937505 +46236710 +1097942392 +59874078 +1086016386 +19792513 +565840994 +1924456348 +418011217 +122883014 +273253266 +1485324464 +1763410726 +1137627373 +1938545406 +1209390283 +293585402 +1646329380 +445705869 +968026942 +954773177 +1117938108 +10222725 +835840497 +1431877802 +1956456846 +794780751 +1415298046 +1022388415 +1672231939 +267316527 +230842272 +1718468649 +1365258919 +290716350 +657001388 +1385051432 +856557344 +433974088 +1803062649 +979440358 +707227354 +1140903465 +595367437 +1844854727 +931965223 +1804757720 +2138440129 +430810955 +102979941 +958983424 +1385584132 +1220918049 +969206149 +73940981 +505312203 +778179347 +868721733 +1920610249 +1800567762 +393470024 +40443128 +2031410035 +2111938674 +1405702047 +174642737 +621456414 +643269831 +1031200082 +1055430502 +298848832 +2010640440 +1762657856 +1439752297 +458524229 +1460028936 +224233872 +115798301 +1450985417 +655044827 +218778243 +262485193 +2040628959 +1439696292 +1231691343 +2114569941 +1945008496 +2009870690 +835808026 +1718135097 +1662954805 +1229278050 +1758578226 +1546881192 +1193733076 +1016796625 +1721523929 +1815189490 +1660066457 +605240363 +723136344 +1958915289 +468397156 +338310553 +1251183939 +926921385 +1798339489 +1475417811 +1042719687 +1101841258 +2130462639 +1261497930 +1364326452 +2023607950 +553710574 +448534147 +1990694243 +351235422 +310921189 +679018621 +2069370520 +1973875994 +1908296672 +1680465098 +1373273538 +954546100 +549778075 +947313820 +622251943 +62360884 +1552554183 +1345388287 +2021276174 +2020951339 +1683698840 +1124976465 +800389077 +1334554681 +452910628 +1843108764 +288912292 +435889619 +957123046 +1653238744 +312013922 +1510833620 +2101772891 +155224517 +1862069043 +265210432 +834243139 +1783955915 +91602779 +595056163 +1316937365 +1464876317 +1549602263 +1866715440 +264706489 +24370558 +1929076325 +1817260673 +1369758846 +1802868851 +1690728364 +905974038 +780361668 +343633793 +93045072 +1233272296 +39258909 +381957364 +1669161916 +996381955 +2035196108 +1981175838 +359731928 +1989485351 +2136400355 +74317323 +107212135 +823159846 +1858273238 +198814914 +1418216009 +1027726955 +1663691232 +820334625 +746958747 +1928397721 +844705183 +528551424 +1598174746 +66980381 +183936627 +1141419463 +972954420 +964298295 +1485053256 +1065999492 +50086944 +1524312166 +1447956856 +1719248860 +373210473 +1335669316 +1552941050 +732942401 +1177671019 +1541857757 +807259724 +1284883154 +217533956 +518049314 +1483698069 +1635749965 +1545776269 +999905653 +308600942 +145251369 +780819726 +1153306126 +673802793 +231510825 +1220286507 +857739421 +1372930288 +45757279 +1822037716 +710499896 +1111756771 +1872124660 +87328414 +412229979 +1443889872 +460538888 +1747899295 +849347274 +1193481289 +778086666 +243721384 +2000741014 +2062969821 +461255340 +371306680 +1399184242 +2097005305 +1917082950 +251606247 +258122600 +2062334319 +1032425973 +1411428726 +588653464 +1263936798 +484231585 +1446392885 +489383438 +529988865 +1120946954 +1199883335 +1641745636 +845587966 +1287211749 +2053975616 +141994191 +1747750637 +1654391263 +991341465 +793748279 +284994282 +1235062849 +647005645 +200480455 +1696318189 +1018312325 +1599664697 +1645839847 +787911627 +1851270944 +1903962447 +702762298 +736213269 +1167907525 +1291415763 +2000150068 +1652139110 +590325000 +342049858 +34644327 +1711271954 +1541933193 +1676389964 +409376273 +681661295 +1582881932 +551370464 +281928284 +1089789547 +1542711929 +1075676563 +1374783829 +630291131 +1722682208 +1575264284 +179125672 +593510886 +1027445333 +1824965519 +1381422513 +731232629 +1581444318 +2084184812 +1467445899 +601868195 +1228116927 +1320112319 +106523658 +1818441927 +1662162177 +141167985 +1382230234 +1056611723 +1817557949 +1791606507 +1738273018 +1252956233 +195493323 +2020201302 +195262133 +1738205252 +948394218 +1570045962 +221012735 +523592778 +997826599 +400138408 +1117103664 +2025271932 +77620279 +351042530 +609020914 +1659064598 +287743694 +2076466813 +113449145 +1515860621 +1249095484 +219972803 +1186818900 +763774013 +361140789 +421565486 +1820385736 +31215090 +65688345 +1411175106 +1284171324 +261181668 +1283892761 +1479433457 +1999386921 +84803331 +901995771 +72916008 +608396109 +1899822370 +473054416 +1725499774 +1777610655 +550674696 +2076542304 +239147921 +62255646 +216802350 +168131086 +175704791 +1732662971 +1417226570 +395677595 +771998223 +33516935 +756818384 +1193563710 +1853902672 +788033474 +1259252055 +1117594130 +2072204798 +1520433724 +254003243 +1404154607 +1372336997 +338806574 +158666731 +1445253005 +947202684 +2058489101 +1918307422 +525218810 +1688616108 +321498470 +454277466 +1927764029 +383754116 +671079816 +2095895115 +559458907 +256259139 +1365638037 +955136502 +1028257362 +1399154973 +1711954886 +74337424 +1105573997 +352504713 +1333589480 +75684479 +277225863 +706539556 +329687723 +1681380471 +2078876553 +668494297 +1840047202 +1376645910 +1615696981 +1751052655 +1147469684 +2140915791 +1292185116 +1468968154 +447709609 +1072465497 +1852722270 +1118789425 +1020876965 +264697530 +1375048564 +239031354 +1219834032 +255822279 +1638186327 +784305271 +330159703 +596276676 +1136809984 +1663749183 +671961156 +1414035847 +222805091 +1001648879 +947932670 +154197996 +1670143176 +640496224 +1530843907 +1138356510 +244065232 +530829943 +1131788653 +1536250348 +1999798098 +1579498263 +461232197 +1705036720 +550804040 +1482109162 +1969734250 +1925852605 +1721140517 +1042084635 +34191236 +1211843196 +1826389906 +364350939 +1808119873 +815716242 +2028100123 +332597381 +82268441 +103421566 +1334246260 +1030201112 +257619563 +856905788 +1670697336 +1788463470 +1995262298 +1914762568 +171809765 +979567304 +1303529268 +24124215 +411581919 +1764761466 +1729160936 +962385959 +1099386980 +1551411538 +740754916 +673043849 +446012525 +774946152 +1884887046 +124918783 +1139297092 +1545523271 +940635025 +1019913567 +1878120652 +1022903467 +1123335133 +1064883264 +2053104579 +1380954696 +1921789052 +1576318267 +1021934518 +1769567703 +1343597188 +1193744284 +601651359 +499642808 +1217868499 +1013233278 +116920626 +799545787 +1975619237 +1216307607 +203473678 +568890506 +1889351456 +649486203 +1343836658 +1626754854 +774404987 +335650102 +1024794477 +1715040012 +1355563669 +755431481 +590459831 +331415155 +1820314745 +496080762 +1712369851 +1594620150 +2072399030 +586820722 +1216704205 +1268512570 +1780565006 +1818355564 +1768155378 +850949857 +684105194 +1885076005 +1650495645 +512240783 +953899964 +1853969323 +1081131289 +695767772 +355971878 +277484300 +175038979 +1130376865 +613134402 +1199833456 +697933230 +1968698072 +1955264938 +1288393061 +152629579 +1628096035 +1784473824 +1864999430 +1075232537 +1709389206 +304336504 +144453094 +830418128 +2084901510 +1962808658 +451089858 +788367720 +499430204 +188682215 +291379717 +1011670988 +1142582179 +2145349040 +2092802277 +1838349952 +353837270 +222802929 +2013388931 +1484214136 +835937332 +1065738739 +34663718 +657151756 +873520029 +1323056779 +809781335 +354132417 +960046955 +527297117 +1429364954 +521952513 +831633622 +1573818049 +1352370641 +769051484 +1389143059 +1803460500 +1557419204 +1888573264 +1992142715 +1848798921 +752760604 +987241247 +1846664313 +698079233 +678107551 +53017936 +920882163 +544012834 +1537232072 +1756819495 +1609751573 +1571895790 +266487603 +335787955 +747468921 +1076268938 +689920372 +1707515877 +1603566055 +2119285326 +81984742 +287716029 +1545619727 +1434355384 +1056767514 +787279139 +1090332236 +466703070 +528368755 +934991303 +168018344 +1281129359 +1922232550 +2014682657 +1979208592 +452856453 +2067700593 +752607107 +996869287 +1457449017 +361942954 +459137213 +881861159 +628430557 +794925168 +1629330081 +1704699495 +1484845540 +1189362310 +1160781903 +1456647218 +1271347052 +1448497932 +854783298 +558218788 +357781798 +1642062437 +1648551024 +824484869 +22947544 +436058680 +992503213 +1304076903 +210807582 +859702222 +1135801847 +663664036 +779919168 +1888408955 +1660533323 +89884537 +102868261 +2119670536 +971745697 +731298819 +767112056 +453592130 +288514666 +104473948 +1642954440 +1449296569 +1561121167 +766817844 +750310854 +268420817 +1325036633 +1108092652 +1910483254 +826104009 +1932577521 +1933430798 +1262162689 +777597086 +1090024053 +1472970272 +1637299309 +78342252 +2136634308 +269734829 +1966751207 +1649683983 +359619366 +2069619469 +1621870872 +1331365063 +653434640 +241499280 +1784957193 +941949306 +345973229 +1280427985 +243762228 +1907094396 +2047245830 +994073082 +28031565 +1224798815 +2102165734 +1938514819 +2050902824 +1887259608 +1724461969 +1165581866 +517373046 +667002374 +491068490 +7188707 +745344626 +480219150 +276923536 +564612186 +2129903133 +636542903 +486748007 +1604290357 +1967907966 +1140182647 +1845789638 +1605381512 +2082131953 +44279219 +738325849 +178410533 +1951373615 +638088031 +1172483615 +1979405180 +1862886846 +1127165702 +1770436351 +1766306023 +866941662 +1347414672 +784404241 +1384314708 +2014417046 +1275472731 +1391503416 +612278024 +1755691881 +1668426952 +1176890210 +1738111366 +157486207 +1663638217 +1194918076 +2125394174 +656337216 +893224066 +1583292038 +590985522 +937503285 +174134239 +769396055 +741393252 +812222271 +1941879671 +573314784 +527625469 +921561725 +196267487 +146447844 +1788503387 +1543682159 +930852085 +1025334447 +1410615557 +58841168 +269354215 +2022893581 +1814533049 +1937781168 +1052300144 +1405160768 +2095267375 +568454713 +452595196 +2073177901 +1224791930 +1345819262 +1508986291 +1815777452 +135838899 +1683120531 +437689859 +877232151 +347859154 +232085882 +1450546935 +875484623 +1153647607 +1646814422 +1021932468 +794667346 +1043012933 +1952784553 +1820001794 +306144842 +2011625722 +2089356009 +181554775 +1678675123 +1879653529 +1233854919 +936352243 +1827437257 +1802309633 +1388947439 +1753131510 +879617915 +587283053 +1114634154 +547911719 +723121952 +650271037 +985601578 +1600354103 +998130191 +1217687461 +903417390 +1873614814 +223851420 +402748164 +748063634 +1018518767 +1445761097 +553364540 +691036913 +1751905939 +417506614 +632909274 +1933460715 +2096181737 +365079156 +1019831986 +885050333 +45032765 +674657971 +126514124 +1798164275 +1554275886 +713797178 +765314781 +2102187605 +1436919130 +1415585818 +940305536 +889789586 +266232361 +10509349 +1793206976 +2139847176 +234360769 +48471493 +740427162 +1252879536 +1494232590 +1293791702 +1943916449 +1098654882 +1711298316 +429342076 +884631949 +1659996406 +794421232 +1904463935 +397563091 +839453997 +431638259 +524077215 +490134624 +1985914145 +1237874393 +1255449406 +1940618103 +527309876 +523551576 +733439991 +1417099462 +789783938 +743949340 +1062822790 +782147466 +978310109 +1111294283 +1522574628 +83705998 +458043226 +668882683 +2027622447 +1556698108 +232697351 +309480875 +293846409 +1892693757 +1103902107 +50826696 +142773200 +1943356104 +482464955 +666850416 +286007081 +320895453 +1904724809 +1541456487 +114029908 +284551037 +2065008063 +847469899 +1701650499 +707308353 +1591419239 +616989642 +1489455819 +422245700 +1728283925 +864546800 +505951698 +38843503 +1533429483 +386090498 +1595541611 +1766126834 +695571373 +1889388020 +1511336944 +1799473481 +1940214717 +1654110144 +1595345937 +275196024 +173476912 +1881353018 +596091477 +2078201722 +1275325857 +710121385 +215269111 +1192850273 +1557591284 +1916919611 +1900158626 +1001526875 +386425605 +1242130798 +1423772576 +2114709530 +2106677598 +1929724274 +6069386 +1492623433 +168331124 +1601610997 +1111266619 +863902498 +1343515370 +475119915 +515892331 +1136246439 +2129230060 +2111238268 +1411442463 +155223324 +1845107639 +2007533941 +85941398 +972949848 +570171678 +301210510 +18316473 +2127762963 +70646473 +1918475100 +981806190 +457072078 +1013122250 +258095118 +424297960 +972316200 +40335745 +430367346 +317455985 +208666869 +2031978344 +1428722604 +1072569367 +1228010066 +1903842520 +1588461698 +216772857 +1885588932 +1552216319 +1628215320 +2040812256 +1249840310 +1488265613 +2126753655 +75306510 +2058437292 +280480517 +93622984 +2038716607 +351126990 +2012098084 +873039149 +808199068 +877736686 +1131134268 +1232497028 +1850052886 +1171470013 +1662864375 +20025223 +1380136882 +1547359071 +1448747827 +305222602 +627885489 +1205106699 +1893684300 +844658346 +943211983 +1298416971 +325390018 +836540592 +400773633 +1813655632 +815810599 +476080144 +1724609276 +1096291116 +569703128 +1615842235 +1447418106 +434317564 +341397736 +108133526 +1312054250 +1472532004 +1340630554 +1014623488 +496518369 +856011281 +1034648711 +1876655252 +255886704 +335912890 +34394206 +883772193 +1541019590 +1928078506 +1728430539 +336747925 +1079011830 +2053820558 +1173288517 +1479785463 +1719992542 +1989099116 +1955865607 +1297118170 +937906584 +378085087 +765476757 +237841042 +812402651 +1106874493 +345974568 +2124456901 +431922850 +1686605123 +991596741 +928441219 +395132756 +2026245452 +657612823 +651019461 +214674695 +692007029 +1534791654 +1755694285 +472601888 +1115738546 +2092442210 +1551613718 +1022075456 +1118247080 +883915533 +594584350 +959862548 +692297493 +1891702520 +1897769133 +1070382580 +509695629 +2135610175 +1882785232 +1616570122 +334101096 +1859758485 +2048492972 +2020706219 +703871579 +829450544 +268355327 +582633383 +1487063367 +919374788 +797308078 +31586749 +306682795 +405518715 +504188637 +1422421341 +350477278 +2055802355 +297013149 +1468724358 +792234240 +891597499 +281103258 +1484531733 +635816371 +31388743 +407430666 +1145512000 +19515271 +142732250 +614598474 +353616367 +2002490735 +515607799 +226838938 +558878666 +1345058343 +495194265 +1141512050 +684638062 +1414569054 +1938820128 +716224811 +1721251849 +196855196 +1220413448 +996189542 +547332474 +1128732155 +1293202691 +2016056832 +1920966396 +37316542 +149676442 +1258014481 +673132913 +181065186 +1665445147 +1818644913 +200580457 +1808177397 +285759739 +554196824 +1663184485 +801367538 +781035762 +74579503 +2146425881 +1276230027 +1216091553 +683580296 +543315433 +1007428034 +1399805107 +117083634 +1204283230 +472734908 +1113273176 +1751615704 +1601467063 +258992219 +1620188888 +1374949811 +296308761 +1769865330 +485480645 +969441674 +1950930516 +3442144 +640602939 +4027325 +1811619542 +926362679 +558224149 +1327320379 +1727730217 +1339259911 +1401899882 +1726672451 +468006291 +470507788 +262769099 +1011321724 +1477935822 +1662574206 +1128405359 +534735404 +2135309114 +94194887 +138867460 +1589292530 +353187107 +1759056348 +816758693 +649495868 +1381438030 +1302239338 +1618937543 +1184884899 +1305681483 +112056834 +1188912224 +969817377 +1038419513 +1747136374 +149654108 +618666083 +938912637 +1551553990 +197854886 +1406918928 +2022061778 +460623985 +270757005 +1352513952 +2123198191 +1399162364 +1887249356 +2111023658 +1493357251 +2026116816 +1552832540 +1846544358 +1637689516 +222107585 +348556579 +871643899 +1524346924 +1967494122 +2056528798 +682544759 +2079550956 +1097957374 +1652362136 +970486822 +697610100 +1802016244 +1589152905 +1636522738 +1206086586 +1787007791 +895958018 +1080664717 +100148128 +1166715023 +285695021 +75862671 +418393739 +25460730 +39402681 +1911750991 +2051577546 +1592235221 +1610811701 +1541783415 +1814342807 +1959368280 +265943666 +1191206083 +1779378754 +174988816 +1873750842 +1711446063 +1272946190 +1378629330 +534449237 +1970556291 +1033161926 +2123602142 +1459595381 +91764864 +1763126285 +208069751 +1172429581 +1863274413 +1374784775 +1458124603 +1939137084 +1793178514 +1483585333 +1978539766 +1557445857 +1387679231 +1423291339 +1020773911 +781978998 +1090150498 +832658543 +1047922664 +133872933 +464553650 +1222911480 +2007623775 +28516065 +348374023 +1238769457 +562965302 +171446666 +124447735 +539083796 +1631042047 +216212600 +154726433 +1839111798 +1388642181 +2018000846 +1066412925 +699283136 +1809654282 +712107792 +35384821 +1640710400 +122070001 +1423064053 +916518092 +1142843912 +57559403 +2006668590 +1975502456 +1105482068 +2140541524 +292572458 +180909900 +2000681651 +321088523 +529283923 +1091967461 +884053825 +700730589 +1216415196 +1423137621 +184288988 +1432627796 +1577864054 +2023400787 +673786330 +1448381252 +942330064 +1373069466 +1110551886 +1654437856 +1408454288 +603778639 +1776507858 +684034693 +1520296731 +771868122 +741594096 +1379481673 +599886930 +1847076164 +1372539549 +892459388 +2027986065 +1225737553 +1213547911 +409786340 +170221366 +2097601736 +1110516930 +1386636562 +1373255709 +1294805918 +671780711 +803636115 +1170723057 +1345567041 +104533719 +2113053122 +571152859 +1215085606 +1620007330 +1979607147 +1818864245 +1249031540 +516158192 +1191677328 +2020899663 +1257752289 +423675353 +473302945 +957344805 +1796214903 +1365762334 +837847222 +874468808 +431826597 +1247633563 +1044690174 +381944686 +210666845 +283843088 +1755200395 +1505472763 +955623799 +411352863 +528712173 +153707192 +515886582 +494281647 +724860052 +1730972188 +2114288977 +556983551 +1402352785 +1215836870 +1073141744 +446546465 +1089252885 +183410385 +870221819 +1562555830 +1140755190 +518953074 +780834516 +1978602413 +1393421882 +1212661114 +1078752328 +290628408 +1594605800 +1289419173 +574471496 +1202322547 +647408288 +1530095296 +1613675410 +1176120461 +1683802488 +2129561993 +1670402108 +261178892 +1713050533 +1637207438 +818162444 +967919671 +705560660 +1891304188 +1414466136 +1794813545 +2074714573 +137204307 +1209885727 +1067986115 +656157381 +1990720244 +899104880 +2049579263 +1055897710 +1977857208 +192724023 +503019862 +1119792733 +767195520 +1705342409 +1767201022 +149807168 +1171534172 +795837835 +1833609656 +1153612517 +318756296 +2094788549 +719179402 +1955963734 +765467345 +1687099073 +514040746 +509287885 +954081562 +161370643 +436518810 +1091285869 +1371256370 +1504504925 +1747443251 +1214492966 +256126158 +1649538866 +122907028 +86499718 +1842262890 +625926890 +1206292452 +461974762 +183785652 +826009826 +611781930 +1355319824 +1621847661 +297907938 +361448693 +1940603957 +245212839 +1080628095 +1749084043 +1010680184 +620243521 +115641141 +1519968069 +1574325083 +277011784 +1956486879 +518127304 +1648268155 +1313508157 +118086907 +715277473 +1569634315 +1767625774 +838184502 +1656134033 +1462405016 +1464111392 +714942837 +1924379778 +1647897044 +1540952663 +388678060 +855733220 +1015316677 +686585998 +1217181913 +808436986 +931798838 +150326361 +410037382 +1942479022 +770569882 +525678523 +1314963444 +197411317 +802690308 +1123966675 +715538621 +303474815 +289991184 +833625529 +1018752288 +1859625499 +453767655 +1856936790 +1368275885 +1916172671 +1173564535 +2083218722 +1693068801 +673977931 +1476687738 +2081746861 +1529711152 +344520767 +620849211 +599409417 +1152957753 +1552648049 +749735778 +1562995135 +1347643424 +1520305660 +2088673659 +515123220 +1717716977 +743880319 +1639089895 +285771951 +1047355134 +1929081080 +1119397480 +2066107422 +1641222931 +1573165135 +1775560565 +862015168 +1341854158 +801641452 +797750243 +887439311 +1475619383 +126954333 +821702524 +857846887 +471475100 +1442551735 +1457256305 +1624432853 +847716137 +59508435 +1039944341 +47875913 +1579814096 +981134352 +562999133 +1150047425 +1725014671 +54605380 +1435819376 +624886157 +1983686460 +407733208 +543509931 +1477425744 +1980898343 +171586848 +191957264 +1175268853 +973228300 +989707507 +2062708164 +301364036 +1116661840 +736927040 +1159210923 +1588136940 +31995128 +468983580 +1065086146 +879711265 +528492016 +2105030487 +927587178 +2108306112 +938681191 +1490586311 +1110869889 +516212214 +1545191691 +399205618 +1141098371 +1381394504 +806938826 +1684608302 +711336600 +640353522 +1856195151 +903293864 +1815622375 +681939803 +1893001372 +1730846892 +983303839 +862179564 +320290284 +2142514763 +302832857 +352285412 +464014695 +1367919003 +1231996677 +992506711 +1325465842 +12100207 +953329175 +116663385 +1502686518 +2064199065 +632875599 +900394562 +315921035 +1773973970 +134305418 +1122859861 +1311098624 +845642018 +1763213383 +1019810127 +1748935882 +1431352111 +1701749931 +1494453606 +1014715355 +537570122 +209149523 +1335005639 +532601237 +511982380 +1687291052 +996615933 +1879901383 +771804081 +1989122644 +1057883577 +783904289 +794968172 +1174546962 +139107159 +711683589 +1807422561 +1039501721 +1027604624 +1433912883 +1173807139 +2980837 +597527859 +2019449157 +1766194221 +1617337987 +1620901392 +1050062684 +1171604270 +967871350 +2064778039 +1709174392 +1177020873 +1252300030 +94291982 +1689003253 +792107434 +1090907915 +1421420988 +1563911516 +932546911 +331820917 +200332157 +1727515083 +1506367879 +339439316 +291715024 +1166306792 +1378941038 +1319319648 +452736027 +405264529 +1322300486 +1050263887 +277230039 +941011059 +520118226 +1898131431 +1991073743 +1691722496 +718519133 +1908368134 +1253413240 +1895540007 +1013184516 +1347705222 +1437059612 +1805291951 +291129489 +710996953 +1221719819 +1223676401 +1042817870 +1422051976 +803707836 +401702102 +1761491292 +1095422861 +1568008894 +992948682 +267258861 +2020744922 +1398213212 +1589559347 +923525161 +1675443251 +383086758 +1443643387 +1426091034 +226676853 +987882235 +2144610167 +2135044987 +93811827 +1892666526 +1000745856 +1441517050 +1182242491 +658554159 +1732646539 +1893239444 +1880273978 +808839292 +788573666 +1154842306 +1612547129 +1190275768 +768849950 +560486342 +610801015 +1761798633 +827745203 +484062289 +1012528197 +269820903 +1407587450 +540487800 +652907661 +703747189 +1966578834 +879584515 +1691629424 +1963705353 +867145854 +1785441251 +1708888232 +1867891710 +1079474653 +743647075 +378962221 +664637545 +489402871 +111752551 +1473476837 +1277976537 +1266594857 +938540318 +320768658 +2035444808 +1499026660 +931569673 +1649759793 +179288216 +1415631962 +514804342 +449109119 +675735764 +1055292142 +1102016780 +1379482953 +874387328 +1981601295 +923628729 +690609033 +701263502 +561586332 +252013617 +421671564 +1641060986 +995660692 +800633786 +158214883 +1485063563 +912386337 +1631691720 +615556453 +31497547 +422748391 +936325111 +2066942355 +1921775051 +1867894784 +1569218500 +2101063267 +1136043098 +2084022842 +402688738 +1811778862 +991831336 +1504705519 +1043778167 +1866218664 +1338823166 +1967406896 +409344049 +2040086668 +381509580 +661357667 +314274585 +2022570566 +1657018359 +1114908371 +33301801 +994598275 +2027294708 +1664993522 +1610154728 +2058792255 +2087741913 +398996191 +1978250962 +1862033316 +119407327 +1399985814 +1815612936 +1255450425 +1336525008 +70818026 +919745639 +180872696 +1575523545 +1963523806 +2047091360 +766863064 +1783447054 +308951762 +659466084 +17472986 +970309429 +973740669 +2040043553 +479844140 +2088649040 +2073345354 +1474442415 +1968460101 +1590855228 +937113495 +1879768708 +1531113493 +1336109686 +1710536023 +1245663162 +1455517013 +963038189 +913792450 +563483790 +152079550 +984610476 +1483229429 +332952246 +412650374 +1299269587 +232559959 +1179513438 +935232993 +541511721 +1838979522 +952705980 +1511821150 +665236544 +845265885 +1991665290 +606401936 +771127591 +1318624058 +427378389 +214499172 +108253905 +159663450 +1745612665 +1444363592 +1870199473 +843792179 +752396957 +685754014 +1757584629 +1315880748 +837833564 +594711458 +651626529 +1170785811 +1007361832 +1950896117 +1403345770 +39391622 +738645462 +1944857491 +1878371144 +1691351442 +1309194993 +396124040 +389133679 +1153376635 +1002525977 +1160261271 +324517045 +1429904366 +1374760443 +432770951 +1589567816 +972889460 +1877134543 +1312283641 +1816681640 +482047852 +1998037656 +1426782621 +1797928600 +688387572 +2021494079 +302071482 +1859173383 +881372263 +105483951 +1115035505 +920763885 +844129413 +912409348 +651651382 +387997208 +74120693 +1047775422 +777130887 +1227497329 +2050301399 +1937392158 +1552014374 +1332722118 +1164668953 +1984785325 +774806286 +2137558414 +1714436220 +2087089928 +1806756406 +49000425 +1937643936 +1086055379 +1846929025 +478547860 +960065811 +1516859 +190237596 +1841438074 +107000810 +1305273101 +614718312 +951130224 +70198802 +1266369694 +1339127432 +144319495 +166661468 +2116258319 +1371816824 +69479220 +1906166830 +776347551 +1402201338 +923352135 +613649228 +29523976 +913426901 +180601801 +2116613904 +572699659 +229602226 +1906774192 +1658755039 +2076531251 +237838405 +471337202 +2078048111 +428076001 +165291628 +37565273 +1733349102 +780009940 +988695497 +1803547904 +2046379634 +180339281 +1947867400 +65557455 +149113953 +1172200576 +135036675 +2055280783 +1948548127 +1537238013 +831149270 +414713708 +1566761989 +1744576172 +595315509 +1535892246 +169792183 +824917735 +1295182790 +1828547222 +753965338 +1533021195 +152400776 +684529801 +1961097196 +317692405 +722095075 +1546962651 +1097702345 +1710790572 +1203026907 +996598332 +1891129854 +1003410659 +1062155787 +2040243807 +28127588 +1197192462 +1948040942 +1976675715 +586946827 +631706564 +243905775 +6225168 +228799088 +839221284 +1542117414 +398591272 +1664139019 +689816557 +79654846 +270620710 +75354104 +232055623 +955150511 +2036451301 +549748028 +1677245586 +1435930304 +1647450373 +1240552511 +491473563 +496565057 +984198717 +1494884223 +1558720844 +876958876 +1523011811 +608429658 +677516170 +1352203878 +1195376485 +1309222734 +1596109654 +1201601654 +1538021823 +287847290 +596235420 +1936613095 +1951986310 +1286051977 +2016267941 +75123372 +1361406082 +100839916 +1030273883 +1250373735 +650587944 +560035822 +538820391 +150554670 +1800588333 +1030293954 +647119727 +637303402 +377694529 +58356924 +1514262278 +1900706340 +666786582 +44294800 +1105426571 +1862163068 +1353517534 +554052577 +916281074 +744055709 +841899867 +1512516494 +533185156 +646402529 +651084824 +401969450 +721525901 +2012490906 +502809366 +1751799785 +1115380993 +1153397311 +164351959 +1654201384 +1303951981 +1964940292 +537011690 +1951071708 +454760046 +914706220 +2009428632 +1969022324 +667928912 +528731567 +2013317124 +1773355483 +243410987 +1219351010 +179924412 +1159692061 +1963406720 +1021824280 +524724907 +349108228 +1668226809 +1175809731 +751077678 +242269063 +1040816989 +1253887045 +1994068848 +8714334 +259800708 +10937159 +1662915718 +1563752689 +1975877451 +52443761 +1367340749 +283153849 +967149981 +1229285734 +104692525 +1635078893 +1758017301 +2118009649 +1260950729 +2001428288 +1189877011 +1440875141 +1013636701 +1005800083 +315215773 +1538361608 +1354908312 +1983442583 +566687692 +2105985990 +78227998 +1607504681 +1212389387 +2072296846 +1616219016 +1472190095 +2083234005 +1131651086 +888459136 +1911627808 +1184094847 +108316238 +47298009 +3761180 +1337601972 +151990534 +1638840074 +948135625 +122516535 +752307155 +802080265 +1312393546 +45698648 +1815716966 +170709982 +360914422 +1206594926 +1525618294 +196873357 +1773282618 +1484120636 +275101355 +1233303652 +549026376 +199914553 +702039020 +2021216471 +135664910 +1833690106 +762191960 +2047292718 +870301306 +870508198 +2094590727 +874062486 +60626522 +99097613 +365418912 +1008762147 +221614148 +1117726067 +1810842412 +1534007694 +1163424716 +1479075730 +1704717676 +1524339138 +538187008 +1082852322 +1721212495 +163985979 +419489311 +1996313850 +1397289631 +968515687 +48744755 +2099328651 +842248510 +184409665 +1785535109 +1604440470 +84218735 +508352767 +327465020 +31325814 +1382415254 +388091542 +130423427 +1747834166 +1396853689 +352037575 +718076586 +1060212453 +1886045269 +1881501302 +391804535 +1443279298 +1258356792 +929991544 +378647972 +832085639 +1093977523 +798137283 +680915841 +343783506 +1766652970 +729660596 +295628509 +461417833 +914070261 +2081163618 +2065858303 +998288996 +442032738 +245839676 +1029614810 +1824447992 +633931218 +1160038237 +1424798510 +2030784908 +1512075812 +2142875096 +943513713 +1250637433 +1876892750 +1335318249 +546433083 +987765894 +117826145 +925081056 +1819851533 +1211803668 +1723218339 +353283726 +1555587174 +1342387662 +1082944322 +1851215683 +1803805495 +1997014583 +1784895653 +1722180150 +847819931 +79444743 +1968019826 +1877434741 +1903892735 +454467397 +889989330 +1181207598 +337768657 +254581494 +1176599046 +1281282370 +1505218928 +906008149 +469116971 +2051652011 +1893774043 +586943116 +829249419 +1566141929 +1798746784 +404984111 +1919425655 +1206850310 +1747371773 +854886330 +910582345 +1403693620 +704417265 +547994351 +978390122 +1552237197 +627439094 +798926301 +1282188290 +383848182 +1253393698 +24693973 +1565055780 +1591162355 +279275467 +594171178 +724961077 +1784494395 +1500179327 +1194078049 +1688662759 +1246469723 +1781021165 +370428530 +665128004 +1432284302 +775412641 +437070011 +491650964 +375300766 +1291956341 +1402233310 +1778994386 +1996373607 +1950227661 +609900861 +1401127156 +430183107 +1408827162 +535831798 +814031289 +514737212 +560525771 +231603421 +2105899567 +839801239 +825774600 +683376996 +476811986 +178470279 +1877455045 +17991097 +1424940002 +1510992563 +388419628 +2090068006 +795793217 +1163832269 +379654370 +1287444181 +1539133036 +1671610711 +542193843 +1170643774 +1520500670 +344937856 +1780544635 +774144178 +775120964 +1041888149 +1309975977 +1589152253 +1556625361 +1870501748 +1820755675 +1515041280 +562819339 +499046627 +50934629 +1039631326 +677516906 +1928389674 +1057622423 +2102456909 +1291898589 +1446042051 +2045041267 +2087691806 +462390673 +277211989 +1227652340 +2001523709 +1948822701 +1769846183 +1024683835 +1321839723 +2114784040 +657744823 +2095983902 +742421356 +1699632972 +1258476231 +184089961 +1108774686 +981494331 +2004845636 +476332318 +1544313671 +356408615 +527266947 +436461349 +1033925522 +308172974 +1494083772 +988898783 +1600071563 +792642176 +886456402 +1540279722 +1255032849 +1163668392 +620448414 +1109072910 +965007445 +242810949 +2133756745 +139363520 +210111341 +644017920 +87863774 +952532697 +196167245 +1346340005 +1136622659 +1304941931 +180350689 +993984647 +1781274249 +1724664360 +1350393263 +161057549 +13642061 +236835137 +469230523 +1507725833 +1225733920 +2069302086 +152884361 +2112190322 +1462098160 +1407917210 +1128375066 +2082546574 +369506472 +2093382511 +177873876 +355779570 +85262384 +387985217 +999797490 +173126158 +1340517915 +1195964735 +1519466164 +329656926 +353423018 +1699816853 +1323641573 +2134697268 +1276997565 +526551188 +148271169 +1290639626 +763386325 +617501692 +650881811 +1989120245 +539320130 +803766173 +1953826920 +2001418291 +64199735 +934718338 +1936481217 +433706208 +880617202 +2114355093 +789485778 +965879586 +354856663 +1789283268 +1139005744 +1695374578 +837764356 +510988260 +2025031504 +1191187374 +63321465 +1201189429 +1178400994 +1340319030 +1727740618 +1326672163 +483475008 +343643295 +1944173855 +1134356820 +185279893 +336010338 +1938122993 +2139106813 +189944981 +2002322728 +926341503 +2126426198 +288545288 +1806958705 +2093297644 +1078031066 +625354643 +300670659 +719830687 +1764360388 +1996045237 +1557595043 +127865000 +1873593093 +601298769 +191186466 +927298874 +1779699764 +1531505496 +507555844 +958888279 +2014980505 +851199140 +755578487 +1001853677 +1036479033 +1091588825 +792493022 +1028102198 +1281533806 +647332102 +1954443701 +1260476356 +935877391 +1613918759 +1206290352 +2013908457 +91789754 +1506961011 +586255496 +1856150142 +1355522600 +2143850539 +1984015143 +1081632045 +597665661 +27717961 +2008930920 +229881777 +1559223457 +369003116 +1188770056 +1426720314 +1220202256 +1944348543 +281090343 +109197641 +888453720 +1073583365 +1137299839 +22503878 +1720915468 +944259893 +1282980235 +509309211 +410695004 +341786939 +375734020 +502484758 +1848747951 +961989517 +211151253 +1056786903 +958356408 +47682748 +2138418949 +1556022069 +75400709 +1999866221 +1785903846 +1634624166 +221385689 +827190255 +913860833 +1441587946 +624055150 +1194951176 +1550785587 +1512508871 +121050894 +540601779 +1535012749 +1841966362 +1484861672 +670509336 +203791925 +1895556676 +1012296276 +579525945 +250557786 +713560579 +1541515462 +461709039 +1770347482 +352388223 +509391787 +1761282783 +1908410292 +584792496 +1613665356 +1546830491 +71933015 +1835051046 +226537098 +985793848 +1129155344 +850592248 +33261376 +532457283 +215617471 +154312270 +1073059062 +1750630221 +1996278632 +410437086 +273655909 +52586909 +158510114 +1285952185 +632112855 +409067901 +1999512764 +26144669 +870776940 +1622376599 +378532892 +1380168728 +1236175734 +139459537 +1964961224 +702357443 +1686290028 +2036894239 +389924841 +1912827126 +875204439 +1519080185 +615935726 +908465816 +2051537468 +831553198 +1062778086 +977112883 +434699771 +911573071 +1387549969 +708355680 +964159980 +1546060084 +1994307866 +1596272835 +1955127985 +1846336982 +1622417505 +678421277 +1321229933 +2000950397 +2058590005 +409922020 +2140409934 +1876067582 +1112279463 +1679216314 +1765478173 +1502204304 +1444559792 +493198965 +873800841 +2060495519 +1401664781 +777854661 +744565069 +316959219 +1754967544 +1179264840 +1228532290 +995033866 +1887620520 +45208623 +393610302 +1734444738 +1641481458 +201254639 +1433298073 +1116415315 +879675916 +607044358 +969882065 +790782274 +1016966378 +962808351 +519366208 +2129245841 +494541018 +137360733 +1483966497 +1939100810 +630559698 +210283690 +1852112681 +2032224479 +988138352 +449194102 +201700051 +595622248 +1628458942 +1430232341 +1590656114 +1368595815 +1475440964 +1984266416 +955556905 +969438775 +38037407 +241371330 +2085854090 +917713324 +848415689 +908252507 +1708495598 +1865382067 +1871060859 +80378158 +1847144261 +218118229 +217738891 +1183627110 +9735391 +848298590 +1393910801 +1861848073 +733039421 +234565505 +163558527 +934739472 +830187753 +1792017470 +217488166 +273360220 +1013129637 +1692929130 +110142988 +1968686542 +514884257 +148180396 +62574225 +453254700 +1065893720 +910989914 +1361507207 +626905670 +628888333 +1085084418 +707283828 +328548946 +1303202647 +925022719 +1512176057 +1312938039 +1773321309 +758603210 +1027302464 +358877083 +993168715 +1190860991 +1293616555 +1823356468 +835394813 +1511104721 +2096716688 +1848524450 +1056550204 +59376029 +1669727345 +1571434461 +207556425 +1732301570 +2024689161 +1273450145 +495807836 +1238712721 +1900355815 +1124696169 +176313491 +460155995 +1453245116 +1479516139 +1385178714 +817937525 +644970530 +1011016376 +1576540735 +1672272994 +1369893459 +422225802 +715650337 +516026366 +98098622 +1551045151 +2027131088 +47331663 +1252085953 +936197644 +106707692 +774329650 +360148457 +314264117 +359147572 +237353971 +1587714262 +854955408 +1476066692 +1340586429 +1979651578 +1652380183 +1800742424 +1285413046 +984412674 +1038437490 +2103350571 +1629383204 +2049453866 +1532407658 +1154172550 +1271863677 +1954633460 +1869822888 +1787890044 +2052732082 +1273384391 +1667537484 +2100063745 +377986696 +456251480 +59287789 +1152316347 +816399937 +373551906 +1511463919 +1053753908 +1961266168 +218935680 +382336952 +1154368949 +51103610 +2034717136 +807627725 +1336516656 +871646162 +1846065216 +1292383579 +353545719 +1748035434 +677307589 +1507718269 +872415464 +484457401 +1230057509 +512821860 +389705835 +355958252 +32875696 +342285933 +733944949 +489127176 +401573722 +1886261296 +1305527113 +775125629 +1250241567 +211797374 +588908149 +1469177247 +594134326 +1743277099 +1520280857 +481367814 +403421176 +709313865 +1353013977 +102002744 +2001697444 +1706559696 +1850038179 +531521385 +1066794317 +574969995 +1015978786 +149368179 +1087791855 +1405684622 +505326431 +1120667551 +1747970555 +1239271380 +1609794727 +2060629 +978049028 +767838192 +777186258 +80806948 +979635566 +1366094408 +1549984195 +1573769893 +961887859 +922781405 +2055137707 +1365309035 +1632095270 +1260668036 +1467311780 +1486309067 +819744084 +1169866311 +2017830452 +1886538402 +1744836306 +886325591 +2035906581 +685144513 +144526565 +393749364 +1805812064 +1892497120 +1633020745 +1268123143 +1894557749 +463586125 +2035961335 +524260360 +544393073 +868113254 +1890354768 +2094377269 +294399499 +704758979 +869675026 +202053558 +2070068014 +354286648 +1462721595 +1389896146 +1840595715 +134982031 +412278809 +1710942520 +2021520433 +9631467 +449784463 +1909943366 +694775980 +594311028 +156209083 +353104396 +339324500 +1789229828 +1621227539 +86398601 +105332305 +1509705227 +610658961 +649725379 +230334833 +353530081 +596619000 +524734332 +1058289060 +1466294026 +726787890 +980873427 +1820580674 +42025837 +223285925 +1513692742 +177007869 +635564735 +1077151614 +51044654 +645196202 +1526936077 +1960988021 +1339972183 +2121247105 +2117197104 +1693076579 +313087957 +1758943284 +1166820471 +399486558 +1864275589 +529042050 +1010145520 +366517320 +759376883 +1363675601 +963136320 +1284111215 +274481014 +281946698 +2010899105 +1255354441 +2102527373 +2052924943 +1478640366 +1468736467 +82449164 +2114205101 +398404433 +133493818 +611917656 +1925340510 +2094481839 +1951889839 +1899103967 +2064195295 +1497482770 +64708276 +1675654931 +516819593 +464194834 +1392446873 +1045861643 +1474340354 +1758964193 +1805238526 +690532308 +574616866 +941866093 +965013322 +856563564 +805281551 +72884115 +811607289 +710722846 +1551524481 +132860108 +793172010 +1518245935 +531264541 +926665828 +2130163591 +309121403 +873664020 +1934569782 +60741722 +790375667 +1284568904 +125449998 +318546951 +1801388498 +589644833 +1710993824 +699766493 +2063985187 +1322474369 +357521372 +607033847 +1897091235 +1299387465 +1572047169 +606171152 +2104669016 +1644931284 +1417778441 +667908214 +1048972118 +1550638550 +1461080224 +419734405 +2081903091 +240262405 +402414348 +243540847 +1113926425 +189500482 +304282569 +1904302092 +1474069386 +429732568 +75365395 +1127974236 +1019377401 +1786359219 +1827740730 +935878940 +961349941 +37778454 +1542912788 +710957528 +1337165919 +967476309 +1317128680 +1294351288 +464923946 +587423474 +1962259502 +1513896064 +2138062024 +1275856079 +1933630469 +2072481467 +1516118484 +188561169 +168538666 +482561261 +378061651 +472821236 +239379705 +1852131037 +902553804 +314745101 +832621626 +1921931205 +2101104320 +512878708 +710326497 +914970613 +550657162 +105755637 +1625928142 +1887823081 +1073231947 +795573174 +1034690721 +1538155893 +1382996648 +849466576 +904568309 +1373575024 +2125322655 +690715130 +1298572844 +1493957491 +879276299 +1467111510 +1976518752 +1257337950 +1939932746 +68414809 +961985339 +695002902 +383159910 +1794606965 +469450459 +336780583 +160002025 +1179776957 +1251751196 +710659187 +1285532594 +730195690 +450998621 +211280893 +1525768865 +1485689342 +1749436786 +761281865 +187672270 +506521447 +2134856890 +165511277 +1197236577 +1285946086 +1659468768 +2076512876 +605573948 +1488503872 +1186367178 +398023047 +1556918682 +868870 +1093025949 +1940078592 +1795475835 +1562476409 +129375527 +1955477861 +594769718 +1381126724 +518653400 +1880302312 +2111322414 +969652021 +2091583206 +1489607631 +307857716 +1693536344 +103405849 +495529986 +52574144 +90779091 +661041264 +1249810721 +1376725177 +173026384 +1178839950 +1982299125 +1661530257 +217723480 +232838524 +1070965291 +218592350 +1325864474 +863560235 +2014068186 +740857235 +992935763 +1822062399 +1335626953 +226578839 +193232151 +1068445617 +190417605 +1162884173 +1012545175 +1680025237 +1470741889 +558597872 +1783431086 +1966271875 +611172016 +1874210177 +479829491 +1860982737 +1103451706 +652855876 +892339039 +938267183 +166902485 +1110062520 +1171105708 +1237867776 +1328654870 +349486534 +2101428011 +1195239408 +1090343769 +946880126 +869818159 +278487074 +1173458965 +1063050311 +1346932691 +1363876571 +78450836 +211994219 +896418160 +1549192725 +770592091 +532365598 +1367980952 +1381764107 +259092127 +1847810444 +1095263196 +1362543833 +353182672 +1987602236 +153327368 +520085157 +950181108 +1324433076 +1757952933 +131352330 +1673919610 +1711897296 +1326591739 +616779731 +511293775 +48926250 +895266805 +1684752740 +1111976561 +94715849 +901145663 +1190427397 +306710068 +1797563823 +592136474 +1077302159 +182445773 +1960117427 +311582618 +441537900 +1660444223 +1406845814 +1804081733 +2013626895 +1246964402 +1957409102 +386228404 +49661862 +1134358530 +2144181337 +181014193 +660794493 +1708594985 +1507605932 +1277574224 +72405112 +1556532182 +25357382 +1757157853 +521025096 +120073231 +510819868 +1711452493 +426783299 +160900044 +156105320 +1504085458 +343345817 +2116222747 +1815668076 +784883718 +1629183322 +1075030242 +441481803 +1495326569 +174510997 +251407257 +1881554973 +224172859 +1385765788 +1878252662 +405187052 +2046560281 +1439363999 +1912792984 +1176650857 +1511769112 +1321841519 +1202008239 +1121443317 +1842866615 +1322081470 +1632263185 +1406835460 +1748864769 +1793163229 +1562940780 +1105466579 +2136509047 +1531679879 +773651007 +773909117 +1013379553 +1848681250 +1215390920 +361222474 +2023192247 +1466798178 +95293799 +99881458 +705080318 +1973546461 +505068511 +604156951 +1265426813 +270377847 +1780807808 +629712277 +1592219366 +835332400 +1751155594 +1287602333 +9930222 +1235935131 +546954146 +1758794992 +881614713 +2109894926 +716777923 +870640112 +1494091158 +1490428931 +1644549229 +359987063 +1191626533 +712456501 +721209538 +1067335132 +31771031 +816503337 +1167216590 +736851349 +642566151 +1672285101 +1341008300 +1907992964 +1942662949 +974332461 +390221593 +1387398667 +1809664861 +2141377187 +527517353 +1819595083 +1229828670 +1074471499 +1430906427 +2111443383 +1036882777 +200703 +834599847 +383490287 +1490629634 +331665428 +743477351 +534772519 +1044121930 +1464686889 +1602107651 +1075892961 +133706578 +621840593 +1812744311 +776272729 +146642047 +1006268963 +536782045 +2089304996 +1980601424 +927003638 +1329220015 +1642782637 +920897177 +1856737368 +1314894073 +3242200 +783725219 +598316852 +2114685583 +1820607997 +598517555 +801801783 +56614636 +2089147189 +1133467211 +800091987 +476436060 +30105493 +117295228 +2078543711 +1105998455 +251001807 +552900657 +771259118 +1027274536 +699542704 +1777528081 +1564056582 +641364052 +1610645858 +343576572 +1970584067 +1105944847 +1264473750 +1679837788 +273355272 +1267715950 +316079359 +871672125 +1234917885 +2136687356 +1470189680 +2036719668 +45818345 +1411853222 +1022703232 +845910332 +1888289282 +1052808725 +963205561 +1819349346 +11323532 +1214207368 +224766355 +782582650 +93998256 +924309059 +412627084 +1658054838 +1565673111 +2023272942 +2001631411 +1388773530 +981734141 +1118621513 +921127670 +1255089414 +238853815 +1237207030 +2126761539 +1473771700 +1226410738 +1449467571 +1363007721 +1272229083 +713837145 +238227305 +2118139416 +454642780 +1291036030 +933861329 +126508478 +1302359563 +585049 +351274833 +2084942213 +94583305 +1275583892 +350085649 +1752638144 +693773355 +225874943 +1606785907 +2082546885 +1207609085 +577923772 +856190908 +315214851 +816777587 +2093397938 +294492742 +143065639 +1172325028 +1743960313 +1506073360 +297070464 +310313811 +1744300665 +267726232 +764956591 +887853048 +1201587561 +891465069 +42728963 +1202172610 +1242739902 +2127671176 +1296755915 +370840146 +330273178 +901910411 +1064613501 +556148121 +361212670 +999676738 +1763757206 +939136442 +1855867646 +2078972057 +1755914029 +1801781936 +225981151 +1898979669 +826623317 +1969941465 +1257569381 +1123693781 +132771628 +854386399 +1391420013 +897728219 +1742239447 +445523926 +1789193288 +1784968410 +1647696536 +884449542 +1765155938 +796968803 +1255289688 +2095429116 +1698879215 +172419541 +504093590 +2060091885 +1172096279 +120367148 +851744680 +880480278 +51855558 +460175061 +534778566 +277836709 +211671082 +1361401883 +100294526 +1469240464 +337612016 +233066154 +176143215 +1729032029 +1130794373 +1918382662 +27072307 +772504013 +1555867424 +1674768843 +1656953555 +1173539714 +324253999 +764759595 +1121485183 +2023133214 +937179136 +1625578773 +1935741451 +2109275416 +1745945921 +640002483 +842272046 +1797801479 +1100177545 +1377050612 +2075638189 +1311848627 +590968848 +28449067 +633605443 +928580864 +261515222 +809748658 +510129246 +1392309595 +580647672 +537201553 +17329961 +2136515096 +64486749 +1674283516 +1162571163 +388740748 +291559464 +136572698 +264390314 +1228738600 +1762151471 +52648117 +1190530368 +1360613744 +692650601 +2032802414 +1010931576 +1792828146 +1262369379 +939086117 +957193125 +1853338227 +967535184 +1590798569 +634435443 +1229050406 +253063579 +1144564689 +473876354 +833711252 +1681766243 +491206315 +822742700 +1746252992 +18006183 +1985313863 +2134993740 +309565647 +2121886561 +251900406 +1538304248 +1736554384 +304548523 +581350968 +949684481 +997199124 +466669735 +1960616057 +642543622 +1729039114 +752218526 +1599736748 +1434893693 +1719753710 +1043051669 +2069329136 +801320469 +1296115248 +1066410178 +1275196823 +2129826500 +600692773 +1766403138 +805085553 +199462117 +1784409321 +642915768 +186972209 +2093974969 +617318682 +438872615 +1484795569 +206389418 +743421138 +2066146537 +1156073899 +1740620263 +385332624 +969206308 +235680237 +2114371738 +1721424834 +1835416985 +1401781783 +1293694897 +730985006 +1323627272 +2095015366 +2027100255 +242553802 +1222728541 +2009443107 +843246575 +841648031 +667045012 +1042708692 +478573704 +1309960781 +1229680901 +425065025 +1927279463 +1668553516 +1909860594 +2133668881 +264491006 +1828523484 +1142259133 +2005111269 +66372460 +2111465441 +93307859 +33260551 +1685406628 +1928724844 +1435042334 +831617877 +512226203 +611185958 +779149595 +391842810 +853739760 +2001878136 +253802269 +1696986335 +696042519 +920847282 +592211379 +1174616223 +83324415 +1821892280 +1599681249 +2010603878 +1342962148 +1362058195 +1996789111 +1607453155 +1043098031 +991564596 +1465080776 +1109470492 +955546390 +1558388635 +1142731043 +493469370 +1339629832 +430289729 +1325087247 +1851856035 +1041475688 +2104236842 +96215197 +1895215448 +1958631330 +350017466 +1444718136 +507190201 +1270864748 +2036929515 +1681806424 +1354189163 +1711338148 +1134004025 +1217309393 +906816648 +348578573 +1066614857 +366786155 +1391676604 +2058179453 +1831866932 +353663448 +866242195 +1242771919 +1496394491 +1359711565 +434918103 +1926684221 +537315164 +139290490 +820676261 +494068358 +235505687 +568408061 +305216040 +585523154 +2013126197 +812406241 +1856387902 +1902572065 +346729018 +1063093418 +1466426565 +1480733043 +132919163 +225759565 +1829311616 +1199534020 +592545721 +1073504573 +1110229826 +276929005 +1427168021 +1976472021 +1519700924 +776078865 +1188699939 +1954619028 +555279438 +1726015103 +2093909518 +1375955699 +72599814 +181931558 +1944363760 +377815854 +767454712 +1810006310 +1190222096 +476358966 +1565094727 +1536951114 +1539452384 +884037644 +870200509 +1672371548 +1109797209 +552028478 +724421920 +1702342930 +1625533051 +1834651746 +1979271935 +905217424 +1663640120 +1351489212 +1681296289 +704856411 +1158624592 +89092079 +283387866 +1105050462 +1465047778 +355987680 +1286982020 +1261927891 +733803535 +2054436732 +924450553 +1924025631 +383312051 +342061632 +1313493097 +1922764435 +1226099276 +36209958 +1447652335 +188412837 +588238436 +24590608 +1890755768 +66287839 +1859242354 +1722544055 +971505264 +1375398826 +926549619 +505317905 +2080255237 +2085174211 +594409985 +216159456 +1042741026 +2059457763 +572147136 +182239398 +1173902006 +1305950671 +89192483 +2098352559 +1082492654 +472504534 +292930543 +248502103 +247785321 +1519029819 +284712062 +1695437657 +1707442657 +872950498 +1720028265 +1450714777 +939238338 +1431786971 +1025775184 +1910743602 +659702150 +1952324804 +268577859 +592473739 +1890015367 +862987844 +808633195 +785272745 +774961960 +1380780332 +967512144 +1948863966 +539247355 +1056704627 +1899732878 +1621740010 +1529209161 +45179773 +1870242113 +1776994482 +1564209593 +7470527 +1324948491 +1124168602 +880421026 +897493108 +427399731 +1819659364 +181796432 +1453174915 +1582919318 +841498582 +1258016071 +1851497177 +1433972321 +1000547791 +567001374 +95121869 +1785820536 +1341963334 +1475902201 +605849032 +1143343652 +2015149556 +1662553659 +895592882 +1489405918 +1044279172 +940772656 +1212164384 +673790007 +357498601 +1219634911 +1998738498 +1481667203 +2100055937 +748747959 +1909066934 +1772231653 +930544391 +1214758201 +1207667323 +1772042973 +325290625 +911680853 +1058531646 +1325838416 +1478682227 +1153653515 +964175304 +673161913 +482072068 +1570024337 +1816505565 +349737977 +1085094348 +564614800 +1839143895 +2129373521 +1505387456 +903824631 +655679880 +1862886057 +2123459543 +506934730 +1197069612 +2076031832 +1255682689 +958652898 +1700779838 +38743432 +25927451 +760963513 +1810786405 +351218076 +1672644366 +721834404 +1677056492 +1003842945 +1875487919 +493748149 +1677004858 +210076340 +2063772486 +1346026776 +559814317 +1001383186 +1910641576 +251474564 +983273059 +1268545384 +1155299196 +1638952939 +983947793 +1131275091 +2145887670 +33533757 +1059823275 +1254086711 +992186655 +613119465 +1292830144 +1018114106 +1374082979 +956132901 +1369332183 +899243697 +1677967305 +898905027 +1903086643 +1405971577 +1392653176 +1432607853 +1616047917 +1308942014 +631150981 +28378586 +162841553 +394308909 +279853150 +1146114612 +1662854293 +1435152346 +637583904 +499318438 +418943789 +635987926 +532852195 +1478767065 +1890074637 +1525038850 +2091886530 +1035421133 +395669309 +1318485861 +1991554035 +1765001492 +70245911 +1522037692 +516422871 +1973332554 +780525621 +1909076048 +1258456759 +249089890 +1070534414 +1889607741 +277468476 +1233375967 +136433002 +557321627 +232006932 +1799287296 +1992473973 +869590836 +151122086 +263934115 +1505578762 +683974282 +1742701180 +1248169751 +61529484 +1687104062 +136107237 +457198793 +858106276 +2127661272 +74716637 +928352187 +1502215316 +591139509 +754201093 +135257290 +352731909 +2012657852 +384347180 +1423266323 +1754781945 +661815657 +509158643 +1891214948 +1219137284 +741165575 +1543018596 +1064127609 +1610756411 +1694140682 +1328061724 +968851525 +230631316 +923279256 +69537628 +292160801 +462899671 +205644865 +749359594 +1321005947 +185822489 +824076232 +101874486 +1688037806 +1415215741 +856075579 +1823295096 +1767947650 +721249783 +60158628 +1043730325 +328548081 +721974285 +1552888968 +72279381 +1941111569 +146570895 +1615297977 +857755531 +1757327306 +1161955011 +38333607 +578695183 +1392586328 +961612864 +648232812 +1684747129 +1424512535 +853877677 +286623075 +598034834 +1039700167 +1110699307 +699909320 +580254325 +378431400 +1555984899 +256065773 +2146379050 +129751034 +316224401 +1042625728 +458299115 +1038198687 +448031048 +530578496 +831826608 +594601944 +2145876473 +1689582139 +204445602 +1160347837 +1727915747 +783140786 +405450517 +542044963 +1431373598 +2090197646 +1966557498 +137767627 +229337073 +417108684 +1177467794 +1340036381 +1117018004 +1757722119 +1718467781 +525519255 +2013787892 +1717363184 +655270289 +182528646 +612505264 +1113569405 +1220727333 +1060536312 +1644147901 +2052553941 +1655138256 +1642540727 +1594652433 +1859583859 +655404916 +1175084532 +495240997 +1060855433 +1717129495 +1926614595 +1003569431 +1536203345 +2064382222 +1232906504 +1953312029 +1094366369 +425459237 +922846385 +704604840 +2143927019 +1448365640 +570909085 +1713806555 +2103635929 +753437731 +178828171 +1069721686 +1974165064 +1239364483 +566385940 +1879235357 +747019092 +61443019 +1326404142 +459119303 +716847935 +354005026 +954360300 +1777703368 +2071134521 +733491247 +633789151 +1459854218 +650389821 +1866695655 +1265682599 +1744756190 +144671245 +41045336 +301877383 +141114616 +1489410976 +872786468 +1854921171 +1445563258 +1626224199 +2033749342 +367801296 +1452905615 +1125630177 +934187236 +1184657324 +1872649269 +995630255 +363577819 +184284924 +1712478190 +717582845 +1138645224 +1342697910 +641233719 +1872136471 +1976487061 +2101087937 +375042645 +1695699069 +1219286889 +2119798835 +1840370314 +1260332225 +274192570 +1981484930 +602259554 +1146979038 +1688922453 +2047822812 +625719589 +1575188147 +268140460 +2078625204 +553334676 +1202327697 +1115798881 +278500298 +50474304 +1479376700 +462785222 +1762952495 +49475897 +1601430447 +958166757 +690709616 +1326083270 +787170171 +644313906 +1701125915 +335385592 +1863600795 +1673441103 +28272258 +976449372 +1947633673 +2009757188 +1578708926 +947129064 +1551195993 +1479048090 +1572848653 +978900492 +1747188551 +1503990210 +1532235168 +802032600 +472305443 +1810735466 +852506904 +1951682143 +126037041 +467975751 +2001158040 +1727467488 +1426142509 +544384009 +906067110 +65829032 +1188697915 +459709378 +401214624 +904815062 +2133150481 +429486882 +1881264434 +1933300506 +291760422 +1312489713 +732945922 +1842956415 +644054155 +158310928 +674373259 +243759058 +1662301138 +59124779 +1045791658 +2134606581 +1869860246 +1898298563 +1938805076 +1995897287 +218790666 +1792479468 +1575881127 +1644933175 +189379829 +334464589 +1710762207 +1378077744 +794173967 +2111976831 +135409158 +779840800 +393980065 +2016673593 +565657659 +685740487 +1181679658 +1298603581 +381213254 +1825733813 +1456914509 +1055586513 +2069492872 +971731999 +1114711293 +967800882 +958854932 +837087891 +718615797 +750176360 +685501530 +937406464 +395172181 +113899009 +434855991 +584552010 +448363598 +2145618199 +1962629755 +1242537566 +2110111382 +2098038913 +2022378366 +356607800 +1967228858 +440552377 +1042348287 +1001424868 +1739155959 +1423561542 +679675034 +1048586820 +331664407 +601684258 +2020318820 +1446375700 +1569485140 +831690104 +135979943 +140617290 +1581866465 +821481473 +1078023754 +1977038646 +935380482 +1512879745 +414107008 +1383744081 +1511014296 +229253115 +478797999 +1473642031 +179808381 +353692717 +1830249831 +2147037239 +794245095 +725114470 +1000978460 +385917406 +1192364 +1680653494 +1434504226 +332856772 +134854104 +1307339398 +1779232472 +1704339244 +2139029503 +1915212416 +1844956534 +1573412320 +589210241 +775496640 +1402967318 +1524590724 +140892738 +1817074326 +760851157 +1651907034 +2046327442 +1239649156 +978065417 +78652175 +1593341873 +660831600 +78205766 +240103320 +1385946071 +1079184226 +626020726 +1387138435 +612354072 +2060524953 +1719995207 +747208176 +1220380703 +1351744032 +304063773 +1211926558 +1119472800 +1536659 +637855230 +1708683041 +777033300 +2040822548 +1085790117 +917926038 +1710413227 +1846641274 +422349424 +1609257021 +938806782 +1400414842 +1687909196 +384665008 +2061246442 +1766114962 +624768328 +1299708865 +697815541 +1250789055 +539363653 +1310169613 +1163830360 +111875212 +2057377790 +236727415 +1463619244 +213957915 +1448653974 +435608396 +215494574 +2086509204 +2144291438 +992527874 +1979848105 +1082597907 +1910453912 +1542777684 +781755534 +185319689 +1004551057 +1720562316 +1585734531 +544976605 +2105227324 +1499497325 +163607919 +582512005 +651722543 +861423460 +1833301060 +1191086196 +24109426 +849647772 +1302961408 +2081487216 +1086375187 +619097005 +147961483 +387545513 +1054705401 +363456057 +326571070 +1051513191 +1355983932 +158935527 +2134111099 +1118954196 +1701713211 +768382985 +1304273885 +558780620 +341461653 +742524768 +1103757225 +299205330 +94538446 +1267365144 +881717335 +746260989 +2128788605 +567534747 +1937347185 +5414383 +1417182519 +1092824945 +2086901599 +356074058 +1711921950 +87379434 +743619572 +619143704 +450835491 +1070190642 +1670656895 +1806819423 +1229126169 +1657284346 +778289972 +783355732 +278183683 +2082563857 +1342136352 +619645337 +677604978 +298409929 +918850667 +772143424 +1565775073 +1800568002 +1518404413 +1547080030 +220619101 +1308267950 +1552494413 +1637801620 +253609247 +1491912364 +1993875678 +1965531198 +1579291798 +590011602 +437191254 +2030127290 +1660202244 +2107848149 +1689463065 +741844765 +1617648848 +320269389 +1525200497 +1895832531 +255349599 +719853201 +367994220 +932954577 +1018263130 +1286844887 +1705098001 +436554556 +939929241 +1076018766 +1983634586 +1160548342 +236803068 +1388645352 +650866314 +490412315 +733074068 +497258345 +308459865 +164882219 +1087269947 +745651119 +47525861 +599988544 +706015621 +1736988926 +1341833309 +176180821 +2057258316 +719550159 +2072013352 +165124267 +1439403360 +292523925 +1098078844 +310182843 +1579368812 +655693197 +746737399 +371814406 +1731711963 +582888337 +1532362748 +1968515031 +1971533689 +35745415 +311443698 +557124110 +533003760 +619903564 +722006329 +1620273707 +1365554683 +769532190 +72778603 +2071570304 +359037468 +1414611913 +100267477 +268812136 +2134162072 +24797182 +433936403 +1426081784 +317321107 +1532015247 +1736264627 +1896689919 +40224796 +335518378 +121020677 +1771936759 +918406716 +1653383426 +1592968142 +742456757 +1689128841 +1904411841 +1299580867 +74648953 +376831757 +2021587196 +1694922660 +1742386440 +643635738 +1767701264 +1666473097 +1002673207 +1034829529 +1766740574 +1271485343 +1021507953 +1791537756 +1705421747 +300106089 +2108858863 +1089953346 +2036370717 +1858065135 +1130178143 +224405447 +1979085812 +754631254 +1142812163 +1484985590 +200115749 +1885268921 +1026630783 +2104527590 +1037366140 +1101279736 +333875699 +911469689 +648718749 +2076262139 +1555105427 +268936365 +1595251588 +410294986 +1303765894 +1214508515 +1681780330 +177790199 +858562623 +1239718429 +477896288 +819937839 +182188127 +366783357 +530519326 +1312366270 +591188805 +362121490 +2066997525 +1734000968 +1847107081 +119629626 +1471786241 +726254216 +76673568 +361668734 +1827533953 +410549267 +1273138423 +328769054 +339327758 +680760202 +597705419 +1934579347 +1091055189 +1901471313 +1001604214 +625351871 +2079261512 +1860166837 +1865070300 +409674152 +532621028 +2047258427 +776457510 +1063140354 +1212141050 +1367646315 +1425261845 +1131654927 +954163635 +1124885278 +1251284553 +278466229 +1851139494 +1327958121 +640134963 +1531189799 +1738507388 +1913273386 +1859958853 +2077835146 +446549940 +310180624 +1864930845 +1537605129 +64168289 +719051411 +15473352 +2143429801 +431734601 +1880543652 +405620306 +964355629 +1780318432 +1182077816 +2027495984 +844975834 +402240483 +1305274181 +1976630761 +1356404118 +282675811 +1080431666 +1634870347 +2133815305 +260906139 +127521662 +1517521457 +1999413527 +2040795048 +1229996662 +1929765025 +339861341 +1540177287 +1647212223 +1877466470 +1604345576 +218779986 +1892939823 +1600291730 +650514587 +1625999827 +2005912036 +1614870217 +1258834611 +1040506204 +1494882553 +2103810445 +1442746687 +652673086 +1932957558 +651667157 +935348897 +865905576 +139053857 +921680554 +1126811715 +266575519 +291718363 +978741594 +159886920 +1521715026 +761022972 +499748261 +914408665 +260751547 +229731083 +371270593 +479531533 +2122670906 +1971562323 +1130046121 +1601187086 +1829990711 +597432690 +712538049 +723013267 +2092315243 +668864847 +18276306 +597504681 +454338757 +669943464 +1532853578 +1320244334 +808997321 +307050484 +299572401 +1075572840 +598768848 +1278313996 +1235459760 +2120483874 +2039336968 +1735208021 +887408891 +152604867 +1964939105 +1258679484 +632136400 +1940126363 +1082758160 +1762182521 +1393829801 +765265223 +212131563 +2106367851 +1488278491 +156963158 +627749050 +1506554797 +754467839 +1082087807 +29014613 +139837769 +254848493 +838011934 +446888254 +554420895 +1913584775 +1045657102 +1832734891 +1001560887 +1018657328 +1724588211 +589285261 +1906066219 +1877193078 +406740718 +1017262055 +361845830 +199383433 +2100020215 +2124028352 +1593213235 +717801791 +188676267 +1552097438 +58596634 +345639426 +32362840 +1565151431 +1100107265 +1114450647 +1594166045 +1239945035 +1369299141 +284694331 +1686833289 +1923720036 +50795458 +585006743 +1608971279 +1052356346 +1603664071 +1186075842 +1641641607 +1362246642 +915785272 +2048382325 +232025049 +1277631102 +100282110 +184561617 +1254175806 +1693495345 +902363408 +1442852074 +1098109135 +960960042 +1788491500 +1130471975 +378627825 +741115117 +97438975 +1972793870 +1981060152 +1466738116 +110004554 +1520409793 +1242974504 +160800012 +2105416536 +704462135 +1213156358 +1561596959 +1890537977 +707314317 +776359953 +658839601 +608212994 +1008385003 +1936470703 +708495105 +1192946620 +1043162862 +254506802 +2095310028 +338531288 +1352615938 +908786422 +2127022788 +335604265 +1287414247 +720654257 +433043240 +1112724470 +554230762 +1899781356 +1222729024 +2074640555 +995272212 +1383529036 +2032573444 +1699734347 +449201747 +1446686755 +1442788676 +1156516064 +75563061 +2101628277 +1764729059 +1083948064 +1890615333 +325740516 +129411036 +786294547 +580247318 +77237416 +1124825835 +1932863256 +986023838 +1104364975 +120983874 +125954437 +1825019232 +554027114 +1238678907 +231766346 +306324823 +313924283 +158923254 +1301597035 +1697453320 +44013050 +853847735 +2146655067 +1490699805 +149152763 +1155687483 +1566262866 +103297393 +772932894 +502727282 +1993912726 +1098673410 +632138318 +632723625 +1678920729 +709375734 +1757549460 +1464300337 +1695399572 +714430787 +1585284211 +1821354010 +391966371 +2139311326 +912549269 +623732718 +298152501 +1226473553 +782655972 +1599749536 +776443225 +826669022 +306113623 +775614644 +169885179 +455266387 +1931302127 +1736148046 +558563780 +556751374 +91391680 +404992858 +1655424784 +723529999 +1037716483 +1186861865 +1432905733 +647782295 +503678555 +980821658 +1362213082 +2088962766 +654692020 +1754179453 +2080790444 +1567241289 +230428523 +231459297 +646231194 +1013084495 +1831208834 +1422674419 +1839753517 +2137322457 +50805415 +2009638697 +445105196 +1982107543 +1598303095 +1003668976 +391375269 +1689694775 +1408661834 +2046800053 +265741126 +298894669 +1086178271 +1698646860 +946676964 +1589856826 +531984870 +161406398 +1531335944 +1186676890 +1915585852 +1464642741 +606434531 +2146014375 +1696102038 +1252665726 +1011615223 +1379827224 +527856497 +703885092 +1369666034 +578661913 +566040141 +1814771230 +413285808 +16859588 +670956559 +804661077 +1706554364 +2079618393 +703977482 +1972295490 +231029415 +1790155753 +1523458702 +1177706379 +1232528931 +2055443572 +1339112778 +616381228 +1094636814 +1107214982 +2081023969 +1701071346 +1105745709 +1629642359 +806253424 +2117360932 +861985936 +1334109921 +673762377 +84168322 +1912771834 +1239802518 +1898939552 +178573994 +1256662107 +422412463 +983235071 +815732823 +354547209 +1687212554 +640544665 +585576624 +1329884659 +16519720 +1763283003 +414929943 +2071963292 +954912133 +1031311171 +1019116459 +2062127115 +964851492 +572704157 +1020389177 +447010203 +1378957581 +990266461 +1308996139 +565583854 +1664028838 +1393164461 +330872041 +756347709 +1144620366 +509446035 +2013009816 +1567032829 +1492681107 +681258991 +1921580038 +1032410013 +1321803656 +359673014 +214811024 +1338323376 +2122956018 +629740967 +1262803021 +930384503 +1661052138 +134435832 +845027971 +478419982 +707139989 +1865417148 +925430186 +2086097570 +708199961 +86942677 +504197776 +224745152 +1480107139 +835069817 +981092861 +477243857 +1344515853 +846619029 +2044276686 +689713312 +1527878020 +1818373077 +1722123325 +702198028 +30562443 +1936934349 +2040521405 +6034813 +419191669 +1155840778 +936419317 +2080243807 +1290276610 +1781447288 +411180142 +1997416599 +1499380788 +1336610328 +1936030521 +60097101 +1423553005 +292744649 +284842253 +756176496 +1127814467 +1265935114 +1233420353 +324846672 +2112554143 +1130213392 +1014559984 +1492948515 +801102821 +589199661 +47662896 +831665264 +378650362 +2088184301 +837700078 +797842031 +1096541431 +1774119395 +730602191 +239334393 +1408083035 +1141782333 +89267344 +759980175 +330909013 +2025297865 +820077276 +1754462018 +170558866 +1104919530 +363154867 +1298373333 +223370996 +1596575220 +1623220005 +188441492 +579304964 +490296341 +1681390007 +1380407785 +1079496002 +1729052903 +64589402 +1458146365 +1669753556 +902289480 +108504748 +618811339 +528925227 +839106939 +858145732 +1937008262 +1980889272 +947413076 +549504789 +164314637 +825227293 +1369582065 +1918776656 +995786160 +327017947 +134447875 +146675845 +550388944 +1731023095 +1769895851 +738830436 +162844412 +112708544 +272736795 +1543252197 +1192204547 +2001789699 +1607841599 +502867264 +1524059607 +362647431 +611372012 +2142870947 +891572658 +1450478952 +853533031 +681097272 +1283884576 +1800946108 +1230602061 +1448199214 +478689753 +452700479 +1219492222 +1474475913 +779718426 +1353940097 +1621151759 +1330107370 +937479544 +1243563962 +2068937806 +1100323956 +1356272506 +194190954 +496092506 +400993405 +48497005 +2103934105 +903860669 +1572556612 +319097889 +1515232682 +1567943911 +1210670547 +818227986 +273993295 +1891767820 +2102112562 +2074939403 +974886233 +1402828128 +406145508 +1427586712 +474836702 +1880621422 +59821491 +1828776799 +1354289533 +1389928861 +618772696 +450369847 +1311383020 +1719096652 +1806642353 +1505573974 +67705510 +60152111 +1554070979 +24155968 +964012780 +979143943 +343253857 +331761814 +399604207 +1553924404 +1149989800 +673597502 +1298208576 +1104618715 +601053257 +125611162 +359963195 +1007198765 +1553197874 +834799898 +740336539 +1613019365 +516093049 +2094626072 +855464579 +1134865745 +397512271 +19363951 +706478750 +56670977 +1524937925 +774184260 +116823088 +931525256 +798340228 +1080835868 +1910669199 +1141594085 +1412597683 +162789758 +548034842 +415103835 +836387260 +1846243418 +1519722550 +1437440517 +1971854580 +1879685746 +297155635 +1377568807 +567001996 +1037492174 +843104524 +1083095045 +984634599 +1698569103 +70477143 +1382146870 +1717933054 +776955893 +1438817847 +1095387331 +1551140153 +1555640935 +2026912587 +201996734 +488993156 +1790098139 +1343590819 +1901590839 +1952887897 +1891625661 +169211026 +641791510 +1590385432 +1688933577 +2079232027 +1414756364 +1421135675 +228904014 +644841523 +1988137671 +1266396189 +1487946048 +923749068 +103547140 +1039031503 +994226211 +1485694010 +609480910 +1771182104 +777028210 +1704868241 +1174838610 +185185497 +1584297181 +1376835344 +674178653 +1226911672 +572942515 +428285844 +1032315921 +317084529 +597496871 +1674107431 +1907469961 +138946800 +1605855811 +1174742677 +1560082475 +1834759825 +1819584201 +1400736498 +953672366 +1160046601 +177001918 +1057219506 +51594456 +1171228130 +395429869 +661075366 +794926586 +1172458079 +218459960 +1969765196 +1357643576 +1802757141 +1199116892 +2031822230 +882185165 +1772059408 +312624426 +1914501086 +2089143937 +910121297 +1441124870 +1849130250 +1049068097 +899497033 +876389279 +461666924 +586773210 +548489832 +1862403422 +1540445577 +1708536433 +2039405341 +450181435 +1760130890 +1063149823 +845611304 +273722608 +1858076409 +2018069383 +492182568 +1680357958 +1228229312 +147456061 +731991202 +1112567894 +1029641226 +356566962 +1425192320 +796658665 +298227251 +187829970 +90299887 +2147357501 +1236898067 +989796920 +876263133 +1698564992 +1576570130 +1424752965 +1413484766 +969532059 +985805751 +1305406459 +1419713495 +598452993 +221072634 +117841151 +872175601 +2079149044 +2135910535 +1364358170 +1612023354 +1216656199 +1511814231 +196530908 +181740445 +393971810 +553097871 +1606932765 +1190630475 +851325122 +1794762735 +1280930362 +851198976 +884177155 +123243634 +1727462109 +435258499 +1699813764 +1004731426 +1848743265 +521862176 +1990537177 +1006666077 +1941575671 +441506522 +1227738711 +2059416822 +1313682124 +1159404107 +2047843709 +530556646 +623943813 +1117016260 +2042370877 +820474722 +1298756705 +288859039 +1373572593 +758205823 +1479489514 +77414067 +405484910 +612936228 +928613043 +1289662065 +736179862 +508591504 +1724920564 +288509979 +1513322931 +1426180182 +810372155 +1356376460 +285362611 +604464178 +1797882983 +1513101322 +516397352 +964081459 +525021782 +416757414 +1494638105 +1148965595 +1533773674 +1389525334 +1969440317 +685046732 +1678384374 +1195529262 +1443252555 +1010390240 +1272943330 +1848737465 +1623326469 +54072725 +990915883 +212022683 +562664230 +568352799 +500532662 +2075987161 +1994532981 +1310904817 +1284879973 +132411944 +1915368995 +935279308 +1645513267 +284282700 +1899360767 +23051401 +701040114 +1246515224 +1172016996 +87330140 +488556911 +993973666 +772376872 +19457637 +42019280 +68145779 +1029847877 +1314962610 +1916883245 +505690698 +1369035336 +760315480 +717713382 +1931699566 +1328668279 +1218246044 +1860203079 +1175717613 +381667214 +997599404 +1308129557 +149552561 +1932878713 +806159176 +433835261 +1684755832 +829210577 +1134875375 +783787409 +2001227574 +1222205516 +1272344320 +847717592 +1994582388 +1291801957 +889736872 +2062728168 +174166186 +57215835 +1832127765 +679856885 +1426251171 +444959597 +1397570267 +1210467089 +1773627876 +468332663 +923186520 +801861841 +849999877 +1920785924 +2109991399 +999552439 +1706180989 +768666927 +1433387700 +1243453174 +1597877505 +420779428 +2027240583 +1451621431 +1642984944 +1152101255 +151855375 +1490083684 +296419564 +1041592247 +1405328204 +470585750 +1098808082 +1089972321 +1150442635 +377575605 +1534931918 +400529254 +1588042694 +1161076147 +868861918 +363745566 +1962937988 +1718861795 +137047843 +1925445739 +570930586 +1843228832 +546629019 +2004318287 +939198358 +2144506524 +277614067 +818955293 +1448644307 +1920599011 +1971056548 +1600499682 +1263199047 +119992464 +494608281 +521043604 +590578215 +1593416364 +1611015925 +1741020850 +1970991969 +998464196 +2141550105 +1411551016 +12056695 +862928375 +1775296582 +1974994683 +434306522 +1912344425 +1752956775 +1005237109 +1608089610 +152102146 +862071748 +399804320 +149125022 +1139685815 +1218759614 +1597769329 +912801178 +1042332514 +1050785363 +28516577 +1162324979 +1545393644 +549560181 +1752903194 +991326360 +13092459 +1346440396 +814834682 +1011556655 +1340506853 +78902050 +1023613350 +55951580 +1854198632 +851124385 +490258103 +1619059410 +456597512 +1495495212 +1079665372 +608699658 +210083312 +1479469692 +757824680 +1349769127 +550745658 +208110361 +115086657 +1593078173 +1258895724 +143603234 +607919504 +656805721 +693163416 +213339050 +1648132081 +706255875 +1559779446 +315483115 +1717812530 +752802652 +394385165 +593942232 +808754232 +101100150 +1445066617 +1299012335 +1720159560 +1901664130 +647023899 +652341284 +362880140 +857107211 +2131810976 +1120704821 +59392690 +535072987 +1328815182 +174479347 +2128151160 +440227259 +318082582 +588587016 +1097032980 +1011245998 +801926066 +597681413 +1717501873 +214221864 +913164529 +1287830755 +967024516 +1307549694 +1881772987 +1775778749 +1408649844 +1179355956 +927307436 +981325756 +933536438 +1574331336 +1633667040 +1296416579 +283954899 +1617994369 +269637752 +343347590 +5583708 +1598452934 +517826937 +2133734868 +2038680193 +835909519 +574838236 +988229525 +1847155517 +1376764302 +1585910939 +1417173742 +1590986166 +351591820 +557520849 +410527035 +1659141514 +291810188 +38822136 +920307711 +1471166145 +966129572 +1901633467 +257218935 +392977260 +1387816860 +1553635514 +676932160 +858327581 +1823273266 +1020279750 +863911289 +1274242553 +1538106687 +850162509 +1165439098 +226532559 +1425000745 +6184976 +2073688076 +654281399 +1592095915 +1343378171 +97783917 +1943687735 +1900899020 +508310952 +1455345601 +45225561 +547133088 +228169664 +1516391706 +1513262661 +2129803132 +1773610641 +1906239921 +1370136344 +1179762508 +435688433 +80980277 +855552126 +1455968183 +944891566 +2129794679 +846591223 +1795054075 +1147750130 +1073123782 +1072571172 +1153935106 +999328210 +1726852571 +598547373 +195222733 +1824636488 +394751460 +2096121754 +185463793 +1850097061 +2141347315 +732596881 +2078266726 +1510255373 +98375894 +2060586210 +1136382366 +2004615816 +1283238906 +168661226 +292820601 +1364219183 +1024213353 +1748788785 +161627101 +1006524384 +447896360 +1956681176 +6790866 +1521020142 +881768700 +1160725972 +372864704 +461137623 +1759273345 +568087438 +138290463 +6541157 +516725544 +323754256 +1856638219 +510589211 +1056351138 +1787421297 +2020844584 +1154727032 +1700523859 +1009743302 +1011859200 +836279117 +1178404529 +1304679802 +53014652 +55134234 +905984939 +214641753 +1061658618 +1353881299 +23839281 +1068449485 +727417793 +905607981 +81691809 +1100282497 +1366745604 +1840965155 +1668369935 +1505036067 +1847506312 +37611831 +1828790324 +1556660883 +548201042 +737657814 +1196598532 +421561978 +1892384846 +749638743 +1431305281 +756760399 +1585917860 +462226162 +2061440201 +1638932512 +517360396 +819941492 +1853574265 +1579019014 +26339143 +1877413546 +499984851 +753756936 +635537879 +581676661 +1854039433 +2002283483 +275158168 +1374925721 +1359835903 +2122664480 +1412537552 +1041142579 +1531841716 +1960738595 +1778800393 +580956600 +234816925 +1523701591 +1330595344 +1666122206 +132978342 +769029556 +2128348368 +46934895 +260478421 +498225116 +866876387 +2114052686 +2077244131 +893215530 +1843982585 +429745334 +1646972466 +332036816 +1011421995 +1353528252 +186836652 +1286580163 +580970325 +1546672555 +1261760996 +1993507877 +440331486 +646119064 +1806762824 +71648231 +1227075664 +2041579750 +1595349822 +410187360 +1560218308 +1728328165 +1179216917 +1541083029 +1775263060 +1439695338 +2039308145 +494655800 +1406264376 +1969068628 +1387871330 +1102763313 +251330315 +887360149 +1434800130 +1262752310 +93404753 +1621636782 +401848826 +674375078 +1020825689 +1663609822 +520399307 +1461157175 +162245238 +179678484 +1532805406 +1389320902 +73774586 +980671580 +1799508263 +1633992894 +561516097 +831241532 +1027592275 +189295510 +123453222 +919416773 +683951310 +1529717598 +741001753 +2071822640 +484997264 +992332068 +811699141 +1919797394 +107600731 +905103894 +1393950528 +509449557 +1579478972 +267292569 +25575731 +2099878280 +1728449744 +187820969 +132073116 +1113771502 +1577141871 +205847702 +2094443082 +1229166486 +1839840596 +508475532 +2060408018 +719949224 +697771042 +36377592 +1639365997 +1381722352 +1566095191 +232884102 +1306061344 +2051092455 +1225216171 +2117760486 +1823406201 +1332816902 +875380732 +1069873081 +1842266459 +307376057 +1337165650 +1867842190 +259770689 +918131746 +2055663159 +391843805 +2031903248 +1485321382 +597691507 +1978862682 +567004221 +290048455 +339854566 +479928591 +1009997679 +1037625608 +516306184 +501880028 +271864312 +2082401375 +734764131 +1577925657 +1986010182 +1959980302 +1548202495 +1661932735 +1145313556 +276099579 +584322168 +840096367 +583475636 +1921487818 +560454909 +843246325 +692135916 +468634420 +1235090130 +576555516 +1953955802 +1832781637 +407934550 +373476375 +2122830093 +747789117 +853404967 +985344124 +1785414725 +1369711151 +1487224153 +2057279038 +1304628878 +74504636 +1487721047 +1143155412 +2034484938 +888439894 +657604499 +1032314846 +1164539473 +1241926667 +1872411213 +1748015110 +1015930837 +285382474 +443777787 +1708066753 +754016894 +1678867918 +137138621 +560489048 +1364165907 +545073171 +933965424 +1339512352 +1292862288 +1787370391 +177372829 +930793366 +1009597894 +1664596982 +840588756 +166743124 +1739101618 +180826155 +1309898536 +1626102908 +1069266049 +1967503035 +510934106 +86321874 +1061946054 +235861671 +1834336984 +2077876891 +521244145 +130631124 +1638459996 +1275261039 +1809499042 +1775598617 +1835750087 +1026181301 +173188140 +622231863 +218210006 +1466050429 +262118606 +395582835 +249360147 +1271716500 +2060179817 +1089948903 +1438459624 +1651797787 +1270775058 +600874512 +1130417047 +192557459 +420893899 +1641351153 +278879333 +1482839953 +1877212824 +2113216318 +1413233196 +250973321 +96363794 +904209544 +1526234360 +1905862836 +532324513 +1214500799 +784560489 +705512654 +1836732663 +1002770495 +24079435 +2098851269 +1398353330 +273439582 +1223084122 +1311049499 +1363388485 +514060098 +815363638 +486679895 +1114934611 +1945780685 +679237354 +1535828510 +1439648190 +958116687 +871184816 +1169377366 +923849357 +136934364 +1420350687 +1020213151 +1041143909 +799101399 +778592339 +1573468422 +2013602199 +1563152829 +131497428 +1702851214 +418439676 +155576863 +1654218835 +1816793007 +429016445 +729819309 +980358858 +1792404930 +1243879408 +1795722497 +131601177 +211330371 +1594019534 +810838531 +1747158881 +886184077 +1768955219 +470860049 +2055561443 +545320928 +607794414 +1328428483 +1565534080 +1648938323 +2127529882 +196642771 +1074923097 +1993648433 +1759795600 +1206420526 +1549015999 +30751629 +1361997389 +1055751187 +1847544636 +1791013835 +1785570496 +680419846 +1435935117 +881966256 +328658695 +1567536295 +1093296627 +1922678230 +230891178 +692971861 +661378659 +1999846397 +1163831910 +569456454 +397683678 +1771626324 +1897884937 +1963217758 +1273080999 +1877931172 +12376881 +200520449 +1724095957 +1772172482 +1406940975 +1125628309 +1802924111 +621454716 +33895848 +1502985099 +264984903 +1819466344 +35921297 +1700920021 +553948953 +364579993 +1120972668 +1647245580 +139774575 +1351863846 +192733793 +801153234 +1204226596 +1356565704 +1370609688 +1601910274 +980708380 +1121010978 +1417644384 +106305732 +851458502 +1430021265 +306826181 +428070811 +1054710099 +1713767156 +1553699120 +710150562 +187738224 +1587594968 +65652013 +452723128 +1259577665 +101573311 +6159501 +1813526618 +466153304 +1127132169 +1313288550 +605927879 +331512367 +1506022344 +1407081113 +1535738963 +715104400 +630207153 +990165589 +1695812780 +1751218131 +260326325 +1802118512 +455192985 +1690347591 +2108944693 +883263797 +597574042 +1675228201 +289479269 +1307724605 +1862966426 +1877074238 +1373376618 +168205906 +989168255 +1474949929 +174365407 +655211225 +1941103233 +1301497576 +1968499775 +399547464 +1633009943 +1327038471 +1806628577 +1021265259 +2042142871 +289352083 +2011430848 +1590472004 +2040570214 +124273526 +1245106868 +348279552 +1814621117 +1206567914 +1231543349 +264711511 +734312467 +1521022618 +1572436116 +449795245 +1250613208 +798329087 +618001151 +92297815 +125795368 +792366558 +747509040 +2066898602 +2093864134 +568525168 +318962418 +1579390430 +1895563639 +2125590996 +453172041 +1790222863 +267459431 +317119241 +1233211219 +160545997 +441392767 +330834439 +508825549 +108530236 +1537402353 +1740368898 +373241748 +124231173 +1113907869 +1945677864 +574026418 +217037429 +596523303 +1192027570 +309335245 +722318672 +1984394128 +1056844285 +641733626 +1930774615 +1625369453 +960696044 +1362681397 +1373449445 +938803392 +1815853438 +1016188660 +1206262823 +2132972679 +101916231 +1366808821 +426881799 +432750670 +1875634370 +535412035 +1970153024 +1468519621 +908653783 +2094384197 +434943842 +706848000 +520926967 +651981271 +1303371303 +1712954537 +961316516 +2025689975 +1549865018 +2018160802 +519939953 +1333155985 +1496046607 +1480635998 +548353734 +722012404 +271955742 +216723524 +1738201064 +1478218566 +202212555 +1840117295 +697543739 +629094354 +125384318 +425694461 +1164506390 +2095537342 +1894214082 +2073160173 +2042437891 +181674276 +632524525 +415881210 +833655548 +1935895829 +2128835748 +1794972064 +1814102156 +1531217118 +1665649218 +186558462 +716889455 +1014212178 +1667194460 +1265243189 +1736224582 +1939150202 +1481966713 +1326941999 +1269885120 +1684179268 +1019575646 +1967428859 +165789975 +1144959964 +245639673 +1330296365 +1093013658 +2139853755 +1255972890 +987967901 +174044384 +1888497416 +1403849112 +1007699932 +1676909597 +1385201212 +655188348 +1343528105 +768934682 +173353919 +1530086567 +1485824137 +1187566097 +1049797379 +603583678 +776307031 +841463934 +2085550391 +2103249030 +2111349054 +1622246011 +975341029 +1931294266 +1788035986 +2120300993 +29450291 +970848703 +1065831004 +21820398 +79337946 +2053798905 +195864782 +1967835362 +1310164369 +1203564714 +1497261311 +547881933 +1858753063 +693305768 +1316816615 +2032106982 +75908688 +655157104 +1072189431 +1125706067 +1258740782 +1848496462 +1967170001 +1196807525 +1804261845 +1931035408 +671569889 +632119226 +1714846026 +312122227 +604936571 +1744296317 +1282970931 +1670767575 +1766116715 +1362308877 +1577082833 +1961981498 +1182660591 +739763554 +1018062564 +532438254 +1287645488 +729331979 +1225744022 +456978455 +613955313 +1301652710 +1112135560 +1686144744 +279875130 +223392694 +1387157559 +99561483 +1420200220 +1043935756 +2030596891 +2091770109 +1676054982 +1597959269 +256408688 +133507905 +1194771938 +1539379619 +1804275481 +813405006 +754204848 +1233874666 +627902856 +1936865439 +1973638220 +1645965420 +321820045 +1113800060 +227813752 +1547564068 +1570778516 +841769065 +701733130 +535430428 +380430162 +981608260 +758823122 +1767587721 +1081169744 +31539694 +664039829 +964282987 +2123309803 +192611163 +414758609 +232234844 +326119068 +1609530547 +1771614463 +2130394549 +275451905 +378335664 +1216785567 +903354761 +167717455 +1042940140 +401836534 +489537501 +9256552 +629650286 +2037101569 +1580035068 +1471419351 +591351051 +2115465496 +1851849513 +1572959312 +726804971 +1471953586 +506645408 +758344665 +2135993415 +1470928395 +734170821 +181120930 +1885687004 +966405665 +507239999 +1347733904 +590536480 +490150900 +1623185809 +968872144 +1706936468 +379056923 +1136589600 +602392960 +780893457 +1626127101 +611649512 +1410543743 +1515745022 +44200933 +734479446 +2107096073 +12182781 +438845312 +1532571737 +738987752 +1910798898 +2039217145 +1497332418 +1899308666 +1362661893 +84019591 +2080429596 +1100865249 +1050425256 +440185947 +301115505 +1640961736 +930336848 +1924301315 +462350233 +489789668 +155874590 +1598939833 +1092182628 +936768047 +1077583286 +1703832140 +199828142 +445844660 +1748033073 +934307588 +405457085 +1760215855 +1373152900 +1938028823 +351719959 +1136468151 +1829762320 +1849052377 +888293169 +1044940565 +1933071968 +821239117 +2145805815 +836013576 +1261425065 +299437672 +329491665 +44278265 +76255339 +791841898 +534067933 +232129929 +243298083 +1626250561 +1168897976 +1320881369 +1182599053 +1368726118 +1766726029 +783148479 +155550059 +24699466 +395880686 +1528702959 +1962728289 +747600645 +517687462 +1645006962 +449169375 +1405980631 +542463879 +234757695 +79736101 +540786046 +1070771272 +1341161166 +840223719 +1400262937 +1385439431 +916479058 +44621187 +1919507364 +1148608988 +287919270 +1398274277 +170023316 +1608800639 +433389682 +1538749435 +1228043020 +1216538161 +1694299494 +1252742486 +1612418847 +1075518805 +1067987128 +212535845 +1593206268 +565510442 +661705220 +851703251 +1107974321 +896462915 +931439352 +1648760368 +1967234187 +125116870 +341500439 +1220013476 +1510556301 +1257979497 +1264634663 +1282580017 +259104837 +1552553933 +533370646 +429128154 +1013870924 +966760329 +1967877589 +94430296 +35814842 +1514693435 +1347172783 +1648233690 +442728592 +267676263 +1860769535 +2035934860 +833186705 +374991107 +740154464 +1941161026 +1271454022 +1671593816 +1442437746 +1091204562 +1796710687 +1783938185 +163734390 +1159783340 +894434035 +1428369054 +294879710 +1153538872 +833439339 +828250356 +1582667026 +1847310264 +1795010685 +1403060967 +1941740560 +1830825528 +770270754 +1141429695 +1331575570 +1212999347 +1409105958 +1044861457 +1101450559 +94809015 +1419852564 +1841605023 +2035970042 +543822938 +1365715192 +1330924140 +1635027500 +1014942231 +967378678 +1798761891 +27241923 +1861812713 +1079647297 +322121633 +867867937 +1913086636 +1150371990 +303051316 +1612913252 +797899027 +1706112283 +1407170165 +481240907 +328899390 +401116212 +1812816477 +1541898737 +1810222171 +710194286 +495865648 +1905031186 +2130046850 +189987024 +1793517580 +526386141 +1555702216 +976958073 +13929993 +423160799 +1944336751 +1812691884 +450402722 +1658665816 +744855533 +772524356 +379050105 +510458522 +1922896346 +682101421 +2123371774 +573311725 +240730057 +1383058291 +1054552633 +569629447 +1784174504 +719885462 +2111528184 +1446913027 +1430079749 +459910184 +1204460565 +1412642951 +649897208 +850494498 +1939029092 +58115776 +1827452571 +1952959086 +481276575 +1624305674 +1618167322 +931679298 +1135487842 +215539208 +1704203654 +1514537947 +725997730 +1479616352 +49155721 +701885856 +2052928077 +289885778 +2084944148 +959997062 +859515225 +1721635004 +1679882525 +823559761 +1021064383 +962478626 +1283469945 +78041300 +227637929 +1933367154 +928535798 +19183374 +1991482930 +608504721 +1972142460 +325275858 +85326747 +1442826134 +1256955156 +1220814589 +1658365342 +813675162 +587868889 +236879424 +145807866 +637024610 +938765281 +51252295 +926910388 +876225781 +1011249358 +1786425613 +450377137 +543648235 +462501726 +1471441520 +1506126861 +1745971671 +1549482820 +1733764790 +1531855177 +330534971 +1752948164 +1375854460 +939039692 +1577606976 +1701130318 +1024366440 +872949463 +810601826 +97697381 +383831157 +1624276988 +685566270 +620710582 +1770084854 +1322590880 +1559475863 +1821337149 +102017620 +288217996 +685102859 +1888443233 +738595133 +1228751094 +203461311 +62553005 +587394307 +1949432983 +1612035825 +173675450 +1333804512 +1942570796 +1926623614 +562175324 +734126841 +1356746943 +115821994 +1758493281 +82212758 +926423820 +1856190662 +466043915 +403217160 +394273285 +1086754497 +25818366 +1716864165 +498746712 +1847155516 +1818881786 +786964708 +384774727 +1559841371 +1525559841 +1613525822 +1763302683 +1588112846 +53436481 +1565252018 +1052665024 +227111931 +751572882 +847752172 +6251898 +1313748207 +1581879013 +1362998841 +1429570201 +1192888646 +1445211599 +208510374 +901595661 +1911255514 +611727534 +1295868946 +850526364 +637545901 +865249463 +1349273076 +337217769 +536647601 +2136237785 +721992496 +2096488973 +1514313978 +188034670 +1712308008 +954943177 +241471152 +1130076378 +2007608201 +468583083 +1881649260 +707876725 +474834981 +1047913819 +142272091 +1837833822 +330000373 +1335160737 +1135561773 +538510747 +89272750 +899333640 +1150238281 +1385141696 +1749860004 +1787784182 +102907512 +951649432 +2125001951 +639555113 +940403569 +699510800 +588560438 +307233900 +887545470 +153384798 +1262177077 +1129016622 +1283461176 +1122301630 +1597599706 +1017626789 +1830178355 +2072434687 +2065540608 +1972450446 +1762784862 +248057333 +1160127536 +750862987 +786568080 +1249400286 +1650196627 +1936806362 +487058335 +1252572983 +1577106896 +589965847 +56738768 +1554625200 +1229520960 +997142337 +106652352 +1818081399 +1304376237 +994197822 +1971466197 +419069666 +2123214445 +1107443726 +1541371296 +1573330503 +2125070515 +1224066004 +1498281542 +2043127475 +1049032802 +1113582756 +143701161 +61676690 +1864445744 +930269241 +1311076977 +1367158723 +719591955 +1798135312 +472248059 +149215204 +240617511 +528986827 +1703840404 +1470138471 +1526129164 +1810492756 +1140736222 +683021754 +657206930 +964718772 +1102091420 +632937727 +2072162498 +495979069 +58784582 +2049749365 +1720045073 +1557066125 +1945393192 +621594227 +523165233 +2089094353 +683270918 +240127329 +871879947 +1994347895 +1607286053 +1591471902 +1644999559 +2079534112 +1740687106 +1885617070 +461037291 +1297043862 +1208271893 +1987166455 +960052970 +201524468 +522704561 +1617259901 +1166243240 +1624795982 +102713980 +1090922090 +2120775051 +161498563 +993187807 +1693336476 +1718564688 +791097351 +167447055 +94246273 +732708057 +850717973 +334373603 +1604588004 +697582220 +1941659656 +1048576258 +195098131 +1873710120 +641779717 +2080715201 +187263763 +1938823579 +1141503447 +26946570 +751392902 +1343027915 +549651132 +221169155 +361787507 +26963466 +323883135 +1452709597 +254869 +485381698 +298413756 +1693591345 +56462738 +1089511107 +1861038400 +150709012 +1822219164 +564272726 +485082615 +1279323520 +1261854946 +279258623 +180416131 +1456953078 +5485095 +822195848 +1390184631 +192748858 +613535779 +384204430 +219695428 +1364928681 +1727232345 +769346560 +1586097836 +2089019852 +796310026 +1909980972 +1394245801 +796564895 +247879022 +1692659557 +342672592 +304341761 +634687017 +56227345 +455050773 +309422533 +620500071 +940133388 +1588746054 +1882355017 +1219392011 +1769162185 +1191824447 +1224877106 +443874385 +434525431 +1417625964 +1057410164 +818729861 +1637321392 +274855198 +398478559 +259184305 +1860953034 +340014763 +1055494331 +1623450358 +1734260565 +1852059227 +1871329381 +1279436474 +47248171 +28187494 +1914123491 +103475516 +483238267 +76062377 +723975587 +1423371655 +1664808431 +458846957 +495280018 +1286486968 +1650671404 +1720157124 +1730361353 +2085196835 +990299440 +640287869 +756443049 +480137184 +915143067 +1154921608 +739321489 +628612454 +1494936371 +1794815821 +104579164 +1081713288 +1499391400 +1975908545 +213666115 +1546639571 +2004096039 +2127789606 +1650115088 +339850658 +56368335 +226607027 +1763222313 +1721176766 +685453984 +111018683 +860180086 +188641741 +1831175807 +443057791 +126354928 +673991599 +1083345661 +882797977 +1154128784 +1998488728 +2037719585 +1893450273 +479617534 +1385172309 +1540782446 +584196699 +319401949 +892690198 +412621596 +533068064 +291846122 +269233988 +513374023 +1941961210 +609084646 +569742358 +21084589 +224823312 +143435477 +706538574 +335841995 +1003615563 +895180315 +19534155 +1446673355 +1021535243 +693525754 +382535368 +1904333221 +1847654538 +233540448 +1794569158 +1593621164 +713157983 +1032257819 +986919962 +1297354682 +1351659769 +1879610161 +1709976278 +1884727833 +23972635 +1979210266 +250618208 +1965933845 +440811265 +820360567 +1987018434 +665634577 +963796044 +546073360 +1001476572 +1967411607 +1441253675 +1021010727 +1266601314 +315305271 +1714536482 +1649136682 +72154844 +1414707372 +1882677131 +1866724002 +860844888 +448351466 +751498174 +1847764851 +1745706148 +2103157943 +1579891364 +1308198778 +1840402128 +1603863999 +1139925397 +2091020337 +1422314196 +1580736662 +763897256 +1261848982 +98887591 +1727693300 +1807922343 +1100364163 +1547621259 +1101692370 +2121374891 +666738926 +1416997641 +1688427725 +168391960 +1489152485 +955651449 +2051069091 +1208392840 +1816496338 +351936909 +1959891014 +1516777541 +2097643057 +1915565309 +949185257 +1258358188 +1608483789 +405565608 +250799937 +1552020478 +1827879804 +1831536599 +168434086 +942245138 +1930424190 +1896127386 +602683833 +883304705 +1296264998 +1704376204 +857195948 +1963003924 +973890197 +398140025 +2131395884 +315559035 +1353791475 +2034981328 +1523951875 +1022804165 +239434589 +1336359241 +392098058 +189593999 +1104440902 +1341283315 +1447952187 +565441043 +1746848923 +1698752124 +2117461522 +1427245079 +1382805075 +138411960 +222006569 +1165745617 +2034539347 +824690403 +2049050322 +1183320697 +381582959 +758762623 +998840973 +1355473156 +1156902648 +982753209 +1671032191 +363210475 +870250889 +1047500418 +1386014640 +1109685479 +236376011 +1778112698 +1299279478 +1340816913 +971912365 +599748017 +1906257957 +571277640 +151016493 +1876235831 +1998522719 +1533821568 +2014647791 +73045641 +552083537 +1901703490 +897736044 +453650211 +937540539 +1279319003 +1212412834 +1936381512 +487308511 +221831835 +771651074 +10857055 +585042310 +1641901963 +1058357473 +1971056951 +604103794 +1294733485 +1601686001 +1903383272 +488066750 +426114719 +355647641 +246841059 +997392359 +506664134 +2123076890 +848431431 +2040485702 +1990241034 +921477072 +445085591 +1744460876 +1819213116 +898735803 +534517768 +951048471 +2111148637 +323415632 +1438356982 +185496824 +1095066706 +1449214037 +770539135 +589485022 +360087863 +594112438 +1193588816 +1654821348 +48314791 +949488441 +2142888098 +474429510 +1305136082 +242245510 +1471821870 +1811800217 +217838752 +172769653 +1704802271 +60596138 +1094246725 +2404215 +1805057015 +765976193 +901140018 +192091135 +1717024664 +864805007 +515506767 +1007897998 +1050301832 +1610573474 +309628388 +1820840967 +52574848 +669716251 +267469757 +1246163664 +177053951 +315784548 +48168457 +172458401 +790214059 +1353304540 +414703911 +114552281 +1017621109 +632542664 +287321934 +574939732 +693138802 +1381568659 +577343947 +350712169 +61204 +1478483965 +542803304 +1717085868 +195805325 +1058310072 +577500218 +1246107157 +521399898 +887128606 +919464476 +573974746 +1556844857 +1186934233 +1820138410 +1733898808 +1502718781 +1868306868 +1906357210 +145449192 +1074127760 +173577473 +260001473 +2091748869 +806120137 +547323407 +519204953 +1499258940 +1928892066 +1096548901 +1849971109 +1928953270 +427549218 +245290766 +1498555490 +623354543 +1303600838 +2076055709 +1869461700 +1825000736 +815700667 +641442528 +251491834 +225061877 +1828376761 +2071630244 +1958960685 +1183611895 +1792453464 +1717834247 +1329061087 +719097576 +1891411721 +1589062561 +663362797 +550048210 +2136385968 +1182567751 +2049307150 +1917794387 +131633004 +1751794612 +1699264009 +559182222 +1997085378 +1050335852 +1182536766 +1153202568 +978907913 +904514818 +830719656 +1794608580 +1545957347 +1082211490 +2019670457 +1226850460 +1006358086 +1831147495 +262978707 +651327903 +1401498094 +1592039795 +1370425479 +1145426167 +1033618708 +2033788277 +1695474378 +1022521028 +1068872380 +1597297880 +792831767 +1200505384 +1201608844 +344612129 +1759687606 +1051210574 +1394947981 +794740724 +56929494 +226372246 +1699255543 +887649150 +2020980826 +1097729242 +1969860640 +1893167636 +177096054 +828735079 +1576831483 +440074762 +1480062982 +830845929 +2032114557 +703004813 +1976272097 +918249617 +589309442 +1524262827 +1940770645 +1658181822 +974077059 +586118765 +711203558 +28202256 +930730894 +323407517 +1079412830 +178195227 +1118148241 +1136342325 +404567473 +669920136 +2023991475 +278064651 +1767649378 +1846368468 +23748639 +1944745433 +527619899 +1600580122 +237336547 +2007682881 +283942404 +121967456 +563204046 +112730853 +1040217073 +1152513489 +1636993680 +833504070 +663211663 +463587091 +1419622835 +1374415222 +491789347 +202870081 +1697822739 +1571202178 +381065308 +668487332 +560060855 +785632781 +1338407469 +436568682 +1063697433 +958573199 +135453502 +1087446072 +755834984 +663073401 +540542547 +993171531 +523272634 +824484951 +1115138987 +1086476681 +937215804 +7872412 +91506522 +426725836 +841376483 +754718185 +890312927 +113515670 +2129133407 +1382102275 +316385752 +1679472498 +805820805 +697451060 +200476183 +1365881660 +1483083842 +1538883652 +1802450342 +399297627 +349973203 +1937903845 +1486743699 +1105808188 +453493598 +2027286246 +2098979719 +976766233 +704287549 +1066635059 +2063242914 +1641503353 +1074507471 +7265788 +2068229189 +1915883954 +761983973 +811058469 +2029399625 +743633733 +45677096 +198301729 +275622583 +851497901 +895752789 +476098766 +69895913 +231352983 +2014982418 +1872346255 +630650610 +217471974 +1662766452 +2117394310 +1323280162 +2116260051 +1997196908 +1274776233 +945542636 +554000810 +193927644 +861301902 +48020515 +1268435116 +868567690 +2116249705 +1036835422 +1630551663 +779824526 +918751399 +226701748 +825501622 +1117053128 +502324332 +1676999523 +2012805918 +978423098 +1746895436 +96675253 +845921869 +1471758043 +727325864 +1063393843 +987040848 +697236526 +239190357 +955817251 +546949786 +1513966590 +1901359887 +1100950596 +1707894235 +615178141 +1148971112 +828845703 +1483745831 +1117737169 +1865681125 +966813846 +1897561695 +636948877 +1193515595 +575579669 +1754002005 +1695839927 +105095544 +1619324275 +526779377 +1851990980 +1715999529 +1372701246 +1176265375 +295841745 +288611441 +15822575 +993078271 +527801798 +971639826 +1540028057 +2041768389 +725516065 +493495006 +1602178976 +1340694206 +1642466118 +283541031 +676956389 +612719639 +1738508 +1643770236 +362797686 +638687385 +689802183 +938377355 +245205743 +238158462 +1043472899 +1864530018 +764937839 +747980231 +1433045899 +2137639086 +1924245606 +1728887644 +278766879 +1940068182 +574482267 +806568678 +764224360 +2114510325 +700853419 +1489740426 +460521683 +155548747 +682950984 +2102987801 +439089778 +1359907374 +568223792 +440828286 +856193962 +931021478 +1079515672 +1545996145 +1869398833 +1324721415 +1784154607 +765388084 +1041767785 +401608798 +1513368315 +327330037 +391764236 +1290130273 +2056217681 +670531116 +1082714807 +483216301 +1477099794 +1846939168 +450242978 +30469565 +1189195946 +910764661 +186018312 +1872146930 +866268814 +625108090 +1084570656 +1434492606 +1065936376 +1940764618 +218030436 +2145452048 +1339277115 +2087429269 +1322689815 +975948074 +705333705 +216973953 +1377556873 +71218372 +544303990 +1769321109 +1361348645 +453038023 +292368577 +296579805 +936254324 +1769468371 +2143518973 +1386497302 +1799937936 +1185231271 +149778315 +1985956248 +909894553 +1016047129 +463580690 +1994465210 +303056087 +1529517067 +1787746180 +521086523 +1527485467 +979539648 +461032144 +702691635 +1955487722 +1166365849 +919665588 +1185560947 +1237584221 +1463969578 +807398409 +451449219 +1917007601 +1099766986 +748029024 +705778278 +721751710 +744064349 +2092275580 +374205998 +1929295620 +94570248 +212678599 +691706525 +1110617377 +676259289 +538688087 +1413673465 +58292708 +178950620 +1934759988 +1585778176 +1158490268 +248308485 +140986163 +966494342 +1414674334 +1060651751 +4571642 +504774908 +377137681 +811970051 +956224127 +146661634 +1911737037 +1704253151 +852439912 +486005099 +300833852 +797231845 +860211098 +82645824 +891802093 +1072889697 +774352349 +2002419470 +1749148986 +1313040437 +1268609287 +1807441695 +1491991057 +1055885628 +1245736223 +502997677 +1304194113 +1386722386 +1469492019 +571384799 +299890489 +1474063661 +1076159707 +677028170 +138550064 +2032383834 +823689804 +2050287102 +1589153337 +1676129717 +388808553 +1889987189 +325877914 +1249019651 +1972633013 +1217680007 +174425700 +599501715 +1072615829 +1923574687 +1912542152 +193741469 +1583532734 +1257049561 +1249627097 +681785309 +1760047238 +406337562 +2068507695 +1082055609 +977722361 +220914536 +408635623 +2053882069 +897942706 +547185687 +1938782255 +1721632510 +449989141 +1380451945 +1250278579 +838797695 +1122955486 +1576156493 +2087817346 +948104852 +646352852 +114759399 +1547606567 +1718968682 +2038334086 +1312665071 +1912710151 +1474383172 +422230984 +1014853600 +8684833 +34794574 +1421191162 +2077192528 +1116850183 +251429875 +150623416 +1525485806 +157828296 +1048566122 +2072671494 +2096610552 +622714984 +375176987 +1329578849 +1872993564 +1213974682 +305050687 +1301666409 +1154308381 +1253155539 +1948019262 +1269067780 +653278458 +1519504296 +1159918218 +1965943529 +1284730799 +486817742 +240690865 +152100751 +495502575 +275485439 +1573291913 +425211455 +1392335623 +1824721788 +575834871 +770337781 +1982550085 +1624400993 +695525627 +1931676989 +99632329 +1070702615 +1113772190 +1972625893 +137193649 +1418822877 +1126808655 +1291502030 +524494769 +927344269 +413086162 +1177773227 +299364917 +1573004380 +996233109 +1584095716 +2059822122 +1236923974 +1736196467 +407841049 +1512409414 +1162004732 +833052504 +757261389 +839242872 +1408887375 +1527599170 +674309309 +885804720 +75641150 +458502650 +985437050 +1146343765 +1572274840 +810579295 +1283537414 +843614070 +1937387950 +427555797 +1368108839 +717248571 +840641959 +398398418 +1016613488 +266162692 +1394631527 +453225556 +178501166 +484071854 +41938375 +586342216 +1996481268 +1203943107 +1419394720 +606259009 +2043185980 +680798448 +2133858179 +570011641 +1566603168 +62015681 +1028514292 +404556570 +1208359446 +453305484 +1215135866 +344413213 +1296919554 +1005040168 +771969010 +517544745 +1722288740 +1612610969 +915943164 +591418580 +1878773661 +163091043 +1044644137 +2057274828 +647162897 +1086582512 +496133396 +496160517 +143041972 +1915528116 +1102419526 +38744304 +448842916 +1088794058 +608755945 +2015446085 +1150809739 +1637270237 +272519007 +211685538 +2090575722 +1487654873 +556098751 +1240011628 +345211394 +1328067761 +1757556374 +2067500134 +793195082 +526015890 +511435066 +524485096 +689106933 +1556079203 +434276276 +1336269831 +495178068 +930409672 +1832430348 +638220040 +698454140 +787366227 +676964344 +1147297057 +1876160285 +1285720289 +1015259494 +879486376 +775506879 +1287778501 +1091171914 +718598953 +627949727 +1647270665 +1958610581 +973161121 +827854778 +1568683307 +893177607 +1621049861 +2094699197 +1404612673 +2145534957 +636322483 +813208229 +432327585 +1972592314 +1308386297 +1362737257 +1657539014 +1946606337 +2061191397 +297421593 +476087033 +1061004806 +26098230 +1761807322 +2076264300 +905584607 +389830553 +1216559154 +1996756521 +1108429506 +1844508881 +1496543539 +919556440 +670186354 +176914669 +340756099 +1563363961 +1797964530 +287971649 +820492986 +1796015839 +924294132 +1633701215 +80859776 +749402798 +794603864 +1443597033 +259458164 +593726553 +1357304783 +556879758 +1069813586 +270825941 +582977988 +684137261 +199606594 +1488562595 +1073967814 +1416165748 +1337835469 +34913673 +1113190981 +686895360 +954470113 +1783377335 +863810029 +1295226212 +1199257648 +514290912 +1583197861 +2019750634 +162823103 +360008345 +1505968202 +243682880 +1109411143 +153088418 +1687279913 +1368869308 +746814972 +897101048 +1925749066 +1816628558 +1167926990 +361243406 +353282171 +1367533584 +1849806002 +1427249986 +636215684 +1040157823 +1462163659 +1749406665 +1727053183 +269150124 +1385300352 +443379564 +1564376336 +437074352 +957670476 +1000090550 +309341338 +1120493580 +1360098895 +1815309540 +1364176460 +322026391 +1968397959 +903972725 +1690895699 +567729283 +1801073774 +1469161117 +236874193 +821517116 +1830404523 +590156365 +41567052 +1532726877 +2017406351 +677782736 +425401052 +1332086362 +279705753 +4970587 +1601236486 +1665006105 +448350152 +1018129174 +2102080457 +1406020628 +2018219724 +263938147 +379030560 +1230834972 +2079247688 +1743207020 +1552861363 +1900161999 +499696098 +1096273414 +320407634 +153286224 +417950883 +557281827 +974803340 +100871758 +1147438192 +1016370392 +1633598636 +1017360895 +1694153128 +2058999688 +201963609 +1973858881 +2063970276 +1803200095 +1491381338 +364836780 +673845622 +1445978147 +1770857408 +544581698 +1709916294 +2404321 +1775416670 +1641680334 +1745611341 +1180794385 +1394358685 +97823791 +129584151 +1714766319 +251110015 +547535034 +124564499 +1225913355 +648406793 +1272002691 +94800099 +134521781 +141879939 +1788953227 +46037821 +343843548 +1615328460 +2110008097 +2147043644 +959226150 +327361229 +673405618 +257720649 +2098218638 +1217987316 +1967636944 +2100622959 +845920339 +1461833630 +1698750652 +2026714724 +708708668 +1796574444 +8815228 +275991339 +2047684459 +556350262 +400555838 +1126114167 +1204757055 +1672558530 +1220914266 +1339278836 +1814438469 +862383846 +1385316658 +10798369 +330228658 +1347841107 +10358365 +1289454809 +1675202337 +683763983 +1547175458 +1625937327 +1901751300 +1367328754 +1579076638 +600187991 +681678737 +1130343642 +479419067 +1390387405 +779434438 +488234295 +1666378744 +679635250 +1044584558 +2066934583 +1805749417 +101857965 +1592009465 +879180035 +1441136802 +1258964286 +1741563881 +678969812 +1269762655 +2071792540 +2026810919 +1280121021 +1213763701 +1554529608 +1963885004 +613455511 +1032983287 +1718152656 +1980784266 +464576277 +170856999 +514979355 +1594919920 +650276067 +1905366760 +226870710 +1138510362 +1424261856 +906505960 +35611272 +1343712791 +564771729 +137469238 +788238608 +1443951765 +1578606040 +2047202894 +1038031998 +110092204 +1169481902 +962340890 +2136903123 +302119275 +28620943 +1543949084 +118520631 +642076455 +429448723 +1836673288 +475377073 +894025001 +2007530287 +990356428 +341461273 +510322706 +748239540 +568331983 +1648833069 +25017748 +1474837944 +1684444341 +1368730540 +2039609673 +1821913579 +9485500 +1336077790 +1253035971 +2056688395 +226626141 +1363128175 +1078686649 +1188967031 +1352547651 +1380805924 +1217587975 +749013087 +1499326555 +1859664430 +1178461810 +1188516195 +187557855 +2072486811 +1048562835 +1177914283 +266464436 +1558885541 +1926153823 +834796420 +1060234962 +1951171571 +162150716 +597195656 +1172418463 +54276741 +271625587 +1181903964 +1390354532 +1524661559 +1091108711 +1616980673 +740306086 +22311712 +658464056 +2092853737 +1403117636 +1876052031 +694383176 +754960543 +1588232813 +1872844987 +1943476739 +1775790668 +1797848150 +844555926 +806221303 +2064312587 +255957819 +584891478 +751625359 +1316192782 +388579402 +913776075 +1913388438 +1560997865 +968052816 +37530377 +595418181 +210923700 +1562191936 +1686526892 +1827904373 +155014375 +1708838604 +338884782 +100384464 +964472592 +67453165 +794767641 +1719433136 +1655685979 +520128980 +1515426227 +1283992999 +170493482 +212498505 +2090214303 +87322421 +468456324 +527622133 +838947780 +1784649106 +916201535 +1752723855 +1550553896 +329715753 +573293024 +1588084274 +925133934 +784216724 +1002792562 +464177179 +464637450 +1157806937 +25532135 +803522232 +1258191402 +990004728 +870975397 +2052959043 +561954216 +379177728 +425604375 +2077380443 +1663170728 +596097857 +142395300 +1605901383 +683420279 +610851624 +2133523516 +1522368059 +248017083 +902241404 +1127608267 +1798570979 +1231957157 +1700901291 +1239171605 +9607443 +337634367 +94480520 +473784622 +802271817 +1252287457 +499316758 +1605794049 +362995211 +1489321486 +329285799 +268470606 +2051275702 +708463527 +694074981 +1981172497 +224150607 +1290172839 +2123567797 +1830051990 +1973593118 +586935773 +1816091859 +1348477529 +834952856 +570849615 +328602148 +486040188 +1802806772 +2029503439 +1725211793 +1812414215 +219654159 +1819692313 +138715190 +1021925976 +924496123 +638031948 +480236378 +1287491334 +2127353434 +809522177 +1555961941 +2031145488 +1517985704 +102553274 +1864834337 +1742136312 +1392726113 +1840918486 +1424704654 +1218835583 +280370611 +1093312865 +419829465 +1115323468 +1664162480 +748431613 +1601363656 +1319485604 +630451405 +1179091801 +984416172 +850105564 +851300467 +1123131362 +1872031540 +1775796590 +1761163310 +204784270 +915804276 +1741033096 +1014306447 +324282569 +1624694936 +384808504 +426835844 +1342045625 +2126944816 +1819561957 +1035480463 +1404165822 +890913893 +1315851074 +349995040 +1310743358 +283690894 +2014157520 +2059174971 +1885054550 +1186159477 +542142728 +916662704 +23092001 +1392248292 +1767963171 +1146223363 +1116796185 +1396276113 +759903025 +1321580455 +164596741 +353452473 +188403255 +488879311 +1978147409 +573211759 +915715155 +1172709386 +552672927 +587793464 +60706201 +1956838749 +1478707357 +1376557275 +159350141 +641967067 +1660248170 +26024014 +553658391 +1397819072 +1212183491 +1095801119 +166998128 +1235275492 +340565764 +1934961299 +234015207 +1457361949 +1183753764 +993918232 +631458756 +1348350506 +1347370705 +819862011 +1837229817 +1178034466 +1393073770 +605461324 +203260204 +1945746697 +1193254788 +263966405 +1755101799 +524478498 +1640523680 +1914451940 +1166445565 +1153288202 +1940475954 +1720103956 +403623627 +1005175797 +668421428 +570621755 +92967641 +1008987192 +358099407 +326982848 +318865493 +1541853171 +1320901080 +950324249 +742720029 +520788137 +1770186261 +432466198 +1698822603 +1015776383 +1037927522 +1902082807 +814039433 +83698663 +18565564 +421657584 +608177161 +1659089245 +188625876 +1774622726 +664893799 +2129101831 +1347243035 +1068517426 +986793980 +2015664463 +1639139182 +1079761622 +877168007 +1997238589 +1406744470 +1196033500 +1391608112 +580161903 +2146357749 +2134328142 +1100950040 +1769060362 +419310692 +652288996 +637353098 +1457238215 +406888155 +1451392531 +1540936878 +425453720 +1873050115 +1630391 +2084542965 +2061675991 +1776253117 +601953116 +2043294174 +976012504 +1670470543 +882604507 +844193319 +1162126077 +1962366129 +1721361326 +1011881018 +1221626951 +769911178 +256005482 +1801788854 +768785280 +242849976 +755255247 +390361994 +662160669 +1407544243 +1027715092 +2119398884 +1814432398 +331623975 +1512852114 +92402470 +57190442 +1514482505 +29461787 +2118866434 +1143251974 +631414904 +2014676960 +2119264479 +154401799 +749797819 +815974150 +1316527876 +564680300 +389851829 +180925246 +1786307252 +1159763007 +436930728 +1440612458 +1928548287 +679780705 +48384057 +171426634 +1341941374 +1455928300 +1199141726 +1313856610 +1122877051 +1530765702 +679225076 +1215279521 +1587956144 +46223933 +1244741309 +1559338930 +1189475907 +1876156213 +1426532243 +1161256738 +2030558012 +28846414 +1977230889 +1199602240 +593526715 +219599070 +1380527486 +232350319 +1379362077 +1817458214 +1672962777 +1160426717 +349755271 +1721346835 +1331853351 +1691696645 +1029791487 +383511429 +858069607 +5184890 +1914277131 +1537294683 +1220464412 +1354749628 +1583518616 +317722073 +766604910 +625510876 +46394638 +45653505 +1786767614 +2076952650 +74499920 +1616514855 +1129071242 +668026635 +1836113925 +362115080 +900376954 +1067992355 +32089646 +425856083 +80935424 +381844918 +2147202918 +1412788775 +2073541563 +1029510758 +1796300204 +784127523 +1034695648 +1563093688 +173938558 +107676412 +770359668 +1757457175 +425398485 +1536964578 +235484403 +471793123 +1582618084 +2022252017 +401262125 +1657118004 +1491283225 +1530333367 +177660991 +1179913502 +1892448447 +1078037945 +100422209 +1924538094 +1503894028 +181357633 +158899364 +1503613299 +1594146408 +84957279 +385640409 +1242962965 +869084802 +1420336057 +658573005 +1043023361 +1528012470 +1428932673 +652996888 +1953410955 +818413603 +888481291 +277720431 +253548039 +763249660 +678982556 +1910666043 +107049237 +61832276 +2088327034 +1286962740 +1954280723 +1018881331 +1387384949 +1731335169 +375291712 +1568742583 +1890234533 +1878905011 +1015405343 +1975191813 +117061772 +110884660 +696792967 +1537397829 +769457665 +1739816328 +917926651 +50906690 +245329568 +723853959 +869320294 +1133810859 +1001574390 +1122868333 +1897060520 +1680556946 +886050729 +2004109757 +1742389222 +826894115 +1143588849 +1549186298 +1845775447 +383490151 +1133037819 +73583511 +1952232734 +875788705 +1952488522 +820154429 +703496870 +2069550294 +931039090 +1400289837 +1459464475 +1700496755 +992622518 +229907479 +1751403446 +1237952086 +953761438 +473240092 +224279298 +1955335828 +1596108425 +2121339818 +1488409126 +334675506 +1977965927 +1083314701 +1161569622 +974071129 +485017351 +859861421 +1357561280 +1618055170 +933444932 +1162310366 +346360227 +738449806 +1982464795 +1049857097 +660516452 +766020237 +302663287 +2119980927 +319033345 +1295285805 +202404758 +2070436791 +385754243 +1156166196 +396193235 +610033541 +964018376 +1992301660 +583889711 +304943855 +179493519 +414371991 +1388258556 +1341063141 +1388443120 +1873275907 +53440914 +598520752 +1343847429 +986885846 +1760831118 +1690207657 +1725335652 +1595812265 +592581106 +238368456 +214348855 +895244393 +210865735 +533382200 +43046550 +413270494 +456335343 +428800794 +1569436690 +852528578 +1038834335 +385971419 +697346590 +1622724047 +690915274 +876840109 +2037096038 +2079173830 +70419602 +1278055510 +1804966089 +123860516 +1876576262 +1001329870 +1110746362 +1489923732 +544053879 +688598366 +938252349 +1136634986 +926966822 +1152601204 +2031879379 +1137832558 +1685983404 +2074925930 +1551103052 +2142318747 +356243076 +973056094 +847363677 +1395077411 +1359027513 +1544710268 +870317810 +2049942787 +274066729 +759930200 +1981632969 +344486332 +2037985710 +1639115410 +468346848 +1767078324 +492961633 +1579093211 +1109518408 +1037015512 +120207929 +2047770758 +26166850 +1047174752 +1052888314 +2058046230 +37523662 +591388071 +1985488512 +1588626714 +586223170 +194247940 +414199160 +1433586848 +1589325351 +1773226674 +830813468 +312159514 +1675685813 +1104880197 +1072089714 +1509835135 +1449366529 +962591777 +1001466897 +1917713378 +582186453 +1494428530 +1349322941 +1691704862 +383960395 +1469530870 +1591991972 +410127245 +369221974 +497396638 +320689827 +406745636 +1088784709 +158694691 +1995372350 +1675007880 +352942631 +262087863 +961111080 +1942267983 +2035314537 +1791924548 +106943849 +1563516702 +749321097 +1179033563 +925868189 +51203979 +2141625340 +1927335087 +1968917357 +576328146 +1274279969 +1170756650 +120549360 +1658240364 +492803872 +1712541332 +2068367610 +862025847 +62454322 +241573789 +1268771483 +1151239032 +400268481 +1116660186 +678763264 +753211112 +1378748049 +1639874344 +547995447 +1266578938 +1284315244 +654939296 +682611992 +2033636341 +1833972860 +1608480182 +2084840320 +1828114552 +1388331621 +1906274029 +256959050 +515127942 +929547031 +377508410 +25884659 +1422350904 +2090049742 +2094252269 +136893103 +5020417 +188342410 +1405664586 +1156259449 +588610891 +374841124 +1835022713 +1341822004 +1753589173 +1327413409 +1889817451 +872684463 +464245005 +397273100 +1555296456 +350397698 +83762312 +1016292990 +287754371 +1911876864 +257140963 +46544752 +21352267 +772268905 +976091784 +398860677 +798153564 +250959040 +341426772 +744922185 +387852143 +346447189 +933264596 +1793516729 +1502706638 +1521875487 +20874206 +1190245703 +716213843 +1774463379 +370175464 +458547647 +499664195 +834420469 +855820747 +2054960651 +1184818167 +939583059 +923769993 +1472572538 +703976275 +1180910956 +1519117291 +725328542 +1953179861 +347725427 +1124189220 +603849778 +598684467 +1465615992 +1348771963 +986536610 +1812063181 +134552911 +632569691 +1167286171 +1656428399 +653443897 +210048226 +225158594 +280423629 +580223690 +683706241 +780087824 +1414644159 +1539526988 +687564827 +451978678 +331626399 +1611334820 +1924551217 +1035602675 +644762128 +1296184860 +1760931217 +450458341 +1643910287 +737636789 +1054308119 +95111106 +55769133 +255596435 +1081647716 +1867832314 +390149346 +1714217407 +887634837 +2046577745 +220177657 +1097683063 +124252692 +500601286 +1677906753 +807958933 +1280689110 +945067264 +200002274 +1968253937 +1397045943 +531628673 +1432105109 +1174113512 +1567231348 +2076867237 +322814724 +1180678918 +379841930 +1966725011 +1918315707 +1434150050 +2061836117 +1974084841 +1689746485 +996000185 +1694433507 +2079895831 +562733944 +434584697 +1978989929 +782911601 +1532267760 +2103242621 +1283512887 +1062690866 +763717906 +416718349 +2007758130 +963720180 +237488638 +1257320425 +1495348854 +1669593747 +283950289 +915096554 +1598977336 +606765013 +2095775472 +1978819267 +426006376 +1866607532 +1265485669 +340358845 +1693208725 +807748506 +1336359030 +1240158584 +740160689 +1899092975 +1674743281 +571666970 +534520928 +1059527394 +527425943 +1818033816 +2122218260 +1291143850 +87268517 +1982492742 +107380382 +324757156 +1092329520 +1602729236 +1994350903 +1376279809 +370342143 +1445844592 +1983044823 +318633967 +1277180211 +261567551 +37757851 +395182232 +601926397 +1730966576 +1202930738 +1938285427 +823641513 +1943091427 +1689894754 +350901146 +367274750 +76932035 +1410428540 +894700693 +1894965851 +1385163152 +38360895 +1982234368 +1220172247 +145741278 +159507876 +165018119 +1748470514 +6375132 +1541297928 +2118812657 +1452219724 +1376859103 +289962977 +581916287 +1638426655 +327720828 +977098519 +92869404 +2058687405 +32545609 +2031154831 +734845270 +1975637036 +1573565938 +1085746416 +195428138 +1650497973 +348691309 +1090128832 +1397980176 +1733854461 +1128489727 +1232730896 +806543060 +1274231005 +1392238773 +971561179 +875217872 +1398613905 +365375460 +846546881 +703349981 +1742234563 +1136509858 +1285266268 +1233177570 +1464230687 +114881139 +1326046974 +1375434444 +147426748 +1209718158 +2110279714 +2123063784 +635800448 +1048542482 +171008275 +138814773 +1397233791 +1261137107 +1536794949 +983604605 +242143186 +622042197 +1790147665 +1516374192 +2014280970 +614225197 +244108416 +1265411227 +979600657 +1090655297 +1968761208 +574351572 +79681508 +1106543828 +1807529143 +1543912195 +1221424967 +986092469 +771862991 +1368851715 +48326979 +734659057 +1344431852 +684127427 +1783201539 +1515440127 +822942200 +1032951683 +629093586 +212253501 +2016556288 +871236772 +834295699 +1659220305 +240127316 +701093021 +125961854 +484235732 +1966504249 +1105562511 +1574891030 +1787781809 +1679914084 +1654572538 +746841990 +1339959579 +1051001085 +1968266957 +178568400 +1822864076 +1189635025 +226895380 +410039485 +386583229 +911022807 +45757376 +1902023356 +1733965008 +1078709059 +383633294 +1946218509 +947781699 +1254870066 +633030560 +459518357 +1494997383 +1334123582 +585480211 +1979233115 +1153144183 +1691042723 +1406640497 +793442344 +1223473159 +913729387 +1540284334 +415949090 +1964730472 +1361067644 +594517490 +1640110900 +403219021 +821412870 +2050150385 +789802250 +1732435678 +2095907762 +544341958 +1318917038 +1027133173 +927975252 +1117651899 +1974914873 +35361670 +1750682460 +286949582 +1530359053 +937322394 +872429793 +1362108521 +2090466577 +415988868 +621265370 +736425273 +1639462027 +1534994758 +129225960 +2055411117 +1352241582 +1490293604 +502444960 +844868835 +1893512625 +1323857830 +747535572 +535831227 +908809860 +695959686 +1080173185 +80243250 +1723092860 +2008148437 +1197895150 +1550524085 +2043510107 +801093962 +1837473667 +1426385513 +1738416356 +562419812 +641010386 +1681399285 +978408681 +1262275756 +270340910 +470387060 +649786866 +399566870 +378314530 +2002028449 +1889860474 +880759490 +699413636 +1635889451 +57133672 +1446949208 +24237030 +965943533 +2142908895 +1104410215 +1046186783 +1718518107 +965075004 +96598285 +1121558544 +861101464 +897692247 +811548563 +140003329 +488624955 +1373968375 +781013715 +22540592 +204893408 +2043289471 +292881503 +675280469 +545592690 +692448373 +1053594999 +400137491 +434825200 +1934354489 +1099551127 +2070714651 +1991488161 +399016687 +2094951682 +809948046 +394441934 +1051878249 +1856134830 +2112960041 +2016953254 +1952733115 +1087034937 +730571070 +702941715 +1898583500 +870574399 +1191566670 +1125068228 +1651588114 +1214107263 +1329961636 +1547393937 +1506988766 +2005242105 +2092986627 +51953491 +911353456 +345640470 +486778691 +698224297 +1445191597 +410009695 +542228811 +1844208285 +357477729 +1352176857 +91166571 +1409355978 +1060828039 +56642965 +1278825584 +866077507 +1143677902 +2009396654 +1569019222 +894777755 +732487405 +613102244 +2019845983 +236591871 +1827209507 +1202323971 +1783985809 +1186714625 +1060082429 +1729488788 +1238668117 +1971435885 +2075129259 +1725446808 +522176535 +1372837208 +2135456503 +1064405346 +1069561845 +345450584 +269098555 +1160728417 +1754806563 +1329926595 +1217371382 +886148499 +48520454 +213565636 +748061506 +1617539676 +1108343391 +1480548911 +83158272 +980705726 +1717140783 +1910367780 +35546050 +1353642944 +949598757 +1095628479 +935648084 +40783226 +919580716 +863293695 +1766230035 +1441757251 +88647256 +1754202890 +358678949 +1158209101 +2099653475 +627777505 +171453870 +1706976390 +1957704100 +1388825252 +445641241 +2006224554 +1602390889 +1193702747 +1476280582 +563250632 +526768011 +1559438854 +1543956359 +96425146 +1322322986 +1579502409 +1450068090 +124438096 +527647240 +238232526 +165221322 +1447227956 +1101526222 +1931451357 +741501560 +1190173478 +1538170600 +1100180509 +200898931 +1490340427 +1727958014 +372352802 +1049833169 +1538178466 +1761178054 +1495474410 +1396919372 +1216085295 +541693510 +725716306 +1779335928 +1068461521 +137671513 +1175808639 +1164886667 +1459994499 +607827400 +467471109 +1584432595 +1135474640 +705703635 +1749653918 +435218948 +1807229857 +1533621627 +1176720508 +849919687 +924308579 +129417370 +1050818619 +267165358 +1857375384 +1423171421 +1316998527 +1248070203 +1036865827 +664989290 +497505927 +105467475 +1206682800 +1223222234 +1884803403 +127660673 +1360893747 +913128394 +1292547340 +673404598 +1520955794 +1760018449 +110353546 +508946786 +318238436 +1860007464 +944165734 +2125468294 +1246145443 +2120886243 +827904333 +22970375 +102819965 +1878722952 +290135733 +1960195349 +1154410725 +1607134261 +1060781904 +43792905 +124639903 +1558287832 +149260380 +1331322703 +634026418 +2034063783 +1458983376 +1994920165 +799708529 +604047068 +520841115 +173180675 +216581869 +631194661 +682127461 +534820305 +343718477 +1626293195 +512804951 +1589863921 +1599695790 +1340709285 +1612834296 +1702515755 +1071948589 +1902970029 +1515227457 +78875667 +1362620642 +428525713 +122668572 +1487260545 +1986813545 +271928952 +671099600 +473356315 +158509087 +2130082976 +320792832 +958217616 +586646396 +841633948 +1131398291 +803228265 +1472828609 +1813525752 +1338048571 +1816547087 +1292335299 +1850853522 +1258927360 +744547442 +1044079159 +724278008 +299579549 +2116027749 +479764389 +1814807006 +47419768 +1842385032 +95849072 +170088340 +1182161929 +2082662617 +442017292 +1853261530 +408535285 +600526379 +1835860858 +729328117 +1558743995 +275023607 +1570962065 +542658638 +1078251872 +896307027 +208700742 +268816795 +565370466 +1501036041 +2119670318 +1824297826 +98099835 +1016265829 +401092186 +397679385 +984809930 +880856575 +65002743 +1032229698 +575757959 +160851815 +1202318038 +1757919889 +96030785 +1644335330 +1463697771 +504566070 +97378061 +1152074981 +1233894187 +1656122056 +1427098588 +657372605 +51297046 +357866813 +1553679632 +259997788 +626683608 +2119050098 +1761033830 +598870278 +1795864276 +1859133665 +1615136108 +49472814 +109329402 +452462390 +930329389 +174332146 +1484692089 +1506087349 +335183961 +539526479 +1116523590 +431214746 +36378162 +432737713 +935780816 +133756223 +1584812694 +22191356 +1789878280 +864427635 +679563961 +1841175326 +1222294448 +85759945 +2101173115 +1848978056 +57326395 +1714723297 +300364687 +1853190671 +1426373314 +1915500795 +1902663485 +1535702717 +220479537 +685509226 +1710034863 +1705171626 +44112927 +2045218824 +97214458 +1160636517 +328949923 +133592620 +1593374230 +1264730739 +267348843 +1030703277 +1286922095 +2057227123 +1895130912 +1966486056 +1750918802 +969941712 +2052246001 +1704608269 +671436120 +2109572396 +1271847918 +971800807 +1815279419 +550737584 +739817954 +1570459256 +2086440301 +960297492 +108484835 +1648991516 +517985470 +152597762 +1546726693 +615199928 +1313234280 +1875676616 +748792548 +759124862 +992923707 +1016141392 +1789828139 +132362155 +925884867 +1537475403 +2098848211 +529320021 +359933467 +2003610565 +86444642 +1031369588 +1965699313 +1358292560 +2003170395 +1633495085 +1909030145 +595504702 +1056470693 +1847986798 +1555802194 +1164955528 +1349494667 +2073787664 +1317553291 +748737712 +541503945 +483303923 +476930680 +1290296493 +1242428785 +1469854387 +158954237 +884773277 +1602216542 +1084839105 +274765032 +1553581106 +1614159126 +634698500 +1409708023 +1700603769 +1666068088 +1227923688 +911412681 +1521754835 +713935125 +672959178 +2117259537 +1770405819 +373462329 +1525578083 +787877699 +1722956996 +1451882100 +2105430990 +324211060 +1993386045 +441251265 +801141740 +1136198890 +1683680051 +123512479 +1295153128 +420969680 +1725729022 +232508585 +695734712 +1131826480 +1846667711 +1330433212 +394050855 +1399787832 +849017652 +1621974543 +163716866 +223288840 +188426021 +836676044 +193064729 +1958831840 +1210138373 +1718642813 +599225891 +785611721 +1023041265 +557173234 +1109822781 +868943662 +998424499 +1910964521 +2005142552 +534620902 +2034477001 +1152812032 +955590582 +1612722375 +1385320617 +1651325295 +597065207 +1084504681 +834274859 +991116062 +336808865 +1683292512 +465606957 +500525731 +1906581352 +654032978 +1337201776 +2099646081 +465381170 +399856501 +1670805246 +1064607062 +1185468223 +546362863 +1621780296 +147807356 +1415306525 +472721147 +2058771878 +1272965430 +1007342050 +1945765231 +278293814 +1962932632 +1411003958 +1663614432 +1466774279 +2008069165 +600635465 +153565491 +851701579 +937444330 +1836858003 +1317308536 +1437970062 +1595955707 +1971341515 +627688190 +1548118140 +289239037 +1027544691 +1071439739 +1353846099 +65529266 +1617802602 +828142747 +213336623 +885625480 +1300863895 +124624853 +11107262 +160722297 +2070390084 +289401076 +2123654929 +1333910394 +1953015508 +1442945561 +1194495911 +406167325 +1596511052 +2046197490 +1343611656 +1285885407 +1216022378 +634098070 +734357466 +1039880245 +1261786260 +134991958 +1329119283 +141847303 +1206431697 +535481734 +207376570 +676750652 +1363624482 +420713193 +1562376132 +517004729 +545338046 +1573483394 +677727026 +468244482 +1862884470 +653898307 +1802154876 +1668416331 +2096843868 +849167139 +2074583656 +1545871272 +747880981 +1270711664 +684273031 +1963903359 +1904809734 +1418630497 +856299957 +1019112346 +1553622456 +37935592 +1160959650 +612570505 +573417326 +1368336220 +1289321157 +1937041808 +1789049413 +704213641 +306562889 +186903811 +130213387 +984289915 +655148293 +1993097858 +1638188223 +309819521 +1514030541 +1587548443 +1158986660 +1441130549 +985936068 +1906867641 +564358566 +1670209099 +1723287352 +321684652 +941355949 +432103661 +1340796999 +347494757 +470039253 +354273001 +960065262 +1043456580 +1722609221 +101902772 +833014740 +1364174986 +806116413 +1139577630 +1551078797 +936329801 +2123867545 +58743442 +781944011 +1614572120 +368562963 +148490904 +1054636916 +1527549623 +1589621453 +2040572984 +1286933616 +6496371 +1563298435 +862737320 +328181024 +357170736 +1294840982 +1668978023 +704665493 +1764880235 +2023251024 +1664730756 +660853167 +1598376597 +1766633528 +1493867908 +815067935 +425266293 +485961890 +218663084 +1361596094 +462345787 +277406526 +2143540105 +2076917908 +645969489 +144547361 +984071176 +26035464 +1734168815 +877160512 +1312969080 +1740665186 +292975299 +28222752 +2068846210 +650146036 +1323063734 +1590340585 +1354811529 +940460322 +1466107961 +872058637 +1601313489 +917000910 +491208517 +947697749 +1732068845 +916474811 +1433659639 +1950731929 +130587257 +1896005427 +80654807 +126643715 +1825439687 +726624296 +271191076 +662027215 +752659760 +2005359891 +1539187727 +2065628840 +1598541430 +1832163026 +2093851593 +1519903992 +334825414 +1269431679 +962760930 +1689636944 +62408353 +281385243 +414211933 +1663721843 +1198386154 +905420451 +463935944 +782971351 +1821895262 +1897595584 +586219633 +1952482519 +1646117363 +666874440 +2079126234 +1324073402 +1393498737 +202833663 +1986100617 +2146158497 +60709906 +1377804696 +2064303690 +1659251336 +1062484074 +2010671635 +1031671681 +1397309489 +1132619666 +1994432611 +939462785 +1195028020 +128334206 +1353674718 +711266215 +1326720360 +111611521 +1175202159 +2109691712 +1933506783 +925314095 +548427697 +1738505655 +423947810 +1215302137 +1670148241 +1748021212 +461317226 +1872981904 +1586638181 +459992076 +1933691811 +816959229 +376812118 +1445459499 +1879443304 +240000105 +329647532 +1129269145 +1372619771 +176596495 +2068731930 +420164143 +304930702 +1274923000 +1131430358 +1631651062 +1386534522 +159148870 +1593859126 +1172557657 +1084462965 +2142286823 +763579664 +1508410776 +1210105313 +286244258 +1108948340 +1671422539 +11742514 +548102874 +2131414615 +1945434325 +1365062103 +360743085 +1243410177 +1097021759 +600743190 +1573057709 +78807256 +1973362962 +1749654205 +55538 +246043457 +2054584907 +1274978539 +1377473816 +1538752321 +514029413 +1536622686 +985127800 +1686587070 +473602003 +979930975 +302683087 +1982012779 +42552640 +588927345 +943477472 +1713975180 +600669859 +1491580346 +1697906147 +398620537 +709158801 +2058649233 +1642030714 +1806180561 +511908775 +1067604775 +1884987817 +337788089 +669775332 +1885043356 +583831547 +576876591 +1012538247 +1961305363 +2115628913 +1526567660 +1350444401 +953273065 +1065671082 +1824046404 +1933204040 +1368354169 +1658575536 +1975756681 +1957281514 +454569360 +1542248213 +410467726 +1946149706 +1092670712 +809088263 +507824859 +1003836297 +303635329 +166521772 +1515745073 +1371240104 +2051509590 +1853533162 +2041015437 +1789069298 +289881061 +470408380 +654123897 +103702776 +438553645 +33207909 +1454147177 +1391826710 +1098878991 +1130709934 +1177547103 +319749513 +641801822 +1005820136 +129547379 +1096371182 +400584701 +540015105 +895037240 +1493255413 +1349103368 +1402862099 +349608063 +1652738697 +1569383872 +1865353136 +876495154 +1473409814 +1571402650 +770026943 +1114995464 +1861283712 +1240435323 +1769119361 +1964986488 +1678988969 +1802327270 +1271650018 +923332031 +753722613 +254876304 +2100879134 +1073472126 +896678126 +959215622 +1203019506 +1993049308 +1359800323 +1743034611 +740602900 +705572089 +944654332 +2143464999 +1055180152 +449909381 +1565365223 +773049640 +1326404535 +891291389 +196968642 +2096431478 +2006286853 +2058252354 +1189383154 +1627922566 +1875755195 +720888475 +1282766188 +999921565 +1644220506 +2036488802 +1254797869 +1597615993 +962477280 +3992347 +409347967 +18013138 +1997041655 +1769148291 +1761047750 +590160907 +327236732 +558218434 +586142258 +1382416884 +1008127815 +4023834 +7982876 +187048703 +895315223 +204951518 +135996533 +754118429 +115720225 +1325379687 +234557347 +1991475420 +2046268162 +1517323536 +843913337 +1543005021 +1406328690 +2098711206 +993137366 +221322322 +2102703553 +1402485333 +239335461 +1952261560 +1024149976 +2000383211 +394938819 +1351386708 +411117997 +981081077 +586319944 +1419245812 +985104911 +594302820 +1606294515 +1880420135 +799254339 +1742291049 +487054916 +914974564 +920187088 +721612263 +758966336 +818971603 +91452151 +1602879673 +214492976 +1497780841 +1554107231 +1207630342 +1719103164 +1509327136 +462632027 +1958438625 +1314105048 +1486782004 +1811338188 +1709043867 +690685064 +74972537 +542641296 +1277005009 +1494218349 +1527746208 +1871307829 +953029217 +1260682695 +523078520 +547836618 +1747737611 +1438053084 +1468023706 +321866226 +49535772 +139511661 +413318378 +1652415445 +354004637 +1911099219 +1059039028 +1561634979 +1482718735 +420882516 +2024267007 +1293673712 +1734987564 +1363565363 +957528252 +1296547783 +2054250427 +1032500789 +1839189080 +1183771788 +379235491 +1219451640 +907595970 +1332264708 +332650687 +1430674490 +1880101326 +2080388298 +721243927 +1200641384 +254770876 +770779699 +1340153046 +668089254 +275711497 +1694157683 +431704826 +1334750525 +1108309015 +1914423561 +1755633042 +985092374 +1060613626 +1343136958 +201174089 +2018141878 +492201094 +107940868 +903159020 +183906526 +1291712657 +1282394511 +1403358166 +51824979 +467175571 +1736008853 +1482499469 +199793249 +1668913503 +56259748 +1400434633 +1923684379 +827039448 +593104031 +444289986 +1102750945 +139778067 +875994812 +290017822 +1248087082 +642934725 +2045650864 +85695808 +1703548351 +1241304175 +286869897 +1574206582 +1733505269 +394810765 +329881954 +1917411795 +1686523422 +1612276465 +1173286313 +1738348401 +2079452036 +761811518 +1073364223 +131761637 +283241373 +1129623971 +1532196270 +59442104 +1956663419 +2125300302 +503732090 +911930716 +117594721 +1379726902 +1201948539 +1365681803 +2022661628 +1100115755 +1451377611 +1578726331 +193936282 +1738247508 +1005449265 +1927441551 +2133058273 +1335331219 +1697369698 +1672098048 +800124036 +723172363 +1262962801 +732092424 +1484983881 +188843376 +863854061 +1768225254 +1318467348 +248566684 +1827667359 +1127647119 +226383338 +183915801 +2039577836 +343978059 +1563642704 +1094042727 +1709659862 +1438820684 +46674834 +1013553825 +870063367 +240611117 +604317685 +1875512633 +20569020 +589892310 +1063360204 +1717938719 +114506710 +1863484241 +293627434 +1377469512 +448093017 +1778611316 +1566312888 +1311947079 +1399352922 +737296588 +1560513763 +1079536633 +1864943708 +1786897101 +1263452435 +1757037896 +2130875160 +679611491 +703596975 +1693051374 +2118432175 +750271809 +559121551 +841011894 +990882926 +1163439236 +569040879 +1011451947 +1753331546 +1632401084 +581907018 +1867838257 +1348401677 +875534452 +1097824121 +1796494694 +506662120 +516653361 +960958125 +1906015043 +1253949950 +373988240 +838068028 +971410010 +13401693 +2101520463 +580964258 +2144276853 +633648306 +1284561233 +1689844579 +604596833 +2034833042 +101482482 +1445608728 +878232321 +1264921718 +2014649607 +1889684268 +870769617 +1499567043 +324107638 +591124226 +700485072 +1199642090 +1688948347 +349496119 +1706304211 +58118060 +1310454244 +1464835606 +1312068010 +1684442485 +155419986 +135994372 +1697844178 +109456802 +716958630 +1694637384 +743105108 +2001519863 +1236998315 +1347701942 +1888869258 +1338480798 +645827022 +619617931 +455918868 +512992981 +361818551 +1326688485 +2012560025 +685926189 +1917812711 +565561449 +1885568279 +1459277410 +915057568 +1444388842 +1517395471 +78028165 +761740800 +681979833 +1762470650 +917160787 +817974206 +1312831180 +1026617589 +1534932836 +859984916 +1769722697 +1388969052 +2096983232 +969940991 +1130354662 +1287980382 +1615768013 +1749972593 +1743899250 +2128760995 +2111791144 +923104088 +1993837372 +650233685 +693433151 +411915173 +388318316 +5226914 +1326972742 +1832707159 +1522622385 +1405000907 +446964311 +57118570 +1019987909 +1364125098 +875092776 +185335441 +243259039 +262541965 +1045320358 +2012981737 +1651511017 +994819942 +835439080 +634382031 +135316676 +303723446 +236870976 +1879215926 +285000793 +201178472 +654836366 +131354517 +851412157 +1348269518 +543269690 +1239730473 +1353496432 +1870242432 +924953984 +728635169 +1127759691 +1371918296 +785753739 +263952 +588559746 +1660846516 +185599394 +831818786 +1923388481 +1230919752 +697316875 +1427415850 +78256046 +1532755955 +2061797881 +213572722 +1836479401 +151185209 +2092788648 +2121480194 +352363681 +600141367 +105351063 +1203775838 +1948410885 +648620754 +296022663 +1154423669 +371379538 +1220976648 +1883058838 +1499139230 +445411296 +521328929 +1499403182 +1033971042 +34691797 +1685002576 +1865789828 +1958080278 +768438680 +415623055 +1238012480 +846694726 +1948379011 +1152326713 +1060267448 +1637374764 +1303511922 +1005572449 +1611371311 +1655875603 +1605713816 +1716722374 +712167793 +1406641053 +217859480 +1008190457 +413581074 +589239019 +81683457 +149156264 +2088378249 +527094753 +670485193 +1440297783 +1561065795 +705176991 +977816712 +1279371976 +515773621 +1746255392 +1694995031 +1753786102 +445466471 +1495890394 +758629167 +1505733919 +985781511 +2062141090 +363822720 +449669174 +1570533045 +1969536536 +18907900 +135217191 +1228693941 +236767381 +1143407648 +1642275015 +826006400 +1225091105 +1791431279 +766901001 +1752185858 +314432825 +59715136 +1165768005 +1019609816 +1037531848 +297656333 +1535383437 +636303593 +1992651365 +1141685891 +1081770064 +1341058111 +1900315059 +440020335 +179355974 +1814972501 +803843056 +629025148 +1238021898 +625895944 +647933049 +1373239089 +1854589886 +884700430 +369163089 +1349381253 +1710706830 +1594254194 +993328885 +330124183 +1198956404 +1307761710 +389839319 +217240762 +179887878 +1427371168 +514897095 +1715271315 +2063674761 +360064812 +709473559 +997961177 +1701122924 +462304970 +1437981512 +1880478898 +129793823 +94340920 +362020399 +1367815721 +720236865 +1009953448 +593571163 +427343103 +1894653878 +962734252 +1776724356 +1457877060 +409504799 +622569593 +1788001243 +1608461203 +1930331303 +30356914 +1825701965 +2110219181 +1457728082 +193115413 +1678006849 +1373919195 +553180225 +239996760 +224396724 +106819501 +702301730 +1662378237 +1987298400 +832095553 +1756719157 +201835151 +52427626 +329472374 +1211788599 +645998789 +756815477 +958958829 +1608733042 +386056186 +269352241 +2018237841 +1008625779 +2057353484 +1479215396 +791473435 +2087710398 +1157433714 +754208968 +1397954833 +1350549127 +284732169 +624390380 +1903729352 +524728929 +848787105 +2010548854 +1227030659 +363681694 +1850363606 +2059126212 +2120400851 +2052198757 +2111553839 +302389578 +1116503708 +610068980 +1059205055 +2075462537 +71318374 +1445261241 +197331130 +2089556215 +306403373 +107200966 +1421287964 +1097876808 +47427716 +431238030 +1852085776 +1445382549 +1781787157 +2136817946 +2069772930 +1538032861 +514063227 +771076387 +1401098067 +1741093887 +1134758081 +1103978025 +1652736451 +1107675284 +1008693134 +1616806642 +1410064862 +2125196842 +79391975 +321786270 +2053175731 +150710349 +1767047511 +103023213 +92782917 +2073450884 +210224179 +1514070881 +1023844044 +257651896 +1945308911 +728446173 +1703034445 +1579612420 +717780471 +1625323727 +970161633 +1231843698 +248916466 +223776053 +825453937 +1383674547 +1327754078 +330706741 +343866184 +188963565 +1947513383 +1753931046 +166676759 +2026905358 +2075717316 +72368843 +30132060 +1695281180 +175392056 +122914977 +1621248416 +385616236 +1636985858 +497608813 +643268132 +1434811121 +1226054986 +198818929 +866939893 +1943835457 +1824142657 +1837101526 +1028195507 +2073059123 +2060877579 +1853649445 +1309250023 +1241148010 +36872538 +1653116207 +1430111575 +1984385921 +1259563605 +1596788334 +1863807632 +1187797274 +1669157177 +1893939692 +735594806 +1844549234 +2016854669 +209359574 +82681822 +1506356879 +706968387 +725949954 +793684352 +1933023373 +924768883 +1660624245 +1729375182 +601427892 +1350242123 +610087042 +527003368 +1263636055 +316252839 +1836253391 +357300417 +353125377 +1341885950 +1787411992 +190027650 +453965907 +1236716678 +2053835282 +1641763181 +758390208 +1800291326 +229874339 +455455794 +1669662347 +439233914 +538137616 +1028535578 +1146202301 +1264087570 +1822219930 +931742027 +41372805 +1335360527 +513633561 +642800698 +538119003 +1123720603 +1169804066 +1801755058 +1439973442 +858573809 +11571827 +1793098819 +52976111 +1798983819 +1983126470 +506942018 +888216849 +1889478104 +1221552 +1646607057 +1542285783 +231095891 +2102062851 +1064464482 +670329805 +492716819 +2093000061 +1816532107 +1756804389 +1767736343 +600790486 +1798177195 +955613223 +1114424047 +293494245 +1493732226 +90661003 +1463298311 +1148003636 +1530634445 +174388472 +1159575463 +1176249617 +227364583 +811075634 +1011892439 +734306601 +1699292483 +753886895 +735528153 +1198415893 +148689030 +966624045 +1152995096 +1213153513 +1636953850 +1645711916 +1158669926 +1306002309 +1255032657 +778922621 +1906792795 +905726204 +1734535844 +873733195 +1199220449 +1080784422 +964394198 +515035112 +81304410 +347544995 +689423584 +1240879873 +1523794612 +916788167 +2051955507 +388203403 +1651094769 +1603764343 +1142090299 +239139274 +654696588 +1290779329 +1205763319 +1807691684 +356449194 +695233522 +1305919952 +1515119120 +2001235831 +413468962 +146558094 +1760544979 +1319195166 +1881093938 +486794526 +370931968 +814394713 +1451188724 +885967080 +895699123 +1798733719 +1575390665 +2136578997 +1175044684 +344695184 +2041050856 +1563248087 +1995789953 +1497331551 +557854738 +87445580 +4544491 +1848634068 +1293208899 +1812236176 +57599614 +1988442421 +970672480 +1572718735 +1842194605 +1384141442 +1719276829 +1455255936 +555852961 +1452887119 +1942050462 +926784929 +119798184 +1245755538 +1812752009 +1015497308 +897005609 +1240659026 +1004592657 +2072050293 +1585354211 +898159865 +1487814733 +1433660516 +248007769 +2045669471 +1521106096 +252552260 +1746819891 +666831348 +2064788436 +1804419506 +507790121 +887977269 +1229654593 +202501078 +124635063 +801447774 +1657757014 +680488024 +106851245 +1452323828 +1607272953 +226649430 +550595718 +1272541315 +1242146738 +1447601328 +365716693 +99255747 +1372167973 +1951070904 +997415612 +712499058 +1237247773 +1245423381 +610684882 +610870221 +1497975642 +210021125 +1277701569 +1415280430 +2014440631 +1785491691 +155774051 +1096611576 +1987992769 +280409115 +1898059350 +1498266136 +960897139 +2004910596 +803106316 +420686445 +84076378 +1353702035 +1693227760 +1326223116 +653819715 +2058944453 +1425478863 +2025987688 +1862531710 +275410827 +591003099 +952295835 +1520834209 +1201687981 +1563166056 +871326203 +1411709106 +693383978 +139122985 +1278666090 +331392021 +294897037 +227794018 +171901142 +575306152 +2125853369 +1670167278 +1536203291 +1983280317 +325789947 +1956889736 +2067356695 +1679491982 +1502633848 +1246096163 +185828049 +1414094654 +524091378 +64332089 +1129142716 +799502205 +655335188 +2081438551 +172852766 +1857023169 +1497120959 +1044178969 +1121248628 +43021289 +1183301955 +252431070 +374413310 +1478198992 +480225088 +546314453 +2053505144 +458594809 +68998083 +1442224787 +294391478 +394788030 +1251630876 +214264525 +2074280012 +606781076 +1460360688 +112624413 +2020875730 +1984452066 +176956503 +1002534798 +636470624 +832291691 +936489701 +809323390 +541831213 +286127013 +1853502360 +1663079841 +329148302 +889320667 +1915510911 +703561613 +220036011 +248252351 +1249876066 +126057507 +706847161 +1318874149 +1568282294 +1001238639 +1713662180 +672429522 +1215503165 +1640458544 +1279210599 +528380205 +1753082958 +1152602681 +365348624 +1930039461 +7653832 +1001819248 +614847504 +944143533 +1811142638 +1156678717 +1230270546 +1517161350 +672274910 +1559418849 +258998369 +440302173 +115496814 +479034380 +688554525 +1365372880 +605091887 +1395401686 +536763381 +25890534 +249156677 +102941913 +698320056 +1464659842 +1743400458 +1977530655 +1993040048 +1348999768 +982649689 +210905024 +1131555581 +990303521 +1212724272 +1746403085 +1934447054 +876383262 +755598155 +1017233953 +246060965 +1427873065 +429169154 +505059334 +1868175239 +544665968 +984093715 +409246116 +1910038848 +1589185602 +1804647802 +299318581 +1615076136 +2053804479 +402260495 +165912545 +1370980674 +2145660953 +2143443200 +1216537074 +1347177073 +978609241 +1427442098 +331249006 +1968912762 +492682722 +2077652091 +1755876169 +1369065984 +685766598 +625626474 +1615126949 +2113639664 +1054795628 +2120186284 +1834331255 +1599461596 +956796351 +96093723 +1362016796 +398498305 +1900741525 +1661335377 +2013574442 +1807062356 +2063595872 +32003339 +1030559382 +2061773177 +27962891 +99612808 +1261466602 +1006572133 +1527054906 +1592715608 +828001247 +2019737628 +1522884052 +436393768 +1241319965 +61167002 +1062020242 +708963266 +27323018 +2116815870 +681665902 +1861654273 +1568793818 +1638462253 +1957747996 +783326966 +2036960559 +1711005873 +297178696 +1903051353 +1370584582 +213290920 +1935054692 +253660316 +127580450 +1963017583 +353273125 +1389047052 +822106068 +1880328031 +834279013 +1650107316 +1752582012 +209679417 +2086501084 +846418329 +270846419 +1001037679 +1555381595 +298169438 +970369901 +89563850 +12340063 +391680072 +1728026103 +1970088060 +1175007038 +1617503014 +1533610285 +1472185734 +1373070719 +756711219 +1685476655 +1160641763 +1010371536 +1813057105 +976175699 +1363644661 +1054620509 +1798281767 +1096489044 +1888899522 +1300905435 +701587408 +2098578939 +1239922872 +1548005737 +221941711 +93476903 +955903685 +520111149 +1063846804 +1045467535 +532451212 +1455526876 +626009990 +355055624 +483050267 +96029357 +1888665910 +1955236001 +1469100076 +497893481 +1493229008 +482258192 +1508265017 +1158802465 +1458433891 +724426030 +65939327 +1109232010 +1820915075 +1954838849 +262653798 +375018835 +1905934141 +1502576670 +1923024573 +2127875852 +1596053573 +731444610 +500503353 +512416729 +1776912145 +1032954565 +1967943606 +255438487 +1388010190 +303510225 +351467844 +1129192452 +111262578 +1820567921 +1627085933 +1604491587 +155342465 +987867303 +615810404 +1613776356 +1712293333 +681749731 +575524718 +1385724760 +489104933 +838178516 +1760743596 +247555426 +193271538 +1536284521 +227947630 +1789325111 +120245483 +728450983 +154258193 +1897157628 +1761405548 +2122201799 +5112467 +1001932090 +278228376 +356580312 +2131124542 +389490954 +29664585 +1610726828 +1993982541 +185007050 +451110483 +462309298 +1798783406 +15920168 +1144059029 +226824476 +1401644929 +1633163962 +1065002993 +1014904877 +1880719388 +1258274531 +403705750 +2108667018 +900115995 +523951233 +689634353 +1054374188 +273625213 +303556254 +1029092339 +278737680 +1305488344 +1307320715 +635317992 +1289129239 +1696811669 +664982577 +752372419 +1543310563 +849989627 +1203482902 +2005619861 +501289385 +1219403070 +1002195242 +728113862 +473564351 +487875557 +1793116855 +1488469228 +221111297 +903907738 +1892174978 +182294668 +1804023733 +268642563 +871929021 +710914273 +542267776 +1175485275 +1740006612 +821005457 +333489972 +899843679 +1456323449 +1622619211 +449171701 +2121306027 +227507982 +1992482264 +823812006 +1430990884 +1850618477 +1325101392 +502910306 +705330071 +2053215254 +976474658 +1193205628 +1698848461 +317460238 +1414316926 +455272551 +62151569 +1596611594 +111812637 +330794132 +321056967 +822726910 +873061909 +1496542243 +415249875 +1694067366 +1830032215 +1315093554 +1002907167 +1305167778 +1764265255 +976729546 +1532675760 +1609263871 +1800541553 +816182996 +1312398700 +978159297 +1319093302 +2017728772 +883890903 +148084312 +1063450752 +435255716 +465544551 +330284030 +890528267 +527696120 +1926895624 +1002340904 +858490252 +100468944 +1825067815 +1731552161 +1597011187 +92834042 +1278135879 +1279559754 +1407927596 +133559399 +437243884 +1024709204 +1110288945 +1969919644 +486489427 +763346850 +638618992 +1798888128 +1741506147 +1957712294 +1669133252 +477913402 +2105796607 +585100356 +913169118 +423857510 +915384387 +1803697386 +951553630 +694796363 +658554642 +1810043882 +795265307 +336138809 +1394112396 +244792846 +428972851 +524764627 +1524352600 +1836900448 +658324026 +1961596484 +714126004 +1768612972 +1784032480 +1200615431 +384476174 +275167824 +852019911 +2125982322 +85396471 +373669515 +456412076 +43709430 +958769872 +1369581195 +467566940 +1874154259 +1025794933 +1419120570 +421466974 +1684349575 +1081680804 +1216732282 +2020488385 +328309552 +1461525128 +301977588 +853074180 +838394081 +2138878036 +1511398206 +652506917 +705520392 +1132527530 +289055750 +1906135824 +1517003705 +564223574 +610672087 +1495502379 +649620045 +984341603 +1951914455 +693329475 +1943111475 +1174012002 +1160896415 +1669782086 +52323287 +432533337 +2091249060 +1736672863 +1514214142 +1160497694 +1609677600 +1842523694 +474539175 +1911655188 +548114226 +1312933256 +1903049577 +2059512433 +1965440173 +461086321 +1044556315 +107012275 +219738497 +414076372 +671235850 +830410585 +1909578751 +1320855895 +1814752188 +1714009559 +2014185371 +1610380015 +740537913 +1027598138 +1132678453 +792861201 +1460131476 +1076443865 +382050416 +826861970 +89457912 +1991728016 +521902016 +563997087 +1755899556 +1070016243 +1876930343 +1511465485 +982045028 +1694886868 +1972551807 +2026601343 +1801899144 +44806656 +293194068 +325651346 +875217241 +55289171 +1646507241 +542485781 +1769298730 +1513208964 +5382148 +362352996 +393323455 +1138060601 +1155214197 +1853454931 +67020819 +1537264613 +532833253 +156478731 +1381508981 +1054735269 +720475818 +989924889 +2124751512 +449922513 +353906727 +959312892 +2144809381 +178974886 +838430588 +1799224877 +223781542 +1131624656 +2124876223 +1098998784 +1186913827 +1623899817 +1641484565 +808728910 +989625133 +1646866714 +1171081906 +1382948588 +637443667 +178812455 +1088919871 +704464486 +1716077068 +1621753124 +860943217 +950102401 +529004746 +1581419035 +1940027290 +506272610 +2031341548 +146450369 +1465585503 +2028667282 +325425255 +156532443 +1680408511 +549206798 +1288157099 +1657801087 +1648205582 +327587278 +1134217256 +1142206499 +1136316188 +2123842389 +641589565 +159914446 +1359307330 +1279033233 +338726901 +300743553 +1983497719 +2054803969 +1922496678 +696957289 +857422722 +304017776 +130892676 +649966365 +810290386 +14750577 +796416734 +128392241 +2043417859 +1121841990 +284924684 +1576342722 +1671048788 +1573081783 +1086660161 +1171770722 +1900669062 +73393769 +166493573 +889501602 +49752511 +808083139 +1049416049 +1409059841 +2087116372 +1388142950 +1709803394 +1923130443 +1295463272 +1484816424 +472604084 +5402346 +1788834200 +603496761 +655368711 +451640939 +618247338 +1451785446 +580033180 +514181549 +426143788 +864957865 +2090524271 +2097192576 +290556000 +1029700785 +1121479650 +43741414 +1103094554 +1287973223 +933243017 +1152847065 +2096056362 +1982659066 +414423258 +2035689086 +1223318368 +2124226653 +1811335882 +371297992 +1461559429 +136456318 +376700339 +1102909982 +739953079 +1032069050 +1554550921 +1358200417 +336370848 +2134584101 +1872381966 +762514636 +852058318 +1815422590 +712223564 +1142614319 +697639727 +1833703214 +1186355733 +1800734281 +974192790 +2119598750 +806097699 +922765504 +1954774168 +1220520957 +810970943 +1030608889 +1197263962 +474823177 +1401906881 +511339744 +611279495 +1778607220 +1614249726 +1351232575 +663192623 +1021316999 +561949344 +999563471 +1008417452 +286847663 +1762078108 +1860475771 +2102270253 +326818024 +855606442 +652426332 +13037591 +2041962175 +305676965 +987230381 +2014077278 +1111774664 +1909995885 +1821367798 +184811974 +573483180 +704493039 +1382075936 +1048306357 +2106399921 +1893415680 +1659585853 +1737523493 +1360181758 +863334780 +253232468 +234015109 +1425284124 +1252795940 +1242432562 +1712131787 +867390400 +955424685 +1666918392 +1194208424 +1811031127 +171861076 +1207246015 +1705509654 +477538042 +46992748 +1572103284 +1589312706 +1956988634 +1245987435 +1774124680 +382988166 +1950480474 +1008716969 +1431294524 +1909396747 +754649001 +943396729 +1499436593 +2114830760 +1806731509 +1752669061 +201362221 +1084531985 +857981353 +1443794783 +649180125 +1725371753 +251735820 +168614869 +772096530 +2062766947 +340475946 +1979342545 +1620792954 +818013988 +2026335294 +1045412590 +259843046 +1835840280 +143916377 +2033967727 +71344798 +2094396852 +895201048 +1502639322 +1856309951 +1649850049 +298552403 +1208262896 +1617197161 +2105283912 +813448310 +1818559383 +1042332250 +1671429663 +1114870518 +1691512375 +1249317769 +1366606339 +1860127244 +2021414299 +1281889638 +53119542 +1853273196 +755198944 +871133530 +1732124842 +1800611535 +1130976577 +1420481474 +1944527912 +1017460656 +1491826273 +1891441116 +1912661704 +846981947 +1600267420 +1415028105 +1145534351 +661046668 +884741619 +1103334615 +1474494978 +555817354 +2145666865 +998440994 +1670687872 +1689695592 +100275115 +889810563 +1402339189 +2121689414 +24216554 +1455458731 +1827478962 +779415498 +179108614 +1412120157 +432543385 +1310085191 +685117983 +229587650 +180062199 +29460608 +2121028766 +2092723903 +876442556 +1573812538 +1360268360 +2021976907 +87375559 +97526331 +977827874 +1561870537 +653343685 +976011092 +412827883 +176547910 +518223036 +513102998 +1066358473 +1920562225 +487308764 +1090575027 +1228537309 +167304079 +1869990526 +1407645923 +1579424236 +155050263 +570247466 +117058571 +384637913 +750309665 +146519180 +358183032 +695549920 +1022961736 +1931995570 +2055818280 +897454995 +2019371129 +5860964 +1875282869 +1433758019 +659204649 +703810313 +1846585902 +835752559 +1222033350 +212205253 +1902111033 +995111927 +699514017 +845202412 +76165588 +866818096 +567709290 +1483811511 +298758684 +722759554 +2054058977 +415817256 +1107397467 +656884994 +562336436 +1465580499 +1352434914 +1585298172 +1250092422 +1260769547 +335269519 +1121979903 +1266630511 +63068740 +408254274 +1925835160 +766879054 +107356529 +614104072 +1988912404 +319561782 +368731457 +836540683 +1019075799 +1213933869 +912706272 +1885893896 +1781643160 +249034135 +37168932 +356919066 +155609465 +452986188 +1464316533 +812494459 +1015322624 +782413385 +17445726 +453137148 +2032505807 +1278215273 +788406667 +1007002062 +397362136 +851475408 +1415256337 +175713648 +1618354462 +1522612866 +789817720 +1459783218 +1842174648 +1158549177 +148840253 +713766799 +224999399 +1061546525 +452177047 +2006642559 +1310580661 +489345980 +216077977 +1466190126 +942332168 +1680394510 +131200937 +1957654793 +315324247 +148646663 +263308293 +200346406 +1426861936 +1051714961 +1207348469 +1824224072 +1903190369 +475121158 +1999937721 +1374061183 +1997734024 +642271793 +686360753 +1692425024 +1800820971 +835201006 +258708175 +2025820370 +1896747532 +710885223 +1884979281 +1059844545 +1200231203 +2101057258 +378551023 +2142563371 +1633968120 +509751960 +1952734516 +1949292368 +658398624 +68559162 +2155126 +2085260560 +1120274123 +1209503595 +1762000985 +875980844 +1684624753 +1614455058 +102558379 +1534875129 +109243203 +788919132 +1079816505 +1910064174 +1624120138 +1338524681 +1788400896 +1373384022 +2049409904 +1525896529 +285744919 +1102157459 +1479470139 +664295942 +1097237182 +965954612 +1174047903 +902488051 +767763332 +1832446527 +971047213 +769918458 +1770223439 +2091321336 +1979422054 +1384740776 +819818532 +1516563159 +851712186 +922376911 +903954641 +960955390 +1711296043 +1983771146 +723535916 +1187932533 +1174812179 +364453165 +413832908 +1076738435 +1890349694 +699577827 +31412246 +1222336186 +1363873770 +1128649429 +40807150 +390438025 +2031137480 +808570482 +75400904 +854701045 +1578488940 +1845624343 +798538733 +1410427346 +1082881472 +1618357265 +779506858 +1934593658 +393250528 +1683461499 +748065400 +2104546571 +1519748997 +1471601317 +1144995456 +547077529 +1836054482 +1558828364 +1623815964 +1578920528 +110922544 +1655228211 +653773066 +1474796314 +636393992 +694580216 +1865234339 +520047824 +1503150698 +1940635243 +1374748869 +934155991 +1638775938 +25803954 +197099689 +574173762 +1644161219 +976606547 +361283773 +2037411747 +512584398 +1109349173 +1994474670 +2032333396 +433466842 +991986478 +431927277 +122037676 +403331195 +2055743241 +1700958205 +514253739 +1563487804 +207247623 +1989050053 +52398148 +901827840 +1706800744 +572445972 +257494890 +1499952339 +1947194841 +1191650881 +991244629 +1972998795 +1388750571 +1565418392 +1469676366 +217873470 +1926702165 +1359604465 +730457869 +888567690 +1206595487 +615307617 +1322034533 +51098318 +1047234894 +1444072209 +454429513 +955494487 +997546766 +968683252 +371498644 +1204794390 +810249657 +423896792 +2106622230 +369566753 +996342765 +216633472 +1869519092 +796053958 +1408284354 +713280073 +621569106 +649551277 +131214817 +2091245472 +867424747 +2057916982 +1303366290 +1597882616 +799001025 +362478129 +65706585 +2121035558 +413576447 +1112941479 +1417624119 +868005960 +2068435967 +267687238 +1836689212 +292450963 +1472481628 +499455221 +716347755 +1431620210 +869021974 +1712690520 +1648253682 +591057418 +361260831 +909054388 +1304337492 +982829937 +1558605665 +1435552309 +926591761 +278546765 +1345985644 +82474403 +1876429381 +2144986669 +444952533 +1942135967 +2118538579 +858528980 +907593798 +1388679050 +1726534941 +828546117 +1656366288 +1415740505 +1120997080 +981364268 +1915195727 +1837344836 +265500830 +636734053 +1402551708 +1913754513 +1227791472 +1763812539 +675325253 +384645316 +599158828 +86447271 +1820197625 +1525750590 +364994036 +1018699621 +1608224993 +93939769 +1016202642 +2053177526 +2036075736 +987257573 +764222859 +796185887 +228452976 +343274152 +1624732004 +1884819264 +1759014657 +598245437 +718699885 +1526726736 +288106625 +984200715 +15977142 +1690658333 +750471580 +1243768614 +1306987225 +1425796834 +1628413930 +1906146053 +1512244105 +1301127907 +1284412995 +1877238141 +172343881 +745154341 +1971177910 +1188546523 +650848219 +1859769999 +28320449 +1415071078 +508472238 +256773425 +1758345230 +2133204242 +2141592689 +1369876240 +583966031 +712808926 +749119328 +872072656 +1697009642 +765096470 +415247342 +299997574 +2008865084 +1722234567 +1725794408 +1489795366 +1480896972 +1090554865 +643439626 +617826320 +820309358 +815783507 +1362980661 +644003621 +2004330030 +2013828880 +356289972 +2032650479 +1281416311 +864762210 +141940256 +892277893 +850482804 +136049298 +114670485 +1434448836 +848858224 +863789814 +159037844 +398384218 +1628886284 +574285186 +698381793 +1490267721 +149036105 +276692553 +832579439 +1629933078 +1367247419 +1476019065 +100275750 +40073129 +144318924 +1463256411 +684076750 +1165307 +1329601643 +1040366722 +2033815786 +463534306 +1905128932 +28272395 +1355812200 +608128089 +164321693 +1470482685 +2042576925 +1013179917 +186788851 +54131121 +1411564136 +1815675136 +628416308 +2109945929 +1158459209 +777452413 +239154834 +1991038648 +259901843 +1606402253 +1319574066 +360177593 +1646475383 +1463892990 +1823434004 +183068485 +1465058297 +1005552000 +1223435208 +1351390436 +1469086306 +981080492 +1379662831 +677414858 +1589208581 +1543984524 +413896 +1484301858 +409680793 +187202747 +1538432980 +1821244929 +2002877883 +19365640 +1783707210 +1013853444 +796818053 +2022862045 +857408445 +1056719897 +1481780650 +29498863 +1416897490 +980772385 +1493391853 +1092847847 +1163840871 +810966503 +2098399847 +239792431 +14873291 +1420002505 +1220872923 +1394536122 +2097417364 +662597857 +791036998 +2097831260 +2146899715 +1200717791 +137550359 +1537849047 +874479073 +2140428243 +1557214687 +510702635 +1006798039 +206549093 +386081032 +1864206484 +1263268990 +1867861683 +1893705347 +532682832 +701150420 +1239613553 +1625530679 +1864991291 +2050580056 +1576446878 +2104783722 +2065453347 +848965736 +1178172998 +1312505821 +798899452 +1840770855 +2103542819 +749247064 +1840186922 +1156776962 +886797423 +1230552322 +2031256035 +879742018 +640283361 +394475023 +1886540058 +846832454 +780556055 +1603262894 +2110101444 +500934090 +1349484594 +495300629 +1202084511 +441614499 +2120831308 +919592154 +344710907 +1549794539 +876892229 +262680606 +251276627 +2055065227 +1575186427 +1050176079 +1748352434 +1531245598 +1799423143 +1441055708 +540538912 +538736918 +524124382 +424311300 +1418478937 +1164407744 +818786323 +1157535347 +2011240198 +1599342378 +613314593 +1973857995 +2100276469 +1962799187 +321674976 +1154877332 +256930038 +295022636 +2074469486 +601640945 +1844817175 +803878067 +864321551 +2096093802 +711459646 +292024330 +998786233 +312328432 +1823269928 +650725728 +1753384141 +216325193 +1189462647 +130024875 +640636493 +460457936 +1294432619 +1459422816 +1617993283 +1158189170 +911281546 +83824228 +984563517 +864074367 +2046623416 +1306238493 +2018951699 +156069806 +1601261129 +1945937538 +757710752 +1298594657 +602331957 +1622032303 +1247204811 +1313791604 +1914056634 +98507397 +1626120036 +1589842914 +749233125 +1232020529 +1806168107 +1938695772 +1362045405 +299320952 +251670060 +508994376 +1758743768 +1869663343 +1667183546 +522541667 +1953487572 +504263415 +1386616034 +1852627340 +1810501908 +1258084086 +2008697146 +1264279390 +1056537976 +618924250 +415390399 +1658869933 +93472906 +1662595210 +825177889 +2007529540 +1761102607 +303814278 +1449888806 +362852085 +1535834807 +1108573266 +154064209 +750396564 +1407894218 +405734270 +1259390941 +1019154339 +127913965 +779090839 +1541696006 +2081401537 +1283354255 +780828392 +1786545229 +946372515 +2038912478 +1647758728 +63168257 +947966806 +119199330 +478558656 +459353092 +212672236 +2141153867 +1284530981 +72718128 +1754772826 +1588345259 +1522606935 +2117624911 +976696419 +483696553 +124205473 +1727092983 +1891590771 +529939743 +839000276 +763261462 +657853708 +1618091116 +157473820 +591771598 +753961723 +938302213 +230833179 +1700334238 +829731043 +1878591907 +1763502496 +1777697850 +1997791238 +94577504 +89567294 +62979826 +88247723 +1374098275 +135697955 +1843020550 +814959887 +1658304890 +1813161813 +1791656306 +2142001443 +1937367286 +1371265641 +1886108566 +319823381 +62782270 +501886381 +977677090 +1680873386 +659360201 +1569448688 +287351461 +1597662414 +1800281867 +1987685699 +279909810 +1531390127 +1603704547 +2057607660 +1381697717 +1698282052 +2147174954 +1444677543 +1786529775 +1373789581 +1580375498 +1482066677 +41265820 +1091196740 +1147744843 +1832922126 +1085714535 +937628481 +1056704120 +824339454 +1257451863 +1119486390 +1326225835 +87645305 +652876128 +1985586036 +1657093993 +940227589 +1435764803 +1309892212 +780429640 +1715674613 +693798691 +236650540 +1625798625 +2075496408 +1934932592 +1625489931 +1372690304 +1573978719 +851795864 +805582154 +908561749 +893061685 +1896778895 +2056306592 +578500163 +835009782 +846451425 +1635204283 +1659349236 +2103903288 +607207025 +838091423 +44064945 +1260083153 +676193812 +1701158938 +52827094 +2111958615 +863567503 +833256735 +1680149580 +1557366194 +1069907275 +1158464557 +1485378955 +857356219 +636470840 +710585611 +283851290 +1488266704 +1516167765 +1192413039 +233844741 +1265463012 +1101235983 +812344905 +2100472795 +1947687409 +300065540 +1612338383 +1904107049 +907272566 +302946159 +1948171995 +19872071 +979139971 +1501847285 +72699166 +943614938 +217931140 +905955901 +476280870 +1775297335 +1975863176 +1634745427 +1113192642 +685735747 +123732619 +1823778253 +969587037 +1611999323 +1192462370 +14516429 +1845844065 +310441735 +1115752412 +510705322 +263430882 +915956173 +810770862 +1875769265 +672579575 +1718043428 +31231776 +473267922 +1737915500 +1010371747 +1975115207 +1810614666 +1953986685 +45562700 +569086919 +282783907 +1820860035 +397466447 +1917529334 +786569029 +1083202194 +2041261953 +462863634 +2052789231 +1505777629 +1655326004 +2067305660 +1204138046 +1965767739 +1035574425 +1714843368 +81714973 +1951530598 +378130582 +1957484239 +476626525 +2096174011 +1988716015 +949894447 +1686605863 +851604115 +777526007 +1349736881 +658107152 +823088707 +1918823800 +940891060 +496465094 +168806599 +710936746 +1283034123 +1252008793 +604715052 +1745897757 +1157314376 +2110492681 +1253740113 +1077136389 +1167147079 +1072024205 +2112710814 +734506799 +1153739178 +1916757764 +1112637381 +963739769 +245900642 +1061327744 +804972137 +1195795089 +600449959 +1656576252 +1973321096 +1950186840 +167199756 +648926155 +1721526992 +1108090816 +1145391249 +1890333591 +1819027563 +280941724 +994858736 +276258967 +2026839481 +4689465 +239268000 +1133095947 +1081825854 +1406415079 +57636504 +1047053020 +2140921878 +1211375682 +816327136 +1106075611 +27631804 +1062227778 +19919708 +832603941 +110539220 +620369667 +341696545 +2083860316 +423072860 +508896301 +585302824 +2144599852 +1616987118 +1730694073 +1887449796 +1288531033 +2011635798 +734824884 +1564790000 +1890991631 +739514349 +1804058000 +876603930 +1821340203 +1062989431 +934240434 +720909575 +1056427661 +2145616117 +1537236712 +15019624 +25764273 +451980842 +34939332 +858368214 +562520062 +655309000 +1200064759 +498896731 +1078381860 +1708961060 +1084199555 +1075498064 +1178464530 +667409980 +815464212 +319511915 +531562130 +1550289097 +1884301915 +275070114 +142319798 +1540876267 +1151674044 +1963660002 +456382050 +2085914479 +537085929 +1512809711 +2084046948 +2074322641 +1527829336 +2109811221 +378819836 +1562768668 +820695787 +941339898 +70594020 +2020760546 +1440236629 +1148975880 +1582237958 +376952536 +76990297 +613218841 +1044362517 +892454509 +932730756 +1575924647 +295259958 +669549024 +1850994761 +437579757 +62941643 +855185158 +253756111 +519323694 +793615989 +790842040 +2032133405 +730179289 +717681034 +1412479093 +692506862 +1096500870 +827764114 +1513202649 +2037840768 +898358134 +1386479547 +1330593750 +2047334015 +821233857 +1707546286 +2124324312 +1434452698 +604425155 +869295173 +219699807 +32866155 +1164555132 +889248831 +1883860916 +1602134889 +952190474 +591562426 +1855891000 +1471514168 +1385178415 +499249392 +1356163926 +2115357704 +1216930426 +621159371 +660380918 +165947648 +1448923485 +26099919 +56304769 +199797972 +1412579466 +1386898519 +99648339 +86329676 +946961157 +76489003 +1520782374 +1551386313 +945784176 +1740482181 +1584252468 +2110339308 +482247364 +1320629736 +1564990549 +1434437839 +1912192163 +1273397901 +758468359 +1149886930 +1772647294 +2114632285 +1117760987 +842094072 +588308009 +1778141905 +1008041721 +2037231494 +1804241825 +1064346490 +89545818 +1069337643 +303761361 +189194157 +1155667319 +1250722518 +265683160 +528966046 +654625183 +1211467337 +121964579 +91394003 +1174322997 +604211944 +1412023740 +591829899 +2038649783 +1176732255 +1865227800 +649634494 +179135537 +1490391446 +616783132 +1296896524 +185001871 +1205091141 +927554782 +1193043592 +1094838987 +584312959 +109906434 +1184384806 +1653650602 +413667795 +1373578963 +661834274 +1664390313 +1639262124 +1190800320 +171531849 +703245813 +1312764899 +262925852 +1877568810 +1916976843 +1674949592 +321915061 +1808142978 +704198199 +39659214 +310293825 +883333737 +1530050660 +927076957 +32746613 +1715052531 +2132168098 +960301395 +760612475 +1079523437 +1544614354 +870518909 +116424595 +1050781309 +1284186704 +1490003559 +1712615583 +801093370 +981782035 +755932255 +972625219 +1685027848 +2068697154 +1235551071 +1415113010 +1838190350 +763017016 +1737028072 +1498849680 +1467215215 +1776687286 +1809143505 +203065304 +1159254298 +588736814 +235811918 +726823182 +573421264 +1196113313 +1487435657 +1652944702 +593244020 +210470919 +1769369297 +1644025329 +1494657623 +1111889208 +1209157264 +148267345 +2093671243 +1965089519 +1120892564 +1631215443 +1886303025 +208959988 +898844806 +1577009727 +971977004 +488389230 +928375760 +291708571 +117592868 +590035617 +494773876 +1276847166 +1178772432 +730585794 +2003670348 +1752193696 +1926699107 +1343622358 +1257654750 +372459479 +1554093277 +879540400 +2016484808 +901267252 +1991429608 +1078158424 +1049534598 +1937617204 +895764295 +22943514 +1421348999 +634583673 +231903502 +172710157 +64109752 +1203880506 +661099387 +992485512 +1495589078 +778692255 +1582521130 +1990362954 +2055539422 +613809914 +573465100 +1911726122 +218519962 +352680559 +1107864832 +1476174713 +725140039 +514474461 +208231465 +594141199 +1415741714 +52177425 +1672299624 +317792664 +1989794629 +420580271 +340736178 +1263659981 +1055163944 +572639681 +1436370138 +1119273697 +1776520187 +2097469526 +2111759209 +1124625617 +728678133 +1546796691 +967504923 +636733907 +13122957 +1540970023 +400976382 +231642920 +1893650583 +1508841214 +1707817633 +471306974 +2023315676 +1916049098 +1065448173 +1291573742 +1968226523 +590264149 +1609366406 +1810537505 +1010844421 +1950102584 +926713838 +2066008365 +375258617 +215600328 +1037798414 +4295157 +165586206 +1002073976 +1128920774 +894264340 +401387019 +2096425698 +1530998247 +414509977 +1489912073 +1931974629 +646152897 +1236079008 +1293332196 +206486882 +1707385982 +1169164224 +2122535980 +625350508 +313254318 +1943278855 +1215614657 +1922620724 +1606332712 +78975430 +1725239660 +385562902 +2144983796 +2100498278 +601163231 +1035298562 +2104793435 +766749437 +2037372538 +1086230561 +1661013777 +291275910 +1035172611 +1044528377 +705785887 +377601037 +829019358 +1351938784 +1613680045 +2122351554 +1558425666 +1173582380 +1144032130 +1533477998 +1798932888 +1457286448 +1329273205 +867063897 +1232423524 +788122270 +946039328 +810179537 +1173685172 +943539476 +763194167 +1774848403 +1978838038 +720503954 +394114193 +1868726929 +1806734515 +2055127970 +12519191 +694423479 +952172699 +718305078 +1072024516 +1781192058 +2070243862 +538220913 +1756059964 +1481185880 +1711803293 +752608447 +867180230 +1363252533 +62411247 +48969787 +82832783 +1294834772 +837092057 +1028872111 +2105014309 +2010777230 +1972411587 +720724828 +1638141985 +1803765977 +1441228782 +2032256178 +1525009258 +1100479649 +1939900501 +1537528449 +1794903128 +744589552 +108349879 +719443996 +378297962 +31110093 +1257664910 +2134357927 +1512295973 +821984555 +739482726 +231992555 +37753441 +801893973 +280962343 +120586224 +2096728745 +1118054400 +1149458335 +2054259406 +981347982 +974386274 +627500586 +472006320 +630668603 +2068729368 +356778850 +8194214 +1021725370 +149195703 +1545722663 +669144850 +893785256 +1654072543 +1388588847 +1272083218 +1685182636 +498770109 +1258957497 +1049994962 +1320754664 +1998440223 +1281987517 +1358508105 +652850549 +1562949860 +1479094329 +602095646 +533520613 +481069016 +508871405 +1514868595 +1455455290 +1136371991 +1986874915 +2086123894 +1057617712 +196170118 +2094318108 +2079343082 +345365821 +1492557123 +601004284 +1239151077 +999146018 +1989593131 +363750648 +536845007 +340879592 +1622708145 +1586839969 +1661634257 +1473664721 +721343838 +872658714 +2126515270 +136810051 +204269396 +581127268 +670330664 +685338412 +1089998673 +37715611 +2140793703 +78887017 +2024590527 +2079433949 +1136504729 +73276997 +2026268409 +1068364163 +418642818 +1371341884 +1669368447 +1657793896 +223004255 +1511477931 +2021544544 +759849262 +1852357523 +1496769041 +199205583 +1366508132 +822950114 +920549421 +91683199 +801981736 +1057359472 +295952595 +1383109005 +1727690136 +981291007 +325624030 +1765405748 +974601062 +404511047 +1642512627 +906551363 +1541015776 +1715789624 +785336124 +461896291 +2134432442 +9194361 +2131264739 +1644742690 +232198616 +1495259022 +1518803586 +992047878 +1200132897 +868088980 +1191253461 +419157382 +1691039094 +2111802882 +510840581 +345537183 +1021678707 +806793176 +1728646188 +601885195 +1788084183 +2054270218 +219807295 +615201598 +311297618 +1862319922 +1521752961 +1852313394 +1430625898 +159605438 +166726038 +1417574693 +168799799 +150507129 +914833735 +400998415 +1645766151 +286153674 +1393046293 +698415400 +1154242654 +436816106 +1117572782 +697798100 +401135340 +1628413363 +1043335283 +1422814047 +287722891 +624497823 +2024699243 +2075807075 +531284394 +97022890 +543525025 +842582012 +1959342813 +2065277986 +547411758 +1242485063 +77399776 +714137796 +512576108 +246199575 +864644925 +1427409844 +647197990 +362927428 +1713563518 +2040244283 +1061342829 +720322524 +329576741 +31431963 +1418120624 +730712082 +1659845327 +313972260 +6042481 +1947568218 +938470083 +2030741724 +1875891645 +1469754477 +2127764615 +271933022 +164852841 +1939623780 +189727361 +712264600 +1034625195 +267127137 +1426402396 +1547201304 +513326713 +143563674 +827127500 +1160524703 +506491102 +393207370 +1053285339 +1567833931 +1113529894 +1382862080 +1599265895 +384166870 +2113574162 +1111627574 +698139130 +2119616644 +911712144 +1636609214 +2002874720 +640120142 +958880043 +1983155687 +912053164 +1123732885 +1775295819 +1101780525 +1835997485 +662437367 +1368907663 +1114916233 +62155023 +1882234376 +1258479907 +889282523 +895275431 +1764971010 +1282489893 +1948560770 +1185321293 +248536139 +1183939203 +637103540 +632703009 +1150029717 +1748731114 +1330842140 +1122162713 +512959611 +819967706 +977553786 +1153079753 +1778847749 +813225825 +2065132917 +755096986 +441037997 +1019429795 +443610823 +1103475364 +240853810 +1558527057 +1165630387 +2123088186 +669523316 +2054912910 +870879969 +287010678 +1189919155 +671957092 +1472331972 +1438455294 +1855896295 +2109435512 +2071158303 +858442364 +1710682979 +1254516795 +1980605078 +76158942 +2074484501 +810675216 +1229238695 +1705848603 +1623901041 +1146887964 +313461941 +2064939038 +18834111 +757072765 +1020930754 +259687921 +168116174 +39077493 +235292459 +837639490 +2093990403 +1106172429 +1124650169 +1136425910 +1778129521 +449498493 +427397556 +1486542168 +411450357 +351072212 +197500884 +2122133336 +1605589007 +30622314 +50808630 +1532589861 +841297530 +1280047325 +1090954816 +317714924 +279451642 +1404416757 +235170314 +298285753 +14005874 +1256101069 +557973675 +182122048 +1295178562 +793266134 +1019761539 +1241685318 +1899438563 +2144411708 +230627580 +1530084436 +446426553 +658025137 +869142956 +857876910 +1009097349 +1066643841 +832526599 +467202708 +1097266155 +883335229 +1999792569 +1938563686 +15898907 +943263737 +108794962 +295350549 +200196847 +343965276 +593636302 +214202721 +1600066345 +1151609977 +396324770 +747761260 +1944876112 +1416086309 +1989446578 +1696831027 +1413014369 +72590510 +1079431816 +1859440922 +730615647 +1948574772 +569834184 +1739712996 +867734965 +1402360783 +59432057 +1965001121 +138212365 +2059224626 +1756081159 +154111272 +855004716 +1864876121 +449461821 +1055201563 +61357749 +1043098123 +1269404284 +1661424095 +47224453 +1665729054 +261701707 +1992100565 +934331715 +103664637 +1541447944 +199862436 +176255147 +473396112 +2059303358 +906870795 +274487237 +481653895 +499100143 +1142222202 +1884014678 +558532200 +959739675 +2022227043 +470273179 +568337186 +28854667 +1325277895 +285729659 +478316488 +232995810 +347087409 +1521414612 +1502400094 +2008511504 +1568639065 +1020645501 +122729563 +1413255982 +1954977216 +226394200 +807220278 +7356005 +402649347 +1280616391 +2066659363 +1309520142 +1555103628 +400829610 +1808620286 +549842182 +137360641 +219668838 +1509581858 +12104036 +689942017 +2077919044 +40958704 +2015219912 +216165056 +519275192 +100732074 +563252465 +2040689804 +1603132169 +424280321 +1461845221 +476294022 +547009884 +727617555 +283787590 +773404084 +1534837834 +291143595 +1176053431 +667970577 +210319311 +338089926 +75590557 +611148921 +2146710212 +625432739 +748509562 +218895402 +2135014597 +760613599 +908837420 +2065449994 +801572303 +776573684 +134131402 +1320847495 +877305759 +697383867 +1214053652 +332954280 +1121664188 +528415225 +809248302 +1668674072 +1256032781 +1093035892 +294594508 +643386967 +1384179488 +1470647939 +1311357544 +1594498799 +1808737865 +1386948101 +58164072 +1807964429 +2012380840 +806673635 +2026859832 +1999911790 +1567287234 +788213604 +1917878136 +221375889 +1564787288 +2052009538 +1542223384 +294609399 +601909757 +608793388 +627563679 +1723573945 +1137208614 +1436811981 +1244764369 +245757747 +382364226 +1539358877 +889144714 +1766543714 +862523168 +53018610 +1213558865 +523777386 +1439966711 +1271722937 +184258167 +1304863903 +2078396572 +63634351 +1157292045 +1498200158 +851847955 +927686533 +1719576047 +269151596 +832212423 +1114315784 +563760995 +1434122180 +1723109172 +1191324675 +1010212477 +712834138 +480653008 +107493198 +958591885 +863017234 +1646852075 +1847736599 +482077300 +361891596 +1900755209 +1695636165 +885668982 +1193238272 +819875455 +1069927149 +350618528 +750788379 +1133561501 +1507910573 +101504890 +1985409456 +288113459 +1821080937 +107077404 +1120325882 +787913073 +670838400 +406964415 +363538598 +1862163075 +1417176892 +1076372736 +195332435 +1524670091 +2034964622 +1058349670 +1024038518 +1735217573 +1540426970 +1385930114 +1488489135 +1088579488 +124115448 +534243759 +1908454943 +1194042598 +884862287 +511759674 +180120451 +245289213 +613264564 +18046259 +533402672 +286861854 +125123664 +1653728554 +1074774927 +795962064 +2060692969 +1438313525 +510641491 +1330386214 +367202614 +705973926 +707572657 +254683588 +1764323596 +1731611175 +1989901161 +1157266919 +970057642 +1330906648 +98362759 +1094173090 +1865150408 +2006817702 +140732040 +602529047 +371093728 +320852491 +847818260 +984358293 +338898751 +1381220932 +1271220147 +464022415 +887465839 +198511426 +1259984479 +800675160 +1636824952 +1770625970 +2131061374 +2004027566 +329116248 +691150383 +111227506 +2093439845 +275277911 +2101128667 +1103223116 +1245335553 +1284551668 +1201585875 +192024995 +1002218428 +1060919929 +332757036 +1604747475 +1432013657 +653609527 +305082088 +268888302 +992508278 +1686303020 +1540108449 +1456530693 +426285211 +1738619876 +569031524 +1226960372 +1227961180 +192173846 +1210538098 +1084505098 +521290095 +1901688482 +1195732604 +467246292 +29482745 +1149377623 +1570469408 +1274818298 +286445643 +624571635 +1466843293 +1288664071 +1685491564 +1799600329 +745927899 +970021573 +305726209 +1051009987 +1238909876 +1298234487 +589829359 +631534677 +607281533 +1016114571 +222670905 +1176313057 +95591295 +1450632085 +1368486904 +1306129393 +387653535 +1889776999 +1060334227 +1583386139 +209539643 +1089816972 +585280115 +1780009051 +217151622 +871725758 +257097038 +1683994916 +12906182 +1942588602 +1336111597 +758834081 +765126527 +1641837806 +1809844068 +2004036403 +792588646 +252189779 +488087433 +1399870179 +1268304350 +710758338 +428699588 +1363895645 +13906776 +1797186492 +522541391 +401560311 +1539479843 +1582875618 +1984946451 +1749019486 +525208943 +422742918 +1381544889 +742360565 +1294468676 +1638641927 +278871833 +1307374858 +1433746881 +1614983431 +2066208939 +51389761 +1109337589 +1728569359 +2055426164 +1901926235 +1980759139 +396029949 +1154312766 +1101579841 +1106788288 +1583012355 +317991839 +1120695064 +1232715199 +840533230 +1522255375 +624711395 +275925200 +1359718178 +226247233 +801134143 +1782461096 +1607792123 +1543494709 +929446125 +1098950402 +1822366542 +89337335 +385213636 +1289866325 +8062627 +436603397 +251720267 +1736631986 +344545913 +6162854 +1569907477 +740575863 +1160475621 +524003671 +1847364151 +596004328 +841995510 +820575567 +1828719527 +1682528740 +195347294 +305947274 +1958453940 +1555065473 +532194508 +612104436 +1190042921 +2139986631 +8115497 +2119489046 +1091453385 +1830482039 +61342734 +1476667021 +972864717 +69405361 +1913270418 +1224584984 +1806037347 +110332684 +1230747838 +1228461177 +850908547 +243739811 +1752464848 +550789050 +839744139 +446976710 +1371364617 +520980019 +2129505450 +1566711911 +826927293 +1940475742 +974293736 +1359121801 +405096530 +16853010 +1351624784 +413212027 +2136342056 +295594522 +96210419 +50201142 +1772261543 +1069075136 +119606503 +1538048314 +146176472 +1925643851 +1648380998 +1376924310 +1006621380 +351805897 +1620664122 +611602580 +902594947 +312924613 +1058579290 +126475916 +833904632 +1040601092 +1693187827 +1660831926 +833593186 +519997916 +872470079 +1238689717 +536850926 +76611216 +1651901744 +525709334 +372205738 +1748112163 +575910477 +2144467281 +669703651 +695516980 +1535031947 +815880123 +473677183 +1035929297 +45320786 +1480298563 +1387735194 +1665984908 +2091901143 +142846493 +1978909521 +1002996785 +269322409 +665330506 +2043597877 +1962510237 +178678784 +729707416 +335024505 +1051148863 +1968397133 +871875431 +1127760079 +1472815229 +1397584765 +1499965817 +1073443745 +1973495242 +1496949451 +1743147396 +521528575 +884497750 +411543872 +995205758 +1920427048 +456864658 +328020674 +1160678594 +2122849566 +272438169 +1303525088 +1954275439 +1275434955 +1572847497 +472122297 +1171549184 +1387874086 +650801081 +1901256600 +1722898591 +1701949945 +1722170085 +447290374 +682226376 +1047501667 +1844875140 +34708546 +2120945412 +1670886734 +1531657997 +1716609160 +44931661 +268672099 +2128153032 +1040137420 +41615499 +437534042 +1368158094 +1202294094 +412899960 +1640596263 +358335534 +219691752 +768547570 +1931183031 +691814049 +1940096755 +1171573470 +1342615131 +1693869707 +746988413 +897081428 +1268556145 +1194278788 +1579307804 +168574164 +891670280 +1614016350 +142035928 +415073366 +998190699 +1858645088 +460005028 +1266862799 +1839314473 +1500142448 +1308478298 +129364867 +720816894 +363288744 +542264828 +213929509 +721624278 +761956580 +982477080 +505323662 +1453770629 +775090187 +1676897132 +648902112 +321476246 +276401897 +1545983540 +1590032391 +1470680685 +977807697 +1758606555 +214867317 +444340399 +1900642483 +629940684 +1442531099 +1611803924 +1089945712 +561910250 +1303634749 +442604512 +1870388548 +1432999616 +1163421406 +86193645 +1975264444 +1377350915 +807817923 +589737376 +212344347 +1313141585 +2043508006 +987434534 +842555069 +544926470 +1308910781 +1118956967 +2090910011 +751459524 +442154004 +921234060 +362582432 +657021322 +1365574459 +115741267 +1286962006 +660621910 +1727545191 +229424070 +1222532160 +883696292 +672028582 +945437061 +169212261 +1835449988 +1031630706 +2144476705 +1065317255 +1839448629 +586730434 +1277661603 +1005106567 +482754792 +117612489 +1847661636 +1027681262 +1426523270 +819134955 +971107625 +30499147 +1261288960 +1892341685 +393081579 +1918310282 +1110432497 +508822846 +1057788640 +1771054407 +88884390 +1287212710 +846102920 +972580682 +1959241292 +1791539981 +1141792943 +1647207632 +675687039 +1138786001 +565041239 +367652020 +1725516435 +1842702842 +1372758587 +60787579 +1960315332 +1072936576 +1088468841 +1239354954 +1892071531 +2059576467 +1269854101 +1005876843 +1804434504 +1662935680 +776703477 +767383353 +24274879 +1834492117 +390954113 +113159269 +974221179 +1237057033 +1085739951 +785978823 +881113366 +80049247 +285702807 +1556800405 +1218835248 +850744047 +1924452425 +796868035 +545963241 +1149727365 +857655614 +358794925 +75180293 +1946124455 +1598149880 +1967251824 +1858217274 +720520333 +825645020 +1515168131 +235972366 +1602348497 +135067836 +260247245 +1289356967 +526021949 +373406514 +116094498 +1763078982 +1459146465 +902073322 +496708700 +1539195712 +1187776129 +2053509105 +610547312 +2038520176 +1830477883 +1407415347 +436999770 +832721600 +117587313 +795794695 +907901893 +2063711769 +246460927 +727670069 +1774445395 +966981261 +1553315089 +1142129878 +1202953627 +1008179939 +1277197715 +1463200872 +150053258 +1803219664 +1836607386 +266147756 +1418814999 +1148270203 +1168221078 +1915523699 +539982268 +208513560 +1821549157 +1150529580 +99550088 +1504543392 +410461280 +536549858 +189781344 +528048593 +1332344554 +1097683237 +444276714 +1578805481 +1825353306 +71238462 +398303094 +1231184748 +1213368340 +1601256721 +91881039 +343082407 +916973945 +241934297 +2146302072 +606097683 +508082053 +1417633423 +1754367887 +1676303132 +1185673474 +146866507 +1884816692 +859738983 +1297396087 +1984366780 +216798727 +1707857367 +373432991 +406580071 +88422313 +1705777545 +1504263308 +532699027 +1137099378 +1182132967 +603937489 +1535402473 +265834067 +1817305830 +989175546 +357715106 +12904589 +1906149492 +599649403 +11723013 +364763527 +1107731456 +1429356436 +2119131414 +636550940 +467546263 +118514273 +373883984 +1327285246 +1415910361 +210767117 +1544083974 +976284080 +584200108 +1950664045 +1064706393 +142494005 +1307443706 +1597405421 +1279593383 +342093025 +53859262 +667512208 +607927092 +1871165092 +1656687755 +965642198 +1884069682 +1415353599 +1565291601 +1895792695 +1780117126 +525539409 +1177665484 +1751764893 +1162090350 +1645211747 +1870279166 +1535974334 +825013345 +1138705879 +1746741451 +221613671 +2114989960 +183457911 +24794069 +1032212705 +325951916 +1332237775 +482134478 +1605545300 +1674330800 +535993741 +125573860 +134774244 +259675185 +1782261615 +1100416442 +2143744867 +1050131566 +518224395 +1892053915 +682765045 +1043763804 +922235751 +287046290 +58370506 +419963850 +9841808 +1594344841 +1244977195 +1148547688 +1193602644 +1466590867 +1116054000 +1377060556 +1491384936 +783057 +1703012472 +676139063 +482917536 +1161074124 +202986215 +1018911277 +1286647985 +337760459 +1278586462 +921425952 +1438176901 +1274847682 +1971557519 +1956401296 +1019417949 +506838916 +852681452 +1941653700 +793885206 +911051959 +214133902 +803727014 +357913152 +1459111097 +1952274702 +1551515796 +778218316 +920845054 +781092704 +122119604 +921628112 +336621529 +798258667 +1404545648 +1497695653 +1001244882 +275973277 +636859990 +1339005341 +1554559739 +1558285943 +629698594 +681923773 +1382359814 +438616242 +1701341722 +1889198730 +1291297695 +1495511774 +535600288 +54866006 +1709645676 +1339327302 +412779158 +1021273126 +1144118357 +1964294954 +1799491442 +2064963411 +597904011 +1921611047 +839107875 +934525540 +572386066 +96169875 +284737545 +1573630949 +372143152 +921597536 +765152642 +1926702892 +332399831 +1394851237 +461143017 +1714759645 +1833467479 +15001092 +1456474727 +977281526 +1510512866 +1992075015 +1032147532 +1072674895 +1183918669 +1444926690 +2093948021 +180553378 +1261737997 +1745955815 +98033142 +1859642008 +1520083214 +937141017 +646683900 +2092469281 +1033310893 +931421445 +1518616582 +1405454045 +1853018981 +136285576 +1184673289 +37935164 +1531136813 +1645816307 +1752694809 +1217120645 +1660817399 +1061685888 +46918523 +1023846617 +906277255 +1079066056 +2096521512 +2090195925 +376509098 +2042985885 +123265655 +1638247095 +1641458053 +221298797 +1350405455 +1014057619 +1158439815 +1997089355 +959043252 +44267060 +781027153 +330176186 +1449721105 +486562486 +466461763 +486910747 +524497651 +1997598576 +2132727054 +129708812 +1067235573 +1646060805 +1191394701 +1114154097 +522423774 +2097671956 +45736505 +471461639 +2040384233 +422245603 +366963876 +16166241 +2060492699 +2008421929 +237465038 +1263414506 +874995901 +1395904853 +1113020214 +1834039153 +1440171913 +1894047367 +16731692 +742409371 +233126205 +483193455 +1229320118 +757623856 +333308383 +1214563524 +887332669 +1400543957 +713140681 +2078727370 +367214406 +1235564455 +2028915678 +412950911 +1707026094 +1921816264 +835196514 +2073989971 +1937982505 +748205565 +1934928252 +27963895 +2011620072 +662440505 +1423868749 +977156638 +348996011 +716557014 +723720357 +365727703 +1458966385 +956846562 +848921158 +540802855 +1714470419 +1182229541 +1755366379 +454319440 +435289850 +321023412 +385563162 +802504256 +1556587868 +266995192 +1215455167 +1116130314 +41327808 +2050651682 +1042636637 +1979310313 +651373599 +830081242 +2007274209 +515510023 +1492521747 +1283659310 +1492666661 +1841517758 +2000216324 +68903370 +59761813 +1311699062 +1025749933 +908682971 +1852501917 +592736704 +2090912513 +1460384649 +1047056144 +378718715 +1781408061 +1432619306 +1181222972 +1190512281 +1699614498 +249194491 +159158948 +1740942307 +152362525 +1201795585 +1572768972 +803736125 +2031876827 +1432559533 +1319246148 +1376914927 +568735195 +664429162 +1070949037 +421467872 +733332532 +1130710851 +1733166934 +1759082465 +2039393822 +1438185203 +204335521 +1982822687 +751086204 +1251391665 +214057755 +385010618 +536527323 +1395280727 +1575522899 +88658174 +1644475218 +1734681847 +1829600481 +1796837744 +788993785 +1254885805 +453090221 +673386964 +539961691 +1772336369 +2050301891 +1108696886 +289281883 +973767281 +1530164758 +1022614416 +2104478132 +1115848044 +634213233 +1996388306 +406549600 +838548755 +1831727346 +1157635804 +2089940420 +2045785101 +1542646422 +478984096 +1293582180 +970685674 +567642270 +790573750 +557883873 +249759103 +439927846 +1346877658 +1504644908 +893018067 +2020264623 +2044606599 +517870789 +1923082866 +1005819838 +807152672 +749366499 +388500948 +1829767088 +706360983 +1504348993 +316496674 +555265642 +1910898593 +1155045429 +239509340 +921050749 +1097502201 +137810793 +316213524 +1576486297 +1431392973 +1286899198 +2144128567 +74483075 +1844783071 +246404022 +514410922 +1044177082 +1751048931 +1407428989 +916958057 +1648171882 +1925299778 +692557275 +506508072 +584968803 +1441923775 +895009021 +267252243 +801110 +251874366 +583748917 +556066752 +15289311 +1738794346 +795576092 +936340060 +688812900 +933386885 +1252553584 +117815549 +217296210 +391969134 +114460469 +291779286 +89268558 +360864491 +806190208 +1133445640 +2111913422 +66135549 +2050403697 +1612601657 +1991435328 +595477324 +2119109729 +428920483 +2037401099 +866635102 +696172726 +2038202210 +1118509468 +1279921644 +446785314 +1133798779 +871232342 +1242361407 +2070138840 +1560045242 +28264644 +1175208776 +1677860792 +245560855 +1567177911 +1792321261 +537340141 +1656446469 +5702104 +1343530349 +642408461 +2117615527 +1409665898 +545328510 +1582733536 +1253617578 +1140805834 +1554359617 +1682538061 +1030723286 +273511072 +231227140 +921441848 +1392020540 +1511148784 +1368227162 +378335672 +234897478 +463104921 +300990864 +1794942721 +491369566 +1476199640 +1325319865 +736930421 +895893903 +970157478 +1274270562 +404856724 +975859582 +470317263 +1047265185 +945991461 +1879983161 +1592593695 +381241349 +986117092 +585915882 +1935600967 +521171505 +1616639168 +61628391 +752398645 +390597368 +1453648931 +116063781 +1758824530 +1831984603 +350961260 +74445804 +2132975467 +2145903981 +565815370 +1461691460 +1323740198 +1302745791 +210101715 +146414028 +429532705 +614958440 +1122273610 +899849968 +1662223625 +2068265072 +632349481 +1107333673 +302022773 +1618466573 +1693249555 +90140092 +2139638079 +1162405075 +151768483 +744553076 +1553002443 +1605417415 +860616858 +1164343325 +1289918370 +1211578118 +1238789129 +1275410190 +1209998451 +1804604499 +589618002 +386255001 +959866642 +799719717 +532669029 +1389399347 +1414678157 +1654942639 +141765667 +929418135 +1575724063 +774115149 +2036751808 +1877746837 +245098074 +1582517715 +1967886929 +237252505 +597439142 +2119655413 +981805582 +2957937 +1577589180 +1842422440 +1167301262 +720023902 +906516910 +258606744 +1995434092 +2116515361 +2063211243 +437568446 +355286714 +875594238 +1237288164 +887955743 +117509937 +504482673 +395414734 +259275605 +1433900808 +1971138798 +1033390754 +1323168968 +1701401987 +1278488828 +758203035 +1521805268 +1515741334 +1355642177 +1493977033 +350063268 +1358600114 +924082565 +45002060 +378417729 +1644106468 +951518970 +637024473 +1492056912 +920550683 +552752068 +1929625359 +1275837397 +1428346306 +1019429875 +16309492 +1545856244 +1523912548 +411724226 +1805131849 +810329709 +235379376 +691038955 +2133498677 +1936781363 +1969527783 +744218065 +1311102984 +1337785469 +2099860242 +657596369 +1687848737 +1310976709 +1581678935 +1732850797 +1689394438 +1078301755 +536886119 +178935263 +422875019 +1457436802 +731687331 +205016730 +585790551 +12549990 +1224446605 +602100043 +1558406234 +600875506 +1013824270 +1216054435 +1411205215 +1249203646 +1907093390 +1397220244 +1038501362 +1729137525 +2141438309 +202120698 +919439347 +2093814904 +859717067 +459804436 +1257307965 +293912354 +45171586 +799218755 +1372214109 +582057705 +978154018 +1795089129 +2039494508 +1709841349 +2000105859 +477801411 +1722391339 +1077068817 +1079901455 +1133313925 +1677944323 +2093725725 +201884712 +941665890 +1195445723 +2108978102 +191402486 +86463437 +1690631980 +185357148 +288584135 +462587679 +131688404 +1148301203 +922392115 +1388996369 +1442213557 +967563701 +40731476 +666944019 +1549621407 +1018885494 +314549500 +1441632267 +581243195 +167171711 +1919433678 +156150887 +1244240528 +851851485 +1289464812 +774701203 +798093562 +1491349525 +1716367093 +1993539286 +1452843979 +1907769580 +2080002723 +995992311 +2093126728 +221103211 +1458579990 +77331484 +1369404414 +233488458 +1466327853 +664134323 +1201052159 +1507059329 +1331078342 +603189918 +378461175 +1645627842 +2044822185 +959704370 +1812799554 +1816772216 +1115855257 +909556434 +521140053 +257836422 +1684257638 +1319233616 +1749185947 +1253141083 +1165289254 +1054546278 +1013427015 +1097808329 +2050538590 +959070095 +1318911540 +1361634932 +1036401579 +540832306 +1595123390 +355245784 +1204966630 +648691902 +1862305113 +388561324 +1251881820 +93282640 +2034189167 +1149220358 +1052987011 +1699505073 +818508926 +21358620 +461577859 +1339648979 +279195042 +2145835497 +511398947 +2028380989 +1251492933 +1676688201 +935443620 +117436300 +627012883 +838498562 +1076506396 +1945924423 +52649846 +2112907975 +339273082 +1647773237 +320670112 +1544239712 +148981491 +35491577 +1932801036 +1400863311 +128774218 +1819506555 +402600021 +1181761229 +1371527980 +1221108947 +1203119849 +1833105840 +413274279 +1482314892 +1831457689 +924673226 +1363212233 +935466974 +453877780 +151172205 +1052903275 +1080890663 +989670767 +2129409671 +879331438 +1042320614 +2094833998 +1218604520 +542610203 +268020462 +615360584 +691591694 +303512040 +400677973 +2092455005 +432286258 +72700880 +347571379 +1614047487 +1444228861 +1568680326 +669683688 +1129851053 +1981954605 +4514932 +813825094 +759144184 +1367727166 +1749292069 +1213021964 +1518899371 +654711696 +146428979 +361086491 +636637719 +1025760417 +1403407105 +583988069 +96881290 +1946017308 +852008532 +712241874 +490125354 +1155520572 +1112919847 +435096711 +1587806830 +1185620728 +782668090 +1054370669 +482365941 +203864769 +1724054357 +1612216994 +38335726 +1728569290 +278558440 +797479910 +948812808 +2027850509 +2010501874 +320228531 +535078557 +9447205 +681315022 +1171716276 +1035207623 +2084722127 +1755704346 +1132088913 +1883255787 +460229230 +1844330787 +225897493 +1615749802 +809766987 +660994205 +1056072984 +1995387715 +1443662295 +2110443653 +330270008 +1647527064 +1687014362 +1942487002 +1685862791 +1268100004 +73561794 +335859053 +69429164 +2101412304 +198877280 +389657696 +489007213 +208324485 +1070972718 +1660723490 +1243532108 +1008211198 +1268944188 +228137373 +743983337 +1729173418 +2072468161 +969880831 +1197439572 +734751500 +1630875036 +106028908 +582655567 +927053683 +68988913 +912925575 +427097100 +1756003275 +707928929 +2112959891 +876619632 +781490723 +301335296 +946048796 +735419379 +500212576 +1335706492 +1224426593 +708537062 +259195563 +737666435 +1952069170 +1267406761 +2006610623 +32722896 +2011390098 +1588300393 +2105191057 +833787281 +638256317 +692458909 +317178669 +744285225 +1275114476 +1244232353 +813274138 +40556403 +1671329453 +421793765 +748485332 +1636805696 +1298413397 +1529976055 +1938140992 +96978546 +117911787 +290869921 +1432685038 +1342338380 +999406983 +1691880601 +2080004815 +803992505 +811803714 +1939131790 +836715401 +675710165 +1379948535 +794422810 +1509497446 +2018204852 +1486881719 +1826676116 +615006429 +614512547 +923424821 +1428280567 +655068950 +447270626 +1850074332 +1403554282 +2084076322 +1001004082 +786046690 +1874733666 +1097982628 +903958477 +18119939 +383184018 +98813209 +1017526922 +2075064620 +31334376 +1821519428 +739384686 +1970466166 +510751181 +1415094851 +1202931053 +1305173992 +777108650 +1073652257 +644572063 +456301118 +1688658686 +1259084611 +1379725939 +969455605 +1914153561 +1826996565 +672046289 +1170224196 +1763589239 +1673050371 +1956270886 +1490839257 +623549351 +712745715 +1508959197 +1006733370 +811558924 +379002471 +934314342 +842893300 +53038251 +1673699028 +665875818 +563789433 +941310232 +1868806871 +1868963425 +1718418882 +794975480 +366051840 +27236352 +336150518 +1625136451 +1406962291 +1305606123 +1391806365 +1086475208 +1977652412 +414546913 +702580799 +1503219136 +223334151 +45936408 +2126768487 +936079866 +1554895605 +986018209 +1747638790 +1933898077 +1920332551 +443048442 +1986936328 +1446547932 +1108924260 +403242113 +240374516 +830247483 +124721890 +1958793398 +1625222963 +490773731 +1986029750 +1961373481 +2115910182 +1245508393 +1119495956 +1360232899 +184499953 +949664720 +1774779812 +887080752 +305400208 +1998113963 +933017160 +284685048 +786710181 +340429118 +1270703257 +386865323 +126843547 +1043552161 +829913765 +2113779875 +342616445 +1938838025 +369538341 +582990961 +621601860 +494260231 +394300711 +99341175 +985033962 +232846813 +2060714656 +953460497 +1478355206 +1032726964 +166209748 +1662855159 +1982391685 +1940989561 +402452263 +140308245 +1791619876 +1335469423 +424993293 +430846410 +1675898541 +1695696551 +817711733 +1802742088 +591765064 +1647625499 +1769038316 +934381509 +1438979876 +2138576657 +1517372470 +2060581737 +485353240 +1911673181 +12439264 +1470387203 +2144519994 +2073153921 +276364052 +1475391552 +958397237 +442573800 +990763063 +793305274 +236079713 +1393215326 +933613520 +2027699590 +581201101 +1358606813 +311062352 +109615995 +906819716 +1128774085 +1912358083 +1498584780 +628915936 +1533912751 +285482641 +2067895813 +1525005760 +1802855111 +1980993902 +2010359001 +1567044644 +1993433166 +1333262556 +1564080990 +1919103439 +1609626608 +891988894 +730017029 +2052200408 +1882751957 +1523322303 +140796474 +1128483635 +309452175 +21012416 +1709684737 +1668058989 +332074768 +1819300732 +427395057 +1460848853 +1584175167 +1925979838 +2089764790 +970604271 +63978831 +2010176955 +348126383 +1866833943 +1843687209 +211001736 +1286394939 +1689636727 +1544264292 +702992282 +1461256519 +1006407252 +1594981176 +43789900 +911124013 +1330249486 +1567112203 +1051920487 +311249473 +1876564379 +1072932903 +2020934210 +1397139720 +1405007671 +1692751294 +1824534777 +718372876 +1129442814 +1603030967 +660654018 +2100047085 +1667009799 +523347325 +300689820 +1386360094 +219550886 +511691557 +525271385 +1909187614 +2055955849 +1228263667 +1222960485 +914879454 +675761196 +1266750385 +1826003467 +2006010682 +686378940 +730440306 +169776507 +415459671 +1803373209 +43227070 +1812599391 +1060897232 +1735978364 +1489650521 +1779270108 +717937530 +945197840 +292440479 +670500967 +464723991 +815787804 +971190788 +1851084085 +1035338691 +1482882345 +228871823 +797042657 +1391354546 +1457135490 +2020003142 +158750352 +2132896686 +1139269879 +1984753819 +1991423720 +1825648819 +567710477 +13716580 +93624843 +223600038 +56943650 +1906224234 +1284497270 +1792922014 +1248391107 +916283731 +363375897 +46105300 +1208724210 +1033876864 +510829291 +2024512014 +2005067652 +214429729 +912367057 +1340466349 +443301552 +1709409714 +584337248 +1900437042 +1581929208 +743087600 +1885850081 +573715439 +580357772 +1729790153 +251880611 +1148068249 +1743506733 +345505454 +1371668288 +1800450383 +104246040 +508681910 +1445888750 +1352637148 +1424965641 +1809264647 +1398742448 +486206203 +695657863 +1909571739 +363234570 +553241868 +2124001468 +1275601627 +1893708217 +419819372 +837527694 +330561817 +172772767 +271973254 +1073649418 +2058622848 +845688694 +1654007190 +1640929353 +1097569305 +654591791 +1236952439 +1443074759 +2026260079 +889919174 +1547320799 +387458342 +188324276 +752474299 +1812423983 +1997588923 +3733099 +151146539 +545763139 +1913304839 +514381109 +1099005007 +1889822659 +1789982736 +845229576 +162158384 +480026782 +1175791394 +334931151 +752000037 +101957164 +246070351 +1597688731 +1755964354 +1886999704 +547774388 +263072497 +976468495 +1990849147 +141848929 +1866387670 +1390686298 +529307271 +2054711946 +2143160598 +194247606 +1904817222 +2146893697 +345394145 +303096713 +1912714888 +859775254 +1402101720 +1655053900 +502274343 +99847648 +1817212284 +982301125 +1275639042 +4659787 +1734301162 +1377596206 +250730138 +1184506245 +986076912 +2137729842 +1732280633 +1249149410 +966714690 +1575646132 +1390998339 +685618712 +818848783 +1920305610 +592847010 +814525733 +2114553216 +350180584 +813935782 +312463714 +653277297 +579167023 +1172238968 +2055379017 +86737275 +1674513311 +7743018 +1903949559 +509330789 +1283382060 +1908609346 +96148303 +513494619 +11855836 +1280654549 +1499571531 +2102030 +865451534 +601237293 +968816720 +293614019 +1992235632 +1654435432 +1112462802 +1765057594 +99798795 +1926988535 +1732127163 +449979379 +593440669 +2044590877 +1103256677 +1172607692 +1069346197 +1011152046 +1259344967 +596375861 +1018895064 +1015810878 +1105706650 +154793477 +776936576 +1201854953 +668288096 +788792412 +335025854 +20375979 +790894443 +1200477389 +621613273 +1759711163 +1494091408 +466365257 +1266662948 +459070562 +83939204 +1366461743 +238575449 +1816066367 +1816441122 +832016118 +1713173596 +772214151 +2004623811 +635036145 +1783366198 +1116485130 +1231412006 +654777614 +2132296009 +189635008 +809571091 +761748937 +1391489962 +1477859187 +1550541350 +1726515816 +1498235167 +193952145 +779509557 +2119848440 +1953663308 +126117317 +438730049 +1072842608 +585187879 +522669253 +291820703 +823763328 +191251972 +2108261826 +1655779447 +1904425568 +732992329 +1512919610 +391978066 +368874879 +481921092 +1623390072 +1023652494 +466733453 +1813025081 +1833223585 +1228482391 +1057031395 +1163599125 +631540093 +636063563 +514350644 +825492238 +1415573121 +486715436 +631671898 +1541690438 +925445485 +1704514507 +2126878318 +1448114739 +1996335210 +803157998 +1639366711 +1957113388 +311453797 +1396308632 +542622070 +1824373407 +1788286698 +911496949 +158810852 +1264193122 +1935149443 +625544305 +929734555 +1620889381 +1854026696 +1986765950 +637004858 +338083141 +475345866 +1151355502 +1163575379 +1890918987 +1638070938 +1795247278 +1285125777 +416032775 +1352278137 +1264520447 +1864147514 +1201129699 +2067678446 +1356030578 +1010759440 +231648595 +604855562 +1553381510 +2056022003 +245658612 +317394811 +67349207 +1509851734 +105060607 +692893512 +292102642 +1725949988 +399436561 +131384944 +215471198 +737519702 +606730810 +1366826700 +1901095082 +350166149 +857413990 +1548858712 +1635291927 +1273446765 +753653201 +752328726 +990110632 +1954782900 +672523524 +198657562 +818058692 +904172120 +803513124 +223956554 +812710475 +1049171736 +541351366 +880059682 +411539822 +646411973 +1572953194 +703642464 +224878313 +1972389755 +835027409 +440349511 +562425810 +1441758219 +1807176211 +316037244 +1791924369 +517106553 +1864895956 +1279732648 +1790553318 +471065509 +2032061374 +633180302 +278364761 +557101251 +831837864 +1096423454 +1461273371 +1635350988 +1320380008 +126500198 +537039076 +1861731374 +1006559880 +948578899 +360659699 +432029426 +1652221363 +585538012 +256935534 +339765124 +1025887523 +819361344 +1781523344 +685580086 +1135398588 +1425964065 +1202686639 +852810896 +558213065 +845756310 +1323876405 +442790791 +1478936612 +1602241166 +999892042 +163290829 +551180972 +313681765 +1798641817 +1871560981 +440181963 +188197246 +1585808707 +1446741843 +1136776145 +1946468407 +1878771270 +641513860 +384522771 +2135706804 +981278985 +1410410295 +807584500 +615318681 +2095990381 +1942983088 +2041282746 +1151193373 +648310336 +452012163 +1996949683 +1972186741 +894802954 +1328402647 +1426944259 +1894694997 +1491693476 +1978125232 +60893114 +1142851646 +1702202565 +501075078 +1331048892 +1140527624 +1947816921 +320341389 +939512383 +1679104543 +961855249 +1324035155 +1667327699 +1943134234 +586961802 +327428551 +410969267 +535468535 +122927991 +304768365 +1686661908 +771238327 +756780528 +1536127943 +595941420 +1651583483 +717046943 +2022885680 +1398794832 +61256771 +1853527264 +1459687946 +1204108417 +1408246181 +1960763024 +387673661 +401290157 +1761096298 +708015050 +1340802541 +1292717193 +1669870300 +517354048 +812561245 +1465520886 +1104315850 +1139989796 +1876490154 +1639784385 +1262917788 +33774871 +1178962646 +2034156115 +790555400 +567606941 +482613888 +294655235 +1284653884 +358015920 +1693450067 +1345910656 +64059536 +1005654365 +402535425 +1472305717 +818933742 +790209087 +1873595874 +432546392 +1498224137 +1066914767 +1725263585 +1020610789 +1584268815 +390341182 +338648028 +541101017 +1530330979 +67654534 +33401755 +645765119 +101429405 +1212364401 +532437586 +891984805 +1779971342 +1015051474 +1186640040 +917141579 +1373067394 +732606459 +115568587 +1437126930 +1738260825 +518104012 +761948999 +409710919 +1308313099 +488061226 +842257311 +659053589 +1554975993 +420037248 +1679664378 +991761161 +810378431 +2018312406 +1532862178 +193225762 +2085966940 +1566263933 +838990881 +39912698 +631144686 +1371428467 +931897503 +263632381 +238996294 +2118537544 +1180773960 +1612063688 +703660355 +1296342547 +901706971 +294437532 +1814446559 +1663655970 +704148451 +975276011 +4233548 +1546405762 +1634329600 +1559209542 +1966443011 +1166510330 +403487055 +629337794 +1037339089 +1936349233 +822563556 +975822381 +1355129519 +1661554437 +1015735079 +1986274205 +885499256 +1947632583 +102422938 +1124495550 +1918686479 +1283196898 +589075591 +474863186 +432055797 +1490782562 +769300719 +99018709 +1006954884 +1473449170 +1074294720 +1011188433 +872371285 +561140672 +422914327 +691330648 +1727651002 +826401382 +1320668442 +617506443 +615266967 +2143231998 +1593328825 +1970396486 +1657302787 +461580256 +1809187044 +395318395 +261729191 +1911609982 +1519813946 +32932022 +1047323233 +2108889537 +507795209 +1479379030 +1452188451 +1277095928 +1578397739 +311659687 +603061450 +505208811 +1322848120 +1475432735 +1066349483 +1745762447 +19279735 +646516838 +424680181 +1339948177 +1264023281 +1039947149 +1335696527 +709868458 +862859987 +845515666 +1171448715 +524563383 +1240834062 +1433177906 +288689718 +613164360 +1466109929 +1336012951 +574570249 +1973905138 +667908333 +2026758700 +1103517418 +98822425 +190934739 +1706578868 +604031236 +1513782860 +1034527956 +1670380720 +1112061659 +1053807691 +169413910 +1536741841 +246272221 +1433437191 +429205342 +1581968748 +2143305650 +1292065329 +280000767 +1167270717 +1816628713 +1520834829 +452964975 +2105318431 +2133999189 +1919074904 +1293847734 +561085790 +1745496394 +1961756067 +440360842 +701530164 +2060578492 +631295581 +260625385 +517126081 +2145078441 +1295153341 +40023153 +1109656453 +201477384 +209437063 +498914646 +447749605 +1642874254 +928119988 +2029718354 +1638696256 +72701669 +162235473 +658483325 +1889330382 +1683070302 +1111448301 +1847165165 +1669585843 +883039557 +993529251 +83187985 +481052304 +807801671 +523548827 +1182582468 +720896515 +1154844408 +1443207853 +1238022596 +1152439202 +590877546 +1278045749 +114612007 +792354931 +1487482812 +613526653 +1240104536 +982873419 +1541646641 +1122339242 +474086027 +1614348310 +1284574715 +1132569353 +1356195045 +820161369 +96534006 +1055876562 +342263564 +979573563 +2049405814 +425451549 +1460625867 +709723837 +949000376 +495724688 +1430620352 +2103844785 +1938932541 +521159301 +1108800339 +382326440 +1799205050 +1223412346 +1174681371 +1139204215 +1836938999 +267302259 +2122077634 +1231101992 +1389641502 +448680013 +697966654 +526732569 +1581249366 +2054161699 +1346893939 +1677783372 +962554614 +1689157503 +509873288 +864476780 +2114609053 +1970499155 +1574200617 +916125781 +318740195 +857337321 +872486918 +110189089 +1378496622 +1981287257 +492515529 +1030218025 +1057215955 +1667196900 +21938592 +746671306 +1934499159 +2144016226 +1977773298 +1176657013 +445212591 +528256305 +1703389583 +2026461958 +434934356 +902799874 +1556761682 +1397488970 +444473729 +2066634970 +114482102 +411599134 +1889650478 +1688682719 +1327724916 +60907025 +398536393 +52728186 +171096114 +1777033015 +2034015444 +663611643 +659767392 +943747751 +183324895 +681705984 +1690419058 +2117824055 +678238562 +1520708708 +1146997420 +1123451154 +2048965013 +702903355 +1002429464 +336415722 +1605703229 +411707498 +1733904692 +2050176959 +330858821 +1848386795 +314292445 +73025651 +1389585866 +1642017361 +133932676 +1788122259 +1694745548 +305028791 +1417671627 +1581277344 +968640434 +2077439019 +377541447 +1151965330 +611661356 +2067960505 +1122305737 +1289899918 +1441185566 +121819509 +265867424 +1342666931 +824722865 +1268296888 +1679082653 +282942446 +1680004387 +1265503698 +185635757 +2010863208 +966406845 +499928203 +2083888859 +208509063 +2141945564 +70337887 +1996631323 +1689207464 +375366678 +1266819302 +1123001160 +1344007113 +1196774673 +1500542608 +348488795 +1808436029 +1421019465 +1470794532 +950852300 +714721383 +1592614041 +1216719724 +2057388315 +269853258 +337532965 +1588987320 +552795705 +2017537352 +707007370 +738431462 +1880916912 +1673414215 +1238359665 +1817322123 +1881923279 +1232821582 +1887660010 +1731070954 +774545398 +115543041 +850406608 +1897546559 +1459550154 +2047181281 +1250605519 +1808038949 +1708133663 +524141336 +1131349833 +511502315 +1238862720 +576480226 +1728222039 +1148767387 +846333485 +2065755004 +590271059 +1399129190 +1935808708 +1297278430 +2137560652 +1669241972 +823208997 +1228436670 +1339080447 +557648628 +313774604 +1079256810 +141235934 +1088320002 +1194799851 +991642542 +838382913 +506866357 +891340176 +2088988432 +167421658 +451990191 +465646121 +1298771491 +963492506 +1704508841 +1875251717 +544230897 +705792580 +574101554 +462502254 +1296063639 +1973230744 +250827314 +445858421 +1963307749 +1920069287 +1269067419 +1044260771 +1111666086 +1826716047 +1358035375 +43439248 +1967951982 +298871729 +1238239099 +812110876 +1137254643 +1745105456 +1703451052 +1078759427 +1912527114 +7957595 +1544405548 +1063814957 +971450101 +1101430741 +791583027 +1515680999 +1807223321 +1365684581 +1978183253 +955803313 +1191431678 +81526919 +1401661734 +1007255779 +2001596206 +523245505 +2051516550 +965778645 +202477905 +1262068277 +1009217893 +22946239 +1560940006 +99973345 +835057115 +550711001 +1845078801 +391024520 +1629470429 +1610122268 +398982115 +1026392329 +526453577 +1370432217 +2127823071 +1318036604 +738629568 +1787562744 +536237538 +569329173 +595882409 +1727669216 +650856092 +1997544144 +587441347 +504968651 +373306001 +491474249 +1470747296 +575783906 +1753542526 +332481541 +598730145 +1166998884 +432454886 +1433787261 +1717709886 +130050040 +1824811781 +1199696667 +1740172308 +76310248 +78605348 +119142237 +1446742465 +58944771 +1437178842 +37888385 +1846507516 +1973416380 +607217558 +294906277 +1553601948 +1258073651 +144966773 +2141043295 +1763042302 +518272775 +485033896 +1086305950 +1094056681 +91092774 +1418787491 +1692786827 +1258091658 +1851242378 +979090440 +828317896 +1981292418 +656418573 +2028014563 +1573981078 +732728821 +2106619912 +1693123315 +31987639 +18081035 +982818509 +69876024 +1864588551 +808751241 +677093583 +12011181 +214869541 +1935167234 +156977954 +208429188 +1550725888 +675250729 +693463084 +489548190 +1769307411 +784555858 +1908335681 +1314610590 +2042647517 +1612094411 +146217382 +723481765 +1445903181 +802635955 +604012681 +872400611 +1535364776 +563148945 +418040279 +1567352415 +581229980 +1400858788 +1637228440 +298334884 +62126382 +166838375 +310346065 +276995923 +2102005609 +467324019 +485425112 +1505247849 +1142574749 +1178888196 +1994796039 +764398512 +1963444055 +1755648072 +2079009102 +1858607924 +1220258836 +77742836 +434606041 +518678369 +880378791 +1038618722 +1391078981 +268259919 +1601767667 +1809119260 +1835612335 +35514000 +1062494400 +1325357127 +333848884 +1124620782 +1492195502 +644194949 +1401616706 +1446717463 +1111518968 +1887041818 +804481664 +106610069 +918446366 +651794055 +871008581 +734406773 +259958479 +802534035 +445531049 +1480217315 +880276871 +880137091 +1998895685 +1760655662 +1918755813 +1242491018 +2028915582 +1373039833 +904126630 +1717044269 +1408553833 +1966621030 +894917748 +1742402717 +943758165 +239629602 +239114018 +197891223 +1686347065 +1350632986 +2084933041 +343345081 +1457243056 +855895759 +995139136 +180767989 +1590302533 +1255097615 +983302025 +2035833582 +587831283 +1863578896 +768487025 +439243320 +1476750911 +539759191 +1681734338 +1358182845 +1912799024 +438377320 +927743466 +1173869209 +257514702 +1822661214 +768788278 +1201272867 +2062290816 +1007902296 +1399164090 +1601154233 +211051634 +1336613483 +1944499314 +1668294690 +45025595 +792154802 +1849062680 +1635328128 +2047252417 +684881057 +1523678062 +487600052 +400976305 +144681440 +926843372 +1877727216 +684440631 +461094062 +1088426413 +449756007 +899471382 +2016169879 +1623625216 +1156986085 +1691347445 +244929846 +210775304 +1606154613 +1252832142 +1609939395 +1059825198 +1463883776 +799069230 +856840864 +984694819 +844094825 +1648995666 +686273851 +331939305 +1548764436 +1371154908 +1855617368 +2036364488 +1772131213 +2000298808 +815724213 +1502374782 +537255791 +1276818275 +443317547 +987011798 +28806010 +312003779 +463153366 +1185792095 +2003351224 +708083212 +1396567399 +1462022190 +1960915354 +859023146 +374363740 +1277315482 +1658092377 +1231204605 +114526653 +354703554 +732716623 +800800504 +686642860 +133997411 +24471764 +394776580 +22878252 +1796602978 +247591740 +838602465 +1151494112 +784847531 +2115420740 +1594811659 +1771859329 +2144226750 +1906815438 +87529047 +1182535197 +1762683015 +795612259 +431618949 +1077221557 +609043965 +1290642095 +1451585297 +1886359447 +801250824 +535306254 +2000886101 +1155954379 +1268022878 +654202957 +1842597239 +1402020289 +678674722 +89890171 +1424898541 +327794052 +337481911 +116017358 +1479288164 +1122329442 +83954451 +926616175 +746705123 +80697553 +685947966 +834234170 +1263232751 +301147333 +1629846429 +1694851700 +1378368890 +91406746 +838010147 +682470539 +1977766193 +1639260972 +1217776794 +1831168646 +647731703 +338316024 +337887956 +342845294 +1740336313 +1016562678 +432735465 +1017751207 +1344356730 +770217376 +1133768565 +676161246 +1892546818 +1217723016 +1602777421 +491768293 +1298420570 +141241739 +1326002463 +414169673 +442389072 +808365244 +2109021373 +1820757962 +899771990 +799547872 +355744854 +730054535 +291325196 +1573521648 +413739534 +939056899 +1911837672 +751627490 +1281902193 +1504690337 +1768190168 +1714637658 +374957896 +965063250 +337371386 +1508726462 +1641224496 +82434556 +578965830 +1096518269 +574202849 +1877386400 +1237760009 +1900205312 +144072425 +1680149081 +561086908 +105610150 +1353423396 +1460858898 +905158023 +1709168250 +43429786 +1196483219 +1135206250 +457169320 +2135540119 +899560274 +1208796810 +1269958664 +256766963 +829503330 +837112675 +631724860 +1794566580 +1174484061 +2140451322 +1288307428 +1256918618 +571933504 +237342049 +1831121467 +301836257 +1475102058 +1583843132 +445908682 +1007767492 +2144930040 +551518833 +213707240 +1458305291 +1456676856 +1922875490 +1501735077 +505676427 +910598092 +1958904397 +493732898 +1810158366 +1020217559 +1763691563 +2066925329 +1849720889 +453320590 +551166541 +1496803821 +1627804651 +544134215 +637627601 +737239621 +1116067720 +874969650 +420877441 +1417903977 +202588061 +2004720573 +1863812659 +1210355553 +2002166965 +267847844 +1424062793 +1312988608 +1724524700 +1199454635 +667240037 +82717480 +2110052727 +478660786 +576450378 +1772727445 +1498878345 +192658293 +1692169126 +1201115586 +645978883 +95852020 +550435759 +126299887 +639986235 +1188063360 +863539508 +1756053955 +2063033011 +1284416949 +1026474284 +118137424 +1141653874 +742803296 +1328492977 +996337192 +1010651140 +605072122 +161842152 +587692193 +1804526757 +829082190 +670409673 +1767095836 +1307742976 +1246860051 +1392339633 +659137674 +1439518345 +937025111 +1860253260 +2085497228 +1032877131 +263205372 +64313467 +1672863367 +1451268732 +927852976 +1281433674 +1366818095 +64786277 +160424311 +1484955519 +1206440152 +903227607 +665964848 +55293696 +1913878747 +1271036970 +217135848 +354087292 +928080079 +1046218038 +1024496965 +547692267 +206477367 +123873369 +1940031900 +865615041 +1563391714 +729573364 +578384653 +1501405294 +1762450495 +841590025 +1565718762 +1287830214 +145375110 +346088090 +421780241 +1512193205 +410874367 +582204552 +849665077 +1617314519 +1485432159 +1515629925 +1672608215 +1251827258 +639183248 +1889744064 +1605914551 +1567263327 +788478454 +482927868 +2114955595 +994955821 +606801237 +1907503847 +1860570862 +22709303 +489593563 +291471868 +1524114598 +104560411 +1133061893 +942349712 +1392390625 +1278437003 +1288437802 +1814170866 +643146561 +1699312169 +248891770 +1492811638 +1169143041 +1734323929 +860957915 +694267608 +838667540 +1500141163 +436528024 +297098443 +919920843 +1225006479 +780026311 +887392790 +72478652 +1386827549 +647412989 +1933049515 +1409536852 +1137006553 +77037735 +786167802 +1241566964 +1210099628 +1728517514 +486473941 +341052984 +869471668 +153161160 +984199545 +421300190 +402052930 +329527535 +1590443231 +2136376860 +1190485450 +137227191 +827560752 +543142966 +573755216 +1124659195 +1463063809 +1798761695 +1904685506 +202972951 +1871240347 +1144029407 +850385940 +1656806214 +406082612 +1987392493 +1733843949 +1192250414 +1081475809 +796459930 +773284281 +1567949751 +1137512914 +1642755949 +1721110911 +2121712459 +2064056139 +2123163841 +303756346 +1507015722 +2112057053 +1494241796 +1644242914 +792134157 +2037384762 +70514482 +1916793352 +1352964923 +1869276177 +1673995211 +1555937874 +1593032876 +670540970 +258840167 +1102355443 +1076623582 +98749012 +688715744 +121390349 +1180224822 +1485175674 +894674630 +600690925 +475204940 +389946931 +174318188 +449433751 +306519423 +149998381 +753190097 +1813535145 +114571787 +99948246 +1310294411 +906705944 +2137333008 +1380808893 +676015649 +1342814284 +1102601422 +202527212 +751268510 +548150651 +873068182 +1010108677 +1650506094 +1949691765 +1108857690 +191738190 +2071082114 +141598864 +1676913865 +818273096 +742289789 +4635157 +1208220027 +916607977 +454068909 +1514739450 +1066606358 +1207259006 +1180790948 +1181178145 +1307207252 +343601711 +2087884090 +1297056613 +1724410605 +616416091 +492387249 +679528379 +818943303 +1243655759 +1227679030 +1692011485 +106280789 +730701476 +1494219602 +1215138479 +922439667 +1417818068 +1356737343 +451869884 +88607516 +2099027132 +456505041 +1296827544 +868151461 +910573950 +664083346 +1934757819 +2117832957 +1844874294 +968452317 +1277556561 +40992358 +908852759 +427129526 +1765402963 +1525268850 +919516775 +297447694 +196728505 +15688887 +1525126725 +1888739990 +121969676 +108344553 +1235475945 +1337108155 +1030784220 +505810365 +546361850 +1482654104 +594417882 +497905334 +1939159146 +1891245426 +1366056795 +702249448 +407845124 +1153330966 +672598757 +105235771 +2121783283 +1950155319 +146228129 +883152394 +229801197 +1911631092 +260937596 +1149317973 +61595138 +457666101 +1165006860 +1586721863 +198922444 +1286976536 +1695066417 +1434398389 +476601043 +578366989 +1940208754 +1022962893 +2061021094 +387142988 +1520868227 +1852696592 +130904766 +739441374 +407462392 +538749891 +1892772340 +1080061150 +643985662 +1867071976 +882732821 +790213791 +602740722 +1112534018 +554361235 +863678319 +114368343 +615956373 +1321344420 +1279375203 +55194589 +1520266864 +418868091 +1750261006 +807181605 +895469134 +181144347 +599906712 +1918432027 +94681793 +987049700 +1291816606 +1947378385 +1117954467 +2031257980 +207357130 +1656704358 +1776546673 +1287418280 +153206372 +1496135001 +22667453 +943420163 +2098875723 +1135201471 +1497781398 +815070394 +1249569815 +2113737771 +2136414815 +381461370 +21448712 +1509198031 +800329462 +1771709718 +168895989 +1695798596 +1952854066 +768802701 +1466746976 +2047535859 +1755852401 +611079934 +1847430597 +726323220 +494854267 +2054787727 +235543930 +123917292 +1194722359 +388750302 +1620052293 +1217389812 +1332170465 +1571444368 +205107635 +682468215 +239031115 +1454677450 +648722339 +227962282 +1836138821 +670171051 +1737160313 +488984635 +294397122 +1906056302 +37299583 +99767540 +527375355 +1504046559 +2147303399 +135744109 +2115126494 +1847250348 +862067329 +462497113 +1754554427 +1097611260 +586414405 +801793138 +1486361562 +58983050 +2019182950 +671048380 +1630427418 +76806938 +1353516595 +1869458533 +1531484388 +2002238934 +2097420815 +1220139561 +524926338 +1687097481 +1709124196 +819323460 +1445670135 +1746423780 +919091000 +1973045491 +1102986691 +918910751 +2108789600 +1070629537 +618677452 +823373281 +1533126650 +225748231 +1920984541 +2119541055 +1027541370 +1259862456 +31040457 +899240672 +1930910836 +1661467876 +976047610 +1136943783 +1383442761 +360048351 +991699070 +1333379929 +1580187912 +1516625408 +872993762 +1141828461 +188465220 +171180249 +740768593 +1107556220 +2144225740 +1843755284 +2026466971 +2105531692 +766901174 +497660775 +781421326 +152544176 +723409007 +554922219 +124601584 +1750950377 +1814784675 +155642041 +502707401 +1598211863 +1817109917 +1478755012 +587671999 +1053069031 +1838803363 +1579371069 +238965312 +1271507627 +948512829 +1111959074 +265852440 +1136978049 +1283139323 +1006621033 +97050621 +1279881416 +702892670 +2123517592 +1237929460 +1469793844 +473694720 +2019350786 +1622338020 +1197103727 +426789358 +1746939604 +800570456 +94090385 +1902581646 +1303277857 +1692302249 +1572207915 +634549221 +132490600 +477793298 +325868936 +1711861669 +716758610 +1597376564 +512890850 +1828717684 +1863229004 +1649868899 +964373360 +722366390 +1746919520 +96771128 +1425259060 +1722953464 +1334700588 +747569256 +49164536 +1206567727 +222423628 +1246268263 +1633357085 +1969363233 +2046838719 +1727447470 +1724461231 +1202632929 +1272266071 +1149185498 +1837182150 +1404756671 +1626978797 +15567439 +969134692 +196253759 +1612944003 +1482025542 +2024971444 +1328689359 +984410793 +841861156 +2051055749 +583846665 +938632284 +1328831161 +159316482 +125849224 +2076400417 +208481018 +1332416951 +151340398 +1454749282 +818290388 +2120703631 +1354104353 +398254211 +1697681214 +409253634 +1670520282 +699383064 +98952137 +927793306 +178878213 +114519576 +1896927998 +375131973 +1727463579 +1231469893 +252619769 +908669290 +68397038 +1094480925 +812241392 +652243704 +2033113209 +2141072553 +811560186 +11478785 +2069989323 +1020041204 +1343895737 +73846073 +327306838 +14702477 +47066056 +1681411192 +412956688 +1744747270 +2090664826 +2083476971 +296646686 +42133315 +863786629 +475524900 +156652891 +613230979 +850656873 +1884116470 +1844700872 +1103276642 +645302113 +1913097911 +50273919 +1457543505 +417857967 +2083387128 +1451132410 +1229418153 +2094865913 +1373638085 +101975709 +1291278002 +1447484158 +429282548 +1305980480 +1494550214 +2110693740 +1718937168 +1091813836 +2053874918 +1654930491 +1388460523 +2096008234 +371233472 +1863985423 +105177477 +984464452 +567158648 +1989293948 +681681676 +1670435290 +487112413 +447295939 +1720709209 +1944655918 +865153906 +1656612689 +1248304680 +2094572059 +1603994954 +474459118 +49064121 +747789309 +1921943276 +478346669 +2053769789 +1269009843 +441556761 +1625223309 +213340031 +347948031 +1132670153 +1601800554 +296472617 +1503903625 +1318302329 +401650095 +340884429 +1885460977 +243460395 +1022566106 +1408412619 +730572808 +1469862045 +981638180 +527745078 +187532304 +490767221 +1776049758 +134620715 +2094762176 +103025228 +183684836 +695067837 +2024968505 +662031505 +601353978 +1146494700 +1103588266 +79093639 +1359834731 +1451536298 +1211763792 +814151638 +1748008915 +568183770 +2132453967 +2175362 +909068199 +1870431297 +245635757 +1931634305 +1131360268 +976208565 +1254012703 +2112998449 +1503953643 +1441545007 +456282022 +1132519754 +1576165722 +403560550 +1235544982 +1759850559 +1098628387 +1113029839 +274398416 +1699982365 +112040891 +1377986683 +1779076005 +1471875623 +682039333 +843356149 +138543613 +282564600 +1411539919 +123513932 +284739963 +173124471 +1993945229 +530375720 +2104758776 +977821850 +1506584286 +1211287831 +943336651 +863054281 +505349190 +1399618673 +1995574035 +2081514913 +1803179224 +1083635370 +1693881824 +754323963 +49181561 +1968280240 +306822681 +161222453 +1198783275 +2085898686 +1633098076 +1880822608 +781771187 +1771641689 +15903561 +45827459 +1895155621 +300643524 +218951930 +1741617203 +831019244 +176227058 +571955405 +190119882 +1387514890 +1515292056 +1053174164 +1892864080 +767427081 +901264551 +1826895345 +423122657 +1984899921 +1373293521 +1177446621 +2034081483 +1194090114 +1484269302 +47820288 +245389741 +1422684340 +1680918364 +2126212350 +56971879 +1305076405 +2142115911 +102799338 +1052748378 +295275787 +321751268 +646881933 +1126295031 +497978327 +1218837338 +1316414914 +1885493217 +586645746 +222105430 +1630873649 +1354072828 +1123369981 +1310285347 +1777195485 +960786255 +536095220 +807158458 +847384090 +1730185334 +143944112 +895204378 +1975575076 +1566628452 +428639094 +1954303778 +1623600332 +1733715499 +1948936041 +1726399670 +638980229 +96728180 +2048150939 +1285862163 +1223023211 +398645618 +357215853 +391954477 +136655187 +943861600 +614059907 +1767528836 +150450780 +1737429889 +930330535 +1927646265 +550732496 +1466425756 +587321076 +1398116586 +1049127442 +731265188 +145837316 +877218870 +150409993 +574476410 +684039000 +1774010325 +160708261 +485491393 +1352926347 +799688490 +582219573 +1253593638 +2085550653 +1805242785 +1652239256 +295282859 +49713614 +1788894443 +1239144459 +663773522 +1408939632 +1389595239 +253719763 +191786519 +1169757856 +804452259 +1658212275 +1757078932 +55085197 +559856070 +340860473 +200922513 +1437074940 +491270466 +775398923 +2121113941 +117797143 +936107184 +459121686 +1470723490 +1735795674 +1041341260 +576833481 +1673862680 +699100397 +81589089 +1969145539 +748814011 +1870483533 +1060806350 +1412587533 +1131939517 +302917941 +1666307296 +1323726036 +1472675797 +323275907 +834454664 +1082271082 +378361104 +1394310734 +1423131555 +579283617 +683902026 +1914402021 +1354682540 +657532319 +2032199164 +143306076 +1116654006 +1355439006 +1879101751 +10511618 +1932272487 +1405480783 +709612015 +2013861577 +1227142674 +1458426026 +1736861462 +140465376 +723529912 +721317331 +443383317 +242353560 +2045043367 +1916059114 +565629468 +732014383 +850846548 +943990572 +2126325117 +126494455 +1523274190 +662743496 +2040896476 +730473082 +1320275815 +1925611992 +873779159 +289446173 +1133567351 +605397262 +299957791 +918356190 +2010878045 +1009569806 +784734119 +1090537071 +320512185 +374111933 +1231002447 +1044042097 +1095429264 +1674385764 +1286395657 +992988984 +1442961230 +1852025125 +1725003367 +146324131 +648532050 +1703844837 +272818586 +24322592 +219104685 +166231415 +754795674 +1539380500 +2091843407 +1628574833 +1828826674 +1077927110 +86488447 +2128784465 +1996283301 +2097366492 +990870624 +633533772 +1040419915 +1311382809 +1007645706 +123938714 +207941258 +2103074970 +1798324478 +1494336915 +948580306 +1093802061 +1198878393 +526100026 +1240126192 +1847410443 +82461215 +1512944778 +1871733035 +301565900 +1679176193 +479045061 +1840946400 +1623535953 +2107619895 +1522289426 +553979415 +46624694 +1503590244 +402779068 +2143991187 +346977220 +1036312841 +1036927454 +1658360029 +2043958547 +1160866169 +1866301287 +1999549869 +811706999 +1213154554 +800646528 +1905509060 +264549299 +1326746554 +998151604 +2111959742 +1409207769 +363612735 +1836209129 +1710773669 +2042788928 +167770543 +1404236421 +1518841233 +127906790 +779042200 +2072820649 +174531484 +135148796 +328116069 +171039023 +482126016 +1364428910 +1207966478 +2140486045 +1260903809 +221348999 +1859303684 +1112970031 +1033055998 +924974590 +1913616559 +791081411 +1189523890 +1092879465 +1789233015 +1153999984 +354603586 +5362102 +842725466 +2065377255 +2048151031 +1010496009 +1322130028 +1419508616 +1138402799 +2101172228 +1344845617 +1312934283 +88837376 +1672961687 +1483973307 +570963392 +889906949 +544456137 +563965789 +3327111 +765805136 +275785825 +1116297142 +1798861134 +1200760416 +882430053 +442458897 +242800658 +1975309518 +84208265 +1396800642 +182429456 +89570367 +92042460 +100323063 +2137721398 +1102538469 +1422453091 +1409746367 +93457620 +1376141672 +607108336 +1406391904 +1464979048 +132586375 +742881563 +2035942441 +1022493325 +1287337700 +452424582 +1025820436 +2053142836 +728210408 +2142117578 +1704520322 +1928970824 +877063983 +2146979220 +24287834 +704889853 +83703837 +1421088476 +887319309 +173274204 +1513130937 +987642372 +163511955 +468185758 +262611815 +1573258322 +561643379 +1638753487 +32883010 +1968035283 +956248888 +165469386 +563433198 +844707681 +1187962711 +1850770898 +1297132263 +66299499 +1756430086 +2025342671 +60933429 +1313466760 +1806829847 +937997412 +1312962332 +1831117681 +1642887265 +1396666169 +1104722510 +382722926 +1569940374 +470369799 +1370365298 +1733452329 +938555557 +1632977113 +1159227003 +1500198936 +1124246953 +1192110013 +1320750571 +2080495841 +1357579399 +1884183769 +777719874 +398058462 +1587471019 +2074852137 +464357961 +1196417457 +1952711161 +525291390 +362400570 +1612057360 +1463288802 +1675362902 +1295691394 +958692419 +924545424 +252930256 +1341415345 +347002150 +723300055 +564296995 +2080454479 +1661855612 +49790461 +1092197834 +1014570901 +1174037414 +136824199 +187837824 +1107049607 +1494403599 +2072021594 +1884769481 +1892462061 +1512008965 +1812137970 +209336375 +560942775 +1617365483 +734627765 +923343345 +1081939196 +50432920 +451222599 +230146942 +1009125339 +1375768023 +483077198 +203057037 +1722770173 +1206377253 +767354032 +1655741004 +720749217 +817144493 +600455190 +1735320118 +1991181907 +737279390 +1923157943 +950747866 +84199341 +1847695889 +688033699 +1976661402 +1212221206 +352688022 +38514129 +1773163981 +1970053505 +773141895 +549023678 +904509053 +823574815 +1000246278 +1134655995 +1832700154 +228530653 +1617733193 +2035757191 +1951300827 +676626798 +655627576 +1459558183 +1397376016 +1472772069 +2060013374 +985212486 +1316470329 +649809116 +760886781 +119734547 +734008457 +461099022 +807768247 +563186211 +1673320229 +1160456269 +601700341 +1299000562 +983026126 +1374842236 +1848024241 +1887535180 +50933403 +700786871 +874707527 +1883633557 +929317524 +344957073 +1771907101 +733134703 +1021583871 +280051029 +45209239 +271476239 +1752823098 +2105222613 +1256688726 +921809779 +607548081 +2017575507 +1041544327 +1341556538 +331190882 +1849312574 +1904742749 +2004511111 +862285195 +358959442 +1156028025 +1845311321 +1733801678 +856568618 +1585362853 +1784735081 +1557355489 +312586733 +1520884991 +339189366 +657543806 +1145308444 +1072324069 +1679127677 +1425359473 +1117533308 +1950603917 +1030698923 +1075272273 +1059808995 +1952508703 +1682820354 +929900854 +846569382 +876893244 +1261091736 +548398308 +634152346 +1118119199 +1410683503 +993111788 +126663577 +1108511176 +579429819 +983232195 +546390382 +216681252 +393104037 +858977115 +1737566243 +732293403 +1516520921 +735391039 +1804617472 +1048164950 +13266864 +774667133 +851285219 +1043965788 +1849939406 +1911094214 +848990843 +1385276113 +693511421 +1695560225 +114685709 +1954603157 +96474885 +748838055 +925238709 +1507158388 +1741949844 +1051902286 +468185916 +173896015 +2035134481 +1014576298 +390577267 +280754870 +1873553413 +2128143511 +1013048273 +1242590686 +716050902 +670182098 +143271989 +729317767 +1444849231 +994557208 +1773283555 +1147304989 +758167775 +474790750 +385097454 +1451679196 +22867327 +499783164 +1258798705 +119342212 +1248621219 +36553766 +1626500600 +843087415 +1088456052 +2094686516 +1016983430 +976106886 +961779167 +1407560698 +1256861756 +687848932 +1388220561 +122426382 +1930439619 +2104271463 +792608480 +2073711608 +686105582 +89974063 +920785168 +311905489 +1237279052 +1678952943 +786696239 +1622376507 +983148491 +809563566 +2122159671 +94463549 +928905778 +1223297242 +131017315 +407922730 +2066384658 +1219473368 +355125599 +935884440 +48096606 +1316904766 +195961490 +1304958362 +2004753698 +1584182051 +1427384744 +1787709669 +1540969867 +72509576 +1713937629 +79591801 +162483639 +487239150 +391497291 +1399762692 +18708445 +1178193530 +874655551 +1001856937 +1987757097 +849331574 +1096320486 +769179227 +2072628816 +1227337801 +1177101958 +1991529826 +299327521 +1532227557 +779930619 +347424127 +701648675 +975892109 +1652382490 +558918725 +412590513 +932283586 +199144747 +1953560380 +1004793163 +1913082376 +2033152181 +1167276802 +252837878 +277165824 +419555846 +271546324 +1455359355 +1294211397 +1273403261 +1295632804 +2143542971 +222240099 +2064812031 +2068688140 +1449577900 +1094430341 +1912734318 +1748905422 +479174250 +545181289 +2096329549 +1180822925 +1521073399 +1601228391 +1739741651 +1933663912 +386028330 +1938886398 +1739740644 +1390821493 +1704485126 +1625409177 +410614647 +1957323005 +1902575002 +830170494 +81385681 +1210450709 +2124381891 +1354788942 +358599865 +2120441215 +1577029041 +275928248 +2041645707 +879123293 +1370358590 +1806896377 +480545067 +1849532840 +204594019 +429390969 +882872118 +1725667418 +2030619360 +475130121 +1511847682 +269164042 +266532871 +1104104678 +1659985535 +1971017997 +582030207 +2070600183 +1780857354 +337121561 +753287029 +1862243035 +1547572270 +730185272 +1069548329 +1906172135 +703142839 +499093722 +34616736 +597304898 +1378217016 +1404975326 +256717628 +1858762083 +1107024518 +461311647 +140669404 +1989896636 +39495417 +23805117 +317543109 +1551343099 +292969159 +584075980 +507964129 +1952954695 +407610330 +1089994336 +1876071230 +40984036 +1427115898 +481874611 +1903227072 +827204520 +1212059883 +825291753 +585893008 +1915202723 +1324385476 +620509744 +365023973 +555118844 +2025485070 +621741601 +266397279 +985025940 +1083053248 +407066684 +827438929 +1122548665 +430871801 +1144982038 +526408116 +723840960 +1729058019 +1034372245 +529312007 +2136668349 +2124366582 +257899589 +30168737 +1403998832 +739774200 +1933395809 +83719704 +1951834084 +611203915 +669612712 +1719553159 +1935589391 +1290122456 +2084577132 +343224587 +1168123878 +558835086 +609621866 +5666171 +1641888334 +1016688550 +833105100 +616953352 +1447560351 +1978087138 +1143361468 +23917664 +1559661509 +30250066 +553229671 +1548846210 +7133000 +811129261 +1579014948 +1411131832 +1550903461 +1364927109 +1494851536 +1355253897 +1976131024 +16980601 +927323408 +1764236767 +1307103057 +864416893 +2107461354 +327743288 +1423251979 +569599573 +333409459 +917656665 +1586288123 +1166514559 +1534610017 +886364827 +997118049 +530487838 +910282491 +409295911 +560737904 +1463512162 +1958142121 +567870904 +127157775 +1389673421 +1979002736 +1678061237 +607116883 +1326370624 +885831486 +435764259 +1343351225 +1813154895 +52517379 +502970635 +530088140 +12495085 +830713923 +1953340119 +582094658 +1164123382 +723513136 +20899134 +183154293 +110639506 +907263961 +1180272342 +641127344 +1817546452 +1589568253 +1201865248 +1133574966 +1400226727 +1769736152 +1260732742 +642416500 +1601255240 +791310331 +1249533383 +780142216 +1677141817 +1685297643 +2123493442 +1342813064 +1737815022 +478980429 +1872901204 +1750310107 +1309694352 +1678757675 +184921118 +326334086 +254787164 +205820252 +509488379 +365426670 +1113084213 +1689760721 +1006554014 +783147017 +1131845327 +60935614 +1916721983 +384588406 +1830671766 +1029971077 +1027004906 +1284443358 +1821281408 +129054642 +2064585574 +1350939578 +1814352285 +2040595368 +546268994 +1404683659 +372092149 +271686551 +1007510118 +1681786501 +1950444226 +1192431236 +2008120587 +57747742 +1398251488 +370125318 +423174412 +363852053 +2059886040 +1429728426 +1146999070 +1044247719 +1490664040 +916237406 +1428836125 +1173852158 +1946208483 +308357383 +310811868 +1620006244 +437412025 +227913795 +823462174 +104280662 +121025515 +1369731168 +1508964321 +493117665 +1641417719 +368990792 +27420518 +1444378298 +1561422028 +2035541106 +1502126040 +812189869 +258182776 +1925300453 +1176041922 +170585168 +1207545231 +175557345 +1214832887 +550725624 +1091794751 +496185364 +1724577782 +890519586 +804542748 +2035389651 +363042182 +1241954773 +115819798 +1186504356 +1346235436 +236845313 +408751877 +707716109 +729962978 +2050169596 +1076706901 +757383497 +1347064246 +490645282 +645440955 +701706639 +1302835151 +903623731 +479523444 +331393425 +1074208900 +1687068675 +506950770 +141558139 +90310651 +1598745521 +637743504 +1814888434 +341781460 +1442286252 +1702794437 +704823642 +536757377 +1818614235 +1891327999 +1882992813 +2055459548 +152596228 +443225275 +637938879 +55282176 +1519932176 +1395322376 +1402346423 +2010577458 +2040763331 +2104053062 +1165928961 +796903414 +436092858 +1497322387 +1871112314 +2123161533 +2004273157 +2012670454 +65988537 +1455535031 +502930310 +1880876971 +1797316491 +1945216562 +1436187760 +354656485 +334490291 +1107318347 +98500836 +69999457 +1015294247 +251097064 +513224732 +1653233126 +306379241 +2033156908 +901071854 +1708725664 +1896250719 +794351537 +1665295078 +914696032 +1591254952 +2101387936 +264534771 +1314883618 +2077065821 +121324281 +1180070424 +2143054358 +1576859312 +1683000734 +1876447681 +1226692155 +1480733648 +1165151793 +1581348640 +1815223940 +124986492 +1679849477 +1885223397 +1140280740 +1930946541 +250964481 +646030218 +89842134 +136637741 +1547102073 +1798567798 +2032888460 +193969962 +1316379228 +800100845 +1785224914 +1270283516 +1064635616 +952624885 +1199865690 +1185959897 +2132695309 +1195436400 +615335561 +1668212396 +924400434 +1842027716 +1001462396 +2089552227 +1275892709 +669202688 +67055072 +808258538 +406942437 +1207335812 +591721431 +657906918 +1853366030 +681563566 +794544660 +1252984455 +332647716 +679949472 +1446954418 +1649026945 +1480050317 +1084695684 +771826813 +397202286 +2037320569 +1971692503 +1583162183 +2022532231 +1019645256 +51014097 +1543260979 +1944045690 +1893041813 +397239727 +1886114269 +1021450874 +1066442416 +1953169341 +1829709412 +1473384853 +1013021505 +273947196 +2131291772 +718903888 +955510762 +778352784 +1971888343 +1288158478 +1458302256 +1271359113 +789701775 +790868926 +208571150 +1561528589 +1188071212 +98408071 +1385737444 +623749747 +2120940302 +257899052 +674763844 +1516717633 +54461094 +420322010 +1913957361 +1940575364 +1441772884 +832916129 +1746261057 +1123998649 +158817334 +611798915 +1397945845 +142625458 +1330702803 +205972959 +920978242 +1155107498 +1494131437 +231796851 +278982964 +136349565 +1022665777 +487554114 +1697878154 +63253341 +585962185 +936131950 +687003088 +559418840 +1194031003 +1361766933 +2076136473 +1248492097 +1782088943 +1842610186 +1041583813 +1076378179 +528042667 +640361223 +52893180 +686860002 +1252160138 +1450839025 +829485460 +435379293 +1656811984 +1750463703 +1590486791 +1003459774 +1982260554 +1869469755 +1139809339 +857442683 +209540221 +690203845 +920696024 +795502407 +1626335795 +1607699112 +1354921247 +672883150 +821982397 +1283574072 +1921375248 +456587692 +978700611 +815475413 +1532965872 +1506743278 +1455836636 +1585859052 +46119632 +560513126 +889214430 +875605093 +995892419 +398542766 +478585148 +438895563 +1402002540 +313362054 +160881670 +394328231 +1170804737 +370421892 +1084532076 +2091500761 +1165924299 +563384224 +1551716225 +373361898 +1236267374 +226214975 +1656935970 +1010158974 +682802667 +488152933 +1825634388 +68284891 +1994896212 +1133987376 +1654143944 +2041015844 +1694500503 +395874726 +769137289 +542909274 +794417492 +1247722437 +981804837 +48936385 +1561084491 +1142686508 +443264616 +584405580 +1513108400 +1527796693 +528422693 +531549051 +2091180917 +2080138919 +904910949 +1179964643 +158870246 +414363271 +42639970 +841672913 +902516205 +1868274358 +909957805 +749928769 +854778086 +416618101 +643460965 +401794941 +812492827 +1412598255 +944704216 +1606910319 +512837044 +1926509053 +1655846704 +2073921536 +921711913 +2099111321 +510843468 +287336665 +1479424366 +1039266162 +818885716 +1423121635 +971921433 +1723796665 +455602630 +1130791679 +2138159937 +498242600 +1972464592 +893192494 +219033310 +734938749 +1643121263 +1073811397 +1151556850 +139098580 +1475606338 +1964049677 +1551696835 +272826906 +1423476349 +2064533880 +51852312 +931839405 +1990971768 +973564225 +883467078 +354331588 +1260900891 +215407796 +1393597750 +2079786607 +1638529431 +218035535 +1656099625 +2094132062 +1348827214 +1646775914 +444891014 +1173808159 +392484760 +663924325 +1908746908 +2035606023 +1737735722 +912820111 +27220955 +1065858412 +729386140 +1578917791 +1338685319 +5378841 +1495968023 +1390537631 +937218247 +1339456143 +216618208 +1820685325 +1693787731 +1477519099 +2036093122 +939901834 +1409822059 +1527138905 +1157937369 +918438036 +1473787319 +359280936 +417730302 +1918678334 +1533089095 +810215062 +435119011 +1294352355 +698337437 +25371085 +59688818 +725558392 +1091229497 +789074959 +156992535 +282431168 +794453800 +1652960558 +1672968799 +1731672047 +844933053 +1889587008 +1404873725 +391237137 +1219622459 +1293483199 +1331138971 +481960870 +673138456 +341592692 +1400398906 +2146925776 +700873628 +1818129208 +1918120462 +86479075 +480860622 +205755825 +1380831431 +1179198059 +231126910 +1440520249 +1904756452 +1322356407 +82111560 +2061748987 +1604787576 +876565361 +1567225898 +1130272727 +460753760 +264675303 +872376087 +1865627485 +655912440 +2091998547 +1011627036 +1987051411 +426475769 +1684765493 +181160456 +1826874676 +1684207621 +882034084 +1497520236 +1454844435 +968513160 +1978380859 +1660600260 +201860943 +1010095270 +1891727170 +1642381192 +767368074 +1066599929 +1724492753 +681633414 +523903857 +453574466 +101375664 +1654176585 +914328226 +366050967 +379069024 +632472064 +1021963408 +323583923 +1644099100 +861531171 +750059693 +1181380945 +1042691627 +429450721 +718104918 +1924725712 +1926970957 +25465705 +745755224 +1757868168 +1686065965 +947616167 +620479791 +1430309487 +442513711 +1387847865 +349425769 +19522816 +2069481279 +873329626 +473097282 +23373295 +380022563 +1387425509 +389424263 +759091588 +2019897573 +1411387671 +1082675511 +1516513025 +125435194 +1832735204 +550410323 +1168126822 +114702277 +1268515241 +945368886 +2041673235 +1293980947 +1691124110 +1652057755 +832563264 +491256629 +125053898 +115389104 +933770340 +1512901764 +464814873 +953293157 +1434899395 +1338144499 +1426390439 +1458272691 +1718167063 +666332300 +1847696954 +329775003 +538746225 +1111600977 +1412450514 +2055259251 +1237036171 +1097702071 +458185926 +257679345 +1212404348 +1726701167 +1203048231 +1106593935 +873198466 +746688693 +611168043 +1705761731 +1237945322 +736221941 +1821150835 +24232015 +101640057 +138482060 +977525172 +1536539453 +1476626559 +256431963 +847328496 +1047309974 +922764264 +547541802 +1377084977 +1461510489 +1659142779 +642051844 +1369286092 +748695302 +1739753915 +1827472018 +1006374648 +804674615 +1406689538 +61939231 +1911268551 +132404356 +808627925 +374952946 +1838166087 +2046573247 +1111174887 +1511833274 +2070805262 +1212814945 +1650315334 +900846786 +601870750 +979458246 +1157278750 +1449199246 +2026768220 +2080043014 +1996741048 +1256369550 +1394069855 +1508400179 +1898421394 +615872300 +109611833 +1490691661 +295860670 +1115986481 +147882628 +1702550208 +1177925713 +2059151179 +1834954565 +1986553638 +286620477 +1525637004 +1885643237 +1397795365 +889986631 +1808964852 +463126662 +392818317 +562327990 +1064997412 +1372276563 +1719606740 +366713010 +1251561136 +1652166106 +215970410 +360447038 +898752314 +1724370589 +111384784 +1514624614 +1833982422 +1602076445 +1810485284 +802485256 +1749959073 +1365551845 +1980410969 +1661626605 +1053022762 +1819480959 +1948247082 +431176118 +1557640548 +1198558799 +1321162749 +1219121752 +1661685461 +1713981067 +1781449743 +579199225 +938773982 +1353572835 +945912235 +42851470 +858255294 +1161882645 +403298508 +1757007608 +738769586 +514683292 +1124148574 +425268361 +2116759737 +787150210 +1227753617 +1719235163 +5218407 +1060680938 +1233378120 +1058241169 +732678249 +1034141554 +1489417288 +142835149 +85216706 +663096389 +1361956902 +1746902167 +229593808 +995922997 +178617745 +1168367791 +202012184 +1124529980 +1211219261 +1060267478 +138928978 +1614517770 +669791438 +877698564 +2129201062 +1793940012 +1302966925 +2098477152 +433606575 +383236894 +1670228667 +438824982 +1443917832 +756123139 +1497066152 +29112433 +1790264693 +838999792 +171947583 +1875481399 +1502096181 +1533904485 +1474899919 +1731689990 +382343834 +1653517664 +752574133 +584356018 +630563996 +1963793394 +1644623497 +769492974 +1430827516 +166931287 +1647191539 +1412544931 +1960871300 +802674816 +1363538435 +246994227 +1185911711 +886283454 +685819209 +482345895 +1642406593 +35401713 +511458329 +1285187638 +874401505 +683405912 +1013185390 +229014039 +69826749 +340601661 +1960704029 +452170583 +1994119325 +565794514 +1036526601 +477199673 +382104260 +533666450 +1246692648 +1812931777 +700597738 +746400539 +1077993060 +513985390 +1549075355 +294047847 +760979617 +587503418 +1180331301 +1446798826 +1069849314 +675254246 +1482200540 +1581307643 +1960441884 +209118397 +117229907 +826143626 +438132436 +187056656 +1166745287 +251352817 +639227239 +1013380964 +817147331 +1675753840 +1490580638 +1199251592 +61936643 +589789638 +864699721 +762534381 +1336190177 +1942692781 +1276519771 +737781884 +89256980 +2037499388 +1325285303 +1269588281 +1336814566 +247650969 +1944842527 +671531458 +1828958612 +1757800763 +880649856 +1946188519 +436460742 +1318782292 +2133245175 +1603206029 +1570135110 +624988766 +469103346 +239798793 +153258958 +1959683984 +1439050385 +215195601 +401989974 +156266458 +977729982 +1738180151 +2098959239 +106766105 +328478387 +40732571 +2144265493 +1653763690 +1310320852 +1333596412 +1901414659 +1107679731 +2005127870 +1582889623 +717996847 +738294078 +1381594494 +1154457589 +2057076371 +1367356021 +610179970 +1479727833 +1992344787 +1079283316 +1719526626 +2145603746 +891483652 +1011093364 +213315699 +1293473626 +1167359822 +1191045682 +884170129 +1118835414 +1297811787 +1212648517 +1159567985 +1294593633 +718928559 +322405190 +480706397 +472859571 +1430084921 +338350619 +2055749194 +598120 +1076644698 +1289860041 +1155055709 +986237421 +509732414 +1765235680 +318481606 +354593554 +697035348 +2038008232 +352713652 +1588519001 +901617948 +566029351 +734508979 +2068977771 +1757075033 +1618679109 +1040329537 +907403173 +683843978 +52413874 +54513158 +1402772537 +374819064 +535219555 +1875632108 +1804903986 +873570174 +1783897655 +1805502106 +1950214872 +926274048 +813074168 +788968645 +1436006462 +430826200 +1107450251 +1790600016 +1127861548 +997974836 +2143313668 +568896901 +1899592784 +561859372 +1303405881 +1821086907 +171450757 +774601342 +713932796 +1078853930 +1458445320 +766346671 +1133367088 +713734209 +1141165735 +1668586643 +441882670 +798586073 +394673170 +78296677 +456604532 +197404394 +1004570725 +1269678700 +986373040 +293093539 +1700504900 +2093823291 +2083693556 +680882800 +944314479 +2079523576 +1249779702 +696423616 +493899300 +405701935 +370026875 +665350058 +1180303277 +1083959672 +1744203988 +491264949 +1850306343 +730087429 +1204999158 +843988430 +251190424 +1646881828 +1642574504 +645863594 +1725178505 +2099179036 +843267989 +582265582 +1221374088 +1829641029 +875359122 +774395340 +1775980672 +811569030 +1455278140 +572811504 +743608958 +557574194 +1269235120 +1237508259 +963276129 +1639261995 +1902858317 +2143579406 +575738019 +1499578657 +487360707 +278560714 +82182438 +1692359866 +1122549145 +333372863 +1191758046 +617640001 +979236457 +769452904 +569335389 +1822504446 +1351718486 +1790709477 +1504661827 +79593960 +417621169 +1133158852 +891162990 +1872899309 +1705970356 +1634771949 +282989856 +827721828 +724796560 +1246265985 +319500175 +480171229 +1242361744 +895238195 +1979749886 +1729722451 +1173798909 +2061932325 +1274598669 +148864406 +247821540 +318873068 +766504407 +1227057997 +1088325972 +1335839796 +902078796 +292560810 +979065625 +259256975 +372154771 +1396686794 +1392415827 +1263317761 +1122102456 +950902535 +750606062 +1405092312 +1778624363 +1475402622 +503874649 +2098124539 +1955573851 +1746236393 +845879086 +1787840090 +1328475197 +2019677995 +1702288767 +455590218 +21058754 +1950110307 +774463286 +787563161 +1029684656 +1862789258 +2123402958 +1931763452 +7866421 +954984935 +43536780 +380021192 +204188082 +1435952607 +1643338953 +1326290538 +239371495 +246461368 +583899202 +2017995858 +1721863990 +1087773851 +1968636749 +1529954194 +686526597 +667032187 +1170310636 +2015001794 +539226535 +725115755 +323108364 +560285289 +527742414 +1097571651 +1347848450 +1557427070 +812877261 +1323767760 +1341706875 +820743682 +131269048 +1385243655 +1200764874 +335457130 +673712614 +696620180 +1661747668 +913084109 +943081548 +98163222 +783596320 +517461890 +1185937073 +604749421 +2047416084 +1872463670 +1271781609 +1070243072 +1739981816 +1811008144 +1795358827 +2063090181 +223809785 +175617593 +1013178184 +1571658235 +1733044664 +1826055445 +747942348 +927267891 +499315480 +879211396 +165027898 +1700080354 +1214668526 +838740512 +249216886 +728932546 +1751824622 +1192298434 +827095768 +387937294 +1709760325 +2013032841 +992686715 +1609692761 +1738012864 +116984676 +532452186 +1330511032 +1927992820 +180327365 +1246117565 +4318957 +355944959 +111812101 +1575977193 +2088989623 +1937867547 +176435893 +868773866 +289699379 +1055647289 +1033801764 +1989779733 +122832167 +1872542276 +91512972 +851764713 +1476883250 +1283811406 +1678860481 +1864820544 +846088083 +1544409674 +710023612 +308297197 +1134938890 +827008288 +840749383 +317966275 +607517461 +1021076748 +1564083840 +611836418 +1377021707 +1675895942 +40329963 +1318527682 +1466279841 +216765856 +39817900 +1755979220 +1272413145 +1073619664 +1598275305 +1395245312 +798678293 +1689788277 +99526377 +128077895 +826116036 +1778386858 +1992898440 +1672204119 +1175312885 +555438404 +1980501316 +162768127 +1382446692 +673767051 +480734402 +1989964153 +1694843800 +2044818243 +454316924 +924381859 +1573230537 +494646887 +95425894 +892026730 +711412744 +135243794 +500522302 +1983825889 +1208863459 +2098797607 +1231587554 +2007541752 +1641102237 +1331113931 +2135619647 +319734625 +962017142 +1981034439 +1991938744 +2137330027 +388989195 +1824956413 +152614506 +1771435888 +351239816 +633348909 +1613916393 +2046083616 +530683504 +2068233317 +822981828 +2103914041 +415396557 +918407722 +848457123 +1126809301 +1053651516 +1348979425 +963151542 +115031327 +1300293384 +47255448 +2122573079 +793911973 +1378369380 +2110709079 +1113646598 +192902874 +1944259870 +958101695 +182749253 +185765418 +635574460 +335363759 +1957201306 +986814276 +968712668 +1423634051 +885414245 +1499396172 +1344383721 +1708396073 +1455826565 +1759780278 +479320147 +156800040 +739105931 +1532971663 +1505779465 +1702257473 +1648002991 +658589202 +1749512922 +1623092422 +1452501175 +980398654 +1586317853 +418664126 +1173301528 +1383094076 +1376765821 +1356050781 +1568859494 +2012340281 +1691414540 +1378577152 +851670909 +512643561 +654727555 +1737085154 +2012039733 +1999111276 +1297997579 +1320382651 +1611407906 +1777317726 +1477182691 +203030189 +1162805742 +835478509 +1905287663 +663325085 +1494067711 +1507316937 +138933859 +799085238 +340231943 +1725251713 +1217749364 +1513533471 +960862141 +447031537 +722100604 +382237987 +311888170 +266031496 +1760815139 +1163559080 +778675057 +268059046 +753160586 +643231143 +119686675 +2051158166 +1963613794 +1731094581 +1680992244 +1293312837 +1934124771 +696314338 +2128791346 +1691928786 +1359639423 +1475375409 +1051762075 +1498573283 +126977000 +1391994018 +1076341348 +1344726364 +758043841 +2037203489 +1791757902 +1480144445 +271957828 +2103646072 +1746175941 +2032772967 +1119721504 +377367351 +153348365 +1872882091 +1020598494 +273035040 +1776556609 +836728640 +2004129622 +1310065205 +2130041477 +1790770745 +2006379544 +2111349176 +1335215883 +1218535319 +1439240937 +239494310 +569624954 +1566217937 +1631488328 +1645966302 +763460654 +242048521 +1535686143 +407734908 +1722192966 +1807643971 +363897332 +1320885259 +1692933290 +1483618837 +1698252610 +1846281656 +1209017280 +571367456 +2119316696 +838090241 +1408096096 +1975962670 +671798 +1390653926 +1619249767 +2007051342 +1354519454 +806982002 +1078103014 +646276743 +1046476312 +1647727968 +65011033 +530480992 +1146210623 +828471687 +772529513 +534413118 +1236206595 +347238831 +194573442 +1600103927 +1668124091 +1887506732 +936239116 +1218893053 +1586304740 +2145256396 +1790260510 +1558137789 +835862989 +1050872958 +1386616811 +836534788 +294043236 +858382931 +696102482 +1648562690 +1665364933 +1774205496 +147355786 +564357598 +1274449817 +212366819 +1094838590 +273176792 +1040838506 +1867368104 +807589910 +129561453 +67123287 +1002163352 +1729665380 +1735247378 +742186437 +518420849 +806656784 +181007529 +516193597 +449433646 +1739145318 +1352056587 +1500306604 +978278482 +41107727 +1794349841 +1836661413 +737210209 +1295428883 +1354542698 +363932058 +1442784669 +1918900296 +1638381875 +1655151488 +866255239 +1911558667 +548506346 +586139695 +571664929 +678067799 +653262982 +1573828282 +260249532 +241026713 +168531071 +778670381 +1047683497 +349538600 +1294863978 +1497117143 +2088683919 +499436917 +849940099 +919478753 +540544644 +496806292 +608656518 +1277754854 +1792235176 +1963199216 +1641686912 +1087536197 +1734615865 +1132585139 +595204038 +453387456 +896660158 +1143710384 +1039527151 +1468325087 +1821778184 +1692790133 +894669721 +2082027716 +1933816846 +1063200792 +713214449 +834016695 +1412739393 +2008078427 +183650190 +1353939664 +360031697 +1033590290 +125934769 +900576341 +1530396582 +734591287 +30847547 +1175148110 +550306855 +1672534459 +115200660 +137439072 +657635950 +710404698 +590826528 +1554296108 +1854115082 +1630353679 +875137548 +1528409618 +1175660165 +1769807269 +1462953686 +961993363 +685524414 +28684487 +1796010059 +2098263807 +2036762915 +1979660249 +1304719823 +249310964 +865766891 +1430654592 +1149887305 +248679826 +17762231 +1180734853 +1423827936 +568069086 +705785664 +1539028596 +705508159 +1363421615 +101949646 +1296334687 +770234075 +1956064729 +779204719 +1645371623 +1336990699 +1954864884 +1267695245 +652460738 +769374599 +1953219659 +681145225 +417901010 +1903999818 +570424492 +250077612 +1061235993 +819735456 +1115844503 +344406937 +1969622762 +1364524329 +362169168 +1002873967 +640868618 +930238254 +1708659631 +32413566 +1635746413 +924597598 +134363213 +784597453 +1694831674 +2090427942 +1563802172 +1192719649 +1279934993 +1371183408 +312931246 +1932395731 +2140558007 +118667257 +466057309 +410975370 +2022667075 +1036481801 +661052982 +936419420 +1856217258 +1776897485 +1280826357 +1678356372 +993938167 +1642995525 +533746691 +1634806785 +425750132 +94922674 +1667220351 +2061496545 +1019520273 +1801583564 +698610350 +566868299 +1744527858 +114928874 +1759587948 +876979204 +1486112282 +2072519195 +661891287 +1479186642 +43702804 +1127948596 +1890162012 +2066369880 +16946750 +403731346 +855305652 +1873164008 +33145183 +2136132010 +1404036732 +1027083350 +1631643887 +1937783423 +514406487 +2057394019 +2032706097 +34143191 +1971406917 +904742722 +1835726755 +522533619 +1471611021 +1432770966 +637462494 +1083715322 +162266522 +2123574776 +1008750869 +824157809 +1455277770 +1052453673 +1952106406 +1197956134 +971339905 +1969053156 +1601687480 +1826645558 +1694733516 +1634832664 +1815293920 +951286600 +514432366 +1299454159 +741586375 +1028838854 +1209364531 +626808824 +1062982045 +1033287800 +1531551547 +751225152 +1555821419 +855678920 +36512470 +45800265 +1939394242 +198778992 +21891394 +800661463 +1022936802 +1477169164 +1853115137 +827559560 +527641651 +676971394 +649129068 +2129329131 +356133304 +196378936 +1616678147 +23943576 +1147665536 +2131110514 +1323397736 +1889251911 +1012465720 +385278619 +368577087 +2075447765 +1418566419 +1900128634 +679189269 +826904190 +608323907 +715701740 +872704456 +400234501 +914480732 +894595850 +1200895965 +1937417534 +224281366 +906527454 +617493446 +751923017 +1583498848 +1266622514 +733768501 +1939632153 +1463001450 +202963000 +1963575729 +463183338 +186589866 +1139489817 +204951601 +1199055586 +1524768436 +573528689 +1127019703 +795851207 +326173675 +1806208973 +1622755398 +934497582 +374427065 +347976206 +1334732084 +1288907797 +1242572056 +388144401 +1078841684 +1466853422 +1294671855 +1696335130 +71292792 +730687055 +815473997 +805061293 +522835560 +130991799 +1008024293 +338927642 +594175138 +1194614160 +1478417459 +799126739 +246186098 +855702248 +1372655428 +1373205802 +1651553455 +1698829104 +1031931127 +1126825205 +485843038 +1406358192 +1474801411 +1820575122 +547782341 +569889819 +61235875 +1626624025 +2036743242 +1355907730 +1175475508 +2108036034 +2086594786 +1990949505 +765613679 +461946698 +2121941304 +1773637972 +800874340 +568632794 +820768484 +131808152 +1367759534 +1066954583 +987510400 +592931314 +292676737 +491580207 +144276770 +1324607864 +1618405413 +630119809 +583482408 +945723176 +303211283 +1131264749 +1515612996 +364447159 +610405127 +1404872590 +1720354889 +1785880635 +1365424976 +1659466027 +1629346492 +2131038655 +2121412726 +1603804148 +1757192979 +774803418 +24953295 +430477816 +906611570 +1392712829 +1497432399 +1894121970 +1985644143 +1790109136 +238218530 +2129920914 +967233352 +1856623943 +612557075 +1550715760 +654863471 +915768358 +534496861 +22992819 +1280215517 +1144901988 +1427865409 +853086759 +783298975 +645806737 +365069138 +265161819 +629361744 +338998216 +1868965968 +239071076 +1113801635 +1893919263 +669548892 +2020413205 +1139148444 +19497643 +1767051528 +977308939 +1809606779 +2005270058 +959746205 +629356483 +1714410353 +1572303280 +32588595 +221790176 +340587991 +567085456 +244782996 +1620803508 +1711987445 +1672648405 +326406619 +347802772 +170971495 +691475758 +612964592 +800333239 +1030473974 +334446912 +1039404315 +2144275609 +80882527 +1708953207 +2017205167 +1220030971 +1728450850 +1636773047 +49856262 +1390573981 +1494559457 +1009602468 +2019930464 +1061486162 +434422100 +2052519059 +1283276338 +775010091 +472120868 +1528059334 +248329952 +36624665 +1053224092 +574736571 +384427437 +1224195587 +1266212329 +997392029 +2024528826 +149202656 +1331838941 +916449494 +145994617 +1412721468 +477919053 +15716136 +485268791 +58886256 +1652489183 +535125054 +1449460237 +999564992 +1544727522 +1321907054 +2061051154 +1979149622 +1226942465 +1196843845 +606676066 +1699063333 +577419531 +855006018 +1735687998 +1630643623 +1429742589 +2120115436 +707355562 +548471271 +970023817 +584400741 +697673927 +154379111 +1500850235 +843668544 +1567100579 +1978769288 +859384681 +2052369371 +2037655544 +364390216 +440010777 +1339632134 +1363955209 +1984738299 +514055540 +1277522715 +1816404273 +1740998005 +326882912 +275596691 +1292577691 +904302444 +1130602709 +880782041 +387462419 +412861651 +853413829 +1094817982 +961332922 +1823437647 +1679218723 +1659006849 +1977816758 +1032585310 +355191745 +1397433689 +863870950 +1214576426 +1302319412 +754042847 +1578966643 +1742330189 +2093674981 +795438204 +1579584840 +460246873 +2072960919 +1248505466 +53761230 +252360184 +1524102157 +1346338921 +1156662628 +507221219 +79637315 +1544125047 +920082870 +933051144 +491459381 +1881415792 +609005143 +23194456 +1392938993 +439338253 +1055779766 +1748130738 +1836771943 +1919650717 +815223517 +991607707 +526209916 +246706512 +586454249 +472401249 +1042144716 +18555441 +932648122 +967621987 +1267060907 +986409352 +1219982171 +643679417 +185264626 +229161151 +1150900636 +264901941 +1773286199 +2070983506 +1197953085 +117261932 +1804915650 +1806958229 +140456389 +1050370995 +98812834 +1196236155 +651018085 +1935584777 +968403224 +1466241602 +779708837 +1494613140 +1712948114 +1366163086 +1967014389 +607609182 +1384718527 +752178863 +1575231170 +504295787 +1738588216 +647729693 +1147975204 +1923852842 +876890845 +151392192 +41271135 +502693396 +74892050 +1239224220 +619955328 +1879807700 +898698801 +760411717 +782695047 +997511636 +1956647873 +1433713132 +785612765 +777567449 +752471087 +1565321602 +124696942 +317935553 +784001040 +2091711331 +925544736 +21235920 +696406547 +353292258 +525531707 +287511115 +1001021951 +1673506911 +63880309 +1877912796 +1824899103 +105151444 +233122544 +1899791153 +1344375664 +853077873 +1632115205 +95590818 +1613489590 +267326604 +1093102454 +1422653815 +1701039736 +1878715219 +52737617 +306027175 +1296553174 +177434559 +623962729 +2080554214 +121662242 +1549507465 +2101790134 +818068789 +1902799723 +479838193 +1105579904 +756338026 +5861456 +1169460213 +486767175 +1830760559 +1274611657 +719889719 +1583068064 +471503674 +1572967592 +1067699621 +567094492 +1038973535 +1335026225 +1660196946 +314143702 +888582314 +1391428517 +366881319 +1194609489 +540498043 +544315878 +1818572218 +473568610 +665978121 +1220596035 +427875096 +1484046910 +975912110 +907713290 +442143167 +1732250137 +913574746 +1611603380 +71533664 +596851658 +738731390 +791423383 +32436074 +1210235064 +216907328 +1100135696 +1777329556 +1255880863 +287678273 +1290042854 +1570024565 +1176260587 +533987723 +1936905885 +223386429 +1074485767 +333738115 +2041958647 +1548054377 +999716236 +1115071035 +1975929473 +336279499 +2090983145 +736159115 +778422666 +1675749634 +1649733862 +242542398 +1747283298 +99101872 +981273788 +391223034 +131537946 +44025204 +608130362 +1231673642 +1821354760 +1864011225 +1519351916 +963913966 +1286552142 +548128855 +1497901690 +1075974379 +771515284 +424903809 +1409712495 +665990284 +1972958186 +261945083 +1781061319 +1801404011 +598224582 +1724560816 +390079479 +1376647248 +1252826803 +2039813341 +1619189647 +852626453 +2138915213 +452979787 +1243849487 +122969511 +497004992 +1851979849 +1354643154 +170876104 +1568507426 +726511422 +1134790071 +707575921 +1274640277 +485208113 +1783550300 +2046155562 +910111922 +1045779147 +564662198 +735586460 +1307724231 +198239869 +389506823 +1905948813 +1922800685 +779586302 +1135112414 +1028143840 +671915995 +606818413 +1880770294 +663347560 +1059798200 +977136133 +786317072 +1556803192 +681632335 +2140960226 +1727679297 +102656113 +719988000 +714985720 +810232034 +1994628277 +1200193833 +446298687 +1893300191 +2110305755 +1492077834 +310478741 +698408567 +652318417 +508718610 +1087915390 +410783583 +284035648 +1867501693 +1545895997 +1312179488 +391934040 +5230762 +1045466134 +1055281601 +1065028962 +2022602268 +1841598673 +474348507 +556750955 +1835075251 +54544156 +659407068 +407579603 +769529876 +1469639103 +254724232 +1969723709 +1915937790 +540776 +1932545816 +1260531976 +311019517 +483470735 +1912850394 +819738128 +1571386125 +176150329 +1103773776 +1291404170 +1722046326 +268469616 +1683338211 +1727277088 +1313935751 +591136164 +644822402 +1189054371 +285251189 +1119170909 +1745805326 +2120326440 +1173715065 +257728746 +380422395 +1943244941 +1727367849 +635146627 +1765485002 +1495821991 +635687403 +1550547170 +608870320 +946706921 +2034017905 +374237066 +1766445049 +1457920383 +550387395 +722735177 +601840905 +124950073 +991204793 +137695468 +1852227161 +157656896 +728831632 +349565915 +1346711267 +1014082821 +1468736825 +945032945 +986925613 +494968242 +1202761692 +1367348008 +290729536 +782645893 +2002494636 +2056214538 +130984237 +490698391 +1459278061 +739854557 +1437405312 +1345812318 +1114091623 +1056366713 +656249053 +1664479018 +1779101890 +1258089959 +1789429091 +622823036 +1395785427 +1494172604 +780479932 +2124617060 +1843738519 +2127191200 +991216233 +1164991696 +924740497 +1978141847 +1659959939 +2127502189 +1198006207 +1950689475 +762664435 +1053017195 +1859420365 +893648672 +1543715587 +1171214778 +1633503229 +833637251 +369543449 +600111204 +1890003965 +1025792502 +117106574 +1521622207 +136398813 +1906535665 +2144445243 +1532184241 +1253224621 +777441528 +1509317653 +949479492 +757149080 +353050238 +2114471189 +1681889577 +183708437 +1626947480 +1661908119 +1381714645 +1430153307 +277088906 +287248192 +1142090024 +1170737578 +1830963779 +165821155 +656757159 +517117383 +535364604 +1256868363 +259637700 +1561157106 +1373974937 +1781259907 +1697555920 +1133026954 +1778221503 +1082256513 +238767927 +408179383 +444090518 +1188247419 +1165328463 +797140756 +1155234960 +699734392 +980849194 +634698792 +214158863 +215080191 +2064852099 +491247769 +502328383 +1059458476 +1661985347 +185808515 +1225279631 +171258858 +702925898 +1760644235 +1428127221 +962563598 +1174317693 +654618510 +596339857 +724389965 +1787645464 +227077712 +1806646478 +2026413391 +635257095 +103253348 +1067177163 +1800585558 +900394105 +74928475 +352836303 +1881243299 +709627268 +566995166 +2096323490 +626995719 +1058242936 +451168225 +1686454195 +572744635 +636976740 +764250178 +744003494 +1339902638 +377410765 +24647067 +154982588 +1551728459 +679265578 +751322446 +128634776 +319427394 +978400158 +1935281255 +198357138 +1613657254 +2038534603 +1265534301 +1266759164 +791445060 +1340462776 +1619595467 +525204711 +2050090044 +39106986 +474044553 +529602116 +1097349922 +925212779 +68572663 +1670094557 +1562189519 +832822842 +266614403 +754608510 +1210233607 +291261471 +909591098 +614478418 +970527049 +1660913544 +743113195 +1289954443 +491830055 +530910802 +1488311581 +2105487309 +421961757 +606362234 +1224762825 +1213406818 +1946825011 +696874645 +1738611529 +1849431407 +735981631 +65172435 +231549875 +1833331553 +990385214 +300122539 +1355942462 +405091085 +1132945381 +1622556866 +1159699595 +195695340 +1913818337 +2069290694 +810173759 +736861738 +1582720590 +1553286954 +2026816181 +2074550645 +2084197756 +1367644115 +2032554306 +358675865 +1974006349 +1109833484 +1572082683 +1773347712 +1806708129 +1163210565 +1475295472 +395206112 +1228383000 +1706845347 +81054017 +71284566 +2006967886 +1436996479 +476375651 +992429619 +912069697 +1636075247 +1188124960 +678404386 +1557882293 +1998298719 +1415266124 +993119235 +1404102025 +1294598658 +920186233 +1340816133 +514759125 +805256891 +1699491998 +341281826 +1915090375 +1124091034 +2114629539 +1574314856 +139817951 +1442441363 +1969520968 +1368200951 +1001803062 +2050574985 +1439485517 +861287301 +1340087817 +1915861168 +1853716920 +104673866 +1404452767 +894358232 +783078253 +814851412 +745173303 +50860729 +1807970648 +1791680 +1345459387 +580673233 +1342607813 +1860218512 +1385930124 +894616164 +54016691 +1153536852 +2018707198 +21162582 +580368060 +11041501 +1463603945 +402405381 +1379242452 +317923359 +305496718 +671244321 +1179210660 +1645584535 +439621841 +885443933 +1750258402 +1844074609 +1779802165 +385853007 +511442373 +377491821 +436713736 +171929373 +379283501 +1782173124 +752602606 +1721891315 +1494907988 +2138532731 +469023831 +1548924679 +1144585935 +340247381 +1570087261 +1724953995 +351288882 +886207558 +2127359376 +1730531334 +1204130918 +285372447 +254292007 +235857930 +1930956982 +693913848 +1121301863 +1533731736 +390504809 +753620381 +1919584743 +901947183 +1131112202 +208814832 +1073876556 +1510395703 +1990987956 +1826479163 +1084803370 +1338412296 +1817528246 +1553827201 +739853328 +814630533 +1894074582 +162456941 +392100880 +97879816 +1048664500 +371976609 +1828411150 +105311770 +657349056 +2082703157 +341169700 +440822390 +629133358 +1462471564 +1974554127 +1019638167 +68608297 +1746655222 +1921585350 +1199720499 +1955470054 +847978259 +562632554 +1798974362 +526973774 +1647435925 +989903011 +197018372 +1053779478 +1729756339 +1011648905 +800370413 +1892213280 +1403749785 +898250229 +793394132 +1775726394 +579177732 +898705902 +285591802 +514397241 +1239875603 +726414193 +1143530599 +554863519 +553484672 +15685119 +623471816 +152656246 +1937270469 +1823192315 +2108126301 +637765080 +238341221 +1759617015 +1164738854 +1885777146 +602036378 +1361757226 +792072977 +184309069 +225922483 +1592443390 +2076522350 +1629672269 +343209971 +722432834 +1257915015 +922387703 +1621138737 +1543506818 +1436784945 +713530692 +122437363 +432831896 +1268394211 +675922035 +448517015 +1891866027 +828578281 +238303837 +1567574694 +789220934 +876068917 +1805915915 +401354302 +2040807772 +1544209414 +1003390680 +1255081350 +188798743 +1187699750 +1481003834 +1781242133 +1116738452 +963192455 +2124452104 +1839171286 +73623822 +899356160 +1312826375 +1617130640 +188657457 +2026357067 +1739568003 +621489353 +1147267630 +268006390 +1070006369 +891650009 +1096584672 +1308310206 +311741055 +1885805606 +36895475 +2117656971 +139676260 +2077703247 +1514382737 +1143066941 +1185300950 +1703181480 +183283043 +518821136 +1336939965 +1300021495 +1482013591 +1313908421 +991709133 +1555637413 +65780933 +157051861 +1025284406 +254438390 +35925280 +617368761 +875927744 +1183192911 +885375152 +1945934113 +2074842920 +1981959824 +1106760671 +239100328 +1720281782 +1143656146 +209273651 +1859958043 +1073875746 +1723656388 +855541336 +111693048 +1279354220 +1038824379 +630514184 +468810537 +191362226 +2112527775 +1782718958 +1183071359 +1520681540 +1848499892 +1340123220 +398482298 +2102938282 +1376048501 +1015851060 +831382378 +411757764 +1901226212 +629832843 +339117036 +1735702388 +1736593514 +578217364 +1308500522 +732766013 +787491015 +1020974917 +1806641759 +363663755 +1876516253 +1918334807 +1643017975 +767856984 +401365343 +2111828512 +959219210 +366409470 +1747063823 +2142290570 +1887091010 +1448080067 +1334930142 +138089661 +1403534701 +563494995 +1153940721 +87433432 +975252759 +907683285 +717266275 +1314369796 +495902025 +306376142 +1892587160 +1804402547 +1039142155 +532594528 +677893817 +698300266 +896258283 +406926422 +469151425 +391792611 +1174783407 +870516768 +356137475 +2134002617 +1236926238 +2103201298 +2128809539 +976533600 +1403797717 +1316256034 +1114623261 +659848771 +1879751029 +121080334 +747282203 +707520141 +1028763619 +1464548478 +2021889937 +1524665644 +1770924620 +1766993449 +1181584544 +662583127 +152104329 +1859478361 +1360883393 +1048362613 +118921135 +1830034818 +1440155224 +1293704542 +553067938 +1796292699 +1280223512 +1789994176 +1752010350 +1261549403 +619044129 +1008324419 +430321789 +1733667390 +1668173190 +162589171 +1854747725 +267971745 +870109312 +736027696 +1732520224 +744515601 +113209693 +1355961196 +364025402 +1294794237 +2018544324 +516129732 +1006788950 +1231944069 +1564492345 +1125710085 +914495240 +857163921 +271930980 +1467563178 +505972972 +1552154492 +1110073707 +110499674 +666220247 +1729117836 +1118824094 +1096542037 +1315301578 +639513636 +1259131208 +1022565655 +907485382 +2129240520 +1758593352 +492521958 +726272473 +1871803045 +1848483154 +1090297875 +1019113634 +1719543830 +1606427607 +2025902584 +804004252 +1023436304 +1004129021 +1718499492 +1880600225 +1276060001 +1038579022 +239089550 +680730845 +1169081 +349589224 +1346951093 +1730286917 +1468413318 +296009482 +898104848 +2107926955 +1555140690 +1920670503 +867928689 +1536897562 +1531780207 +1360450647 +115686387 +1256099604 +1061450153 +1205984262 +127729590 +633510336 +664928222 +6148526 +1437514588 +1688364526 +1010277548 +1008530432 +1421481104 +138853901 +2047109454 +1660570654 +819584747 +2048278536 +2010159878 +19052192 +1631081805 +1331089549 +315061674 +381703005 +1291532856 +1870202364 +154889861 +11977897 +1259616278 +1686670068 +1372428544 +1375302665 +795286025 +286395049 +433803279 +923015615 +919905385 +1098731501 +929164142 +209936325 +639612380 +1939441690 +1218466757 +2061093484 +2078295591 +1118092564 +1574180490 +750396690 +1018887452 +1436856720 +769448882 +502485609 +620462621 +1084510556 +884188615 +1911995477 +807229272 +1039078476 +1923973374 +2066845550 +578264896 +1148918270 +1294664567 +1373550921 +1435313320 +1728467847 +149082889 +207735057 +679715700 +1078247031 +417671383 +1319328080 +870205073 +1636138140 +1232937916 +801017016 +606747056 +659634758 +1551413707 +1625634508 +2096491479 +173378941 +2128120118 +569470452 +1257889498 +864825085 +333982282 +2065118770 +1903903561 +110472008 +1984480673 +334684809 +1259390279 +1131661592 +1708235731 +547219951 +712645791 +1857318620 +754955008 +1392361492 +788082003 +1172626391 +564205924 +1658287076 +661280884 +1797143841 +311820444 +1268027940 +309294951 +1863234151 +746178801 +258302782 +2036613093 +726815271 +827773235 +1147018943 +1591640356 +1161755517 +1064654065 +1348060269 +1272227525 +901651090 +1682745078 +384134156 +2033312683 +1243497161 +931354107 +598474826 +953332133 +1686309116 +1990836318 +1741414136 +711451859 +407558595 +1252217564 +1372732743 +57218788 +1564038009 +493277036 +366513739 +1279788512 +1239455837 +624816522 +1168917957 +1966271108 +1452589757 +168453252 +1410427816 +466861626 +1233107318 +611004437 +1739089151 +2134758408 +146265867 +2123223308 +2020587443 +1389763029 +907093767 +471578622 +195611514 +445919235 +314931292 +1937025651 +1157371095 +722489887 +1041759567 +382620190 +779708675 +458313928 +875897226 +1146222415 +1738102441 +2115353063 +1771038937 +759536750 +1934140523 +1076145046 +927990003 +1197084691 +1543006672 +13613673 +1808089128 +1134612175 +888433 +1954354996 +1110351835 +2021475877 +1196634377 +2017445603 +345570851 +1392245891 +315881190 +660502143 +1181787894 +1473252285 +1382992031 +76063814 +1855872476 +15217058 +534377742 +584286054 +1161439473 +124996535 +552155470 +784994762 +884533286 +338812345 +1861139808 +1812523289 +1535897037 +1256662832 +1826136962 +1196502517 +243791360 +1827025395 +1003373865 +1354143195 +1701017624 +52524594 +1224105150 +2046588475 +1444770486 +1539986341 +559606971 +479074732 +865754978 +1942599002 +555138546 +574143806 +1957816060 +1089516289 +1158429861 +971771886 +1214512824 +1710585331 +1756766648 +2099046110 +2049397676 +1470422809 +1764085751 +1437811065 +579601993 +1442739065 +486829935 +823393353 +1122280813 +1490203800 +30052901 +675814789 +1542728395 +1254158051 +574919617 +840015233 +646660744 +1134526588 +1319089965 +1512415723 +929641942 +1874228512 +2086559529 +739974354 +816261153 +1097505742 +1711746240 +2030773977 +660607425 +1321029241 +1982336440 +562521454 +643968402 +1598938543 +2000332519 +1223570395 +894193961 +339678806 +2046963749 +2016474774 +1829882607 +2077016650 +544805915 +1225127354 +1183691053 +1119725532 +2065142587 +1830351798 +106768472 +1236748904 +1195283873 +1036410414 +963493768 +1134359754 +1776384769 +1779754921 +84381849 +1340647361 +1663045251 +744989274 +514192954 +1497898043 +1307510728 +1158161356 +949352938 +1160359600 +234248104 +1843546899 +1500038406 +133728205 +1712538025 +1182437365 +63261207 +109860293 +260081071 +1246952260 +1229585825 +177740010 +929820410 +1336354298 +1414488915 +2125104283 +225281064 +230499035 +1111980390 +2001665833 +2010253957 +1196362239 +1194829547 +1525815560 +1941351513 +1709022501 +876229955 +1101378594 +719700210 +1825582893 +114254546 +953948314 +1521646145 +1614292952 +1087676519 +1086700522 +649246670 +1150937726 +1196560815 +909327741 +250406338 +278662993 +1087067752 +1180226749 +1615017291 +354073019 +1157847384 +1840298355 +584572054 +122344126 +1694480541 +447342363 +1318706365 +741826440 +1973157923 +1112574231 +303365293 +701904230 +66469177 +1023065503 +380003476 +180723723 +1977013817 +1901649621 +1795016675 +917206688 +840866495 +296779697 +2068144414 +2037427311 +1206107439 +171067105 +168606656 +145691543 +1351293854 +1783623947 +499764562 +361657590 +1476438654 +1084336616 +484001717 +1023435547 +1531678980 +1802708082 +1765261987 +1357353255 +767798665 +2068627281 +2059257486 +834267842 +944209136 +291777314 +1014991565 +773739306 +45943287 +662524593 +1690945994 +886809782 +959304290 +1611606761 +776753445 +17928081 +1782673866 +945360101 +163619624 +986484072 +581500400 +663384186 +1348141662 +2057939055 +1747720803 +1832143379 +933890954 +1131916135 +1487367814 +551669294 +341785742 +107682831 +472812927 +253559580 +941950674 +1417022063 +545336894 +1956942239 +43277721 +591280181 +471983184 +1734223716 +1478089964 +1431287475 +1198346829 +107359761 +1449215556 +833537047 +1052719863 +1612835181 +1820021119 +1634220263 +128735719 +1020679133 +1544675670 +1876456522 +705338865 +331082977 +860889009 +45223031 +882752271 +1202674752 +152905862 +1355565198 +1456234332 +1094856536 +625103613 +2001571227 +904315128 +668381335 +445367760 +1376298312 +255121403 +1923457724 +660102139 +1453468232 +2030817486 +2109317696 +139521631 +936053701 +1574669229 +1959542750 +422790316 +1703404948 +832738235 +1967465987 +1432377823 +1538077100 +151065316 +145783184 +1583300131 +1033817587 +1348457936 +1736205994 +241899137 +657208621 +683578882 +867002750 +511296200 +1587894010 +1535384085 +956663960 +816708675 +1790505488 +732638037 +1476810814 +1096490072 +615971875 +1438644862 +1236011703 +1552025576 +865830443 +1048070805 +1974815892 +421751744 +1880809041 +1794798231 +1854129567 +1271402493 +1945863547 +1999912751 +707218977 +832197486 +1200887040 +295941323 +1074096623 +1858095661 +979520205 +1941099374 +221908213 +419930568 +1328999811 +1178572173 +1236639243 +972021652 +1911210210 +565966409 +2068511724 +379698437 +2004611272 +1157039780 +1931724013 +722958067 +57626937 +1759056258 +1144709811 +1938435978 +1406370841 +851355730 +1062354824 +1204750741 +703784834 +1769573801 +2036948227 +1904671874 +2065515124 +963561203 +1615283887 +897551681 +757176929 +1837192100 +1317482249 +2086176740 +868280625 +406637844 +910714744 +632007188 +972604254 +831742821 +1011705625 +829731878 +1988782601 +795945991 +1552689945 +2046409538 +407518601 +549916109 +1837361869 +1813889442 +1401271839 +752233045 +871156535 +2105056673 +374323198 +760621115 +1862244899 +292354674 +1724182318 +1330045138 +1189906355 +333875599 +1019753590 +359904957 +272568691 +1888034216 +766542801 +1183283436 +372557756 +1739147055 +2015026257 +1384263381 +421395285 +1856325210 +32725724 +1974085231 +1755251100 +440244325 +376517692 +1445129321 +106650120 +1777789531 +49878718 +977806655 +1735362557 +424201916 +1738427770 +1450123808 +716556590 +1315126440 +632685299 +1906462946 +1649002039 +1652438889 +118884255 +1921570731 +1392989457 +885427056 +957370519 +1765547213 +477090464 +824913128 +1002326947 +898485749 +533754690 +1035052671 +725087332 +141522142 +1475296997 +1101605024 +1586651464 +1581947117 +731910908 +1636530182 +412270124 +319789817 +2060732099 +3214247 +1769913625 +629805041 +1318340687 +255115276 +388784339 +819859079 +1907554166 +507668594 +593946162 +1153059975 +1393095651 +1551316681 +771123541 +1870186115 +228746161 +1773450488 +621188216 +762500851 +661019511 +1346275549 +904022993 +2136316508 +300396925 +343190809 +1570779977 +1032307833 +1979720992 +1983050102 +1352097650 +1892969443 +1986264349 +974527628 +375290836 +1157121388 +1229642904 +764075176 +1976980467 +989713422 +1271743770 +423442981 +2142773398 +517355773 +1974759662 +766413291 +240058240 +56022175 +392380131 +861246457 +818523026 +1053399642 +60038358 +1722546020 +1042232503 +360435283 +2065736829 +465528832 +1392743117 +1897974173 +301095286 +597357119 +1643459968 +139875987 +1571884747 +2018750805 +1296997376 +654044004 +635342333 +1126494195 +1643757426 +1907086103 +1549937177 +1639047176 +276958229 +1377213191 +257976819 +517016469 +1433235367 +650356950 +1378262926 +104274745 +1703756593 +1438301284 +1826820765 +598505448 +1798736568 +1745073947 +1064034280 +1043996037 +1495564472 +1365129567 +1641353156 +991540793 +1505005554 +1065754256 +862807950 +654519282 +1719798260 +1498150283 +1781013478 +1216072038 +1257752738 +1183467007 +707635567 +1534710967 +413196550 +965612386 +2051727437 +1846431917 +1615969337 +1282506715 +1950706663 +1172242282 +573324352 +1630043780 +1770747730 +224577272 +1227634079 +687298362 +1268573309 +575714904 +2052427929 +762442817 +1567255697 +1409949836 +1828197073 +282579999 +2064469118 +1400511685 +1780730282 +1697998948 +469100076 +890999372 +733982307 +1176735643 +278226692 +1147178858 +2142348029 +182470481 +846127127 +1610833718 +1464977196 +649350142 +635592352 +2038301548 +131910275 +258856434 +115395172 +1359544354 +946154797 +1383968481 +1935259258 +851099078 +2146411299 +1355031307 +113565266 +1827124724 +1637611306 +30550737 +1080152762 +1270857940 +1728549685 +1549252838 +14373665 +315048345 +578504833 +292600357 +1462227203 +573369214 +475070838 +160870682 +36719285 +1940048034 +810220825 +672311637 +1830865935 +942131100 +931168072 +1946261107 +154191806 +1877322869 +1182745941 +2089451065 +580938299 +1181673592 +1296998724 +694503566 +861314668 +787126383 +725054303 +1941467430 +2057984323 +306120340 +1343236620 +2072357988 +621168685 +1921741453 +217474697 +2083395888 +347627020 +692545535 +96782923 +384346305 +485109922 +907003748 +1056657942 +168492209 +1849134848 +1987826014 +2114753316 +2003326654 +1717665235 +1150015609 +1945294071 +151119887 +184205553 +1094809148 +845623453 +1045520222 +1881935531 +1570677756 +839504004 +1792436206 +1876798096 +35256977 +1717310547 +350483134 +1956998430 +1934785244 +286395374 +157141802 +479847132 +383178297 +541488107 +964957054 +1290182045 +1598146050 +1133449263 +991833245 +1438488416 +1100718931 +847676252 +1008670004 +103250893 +645486675 +1159789891 +287456446 +1740295823 +2005413344 +1332976668 +1474747706 +1428607452 +24997025 +1119700265 +1157921900 +60254002 +689527164 +1508405034 +2017252432 +476828760 +1794800409 +26910587 +956675892 +30495058 +568398694 +1921632946 +1320677104 +19061096 +907598561 +165026701 +1457549513 +2008317493 +1012702953 +318735869 +2111568386 +1658189629 +1478525760 +251541184 +1251001804 +1336455456 +1584517853 +578265863 +617579260 +1609514878 +1697966128 +1775501160 +1669768880 +240009644 +1136422547 +1539537664 +716838404 +783739308 +1566448251 +1673514297 +814234366 +2134846946 +1447663595 +2134911470 +6424394 +207778509 +152454524 +1463973907 +68612354 +1165157477 +1782709776 +32697092 +675863458 +1113751888 +284238276 +1926865263 +302723696 +1868756129 +357647478 +920302956 +1330787359 +2055613606 +548320469 +853072591 +148139602 +1684743016 +245126608 +864978006 +320998676 +1811574859 +391008655 +1135233042 +1798938157 +1838672251 +1122660865 +1805362552 +2046450760 +1275115389 +1121852811 +2115063114 +292789218 +757078940 +276558 +968652677 +1870830828 +284514834 +748034292 +26070877 +5787316 +1105681770 +946373833 +1336574675 +1013811728 +1494694302 +42163619 +1161951330 +1031953670 +287290227 +2026929336 +1352952346 +2098865086 +270454344 +340701741 +1750319596 +2109126595 +1463362606 +1408198500 +2008093707 +590994347 +382567663 +1975673173 +883783565 +1139646603 +1975949731 +1852436242 +862993784 +112980917 +452986886 +889064661 +118768233 +1558668656 +1835438494 +1455342909 +424996736 +1182649149 +1497506528 +1586948066 +67119171 +1784796755 +1466393755 +1420071518 +1736178193 +1736848099 +1760773259 +1339014141 +1698491046 +1076652217 +599728993 +1559101105 +1667646564 +982296657 +1387290630 +403946481 +2121943260 +1215756713 +108899076 +837453396 +1328737630 +561885962 +1726518057 +1447505864 +2120554619 +1414472904 +755365125 +398067707 +449638405 +105388005 +1985015774 +516757576 +1890184760 +1303925881 +1936829094 +1478879305 +893290332 +1550118705 +670409799 +444297730 +479287274 +1270138792 +2003398835 +2146933838 +104951801 +1243205817 +403396672 +79411414 +311478882 +512295748 +916864810 +1640216512 +1074181710 +495899220 +940238728 +1047252681 +1910372124 +1695603853 +1445320389 +212526881 +1800991858 +1282852515 +729284457 +1543692970 +439294748 +518629904 +875088628 +1332585080 +2068748609 +1545498427 +1776882810 +400552236 +668153571 +1632797997 +400002426 +773105373 +728520166 +803399098 +852516787 +1039999048 +1315694846 +1769381597 +532731912 +242392909 +117797169 +1472970641 +1289645590 +2028169293 +1021090846 +587482331 +93212526 +674599057 +1870334846 +822496984 +70808379 +162145946 +1341126888 +945897007 +1494731026 +1262391849 +343911786 +1124130188 +1662944085 +1012065358 +609444537 +2062946512 +1785170731 +1337964703 +718861962 +490203870 +230480103 +2034556809 +112101819 +763212016 +129466070 +229898989 +88699009 +1419111660 +110584634 +1109789855 +2006593992 +203797161 +1784388912 +1729445190 +1026294145 +1855197292 +1891591137 +219937385 +653610651 +1238838515 +1482329234 +997522438 +215485056 +997789672 +2009587796 +824929593 +913252536 +1647274879 +15410649 +1632114498 +2137478749 +245890752 +1519187659 +102096920 +1009102768 +1648653729 +331995909 +1097801777 +920281742 +442580544 +60107985 +779392086 +646377705 +1844496897 +361353628 +1672671850 +1552210541 +105461117 +1892609235 +58337545 +1344299633 +1227454821 +1055859983 +1559784689 +77760845 +917964131 +237230634 +991013381 +417755362 +252641283 +475644232 +407750463 +498532036 +1994831891 +509847383 +1507634804 +1496001973 +841843293 +457952934 +268800067 +1284423837 +518060919 +1048192153 +1930801542 +215074168 +1409545781 +1455989744 +1767284710 +1515006899 +1201115331 +1825622255 +711822884 +281086504 +733998590 +124123925 +358847350 +1651962721 +361354559 +1349860731 +2069718083 +613995843 +1825504963 +329984898 +1112527879 +1672853207 +839832281 +472679035 +1021371532 +1681675574 +930631969 +1290171599 +818615763 +1448692888 +190880104 +601933657 +1663767057 +1600425885 +2057923401 +1283568119 +967949136 +1111555084 +961706726 +1679772020 +1392641589 +1695705316 +1803895945 +1751488939 +1200184389 +17766857 +953866022 +1122418824 +631762700 +631887338 +1452403722 +1744290579 +157256897 +144752355 +69485966 +1178628429 +1826427930 +1000117936 +321316380 +497560045 +301327176 +512196484 +1099493703 +1965094233 +2112622369 +1009933456 +1101178704 +933087858 +2121488541 +2062885430 +465376230 +1366646482 +1611107098 +121788528 +970651773 +663807839 +139555385 +1924517795 +1786226663 +771318085 +408921485 +1091146737 +368125016 +566178382 +1235899093 +437610982 +1744806811 +914843375 +1437728918 +2066123191 +1412403420 +1739056095 +430836027 +364413475 +1556666680 +395974749 +1374346932 +510361737 +1329062607 +1348351825 +425763519 +1794438837 +567514659 +2036870618 +1916227365 +1538166432 +553194809 +2055782750 +1315200579 +191937825 +679617187 +1724122065 +1283084562 +1047742203 +142816799 +371500007 +1485353186 +1887623611 +1286343382 +775598456 +1806263154 +551263155 +367170903 +89615534 +915676630 +1923837584 +485590283 +142539914 +286715673 +1814652890 +1490891739 +712479192 +1461608079 +2058406398 +601866162 +1230351797 +1449089182 +1155060972 +1138650899 +616806114 +1346998797 +1818268087 +193444531 +482599711 +718526642 +336261330 +854099719 +56396180 +76401293 +2140443101 +831994637 +1882664448 +544222608 +1199165540 +1972279982 +1459899239 +975519476 +310386617 +1602439153 +1262235149 +2125039507 +945847245 +1974714342 +1439163938 +856769995 +429096856 +522032087 +158375530 +1584157828 +1660682987 +775181644 +783672977 +1331467426 +968626175 +1266272689 +2049994068 +1304887505 +2120372408 +2106390249 +1381288799 +2113331861 +790901238 +1116469599 +510070822 +1990066778 +941265933 +1969970061 +818102607 +1251652550 +1424925566 +2080337756 +1229208409 +223289163 +1907568450 +520888699 +1080059159 +189181659 +1042920787 +1238434689 +1773339487 +556120126 +2013616333 +409528817 +1887587552 +834758860 +1675801506 +1790097972 +2139646365 +1648690266 +1749004573 +1373451516 +1614538479 +392422163 +342437467 +2124609301 +235005294 +1283703400 +1947095714 +1053107901 +387872302 +1224537633 +985962009 +1617080711 +1447826796 +746046812 +2137969411 +380402307 +935228471 +1033406550 +1618836996 +561084310 +1589526676 +1484969681 +970613127 +1329630580 +172244893 +498930985 +972244904 +164407611 +137603 +573765830 +1537859127 +1614676083 +966187993 +1880296595 +1591801736 +1201193287 +1016516347 +1391413803 +106817540 +1404388650 +468467788 +1092779550 +873985713 +1916294584 +1838826362 +864471476 +149213244 +626571185 +1897878026 +1768050240 +1187655495 +1339921054 +1105536274 +10784975 +522067986 +1277781167 +509715960 +1494312891 +1442188778 +509853564 +2068078721 +832564258 +2124529647 +886783066 +565377205 +1568847735 +2087976354 +1581893552 +812777890 +47310246 +838798554 +1281245678 +1140089796 +1712784268 +1050056615 +831432510 +429772096 +1199269859 +1458003695 +180166475 +819836451 +498175543 +1520087529 +1925372725 +508960518 +2042155516 +1055670245 +1018676478 +1388984759 +350375375 +1528530042 +1309579832 +1182939633 +1505576041 +48879250 +1748316838 +926940129 +2136855604 +1182726743 +1739718019 +36682203 +2021525297 +873480050 +1176771999 +1586825917 +1923536665 +2008204510 +2016598014 +975322876 +1318724557 +49280841 +1795159327 +1816900100 +1569368370 +1573048405 +178376970 +1464040238 +481235002 +1197053449 +705541349 +831610377 +578099843 +2015121181 +2014550011 +2083675885 +2064000432 +1615383201 +863132366 +2053372388 +650626296 +455366737 +2090054591 +524667946 +1328846787 +1119342943 +2111493863 +1104899804 +980063805 +1980608229 +2080222680 +151304714 +2029889070 +1727898360 +1968204815 +1451773793 +1153463117 +2146581785 +768330383 +1634698119 +1196151586 +1473871733 +318824848 +1774251430 +1341509266 +185891211 +1710443667 +1258026050 +1801274413 +426092385 +1163914791 +304417061 +881459122 +1106485734 +829085007 +62822262 +78345029 +793095223 +1167722066 +1058408834 +626219804 +1100461099 +1209713549 +508625227 +680875811 +1030434716 +1960399020 +1834338928 +1029532853 +581245755 +1321553399 +78200792 +2055117488 +1640378247 +1852452222 +1249143107 +1826269459 +1415412241 +359685509 +1480060224 +1841504626 +1523600300 +1784477285 +575480100 +482602387 +466078645 +638302362 +560947416 +1259173868 +1806024429 +1619356251 +1885393672 +759001880 +681586152 +246535251 +1439877691 +1712020868 +59450623 +1126732971 +594070073 +640696379 +300802722 +672270865 +548330219 +1941180969 +377239439 +1797473326 +1619966780 +1792651680 +9675188 +952543356 +1486672658 +1533275488 +589536994 +2062152759 +2015877875 +1055615639 +552971473 +429341644 +167305859 +211512254 +2048697895 +2052699531 +970514134 +582800399 +151751135 +262908177 +147337619 +211201758 +1389641148 +741407692 +851898137 +1690443870 +1413678558 +1400228357 +1484141192 +1790917997 +1050218035 +956624324 +1436086030 +1059893223 +1909167681 +775275040 +445685064 +351221027 +689944151 +314079291 +1406836666 +1242915625 +743420935 +1574142525 +1454427879 +644635182 +1479358408 +277458366 +1227435581 +1631109543 +540366543 +1374773200 +1842311302 +1930007692 +2116180893 +546725791 +1472967914 +1382375803 +1946954148 +809625458 +1025810152 +849688536 +1766249783 +314412534 +1909581759 +1527933816 +1089687575 +207783175 +1879154843 +1779631726 +521862467 +1138507861 +875063703 +1265283402 +565166738 +182007935 +1909918585 +2044525146 +459466301 +989870518 +1528151042 +999832844 +217160071 +1222978696 +782356888 +185857316 +1769704487 +107841155 +1568233119 +1569174988 +917466613 +446559623 +271379876 +536232748 +760972158 +33477987 +2064166564 +1850659733 +241261163 +1795837759 +1482807811 +763123630 +786861972 +210387867 +2028407032 +1352028710 +392395802 +1790841969 +1249070209 +851862103 +633228840 +629737603 +1851694947 +850388911 +1852716299 +486568188 +1036246227 +1474937138 +594409343 +456995698 +896628478 +1511875956 +903555321 +1168008354 +2048108705 +1664527479 +1201486342 +1964791621 +1367703564 +1442747505 +1613145733 +703027728 +58387487 +252524057 +913415595 +2086794519 +1604552768 +1305811397 +1730152841 +706139329 +10189852 +215898033 +1335876932 +1861884799 +1066286944 +1041109583 +200969339 +2102533171 +368563073 +795378682 +412045221 +1265191552 +159770991 +1315600542 +285716258 +60396048 +832644374 +1487202600 +2025187669 +52864290 +782466457 +1490849754 +755892018 +840853944 +1743373812 +1669307613 +780164816 +1200442932 +827635362 +362834009 +1906582261 +837825214 +578732042 +1094975545 +552226366 +1645018986 +2136085128 +753195705 +1600068509 +357164553 +1548574388 +2012113730 +1622356105 +1708345379 +1180230624 +1908072364 +1768741427 +2012874998 +1247791316 +1646445448 +2065739289 +2030257774 +989811555 +674147659 +723628070 +585701719 +195971625 +1503792886 +1786144651 +1023606987 +1866626895 +1545243264 +1861432202 +297875289 +492735161 +266174920 +1942894275 +481336641 +1019370625 +1395479136 +838501194 +420461365 +1260109218 +313373652 +2128806744 +292856195 +73962368 +1750064523 +158247545 +1321753684 +1249026324 +76503186 +1204527810 +91354231 +750650846 +1928155881 +677055950 +946622471 +1284465119 +315716953 +1970229458 +1003608367 +1860960217 +1684178012 +1301483656 +206211730 +1950352932 +1096894284 +687548371 +822239910 +344889772 +1526049565 +1242701275 +1604998991 +1839423217 +1224024372 +1897855186 +1913385585 +826605247 +2056102731 +1087655622 +2075631571 +2132605918 +144699784 +19502154 +735773116 +2072855665 +696558104 +1682395587 +1209837137 +1012275057 +1505141397 +65961856 +725751626 +1041835762 +1367445512 +931963356 +844705046 +316856148 +1619511727 +1666944956 +661745921 +998077645 +762162584 +119261264 +690017214 +1986186956 +2017116450 +455919152 +665308555 +1925735533 +1543574774 +593456479 +1910857803 +1688274558 +612958633 +499147271 +1613646576 +1309516738 +34059210 +676000065 +174308147 +1539200608 +741961921 +900059774 +433552722 +2109407433 +1832023130 +1278257768 +278779934 +1304051210 +797719077 +940525855 +154645207 +1559881661 +1059787119 +844662421 +1398584969 +929419921 +1300581573 +2063893524 +707671806 +696672699 +509866355 +471045962 +237463610 +1122824989 +970193233 +1851110186 +284858079 +1004252444 +379626603 +459166226 +395969404 +1121588524 +1359226000 +829522126 +1083512309 +1043765483 +2107779894 +1362292243 +200333045 +758015323 +155334450 +354978252 +170413336 +1215121569 +1199640673 +1568998305 +2144541490 +352738599 +1485408182 +704729649 +1049411298 +1995274537 +1175775611 +1286874908 +970615878 +2145968844 +990501446 +1255473957 +1002737640 +1370128049 +1714640184 +1398707044 +344232925 +926382536 +80745522 +1427745235 +1970148019 +41041769 +642553830 +22997416 +799057092 +797888281 +377975668 +969470429 +2013009850 +1577616342 +390985086 +2010067693 +1930354941 +1876393268 +567313694 +832282591 +1724184158 +1743089305 +2119157500 +547316388 +1741574501 +962175298 +1802790346 +596828494 +184819700 +1369946882 +1995535538 +529052625 +148845770 +2076281061 +1956797860 +2118993790 +2117322830 +451868043 +2141991206 +768896274 +1249756324 +372483227 +1738366703 +1115282526 +1950099569 +2129351790 +977866571 +1732970862 +1858261410 +1545180265 +417769805 +1434961920 +1140785922 +389443657 +1982278309 +734876776 +1351618956 +1637585007 +1331705270 +1536438656 +860048241 +1179757160 +2065491281 +1008894011 +1108554573 +1874805494 +980404153 +1078393755 +179189889 +974911712 +1847290030 +1428946213 +1347394939 +1438173085 +396745091 +1150010860 +1420041227 +1374611663 +735498074 +1130818990 +772308280 +1153267879 +418297262 +1913094203 +1542711537 +253091923 +500487331 +746846845 +1890676930 +1832192601 +135801853 +603241523 +864466113 +53809486 +1612135535 +1973020687 +1928614980 +445056040 +903930794 +2107804869 +1419967752 +603737176 +1389267434 +619879043 +2041910262 +1786012526 +1769889903 +1314467841 +1013140541 +357904329 +297803183 +1785448821 +1511172209 +716100446 +1551059376 +906400098 +969192369 +2051546707 +1653246943 +712385652 +1736255660 +1789048796 +1315627175 +453238126 +1842858282 +780279062 +278775165 +1623989615 +1225335103 +1182705959 +1584310836 +497819207 +1786443136 +826094623 +1117698251 +1680869750 +464623501 +740104506 +847853943 +1477764042 +1098008836 +1145657127 +1115729215 +461697397 +1861757573 +519304944 +1368097495 +683466294 +423368003 +873860790 +1395851946 +12140016 +515425938 +563995474 +465378142 +210800572 +1344274536 +744153307 +1834790187 +422125991 +1926859266 +1271617376 +919945199 +1565818754 +2097711999 +2037643450 +1099204856 +414851852 +630264308 +1947058800 +1892615894 +1728273144 +945232279 +860861461 +42486893 +659506204 +1380166405 +1410584388 +1342972498 +1803534409 +136961530 +591340797 +1815674425 +652387468 +1155336271 +133568919 +863188041 +352127159 +877722226 +550494580 +774253151 +657097844 +1822111956 +1694198350 +75432951 +1772340307 +1584358152 +1174637807 +39708511 +67138812 +974212959 +1932324405 +1795411957 +1919445238 +645702219 +1837898850 +431467794 +2025868624 +1100999591 +1774440293 +1681919385 +1237961121 +218297442 +1350110162 +1890348590 +1373633713 +1483679081 +606052983 +1725760872 +213917659 +1156547563 +352530375 +871015504 +831175872 +2046728725 +946448455 +456032531 +1483603229 +2121086262 +495741043 +1550742042 +947815574 +280581800 +1198670351 +719777164 +926284019 +889085553 +1151244959 +804668996 +1990085144 +778201604 +339104733 +1080562618 +996499046 +1689214896 +823427560 +222649111 +1025410329 +1429480543 +1948409983 +1239327989 +438544458 +153456711 +2110343493 +1269720330 +52701788 +909308300 +1725752862 +1536305018 +882910914 +74010257 +939563412 +1830726488 +354592057 +2138233763 +403020005 +1280876077 +879835668 +1554264964 +2085545073 +722437165 +184982920 +277166158 +1802999783 +1181481966 +1966381054 +478943695 +1404131077 +844307736 +1908424238 +1205057412 +2083635725 +199485048 +1358514123 +2046495570 +1469205379 +1411215912 +808320222 +1047474593 +800037282 +1691231136 +1121484850 +1739600694 +1374473977 +1476076907 +1730350809 +1777493982 +609469336 +462702829 +1184275298 +547530761 +1185139994 +1369258218 +824696920 +840656129 +403256536 +643594326 +1319599824 +1807387613 +1487902062 +1080540414 +864961377 +1424054139 +1280025463 +75991853 +1323066061 +601747194 +1487207765 +2131386283 +1649221787 +139761399 +1675133772 +623222989 +1879362093 +902124101 +2099299896 +1462229254 +532134435 +561285585 +1924932083 +1716409733 +1108816346 +962588430 +938184303 +1933513266 +1803244559 +1341440839 +429623945 +975360736 +1001344804 +1917526007 +2055901150 +1866306181 +1194096499 +1188442965 +1942298034 +369678912 +1790190159 +1282022151 +353581548 +1291928298 +1421783550 +2028715320 +1915151287 +1153661995 +783355773 +1866967536 +468407601 +1315490208 +280769473 +245856037 +884416293 +1389585819 +1208444467 +1822600596 +1175615438 +864205378 +1016557787 +1605239383 +1839566114 +2017902591 +1375281742 +1747983617 +1736725124 +421894593 +788942934 +1531539511 +791573506 +431649446 +666078014 +1145155054 +1723577744 +2087861565 +1026386726 +1491245384 +1094039912 +1809742499 +1210729272 +1562447514 +977749059 +1491498745 +1808303551 +1862165352 +733600916 +869264370 +1537282300 +1909216354 +1733469748 +406356439 +1366972089 +1425552215 +276775382 +594770184 +1026052184 +2013500506 +1016664777 +1814995118 +1397556369 +1808238283 +99160916 +2063634384 +805909689 +1822738661 +2004012301 +1832296415 +1166500397 +950568565 +1494555266 +229746021 +365532431 +324820677 +1721244766 +26352334 +39502381 +307362034 +895616704 +1576784681 +69094741 +481602805 +1983141120 +1436066830 +1907155020 +112432854 +2030837014 +785723556 +2125933361 +900018144 +453235026 +1376006082 +560772779 +552395943 +1292156818 +1366682469 +227650956 +1148685471 +1051495236 +1394151353 +2099254037 +398566855 +1623897374 +317302820 +723387532 +1197658492 +343655155 +762889914 +1505020526 +1239271859 +192190947 +1574115267 +1720874664 +27848420 +862698450 +1480546036 +140281274 +746051816 +118785944 +118730987 +1646069960 +572020971 +1494737070 +59359092 +1124416914 +639410240 +1426041561 +1352067870 +1788095712 +330053149 +598735575 +1739866101 +728620004 +75149301 +2057168921 +1452007537 +1272807793 +253340428 +67413803 +630344671 +1492612288 +259604750 +56976291 +1066003304 +287453170 +919674741 +399065693 +427734445 +1665726557 +517851637 +546465432 +1164312870 +1089872608 +2041202502 +1223671962 +66805874 +533129095 +502229875 +1418873744 +173741159 +832283024 +2017609319 +1913607260 +1560903029 +2092758620 +1823292533 +865426918 +1218082765 +2076632962 +932840721 +1848427437 +1421761602 +1192445471 +1905403728 +340281258 +1479898642 +677594821 +739346951 +1907633087 +195837730 +1257198589 +306614871 +1360150600 +199587549 +200333726 +436338914 +266393424 +733462821 +938568789 +1685267168 +907203980 +1770851814 +1555392840 +673327592 +1184271195 +1500667812 +349136477 +2049698113 +571266930 +278285791 +835055186 +272210719 +1700047393 +2027500657 +30130799 +2040328652 +1359915651 +707725620 +632191955 +1120065090 +903563350 +1889390544 +1426679962 +116230303 +2088978094 +1627013688 +552569217 +207887870 +212992861 +1491138007 +1893155038 +1120196841 +1114506173 +1301064230 +1793524433 +151293720 +654248395 +2142660910 +53508185 +1225515325 +273463054 +888563371 +1497726044 +1973510447 +768580380 +1527856843 +1866355451 +2128496032 +88098815 +351063759 +1101077474 +991662165 +92970655 +380273788 +1107892468 +34465101 +2007287476 +1660461686 +242352971 +72796689 +1004116045 +2135508010 +1192993530 +2118622218 +1289088592 +839034315 +122432290 +1943336987 +834211578 +175940475 +1021368664 +1107674632 +1064503846 +371611060 +933701431 +1833084226 +1899467903 +652573235 +1814096610 +1987566718 +1003636994 +767690437 +831745236 +1096607649 +1147964225 +1939637704 +1131072751 +1007768054 +1452615742 +1373425722 +1080564743 +309248139 +1361450084 +126074626 +280386709 +503055029 +965108941 +402818999 +298908368 +1799320519 +578759474 +1320277033 +759511503 +1643263320 +1691888093 +1693212935 +1328863899 +1443872349 +198302522 +995476861 +1283955419 +1201939516 +1763167298 +2115700655 +151063517 +763647876 +1907854712 +1282136268 +1771415930 +1212986806 +508078343 +704497025 +1522234946 +1869528427 +830571651 +1802621655 +225099808 +1795680593 +57957007 +524008177 +1447517464 +636716481 +1844285210 +59545320 +132496154 +1388689655 +1752758255 +1461360053 +685078356 +1951060777 +309353266 +1969033776 +1005516645 +2072520565 +1937250783 +1156580162 +688684793 +1697621847 +291232783 +312617075 +763125006 +799311126 +1017114100 +137876304 +521355905 +1847685752 +1940497959 +746455714 +1495882697 +1998454966 +1270463891 +795916513 +487687800 +967265453 +855461833 +620183954 +208471460 +460736440 +2081544007 +893549817 +264313569 +243413625 +715099945 +1269830214 +168450542 +504867080 +278926729 +857135335 +55005280 +570159512 +1169752410 +818130286 +1369470638 +39382863 +956006590 +1890826543 +1887068615 +749020901 +489798609 +1235467664 +599992220 +1760262500 +2031384177 +1087680020 +580044305 +739362363 +1707863974 +788515766 +1200098803 +1641924333 +1682065583 +1464412373 +1885337958 +249681880 +586758939 +2053788501 +754548960 +865685668 +763440188 +809554240 +1435845180 +1933192599 +1627684526 +657832170 +1972575462 +436207468 +401175066 +1712160429 +1185228370 +890973675 +800144445 +1785220590 +503752528 +684044974 +725416962 +1083796833 +1423407337 +285797288 +1872312599 +476022493 +1927721621 +1406894534 +1940434866 +1665575931 +1656576414 +379710157 +1571880784 +263641727 +1245395826 +187837325 +1073195967 +533757358 +2121029924 +553396846 +1191589529 +1946121738 +989604314 +1592764595 +1510798519 +27349036 +336254622 +163459316 +1812569626 +840007150 +847504290 +390502940 +1923803984 +123427980 +676300228 +1648632935 +599450473 +456538201 +908043822 +392401691 +2122114133 +417136588 +772111848 +1546511269 +680778315 +2017507674 +1734348594 +1753974283 +403781385 +1707894870 +159887481 +1595370914 +1506532960 +1149491795 +1040651861 +869847831 +1176840832 +1376906483 +1033307147 +841926810 +69429986 +1880811438 +1232429751 +1993233970 +2004239418 +1908729979 +1494383257 +456206243 +217784533 +254943431 +848607934 +192415018 +672080020 +1620719782 +1738926287 +1352858335 +1490743809 +1325791234 +959348970 +1894525194 +886202456 +1119236451 +1342412460 +245251769 +121244599 +235580673 +1115099600 +1298085431 +1612487156 +923100 +2140012241 +1681917142 +1881734538 +1224958344 +1527667464 +1738490308 +986204676 +874567074 +47212903 +1203989209 +1129510505 +895820837 +1396404227 +1801590525 +369056971 +987846866 +1006965213 +1859800780 +166154452 +1966314183 +1606842326 +1052356909 +938066987 +801771138 +1297608678 +1059311586 +1037351811 +265224630 +209913369 +502355320 +266147730 +202441962 +36788814 +398620 +1427400307 +1564456279 +1738888928 +266121335 +291539705 +1786101831 +1470110544 +1421050210 +534439020 +719031123 +1075157088 +903495992 +1706877989 +2082122301 +615813124 +1873032442 +1900952836 +75171803 +777905703 +691536175 +876942941 +2075514381 +1750847761 +1914294753 +193255363 +1960761130 +269166425 +459403094 +15719445 +305955239 +459801714 +1443119752 +1870411518 +51206995 +1709241087 +14467575 +1837308826 +1031867983 +1435517786 +224264199 +1750899106 +363191226 +1127760191 +1310293447 +297829879 +1743573315 +1035842241 +51299067 +1818745118 +1813747944 +742835243 +548204412 +1741778677 +346199356 +315015517 +1935034041 +159476839 +584181942 +246953487 +175196284 +890137181 +706755201 +1618316036 +613065052 +757962196 +1180073475 +627532627 +447787375 +64457810 +2063050413 +672051574 +1815356916 +278757991 +1799811765 +978166715 +576587870 +1395901432 +2014008957 +627886938 +1067162903 +1680273253 +1370722181 +1615367315 +1274568283 +1716921537 +1930382832 +1062118676 +1876398376 +367081126 +1309072163 +2051594660 +1257218307 +2015827364 +1522427048 +1870283359 +626305913 +555016875 +350332339 +1074093288 +619474685 +265899104 +1746144862 +287347953 +544657096 +1398472979 +1265514669 +1121244966 +646890763 +1132039978 +1749131904 +1714053666 +664829583 +972370437 +1181937333 +1939397866 +541808327 +964836517 +854032894 +270723055 +1331917643 +15621409 +174834068 +441652303 +2031448774 +1697261116 +164452014 +510271039 +104794344 +514784353 +1584364327 +724269029 +780683458 +1183025541 +1011616983 +1325340554 +434014872 +129648004 +299101872 +1080905635 +1261687982 +2048233777 +647475654 +1926517565 +873120566 +1829412987 +1718431784 +1414928893 +646765857 +424981030 +1685651949 +1978683500 +440602440 +1860486017 +272852155 +324567566 +1410263485 +437304170 +834838605 +1515057829 +952088523 +271719284 +91843211 +1732771981 +1454744825 +1103460194 +910628887 +1888759697 +1233108198 +1209730760 +822181684 +347312532 +1110480889 +1469657338 +126346449 +1983601455 +1151586678 +1844778233 +1251046701 +1798352535 +122275616 +789215002 +1629552387 +562878056 +502217371 +1902404543 +887445622 +1912480856 +192225065 +1722284227 +1280055038 +1144313588 +1994003511 +1371898249 +729601922 +1301264688 +327874795 +1640230809 +1042540737 +1560982993 +702477921 +1864722421 +1908295525 +1812958810 +1186896112 +2034641974 +1649076618 +190999142 +1731936560 +752639671 +1989351677 +1854212176 +1541854673 +1471420416 +269606584 +2044072044 +1226341311 +1157052206 +1809069252 +1418566376 +731852785 +941640642 +415396317 +578372648 +166055243 +1144998239 +1879637336 +493930038 +637745400 +774694425 +2054913031 +1340223322 +491933198 +1815724908 +1005698484 +1678829310 +1702883235 +507291454 +1869828452 +1287336147 +1259931125 +1711696481 +994064675 +654302150 +1035633250 +1263671259 +550890546 +114490913 +273239817 +212476151 +1533057290 +1005092602 +1154116793 +1948453607 +1583465250 +1320172037 +945968198 +1315618938 +1814102075 +1583713598 +2090313363 +1721531459 +776453272 +434762913 +1389772719 +1782151757 +2113592224 +945172306 +141959563 +1835937028 +85024805 +1401890689 +1400149862 +1079089480 +2056192839 +288299464 +195277091 +459599738 +402790377 +468516908 +672075889 +1935847667 +1473609510 +1826192682 +1736817626 +909591112 +998881071 +535302176 +77726402 +665499499 +2119015775 +20556117 +239547310 +747985399 +455319031 +1629320029 +382653508 +421427607 +427008688 +524613072 +109880987 +512033493 +1926503761 +1510030849 +1591122974 +1835212952 +1798330313 +1786400065 +147329042 +53637043 +107433326 +819404931 +1989484710 +1581042836 +498113966 +1578818689 +343150301 +1496995037 +2114120865 +420876703 +15010888 +2085652992 +441432821 +254558198 +686154744 +896751852 +1883878228 +1068808252 +1318179459 +163403268 +1593421324 +1428060446 +675436761 +1372441437 +790607648 +119076087 +1060170742 +441454313 +1905476153 +1207499784 +495091356 +2012909479 +2026904716 +337092419 +1446468667 +377535034 +1915911108 +1789618968 +1874530071 +1882548325 +63012024 +1889540960 +1820717670 +504444845 +2144099158 +359388766 +1401196697 +1880493738 +1428197018 +571892508 +2043897006 +874134695 +1999952954 +571850120 +99092484 +643076954 +690926207 +1159263226 +1084531268 +448918712 +219279363 +1579622624 +314344543 +98700431 +1916715043 +1760813211 +476235465 +1685142503 +1402948531 +203281888 +1420207181 +1465960555 +2092822848 +1093441203 +1970405400 +2089438359 +1452829969 +1224118449 +1822448449 +733543339 +1796010957 +1718861808 +1607678034 +1648480264 +143228280 +1706770519 +144073570 +834154487 +718550097 +1228604838 +1283073200 +937829460 +660743815 +1597417743 +1036529891 +429975210 +1210747306 +1512765356 +2115117714 +466212190 +1716047245 +1387841247 +1932172745 +1661386445 +333798802 +1755094498 +1603341156 +1786628771 +831729299 +1278305958 +372688462 +480256609 +849684118 +1980366497 +2128736873 +992912398 +1539653368 +125326795 +1827066885 +110719817 +1353931634 +962656437 +1048549278 +2014675449 +412590533 +2085079169 +297167011 +1623337839 +1450360878 +264801077 +2089550029 +1018924475 +1652642324 +1874239127 +532827272 +1986441126 +1481849977 +2136168429 +1625586249 +166095628 +1266990739 +1998274712 +646352237 +2116674857 +1831157561 +627605462 +962103607 +1223327281 +752932258 +641686844 +1334047098 +2106863892 +1604343282 +235112728 +1974055693 +2016933815 +172708250 +123739056 +1492788006 +1623069128 +388540134 +1434854388 +494509955 +2041182458 +1161609867 +1027337227 +1880139937 +495976196 +1016022008 +1358242538 +662071824 +135529099 +1209033602 +1308424062 +104720308 +892707515 +1936029524 +1066823915 +2116034796 +541478134 +1708510760 +1302598247 +500858378 +1165370394 +1537710975 +327430423 +1034820561 +1710419225 +451169480 +380124919 +1186004705 +839709614 +1814979307 +1680514660 +733408424 +829105526 +560368240 +466064713 +1325081722 +1576390248 +1824307252 +1987153547 +1711919348 +885857206 +1148093961 +1816639656 +1778564722 +936639837 +735979924 +1747115870 +1478117972 +297007036 +902230469 +1978976350 +1462377430 +292457797 +158923126 +349714343 +2002877022 +610092606 +729839262 +1041398080 +1449802220 +397334922 +574429092 +35726996 +1226440448 +1134797332 +501791710 +404038523 +563703933 +178615314 +243708422 +128139633 +1064472520 +1391802383 +1944779289 +695553594 +180958572 +533275565 +295185817 +1659076544 +830282601 +1197416286 +1490569247 +145176383 +1489874083 +1649492373 +494890726 +1345267458 +112101331 +1224729989 +239181890 +1561903551 +1622064911 +813610982 +1597630547 +701021711 +1948408315 +2099422257 +1105060234 +364628600 +130553923 +1348768656 +492768233 +1195026444 +593087391 +290063874 +1890580038 +774045964 +823339440 +38282207 +285638860 +1653622041 +1235698494 +1776208107 +1798798425 +578088929 +1278216832 +146205503 +1923356387 +1390318163 +1370935492 +15054629 +804738066 +845516755 +828665612 +254884966 +1546538467 +629590279 +206823575 +504115053 +994218879 +337377499 +1852883710 +1486987112 +1532403943 +298487453 +1777050986 +1275500333 +1072533417 +452906778 +1313782541 +1358172278 +2106528820 +401997387 +986896737 +1757843597 +980086316 +117629922 +1904049100 +755959056 +1507948085 +1127500945 +771013685 +165202504 +1973017700 +1599679297 +420087470 +1372072519 +81785928 +626911045 +1876187573 +1076004807 +964288544 +1581587635 +415508271 +349208839 +1880075088 +45075610 +1624709173 +805124858 +497982388 +791008066 +15813488 +457027560 +1193005453 +1002710225 +67387509 +25608121 +1120340147 +1971436610 +781567177 +480804585 +951453907 +1552580863 +646007089 +776987959 +1004776512 +1066094559 +1576831 +1086562441 +1693005604 +1877764404 +15083600 +509810501 +1311868391 +430591872 +859019340 +1044459831 +475667482 +336244865 +1849584689 +973649870 +1127252931 +1865398177 +1430677431 +172774736 +720624755 +1498064940 +198382858 +1840964902 +1322017902 +979950035 +174285839 +125988161 +385047250 +820292928 +902976121 +1389823763 +1886387487 +904552952 +328902556 +1431909444 +634833708 +343986156 +1941719945 +1946702099 +774578028 +653255637 +843678282 +1250245510 +989500503 +545779324 +76411733 +2116753434 +263693853 +1507089164 +142044523 +984318608 +857670456 +340427381 +677799863 +32204711 +1320377416 +852085702 +158192872 +1705424667 +1672378631 +1061168993 +947764782 +1411282470 +1965721945 +1276667338 +695708266 +453072005 +1620653494 +489944563 +252290456 +247747875 +1143200201 +1095968739 +1497993385 +2132700704 +1641748063 +1574405118 +2101970490 +1905441916 +934010634 +96531365 +742276877 +1791681091 +436958746 +1420076740 +1823885802 +1757336163 +124678794 +1982078674 +1315277182 +1797057425 +895764020 +115558316 +1060856248 +714002317 +1392225654 +1756564514 +1167074323 +865395500 +99025430 +1419364779 +1113143375 +1242225631 +367849870 +463653113 +1227442687 +2009597933 +2038058231 +1181929529 +1767556202 +824585218 +1278460895 +362349431 +468782661 +1715419641 +1782426171 +145184815 +1325272156 +1907104965 +2127263489 +493065690 +1556678743 +875543861 +608624006 +470051343 +1589546179 +2000849660 +79132209 +609136854 +718761513 +178157639 +2028501633 +1831904888 +1420383270 +248867856 +148074353 +500342309 +110982141 +38648937 +1682271839 +1878538343 +863234155 +813249086 +93404126 +1332016816 +381185079 +1875830297 +1477201631 +1706457236 +1635451615 +1456981472 +52039278 +1044646710 +185041686 +660663285 +1514698053 +1774587865 +514029297 +1593830262 +236241071 +1232790810 +1771987902 +117259056 +917212051 +1044887524 +366126912 +1065286404 +1545229834 +477109054 +1103935341 +1080018025 +208163749 +1967169496 +1893267111 +301567876 +1151702664 +126968542 +29914525 +481420647 +1833425778 +1665366140 +1938402120 +1885465057 +562529202 +2123443806 +398644694 +2077227255 +1750548023 +912673991 +1523573870 +1986789094 +2145464802 +1148078124 +2104048150 +915193205 +45482000 +322691415 +1980479609 +1590711834 +799800469 +936931303 +523246211 +1007964218 +756617151 +269029674 +1309532094 +1908319816 +395998217 +1339446620 +242256815 +81940347 +857329112 +33175287 +1967405404 +1419858315 +9135445 +218566450 +1349601922 +1759683468 +1131240442 +725692144 +1598988914 +1129221596 +1873770268 +1555553417 +2044414801 +1919252269 +1878244832 +1877410762 +1362480455 +530561653 +666858417 +1885726667 +1538525871 +1423475569 +7272693 +700574318 +1184311737 +403270910 +2040020938 +1426568552 +485211258 +749866402 +1459743840 +305133014 +22241069 +1468879285 +523699465 +1371842992 +1081079106 +1654939907 +2097535136 +532584372 +636677855 +1823821757 +2088137789 +533609008 +1595590378 +1818898973 +263536122 +810587185 +201976978 +930394540 +548830204 +1740502850 +206386461 +556102898 +293593520 +1390698198 +959373808 +186130810 +669783102 +1444585066 +935997212 +2129526942 +1749718081 +958238282 +1450922580 +125933898 +182597626 +384518038 +1780873805 +132649114 +917102410 +270068012 +1956470871 +857756552 +803677020 +1404577601 +529171877 +1067213142 +67681139 +731148856 +1997607682 +616511343 +324168058 +56510495 +1172614241 +617761578 +1447208693 +2131988050 +803892388 +2116991796 +1429089468 +1739889600 +2099035090 +1031323901 +550644234 +1402474022 +1157257799 +733241860 +1786992060 +790647956 +865890975 +556610823 +1060715968 +674878198 +1414367375 +1864392988 +2079455800 +1943539252 +784122483 +2147136939 +527204460 +634246517 +616164634 +851372518 +690757013 +1788778876 +1469134096 +2137965706 +1773283278 +125542836 +2107473854 +1054889098 +1865432437 +2059025297 +2086213000 +268593023 +1314015671 +1095987151 +1001834884 +953524084 +1886635108 +1867725859 +1510134907 +799867428 +395120409 +777018634 +516776769 +327092561 +573074238 +1300899252 +326745852 +1100278699 +1935145769 +942910487 +1951651217 +478419134 +584205715 +1273301666 +468901193 +210005345 +1398844502 +428891399 +1264894443 +1116793291 +340433048 +1203623795 +1385386315 +1654448720 +152127299 +239737551 +460489156 +2038762407 +2107463410 +1970624063 +691146187 +355100171 +600159049 +1207922956 +682192733 +1173233287 +361338560 +1008938585 +126028338 +149000682 +1951849072 +2077679556 +627419816 +388571139 +1203497574 +1096321009 +598576484 +454858428 +1525212409 +1863470928 +1571651720 +1865645457 +919611075 +809554387 +1372610529 +1071738374 +1049291938 +1833099685 +963017133 +1009271700 +1656240100 +1654163321 +1364371871 +108915501 +714602629 +2046564604 +1282148789 +1075941190 +908019542 +1408177127 +1224941872 +712384966 +1338373035 +1852361688 +1100956106 +394386961 +801199050 +1699532590 +849245390 +178927811 +1415519870 +273413462 +2044573268 +187647298 +1082967849 +1269700150 +1259385672 +2132259787 +955316187 +74919158 +994047839 +464072640 +1729082479 +210936062 +572988141 +296201460 +110017019 +1855136930 +1372142650 +1018036561 +1115830410 +449600874 +1730421527 +306719797 +154478915 +683893985 +701106759 +955677965 +235942928 +1550352149 +1134605776 +1651462798 +1823765611 +1031695396 +1839110096 +759249812 +153911898 +951012121 +744025951 +1109228086 +1025931279 +1738073790 +1573300726 +607530110 +1949009852 +2146288867 +903731570 +2059026871 +1853942150 +128390573 +929579784 +822288912 +577991447 +512517664 +1129008709 +732470362 +1196411649 +1830115468 +1688148327 +1432354577 +1232983969 +675270455 +936333728 +909265932 +1706965852 +627960176 +1668515744 +1860877750 +1578972297 +265058047 +822622188 +457419928 +2003131837 +248439266 +1064950038 +1804658042 +247244486 +1968681609 +1716201265 +2101186636 +2097072182 +498297402 +775991900 +527579981 +1010815066 +1905000609 +1260050344 +59743067 +1587632430 +800715023 +1492097645 +673132751 +1475985479 +280947725 +1582398684 +1035467683 +908907901 +1103430780 +748861785 +340396551 +1368488828 +1571483974 +797816479 +1224137017 +1819923240 +1862766518 +881311411 +2067167726 +1683964479 +450029029 +2020870714 +1633553013 +948326431 +649378966 +13649346 +1959141497 +406895928 +1273699690 +2018884564 +1994528358 +2074414714 +1363498561 +520177461 +1402916545 +1644446286 +2102576145 +290900580 +405870540 +1058523278 +1039762365 +746267091 +279528458 +463762691 +1544083570 +1503665475 +136202284 +1259366440 +237493239 +55886362 +795847271 +687522268 +2076757077 +281916636 +1635848699 +578652395 +295565983 +1447506548 +985548323 +1569265673 +1318907464 +832593033 +1496196739 +534922378 +1352770495 +751629636 +31885016 +1307862992 +1042530216 +437755556 +218902622 +2082292582 +1184022647 +498431080 +398571625 +580622570 +2002096556 +534773909 +1839989010 +92106147 +590660272 +488352634 +779628415 +519933701 +770269270 +267993466 +1098586096 +1065835253 +1715500014 +2084134420 +487617279 +886923830 +769243805 +1983814018 +1421846208 +2122014300 +587960007 +1453731225 +1282393645 +1630490223 +1891486781 +1501296267 +1565299157 +928025781 +1999727348 +1963870783 +1508648351 +1854340256 +351161044 +1201153713 +1946446403 +941821316 +1689506347 +578591170 +1461755017 +312291970 +846584636 +412857466 +1378127223 +414601002 +349508238 +1865744502 +1301524832 +1118752043 +1702074873 +575887393 +1093282696 +142551232 +2029618618 +228192693 +1773041455 +1773621751 +1729488960 +1190856965 +554163884 +1581732660 +1007244100 +2062812235 +1288589268 +1358405144 +1116482301 +1087552023 +152742813 +658505000 +1666143193 +1614497830 +970796970 +365244181 +2027355296 +201440546 +779845183 +229379886 +2067185048 +2081370016 +1348131930 +1621776273 +509773761 +293930978 +1764327505 +391908731 +522123671 +1389885313 +18046834 +104128983 +433258630 +572210719 +1685861644 +1440502730 +487539306 +826967264 +651424226 +1604021607 +1914519288 +804167039 +115042960 +1433178833 +271181222 +1085839930 +1798423015 +151052870 +1287280476 +430784550 +380432757 +1206981877 +364670918 +1728564687 +681274502 +874444679 +2022495665 +298118360 +1266353410 +397135688 +1688003673 +1284400245 +501264671 +2121262303 +1856610964 +39642667 +1414281385 +196666622 +866609932 +2065705611 +1800688230 +633645572 +722389003 +1915731190 +2066824405 +993570225 +854087472 +1717763772 +1144623095 +2141367949 +1064675 +1525055852 +1200866178 +365735593 +1106136891 +1882140680 +1240180273 +981148908 +32775392 +359050035 +1378284596 +1720779065 +1643450280 +1879549268 +1694557720 +1352577596 +1919191935 +961355457 +1549244219 +638318219 +879577421 +1202448801 +1271963791 +1601966424 +970696343 +1191304549 +448053001 +1824783815 +761584673 +1592676096 +1818668116 +762649348 +970248301 +872050646 +1128384942 +2076385192 +606707679 +221081567 +910050453 +639483071 +580131602 +140851401 +212778489 +76098235 +2020400669 +1907336209 +1428675831 +1792108957 +721208019 +830436402 +282943528 +1600785440 +2032885203 +1554907320 +1055268216 +856097898 +598728221 +1503321217 +533398066 +1360312894 +948513665 +204582534 +2122962243 +1918761966 +1076633181 +1103863537 +1847663511 +1683340860 +1324945104 +610230316 +175340283 +1905076706 +751081717 +388118772 +1981174941 +623998739 +147971334 +1262367125 +268624048 +869179353 +2092803527 +551567576 +322481145 +1978205083 +2106474896 +1377749361 +686819333 +557719469 +733586930 +1220217399 +1918032364 +1682100595 +1424799934 +1893510959 +1453378914 +353949467 +849890848 +1153558777 +2037290327 +27352304 +1763789093 +65146962 +1932429010 +367387162 +453265735 +1766120304 +991385901 +601237069 +881003781 +1260009949 +1470416422 +826323660 +1811577526 +1792897567 +657045095 +1770568774 +1023163280 +1343864429 +180804596 +1756750210 +416598180 +2098836960 +1291367157 +1841398114 +1844864271 +597262423 +47863933 +547271471 +1750821200 +2085154260 +574623775 +1367126645 +2817575 +359569137 +1734513808 +456083310 +2125689441 +578416061 +1057320379 +859209574 +1838426011 +380253153 +1685533235 +1502519889 +25667072 +195094682 +1125605015 +1048830352 +1538959111 +1306409611 +658096914 +1955557292 +1257762923 +1949464071 +1649471758 +955143546 +399242847 +1697335692 +1502415017 +2580399 +1635006304 +2077038792 +1369707045 +1637823879 +289124282 +956737205 +2093907189 +267330075 +1535153266 +1003743920 +1126539650 +1226095629 +1383997073 +664589237 +581131870 +1409664145 +859683919 +1706736886 +311010849 +251159383 +865662849 +969107763 +59233027 +2123425773 +771088187 +1708704785 +931085671 +1170331034 +1258556829 +286017041 +1172911433 +746079486 +215572185 +395134830 +236419717 +504696467 +1351872035 +182843259 +772026543 +739541654 +1186587179 +1898566193 +1965637283 +423100605 +415671782 +399285506 +1832764750 +1275355701 +2106022392 +2143775600 +1526515084 +824201593 +965399715 +1585748111 +800143718 +1736487902 +1146969249 +1731229390 +759335288 +258042430 +2017246431 +1932246722 +1004121916 +85334968 +179897904 +1240541634 +590031436 +1531769940 +1423384893 +1362057979 +123827946 +462488424 +1113140524 +2089465229 +885589029 +1528812306 +341267087 +570870132 +656684359 +299805831 +567162084 +35715796 +1124007425 +1532561799 +1621463907 +1924151143 +1121566054 +620949508 +1507896885 +1880901342 +878991939 +1377659668 +1665664416 +1883113855 +1462994637 +1845562321 +976171841 +2053026073 +1229848613 +252073086 +1267600404 +1353676559 +714561511 +233257280 +1295658140 +1600150540 +1762069586 +1636925228 +23537024 +271270297 +1936731059 +590699108 +306986093 +913254836 +2123260908 +1928450001 +689922332 +1097343314 +401915861 +50335569 +830761008 +1280907800 +1427995238 +348941777 +1016538008 +743506227 +47020450 +1992709849 +649048652 +1276869063 +97299288 +1916649056 +483061974 +811860799 +2422688 +1778720114 +264527691 +1764492274 +1268161694 +288064716 +2035762571 +1057409106 +878763824 +195265017 +1970663942 +854541084 +2123715018 +513102626 +1951884398 +378147231 +563438196 +635161759 +1659055032 +1991433434 +984103536 +528109392 +587456013 +1031123986 +373335593 +1236504665 +160509401 +470634881 +1005670073 +643571375 +1282495680 +1008092761 +274807841 +1547023372 +625101387 +1542969536 +1835088088 +513380310 +452894994 +566368264 +708645327 +276075288 +1420909349 +684876697 +789177915 +1225310099 +1063023929 +1352616111 +1860471858 +574595313 +1196565897 +697091746 +1102704705 +1784021910 +1728215732 +1476040298 +873042927 +1888725133 +1946675180 +1878713000 +384812860 +1081687212 +739322113 +659620702 +481226936 +1364423500 +55106590 +168831376 +1877803810 +508001584 +735199641 +438965490 +784076872 +8625342 +1123842187 +1573254787 +1233935441 +39382468 +778387250 +946923652 +613977781 +1974953147 +1644015398 +1716682486 +1611491409 +1224747483 +1045239137 +337050688 +965988968 +844430669 +68280040 +1350801829 +1926117881 +807602153 +2010422531 +259861170 +24542005 +2065529121 +428692546 +1902345816 +426047057 +1163892187 +193827658 +1210123929 +1172517529 +1317669845 +635895069 +258969323 +1357052314 +1414282319 +1205892975 +1971030095 +1241751819 +702424725 +1540228934 +705759580 +1927172208 +437984423 +1042810269 +745677529 +1282415092 +1111090309 +2096479358 +1061049325 +1918692463 +1959418241 +1320910495 +1943234468 +1877463714 +1749603042 +1698096636 +156027123 +766011581 +1891924294 +1366151052 +1938529111 +1062110492 +2002046121 +50014786 +271679158 +1268844793 +1255907761 +95225605 +363112964 +1958332486 +1635454539 +1068872544 +1738021047 +2073438962 +2111682813 +336214928 +1208370406 +1075289475 +285210638 +121936084 +846498290 +97145231 +1442846579 +642249110 +1974608945 +1044965973 +192862099 +2130636068 +1810977555 +2084786393 +1349303472 +1602023018 +999413237 +1203865946 +1652037804 +1271092395 +325227091 +760461917 +1366318001 +688340055 +571310755 +854288892 +1757212599 +161848154 +780244207 +1721411765 +498063082 +1988614613 +649217592 +783273720 +2110550697 +1495715882 +880418951 +1405913629 +2137964992 +707544248 +303395954 +183343443 +690696668 +2114373509 +120646189 +2040000141 +1568912879 +1120059426 +1096382439 +1073467035 +243668174 +1421609530 +1833928952 +1609986175 +2109949585 +257756060 +316791419 +1719678536 +419604214 +1097035626 +1293606653 +917667297 +938166592 +1942824245 +1700941017 +901233641 +1291056479 +433876321 +159663622 +1281537824 +1141420569 +463059577 +1464881267 +1832117238 +429949438 +1585527456 +1724633731 +1998862318 +558103235 +673532522 +924845705 +801771409 +2095142052 +611291010 +264273936 +2057607989 +869047070 +581065355 +1629802877 +1288651284 +1678100982 +775925883 +58834933 +468783926 +571266480 +1759775951 +1370017567 +1862322960 +46168624 +1529681190 +996377136 +1187589193 +1992740767 +313774755 +872222783 +275206557 +1899302212 +449372866 +126585227 +309921799 +1122905388 +1051430933 +1111693208 +1070563792 +1662721943 +1375967144 +980688133 +384285365 +1957032499 +463007363 +1672936649 +1487649833 +1238933246 +1731771583 +1956433759 +1810199726 +1344063886 +1178967679 +1525039038 +1390232510 +561165221 +373932526 +430338055 +406422340 +687707282 +1302560839 +681628897 +439525846 +1751933705 +808214125 +749447645 +727355446 +1859645058 +1861140853 +1797919238 +1374883353 +1089624349 +631123724 +1759168718 +899173200 +1094131087 +1284621719 +239339386 +185580685 +868909654 +48289497 +1995780411 +65489892 +1227257176 +1373335802 +1455722402 +1788422397 +1747268328 +1886060458 +47361089 +287491962 +1041137649 +728989987 +727017808 +645587706 +1537204112 +1476465453 +1372943152 +1249365522 +1190122658 +1023378743 +476765227 +132263359 +1654502467 +88450297 +1031436560 +601149906 +1373072016 +1270775946 +786730591 +94498023 +1319065443 +635027354 +159987915 +398838972 +2008363156 +1615710318 +39777721 +1608147837 +1354287128 +87138811 +1895639799 +247941129 +816128798 +475173960 +893528835 +205849262 +1951639413 +118988340 +1455214784 +994278424 +1142367083 +1931980011 +1126541783 +649385902 +2020430308 +10494695 +1250535808 +1246018676 +1281270641 +2037266399 +1340516699 +452852437 +524810105 +1500504615 +851691409 +385689614 +968731285 +891469130 +1993837451 +175534765 +978607941 +1741993602 +423475894 +1794736739 +69683914 +1317004729 +2000586001 +2021323328 +1435993069 +1308317137 +868118104 +430876504 +1092813500 +1994659887 +1080262406 +965760160 +2005154583 +183314566 +64295189 +1138941576 +73097317 +1404811888 +1591794013 +597907423 +757832855 +296001774 +983597037 +1726564140 +1187470905 +829950840 +1902098905 +18595198 +424460794 +178091151 +1813331938 +494144709 +1495095881 +1666434291 +367984389 +783605302 +827267781 +1236102493 +1214481807 +1920081281 +1083278732 +147260565 +738357794 +940949667 +330575132 +802652983 +2079891244 +403672449 +59981223 +1524201609 +1001579872 +817814079 +1820203384 +1985176909 +396894571 +860190641 +667644101 +151509829 +878785839 +1092104896 +329600980 +544634129 +1586249605 +1824696861 +63584773 +1954233994 +460818516 +890852554 +1042852839 +1675300323 +663450187 +2126131571 +1822560888 +1401807981 +919597591 +5652372 +56977316 +852005187 +409324822 +116958540 +228723148 +1410904694 +934772619 +2048926532 +1248597956 +1331667190 +761633525 +1916242057 +1483177019 +1640419365 +860863305 +1812778000 +37569846 +299629262 +1489991213 +101154619 +106379608 +1950809729 +992007173 +1149232447 +1478626404 +1655457361 +1127880371 +1153703645 +909781694 +2047477962 +1159356017 +966759011 +751999501 +1568680839 +1083717551 +980722649 +832101886 +2018490170 +882165534 +2080699842 +1202673712 +1643799059 +1849458251 +538367084 +1136734776 +562837909 +203661436 +1174304623 +862467171 +1693652649 +1275459242 +968846780 +1496978731 +119982768 +2118079227 +828121487 +1775440129 +1098475950 +1981825132 +537738175 +998470264 +993697502 +1504497186 +1750469765 +414894693 +440731089 +583708767 +1246996579 +311737611 +1465874301 +1180212773 +1514411324 +962189712 +882187377 +2052778408 +2098924489 +1445025286 +108956196 +1125745464 +160008809 +1802608845 +253721058 +1128855589 +1152103928 +373703826 +1099451169 +1980225416 +1660307 +50443471 +1814566900 +539398483 +1048913736 +660780754 +2043895669 +651899853 +1075675448 +337143111 +1235608620 +175188379 +648880722 +553999273 +1355401153 +15808398 +1516188986 +90104882 +2068586806 +1467629827 +1535130168 +30059354 +445891643 +1695138977 +1832668200 +699612701 +676510919 +837288480 +1073316528 +1775962088 +670030248 +1074976835 +1826405559 +337113501 +1614375318 +727835647 +997894255 +1510787340 +1379735501 +2073569703 +1847930451 +467860473 +101274435 +349327525 +1021859747 +1456675588 +365135924 +390565085 +1546780470 +286239082 +1858194912 +934426990 +316298437 +156602907 +482082319 +1482989 +856215608 +1158593238 +838771469 +1929532136 +787071678 +1508801718 +857025324 +465993590 +1845915219 +323916994 +1193829237 +696325826 +1834704334 +426081090 +622411882 +1535151137 +893941564 +723686317 +1884478663 +1915801311 +32878257 +102130939 +158882748 +1579658727 +388370021 +2017077660 +366602069 +704668458 +26196919 +848684388 +706151447 +882412527 +2007277627 +1544922917 +664461016 +646865657 +906240987 +1521486340 +1112859247 +604672558 +1845403334 +159204837 +1300998384 +1532624021 +585285927 +1923410266 +920291510 +1479227491 +499612935 +657286525 +1247545154 +532491192 +759417464 +1406427902 +2112149919 +1147787486 +1276021914 +331268340 +1852455944 +1302218833 +1179952729 +411123744 +37147713 +1039746708 +1956046661 +701608729 +1686612365 +714804000 +75611421 +651987965 +1319476558 +1921014755 +811192802 +472991294 +1306155128 +1396478729 +248917913 +78962991 +728222573 +748530848 +736249516 +1975767727 +1281022041 +1495666981 +1234711982 +1245688312 +495970819 +363250248 +1576956653 +200943115 +1665469082 +609425734 +612066859 +1702616795 +1649172442 +420629872 +256741876 +1188301159 +1135433872 +332353297 +1840289124 +307426782 +105884404 +503998278 +780418077 +1412039533 +1900477008 +1029335990 +1491002524 +481215933 +1777866838 +79768392 +309500012 +911405231 +1575435373 +1544211994 +9609896 +2071406192 +1907462243 +1586566549 +124865660 +1425447677 +48508635 +736932519 +980580824 +1697681077 +1157562392 +1237322700 +738498588 +145512616 +1569675997 +431304065 +452939399 +1675560401 +935302343 +1233357476 +940116286 +688295703 +115209818 +283635162 +1169511636 +1893076656 +363403555 +1479011649 +656998240 +1938838928 +875739995 +666608136 +1862761473 +635718590 +105691037 +1987627133 +2061166267 +154199672 +577076004 +894263443 +1851880749 +1734638396 +2131586143 +442895689 +1880151013 +1553778492 +874199754 +185606764 +1081855246 +1809502098 +1418964240 +2021971532 +350314153 +1534174058 +158123047 +1519825790 +1279767066 +521526602 +851353791 +1936765306 +312881882 +1727093786 +455889794 +28159707 +215328729 +561580831 +2015786840 +129011348 +715780503 +445379197 +1023274792 +420177604 +32533945 +1007377287 +863073294 +1912684958 +413672132 +1737273048 +2098291722 +1495527378 +1399291498 +1369772314 +1370015262 +1749605652 +756462724 +1528138309 +1121947794 +2036229791 +2049664911 +1973301585 +1825511449 +215063146 +1552911723 +133917596 +243222853 +1768240452 +695498427 +111526046 +1897251801 +1411278931 +556905243 +773042945 +1831456535 +589439188 +1780420232 +547046181 +354640499 +46608716 +136835582 +305448573 +1542136094 +1536127080 +1675220888 +764667709 +1138249084 +284199964 +145322370 +112713230 +172946107 +47503634 +2086014815 +1998457557 +262566780 +1491442891 +2132375153 +505789633 +1112199695 +680389932 +617315679 +861967848 +2091668863 +1174220922 +1635010793 +1775641751 +1763660111 +1267947378 +175204284 +2118300610 +1314556094 +312039866 +276265535 +709208541 +1848166947 +1951486423 +1473876250 +838932383 +88202740 +1619198620 +951645614 +261148847 +1666702254 +890176781 +112122756 +1929269034 +234136024 +97014261 +287575020 +1346335720 +777404194 +904890699 +60819920 +721589409 +2079111622 +1695830714 +349747512 +1695288085 +816294444 +524951797 +1666105047 +2130850538 +836991663 +1942370582 +692575431 +537674962 +1746373358 +18968033 +1376607346 +1834576098 +1638166654 +180769312 +2095724945 +1157385260 +1070946093 +60364054 +939170647 +1305082118 +157378315 +1226745667 +503934190 +934782509 +2131636366 +564754110 +1656371919 +2063264340 +113101176 +2006119431 +1611068777 +929395620 +383587580 +1129690176 +912762511 +1220579244 +924577111 +1605337942 +1758254206 +523466821 +1624305976 +987377904 +210559271 +1114988982 +1168147216 +158800568 +124890594 +91609662 +219164622 +1064061241 +1396691780 +376542938 +143323260 +1900625970 +1311325447 +127475979 +317896432 +820213718 +43256671 +430997609 +678849502 +1654325449 +1360393229 +1062437082 +636531977 +125672092 +135532678 +1561109088 +1731010035 +1893786885 +2084575909 +1207832363 +733681141 +147651532 +175337697 +1901828358 +306452101 +300228291 +1993438020 +525616723 +1364289533 +1242646152 +902159661 +1507612793 +995788474 +66001461 +1635088772 +1313684906 +886215179 +1678345444 +1744682515 +1565064681 +1185187245 +957592097 +480018116 +1821719222 +1083264189 +615550794 +1235344663 +666790576 +361854031 +1172436924 +1874622939 +1095535173 +1320088457 +2049960636 +849879883 +1626540558 +202705280 +695834255 +4673633 +1566994813 +1938480407 +906833295 +927123958 +786785233 +972834756 +414729083 +2100470139 +1859049935 +2093074527 +1697669007 +1276630969 +1130778124 +507777456 +1756649085 +805013698 +1591041645 +224716231 +2040358361 +110348574 +586570263 +1065311638 +1984971513 +1682105436 +237916447 +1887448502 +384501671 +1864457005 +2090153782 +1080335926 +1869130638 +1509664947 +871332685 +628480285 +289305257 +1658117918 +1601315041 +704034340 +1611104409 +1312881329 +649625219 +1161289768 +442028650 +1780403343 +1669067224 +51194087 +437933394 +1112625222 +275910318 +330808107 +1222973796 +862480581 +1396119745 +1060461661 +397102369 +1634036192 +800426515 +781604040 +1351009549 +743096649 +1861939966 +1072656540 +105277948 +585789003 +1701136825 +394583206 +96423273 +1154968219 +1098617546 +1707527683 +320365900 +1748242766 +721333803 +762394550 +1381162461 +242917380 +813588637 +1819095855 +1355542602 +1089498955 +2420315 +431032750 +1951979537 +1398540060 +1491494411 +201598258 +885092605 +144437279 +983202299 +88618506 +887533928 +697658617 +1161275046 +992811877 +1283447621 +714928224 +1387395083 +1379870894 +1869896443 +338528981 +939914929 +42778695 +2086771747 +1661248733 +805173245 +1320450561 +1904166113 +1618761882 +992062768 +1112225067 +560777189 +994483083 +1543257817 +365273078 +245539496 +887268580 +566871337 +1130632101 +1031705859 +1550073636 +1219250607 +1919239788 +100248605 +233042006 +764568017 +1383696226 +947970230 +4479452 +616083473 +670383025 +343008433 +1555998402 +713161720 +282296533 +1069763487 +1518334965 +1602747094 +826445952 +989613199 +447326214 +1938671019 +1550390388 +1441809298 +1334445188 +1915663467 +1687348794 +74230121 +335051156 +670497247 +1105935980 +1885124792 +1889747854 +877692120 +1985373397 +2122789860 +1642260137 +1221585976 +923276442 +1646739589 +1837669449 +1593659467 +1989748023 +1246184203 +159337539 +124560908 +168464043 +1677672504 +1727308002 +994909995 +519802055 +27150568 +786097367 +2070192444 +1468959866 +2120542555 +1838372263 +1008825012 +47289028 +25939771 +1679322259 +1153225009 +1911064563 +1421586466 +2030917129 +1748954312 +1396892678 +1525693619 +823056640 +172685473 +1024949560 +513242441 +1766344940 +867213935 +1759426645 +1925682480 +991774843 +1927890688 +1455871336 +571599197 +775317035 +1975673392 +598749766 +1561414402 +1898382188 +2067709632 +1534473310 +1589270803 +929050997 +1581762338 +1615210574 +460889608 +587503699 +1378791489 +1882476074 +470937181 +980262153 +1131885105 +1996630800 +1803318794 +1304570578 +874096712 +169077587 +923431870 +1741310648 +1928504232 +701630702 +585601843 +1708911272 +10018391 +1157201041 +336744660 +1985691783 +1755950807 +1898159062 +1736590323 +1676176791 +1285148724 +1178377478 +457744140 +719427415 +646104404 +918633749 +1306931114 +2024895893 +653626175 +1777868295 +857674398 +1785511280 +1627015447 +513509544 +942598210 +353628512 +682587132 +1866030081 +2094939160 +463607716 +420177135 +533057355 +25035341 +430195526 +1690258396 +361780001 +268403661 +1298725555 +112455415 +2004993984 +827418699 +1397604140 +1035887814 +1285162839 +2117031555 +1681992218 +56312940 +1276479021 +1559404463 +709939116 +906863669 +269595214 +347966748 +386395468 +783104758 +1290564959 +740023980 +1465691890 +1009111392 +687479492 +1929299607 +1429288527 +1220536848 +1954334948 +1859484054 +763311596 +168631301 +2127887715 +2062037152 +281086716 +1985398052 +741972203 +1678690856 +873802218 +2027135042 +1648238763 +408310789 +2083447983 +777234137 +1967715252 +645903451 +1684097806 +89826818 +993870199 +2070493274 +872931577 +136951510 +663033607 +191139819 +1146062902 +1350513099 +2120439426 +427867782 +423566299 +1927290726 +139868188 +1186877896 +2095922027 +120272255 +1101431400 +229525096 +2105670307 +1843403603 +1908215952 +831988878 +1723054997 +1408971068 +1240299667 +1659019332 +38721557 +1060531271 +157439135 +1722819363 +1150358090 +1151309335 +1645828989 +2023289667 +1288260845 +161378948 +66945838 +286840100 +1511892048 +39901617 +714707882 +1935458347 +1967192343 +854576070 +974852595 +1915630723 +974848325 +2076283995 +2145155819 +933034985 +1772203950 +1905888123 +1765023863 +1347775300 +1167375543 +857839882 +859310984 +1206097100 +1918371153 +1016750120 +781432815 +921245595 +20575807 +279778157 +797051614 +1308836652 +441157105 +863997453 +1595676752 +1953049153 +903899070 +162900986 +1741023853 +723607765 +1017477056 +568392800 +491754840 +1992325382 +497193148 +489427011 +777876719 +121913450 +247831487 +395416934 +1469688750 +1415207030 +1253256816 +181516087 +473820483 +1024144321 +1198266207 +1255253298 +1945389917 +1218842014 +1535031455 +594957883 +380195018 +1976188561 +1458955336 +1975871771 +1781754066 +215370758 +2138772757 +1375294271 +938978524 +1008766166 +1943687072 +1430733364 +853607900 +293396572 +1920160376 +1631484619 +415310022 +20508215 +2026901553 +1884998773 +1435715245 +1132674721 +2066514860 +1909535728 +9335394 +1117297419 +1017305379 +1954725311 +188655785 +404853186 +402199547 +568850803 +233558099 +1861154883 +397238926 +2015312166 +2076525642 +388528036 +1243122789 +868020518 +1397294202 +1039326213 +151270234 +103418454 +1332722785 +2071430610 +1734903073 +1748032808 +2091938825 +1614320978 +1485547933 +1380170423 +599512051 +1404579145 +1142222503 +608847445 +374392916 +12044234 +416089109 +563048701 +416897421 +818288656 +1131899504 +650455520 +531959891 +1529138431 +518284038 +461001885 +1917666467 +1761406828 +1329022403 +1167477021 +653249393 +1480292638 +1270895475 +1985972179 +1404239600 +858314900 +1586521339 +1348694778 +325152230 +924585624 +581381553 +924664281 +181681121 +1723604056 +1533511726 +556074037 +1735648291 +1949600835 +1119122738 +5062064 +620405843 +103538594 +655517584 +1152365735 +1632677025 +1173801623 +1613367620 +1402859844 +787724803 +794906376 +422853217 +1440974196 +127715366 +1693748692 +1279462727 +1531954966 +404579944 +718500418 +733166096 +729732174 +1643086042 +1314547649 +1654396455 +1824767163 +890668058 +1040424534 +233357552 +478832701 +842541721 +1352480290 +483894765 +1462947565 +1456018885 +1139412349 +467829652 +941212262 +165730324 +2081197272 +196588459 +953455127 +728620000 +619441676 +246945676 +856335366 +165706721 +1526408403 +240806685 +570286665 +97425174 +973972781 +1300018840 +1740511216 +141036783 +806931647 +1417794732 +1031704841 +1847356181 +1651152284 +1510537542 +542414255 +856148927 +1994432307 +2005361820 +164684164 +986361008 +325707824 +1105896426 +1152091333 +259421448 +1302484885 +2105546460 +988041449 +1921926562 +205008488 +1844376815 +2087633283 +1731416892 +2085183500 +510436300 +1828842066 +911672634 +1810455140 +1421869634 +1052709417 +469903140 +692180718 +2084414258 +169775673 +195849355 +1447468152 +712189928 +1051998282 +1294416811 +570068100 +1216682446 +133294171 +895775924 +175095224 +1285385504 +1155197373 +1477580110 +1243448317 +2143238822 +1252023024 +1448456805 +1840131989 +1192172659 +1032390049 +1777831842 +1702608959 +713748467 +542020828 +1365580452 +2135618102 +1594730245 +1835483592 +680315172 +1531660855 +2005259265 +876164527 +831645359 +569965546 +1928162809 +2126062170 +1140033646 +997361607 +111872693 +2035809571 +1172456832 +1397258198 +1043523296 +502553294 +493222867 +1039278470 +1754576318 +1941679672 +731926811 +799265329 +826586074 +362275005 +354390640 +1540334541 +904295833 +1719971092 +1528468995 +351542430 +1407971036 +61300520 +1883203285 +1265746654 +937465047 +567364996 +1835712200 +718144209 +545943518 +828262198 +1715505816 +657816212 +716588121 +740479000 +2055074410 +1760111417 +1243032294 +400813629 +651906239 +850124964 +195009653 +1383833051 +1649390293 +1021595727 +1746108056 +2003780934 +414446621 +502920242 +1576268378 +1942915616 +854462672 +836755767 +2004216136 +590182310 +2102502421 +794197536 +1157547306 +1790730973 +1512341745 +1703490825 +471509523 +1080363913 +213823389 +1188097645 +1820842914 +121414151 +800725414 +916391560 +522227780 +1452631654 +1766516525 +717237433 +688981057 +1268423170 +1738833161 +287605465 +1124720456 +5796134 +790525707 +553505187 +1948711750 +1644988380 +1390260954 +1805444239 +87687042 +1345279727 +452158127 +1245234348 +988527052 +1964499872 +801241525 +1460036575 +897380137 +1015064914 +500650572 +570739403 +1136479065 +1301375987 +1487130964 +1658706845 +606523993 +1106163841 +228460631 +1295505050 +227103363 +1967293792 +1583110515 +1351823820 +1973089926 +226152575 +1905329007 +1774318028 +1871140955 +1148106313 +1432278619 +1958827997 +345902392 +1884436746 +1056578697 +1334429444 +1701452970 +1857820223 +646982371 +451349460 +725401489 +1147632944 +1022088863 +1861880555 +301525283 +361736179 +1373103752 +908049276 +1467900020 +1601564383 +56070678 +1695003384 +1421374527 +1639181193 +899343556 +1246980805 +1865333768 +657188915 +873815186 +1588991075 +1805295228 +158610157 +1400335424 +3713972 +2043046904 +309430474 +1338143416 +1597016226 +19767049 +1985125787 +2048365686 +745168538 +985275083 +922970902 +459565445 +1286800366 +1284707081 +1832669198 +47365994 +605123454 +1286749933 +103436672 +152643190 +560640813 +1742617866 +1051986746 +1807621618 +1460467986 +1709175661 +533953156 +901975414 +1366987241 +692563314 +154827190 +1370701213 +588126570 +464257664 +561360981 +37659148 +484024713 +399003120 +2086024835 +1229193252 +1384278204 +861512089 +1688758697 +523594922 +2146219170 +1373944247 +570960917 +603858976 +513210533 +674397589 +756502166 +1073851346 +269531807 +1808488912 +733989316 +1729999794 +1370180925 +1267942473 +484491560 +589684518 +1960505787 +639318750 +1960385731 +401148709 +1103576415 +374263064 +438807857 +1587601128 +773266185 +377349044 +669310732 +10060741 +1238861133 +210585782 +533655663 +1237596656 +1584530029 +1104616580 +1841455632 +2097740562 +1779014170 +450474151 +1024108260 +2048545977 +111479415 +1758097577 +1631062123 +1481660341 +878556402 +2115553683 +2071344859 +691578541 +607388786 +1884246943 +1092727250 +1710965201 +111026359 +1531535107 +1151082681 +884292544 +1908884152 +1820393414 +894353285 +1000261637 +2030979196 +1428008949 +90374645 +1468025577 +385141881 +1931830278 +1418282492 +16672403 +234820781 +294907104 +2065218381 +346300196 +2053004681 +1548796856 +1827960537 +784077435 +1516866892 +1751821749 +1475655976 +2124255678 +1488585044 +420899578 +1687737231 +1599611403 +1952434686 +691336264 +336420300 +1713835190 +364246030 +1230773585 +566613179 +247741578 +511298886 +656987825 +1715767156 +896440768 +441334455 +986566000 +913113171 +676155236 +1281473104 +830847904 +1022455432 +1186994138 +232161113 +702932322 +1971071573 +1749028005 +307270423 +1299243902 +1725800035 +1795855467 +1720143480 +1266053618 +1247983222 +1525094518 +1957389882 +1584403522 +1091446060 +174152265 +667693460 +1658059240 +421893843 +1178992346 +167563417 +2137660999 +2075433114 +608897872 +976743351 +841062638 +1285053108 +110732808 +1671910542 +160024892 +1297726946 +1904071655 +862957214 +1121314871 +1505616012 +1170227637 +273075125 +1083932399 +818599456 +1993218606 +202502369 +2066582679 +1370829476 +12408604 +1503502553 +314791889 +186560869 +23712365 +1972851129 +608454712 +1202704712 +2140414546 +598632064 +1130654178 +601828770 +1575375415 +1971716816 +1886881878 +1686108223 +1496143711 +2046906770 +836351521 +1252731718 +762380337 +1957666393 +610864083 +1932607974 +83257870 +1694796482 +603723783 +2076476476 +1897298852 +522822814 +1299822305 +1909707456 +2026325367 +1614614194 +2096268325 +2050037733 +1439981675 +557239389 +1105258797 +1432912573 +1155871453 +88429327 +2034741343 +583763221 +2060146144 +1774139573 +122387796 +1408806207 +1673562695 +958739318 +514054277 +288459384 +768922063 +1124918360 +73583711 +852179933 +672231195 +677307494 +781172762 +422046399 +1200130308 +2080995067 +184270207 +1078972027 +1548125613 +133054884 +981526112 +840623640 +690294273 +2086784909 +126052565 +1846165727 +27730589 +13310260 +282445300 +2087876733 +1787449833 +404833096 +1349199292 +1313528880 +1363572414 +1863253569 +1601988265 +2132494477 +840688282 +1675571976 +837190763 +1512919477 +205395822 +1618363525 +1934965876 +1405526130 +1551874944 +2119236083 +337014509 +952516909 +104807319 +1318540622 +1793140549 +795101592 +1257841883 +1919193114 +493783671 +1285572472 +1932503374 +776228971 +1225965557 +1572469559 +1181062068 +427681201 +738514791 +397150834 +143451123 +193019408 +382161664 +984139405 +1868591384 +1219352427 +349575234 +2073987206 +690232304 +137057462 +1332029688 +94623600 +108809897 +1669044198 +1047140509 +213617216 +840101172 +692797410 +1008718808 +2097943055 +464506876 +1502502480 +1236031880 +249526602 +131247803 +314513789 +1821996161 +1312309871 +742194991 +413027304 +1709460706 +885646114 +606046713 +2091622370 +1869785519 +327154449 +1163491149 +71877105 +253658008 +1853723453 +208934567 +1585687696 +1948347053 +317744464 +1107248246 +848003914 +531361680 +1947349418 +1540801324 +1540080488 +1897808826 +2005308200 +895099320 +986357058 +107351154 +1026347124 +1300870847 +1929347315 +191173347 +2043065838 +194890971 +1900634053 +781228304 +800937684 +1844772775 +503530175 +1128092134 +860780276 +575407280 +1381750142 +567020081 +784341847 +819954190 +367883486 +1102086311 +1927202437 +1215887400 +1633447991 +1727068207 +609205076 +1026044832 +1477393385 +467029628 +1921144152 +316266795 +574380782 +800007628 +1617137643 +356244449 +991180976 +1512719833 +551135421 +744331381 +146464490 +1352073105 +441620509 +649994665 +332681591 +1302400785 +1225401946 +1714431733 +1869420867 +2009743793 +386902276 +89820705 +964346457 +166621065 +1305708106 +450310800 +1893689272 +1914913182 +1476355632 +1223599010 +234459163 +1250016137 +1539865805 +808839945 +2050023765 +1009519800 +1165084395 +893721093 +374755986 +1716219816 +1638052475 +521220476 +920809273 +2079672984 +1171215141 +1253490865 +1234590121 +249133439 +820438950 +956527340 +111393585 +1207341226 +1046348046 +1075740042 +1373962291 +204572504 +1526050842 +1120167916 +2119485686 +854922827 +196283278 +206461201 +2104938964 +1736149083 +1015301147 +2007479081 +598185236 +32901894 +753716527 +972941222 +1749121710 +244285354 +1494161698 +522447335 +176474690 +517893191 +1775938200 +1411064811 +767026631 +448893503 +220108504 +878420216 +1656234729 +1266456550 +1954160258 +882713373 +1471029054 +1332727452 +2002881289 +1443031092 +40166631 +51680919 +1649492294 +2145105595 +1787830002 +517309793 +2005101029 +238531590 +550211687 +611333908 +1211472812 +151849749 +855619262 +558150862 +674297084 +1032093952 +1076044054 +302751637 +295675115 +1843070685 +751645140 +515783619 +574007253 +260396221 +1782240169 +380683863 +1143109594 +1105785575 +1713411315 +998507235 +401333020 +1753577947 +1050188154 +2050825314 +1751199894 +690534509 +420651459 +1608817275 +929066099 +970863146 +72667535 +2140538912 +1122712895 +928286797 +551206126 +1797009979 +1960380749 +1627250180 +2099761616 +108572217 +1322837217 +703923108 +624355836 +1896844470 +964319330 +259112358 +130044685 +2107428924 +1364897933 +1843456001 +958452512 +1766230953 +1449550300 +2008640666 +1669572619 +1053266546 +551691527 +2090224078 +514600174 +1480757627 +913603576 +587267709 +1473812891 +2036316471 +1515554507 +2025019017 +1685842803 +1328451608 +1504785550 +1638120771 +1437023825 +680139119 +194560232 +2061379662 +429499942 +1158879562 +173008372 +559544627 +1118824838 +1537906305 +255516980 +2077277350 +1156653611 +1705067280 +1938434369 +678742582 +610850179 +342642248 +621483013 +1125450353 +1823399875 +1535086589 +1712718062 +1149729118 +1423919413 +1080788921 +1027264488 +962278568 +261756882 +384566390 +452915691 +1698780707 +1064705509 +647475923 +1612676721 +1494205451 +1806355485 +1785685093 +2053750079 +777696676 +1176107751 +161783411 +707490378 +185277714 +1866850692 +498441099 +864020296 +330217223 +841083348 +1485503309 +1455667576 +516999575 +873106251 +1020901990 +1666728694 +149542016 +2101690912 +546509534 +1111820584 +215964146 +931075924 +1564736275 +1914744853 +1995781433 +64728551 +1379937927 +1342503237 +1871084036 +1018139372 +1248769668 +501297064 +46763475 +1410553079 +1208787443 +232041189 +1129920123 +1707228542 +1096061486 +1460137346 +400828242 +434081147 +768321274 +917827818 +1307187398 +1789223265 +437072864 +1456729414 +1743430529 +983582398 +421066350 +1959394675 +1914658322 +1985802626 +1726655880 +1762956107 +2050531177 +959110159 +957975696 +1774131565 +1977249532 +59261716 +127944982 +2024013007 +1469814796 +1336732425 +108570549 +452251271 +896477319 +1204632035 +1912388618 +1297305562 +1638713182 +533226244 +67649732 +798416933 +174965861 +504722596 +107662699 +1918396390 +1488304994 +528729050 +1730307417 +1255479668 +367048028 +1309479650 +870952127 +270095557 +121106161 +1828927824 +2044227122 +2098355693 +1888189540 +24688456 +1974885053 +1210520688 +1361420881 +2083455602 +1662771960 +110414553 +1140603989 +1427676930 +1407720115 +631833523 +1960903174 +1475369847 +1430250456 +2135869036 +1980092443 +1537913156 +1906781778 +1320913789 +2066642206 +1489605548 +428909809 +286206586 +651601550 +1299861936 +556302143 +772707711 +981306112 +453045617 +723579757 +722012005 +477734074 +550981162 +1932532693 +1839154955 +486953116 +1447821005 +1949569508 +1627557105 +728014287 +1209805975 +111906980 +541433814 +537692174 +1542157437 +529819202 +370300969 +932586945 +289117332 +1691214758 +851745503 +1778722880 +2120124567 +1137952089 +282840782 +1272502856 +1694254232 +1055548494 +106325320 +2147299849 +1779128251 +828337325 +477550275 +182625765 +613386371 +169221583 +669578881 +2061207376 +2118791091 +149652338 +641738016 +1181113419 +261559318 +1183171830 +1718805593 +1803716755 +1712991032 +2089106563 +588820052 +2002108364 +1632837673 +1440565555 +1633347597 +1605478593 +431033996 +1916188379 +730497801 +2125288228 +824253225 +836823121 +2125104430 +455897828 +1665160447 +455171057 +638523593 +131063170 +624392640 +1308102474 +44786898 +595700084 +1457754812 +686524914 +1776813503 +1719314131 +1869696744 +1348135448 +1375547238 +1435204128 +1289758363 +1964367291 +1289828845 +775112389 +1257449198 +775692794 +233107334 +1688483195 +544397525 +963605135 +1666287775 +1368650751 +1800428256 +1643908557 +1824548579 +1318105055 +2099079615 +315588525 +1449168225 +575988607 +1623690999 +1493955124 +1171688691 +933962164 +32996390 +801018546 +505792647 +1902693135 +1670347 +1881339885 +1190413615 +1291428710 +1698223528 +332758812 +2066541099 +808189079 +1108451606 +152164785 +349188626 +1652849132 +1115769920 +2015476401 +874016235 +768714529 +1511901311 +551081166 +2086819584 +1463497278 +866669691 +1388504162 +2039485885 +342877043 +734975638 +1063690929 +1276839207 +767972028 +1864709475 +1782631854 +523181515 +1866379822 +1516488091 +1713595131 +1010324885 +1067227972 +2046353943 +929382336 +1875417051 +1007321902 +1081547122 +77122029 +512687386 +49833394 +2092598430 +1386703621 +818547923 +1457016093 +1937784787 +757883860 +773029723 +656970831 +2146388022 +665031961 +999847874 +733880012 +1728722890 +129203433 +1501852040 +1445948717 +1911835287 +2025033556 +1164844892 +1280839730 +1591145039 +27686129 +200584054 +1490015334 +957068465 +2076001105 +349853588 +2038615587 +5639486 +862540974 +2088448982 +2098237917 +101760947 +759513257 +1407770362 +2039545735 +1517397117 +33316438 +549032918 +1516301491 +698348399 +1548880792 +102697855 +279587641 +1678084225 +1604549896 +1725536358 +1442435864 +1482099804 +742897602 +575791946 +925761195 +770583731 +776376001 +268292881 +1727652197 +704893458 +618146470 +1618784136 +710532945 +1480687444 +1559749470 +661287214 +1582448392 +171779080 +2069057576 +1474510479 +1689176197 +2102374014 +2023543397 +1057994041 +653238765 +1424940541 +1160691896 +932826406 +955541118 +617758144 +510879117 +250493334 +2099857948 +1253776719 +826285280 +878135495 +2024360451 +1602661281 +1146428377 +1604529000 +160071092 +1764574847 +1075829488 +870604037 +1097778643 +488095311 +1531891251 +532743387 +659874391 +1453465179 +2007253866 +201566940 +1408355546 +1883313615 +1259560981 +2061594311 +1160770508 +272769230 +846937070 +2116311626 +890527374 +1357816187 +219321312 +842901675 +464109258 +1045606593 +1721037170 +340986061 +500784226 +719981899 +1945515061 +660855318 +337073098 +873860902 +1531459355 +1434851742 +1361956213 +915866958 +1967595129 +2021830604 +221848490 +1827365348 +75913896 +1630204036 +1563195315 +1335474878 +1544314699 +576482176 +1608244108 +243768121 +545310154 +351287834 +1601584308 +764631467 +1194189509 +2065693567 +1810238060 +767743032 +259195980 +163538638 +1487724931 +57227394 +824393957 +1824798030 +931088296 +208369664 +1112166124 +145560861 +1124236623 +932277605 +19907817 +1346085113 +612159305 +95821713 +828805501 +27870973 +1431296591 +225636552 +604353149 +892057051 +469404674 +1149663303 +1243344886 +2070988982 +1914294770 +290050747 +1989198901 +1577049182 +1057793779 +100911234 +1740587821 +398035063 +158138628 +417498130 +75349445 +1089226924 +625867794 +1187515569 +1234787785 +1750104417 +2119793174 +1254695602 +948705882 +584468832 +1350517315 +1777511383 +612339805 +634330259 +2003147936 +1216692954 +1526387310 +325068962 +218872609 +622248548 +248574296 +2133167380 +912299296 +90289550 +1562732914 +1970093075 +191200784 +1155837087 +220644490 +349339412 +1573335217 +295993935 +1438566336 +51719364 +1483509504 +525870473 +1801823781 +1455819031 +1780566075 +603046016 +2040287863 +983599742 +233073751 +505144020 +1617930001 +88738039 +1721836974 +996833664 +413807001 +1940709583 +1619082212 +662381298 +1926393315 +383897860 +752670848 +1341642582 +206507288 +943871632 +349996021 +427151778 +1293211044 +1923331239 +723145714 +584293732 +1975050603 +59171570 +1110164205 +1629390736 +1514990601 +743246632 +84953104 +1407794816 +1726846374 +318026856 +1912938836 +1197292728 +406764895 +1487292162 +46642744 +820571897 +1280518098 +1665724956 +1482953195 +1059427765 +2049622817 +88140395 +253586699 +108646457 +1032012027 +603582721 +535798235 +177739423 +379430312 +1258943949 +762033155 +206997267 +1318115520 +1872197360 +1836388003 +685622473 +467960344 +1921341108 +2093417290 +47323070 +91884316 +1858872478 +1244615798 +498649211 +1198680993 +1291258542 +1319221108 +331715443 +809499851 +654690655 +1391143208 +711639020 +742831050 +1644729908 +820285477 +1774843077 +100828981 +1356083712 +1952582500 +480259293 +467544014 +567132007 +687256560 +1785659534 +291845719 +376160915 +323798359 +759806063 +150018375 +269732001 +807129134 +241902691 +2128604480 +2051744932 +740551903 +1179801825 +1195519827 +2059773011 +1511517268 +2005019678 +566980019 +755176828 +569175050 +1309811069 +252423088 +1389460527 +937170499 +353252069 +598060591 +742269351 +833511362 +1065604605 +1309401359 +1520767922 +703780491 +1601247078 +1896928838 +1027578851 +213569494 +2046947213 +1297310852 +1020698628 +141366257 +1278431684 +924959912 +881918160 +310749861 +2120479739 +794207523 +1822267129 +1978015769 +1361187542 +429960310 +399707171 +523514964 +682383398 +1789167698 +1460685463 +1035635468 +239744642 +55471166 +1869146830 +1305349247 +1364872525 +1242431105 +2009129739 +818635956 +991876295 +889224942 +1032205450 +891339860 +39052146 +2052904078 +1032706117 +1317483831 +830380342 +1914624277 +1628233692 +803376434 +561348153 +1303017174 +633908555 +1922535695 +1732977484 +1033615727 +298567011 +267877234 +675299777 +1759252474 +1303512702 +915044419 +1814723641 +1025175885 +72910019 +1032112518 +120123342 +2082039758 +1850748474 +1111999637 +823781052 +735470276 +2003339497 +862833198 +640890706 +888561967 +32833381 +1471271049 +655702596 +1661067074 +127163835 +1217050749 +816600600 +761072390 +992102797 +402094436 +1794688117 +1290669808 +669971670 +322504247 +902438635 +1973484373 +1237548666 +569678628 +851176610 +1310458685 +1601791146 +971299952 +1245014795 +1305055973 +2083299589 +2068795847 +2040526249 +1939155438 +784145398 +533933308 +680233757 +816978779 +2005204357 +1335936354 +330562205 +2132368192 +405503455 +1147162805 +745956934 +1397606252 +1549257241 +393161404 +540792413 +71745264 +715665651 +1443231048 +2045229637 +1953214317 +2012909676 +748922599 +1116189355 +1467217174 +1720222551 +213720502 +624789499 +1656038492 +135032702 +517832101 +1447710282 +919178100 +1051765409 +2127944040 +1736156879 +909486118 +1316396746 +2066719085 +894370662 +1721900201 +1066398242 +1640327596 +972022806 +468171836 +2033489000 +1512815219 +539917100 +601671003 +808562619 +437663089 +407401673 +673988647 +1186585688 +1523591028 +2141205821 +759324591 +1737311530 +618511673 +267879435 +1872344232 +1136343774 +1715589717 +644038684 +40625535 +1696050109 +232711916 +950111653 +864963207 +151947353 +1844482315 +439379761 +1218345595 +1337326263 +1411402567 +1686517431 +1223331616 +776734138 +78950883 +1825002619 +1585296757 +516613972 +84920644 +111801756 +1703199660 +1608511672 +105523929 +315040603 +1198339555 +724035602 +582920038 +923200139 +1860379376 +151026108 +1567238824 +1901004911 +1847076217 +1799950740 +703632916 +564555777 +1951898093 +400631583 +1003935538 +1022760040 +1737957847 +267854457 +561793824 +813805815 +1044588595 +640744707 +491324786 +482401704 +1157358680 +576245431 +594203460 +713074692 +37273455 +699727389 +1028115296 +1235613010 +1423762992 +1611035334 +11329502 +1136658720 +1762061442 +1578568326 +890179984 +1461654012 +1231035418 +1593812900 +2026209789 +1035449863 +1994444484 +882661679 +2058209903 +1584918683 +1150516136 +472520079 +251240850 +47621083 +1113264787 +742565636 +530022787 +123139819 +1318811067 +1124226247 +836214511 +1356084523 +1823953636 +1864329807 +444213885 +1100232980 +1327881494 +455543387 +89408053 +942459288 +2034111713 +979588037 +256629652 +1117663483 +425917289 +135355793 +5629698 +272878125 +1018017472 +2063839602 +1857796808 +21049960 +388876033 +2109037658 +68671043 +1502140820 +704119647 +598693830 +1625280639 +2022930714 +1722920077 +314011503 +1231531589 +1399390066 +30857662 +1675745475 +352139398 +1358739156 +2131288862 +441547451 +153714797 +2017916928 +1421135488 +410344449 +988096763 +1847052778 +545700243 +993726462 +2119930903 +1563717715 +910082416 +1830244064 +1584767676 +1298958449 +1791798074 +1653438719 +653615622 +348434073 +104648902 +131412613 +223881140 +1827568979 +445424116 +1455412729 +1079475397 +476281779 +983674556 +1431614796 +1835020935 +967479771 +1873162247 +1988735732 +837913051 +1146814088 +251596534 +1826009814 +846383218 +797296777 +672252628 +818830473 +213530844 +1582335044 +501590889 +1798298520 +733809846 +145905316 +1304253592 +1387425468 +494339389 +1408902494 +1518838081 +718220529 +1088987825 +1964262198 +26149611 +20979575 +293060329 +1009824167 +1452594371 +2128081264 +1977303938 +1178272970 +1969333349 +667733341 +177603410 +73446235 +346259508 +1023986628 +870743012 +1018512136 +1842817102 +1084273856 +453363533 +196924343 +735088729 +1187173379 +342829659 +2039342321 +427115199 +837169049 +1300761167 +1945953280 +1555389578 +242265344 +1762731830 +1581539189 +263244919 +2055792159 +443879709 +1715839290 +2036389776 +273699999 +746628613 +1858239477 +941433341 +924232023 +1931685712 +1287692849 +1948218652 +654945076 +158721337 +1643552106 +1739218932 +612084870 +1840476449 +326824013 +1799258249 +35822461 +218682686 +78889800 +872991510 +1519443853 +2024843081 +280897440 +1761709198 +1640091263 +1862436630 +2024954117 +1548399775 +158832691 +1593309760 +1437305903 +432532690 +192454725 +1148061732 +1373966031 +1116686748 +932263796 +514175232 +917421752 +1587208872 +672896570 +413490210 +1178944156 +1284981440 +106483012 +1505768170 +936756042 +142305473 +1724450856 +1015645842 +1015296983 +1096411062 +893005275 +1296194423 +710636612 +385612891 +1011147405 +588107081 +1934012666 +1169980096 +33933193 +1223834921 +1602512787 +226387918 +224413005 +828995170 +1343074667 +1156676801 +1343170403 +113012771 +596402025 +2016066973 +526502982 +1775346181 +1153564765 +632985994 +1133630703 +2090320807 +775291467 +710597912 +958483002 +1790588450 +1807008974 +1851488277 +939299225 +370161938 +89617520 +1950446631 +958269019 +2023630186 +972943079 +992202213 +1099981459 +427972218 +1218590131 +1324394464 +1256967389 +414181150 +333587617 +452654144 +527193922 +929989642 +321237469 +1053696904 +557852176 +1474802234 +1686682898 +1691482879 +1417639394 +314490717 +254597143 +228638748 +2105079167 +2061606117 +2080127025 +896894744 +284284407 +22260898 +699857727 +1242553427 +2045891084 +1672800807 +87271992 +998388896 +2100773025 +1305862123 +175299712 +1210256766 +1720043274 +508887330 +1662910910 +99753548 +1438876972 +1984148379 +1153450452 +1996729148 +1311466966 +692649702 +1540728380 +581622712 +1007140419 +1795325523 +810261460 +964735938 +1709447993 +742904837 +1861630682 +1993732400 +765165735 +414004762 +1088802179 +663573172 +2086805569 +1176074171 +1661962068 +2040094946 +334452647 +1837261780 +1102868065 +2054495921 +198665462 +618295327 +6765821 +1637542435 +454960059 +1160216273 +1486787935 +1766427025 +1852865975 +880032667 +200566089 +712522746 +527874543 +1010827549 +1677258684 +89838888 +1753732386 +1391405718 +2083571288 +371414474 +1805410480 +1024889820 +1034987646 +1744732401 +53480343 +549466066 +1637343700 +387932990 +239244198 +592728117 +294945263 +437909661 +1211023444 +301711084 +2075452096 +1665983503 +1461927357 +1414756383 +1284926880 +1167309684 +147305403 +1485492969 +1879832430 +675179946 +348836870 +1409607466 +765018834 +2102569257 +653529537 +701106474 +326500083 +311456369 +1725996294 +1361487729 +2056188771 +1779476638 +1910953795 +1546048823 +19925980 +2714345 +2138776940 +314871244 +440624006 +1202316736 +616582328 +368592454 +720816592 +2078509686 +1783348838 +2005743472 +1098335722 +1930654241 +1343752794 +830684505 +458350539 +1692589664 +92808323 +1223369373 +1647675273 +746337860 +1924475847 +1974175356 +1057794230 +1502988494 +1188179437 +966499353 +1134981484 +951649584 +365064528 +1154907464 +954363930 +356357820 +1469778708 +1394987936 +1558674556 +2086361037 +1763580391 +132007500 +2017387075 +1399445581 +2137750973 +968239149 +1182616174 +1334020119 +1798923654 +1640966713 +879126135 +1891731978 +716852438 +379317761 +490586190 +493844637 +206009469 +1548380420 +1996833131 +1394188907 +367396125 +984330967 +198354843 +732460653 +2139238432 +1152718773 +1088818473 +1461533492 +400223062 +500009382 +1400410881 +16319805 +632016882 +1270314308 +1415765386 +622284207 +91069810 +450897912 +1956304326 +1889993464 +2091864625 +687946814 +1634241794 +661233415 +1067264575 +2124827985 +1155078052 +1273274044 +1525724757 +1004427536 +519979303 +1893120883 +1988758503 +718334147 +478097888 +1980513287 +1871052920 +1566916362 +1294563132 +123792334 +2066925744 +547490365 +140112139 +551458978 +1817804674 +1555877525 +1173743186 +1908874484 +2006775437 +982563864 +1651384300 +1951156414 +1670510678 +1138142447 +464906181 +590291605 +1115486784 +1619984234 +1863565650 +493727893 +476928122 +236061305 +239365128 +318202977 +954395452 +717463017 +151232617 +677964725 +136895731 +1445795749 +801757059 +56337827 +1993286114 +941869199 +607796805 +1663607140 +350263076 +1781539991 +1424997976 +209554866 +616620208 +928898629 +13227632 +139647238 +2067041076 +478133814 +729938844 +1035044212 +2098118048 +446020846 +1528772105 +427562522 +682082151 +1768137234 +745765499 +1636477604 +338116603 +896998116 +166958681 +475012334 +195310217 +968715740 +531350161 +41112684 +1910584939 +1139146966 +1704719824 +113364368 +773203310 +982234153 +322919234 +1389823518 +1911132782 +336146866 +1529470756 +1830690210 +814280680 +111925952 +718250774 +764915080 +557946798 +99539231 +1192477602 +1240028950 +1867676465 +1938243102 +729022906 +58309420 +687757570 +895981587 +533321754 +883067788 +1864697327 +1064671915 +924180472 +1627798619 +56335234 +481416648 +1741162987 +829538544 +1463650801 +2064082221 +71878414 +1227299935 +252745439 +1601349170 +910506497 +1067026120 +1713275123 +1628757271 +1831941200 +123738273 +1728296503 +876935155 +1363767223 +1448489320 +667694609 +2092790129 +1506798741 +1355452179 +841288068 +2040120495 +91036319 +558501748 +957308763 +1015216791 +38816719 +1013643997 +1496633440 +1779979706 +1843182541 +812800593 +1696578279 +1915060955 +2040100529 +1949323718 +1368926477 +803123378 +868866190 +934717952 +284397002 +553323743 +1058456226 +2012693505 +1430258898 +274739801 +1313699177 +2097953507 +220046283 +673014270 +1305922038 +1061334351 +565651118 +1396958358 +1619836099 +1522959881 +264691501 +1658652818 +389120230 +1761324941 +1291148876 +84819123 +426641887 +840243507 +1999880078 +319258768 +642083578 +1221322907 +1122382146 +1510949768 +8557212 +1406779148 +2064273511 +1067013438 +1271989005 +1347048761 +1341753239 +438204535 +1297518620 +1561799522 +1111218805 +455957011 +475650226 +1676869923 +1852915369 +2095486325 +1052346156 +2117606870 +1606655496 +1441466386 +1731448164 +750320724 +1526285509 +10606403 +1590564232 +1378681939 +329865171 +85164162 +452521199 +1452247317 +1596113930 +461078411 +711542818 +1512903794 +1528091849 +1983531823 +712468907 +722361440 +274252710 +2009987528 +136677315 +1385471516 +318460891 +612327541 +914857791 +23892612 +560330218 +1967203948 +2141499482 +19502066 +1261186686 +1725463998 +769822791 +639988548 +1736070401 +212903375 +2018670487 +2065935572 +298067537 +323708038 +1370699242 +1894181467 +784786449 +2082242060 +1259601613 +165394650 +1918290235 +1972070521 +887756091 +45059298 +1834574401 +1024433406 +1430530814 +5551644 +1636760947 +197904957 +29444256 +49607517 +17625257 +23460090 +69109584 +1278811944 +1748924089 +838932375 +1918800492 +1337510842 +1051835750 +1789987331 +1255962767 +1349903287 +2113695370 +479178361 +1096601106 +750998171 +413936773 +208719072 +916392822 +184743360 +33305945 +1804148913 +229802658 +1867880346 +681098671 +1660333472 +1873431990 +170375970 +1858238430 +1902876246 +219983487 +1875863687 +1926336336 +289093071 +1007191983 +1527776777 +1128025446 +778508827 +717803972 +32377548 +421012511 +1973766739 +1382280835 +387224233 +305461452 +331398294 +1138222404 +719398225 +540117366 +2054615226 +904141585 +573423311 +1711280491 +1133944244 +293820009 +244895514 +646794068 +19768351 +415271484 +357548850 +1922644597 +635254972 +85928890 +1701497285 +924348043 +1093120873 +1081790415 +2052373490 +1871629701 +1799594387 +2084751038 +145158564 +1625877478 +1319548226 +532382797 +1931338930 +1650946520 +1670605201 +503253507 +43580238 +1577736780 +1407395092 +617003549 +1141533623 +393855688 +910823558 +1386429138 +1040649757 +930591909 +1801700622 +1398198607 +705752858 +289471946 +1484127497 +259766495 +1213819990 +429764723 +1341556910 +1118709832 +153910776 +993667649 +1055977222 +299069340 +472061479 +228041800 +831452137 +255916761 +1878988320 +354573690 +759170268 +1922568558 +1932310470 +19081713 +392088459 +926360446 +412937401 +1302912017 +165305936 +1453587158 +86020278 +1967006558 +704302118 +791773136 +108994857 +40945967 +1051539632 +1322814847 +470710690 +245612894 +294041031 +624621466 +1239280544 +1350018253 +923690806 +1711342023 +1578060054 +1755142943 +1967258785 +1309564726 +2109716634 +578945405 +1084649637 +1894543456 +598027118 +1476738096 +673420254 +1010964520 +632166466 +838726190 +317068030 +718186744 +658249101 +1021370148 +1509959881 +767243958 +1062316116 +414015865 +2090058805 +1533026806 +659628759 +236616188 +10164625 +1898909303 +1586634441 +933855431 +1462767679 +1017210847 +541514727 +1282542816 +179291926 +503747713 +1861488221 +1263941563 +250807521 +312031692 +593196011 +924227776 +1322996212 +1225362477 +1762953966 +1640064242 +1943549222 +273719419 +513950743 +1306025455 +1040963377 +1576266859 +1720041320 +983538534 +961810017 +232186431 +1220154722 +971974642 +2131095735 +659305516 +1905830074 +1446379766 +1676516363 +299861153 +581438934 +1855808289 +803608866 +295443507 +972266204 +1054416387 +607475199 +1565462216 +1978644163 +1930471411 +643341045 +1594114482 +1423052006 +439406619 +1867833901 +1937002749 +1745432074 +761313631 +1365785960 +1317989746 +1744852165 +180112329 +1550176178 +817523240 +1152086972 +1533788265 +1476828756 +910433398 +832684383 +1005861471 +1210294551 +1414123317 +714186113 +2013903417 +1709566824 +1686452317 +920836156 +169558376 +1104430885 +751996672 +2100029787 +1747771931 +198627506 +1375598145 +39694902 +2066461407 +1165117246 +1785126977 +680291390 +383419558 +955633075 +277659908 +563531888 +358325605 +1095183148 +1715618860 +1892113870 +424528256 +478568610 +577314605 +1430389727 +1688863161 +1991437922 +2144575840 +1555282930 +1553521099 +1683544510 +328635438 +1723079475 +640491747 +1080632110 +1675625614 +240780030 +1279259616 +903740112 +280474933 +1198237376 +2068857358 +2065601910 +1878528766 +304793269 +873751337 +8705026 +868325157 +1232076943 +1103888174 +436460369 +976707165 +1528416430 +915028979 +1554021771 +811322510 +456408492 +1397976045 +808414702 +2011691422 +804013496 +344475564 +192843212 +379609323 +984967312 +1273475323 +2055234938 +1225747342 +405251291 +811491402 +1506222275 +1603488667 +732865112 +1424340537 +1334533786 +1037658381 +150608227 +1343238812 +1905983538 +1382685170 +299643339 +194960259 +211908687 +1828059769 +1109989238 +1765930458 +491898631 +1566397730 +1016422856 +1300313334 +1430605504 +1820436352 +1644788898 +1623448717 +52562028 +482272562 +749440392 +2107796966 +1708019905 +1154691683 +771804720 +1066758532 +610696703 +1504669832 +343615422 +1945230489 +394844566 +494223649 +1140985653 +153344456 +1876908819 +1440628992 +348304716 +2088817506 +1121205114 +1458293954 +1707264317 +1613103745 +877208037 +576203525 +765933431 +160329893 +249156229 +263238682 +1783778610 +301718257 +745511244 +385735354 +262031575 +306047501 +1540427038 +1033836295 +1372806034 +3640093 +391022480 +1716421456 +1948870582 +785867046 +63161457 +942372587 +939211502 +1940070276 +235517932 +1287516218 +1881404134 +1356723046 +598326525 +1441184803 +822343143 +1475534562 +2017388328 +1588276575 +1635864455 +119060910 +1851515257 +1272159418 +420779167 +449542853 +1657894772 +682810743 +755590355 +1050838162 +1716647038 +2128396389 +1054478255 +2107669518 +1697334197 +855865189 +746052916 +1760495654 +1798237777 +1685264419 +1553082282 +2033755709 +825296989 +1287002768 +1242995107 +1423623514 +580703924 +2065338250 +751674428 +450608604 +1506131177 +240055236 +569669514 +1210162786 +1512214654 +990448682 +1659705640 +1022625778 +1673259425 +267812347 +2073463941 +1242422815 +248725088 +980458548 +1202608686 +1946059285 +1836323738 +1948661602 +1559071291 +1487077867 +1486442373 +964669925 +1373349928 +164255715 +104189045 +468861387 +1587879229 +684892969 +386715989 +192070010 +1135501574 +1892847167 +432125246 +1705171088 +955526305 +1944339900 +548136122 +467748297 +819482030 +73911899 +735560644 +745462323 +1316334715 +984285732 +1725920872 +371459753 +782861369 +1414760962 +172637707 +194449012 +754355181 +1659080081 +1159118937 +2127705109 +1823335796 +1263307983 +449082848 +1263731377 +1948200952 +835798837 +1455801387 +936218878 +581162356 +1887926633 +493906319 +1536688662 +1684782885 +1042042441 +2004436959 +356781268 +1115954341 +592513956 +1102243591 +284805408 +1576799688 +680680815 +656265161 +212177410 +2095441777 +828902868 +406626422 +702313310 +340499301 +1565745360 +682534771 +16351449 +681569695 +1131617619 +1280082827 +482286999 +1967416457 +588400566 +1418505878 +401095165 +328843552 +1912412197 +1937783827 +2013626437 +806970990 +1794737139 +222924057 +1922925331 +239767447 +1325167649 +60247091 +1816567135 +2005848464 +716512252 +2028744545 +1953806594 +1545415121 +287887320 +508636256 +1885914422 +1853632680 +1191171028 +1902265872 +387718727 +175304999 +1034865051 +870005726 +2142721456 +1623265617 +141027956 +396332974 +1952109169 +2053440153 +186633153 +1818251959 +712927496 +1981370292 +2041176016 +488369179 +73654091 +1218860017 +548616271 +1890221227 +1077224834 +1265128523 +1771482124 +883547780 +663059996 +2059369444 +1392184036 +401490771 +1765518476 +435871416 +156272995 +5753555 +611176416 +1191138046 +875759282 +606414224 +666920015 +1016787238 +1002747198 +471545537 +922743744 +1189380352 +142313848 +1635671240 +1023266996 +36006216 +2124040419 +1096921088 +1254866234 +525173042 +839658667 +184607420 +1790301566 +463657143 +1068155200 +305877914 +375542940 +312855588 +707368685 +2141061416 +748727005 +863641680 +2146814972 +1359903421 +2054779726 +875090606 +1966317645 +574216094 +1891877844 +821581196 +1045761631 +667137940 +2010961548 +1188075479 +155325532 +886744896 +1224081695 +131882304 +1983665984 +331464281 +657055346 +675841003 +516071701 +299873264 +1139498147 +1584226901 +605751179 +1515041087 +1897082490 +1313119864 +1508618855 +498325847 +29277897 +1507950179 +1858229268 +2084057623 +235557137 +1677063265 +510790069 +2127434982 +351160813 +1556551700 +647089274 +214638713 +597143531 +802414807 +1101383610 +1821225227 +934297111 +937565946 +5205860 +1591352457 +1613406950 +521277562 +1891225722 +605421449 +2105504463 +349493253 +2120462536 +1855103305 +1662613117 +1481597743 +205945504 +1691891014 +842064275 +2064174772 +1628464990 +1077621412 +1593754390 +2139255059 +1057572746 +1944915203 +1548323112 +1704662021 +12070269 +2145466643 +359593180 +1113453879 +1819208222 +1293890291 +2051019825 +1824414083 +737759100 +1516943127 +198207997 +481501174 +2122364576 +156228812 +830994427 +2095343464 +2011332118 +346123897 +1429457560 +69793974 +2038014911 +124038187 +2133968747 +1518996253 +1201659599 +1580239489 +1510767665 +111748698 +1377671044 +911607129 +1816410719 +1389741313 +909590124 +28520251 +355711544 +581314699 +1322410542 +259247722 +258245134 +2060169642 +1776190849 +456453131 +394187169 +1751071778 +612681943 +1225181596 +1698931594 +476530413 +1571305493 +980905506 +546324388 +1461836757 +1104943693 +532809487 +833349362 +159119645 +2113048976 +196633379 +270868343 +1343236372 +1108240508 +2087279062 +585494038 +2017830633 +2115799313 +941205582 +451661684 +1290726207 +1200453304 +709906818 +1203412201 +829160506 +1166359949 +1597599370 +432748636 +1779041892 +675297319 +2131680230 +108088658 +99119164 +965102089 +654413046 +1560955921 +2070045782 +1187222533 +246821636 +81681779 +1152787861 +443455015 +352550122 +348540585 +1551695524 +292345536 +934034623 +1422042509 +260661201 +1875240206 +1873704193 +1551387408 +928209862 +436127363 +607315962 +1757370368 +1602487312 +57431684 +42635356 +1234045556 +732729003 +26831939 +1342134214 +831848168 +991934028 +1996547260 +245320441 +914496162 +1036286145 +492142077 +996177942 +41590358 +935597093 +1348728064 +390130944 +339808969 +1641073601 +1324165567 +1761851478 +1901734802 +1051922125 +1488072023 +1305638563 +1980131988 +1924199386 +1912954525 +1590018708 +1379203050 +1970386209 +1632654065 +465764958 +555631565 +1659486004 +1807899173 +1387479733 +503936384 +1656962785 +1632800174 +1418432546 +545765283 +2124942252 +267126840 +587355641 +913055697 +1615854905 +977486585 +1252864666 +1109444858 +154168505 +867232496 +863696012 +1206090630 +207820871 +21850927 +1038738970 +2132020257 +1934805452 +481274031 +1363739659 +1757708014 +2113928096 +1829504617 +165855931 +1625930452 +1489920142 +1553335664 +2129866836 +999399280 +1038652190 +1400815734 +1545164563 +1016110794 +1667942575 +2132520204 +1929166491 +1136313832 +962523142 +1034547509 +98275042 +1116691647 +1901780005 +961971054 +175298629 +2109600876 +983821982 +1214037600 +2094137485 +771143786 +1695311631 +1310393496 +381368152 +1661756079 +992414466 +547224083 +1140202883 +334850960 +2100559747 +1122586071 +1334250240 +991728290 +375918157 +731931155 +2007839084 +2043860732 +716967712 +1789521928 +1032690916 +1679490854 +676585789 +1130965958 +648698853 +430882147 +2092937013 +823997482 +392999375 +929275347 +2038035082 +339653213 +1700419133 +1585863065 +1650046709 +2081787286 +1100135496 +494977527 +481527721 +92854731 +829828488 +434603821 +1215440802 +16595080 +1426332111 +1591358960 +748526236 +1286687547 +1487736044 +1465493948 +928725827 +372943313 +997501154 +1605311617 +1503909271 +1646200007 +2036193764 +1449362636 +322713841 +281709491 +231154335 +213265276 +621362704 +1931573469 +1799128341 +123925766 +1865877107 +751780190 +618903293 +199921180 +844634921 +1448731781 +634525001 +2060075724 +1465326862 +2060857112 +1503951036 +66369450 +1200061012 +844203432 +1531863398 +2128786839 +1217146745 +381880904 +1586614808 +573572369 +2028080911 +1475324924 +2022935005 +203311104 +1757034416 +106605693 +416576380 +230913472 +2038179162 +68221074 +354839238 +1756572621 +820001264 +973742532 +1956493801 +1664636185 +274990665 +443535155 +1577228261 +1740317527 +356908619 +933695649 +1806686977 +1556969631 +1777899082 +1191066727 +1538272823 +847562179 +1572947631 +977403983 +1421134548 +1453544894 +305245260 +1296585906 +1656855999 +2062279676 +1403191599 +2073432379 +145709500 +1293887113 +2141653453 +500548739 +902976086 +814171069 +1474291271 +711986239 +331323607 +1749281936 +1155521394 +1908551868 +1342115816 +1512430014 +694763870 +1001319145 +921915997 +325179304 +44902225 +312705172 +1172741483 +1617849856 +1290109156 +446392384 +923911103 +1595354416 +1742978290 +433283454 +1510150444 +998686241 +359232185 +1655859944 +145089706 +353401991 +8925035 +1048065792 +1167573060 +1483216306 +1760052031 +1498896667 +1085014595 +768089778 +1259964888 +279646763 +133036144 +1954728758 +1280965908 +1054952141 +132424414 +1325868133 +1367657314 +1305165897 +796234342 +510282822 +1751558281 +1720145445 +2105637238 +1347052923 +5945251 +1468304034 +198255516 +365177436 +976680330 +343345222 +718579427 +985605366 +1391411014 +1886152488 +321338024 +1003979398 +1237565507 +1406352619 +1772069176 +350046747 +1685999382 +1905105320 +157291857 +819481643 +812573813 +289716271 +2145349776 +32747479 +1594882169 +794100470 +543030301 +1198956802 +366762267 +501183891 +398526078 +372707518 +1969487925 +596781594 +737884955 +798684608 +940126817 +1456464382 +1784289974 +184054183 +1195133222 +2105627998 +1188033581 +285215082 +1364496970 +812619109 +635261829 +903012704 +570240781 +792553687 +1722494347 +1382814595 +1082269958 +1720360476 +1415562074 +529668479 +366977298 +1958592376 +1728625282 +733739566 +312292619 +2127151360 +1106447084 +134296897 +576449306 +1844332039 +932981505 +1516576123 +1153312774 +569787831 +1700630307 +200962348 +527932181 +741180240 +486177430 +1892429151 +1553799350 +1121439260 +647958208 +2124040131 +1913992947 +222968907 +1359371078 +848779257 +1943329383 +627449505 +1378447737 +162823034 +438558233 +959589371 +896562600 +750850852 +939257083 +2003009684 +885147749 +1515706389 +1699858076 +1818129254 +884798865 +705687202 +240433437 +437945524 +906649550 +768365619 +1179125764 +1392826981 +513311122 +585441466 +366782593 +1161269330 +561997950 +133291892 +1384238238 +1921369028 +982071149 +1180083973 +401334885 +213035238 +1342907007 +839893118 +1172624609 +91985959 +1590743971 +2111881692 +2094995644 +328408072 +1480104434 +1647370072 +2146537327 +217419651 +205573626 +239487116 +655365175 +1112223176 +1007852735 +1834490939 +357566509 +1521163858 +272448758 +724349102 +534949540 +834446708 +857640994 +1919187778 +608332088 +1839712144 +951788104 +1009666974 +2052747382 +147211463 +1849560092 +1077888344 +239197423 +1292820415 +1042286388 +186709419 +1621228488 +374907174 +1834079491 +1620282167 +592326825 +2039653117 +1859769283 +1247692000 +1004392645 +720138371 +934699292 +1361959155 +93818581 +1207148050 +2086308257 +628768121 +2041594758 +796465604 +400472252 +502443198 +488694100 +1352260356 +1512110172 +393957834 +1499471819 +1214186617 +1471846178 +1738669242 +359523384 +366648919 +1925378661 +1980751872 +741556093 +1611974504 +1453550391 +1333882919 +1504143973 +1165836027 +434091271 +361052971 +1885974398 +1368790563 +1723012126 +1979792979 +428454965 +1661836735 +461077452 +322566075 +310818691 +861549704 +825009274 +799512791 +66326412 +189635798 +1193470626 +1565798232 +1403822415 +517833156 +1156983826 +1763345800 +884482075 +934878840 +1596614024 +1626038169 +399369696 +902680768 +812437440 +1903513670 +2068516795 +1246528711 +117082993 +1807007545 +467835627 +1840095119 +1639316876 +896290592 +1354448206 +2100394328 +1218856668 +1665266898 +814460385 +2043865942 +317296041 +880786797 +86018092 +1510766667 +299101381 +1489840508 +2028599824 +1456085208 +1105702660 +765598251 +243480400 +554833036 +244152772 +642850096 +1457513804 +1056590212 +398880118 +1378546951 +155635276 +515963111 +1038070848 +623470903 +208574582 +529904076 +1519761495 +1563022789 +482814757 +591134515 +1080806039 +1297275142 +487516809 +1398102080 +30578291 +573534902 +761385100 +329679673 +2063375410 +642501276 +1785764881 +1021594422 +1408099527 +2029245281 +1576427458 +1652252300 +524611729 +886457615 +561358864 +923491848 +117520918 +716994140 +1439454959 +1155591767 +1340465043 +1648029542 +1685495843 +712742891 +1063568683 +20826952 +1303877406 +2144374722 +1318102094 +1791394216 +1394993154 +1348680386 +217445470 +8894606 +1678360059 +133337232 +651395882 +1316641292 +1154931654 +2059495410 +1198402925 +583875464 +1564264062 +1723014654 +1470333079 +2125622926 +499022854 +1587853998 +695133419 +1938477814 +595962117 +2035598462 +1439023708 +133974312 +600857705 +355108743 +154801265 +1904735112 +351999817 +1472903359 +1548645680 +1746992971 +674100097 +1766091150 +1755887578 +204976508 +1899428382 +259799812 +1521617800 +906876388 +171811574 +572537077 +1490751852 +1736075636 +148068084 +813601284 +1714214915 +647090938 +253971634 +261864686 +438085104 +849933751 +149979500 +1877108812 +983908063 +750837206 +84733907 +1138709328 +508088670 +436733724 +464129040 +2056734350 +36243048 +1138229137 +1675341852 +1792130626 +1343205646 +1427286586 +2051930438 +717339798 +186679326 +76258365 +1289876876 +1677431178 +1812334001 +1437944960 +343548814 +1379065268 +2085035898 +597520448 +1640929954 +375637355 +1447454199 +1790909455 +105262519 +283878615 +394263013 +189996427 +1422587943 +902351683 +626730151 +1886716983 +811602385 +662973199 +877462473 +339460589 +307620177 +73184471 +1766747175 +212066968 +790524269 +1953426501 +288325333 +2080401145 +1483374031 +2100659334 +1370862457 +1826922846 +1332240955 +1308414708 +276959646 +825687261 +1684052063 +1724413846 +469113068 +1789314582 +2008292461 +863376081 +1979311009 +1283396756 +1765727764 +458557513 +1022630092 +429846501 +1121530712 +1900092565 +769307090 +1429150890 +1973277036 +388570617 +1641217858 +616317657 +194513470 +1929543191 +549235155 +1677887502 +1882718877 +1920097612 +1357326700 +1067476184 +1081028672 +1634286346 +1893163446 +617597087 +1211216544 +214792866 +259428022 +1072025357 +1078168948 +91255383 +207938466 +696413064 +549812896 +1230568558 +1126259566 +1671343609 +983177475 +1895566656 +953010851 +808970863 +136653626 +446745061 +1425288520 +331167096 +228804604 +1974523675 +2009054598 +2111523481 +1747137640 +1218897650 +1031516018 +680682664 +705700349 +777195816 +1298279752 +1916916893 +991988682 +1557707774 +841458603 +2070157630 +1648963157 +1049397069 +619087047 +51292406 +132481979 +1745346613 +1722636015 +1115659454 +1493429621 +528163218 +1924630317 +1630083247 +974908279 +1202435189 +1961250344 +1203712883 +1029475217 +1822821294 +1167752716 +629129209 +894235297 +51785086 +1309811873 +1599935646 +828980902 +460607977 +1369368891 +1820969585 +2018315751 +63343846 +1743643567 +1519795261 +1112740915 +215246966 +1571087667 +1245222894 +1960593579 +1146240034 +213398700 +1306539553 +1674403252 +2138029017 +789139152 +501827883 +1192980559 +602905848 +1705540766 +74972128 +278243495 +725809834 +704101337 +1172478792 +777594921 +2013913210 +624930790 +1606575823 +327037540 +1994299681 +1280061760 +197869643 +2057643528 +876221680 +1717664904 +1022900795 +1091468646 +1141268923 +120640042 +904578578 +140025309 +334038742 +63634483 +1814428561 +324584112 +852773635 +168772796 +1517564671 +1455679484 +1874313562 +1592536799 +1733922979 +452639749 +149154488 +758918123 +1230234670 +15584050 +1383848913 +689326845 +342621590 +1230664946 +1969388606 +540491234 +1140824826 +698126638 +110672490 +16241974 +1789595284 +1251941414 +136882016 +546690214 +1391966723 +470920758 +610324697 +1058911637 +795504870 +1463098333 +1227684433 +165585893 +771294169 +954514348 +1758122692 +357733500 +1407154097 +1907277180 +1116651623 +489905119 +1922861231 +353016888 +1179231964 +117999173 +1583681834 +1001136922 +658490407 +577023013 +1699263560 +769162898 +593264987 +1341375197 +2021104312 +730147003 +1888065411 +1265587387 +1201067761 +350906461 +177015376 +1996572632 +1814004794 +1404699810 +14674877 +437815315 +211730510 +1772797570 +795548815 +1618884607 +1532591102 +1912200438 +2108789726 +1307968685 +117733678 +1140538042 +1425967859 +1701415512 +2141674965 +2084458266 +130954877 +1693454877 +706137516 +724219864 +887346426 +579758180 +1454366867 +627928190 +1845345568 +507950981 +978834651 +2022360944 +357039965 +645355797 +1279577106 +371714842 +1083171112 +1491307616 +2144512412 +1878719927 +962708575 +1529619867 +1643436717 +924014653 +690104904 +1761170395 +2064552696 +2116072763 +1315102259 +2058744013 +2053047382 +1446057137 +1604715242 +611701250 +22793353 +344578021 +1191459431 +1477160221 +972506211 +889321351 +1985111202 +1951340862 +764198647 +194667519 +449213011 +2043775754 +566382361 +1532384123 +1387599722 +563411126 +1263620402 +202824650 +2093030993 +759573471 +1126839303 +635652249 +373260218 +1043908351 +604241365 +1688362477 +955168716 +509805099 +986935966 +412400311 +1121506349 +1009729320 +756978332 +165482132 +339405893 +1729484543 +1054803483 +177033447 +1533341757 +1819002131 +371700966 +1982554768 +1715294237 +938083327 +1367455243 +955410311 +1501494453 +483591997 +1158234961 +1447041798 +1243165468 +137590617 +2082694048 +1616425686 +1181498968 +539451765 +1157304515 +2136667685 +1049256864 +2144240482 +401584348 +23279565 +1006486154 +1158562680 +188761698 +1345892047 +740563575 +1243565181 +1522925494 +126421684 +915083664 +1894626460 +2108976452 +482894253 +685226139 +1328948047 +1438304565 +39236945 +1812540044 +449055878 +1486278743 +908221864 +586646495 +1421489143 +377163902 +1768145464 +1960940908 +1534468417 +1757329501 +862714124 +1531225251 +11430201 +885993690 +390227757 +1169992881 +1074755388 +1736119804 +1910556456 +170836921 +1111561650 +2036978140 +1085920586 +858704462 +1998470944 +1568814839 +1543930602 +1179935343 +859635756 +1583167547 +844991739 +1308691635 +921962642 +1753213603 +1895338130 +195968138 +2130377505 +1515999946 +9425398 +1517362274 +1125845799 +872139523 +901103878 +1137276000 +1758133213 +1291331635 +159785233 +685404953 +879967792 +2070341689 +856241874 +1991529442 +1959836181 +1942162460 +702750257 +1810823477 +1363493652 +99197211 +843275172 +75645760 +1682364758 +1688266911 +1384337395 +456843752 +1293996866 +1132191878 +652811890 +1276890723 +500708176 +662237289 +646769350 +1626553976 +1534376812 +1547873228 +616346328 +1145026377 +691721215 +776131562 +1830431330 +1571689007 +698989603 +539189556 +1415734802 +511342137 +333868369 +2118485059 +174681966 +1697362021 +70198622 +1017957139 +1773007781 +1752563380 +558740402 +1009861529 +61923484 +1852737269 +2142053407 +714735375 +982144344 +495277935 +1376972664 +1628913694 +2121831911 +763865828 +1029303274 +590694592 +1908892205 +1721024490 +1366826154 +1591839887 +1145229849 +2065815757 +2131029443 +413481003 +429674246 +317414164 +384482414 +604356213 +2014776185 +454681036 +1622313352 +1640300319 +59760768 +33570106 +502678200 +121684253 +1886307375 +497247959 +836419628 +720968072 +992525894 +65908644 +202398118 +966874158 +829774472 +1231701393 +1557568750 +591183029 +805242235 +776911256 +35539268 +1950472084 +695243365 +19085063 +216469440 +1124917612 +336499228 +600951854 +1729273825 +203791765 +1055632891 +1204103529 +1844092084 +1115393659 +1237673635 +199286636 +1237077912 +976497363 +696534595 +2073497540 +1697465435 +1689060490 +2139406184 +1899863553 +508451000 +821697008 +984081298 +2066019750 +1412880037 +1789323533 +695447358 +1448419305 +1592311970 +1390690723 +1467504369 +1808781410 +368124687 +1804003597 +262249616 +2097398512 +2007795362 +1317882507 +1154018393 +1704403799 +285792519 +244208381 +1903690435 +1522870431 +1220705744 +452741383 +1448884324 +770687531 +2141801873 +1440806860 +523067436 +502769225 +115020221 +1507148735 +421305327 +1527900258 +1148988620 +1116752685 +828835916 +593816942 +359959760 +148856637 +255114704 +728084448 +1952860234 +517364321 +677999312 +1813171948 +1835246828 +1832017706 +1370092099 +2121039347 +2076226087 +1126298887 +1496426131 +1149448183 +1579040270 +797826807 +1920135714 +1573358495 +91150019 +295719502 +2076127720 +206170240 +1802868237 +349949399 +1734070499 +804373210 +1466702084 +415422767 +1398190152 +1826661844 +564279404 +1653304857 +407262644 +369655990 +23185530 +1085261957 +35344290 +1858432358 +769796015 +1405436390 +1831988058 +698538454 +384251629 +1180930541 +1847986637 +1963291899 +1978757348 +1620638703 +1389166746 +2069907367 +1916358205 +1317810818 +128593960 +1571742795 +1667760217 +1862664459 +228632357 +986978653 +130603578 +1626822509 +666156849 +694882982 +1132643718 +1073419494 +1064538972 +1155829248 +11197803 +1099883262 +866777959 +780993818 +357836004 +551282369 +1479532272 +742087633 +1732212910 +1180035261 +557895884 +1563486610 +653190316 +1947062630 +1485910329 +422064873 +1117389800 +1614504289 +1993807668 +637666369 +1329685100 +74956377 +1624645022 +1460288678 +1701778887 +143318224 +7688012 +686938957 +1216737718 +1072226984 +1842768206 +1227935521 +24626599 +562062517 +2008929339 +382462603 +1113344886 +1340977963 +1124550237 +698074148 +373529576 +1682446121 +114077110 +1026719892 +1482025104 +1599987439 +1448784765 +451931256 +1067008081 +1295108786 +1089597626 +249209533 +1370065163 +566759000 +1709498212 +924360402 +710077224 +1717186224 +1611299360 +1926814942 +641929561 +1306583918 +1007266815 +666556160 +1868646435 +868712506 +1049018763 +834507673 +62206821 +26085352 +1532581821 +435736397 +1708531474 +1646658931 +1462456289 +1043072930 +1099162722 +763757407 +1495004186 +18687155 +2058866193 +437118164 +267896689 +1281447708 +1003877165 +1977394901 +58324463 +1713954389 +1547097477 +1669623823 +1493285684 +41543390 +828724093 +353068851 +708099550 +549886880 +1221781358 +1757118314 +1384394553 +1283988179 +1783203666 +769492726 +1719724577 +1344251492 +268668009 +1034697218 +239840774 +1367830731 +1798454625 +1734844961 +1386517887 +1709837170 +24479477 +1654414576 +843801231 +1028356642 +1484325829 +902125694 +594827384 +883939658 +424265869 +2088113068 +925483049 +1252989962 +293698271 +1633582599 +1802876842 +1515479629 +1243217265 +1039787747 +651984161 +878937284 +1809280473 +224225090 +75705128 +2077948482 +1258922308 +315545903 +1298295565 +909893286 +2050390864 +537329804 +472246808 +2074870341 +44260732 +1316048039 +955743336 +1528586561 +70690085 +1550570720 +265042572 +494955954 +1491200140 +1190525621 +1747945916 +1784898411 +676624572 +1403339110 +1152894393 +1919841838 +295643209 +1804878554 +651295474 +2104923682 +2029103644 +727000602 +2035388516 +1140542304 +1042546505 +1186200434 +2050435590 +945453721 +1723530238 +375198751 +872840415 +1767790971 +1691246790 +1828583751 +1148893884 +1761936876 +1231670823 +1413936456 +109409182 +575387315 +456978429 +1857355099 +212802078 +1133603002 +1113210561 +1365696471 +905961192 +1408853771 +1023091377 +1557256666 +1366293805 +904711373 +136773620 +1254198674 +2045253678 +1179320126 +292915460 +1948205620 +2124773847 +2016445698 +175920723 +850130614 +1636753021 +1867167514 +531230717 +638163258 +1481620742 +1762901540 +2052099714 +1591029924 +190805207 +361594496 +1300901375 +403607286 +1495197498 +266628289 +1769303757 +253675042 +1675482060 +644911487 +1810931708 +894292217 +1549622860 +1947705328 +1007243 +1447392890 +979541806 +293922703 +1248114863 +956832006 +162884754 +1424035586 +1806962620 +1799637775 +1143719452 +190709690 +290317385 +477856546 +1953611230 +194933452 +2068886471 +2144416438 +556527948 +1222304198 +400540076 +2051725446 +1488932487 +22360185 +157916840 +1016930899 +667271672 +1968848548 +1911223117 +69410885 +1769070228 +1912230360 +1516803775 +601128387 +58669416 +617434990 +1557960393 +221554170 +2041470577 +1217439365 +2021191945 +1037706381 +1408149055 +164025683 +1515562928 +1214276638 +358959135 +1436965751 +1211209428 +915487083 +511786301 +1611749504 +819728881 +2000718789 +1634109689 +977645721 +870166040 +153897714 +799010621 +633905509 +223308599 +420597201 +398652222 +1740112374 +1021725588 +457321638 +210063717 +432202333 +678875808 +104050646 +1649641699 +552584105 +1141757027 +910307106 +716609788 +509836307 +2124583744 +1075568923 +1946802058 +1188309524 +1991056006 +311104712 +652575380 +663301239 +164339853 +139201422 +1640946960 +1034505893 +293099136 +292473933 +1668411403 +516407735 +713071135 +2067063625 +109036461 +1734796723 +376901615 +319100178 +19515409 +1055777423 +423150824 +1669157108 +1608361528 +1564907852 +431980566 +177487669 +2074744159 +409080663 +1253056592 +1874062570 +1597390187 +1096628951 +37683634 +102481920 +1759930190 +202023487 +241683342 +1253393503 +1236529380 +534782478 +1545867436 +757457135 +1051190213 +111454923 +677037112 +1160226674 +1846251647 +1053938727 +1479326853 +1865767056 +2109716150 +1902477677 +1387440516 +1570594031 +1319901881 +1819421082 +1748081700 +1247162393 +81018097 +853654644 +973741315 +1678408285 +1950283595 +1011424949 +1780890205 +1562730138 +1213448436 +2022573547 +668639993 +302494168 +409872377 +67023781 +1059951304 +1461062590 +178478705 +1736988416 +473805616 +2024730352 +643443496 +1953132469 +1743013760 +605675998 +1708126499 +982970628 +28786381 +880544732 +654908062 +1776868081 +2127707125 +735926160 +483039078 +953964792 +266850797 +285839025 +1965389741 +2047741002 +1848569163 +1031354529 +1922830901 +369725508 +1333848698 +185219630 +436749290 +246316354 +1646282220 +615227995 +1983304770 +2120087836 +492474699 +479264618 +1925736658 +88004811 +1084940617 +1486379509 +1070975439 +1113726998 +219440593 +1725883501 +743111432 +199664071 +314326013 +1226150510 +1153628863 +581176810 +1511989535 +971534957 +481434164 +1213075051 +2002889486 +256781417 +1582800559 +1189254536 +442001047 +2019549849 +1435570890 +2088283267 +487294196 +1271392013 +2060887456 +979768895 +1750656631 +1839140466 +1067773706 +688113600 +1178036327 +2138749145 +1801840599 +1397476920 +1717148999 +397468383 +1597140991 +2031475012 +1623618893 +603286207 +465168175 +988124780 +1574821164 +946602339 +53716183 +1430227002 +1203383757 +1636516743 +471997891 +1645384804 +1508582944 +1907568781 +1586184424 +1995877141 +1031477146 +1499588232 +828162388 +634650130 +1191245050 +1895936095 +1322763730 +221797729 +1887201592 +977120681 +1619274649 +1456866943 +1374589064 +1068931993 +1340858308 +850724309 +1672218200 +1806026483 +1838849090 +1099555716 +605145174 +1892565273 +382299070 +1808528931 +1381598368 +854296961 +1306430088 +742697665 +614382095 +745130864 +591091158 +1645859241 +97235448 +1419253546 +133025723 +1288480498 +1167705993 +1455789454 +1510278227 +907423938 +285426487 +982069228 +216807233 +1660015552 +2051001221 +1557665541 +363256213 +1575735773 +1216208376 +54621655 +527807841 +1821353551 +1947186929 +910106912 +1482398834 +1181301649 +1764403873 +641345274 +1923999314 +231302320 +1386476138 +367606824 +1877161562 +1483711586 +1786860371 +2010187285 +624708436 +807082716 +1318493091 +2134986663 +1714506654 +1603919579 +969572244 +1931313888 +1116451483 +873089817 +1341495781 +1479707696 +301341943 +410220510 +1534329352 +829149784 +84090413 +1334032633 +1739256696 +1566489247 +367850634 +1356176922 +60350874 +144366301 +1587479242 +1446827012 +511973125 +1317157156 +783054951 +151349848 +1179860794 +1407763387 +958432565 +350870237 +1395266403 +525455571 +1954789816 +217354999 +309285811 +923757651 +1090444816 +1650781593 +255981700 +1391786759 +2061002103 +1790311052 +73452896 +2145092516 +976860037 +1812709592 +1564098115 +1344710671 +1021402866 +1624448989 +1489076972 +461398461 +923792354 +2001050098 +1778555617 +1706847305 +4916298 +810932763 +967127044 +963348863 +1161803001 +214909799 +1488804435 +969109169 +432264798 +1798090246 +1892866821 +1522709615 +1301388191 +1364873 +767012726 +1214906646 +1791675925 +840465622 +1212515514 +621052314 +505691567 +629129982 +1965762985 +1527094433 +106095323 +1307356310 +1988492894 +1029887677 +1160922760 +1619564864 +589251334 +1165839058 +283013979 +1556378379 +2129187922 +1444816980 +1771288178 +1470508709 +266442502 +56069329 +1121115307 +11825675 +1578778944 +275019851 +13190548 +198308022 +1489926497 +1804866473 +1038773645 +554958364 +278435139 +1544465212 +1184088346 +96714476 +924075997 +1290183669 +1404070786 +765085244 +172587699 +417509898 +237166460 +761839033 +1583348957 +520180439 +170733764 +1565053231 +1964997420 +1942021943 +888078292 +83956274 +1998091272 +2009193599 +95781949 +1429386568 +136729802 +108972497 +1627694590 +1626656300 +1913838970 +518984587 +34131016 +44790461 +2063449799 +1218219362 +141504937 +840042149 +360919383 +1545575724 +1605127393 +533507082 +1963085622 +1842293853 +1295346116 +1398950931 +214990644 +1466079880 +816520514 +32504416 +1260618175 +1704598806 +116460690 +1111225799 +1566308758 +212242639 +393128719 +1703038560 +321215136 +2020823310 +1182211212 +87570458 +392324249 +1216342228 +132360919 +308290401 +287077942 +273865857 +1148332550 +647997326 +1819441581 +605976295 +1181504408 +1635043555 +300786500 +329366876 +886510839 +515777144 +1795446757 +1703031353 +548281561 +908581284 +1260146512 +664742251 +2019807084 +678971622 +876984891 +265452155 +234526534 +1198200027 +138791817 +1416737747 +1285770486 +531116067 +485596327 +1418131405 +839406468 +772674270 +1691997262 +1987739018 +1420671596 +1363955195 +446231665 +454692356 +851515103 +747018165 +784059233 +1738025942 +1262795309 +432022342 +1293573647 +1811076870 +1340603626 +406236511 +328335474 +1212927062 +1085208133 +1205320365 +1478379218 +1319734668 +256036744 +1617171035 +588988767 +1541807230 +803454 +1074585094 +812454988 +840209922 +1847259364 +356968602 +680465292 +1120447312 +1720923798 +1126696957 +1575139669 +424955253 +1873715122 +211715254 +15497547 +989026784 +643737596 +1309071194 +652620006 +1984341222 +1715307706 +980955480 +1049784637 +653032191 +38792197 +380680207 +1972766859 +294828942 +1997851242 +414271978 +1836636172 +1998654697 +1488857073 +501607512 +691380971 +1188632789 +858576115 +1371846264 +161596454 +432016265 +351059573 +1736736123 +856971518 +77291048 +1948451377 +872469065 +1066317832 +444705325 +34056611 +1718937838 +281562899 +1749364317 +552409671 +1331347536 +254912861 +591201868 +1712027743 +80196072 +886030810 +1562395338 +494468051 +575183335 +1413566387 +1983325124 +1076790847 +2104947358 +1024474265 +1935366962 +1329309974 +1186070719 +219899579 +1680369548 +775323194 +1076871097 +1757660596 +576290923 +1949340162 +676494780 +1020996248 +1983396774 +247948970 +1302559148 +1585277443 +800358641 +486423036 +1840190304 +1391560510 +50967132 +1920386377 +130107672 +1613362470 +267370780 +705291007 +879445209 +103212256 +1782081855 +836908919 +1127686521 +1569965169 +18735246 +166273593 +1789864749 +1699104794 +941596787 +719252198 +1309281742 +1517887711 +521108713 +1985776522 +391400311 +357021839 +86241844 +1693959459 +1942299282 +886600486 +32898848 +1635005939 +130677348 +83865980 +1407908668 +260785020 +1697228450 +1675279448 +966076028 +429190011 +1778491704 +600674235 +1266098930 +758694577 +23155756 +1284834176 +924968170 +1813020505 +836455322 +1866564958 +384789056 +2145737064 +1236969021 +905897769 +1984029938 +1628369332 +1262919608 +2070271783 +1174845144 +1057735242 +809388621 +1207743992 +545257533 +940065969 +1291609972 +1953166201 +1200850989 +841354774 +1480962001 +19443369 +1270544785 +1111970057 +620117604 +389160067 +1870664635 +643273361 +1673994244 +648149157 +308810218 +362965918 +367230467 +693599274 +361219335 +1604199488 +1599497043 +197765625 +1085085173 +714933003 +120553760 +112446669 +1772668246 +929942381 +1320190661 +170442131 +1870008350 +464316985 +2123608333 +923375692 +1305671759 +1457086686 +942819061 +428732896 +421573096 +1562936666 +817892963 +144754083 +58726379 +344403559 +792903240 +367536597 +707369478 +1160133708 +1061135872 +1068588813 +616849548 +513149267 +1266354438 +1701934721 +1228082271 +1386908199 +1814381390 +853266869 +169366932 +987088403 +1023709000 +2039375283 +1451405388 +999833685 +815267327 +609593499 +309436724 +1758086388 +1038326395 +731009820 +1173539406 +1856219359 +875763903 +1232265785 +53139270 +1668667143 +1599802383 +760508748 +681317203 +513454607 +1829097561 +1298166752 +1026603874 +947968352 +852617825 +107202497 +187392903 +519515568 +960469366 +356759835 +1506603971 +1984178367 +248651470 +810525712 +836528404 +1063918797 +1420119211 +1145965128 +674521538 +310961959 +1876974948 +1848060944 +19697670 +605255203 +932843082 +72836940 +126438699 +385161817 +833345689 +807755902 +898616424 +514959602 +2105922654 +1925220298 +1462927954 +811056832 +2032422796 +1650320857 +1330572400 +845408514 +2007080693 +689692723 +682103233 +108248515 +1500218435 +1518631638 +1172167313 +772853999 +517113118 +1846688851 +1083815958 +246604419 +1547266147 +1103513628 +851859622 +332625581 +1176350568 +978298321 +717787398 +2009696257 +1786054224 +1616403822 +377172212 +1744493230 +1394140473 +1840100166 +408066414 +1279079621 +1342937376 +1738638814 +2124488135 +1202534421 +280847890 +659107721 +1310782936 +1781066325 +30255711 +335466601 +406436676 +547368829 +34671804 +1490252634 +793973248 +1581937952 +446282614 +1645832871 +1914563533 +1622633183 +476647544 +484867284 +1484845792 +115218120 +2101271106 +1862018004 +1859711351 +1347927931 +1554634523 +120294117 +479523904 +750088251 +1858932932 +456528392 +1952622672 +2139780822 +1115636113 +1115921960 +1773363499 +1145891824 +1451388562 +32316528 +1693260653 +1486060366 +1522569162 +339750254 +920514670 +1968851777 +1985583125 +687594556 +1444001312 +314747021 +1172461840 +781363456 +429965142 +1126249298 +495897813 +142192845 +326693582 +2050532336 +262486962 +806217486 +653136939 +2121419894 +1262745878 +458275963 +2113717068 +230898343 +1574197923 +1739596920 +1376790167 +878102837 +1771913448 +922567173 +216679556 +1146998962 +1262317427 +1137194226 +968367091 +1100416904 +1824788782 +264884755 +1415163925 +849766974 +1046248212 +1845129067 +1976016273 +1542146025 +1987321912 +155226207 +1445194713 +102325227 +961443693 +2098331652 +76261473 +76705924 +409123967 +42494894 +307604267 +1983321890 +1782091814 +1684394435 +713941080 +1406521614 +459477960 +930620636 +406036928 +1721795387 +2067814862 +1374404020 +674728643 +1745119997 +1639288775 +2089892568 +447403323 +538053339 +1787537988 +275935948 +2080199364 +1627376252 +431162155 +1377910429 +1729701479 +1392605849 +1328758433 +1805962953 +1469311773 +1737882400 +1848457847 +1776916040 +1573720643 +1483066013 +1313826827 +140178075 +742103979 +1773304787 +1070798711 +1148140907 +1347616526 +991129925 +375061279 +2022345169 +588766274 +2014350055 +1964754090 +1036169598 +404919746 +1604808430 +1312105546 +337635463 +1084701034 +1743267702 +1715545892 +666918866 +988389903 +896820678 +325398171 +310218028 +487219430 +26372370 +2087134068 +2060940073 +1509438383 +1253477248 +53634500 +104058714 +879298387 +1124433211 +1252199621 +79431266 +2115563137 +1627260901 +2101776435 +556845763 +1494127308 +1919046877 +1593015361 +1899047054 +1376371659 +757637260 +89198869 +313589046 +353421314 +1804744762 +980507912 +1341811217 +554081792 +1305906083 +1652029245 +1041301222 +1332278453 +1591679665 +954757648 +694233188 +697673265 +1008392148 +798291902 +1576971653 +2132825360 +2050491523 +1656402919 +2100904849 +1530268776 +1610695706 +510266964 +876912436 +1382258936 +2103282326 +628475843 +611146947 +713435938 +717674712 +924735993 +1066857252 +374935826 +1905243905 +261184821 +929017618 +1063666340 +1913214066 +1970318841 +248461145 +1357410083 +777592841 +942694333 +2055083349 +1785984989 +1740986235 +1484571354 +1771326701 +1643994111 +993490625 +1724747902 +1026779239 +456702683 +87531219 +1903691676 +1838961619 +43329897 +384683871 +302624919 +756765835 +1102358583 +1227360912 +1823623087 +1477294410 +985121170 +2084807908 +258828380 +2048787510 +1850538326 +81663573 +149765008 +1060464761 +859256414 +1092459341 +968064462 +497757756 +685961929 +305152168 +121600809 +182472392 +1298642793 +1846348712 +1209251631 +1755345477 +1933879931 +965459659 +1446823448 +1977209828 +1350143530 +1749448367 +586492015 +305018466 +829325632 +262631454 +1782312876 +1814446802 +199955714 +2041141256 +1715750664 +2050494040 +2122804830 +1865515672 +963475153 +834577596 +810491366 +1931539616 +1332335352 +1496453295 +89208136 +1453936162 +1678925687 +1387850930 +1152801226 +740693670 +995712759 +939197509 +1706153330 +295052559 +768923689 +908813212 +2044500927 +1355415704 +1213831678 +726342911 +1618047158 +848660906 +393306065 +1818002872 +742318515 +2109056729 +1721013264 +717639697 +1827088754 +537004769 +1552217293 +490096472 +321060737 +737068998 +1986549767 +410268874 +43521512 +1517991806 +1798119804 +1196322738 +111201828 +646348915 +2135520247 +1817355158 +941401474 +756960288 +578684723 +838418753 +2112375992 +1792516401 +1564761664 +1582939502 +493693660 +1958067729 +1253458726 +1236012175 +1919640811 +826988342 +1953651872 +1599245917 +1363993111 +1358385517 +2089342389 +1685053849 +2095454515 +1928408508 +2095322723 +2138976027 +1298916666 +1745958879 +1187815117 +1410118494 +244824146 +1175851716 +1079990005 +1186225620 +1932812004 +1658674728 +2024644374 +1897704348 +1303707481 +1441922390 +1333160202 +1797401141 +1252506472 +439135280 +885929668 +1024663635 +1266123622 +692097892 +476425904 +482633086 +2050483410 +418284645 +20203287 +1998454277 +199209505 +2115526010 +1989946657 +1498126171 +1714001241 +1030278126 +760761017 +1958825387 +58646195 +1840751022 +997567359 +1991458199 +1351942102 +874728085 +1741678900 +508165936 +169166828 +927355454 +158083429 +1421673300 +1366490735 +1044013098 +298853287 +485130709 +1736110990 +775279191 +967763795 +1639110752 +1193563836 +987967082 +1490081382 +1392773341 +956009444 +1332544391 +743415864 +522527037 +215338869 +1504176881 +333868776 +273985064 +1197444256 +1331436136 +117959616 +401902710 +58680573 +1859638516 +910068646 +227847401 +639510322 +1068152076 +1649520701 +2006001057 +2112165174 +1948373988 +343648119 +1700792516 +576169531 +1311411914 +1192419621 +1769733367 +151895349 +535017355 +1015023060 +1107904793 +1867561746 +1758438924 +1630431831 +2082900615 +1115132158 +1964300607 +209402032 +165092766 +1148253095 +327361648 +566995476 +1206933669 +39516516 +1477064123 +1434781070 +679026838 +397732551 +936818124 +537544248 +362414077 +737708464 +881192367 +2063206593 +1313877996 +45120633 +1108142566 +936127715 +197015982 +1643159921 +1951150776 +1304920776 +1363238019 +1562106052 +787868959 +1298654987 +529754562 +604685918 +1508057019 +694847328 +1752939014 +1835418667 +1261842805 +812389035 +1874935183 +591423280 +99686457 +406478373 +989155831 +1036504581 +944022621 +1351569908 +1774213046 +1825214988 +1267292853 +940607394 +1870335622 +227951772 +1876735109 +2067351604 +1871111693 +1680402237 +1224788732 +1086866065 +1095024642 +2012657691 +238037404 +1624779204 +469859962 +1746094423 +172142885 +75315328 +1434029442 +1433985690 +887704363 +1161480977 +2025408970 +987390820 +1567959350 +867081153 +2023895402 +364498324 +71167413 +1650624800 +42229664 +1338460266 +443748546 +1912565286 +1566412038 +173000007 +1832433243 +1290040084 +1853402245 +909738327 +229422501 +800943239 +774912371 +467459905 +278238795 +1244772333 +66070680 +450381680 +1320087661 +1500100122 +1884367370 +60308376 +514097451 +1762292692 +1047699196 +2082056801 +481890197 +924110950 +299071477 +553057610 +427252102 +341301142 +1891517877 +871000648 +106382780 +1310446267 +1044000656 +1938816023 +453002703 +749919253 +701070703 +682425204 +1550862492 +1475983074 +1149885109 +1829101287 +573271759 +1215955789 +131999320 +1893359420 +568572263 +2016366690 +1953667796 +1082669714 +1631175735 +853883344 +1017242868 +2113065932 +1777994295 +1316314345 +518639895 +57762749 +1657615487 +262674124 +928763398 +1763998268 +1573120391 +1972764054 +1555330643 +2026123095 +575199659 +108917698 +561064651 +2126062151 +1584900772 +1710949761 +1807679790 +10688883 +779421902 +1939679110 +1904048303 +1347994166 +1808562153 +1710232451 +283180232 +1292254240 +416632148 +1300423100 +1257836524 +47142795 +469253798 +1776476419 +104905544 +2126869285 +2039150543 +1033668942 +1743383905 +1464787287 +858949348 +1151230901 +1343426734 +1434149007 +1260148599 +1904491385 +1412727510 +697565724 +1467957498 +1072923653 +708254607 +99895753 +865119115 +464819263 +1447889919 +526197620 +27568066 +1731070151 +1818451860 +444200214 +884009604 +928804737 +491343009 +1353263402 +557797508 +596248554 +1332649039 +449464404 +1629917496 +928549297 +1914251691 +341383197 +2079780198 +1110194777 +1775532204 +1192445149 +867202514 +1040776067 +1890010873 +187676365 +2113699720 +450781833 +287572118 +831335187 +915601096 +1735462037 +1357532808 +943169162 +1319048540 +1028501020 +1387369377 +55574496 +1957305757 +1878712386 +1408837898 +367619618 +327477292 +594003290 +817084022 +1957394789 +1522552587 +583852065 +151294338 +1454849137 +1694046842 +1926826542 +499810638 +413765708 +820118961 +242337864 +601442073 +786335033 +693119697 +889014191 +1617670221 +1608720793 +476992580 +827719381 +404406307 +1796041121 +1856220401 +1791775684 +1851615617 +1666042511 +1523004423 +1112969868 +2033662129 +1850481715 +1706973158 +703262503 +1660392856 +1082042097 +1287114568 +1811687194 +389407586 +833677762 +1591030089 +889218224 +1247443470 +263665402 +1131556088 +1848885544 +1050000436 +1824675785 +590416087 +520187009 +1285912930 +1067408668 +1347906390 +1690319238 +715966141 +1056643143 +1334611274 +420098110 +575202006 +710132049 +1533067978 +461380487 +413130117 +1092557488 +1164642990 +2073522973 +27115937 +304273910 +1737726520 +416523523 +1137951672 +1181272961 +1305741748 +237911495 +1444938363 +289814188 +2086797039 +347455151 +2114489974 +529729478 +867642160 +1252919256 +1597138146 +68064902 +795754846 +165620639 +1124708046 +2130366121 +585718750 +1699910052 +693014522 +2118786728 +13806892 +1106144639 +1063860569 +1178449882 +1032183965 +1090976506 +1482723793 +622426837 +1507500030 +473191817 +1803699798 +665758130 +711103312 +1101154513 +955572318 +650416703 +1448609665 +922578644 +1180146182 +168768177 +28014253 +629800680 +236833080 +823769099 +795421320 +1361541126 +806651572 +1381140070 +913967530 +1499666095 +1352443150 +927774422 +458327086 +268820071 +2106224305 +1490511051 +1359796578 +1441464450 +2112937888 +719812960 +1914656267 +1769154038 +1385571090 +478275932 +722824904 +193659760 +1128692635 +23950921 +1116238405 +161355169 +192719098 +1144252658 +791155850 +429552178 +1968021757 +1586577170 +1791093304 +627189682 +820233592 +557577187 +2126855777 +25193094 +1485351609 +437699215 +294013166 +1444092266 +1928210267 +1653809744 +738073068 +1893664507 +226139056 +505245688 +1515334898 +1611710146 +983521620 +90676154 +1805369906 +2112214255 +114627075 +774124663 +126085777 +307346173 +1918377321 +917241627 +736898352 +1738915431 +356335149 +380508008 +218621465 +1176568741 +938085195 +197993594 +1201761835 +275953157 +635692809 +1495775001 +1720045423 +416419428 +1002101097 +310634844 +162600288 +1228240153 +815880532 +1677935186 +692466651 +1799402152 +1768611340 +350352910 +1764132759 +1883238415 +1124477573 +1890218536 +43100940 +895371247 +659976515 +779999292 +486803030 +1016311664 +1160507301 +705424495 +45396757 +2098592496 +903418089 +1247158593 +227062005 +1539110898 +595449946 +1947107429 +1955530327 +1597551044 +110258625 +2118130615 +678307549 +926139157 +1648582153 +1370774201 +578057661 +1269709845 +1721127111 +194706772 +1005464612 +698121036 +2084925309 +1048565552 +1593492283 +597418176 +1828564845 +2080295313 +1613729841 +841588498 +638236160 +1659126598 +792697346 +1541654249 +758801543 +1019759352 +933281500 +1354251490 +819383133 +741328179 +804318886 +929641758 +711975146 +1482626435 +1855780915 +213073651 +705916988 +286354928 +1482783496 +279560451 +481061700 +340764460 +977681488 +418503361 +1389330012 +423690123 +1015921538 +1070411209 +356501789 +482167731 +1911999707 +994737949 +2141294329 +557213406 +388908551 +752612225 +1576972758 +1322190051 +2106863715 +248872243 +2063518230 +763698953 +1178514001 +628009728 +98841740 +886811268 +841083379 +804758729 +1173166196 +176383227 +1084319180 +1654227896 +517147687 +2062000668 +2072731258 +1906477699 +338207144 +941169148 +829405261 +694708933 +1423336879 +593921320 +1689446882 +1417147560 +1151134726 +2078355433 +22276137 +580623836 +1253061836 +2129139852 +829496079 +1169096418 +745355157 +2008010080 +1797106146 +844196898 +747337700 +490705877 +1648955627 +1920503896 +667089104 +585791159 +1427248145 +1184236791 +500308180 +1352495755 +943230843 +838515324 +146181255 +1772636104 +1533224257 +1569518134 +219073776 +1075187491 +839182046 +1370208503 +1006059277 +861458184 +1950832339 +111637465 +843114388 +632844771 +1280733884 +1588469546 +493371203 +930356382 +285182796 +1240708904 +1421062260 +1934138423 +1013729152 +2088151364 +372445934 +293493649 +1124904508 +872754114 +1645989404 +2068135351 +1711269438 +1792170659 +1693287807 +1097010047 +1214205145 +1912361583 +24713891 +2053387192 +1135086438 +1030773168 +767361728 +938435130 +1142410633 +1610476116 +1571279901 +275660869 +1051462014 +2064651104 +1206017252 +1336644810 +1157876360 +479595864 +1123299585 +24121865 +420263580 +1495745520 +317615514 +1545168088 +221015986 +1963604919 +1465819791 +1932285425 +1608291930 +1011623950 +881811824 +675013428 +776501886 +906525715 +580916972 +1911588324 +1937298883 +1348278700 +702539806 +932225869 +811271168 +126336059 +1207886738 +1862733183 +43503516 +266420342 +1051894345 +1201379876 +746016206 +27710283 +1225501741 +1166279787 +1523455803 +1543117256 +563964227 +1744471789 +1359238527 +2029784019 +1529273566 +820046809 +893924321 +263601743 +1495060237 +1670426207 +1170127458 +2075977209 +1434530884 +959942694 +1276772261 +2137070690 +1892168563 +2088043430 +115923102 +952571653 +1803292965 +159426618 +1218991996 +707703662 +1360806494 +1965008202 +735413945 +438824588 +983804341 +111386100 +1981941844 +1547768569 +1855857890 +1193696723 +1430068940 +1237647808 +2013743532 +176509613 +1501249551 +1361320122 +1846935821 +523893362 +1289813683 +1133983057 +1483836056 +419102297 +1123570099 +1228520971 +359662079 +1239493201 +33608976 +15471396 +1398919819 +1252600972 +723175058 +612242666 +1070125527 +1458589004 +1051067254 +2053929868 +1569975104 +885525450 +1454214789 +1278349346 +2079222173 +736800081 +368513507 +1945482057 +913309695 +1869763058 +1159318531 +612761868 +246172772 +301648567 +1746744925 +1730008828 +720750864 +722831376 +811046151 +1080412943 +1962324578 +844655128 +1095884339 +1213760749 +2097256100 +1819059397 +1826003415 +1019897979 +1130164753 +729587021 +926344200 +552656210 +1615112471 +233075341 +1831005556 +1546850996 +969875423 +52035415 +1344849406 +1883185118 +1921798474 +356684289 +348463338 +20487598 +658332856 +2095208263 +1750496427 +1379083720 +670555991 +414058930 +312013015 +485396921 +1258714058 +1407897354 +1699157671 +1208486511 +1079473104 +1377677438 +80900842 +62154209 +2107264460 +1007245042 +614810419 +1574893283 +1240320384 +298332328 +974260632 +62712159 +350367743 +171626390 +1945897277 +124682569 +528310679 +146876967 +145170168 +1186643536 +94601582 +1895666595 +418243608 +765157573 +162241877 +730256624 +1250554495 +1420955936 +2138153978 +802228518 +481958799 +1070143434 +32422308 +562859641 +1132297644 +2139686768 +1570104684 +1747108063 +1567096404 +662941420 +2045440391 +393873388 +725653579 +248324487 +565499778 +524067208 +373007056 +1093810457 +670944175 +518177224 +132970345 +765545757 +266360171 +551213954 +1530703330 +428602049 +1281470578 +633774177 +1849557985 +1272140908 +1436002695 +184033136 +194800695 +1468425004 +746892777 +1327098339 +1460628124 +169513813 +926722754 +880240880 +832455233 +824679498 +1274114268 +1558108812 +1073003985 +1839614046 +2082176020 +1446011041 +785940856 +605636547 +1964188266 +918911201 +1371182304 +83064789 +1470125155 +754401987 +511666838 +604112085 +1388176164 +213741175 +1876252994 +676695212 +397774311 +2071053689 +2145120216 +1144667089 +1250668380 +1458264692 +1314180902 +29907486 +191021925 +2146636136 +854586984 +1465136193 +1557261300 +1927590969 +1157266592 +1491953673 +1226118363 +1943207448 +2097590220 +1042822981 +714635001 +1321288877 +1125887770 +37276509 +2075690864 +1637554609 +641388594 +1316383380 +1851295784 +370157940 +1993078592 +101586448 +293727981 +1990715160 +1246253537 +1544396361 +1301496205 +412950791 +1574303848 +1492518130 +412103279 +281407184 +810170675 +1969364580 +61514506 +1967437267 +1313834605 +1287632869 +1763161067 +1263941177 +182972202 +330312421 +437746406 +1308859972 +367588930 +365953622 +798930933 +1008977524 +1682337003 +502743070 +1379135465 +1527931947 +604329518 +1672863446 +1371163460 +1850583055 +1069776160 +525176017 +116050198 +496596360 +2017694147 +528153478 +778003544 +680381174 +350034410 +839518050 +500334794 +1663869015 +2127150919 +116012213 +780326544 +162639473 +446324634 +1218072951 +1471499446 +813913564 +1584026573 +122946731 +1822891089 +1118879928 +625689801 +1054542906 +499328228 +1230019319 +579922704 +1870491688 +933118726 +1649698864 +248184057 +1049168925 +2146295224 +118394556 +1577322403 +776815121 +798775730 +1927356813 +1616333171 +1299110524 +1443742180 +1596000443 +1415122738 +76585076 +1758639916 +1861447372 +1294658027 +1082655714 +527877289 +731200953 +1205602446 +203284730 +1850080881 +1831292247 +1257827636 +201925461 +913827919 +1837750340 +2072417149 +1846946645 +1339965557 +173117558 +748631922 +1338777133 +291512114 +178470677 +2115592254 +1090287845 +2105827490 +1584441778 +241914721 +1402086022 +1032958573 +1657037459 +1478671099 +644114841 +1371001184 +625845478 +1726770556 +1898878473 +1357046431 +784889354 +2102163203 +1059643665 +468697953 +1212507191 +1261569126 +1382525872 +902773883 +1186502628 +1081988870 +95255792 +1359620186 +1830620792 +1434032926 +1651132301 +2009091470 +1402141532 +593936498 +1967435312 +839099662 +835851219 +1222037687 +1872058235 +345405031 +553225138 +368689429 +1716406215 +1179070616 +2095459985 +1467801040 +388633400 +732865691 +1422480595 +1448277065 +1201563644 +487504138 +562362543 +436605869 +1390278021 +1748865171 +1518594739 +1485533814 +961001710 +1201731883 +772083092 +464650363 +1063339705 +26740976 +1058586861 +883291370 +865840639 +1894438080 +2105329057 +590415226 +92359463 +511070547 +959104655 +1808765678 +1690141163 +907080992 +1129083070 +2078774563 +1639946683 +404080017 +1379567980 +694026680 +891584155 +1941930524 +1130632549 +134378529 +1543312047 +501743640 +1619912343 +356830109 +1703475523 +244511787 +821480472 +619331581 +271252763 +1880067333 +1502622951 +1137093402 +1627021766 +1460468360 +1727508629 +1719381229 +1971538907 +539129636 +1380663260 +1514196422 +1446210629 +362262682 +1445487338 +938673664 +766342700 +677571670 +1632700344 +1657926855 +472018546 +615849245 +1792305384 +2015330594 +1117592885 +1264734079 +224677055 +673584761 +1509245866 +1046157528 +1292916342 +1780498630 +778741213 +648055645 +770108384 +258279331 +2108524005 +350133365 +1977660561 +1932579264 +889263002 +1210840173 +1299292038 +187989983 +1573102855 +597295728 +1126663647 +191961907 +1274867399 +611880344 +1849888763 +1746885945 +1227729589 +1494710499 +1614732891 +197838827 +611960931 +1839409947 +871423588 +2121206797 +738083827 +16856282 +1754221779 +1516825040 +664911927 +376846516 +1775104372 +625952284 +726979881 +1605281285 +411047900 +1616242883 +668637810 +1710339938 +1804232866 +94257017 +160152019 +783412866 +286218925 +1435019418 +1395293210 +2136107688 +1034421715 +475539151 +1483334539 +501670959 +673377978 +2095295470 +193597258 +1544801566 +2069018620 +931681085 +1561657848 +1675756751 +301022477 +79086127 +2052603267 +2076126849 +705038411 +632099501 +1533924486 +1116086311 +100858736 +55078648 +678942602 +1905091603 +149335666 +839094621 +541020821 +435554591 +126630391 +1936314031 +424178631 +1161052106 +264369534 +1907513170 +1662723065 +937747513 +1855324993 +1856320323 +335065431 +1776859965 +640517760 +1896723280 +1305133068 +941540238 +1975809407 +1210252688 +870183439 +533364171 +1842352189 +256624278 +1649450482 +1943210925 +311702926 +180909436 +1700818880 +461038592 +1020004057 +94356053 +896593183 +1146634448 +2030670084 +1320771814 +160202907 +147555971 +1080801337 +1822925972 +1085303484 +788642682 +1531762648 +1420368915 +418018999 +24796760 +1169608547 +1723152067 +966336998 +997934307 +785921107 +1836520438 +1531298478 +480789648 +2093144716 +1033265312 +276516926 +257363994 +1214174749 +1977335806 +718402587 +86695158 +2071691860 +1614995770 +1233329607 +1954878296 +788283937 +1393532514 +2102434267 +1869085274 +1068974838 +1040254103 +510244308 +453253838 +313139371 +928263307 +478050599 +1482747918 +503931726 +1444387597 +333198577 +1289852834 +1133424387 +1864497055 +1770642482 +1079085455 +750278720 +2047159408 +1336449450 +1964453469 +1877011567 +2054852037 +2051148627 +1801219779 +1522364159 +1136994586 +1608614427 +163164448 +383043452 +1563565047 +2032249722 +1452018291 +456335502 +395010382 +1905272129 +769474873 +1323273689 +235839080 +104739144 +1827205416 +1680226678 +437937721 +969574602 +666167417 +154951129 +592733436 +1745252873 +905229849 +492409197 +934218675 +722199670 +221937116 +841587064 +625864649 +2023156895 +216467575 +1762859236 +1484287674 +379632024 +2145902688 +900369073 +264398098 +1450437331 +1356704576 +659408481 +1208225813 +2126179449 +1982682170 +1444064893 +83434945 +1662403938 +976807923 +521372667 +484494892 +1642975341 +676323796 +1077228329 +1240744566 +1581553645 +1569637526 +27479593 +156269667 +1791574642 +869066657 +782134316 +1667247889 +1085534232 +397509904 +1004051915 +1465166256 +395928945 +1904420989 +1729564355 +1846366276 +1113641917 +241489188 +907108441 +1092337718 +76687710 +203689687 +1175772664 +1739091649 +1180497610 +1697145331 +76102893 +675989303 +225985479 +1153331222 +1916733869 +1807539124 +575485100 +1944213462 +1963808791 +219576094 +665796471 +598459459 +1886823983 +1751330704 +995969364 +743392251 +1069013312 +1391898309 +500329592 +651094019 +1090780937 +1613971509 +892583207 +1997889379 +558825579 +969270918 +54095418 +1734598243 +560878919 +1234593028 +1284259926 +636981812 +1910582332 +1510245405 +1790313035 +1679832553 +1170300881 +218314487 +1476562368 +986626024 +437890582 +2142358839 +1585085484 +177230917 +1746205895 +433571200 +920623168 +667735560 +1825469509 +1420952760 +1318829579 +768766798 +887440621 +63929139 +619172529 +1446266201 +1033200057 +673267947 +1033380796 +1594078976 +1907860976 +170157075 +83577140 +1670959660 +1680402480 +1873890175 +1203308565 +703219714 +2092204663 +532387285 +1689845738 +382611597 +527262477 +1127447574 +559842514 +125984724 +1561018774 +1480465683 +793720284 +1239004635 +753934795 +2112549864 +2007771434 +1641375417 +28995355 +479460315 +940157970 +1062195412 +1152728263 +1973538766 +508790740 +913105591 +2143695841 +592367880 +436581603 +1676614674 +318774408 +1639890168 +232350740 +263495423 +24793806 +1922196478 +646107020 +552056283 +902160405 +1205949534 +678041007 +315695531 +538931569 +1471761292 +1554700167 +1292866365 +1436827508 +1414987953 +786758134 +1465822863 +1894448268 +1726916104 +380534627 +899692883 +1552971222 +889325367 +1812798474 +1549183416 +1481693247 +101896429 +1078314442 +1800467655 +1741786598 +1310665182 +2063963078 +1766580404 +1085378012 +562586450 +171153039 +1987538417 +1768535985 +849194046 +155750301 +159983906 +173471690 +1710450468 +1452850271 +1610299198 +977954773 +92124757 +928638413 +724919393 +1819040861 +1309173040 +1624612277 +1224528436 +51014759 +1289927103 +626228204 +1532708007 +1391823533 +1704542646 +1185692014 +986126483 +867724180 +1102171445 +605223239 +1953102192 +1664757895 +776376278 +1793156962 +1285810232 +1625570324 +1948907263 +1445794139 +1799042015 +1511874083 +751160762 +1261857565 +342345208 +843285520 +43012331 +1067264601 +514842733 +1352185371 +544393230 +1739371169 +1403200131 +1834320334 +218115725 +788424490 +1078660219 +1922658371 +1974116504 +2064786702 +642898903 +928804301 +522526293 +448517448 +446078549 +1298902571 +94190762 +1731888781 +776989247 +2043098025 +1030199272 +428547614 +1407488460 +1781360035 +1690405180 +1749833668 +477161907 +1733417511 +669614621 +992004640 +938119234 +1214007852 +583892162 +193835717 +900844538 +802007887 +982260207 +1979504757 +577182611 +808893064 +1896807811 +1220081514 +1737697365 +271850456 +1668598962 +36292266 +1570753027 +1762789724 +1768181048 +200258626 +1658404101 +650896672 +628806241 +918408913 +284773059 +171727773 +520758933 +761934966 +1905145284 +1190373555 +1753939607 +695780870 +256897759 +190348121 +889616588 +1157742297 +992356008 +1871876795 +989763406 +1569538619 +533286211 +739087569 +642136486 +123499929 +1010938025 +163251800 +159792195 +434207404 +1926041525 +1927973243 +634466030 +1436961978 +431386268 +1263272271 +207887244 +716159327 +1435000044 +728646177 +1478094294 +1192661680 +1919019732 +1084550253 +1888442551 +28433843 +1274898374 +630575491 +1186176140 +119770734 +354968638 +28455898 +1689309354 +888254850 +767543467 +183962192 +1011754779 +1778481492 +347213992 +1171546974 +65205248 +125771869 +952036570 +699671279 +1562733848 +1383422838 +1962943550 +1770621092 +2099582165 +1250459947 +351783621 +1430192811 +295637979 +123319706 +367259416 +36596882 +151753549 +1642157790 +667172373 +1337929690 +1761928525 +1022141012 +1366385588 +1303754231 +1910395862 +2133929056 +1487716423 +774666993 +1764926900 +1834930415 +1946213967 +1830132149 +1960702285 +750766889 +382319780 +1375952485 +2134189727 +197779682 +999089929 +2086288245 +1448239629 +1350873550 +1368997408 +1743877609 +1474193256 +1736256825 +1780474491 +1625946806 +1230930967 +300163217 +816392848 +845375844 +1322304229 +35294788 +1646427 +1085216443 +21740196 +1489362850 +1859883436 +1786667097 +1176809618 +1658613755 +1469315598 +990028255 +261896997 +1851635378 +218497092 +248603076 +2049415060 +1217587021 +187407673 +1350171042 +420976923 +1556405082 +946565003 +1895170180 +1145178259 +579555846 +1373633338 +228625578 +879719063 +42542538 +1074001423 +54539644 +77837326 +1075647850 +1139756087 +99577523 +417527053 +852155875 +1886244620 +1594336671 +363285983 +1208076570 +436881278 +625182980 +912228300 +655378370 +873786056 +814159712 +1872965391 +1061193730 +16847106 +146458666 +470115164 +963412109 +2041628846 +1615293423 +1542967956 +1267778536 +1843919001 +275203371 +1310321074 +770436776 +329743016 +1388158401 +1846084627 +1469499103 +1487735924 +116128032 +174171331 +1226496896 +1710464703 +537457314 +287089818 +2147345981 +1162640294 +1199318118 +655240703 +2036426350 +2013477830 +380722446 +950136432 +2030324937 +527181112 +1420251596 +846253398 +421326311 +888061371 +241737706 +1689104847 +584496725 +516941078 +851942274 +1354933501 +846684094 +92617027 +1053534480 +168699549 +1580352951 +1169662512 +342870880 +659366199 +732643567 +880328194 +946456017 +732505900 +2042968488 +2145774135 +1387746603 +1931911191 +2011768317 +1768469049 +734563975 +1894609606 +148166514 +7331924 +593379357 +569492825 +895393295 +835117063 +111114024 +1479890020 +1352058141 +963056298 +687339874 +51258587 +1055673325 +1740874354 +219958137 +488542628 +763053219 +562829017 +1147908827 +1495696786 +1443157212 +2094364844 +80719039 +1338642052 +2092655331 +1468465642 +1123069595 +1956940001 +1089451044 +1857633571 +1704065959 +1237617558 +1864965495 +149961668 +1807110383 +612875142 +985078732 +1918224407 +2092765163 +189653225 +733797058 +632621389 +240911813 +1789470383 +226012095 +460869950 +130529364 +989065314 +1023698967 +1278438191 +337278453 +319372531 +1225319388 +417997492 +1658014584 +1170491071 +1886463134 +633600531 +979947424 +828430530 +343750454 +536529736 +2066048088 +61232301 +686491404 +1725674823 +674107444 +1671570136 +1496415583 +619388959 +1861223362 +82728993 +1252010348 +2102135175 +1872199376 +1478022443 +415521477 +2002728740 +319604110 +1439220444 +1133683284 +656882563 +1758592976 +211519024 +1074880055 +1269123912 +1382010095 +813859541 +1902724443 +214473872 +1642290072 +98991250 +751003608 +1560854512 +160223551 +1437495012 +1139045688 +834330995 +961581501 +487977623 +1453719954 +675321215 +570706616 +558246654 +629972742 +295422344 +2036269098 +1045494219 +150667437 +208389560 +337231015 +1284350721 +865272123 +2095823991 +1495869745 +1940152178 +1217464255 +730396192 +606528071 +972705051 +944870064 +101334495 +1071696301 +1695873672 +1662189008 +1231919852 +985885037 +653751048 +2066250848 +1947466538 +1141728671 +1372487154 +475304105 +1712435287 +1930733809 +1105276847 +2007857631 +1819519259 +3287418 +11041420 +2027908819 +340518433 +1295392141 +745697294 +288858777 +643778238 +538365824 +1506323032 +1374174431 +1144893895 +331544435 +171560847 +1246228391 +1403240736 +1867434520 +760933751 +487676941 +705835909 +1414684799 +406444141 +505818799 +408929822 +1778931295 +981122904 +2121365109 +1562181456 +2086399751 +1981739092 +1234217067 +2089687169 +1992780513 +1114642238 +282721954 +1140689006 +1860339532 +571580731 +1784467245 +251221708 +2077903764 +1011158028 +1396115604 +261964551 +1182718875 +494860347 +1665205288 +902669747 +1255794098 +5398581 +1608505656 +522995249 +411842722 +2114324455 +931925071 +43290369 +947963711 +905806532 +1605471826 +886879814 +740061976 +692205245 +829083335 +585358841 +1806847484 +1111805290 +1726047848 +1519703368 +1683386021 +1363031445 +1770925077 +1613806137 +226705825 +1019557033 +1875770689 +1409424700 +1514417380 +1393492329 +164610800 +622727830 +1398890910 +1773116456 +1145723079 +1810733632 +1739957264 +2077648150 +1854024001 +540437327 +835971034 +1312012179 +1427317142 +1576033010 +2004217425 +108916829 +13908204 +1663581261 +1220722119 +1739956052 +1035800981 +756624493 +955503849 +659242410 +222946982 +1182209674 +1678799443 +2098717671 +444150726 +1045733175 +1344726352 +608761526 +1668461005 +596133614 +234394335 +666700436 +259383598 +1974351599 +596864938 +2113407600 +367305278 +1432835972 +1277936131 +1794622420 +861385335 +1134669908 +1903539250 +875293539 +650767521 +976777721 +467765943 +1686568503 +1733402214 +1423269792 +198327265 +1956349197 +457995818 +1877126709 +1907583220 +902146544 +775376236 +1104825925 +1510908071 +296353594 +1700959539 +1745302406 +963054030 +1960343138 +1572170357 +1559918969 +1926267090 +1939475635 +845271293 +1056719573 +1586614408 +1706656628 +43905834 +1342670010 +434466519 +694673355 +171964083 +902232462 +233758210 +1905366298 +178018606 +432085476 +1714231847 +636014424 +161728537 +1474331419 +1538160969 +937104773 +431673696 +901585392 +1233458367 +2132633236 +499404150 +49028750 +1945492726 +2071574507 +1608947719 +1724276168 +1863566494 +306735364 +633512093 +1302697254 +2013391993 +677417927 +497883616 +300374864 +1372091283 +669847700 +1202607327 +1605849493 +427730350 +1380625933 +2037934969 +2141962197 +2016640358 +52179858 +1468809968 +1407317679 +989284632 +1900483665 +161419423 +75259351 +1885633253 +660823573 +124288101 +1683642331 +584914432 +1733235820 +1260434851 +300997278 +2039971185 +1893946944 +1603694533 +1905879530 +423881224 +2101578149 +58770746 +1795972507 +623942201 +1261378073 +1254338352 +1051672551 +494520359 +1144789674 +1046151100 +363677069 +1196969532 +367477421 +1770994748 +38770516 +120477438 +1932414171 +114029868 +2006110691 +445754096 +238317969 +1542269374 +1030668528 +1971553790 +655220577 +1331665806 +1864041327 +401683873 +787876691 +1622437209 +825565097 +741971193 +1681207955 +474053956 +1365913394 +795102381 +1728392309 +270102298 +1289622740 +725698335 +1316253398 +1653299809 +1922667867 +1683730819 +1276810909 +1961438384 +1804208257 +1061741432 +2075468252 +1662835300 +1507495528 +166302573 +1057621026 +390680408 +2137856363 +1712841603 +1722346214 +1854414042 +2114525477 +362739258 +1329367603 +792606926 +1104710451 +863091911 +1266660883 +323140197 +1658194292 +847569544 +593242495 +800333384 +1573267879 +1909495894 +306149545 +1348452098 +1445743065 +1582960454 +1162406834 +1102467675 +497218238 +1090391438 +617819327 +2004713766 +1256694012 +1675440354 +247910526 +1247066727 +1240798309 +1970256740 +953997122 +1207840138 +185512350 +135881077 +2000447065 +1290222801 +998972988 +1119624300 +1613362999 +509683632 +1967193844 +59121846 +1310017016 +1392978075 +1968617740 +1616166561 +593946525 +1266877158 +1051643367 +1756353360 +221861185 +1548861605 +699261150 +839680512 +1406091723 +1955955162 +367637218 +1654002249 +1055538242 +1608435528 +1476775342 +2009535364 +668792018 +1662287692 +2145416441 +521755435 +805026846 +996905782 +1641379735 +270906197 +1506589414 +1461089931 +330028043 +669122783 +706584358 +151162136 +137805696 +1300530884 +1418039294 +1189449064 +909400596 +1639900479 +590827021 +1608661746 +332097343 +1996918745 +1417133261 +699734562 +1503437346 +325187855 +160686442 +832729040 +187239571 +829478460 +347533085 +185172364 +1351233896 +1152559931 +1182078146 +845129983 +1423466128 +541183913 +158736267 +1753494171 +1210306696 +865320625 +1904656307 +1348112392 +18367861 +1175211953 +390077808 +927768457 +667628784 +980904830 +388946556 +999726128 +830339927 +1806079817 +1699460690 +186293625 +2131267672 +1860147132 +1019022666 +171023595 +542141944 +1366555751 +356195959 +1893375840 +371632034 +1538274106 +591022176 +1795098162 +2079458019 +749758443 +1401108685 +1142281067 +1615079068 +1158281345 +342909811 +1633446930 +186009650 +732987620 +413731739 +853638435 +1713892450 +802678295 +1853364563 +396748729 +461274464 +1405341605 +583042354 +445058488 +1118005089 +1602065020 +616082083 +1660147033 +821137123 +972278043 +1406039226 +1192769157 +363068501 +1997061402 +840383671 +295042872 +599336197 +94008709 +1437323939 +66931617 +1252290054 +1780233750 +1700378547 +1438299704 +365737722 +2114110287 +144454491 +2079630172 +769304934 +1997819054 +328895253 +1230579399 +1255677011 +911937608 +1675637887 +226198452 +366518980 +144236323 +1886345486 +1187656104 +1116514366 +1144901064 +232941613 +1479582867 +994478818 +1073325285 +1774625739 +1593815015 +1167333994 +1064466030 +1660746632 +272140400 +697216132 +1213641532 +1710440104 +1062953855 +1180268171 +1854894596 +995100379 +1949573105 +1705230002 +1323995633 +1032668856 +813423366 +88449593 +560823096 +1039621818 +454968573 +705059419 +778483656 +1642624677 +1821573785 +1923384720 +1875566291 +1153673004 +770379890 +801407928 +780815095 +216711257 +1968741922 +1845281125 +1877457890 +93398674 +395013609 +943615774 +1803838778 +1457967464 +2123883945 +1511249726 +305584196 +1925973402 +1068996081 +1629579829 +811158611 +1882419447 +1718029422 +1371981707 +774557617 +25514347 +2077041126 +1553041274 +1668139025 +1751131263 +1328942346 +1396221668 +757320619 +2099322237 +50145948 +1538135714 +168549846 +2018887870 +1235933191 +2046007736 +2112286544 +1630946800 +842139862 +1768641674 +941430617 +818540159 +1132407753 +1247014813 +597029914 +53920186 +729110994 +1408188525 +1936339633 +299656768 +632686584 +563413602 +325171115 +562244062 +2116454876 +1993310140 +165891677 +1297913575 +1242048160 +923212296 +1249752164 +1292194108 +313864362 +1418302010 +1163598330 +1549797553 +1316826099 +1128401226 +1033260705 +11482313 +749559253 +1974691322 +830022473 +1881967006 +1074222487 +1427052387 +1935887192 +1803333481 +687757264 +1724743177 +2102990249 +1320443848 +140673131 +280677717 +1882687910 +109644360 +126504209 +2048579587 +1407557935 +1368552370 +824308235 +509826451 +513262830 +1138172597 +1928128461 +1676861161 +540486502 +1097470912 +657778739 +1573747207 +1108953226 +1407337992 +1400954882 +1938975699 +1141821350 +327693721 +1218544438 +930224894 +2131027203 +1906301702 +507484423 +2086533804 +1079261902 +648157555 +219727873 +814466164 +757801915 +346232083 +715562103 +17876202 +1714784453 +1539870338 +527702653 +80563635 +530559287 +308347466 +1757424796 +1071045789 +1405818379 +267719888 +497309348 +367287957 +1675057880 +1898264230 +158780008 +669395583 +78474304 +1377324446 +1599620477 +62017859 +1136142500 +2107104901 +1068015 +67920754 +607778808 +220795889 +882386918 +1365580723 +567027972 +1597949021 +1383456925 +134328777 +990335711 +1911159578 +214892412 +1520894998 +72023396 +1972317209 +444457139 +1477841775 +92553449 +941766487 +1845129732 +1767611329 +692547070 +2003909740 +289523264 +771021374 +1233750538 +1889143742 +833039233 +222409390 +1848764995 +834107248 +290330144 +309060155 +1054903137 +1172717062 +1674640878 +1621931109 +623182435 +910614155 +1756259886 +1613518146 +674290085 +1971152299 +986929496 +746313481 +1795985860 +1431386635 +76671609 +1888539309 +225669475 +1921801341 +1508666990 +918216545 +1778227434 +1798190255 +1689237919 +864494324 +1539850349 +374793504 +1086903715 +1241131696 +1208900752 +1377233859 +1550191851 +116320242 +402467274 +1077349081 +1738251351 +1025649709 +1987963236 +1347027590 +491684208 +514769673 +1170696241 +1478613704 +1261083154 +819198453 +762516692 +1337754763 +560254114 +988186167 +1112072457 +2068921104 +1906402712 +742816243 +1719627711 +1448156983 +1607310567 +1111994412 +1822950487 +546730634 +205642460 +884367591 +1923964494 +1755834311 +1000687833 +178948120 +685699744 +591455537 +1204597829 +526179332 +1938483127 +1696282037 +1040949005 +961695720 +1027412094 +154548512 +1780894173 +1789928786 +1492303275 +193664639 +630631305 +456892084 +115102095 +389550369 +1199708327 +1834729807 +1837707352 +659535247 +799240571 +1513174191 +1206265881 +1004883032 +250058134 +982746727 +613233695 +1250745968 +1161694847 +1298933440 +1842201505 +218809029 +1825112772 +1633200984 +1915091066 +718578130 +447413056 +795019512 +873126642 +80823581 +437464650 +217946269 +274488220 +1068095955 +674838354 +389590315 +1457646324 +1874546681 +76836474 +1147870028 +386598280 +876077046 +513560571 +1592864162 +1880960078 +763618706 +428127241 +346710125 +2014364674 +1589822089 +1645643565 +1709082531 +1808631118 +1323272690 +1194799867 +1576238536 +2041850820 +1642212923 +223774401 +767493814 +1723036504 +661239051 +985440083 +1997524724 +1729335007 +1660278437 +239631391 +1039497683 +1387341471 +316467866 +39884064 +1773939751 +1192544912 +553444635 +1219320265 +926021342 +1317063341 +1647447507 +1272731467 +1183944367 +1089785948 +770891385 +745543250 +750933418 +2094164075 +1940343117 +179688306 +1988531247 +1435072392 +403462707 +608541413 +1010625248 +1064701759 +1593981496 +860666324 +646553118 +1106776286 +1100297716 +1686050801 +346634109 +1416765582 +1725934865 +2120573860 +461826846 +131895853 +1192410478 +1387848188 +1448959194 +692374337 +513096007 +485419914 +1782160285 +1283987392 +1230963164 +385610055 +1230667819 +1023822634 +565298361 +1071715418 +311411378 +968761069 +1680256831 +1322036627 +2033462828 +1126754680 +35219303 +532532298 +86047318 +1135517019 +71099451 +432681427 +404798953 +1797034317 +405771639 +866625799 +1928930170 +1598182117 +106990339 +1230405716 +143072806 +620086347 +1715825630 +1925233091 +1904073739 +799305147 +163359498 +987257911 +1823127781 +728657860 +2058973329 +2134539159 +1697418929 +1591746513 +1309092138 +1583398109 +571017545 +1344311442 +2115930407 +657064863 +332344813 +39546210 +1089746290 +737143767 +1836580527 +1495517929 +1603769566 +1618027049 +946216399 +1710759906 +700949118 +1089289205 +183362605 +269291100 +867038649 +2087436344 +1068596247 +1030398147 +927210607 +744240380 +1759056007 +838700289 +731295892 +1308991288 +282963154 +2040388030 +744905749 +853980699 +1237215824 +713352508 +1511045562 +1569560638 +752898719 +453308204 +159220757 +441995598 +1948826133 +1762990323 +2060022648 +747558884 +1326266581 +613488118 +1836848090 +1509629186 +882779218 +556403091 +1449581883 +1951375466 +1586801238 +229308842 +548132198 +1198373598 +1068009131 +1279428090 +359881238 +1350972285 +1172332473 +1104786988 +57469336 +262064649 +1818139496 +1568514898 +1831625287 +423554567 +2021823102 +1990846044 +865550166 +1823165588 +1606352720 +778089166 +423240824 +785135653 +1391577284 +112605266 +147281192 +126872854 +669008357 +1596863075 +2078248320 +108325948 +1826171917 +478896871 +1306699546 +746697401 +1758324961 +1666580784 +2097669686 +783173786 +623884124 +7655375 +1045238436 +294539973 +1576170273 +729380075 +718094540 +1450509728 +572742472 +1583644706 +1126191668 +31611544 +214250224 +1549432492 +816747197 +1605827508 +1662037759 +964028389 +1732700363 +183562468 +413407816 +1663465035 +291888416 +92096086 +2142361906 +1598587962 +838793487 +1753203220 +1117685099 +788979525 +388893358 +1741569223 +796634900 +1434131794 +2036109196 +225321526 +16028222 +606720089 +1675831254 +588770694 +42881147 +654539274 +620382238 +257131372 +56488118 +1437129435 +1862958880 +1718525877 +253674177 +1448175595 +1902088346 +667081993 +964156983 +46493114 +759178079 +959035241 +1645081077 +1597971566 +564754813 +615282528 +239467444 +953648172 +209368103 +1036102344 +240296318 +97993652 +1261423870 +256324540 +704713741 +789771476 +845095234 +747594888 +1444310750 +1465477472 +1004726260 +1500798869 +755123260 +720201493 +1071841098 +1008797437 +20893440 +826445796 +1675879430 +985050423 +872938911 +287573862 +1944085665 +370536340 +1885545428 +361356830 +985818868 +2125012872 +1315005002 +1195186971 +1013631569 +1555301321 +1293180623 +127571791 +1811625861 +1997894364 +917343268 +509237448 +598005605 +214170370 +1974714920 +1602731865 +1714969239 +582354532 +175449710 +639326690 +1591151969 +196343151 +1465772486 +1119547752 +1181393574 +191227749 +1407121614 +977995591 +561764089 +1145183394 +1339352422 +1547582957 +1122712619 +506873776 +595286281 +2136344188 +2062175097 +1888466904 +116432331 +1726317311 +1738877621 +1033775599 +88071111 +189399578 +1247945970 +2062786031 +1792131443 +815431561 +497656916 +1967581154 +1454758251 +2088808885 +16440657 +773047090 +1060872989 +1197834231 +964274839 +320510955 +28346175 +1526038929 +1465694350 +1367698597 +926138238 +440923321 +1874572373 +1521424519 +429783861 +1789263823 +1262407776 +546216192 +1368097486 +853801749 +1579991792 +1456168597 +1043201327 +680454114 +1371470980 +687849122 +1495885675 +1869127896 +507946628 +803160279 +1810453134 +524387285 +1576207369 +723842475 +1722221517 +392998560 +1044353431 +1750567692 +1919037489 +362564133 +970782641 +697692080 +803487454 +697871366 +71632951 +1233271315 +339651541 +1334040727 +1779487507 +1707749027 +40358828 +1211995651 +1016433976 +1083560155 +1892449765 +240421309 +1771409278 +1240851793 +2109549205 +131872258 +2044012072 +1772518691 +656259544 +1472735793 +348877519 +230997413 +1865734353 +1393230950 +1981565105 +1637288195 +1755795083 +804864098 +187496627 +411798889 +1502735464 +259129578 +1645070204 +1842387006 +1593170306 +1277074063 +1402652385 +1633529134 +341586067 +271602714 +569605642 +86552184 +512024023 +193531272 +1327403977 +474089580 +325403530 +1223932401 +99124624 +981663074 +549184546 +448002143 +1212660487 +267435252 +1841233093 +1046741944 +1904723447 +1449544528 +1851606042 +2092220074 +1861343417 +1206857859 +203866004 +1358929973 +901761217 +1797036310 +488520388 +156929954 +1283081797 +830106455 +428532668 +1852687439 +916658640 +940556691 +2046218711 +96578969 +1414646272 +224138593 +1320511371 +1513770896 +1205801668 +1869695917 +1961773039 +270978507 +2137131169 +1655522484 +1317720452 +1894370968 +957583364 +1021842846 +1839107394 +671443133 +81217057 +2042973399 +2030373106 +982978274 +1692526061 +371409846 +1139908229 +828124210 +1201516302 +1568440897 +533328001 +2118174942 +361513941 +432063064 +67270263 +1776160213 +656201658 +1387781634 +1142447461 +1862003326 +1109993904 +956736852 +2132981833 +1099641425 +464775688 +1303218637 +846528746 +1422359052 +177577836 +538152492 +2093802185 +258794893 +433642243 +1976691643 +1241773168 +2126168305 +200617841 +234197749 +806808867 +1402134143 +1802638646 +1340136869 +1372825437 +16668939 +1772199933 +1440095701 +1792829152 +280917943 +680393687 +787792965 +2142921269 +1790387591 +1744529817 +2128419455 +742545369 +61821857 +1284154444 +1589074115 +1484180909 +1461732280 +2127226607 +1430499446 +1720527174 +413385203 +1259707441 +814816694 +392069860 +1460325283 +1049014443 +1198878727 +714975778 +704169441 +391531948 +2087801216 +720838381 +16248234 +1380413269 +366183885 +297166177 +2060806956 +1153976851 +292603799 +1703710900 +751023020 +273539606 +298772621 +812844878 +1557694050 +1887846736 +149542139 +871942683 +1867589695 +1580041586 +444986209 +133491250 +692265379 +1259802903 +525561110 +5107014 +161333698 +1724439838 +720082793 +865503139 +2115971786 +660400361 +1586341520 +2132220020 +2040813630 +1952525406 +281902550 +1954136938 +959018609 +574506349 +1510364190 +1710041629 +848045955 +1809136811 +375402859 +258256357 +1549499899 +524944999 +1130199040 +1269605947 +2104986585 +1575185249 +1403097197 +649768316 +687504504 +1928658308 +654875331 +848838202 +1505614498 +1374958124 +1714341342 +1474102636 +2035358485 +1153199214 +1458839009 +1928688467 +958240972 +1740741559 +1735341757 +1917259581 +167764260 +1098222300 +1479817563 +1015810215 +759875463 +1855220422 +1274066572 +161891715 +232681773 +256781965 +1431497662 +190184710 +1831967214 +687111211 +839953027 +371988071 +468285871 +1494828358 +1220826273 +1973900369 +722302834 +787683967 +1300519358 +610177671 +1940883182 +611874719 +391382490 +751640506 +205132630 +2126724247 +521416440 +372896890 +1077462899 +2001234003 +1388707105 +1837338363 +1708970777 +515290029 +1999230078 +1941652551 +772071994 +1283244092 +2131837261 +456555561 +1970355303 +824306640 +828543632 +291157527 +171651350 +2049369905 +117574248 +893954184 +689570225 +1418093606 +1504131855 +482969759 +2029968325 +1895514345 +1234610265 +87617307 +1874754945 +1756026705 +460514197 +804734196 +1609777060 +1849221302 +494588911 +1171264190 +217027684 +346335341 +965433093 +989099678 +1629579433 +949786706 +1445655239 +1452451089 +1774093347 +126715223 +1743608616 +1945744697 +28601481 +1861182864 +692215234 +718171706 +1131792823 +48863441 +1201141465 +1014277500 +1944377787 +288268082 +1101894808 +1671649084 +2044294788 +1562409005 +328899632 +1506588200 +1264146660 +823488544 +530368742 +1481174344 +1169823885 +1495801835 +322790374 +651919671 +298104894 +1768445614 +2104370760 +2072198241 +1895160837 +1700495728 +1870459290 +1923762318 +1414194944 +415190876 +494450376 +398504119 +464054318 +1695591841 +1412781620 +260948457 +1983859924 +367192780 +1932597541 +1880671064 +1929601785 +114013525 +1239775616 +1046264797 +937502069 +1770144359 +379955493 +2107325955 +1118462546 +702745868 +611761978 +1416567440 +323707834 +568649090 +1341282033 +71385023 +121661170 +1064257676 +1995147342 +1535856114 +1479448552 +342114070 +1934360234 +1943502870 +2037705912 +1199658206 +56967679 +1874082188 +1566850986 +1989565220 +1607269604 +1348969123 +2103578746 +699561572 +247750273 +893597167 +322222283 +627705766 +853439474 +1440684830 +1330451634 +1465201452 +709768622 +1654159468 +2033850542 +2051050656 +1725544492 +8028064 +967824684 +1573208186 +1543884179 +299789588 +1915322256 +1330760765 +95808811 +1805544520 +382935323 +152776490 +1532143060 +1949786309 +2142341711 +991929016 +1151271784 +2098436809 +1691490589 +1399022057 +844550328 +2013712872 +2026727824 +1697989803 +1306914054 +1209695810 +1015707607 +2016682677 +716371631 +902074502 +1920249685 +294432475 +910102566 +740590721 +1867640661 +306503097 +1040380309 +1635479269 +1637263862 +1136189120 +1293540142 +2020199185 +1288965611 +678199554 +1822501846 +1283823674 +1670128571 +826289983 +1234776835 +1214135512 +77828392 +2079327163 +1080364736 +2104556216 +1629833318 +239795143 +1166768379 +498057278 +108994172 +1883140010 +1400131780 +2029243857 +30088837 +162750698 +622350930 +1897729498 +469253796 +1662731239 +1385725119 +2106517658 +651436712 +531781613 +1979233196 +1940402323 +1209981168 +1654251394 +1076742349 +732626091 +333057729 +164035536 +1946761603 +410886122 +95879051 +879642691 +367958690 +1725712370 +1119437834 +1534727069 +76286000 +1228432006 +1270383431 +1476417780 +1110192215 +1300472268 +1639168478 +1732543145 +1050718118 +2108422274 +1247790737 +288959590 +2067456285 +1899227449 +820741203 +1899205833 +1692146124 +2030722371 +1405973579 +621404825 +615864814 +1739031309 +785440361 +415142769 +2433783 +881319412 +1294785461 +370392473 +459548134 +266739647 +1905119543 +535834134 +1495171654 +1028019326 +2012251914 +457880221 +181007947 +1503936745 +42939719 +1231726065 +1464875371 +1290730456 +1520685655 +1384848008 +1042474257 +193943211 +1136570193 +587136733 +77181934 +395060125 +1208541558 +693046749 +2134091434 +1993981919 +1108189518 +2136525217 +727817683 +255491331 +359434042 +1187365818 +522230979 +117069937 +1723199952 +2017402633 +1145089264 +1587968219 +327799206 +1326097211 +944421316 +370738925 +410339628 +261813039 +1661469381 +1931025284 +1646661048 +556459990 +2124968495 +635747593 +1143596723 +54666781 +1030807718 +204654633 +747713530 +1017415504 +51152904 +1855903049 +1006457073 +778970588 +2111394380 +1365891116 +1966336406 +486141711 +1482961053 +1542052710 +356060696 +480566669 +982537281 +683859903 +1806663880 +1926958597 +1054598828 +69519861 +41287989 +568584562 +2000545145 +1687949037 +1125044552 +1978029992 +176212982 +121157628 +2032696773 +1207020701 +325812261 +632926656 +76952557 +376965166 +341346057 +1083409631 +1155935754 +305256789 +301817099 +974788512 +791398501 +1784778152 +369357574 +1147459197 +117861174 +1351894856 +1831319100 +1924525054 +1131369805 +738434281 +1994044915 +1172657794 +1307018843 +1847106412 +713123183 +284579747 +1677652756 +889336166 +405737375 +1562865882 +2096356867 +731549637 +48308890 +25825776 +1108514803 +389654947 +1109235407 +116966909 +694911736 +1411052506 +1091755421 +1486310237 +1048347011 +1461112995 +486285787 +1166208185 +665524203 +170121239 +943249591 +1796894009 +908555520 +789810859 +822068155 +68090715 +489433623 +1535191339 +352670463 +19602732 +277043857 +758407838 +1582468614 +225917076 +1489957475 +1630777504 +251742852 +450988630 +2020432451 +1360978260 +567955539 +567860539 +624547118 +1659710960 +2054170777 +1672894129 +973340308 +392972916 +691618666 +1638864511 +563094155 +1634868258 +1288274872 +1471649676 +277195469 +2110343028 +1539740391 +766629092 +1498050719 +1892410854 +786231824 +1775094576 +503335045 +221216790 +2001011652 +1993292520 +1851994294 +105270856 +296797503 +1724943097 +1466249116 +864753042 +145319989 +2090796235 +376980355 +52007118 +1616206716 +1350320663 +444980034 +160341735 +841701526 +1008074189 +1795209993 +2129976399 +332240217 +2072405462 +2092835779 +1871980609 +691550906 +1443402850 +1616907815 +1477782731 +1071013778 +2120242860 +1698999521 +924541782 +1966051733 +1403510168 +1029812638 +115365588 +980969617 +348578107 +980118630 +1126289606 +291890694 +1357098985 +1178296724 +1908097410 +559936000 +1623276758 +2068439145 +1401637527 +483867300 +1716165490 +1384130278 +816107517 +1641087304 +1329482409 +540604478 +185154563 +625401611 +10028646 +1662937294 +1696415389 +2130271506 +1214453167 +473473523 +1948839591 +470479687 +1503286161 +2064205179 +1451449305 +1851864268 +896840162 +430255263 +2143754962 +106455499 +1608551988 +1904368725 +666391500 +1084345098 +1825324222 +2068029027 +1568212398 +1394006065 +1304675657 +236836268 +887609721 +486674418 +777440746 +1072764284 +1112076029 +787469392 +588217930 +661007770 +770257251 +1802671098 +1134481293 +571613194 +125667137 +490283806 +488334726 +1577116442 +194664427 +1385174888 +2007371706 +190935741 +1491630387 +1468440046 +2095304466 +10538239 +405301496 +1773145041 +2078567266 +1973513895 +1019667458 +1235759275 +62866515 +1907277179 +1722433693 +840307261 +832557816 +687026074 +1627776654 +1420775746 +1348033844 +250550257 +1075963196 +335031489 +822163451 +1201630334 +825315296 +1310498177 +631263128 +1019979723 +548189417 +491151186 +1210915464 +2039819805 +1959591232 +1158736283 +2050358044 +217409081 +784397676 +1981441663 +43439328 +1804065134 +1069717290 +106305843 +1563858665 +644667336 +946613104 +248932833 +1331693410 +426906110 +1669708580 +532243607 +677456367 +598188128 +867275096 +1499619819 +1799818462 +1692590392 +662634348 +283597943 +565086467 +1210823766 +774749129 +1776001932 +1103159923 +586856714 +787254567 +1006034319 +804265795 +1571652243 +839992334 +847705123 +1228233729 +1909709625 +954010966 +644608746 +406893313 +1900624070 +893541580 +1738586723 +180046533 +415766512 +123346682 +857502900 +1013954640 +990621779 +209639071 +666289455 +535728523 +872273420 +949887398 +1100814991 +2083097186 +1724636527 +729333275 +1038773461 +164009593 +1516587842 +2044807780 +968275388 +940756437 +737316467 +1815980511 +21506518 +499542444 +622507829 +666115264 +906435757 +375648252 +1559656844 +497538832 +555694785 +1975423356 +620885515 +1413197685 +841894349 +1611507294 +1622836757 +1508183804 +2147235817 +347626529 +310587554 +1100567160 +283240067 +2035224081 +1829900435 +1322013528 +51750027 +1199004629 +1219337660 +1020025415 +2139761066 +1956654127 +688522279 +13783936 +308712923 +1311030108 +679899201 +1215148680 +1686678360 +92072397 +1712687513 +94889497 +2067495754 +186089380 +1508087183 +761906455 +1797596674 +983440292 +122606611 +1797348843 +1331066821 +433194165 +750432356 +1614306888 +320934598 +432849143 +788836768 +372684625 +1631853773 +2008174428 +1392710041 +1624131191 +1817344908 +2081232320 +1637915128 +2126057831 +1244778780 +170330681 +1193722864 +783973493 +262403078 +758926729 +878862990 +182415184 +945016109 +239466525 +944321639 +595129135 +1222906817 +1066928250 +244994330 +406489990 +1500122415 +995426686 +2020796878 +1821057014 +1428275830 +662149998 +46257991 +912645955 +522840779 +1438968032 +389293498 +192702039 +1372716704 +2027208626 +171276222 +470011837 +50055659 +1364999086 +1253985330 +312458738 +2123925815 +2132848320 +494873922 +921458276 +224831198 +1439195562 +1516587411 +1447738015 +358640164 +1761581742 +1854228006 +1858762580 +609524780 +1727541236 +1532335946 +2037800610 +242207587 +1578593937 +802962917 +765048366 +870078322 +1192256416 +957750405 +95311378 +1071981394 +1129026627 +565323215 +1122037054 +346542066 +1819308545 +1434495792 +322984233 +1804673218 +1929369714 +1244442510 +2029504416 +1221081628 +613546273 +1329758783 +1579721793 +227644367 +1036503141 +1291000725 +837169148 +616560730 +675853023 +727486110 +858768317 +106963312 +1530449028 +1623816683 +977041634 +575221796 +434083440 +1072353013 +1647203190 +1563110067 +1637676228 +621756596 +1909652133 +1309501126 +2056252388 +85152719 +966690696 +1838138455 +1329595229 +848711464 +911736435 +1943141502 +30986599 +343974580 +23302222 +1067489741 +1634975305 +860471370 +1684050471 +163344680 +1587957480 +395335140 +270307993 +970922860 +2019151823 +1247349627 +1546144656 +305751615 +172218992 +1045864199 +1868861682 +1809895221 +1667620795 +1631030168 +971912699 +1576389536 +1716182887 +1938603395 +1267044343 +898294468 +639831211 +31297130 +693952322 +670817810 +375271711 +717254544 +1738307551 +2010247016 +1577725914 +1274874374 +26108049 +1018199747 +1670209514 +296416042 +1989122607 +1541877689 +1543765669 +1387783616 +1847629304 +1715984662 +286164167 +1569007339 +1378396235 +1953784962 +1052553859 +202825286 +1382690850 +621253098 +2141428681 +502251545 +1519547566 +633776244 +533548676 +66016240 +1304594054 +908820387 +783270785 +895417958 +771583755 +213513051 +22808684 +797691804 +1231712798 +1693018199 +1094107846 +1073351758 +1087412240 +490389868 +313651726 +787557897 +58890882 +599815893 +209081588 +1437287117 +406117207 +1261635447 +1640112403 +1788808058 +1882888545 +1634057436 +143575955 +1254952463 +120350032 +677124631 +1320968703 +1424944086 +1585945018 +2104239488 +172878396 +210045126 +170268892 +195687081 +1007736930 +1401981690 +1888705280 +2101844777 +327849800 +828633872 +444750997 +641501526 +1616191769 +503641879 +1241317419 +1825273357 +1940928996 +1647434627 +939425156 +1433557751 +1288759037 +674830053 +920131539 +1432334992 +1929782516 +1040481571 +2109459624 +1103267572 +317942009 +1547920994 +1060023412 +490820406 +1757966120 +1230292304 +686507487 +618219403 +484790347 +427729119 +572580532 +812640147 +1256362991 +1017331529 +1454141674 +725071113 +1520973408 +547975445 +402860822 +1314418756 +47926424 +1342285979 +600492859 +1336685461 +2017116032 +1520624398 +621536806 +1799414901 +413622321 +583512782 +755198825 +731564330 +2131433776 +1815222237 +1222384736 +1741916249 +898030894 +1908892223 +212652004 +1382821241 +189137694 +785232536 +47977740 +1445500686 +1802564065 +1502119414 +23088151 +1176053825 +2050094860 +425948973 +342988933 +2098021284 +1768234952 +943481792 +1287223098 +1637867337 +316622542 +1908759904 +1289798590 +730244863 +344789038 +2044997415 +1461809193 +328739166 +1712736004 +536710282 +2070655415 +463283250 +298118857 +135823771 +1846104491 +487256552 +921056307 +1894082232 +1932757238 +576136724 +1248717998 +1955845389 +1752190549 +1151329210 +234310714 +2095179482 +1101866847 +2002545667 +891177626 +241606297 +1492929356 +1207800168 +2882553 +635244298 +1938045031 +347671591 +532758065 +1252370577 +676410757 +98010421 +1789080859 +599582525 +561293672 +2087199716 +735406296 +259914515 +426972620 +1656462604 +6513099 +212246210 +85115680 +1255231098 +20607951 +1837306230 +259076660 +254918666 +1785002064 +1360943507 +109980685 +528696043 +1602549804 +1602910041 +1736496211 +1605432357 +90670691 +1527057595 +1953103948 +623428756 +631944524 +482031058 +721439177 +273541735 +1081613583 +1282732849 +213257803 +1817019879 +1542647365 +640230424 +1325998835 +1549160464 +852476634 +1411114516 +656907914 +873084586 +1100937098 +915984575 +1128003252 +738455514 +129444434 +1237983937 +1267151557 +1731994239 +693410330 +856164121 +1189942948 +784081021 +235738068 +995563249 +1407509777 +867682592 +1477594307 +2128948954 +1141224327 +411724242 +1264198156 +1354482130 +81260473 +659361873 +1994712554 +1407259309 +61038689 +699705541 +670890177 +717946604 +1572790127 +1771827275 +1633931179 +553309731 +362799141 +1763375613 +1791293668 +1629950699 +1347886204 +337220350 +338631172 +390345505 +1121301371 +574369240 +1385908754 +381327500 +1442051832 +716019413 +362792806 +435792511 +1127743655 +1626990962 +1790274641 +1209004128 +138869187 +1637503548 +468779789 +199907877 +189725441 +1139669966 +917854481 +1762515568 +764013593 +404302012 +168341651 +1126812735 +20193977 +1959635319 +609279786 +1368080182 +149372021 +947910958 +1758425687 +1270673392 +1522280198 +996850793 +1652000892 +816848382 +1712870206 +2014793698 +1252640893 +693130213 +1494301013 +895431886 +1902134341 +1633170200 +385451786 +223430483 +1833078077 +575177227 +1363100449 +603448910 +190209147 +2127114043 +1007750922 +358550798 +1106443130 +1027944900 +170702469 +1715722916 +248541434 +320074490 +516150226 +2006967121 +1590747882 +2038430424 +856334266 +1095265126 +707795158 +421720824 +962575177 +1960436051 +1114851037 +309392542 +708384289 +869501730 +1942562742 +1093836076 +1092932213 +1628157172 +1669013303 +308549015 +84122434 +1859222451 +288179410 +1091873357 +70289601 +1394622540 +2119818257 +240992071 +962861808 +220876043 +561066561 +1479012034 +80359516 +4330796 +1369958810 +936693782 +1099595922 +2077753968 +1358414606 +2062171099 +1890706371 +325781995 +224079993 +451607012 +1195283725 +19159088 +1545443088 +140732291 +1647316260 +1066972744 +449281306 +1731438694 +778711547 +737460716 +675828403 +849001148 +2132083256 +648163012 +1089993219 +947461416 +869039055 +1651059781 +278989802 +949398571 +1655390577 +1648948612 +1886092353 +607502851 +1579218932 +1097023311 +522190303 +1322441655 +1422805306 +746270296 +1774048667 +470605384 +765429384 +1172008108 +611337675 +265261996 +91497204 +1060618981 +1996700691 +870208751 +1798079697 +525045446 +1719209899 +1782679305 +1173208459 +661719471 +582657073 +2042247514 +165295604 +861646875 +844162438 +1820686181 +363111839 +582771143 +280705384 +1942330771 +1679794455 +802895687 +1117288778 +955116113 +1549165984 +743853797 +1425721497 +167111720 +1915861905 +2037059172 +432373717 +2007359109 +950194505 +281590760 +730084212 +600790554 +806636206 +301810464 +235986211 +1979844665 +963529935 +818643284 +1874608532 +1128825539 +1680290159 +571287322 +802028072 +2043401998 +1154058465 +1082733456 +1838249121 +686369272 +1885629144 +808054251 +1641485386 +1287311480 +1551908049 +919723235 +1454423200 +1320286306 +809298760 +1886796917 +1180161768 +1759493265 +20904029 +1910245980 +212800172 +827540236 +64572796 +448786383 +659901253 +1028102731 +1267429668 +387026137 +9444622 +800236179 +958313459 +811472694 +696154530 +2112371925 +1894206151 +386920003 +651257549 +1632351647 +1194974255 +145259287 +772179479 +599398656 +1064982523 +79119031 +1919684962 +1874281283 +1965915949 +952363082 +1486290900 +1986819978 +715125415 +1699091072 +666876566 +779698211 +393808 +1326777820 +1807800943 +1267823476 +1713803957 +1817245565 +2068059655 +524633769 +481234612 +616730537 +489522046 +227957115 +1003650541 +1140779595 +1860308762 +51141148 +1286038883 +485004593 +650539804 +203537758 +564123624 +422741118 +2077819041 +382555925 +1375104201 +1416626293 +221892256 +2090229616 +968233718 +888768822 +722444179 +968627526 +68062994 +382761474 +88967354 +1781866952 +52523392 +9543361 +159017073 +533758004 +626273899 +648539119 +761715119 +1629924440 +1789318714 +474540233 +1681065588 +927873949 +959544826 +184121744 +1131411707 +1523668450 +606862862 +1061747100 +1906224376 +1981967063 +330889746 +2128116632 +1924713031 +1299123464 +869401806 +499673563 +120267342 +937464801 +882435037 +209234696 +571848105 +934958429 +218778057 +730865178 +1468716433 +845051956 +1379404297 +82947904 +327492748 +1021239363 +557488137 +2008558336 +1949113313 +1517032963 +45196432 +933041372 +893217766 +652059295 +1994788473 +651958494 +486542710 +178194571 +632591478 +263772094 +1477318035 +1501993284 +763445657 +1597585377 +291974437 +1645880694 +1806820073 +863822542 +433355476 +2025598130 +1594687720 +1902071909 +723166439 +826608369 +1985019814 +1050659187 +1847847733 +395024303 +911733876 +1649477398 +1912057267 +956930308 +435035122 +657791385 +1608989603 +282339947 +1309749879 +2095532314 +460534518 +1942341357 +211820760 +1937852553 +1296850993 +975266417 +1387954282 +1588825431 +473663463 +1047290707 +305164325 +907018939 +925405190 +1899852046 +661607201 +1648571629 +578976767 +499143367 +551747168 +279340852 +894167670 +1463481044 +1928818250 +658741289 +272927705 +216369725 +1316532674 +1881917308 +498709672 +478798905 +1829965974 +959244191 +273656614 +2041786734 +749613096 +1570507608 +869569503 +2137567379 +1011849391 +1343232967 +1037374438 +1317013716 +102768258 +1962779628 +1069382114 +764375459 +1463867609 +1648358882 +1263518826 +2015614778 +1927699734 +10202849 +1331612174 +1709034337 +668944138 +1604539879 +1925404062 +1985476813 +1338973540 +276630086 +316792070 +1021455866 +1235874277 +590448685 +915758953 +1985487374 +13472645 +1785328456 +1975571105 +1025322036 +981077775 +865461895 +194852104 +1083846034 +680757876 +1264234219 +1848221493 +2144625485 +765109453 +964256672 +2012756615 +545325539 +974459521 +1196885142 +106876228 +1643403659 +653941373 +2032280290 +1481396824 +1992914913 +161426729 +1798188895 +866887132 +1397301006 +241153932 +1782646085 +1235304732 +254626577 +1420490893 +1063392189 +1279948613 +254085021 +1928854085 +1474800717 +1337931055 +462128313 +591551288 +1038668900 +459270150 +1356660741 +2002925572 +324543118 +1901986281 +829901445 +1521428260 +2008862509 +325821457 +27885985 +1893659152 +1807218281 +2020800899 +2055085881 +1457923528 +740204383 +1304903239 +1699077460 +375366820 +392724324 +1953704037 +1795857713 +1456116513 +1086169002 +2049942734 +1237486950 +413486072 +1240390141 +1699615263 +1005037360 +131575394 +11401766 +214214454 +2134500966 +335944884 +2116200735 +816918764 +1857373144 +1977579596 +1142740221 +1885259129 +1723755100 +802474854 +1758576380 +1631357333 +112914735 +351297115 +788776925 +1811992195 +726663935 +1181501249 +1618212585 +375038001 +490134114 +556897939 +277497087 +1727621065 +970384011 +1517887229 +1279752680 +1975421372 +1649462623 +1291154446 +42152178 +1636479941 +1627099330 +10869265 +305915057 +1336988826 +1988448861 +1448655278 +1074764308 +1564720314 +103646485 +685857040 +1048593999 +216561220 +1037154156 +1837370924 +2028553415 +1763818091 +871388525 +1499282352 +2138856092 +1361522640 +2056180292 +268869532 +941660057 +879080655 +1786756761 +73929089 +707018379 +1288735736 +1365083536 +749170557 +777732029 +844699218 +760039822 +1083647087 +34204397 +601005036 +384818717 +1108968705 +18241702 +488465202 +1794825745 +1066835701 +705026422 +684496253 +756722978 +586096190 +300830697 +1628111503 +2085378542 +292203141 +842150495 +1994075186 +561072673 +1783810552 +725672194 +200345786 +1857739642 +1432690573 +1489081522 +1075339530 +34377483 +119329904 +1920038748 +794417305 +1202976991 +1954243145 +1395422341 +1587795708 +915728202 +1413664043 +2076260911 +563070300 +333016097 +633803685 +1247566553 +1089739075 +1219899875 +1548397250 +570366930 +1157794770 +1840600392 +1412517426 +1004386308 +254189417 +1048844330 +1730058502 +454535204 +759100324 +1015265428 +1943616726 +1834439854 +1049642911 +2062946630 +1606994955 +1844060216 +1118439973 +1413754452 +1091998910 +558752034 +181999007 +358179305 +487529297 +745069307 +691195402 +1121332982 +1992635860 +1780934477 +193749210 +1393549463 +203817760 +1351543980 +1086666207 +1616335186 +208446640 +1340855624 +517695868 +1938505143 +1795390828 +1276796193 +806286923 +1591523907 +963752399 +1855929834 +1506986889 +423263706 +1552506402 +477943215 +1837018159 +497021664 +1036695249 +2019017166 +855200970 +1524224546 +616602825 +1546396372 +498073880 +461755037 +1179847202 +691823090 +1855304500 +1383664962 +2043367070 +794487059 +852516500 +104330063 +2135342684 +1370212368 +2042835206 +1783249864 +499524913 +701638481 +1227290123 +1463277313 +410084667 +586793365 +1886541019 +1962591069 +1064736580 +1576075530 +312129086 +2101431829 +1447609048 +1167330056 +1478172727 +2064211873 +566242780 +1976246607 +378483263 +1746089982 +520586050 +86304115 +982271296 +416469472 +880791175 +1834787796 +520799535 +868650211 +1057516517 +416151093 +504416427 +1557041430 +1117789574 +1731706551 +872835095 +1527874241 +171016268 +611892467 +1342981663 +1235752848 +40484349 +1655110749 +1189701029 +1488093398 +674957157 +520390108 +1404821623 +1241199937 +349153067 +1783304886 +839806272 +869739117 +1869609002 +1822077568 +1286208590 +602916529 +1509381717 +1807008125 +1471566740 +419414586 +75675571 +1975983167 +1976456016 +1193465145 +1560206070 +701807464 +573855739 +1731222338 +1313699931 +1916837402 +819491538 +1354184280 +1424464503 +2009192567 +694794030 +2099421660 +382099027 +2099615654 +1193137949 +731252095 +1735436892 +2032944221 +1600991212 +1457562246 +1707538142 +739716154 +2060478775 +1069436211 +399240632 +1384561867 +1488850797 +474916203 +1213061387 +1317823165 +1668381348 +625783809 +2019630629 +94753439 +209522500 +1185846912 +2011590841 +1029014038 +392547545 +1288571696 +890722958 +1087341575 +1240509708 +1272821985 +1039473581 +286164010 +2004074080 +627426826 +171624583 +1457581645 +2084989072 +1879162725 +49814151 +1997984200 +801115288 +449054783 +1235062419 +142482437 +923970986 +300640158 +1460305603 +444868687 +926423968 +1332452584 +539622126 +1135946468 +370815849 +403729320 +17476858 +763363394 +1692301016 +908199816 +1850704969 +785327077 +33538154 +742694903 +1071491087 +2037612234 +1370121729 +1243115670 +1347710231 +1307627153 +974794748 +1397524383 +1158127705 +1775910036 +1846579166 +245706477 +1918392474 +623066505 +546346635 +1231214429 +1067935192 +1472770603 +416183365 +1607557318 +461233423 +786999214 +2011286638 +478710282 +1550362608 +1556104007 +1386910098 +1253583930 +193947436 +1420448252 +1996278833 +1265438523 +1310576839 +1218916914 +361070545 +510803422 +379060419 +1335865293 +1908327805 +1537188125 +964291682 +1607423324 +1782894602 +735200508 +83006181 +181757589 +1966414937 +1150941373 +1654528193 +235114654 +611015043 +2115761616 +1022113869 +474818034 +446988250 +424992829 +2030922041 +1833898349 +1678576759 +77385829 +1106862953 +1527371944 +1342824352 +269956144 +598805210 +1703894897 +780759567 +977865630 +892276543 +541603724 +367570107 +1856568225 +1543400 +2981061 +444285085 +84549581 +184738650 +263216374 +1235490954 +1839266843 +498331028 +1846505998 +1807544812 +1520444897 +173840384 +107049414 +1945437727 +57278777 +1940947763 +1476530838 +134664606 +900327069 +856419135 +1477488958 +1170283213 +1455224345 +1033900207 +1951042780 +285606327 +1926176750 +345162857 +653176434 +1635261327 +346706257 +656157495 +2079546412 +431255839 +840896146 +195279138 +1666746793 +532679341 +693610167 +1365769143 +192740505 +66571416 +1539609527 +299789920 +2012009143 +1596888304 +93254035 +1341056334 +1731552910 +993581104 +49991821 +1061558220 +16380670 +1505216166 +2095458428 +1967423450 +1790822494 +1874151530 +165102659 +296515280 +1361929210 +511808917 +952672776 +1293991974 +943064756 +1793568922 +1489271113 +462327901 +178764615 +35397632 +1828097045 +371505121 +101969048 +1220222924 +671295041 +2113978192 +669627581 +764549076 +1307550878 +253696843 +1758130181 +1357542699 +1315255064 +1774510851 +715275217 +1263229844 +1594450653 +358614063 +989897726 +1759553313 +655129344 +204343288 +123878582 +1607802120 +1498335263 +1066943338 +1253887394 +840122728 +1529271239 +1432652009 +875520360 +1209884636 +1804157130 +977489408 +282623913 +327968523 +943983952 +952251494 +1092517600 +104051182 +1205948337 +703164133 +1461593881 +373719753 +330191336 +29385451 +1636949597 +1924641989 +387999514 +479363676 +1536711654 +1043128858 +683706964 +1660590236 +503447330 +34558579 +580049926 +1757334724 +874681307 +2109321166 +1042503086 +1750201667 +1171722154 +699176568 +580207428 +1454346067 +1027145092 +1524191380 +259113913 +2119662692 +1628242563 +1465062251 +675343177 +942352796 +1838782004 +1005534513 +971738247 +1328247954 +782692854 +1359737762 +1807611630 +171920861 +255382972 +343834946 +1832511097 +758830303 +378393526 +265077376 +368681379 +1253074833 +226914894 +1411184465 +855792853 +1398637048 +2110361034 +1436000281 +705499468 +990022478 +812708013 +964613381 +962201522 +293466928 +282191984 +1637544699 +1235819725 +2120973989 +495595564 +60074324 +1301738295 +1278288418 +1419812086 +961866277 +1450209279 +1675195059 +1305701223 +1135236729 +286541714 +1684094749 +1400314105 +655223093 +789685935 +1627228999 +2066407559 +1645478788 +878382399 +2029284945 +933995421 +1583881867 +871823775 +1746703434 +401011601 +1834025297 +2040170363 +683203585 +1324086348 +1128506440 +656693926 +1819681912 +1188580764 +1958432221 +950486682 +460909203 +772814850 +253212314 +2136104262 +2078516074 +1388449043 +275162328 +1615127175 +641279500 +930385421 +257329462 +121024851 +849309332 +1902808250 +999407250 +731110629 +689320023 +435805470 +1602934404 +288539810 +836817071 +1289476053 +181226525 +1520020656 +466078753 +1309732965 +29230935 +138277017 +350830081 +1987663156 +1088763700 +811739284 +612994359 +1341976014 +800359898 +544026785 +582941409 +1075522226 +11670312 +1224220909 +2005907648 +268999775 +1345245760 +707733332 +24324377 +197169362 +1438843962 +713644401 +632974832 +894294718 +1002184211 +1469791903 +36287124 +1183410736 +842328912 +502365877 +345660053 +871559847 +640642895 +696490134 +711739355 +1729406595 +1508229419 +1324733714 +923898961 +161105669 +1868760499 +1506840370 +1236627896 +1880430812 +583577631 +1095051896 +1946939 +1928823391 +1802785228 +26271316 +2125992753 +1094145542 +739915717 +611483938 +1988440261 +1742099928 +2081275841 +2024727385 +778027016 +776121105 +379609614 +1123687069 +1647680952 +1020252509 +1820177204 +211936660 +602175456 +1180922975 +1536670374 +1526074417 +1342028644 +1257947226 +885431139 +431172892 +990894390 +1469008770 +1526224788 +992841329 +1250348513 +1181526369 +1019112645 +1228857619 +128188263 +1759028363 +1840341557 +2116628524 +1353644643 +1774133750 +1993872261 +2131671660 +402771208 +225998228 +1107875081 +2050452160 +1246250737 +780568637 +114905172 +1848426194 +1961491612 +1651575547 +1227016963 +1156036609 +762039125 +2112448103 +1587209501 +1752933515 +1433973225 +965950642 +598291196 +536838091 +2147477011 +1617403841 +1765695710 +128181626 +1228948556 +1458553619 +97326503 +435109552 +1085203721 +2091198764 +419297564 +1487974929 +169713344 +1527172645 +1390943442 +1415964082 +160257635 +1505848614 +1116906628 +2121749247 +1009940513 +196439943 +1130302208 +1771979638 +161404398 +570028062 +1377429505 +1595377624 +1535978704 +1975720701 +2132215715 +1535972067 +1445640895 +1750427777 +1664153693 +527105803 +1061497748 +1761480196 +962215355 +2146701469 +1705195313 +1381512919 +1487192751 +1874908657 +761201917 +730652545 +1143389091 +921459552 +89017511 +112812071 +895725151 +1098958025 +309252015 +2026027360 +723454015 +470656413 +448571774 +2100883521 +2066034037 +1984550478 +1929120574 +2050766104 +1373038897 +1227277821 +1653710233 +889708942 +1754383625 +567724333 +503705491 +569115332 +566942155 +61417156 +1950628252 +2054134906 +1936325813 +564346521 +637303803 +932231257 +1485806073 +726321314 +1045043328 +234047576 +1825279339 +1354295343 +112591288 +401249707 +1824951757 +561163062 +354649580 +1743502146 +398229892 +136286506 +1646784603 +1771268789 +1363564328 +1153011188 +513494084 +970464305 +1720735522 +1017199575 +1539579637 +140194029 +1078616731 +1342724241 +46845287 +867458896 +1907070762 +684149090 +1799690153 +1245393187 +1410470404 +697249834 +1479440764 +1088266096 +2051545177 +1592032052 +1489515803 +1729013286 +5711467 +1844165383 +1325031785 +403941359 +1980451889 +824332740 +27726501 +1196532569 +1977343928 +541220585 +19513226 +1550595802 +1558420160 +1559092864 +1690789831 +489553243 +754333457 +1737635118 +1357012139 +513920572 +274300560 +1009218645 +1759313759 +1684770965 +1706468479 +1091270875 +625553413 +1610530008 +535819280 +2115069216 +1192059647 +541530747 +1811750951 +369607784 +945472106 +1644719192 +1193940524 +973198607 +693768114 +1023800804 +1514419192 +713281340 +426912959 +925355704 +124890556 +2117702790 +1414908947 +879224014 +1707854261 +624437439 +1393144586 +1982154821 +1633656084 +1004974697 +1519442138 +1192640915 +2096245573 +2144995551 +655687275 +484581205 +2112581119 +1847746922 +1026111952 +1776848422 +69871058 +1971584058 +1274083967 +1263811582 +797299018 +1967852081 +140128739 +164234562 +533649773 +567041698 +1089590267 +658540330 +537260840 +357015566 +1537764344 +97631453 +981453005 +783425282 +2079786275 +467625441 +1788399979 +1451744765 +1660266356 +1737161904 +1449256669 +168469984 +74259461 +1414354140 +2016216906 +1100371413 +1043718915 +2086087965 +924471824 +170319234 +1202415899 +1721770842 +2138171315 +1342544638 +1886005404 +524337440 +1909586336 +828112023 +1182877770 +299363529 +1185127590 +573158466 +396994982 +19096947 +1356583748 +329297609 +486722389 +997500080 +1781042375 +2146988745 +587178336 +1082815396 +167975081 +661437798 +349685888 +36708340 +1761809211 +1393404803 +2122796305 +538797387 +1563724037 +1177728556 +113084581 +1554411704 +372789547 +1999089986 +2078749145 +134892235 +679718361 +1114143267 +434255764 +1864845951 +1687301734 +831250747 +1883942899 +896401834 +1160548356 +223181640 +1893901914 +794107083 +222686737 +333596603 +1876922479 +390661819 +995034401 +79124720 +427370159 +609359964 +1472529523 +402682816 +1148157352 +888769913 +1580411372 +1261241933 +295697969 +1953200919 +1112848271 +226963466 +2088093155 +1792566633 +1341106734 +374865271 +1509928936 +880924820 +1206116018 +1246388187 +1777326654 +219180727 +1469569827 +1523744921 +1013287810 +1692256565 +1857341524 +742726642 +2082918384 +704892277 +821851362 +362804895 +1314252241 +146897237 +765487711 +314925945 +1035667150 +198415435 +1576167879 +1331365120 +4132707 +541532502 +1558328586 +2092225862 +186615487 +751951672 +319607485 +1696544424 +1632876492 +1525723504 +795448963 +1262719499 +1744904231 +117535143 +638980772 +610708393 +1809791708 +348838648 +1353435035 +1745226444 +1053730925 +27802749 +2108031339 +220499518 +174699987 +726035402 +535425464 +1210367137 +924450837 +2111593343 +394248609 +928583544 +505642197 +1952577196 +873325758 +692257685 +557045220 +1192933244 +241318461 +42438065 +571173100 +1036767424 +1305157564 +168593683 +1154302567 +1944138336 +779302076 +816610627 +145493336 +2132737112 +414353423 +1199224261 +13056213 +374901114 +1419723779 +187756200 +1100936516 +1955149243 +1398123338 +2025387354 +1919258938 +1792371947 +806487250 +277417488 +1597465495 +1679813009 +969675173 +7027068 +725262605 +1210993634 +49465133 +1296435705 +100277410 +1354622697 +1465029388 +1254579978 +1151277385 +96847816 +2071190605 +1296770721 +82101280 +338060381 +348511334 +95157494 +712961495 +1768235113 +282913694 +1813898012 +1575900709 +1681037032 +1691801718 +1347675999 +1325925332 +350805320 +1625093487 +775907179 +2030618329 +447285012 +782934247 +608397286 +1658278646 +832399380 +1904832991 +1758556057 +39538429 +1222378731 +865652387 +1190815814 +1319226548 +789359344 +340102887 +1401327828 +1127419725 +688614221 +1496485322 +1840381221 +309365687 +1779399017 +1506795585 +1885266396 +1312952401 +1051113655 +1085458747 +491394085 +1401918975 +563068587 +1267301265 +1285053657 +1010353599 +2050235512 +1893450943 +521148598 +735151245 +1650800287 +132221007 +774689674 +725695370 +997873394 +1965505489 +2044921918 +1787232738 +158124728 +1298766099 +767168816 +846738950 +647767773 +460066389 +1156104637 +279683142 +1966861974 +893887385 +1592635544 +870491981 +1979346132 +2084029629 +124927308 +394931071 +1203847246 +1409980965 +1405284671 +1106599111 +1155948261 +1926433269 +1841750356 +659264900 +2058654276 +468956382 +1384960270 +909044022 +286978223 +1282398541 +548793112 +445102952 +433680992 +1315961928 +1291841902 +1081448765 +1776028317 +300462891 +1361131908 +1595406643 +1194350276 +806283804 +318414976 +1026212760 +742829785 +443342285 +1421143832 +1946677032 +1853323250 +678944855 +905792495 +861787863 +457894476 +600059203 +1521052763 +369065104 +1069015585 +758529386 +1278109126 +1355993809 +2040927927 +1826902238 +1801096761 +327125271 +995380519 +945455015 +1408574036 +623925188 +1245917906 +622222296 +71848184 +292784534 +1428506100 +390263160 +1318997294 +23852238 +833605445 +592657478 +1970529270 +539445048 +1271602333 +728838117 +1401232911 +1729496809 +1328897320 +774802027 +2098561913 +250429257 +1533331413 +1229187391 +1606423066 +1426775692 +908605982 +1260036179 +1753900963 +1903986501 +58007546 +1014991351 +380428041 +1303925452 +1637213648 +452276225 +1596709986 +918236100 +842539386 +768223633 +942088338 +1676144831 +1360881111 +765133960 +68106231 +484999797 +1493972077 +1469339143 +67012958 +675385749 +96657522 +18091224 +925815007 +1629988935 +1247278615 +384754425 +909280979 +8400949 +1644790605 +515698294 +1912387450 +1702798151 +1530689645 +145331844 +859239956 +1020419645 +597608069 +308466294 +1938655746 +1440147455 +1076689927 +733260436 +968808639 +290087391 +1498394397 +1036914870 +775087188 +844882826 +358770365 +842100146 +1520268576 +455427887 +860191370 +298599935 +2085416822 +2107469986 +683354360 +847214153 +2115870935 +180661317 +1362912447 +1880774738 +1883459469 +746118445 +2026106582 +595215777 +1766538090 +476231003 +903682071 +1557710188 +1916378459 +1980371999 +143486977 +737703450 +122975742 +1641881374 +1774618320 +898062930 +339280552 +2133388686 +1740163076 +1859549128 +441332925 +452870799 +10665415 +379266100 +412857137 +694019776 +1226480253 +381244424 +874681093 +441909053 +114535514 +610656914 +1188027498 +2140642096 +1205872691 +807081940 +469389452 +2109554763 +217308481 +238284263 +1942443114 +360795458 +975987713 +2065418856 +2002676832 +603122385 +815998138 +194473736 +589027423 +408677566 +2054022865 +1030360349 +861548365 +2064688280 +1409626449 +1274405502 +611224408 +488623054 +1655649927 +1485905502 +930532107 +1770185441 +2096562416 +2118559605 +1763343890 +1154951460 +778157898 +85249694 +1117022575 +995466379 +323533957 +911982041 +1356261837 +1299521670 +829917249 +1211455021 +1902644055 +1645915387 +1405928757 +344187831 +2054592953 +1312467974 +1374548180 +768657671 +1229672607 +636690981 +2043063173 +1840897015 +1125314035 +1551229452 +1179318869 +2055846143 +1173931246 +1128397638 +2026922100 +789791488 +135865450 +657596350 +875041182 +1252888025 +1653062729 +1198575139 +17386418 +861840918 +350613161 +847303667 +2073295939 +105773568 +345735406 +1331741049 +449961399 +252844711 +496725375 +1824509579 +1021502382 +1726397982 +313716912 +917081908 +1419811350 +1439030948 +320827712 +451646571 +1347393443 +1494758958 +1580044209 +1226831895 +137066798 +1715909659 +1884428246 +1012107980 +821314036 +1390007327 +63199471 +838700454 +104364598 +413812632 +1686004121 +30176889 +519586201 +2031739527 +1361917938 +969547600 +137100591 +1858643314 +646573532 +1158602973 +1437557648 +960290444 +2075684881 +709885350 +251837744 +249028946 +1161531922 +1599231187 +1743787904 +594092483 +678579435 +1880854703 +162518495 +415524033 +745479035 +983832531 +1805531360 +808678507 +1822532986 +1909895958 +1222491139 +1361053459 +1940072848 +1742077340 +1245309339 +1154507138 +564141293 +1382409930 +865666804 +1210714825 +393529255 +155740805 +23521621 +321730489 +865626155 +275359366 +570759435 +2027158077 +1874590553 +167063691 +473766913 +405686340 +2047918394 +636285408 +821210373 +645913782 +1620117939 +479258086 +1454592289 +1295167277 +241670396 +529599780 +508737089 +34259596 +124193473 +1754046428 +1188766735 +688334766 +988972710 +2054433539 +1899049591 +1382501965 +62690696 +1922571212 +1704232454 +928316852 +50446930 +127508241 +807991281 +1925037484 +294571933 +1281758194 +183240176 +195006679 +1918043602 +1004450550 +840920461 +1390677894 +1483708636 +148029102 +538361523 +1725379032 +677628883 +1047098612 +1759638629 +801822356 +653661392 +800921716 +1490157122 +1642634102 +707871607 +1241723065 +877652420 +770562304 +1016810629 +434401226 +1698879156 +1067257560 +561909468 +359386789 +844811396 +856481401 +1641144984 +1028051572 +1051488080 +1411704938 +2032502122 +1892408542 +654899184 +1368727110 +2040437644 +1193260708 +946622495 +570582879 +92875672 +558777476 +1372405235 +746537065 +1359699192 +715078709 +241687519 +2067570799 +1956801774 +1119339939 +690649455 +826128756 +1553741166 +242044963 +1893386316 +2115650634 +601431753 +590714064 +824648387 +95093089 +1618765636 +1876136467 +1506798027 +1503784111 +1621061361 +14213564 +725027573 +1514015358 +1207474272 +1671650068 +2084598237 +1300349944 +82943896 +1309519825 +2046887009 +1442643088 +2024598534 +141090881 +1362730240 +1833916661 +1260430820 +2053379695 +512561769 +666688338 +147941011 +258464437 +634855324 +749372764 +849178501 +1459503711 +844465853 +320460489 +1188156531 +203780232 +1824244600 +661734244 +217993796 +401788526 +28265954 +1425468068 +2073438594 +2112864192 +578334365 +8898843 +1274900369 +477737726 +1451541931 +1152015255 +618828607 +666788523 +838448268 +1879259428 +572684571 +1351010037 +398464118 +720625582 +1609474474 +1033319443 +1469998346 +311169327 +345339506 +166980551 +631629817 +1533496037 +370760783 +308390769 +47746634 +588754580 +710179295 +76012588 +2014222648 +636134242 +41393132 +445073365 +645033085 +1316293501 +922811092 +2096575016 +320825109 +1541639699 +615879892 +1159273377 +1273415479 +1188564463 +362799767 +1671879598 +1909190045 +1972274241 +557715393 +1231704743 +135959921 +903054899 +1398685294 +767589738 +289067289 +1769446077 +1075980507 +336813923 +210717009 +1786159803 +412826511 +77456010 +274810397 +454219644 +522529375 +919843482 +1770513145 +1445340467 +868934850 +2091338254 +839496519 +1484814742 +1103127984 +2112911998 +525895557 +1465927751 +1637307948 +287601954 +1290718344 +47539693 +1519306697 +1426678265 +950594593 +770508343 +46784355 +1239661882 +392470773 +1122764863 +1576475805 +603187782 +761441018 +1989302316 +680643792 +1036251415 +296038312 +1203173168 +1956094897 +2066551458 +501029987 +677546099 +2010406064 +1340526506 +14877194 +966050400 +1305954857 +540772751 +284494503 +795779157 +828374706 +1575212848 +843318851 +200197755 +854407465 +1793913444 +970706099 +901191821 +886091678 +1363176872 +2023956684 +315083835 +1966364654 +637914054 +156902503 +499524799 +1674165469 +452940816 +1702697967 +1482776718 +372008626 +56244306 +12839169 +234931042 +1396770813 +27716363 +1200981443 +555242022 +568489115 +1485475946 +1351021179 +1396863821 +913205146 +46856382 +1597061576 +1767612612 +1840769826 +420284027 +521320785 +579377856 +1783460899 +397793821 +894461691 +1602341906 +1035707875 +1051364195 +2101866705 +562389696 +1504305011 +1657081024 +2045166414 +1876313637 +1713325330 +2058005583 +2111244679 +962612495 +2085721947 +1164742474 +1517854517 +506727414 +502734773 +721392049 +1903591235 +1415939919 +768248431 +1353169163 +1036068883 +461534610 +1773453191 +1557389668 +1040912466 +1409430442 +1955183489 +1935374158 +864288700 +843407716 +839254705 +818671757 +1405797412 +196076068 +328269133 +1303480178 +2072389705 +2041594464 +1214002114 +2036150736 +856723311 +1152240413 +1053409563 +227094181 +1658967827 +1556144336 +948486230 +1415075414 +824600607 +1716734661 +620760929 +1860669491 +30785623 +246730472 +1270575511 +1071698090 +1656160915 +1078275353 +859588600 +372965967 +1921683069 +1698843305 +1191637725 +1179996834 +1894919373 +1519906858 +335993364 +1819825430 +1414017674 +1549995478 +1708492518 +123257338 +554752243 +614418433 +350351519 +66236422 +23079121 +1298837749 +1481311836 +847679729 +868088762 +2102072766 +560865572 +898874386 +201319590 +1831441083 +1970572476 +1857480505 +762232788 +682677428 +82962825 +536432210 +234037085 +1274600550 +1716429044 +2128956458 +647023760 +2052422408 +1801298240 +2061041435 +1454934239 +1362307110 +36815125 +2009686482 +1976725544 +387166644 +2075922905 +1999804665 +1686004393 +1409751093 +700000746 +406609507 +1364340211 +1260866318 +1305483893 +1565659802 +944823754 +1128572721 +1275656659 +1707056542 +1811250149 +1358619484 +96005104 +2045287234 +485736386 +1812434148 +2026760044 +1132760147 +1717372909 +1680574636 +1046317934 +1024823500 +895398099 +1083133059 +887026334 +724639995 +1470299703 +815465591 +576961012 +1008820448 +77733037 +1276961759 +1415429955 +1442073248 +390344429 +573430201 +860249402 +1335168183 +1702002922 +2135906062 +894741078 +1365769424 +1347041898 +990746182 +1263573010 +1832778285 +655696683 +1142849407 +818054784 +225585944 +675940395 +1864372718 +1250409444 +1571338494 +800022129 +2137435778 +148494841 +122838184 +805417722 +725455854 +1131658632 +883150759 +2002417613 +399604939 +177740359 +245278394 +973035140 +1037989762 +1580446578 +527554415 +1026412176 +327704008 +1893323839 +225970426 +1318450190 +1009413201 +2058748711 +1974146873 +4778960 +729319847 +52249169 +680719356 +446208917 +1302658613 +104574202 +1246231046 +1292610744 +253069044 +1369069230 +2098028466 +978524898 +353244214 +833695577 +833458863 +752849154 +1011435936 +1078737257 +1725884294 +2049425698 +511700187 +105955061 +928354226 +839404195 +1999278900 +1154324653 +10370738 +861208454 +1065589716 +1984517611 +865987414 +1794909564 +2036766781 +1546706770 +93634833 +1191941746 +1651280973 +1339865880 +337068842 +1904350017 +561451462 +287613660 +735391267 +914695677 +1121309237 +1568850130 +1667544831 +2132745174 +500103739 +1245945477 +2034687224 +1011803927 +1351900539 +815557803 +1851208122 +1203695791 +1969882456 +1861578860 +2064904245 +887988524 +1698612824 +783408012 +535414440 +1587895957 +182631134 +629049274 +632354055 +1833912107 +1968915154 +969422898 +1590778476 +382882968 +1257036558 +178686095 +1297578645 +230862148 +1747536225 +817639828 +216123674 +100156317 +2063585306 +103327250 +1111960244 +1268002197 +918885053 +815684718 +324214340 +741283861 +529779931 +241634938 +1629272386 +80909107 +1025042950 +17203178 +1668805064 +1207674084 +646252452 +153675471 +894102544 +467683958 +1123098369 +337397372 +850566927 +232651280 +516083468 +661924 +463513428 +116136045 +818301753 +679637102 +216292362 +734403411 +782964352 +1328252606 +2002405608 +1701849406 +2143937325 +179136300 +295649619 +526233608 +420771238 +1924922005 +607142715 +1445814188 +1942125184 +128464131 +506004625 +440893988 +282139602 +1400107169 +908577947 +1405237972 +1737504541 +1759144874 +1637889252 +106104361 +1759806798 +2101402680 +222240407 +430624903 +633556134 +438532769 +1165028314 +1416520486 +1766785376 +1019950274 +970886244 +1763239053 +1199086575 +1266535864 +141989013 +1619857813 +1043974221 +749131728 +918188354 +838615757 +877595859 +1424192979 +1279509746 +1159735461 +676816500 +40604045 +417489785 +266837393 +1799748919 +2055379037 +372941755 +1412072069 +2009298069 +595182162 +1842696973 +495370555 +1033714931 +860241639 +1911891042 +653016659 +1880191914 +735293638 +268772064 +931794841 +2001829502 +410761077 +404169006 +898320076 +1159892805 +1322357360 +1736935833 +2037488664 +599066691 +868961931 +1049740478 +1275883191 +909565976 +1467230263 +1542720585 +561831247 +1375125653 +1915662340 +1973903317 +1236940074 +363360854 +1669116642 +1732310630 +1397075785 +381874633 +1496718024 +2050092445 +114582899 +84528014 +171380861 +1046377740 +2086357517 +582141939 +1450546747 +837193945 +1742034744 +625420459 +426646130 +1632039761 +1224487151 +1295608062 +534296591 +352886694 +57690390 +2001526854 +1895607279 +619521638 +1229168859 +1663785971 +445941307 +318625286 +2027146825 +2115057949 +2050935916 +1276738963 +349448934 +1400170292 +1179347760 +464031834 +1484698306 +1350728621 +1510409574 +1423572175 +1932870560 +813472673 +113282472 +1527421657 +1438893133 +539928603 +1011977770 +515896636 +1835536665 +1546274361 +868783330 +1893227055 +1400317567 +616906962 +365265045 +482002779 +133209285 +811206352 +800628065 +12872463 +778780653 +704080333 +1289611426 +1128229588 +2104250625 +321475538 +1592261422 +1441465283 +1672204159 +955187348 +717553811 +1457591072 +1768660022 +830836283 +837529081 +1060069507 +1370764886 +1849506851 +1575966143 +1058817903 +1248297564 +297265825 +804561311 +501131483 +914172787 +1169826356 +983134262 +1047382073 +1981032709 +1783762327 +1060254536 +612329714 +340359012 +202382314 +1740559302 +297125989 +523857852 +1185337076 +1738591273 +48578363 +2140524425 +308661436 +1506169435 +1761700799 +1139497719 +196214868 +674286658 +362778958 +2045721719 +102769153 +1421596861 +1146535635 +400034978 +78674524 +1647667119 +1314207766 +1248500881 +483317733 +214106191 +1082049942 +119596413 +1274360727 +1694379656 +459955425 +1476743041 +1287455311 +757081415 +2000600893 +325308739 +348189040 +2049179256 +318349516 +656850476 +1407865044 +2080050315 +1796348195 +1604079912 +606853325 +11643505 +1502317984 +709622478 +1433240367 +501369971 +1109657457 +1511914891 +1553442 +276381575 +612932124 +484871176 +490487766 +1694982066 +604467589 +1764848493 +1241878075 +1064423014 +1094107886 +381849738 +1821504429 +947225131 +707158477 +22209821 +848920739 +1025507994 +679060297 +109302135 +958074661 +327924845 +1713382048 +1564927987 +339568350 +1068216384 +127066817 +1772808717 +1569586355 +1236724274 +1137239961 +1571139798 +1513105849 +1750172085 +2056010974 +2003593615 +1297670504 +512994915 +1620958460 +392064931 +1577417929 +567582698 +773914669 +1251438711 +1514807829 +1481073146 +1273648532 +216244921 +359097492 +1952708830 +325547056 +1317172154 +133150027 +2038929104 +734616493 +472718377 +959661840 +861683310 +98043447 +381764548 +2098407585 +1235283408 +1952904346 +1464029786 +837971845 +1861431672 +1320139754 +2135642349 +226942939 +793614566 +380223632 +1804360868 +1361197265 +1154138301 +908315931 +728521446 +487727800 +34480816 +944766367 +846825292 +1987189646 +1270313424 +16513798 +2120339673 +1161758880 +751130291 +445574402 +2121420721 +1612813602 +543617849 +355701621 +1563737539 +1778901257 +161122319 +880283677 +469389455 +2022553991 +52939783 +457548156 +102013282 +846554350 +837771789 +1906374150 +60267967 +1991910090 +667206434 +788789413 +332154242 +701687250 +1733555781 +1178979535 +541393248 +856385557 +1195493333 +514249273 +2018144437 +1946623625 +959823675 +1992081510 +1411953579 +1503441525 +200299483 +828207470 +1134859134 +361421802 +1708491147 +1604248589 +236492145 +1761430931 +2061796746 +338505427 +460501633 +752084887 +97395930 +520769600 +596511329 +764602364 +1309559013 +928665572 +1466289614 +895631146 +2107645107 +2007682862 +1752016703 +1155654792 +374448487 +1622677493 +954794769 +1334272162 +1467275355 +219264700 +690230039 +1667574839 +1047472170 +1825089174 +2028996641 +608479670 +1281854115 +118005139 +222426953 +1196167213 +456510566 +682928586 +1948252100 +553906496 +1203698186 +397279782 +1318508860 +365773551 +1325945354 +637314826 +1261404698 +1286106813 +497514040 +865937753 +294277957 +871962527 +341131598 +1249072727 +58751042 +1808406954 +1468337427 +748981081 +1328498145 +368325950 +426586607 +1210011138 +976805620 +1708440723 +1328016277 +1199232573 +757124288 +1784526844 +1882161159 +557892741 +190949692 +938375697 +955172523 +1509458553 +1304149248 +133634229 +2146773379 +418070298 +1419741042 +496803772 +1284008052 +1714018999 +1368766299 +1625139650 +815608078 +1427517341 +1286062956 +136461858 +29014775 +467077453 +504787808 +455601382 +1677088592 +1481593428 +16558457 +857621221 +533342353 +773682746 +494664417 +268019864 +1331575487 +685614110 +1206395561 +139264362 +47589015 +363061161 +272898591 +46878746 +781131460 +1692639633 +543682518 +2065139512 +1259174984 +1912448818 +1542795514 +2074783063 +1192482511 +681374823 +63761273 +1221497286 +1148452276 +568549081 +1677098669 +678057220 +2050142509 +1693657126 +1535678442 +436001214 +319856224 +2030342859 +704021078 +1651431711 +568473321 +1910416639 +1790696073 +616062336 +125994152 +2063594664 +662941083 +907125612 +1608750649 +1206623601 +824781476 +720441986 +971588771 +220093343 +647741401 +16587635 +901468166 +711502674 +1238084921 +2049920442 +1280051755 +767699942 +580494015 +1182710616 +313873421 +2116172457 +1618711830 +633729645 +1999031668 +175249260 +137677709 +420021342 +2085665899 +1928373782 +1036083678 +64176403 +1844484799 +1699024761 +971302016 +1305751800 +758164715 +1796083492 +2026193786 +1729753486 +2016176835 +526451539 +1746341121 +770161353 +1237954213 +836942395 +672598148 +370522320 +1604642337 +1253092163 +1553232936 +1918515758 +1221780972 +1024461118 +404761756 +1073328992 +1199710378 +542439465 +1493350334 +1137892629 +323329599 +381950365 +1202069033 +20330750 +2080975126 +25887401 +1326082551 +691656193 +1821970893 +1204792689 +273926032 +1690664081 +1731244229 +2020267153 +313341786 +821714794 +709725900 +985939934 +1192237115 +166884590 +91548449 +597986403 +2085400348 +1313329421 +1622447522 +342678456 +239174766 +674674252 +885117921 +1732525100 +1812566882 +1208447521 +2114475465 +867152267 +1228778271 +2047966944 +893039668 +407377174 +592139489 +567526913 +1612169864 +866065521 +110707346 +1195930445 +738849027 +424049133 +2017645239 +1448574927 +1409989067 +1062398706 +1615459517 +1501537517 +1660385110 +1553376218 +667383290 +1135348984 +1896054674 +906558056 +1810023236 +633688948 +491599509 +1475106470 +1842136469 +458591326 +194775089 +923431092 +359074622 +1087814757 +1330808267 +951214112 +1655341671 +795494483 +1817279633 +1766049017 +1991424928 +408645012 +42614502 +1861586519 +1857219940 +1452603570 +776501578 +1325195809 +806657439 +289403040 +731088379 +1474040729 +1424752024 +479659406 +233115138 +1087291612 +1113348354 +724714647 +414914435 +808001175 +1183305973 +609689524 +1731432267 +1542380596 +1697504282 +914756886 +346111060 +1205362305 +1710251369 +15907045 +823927674 +1554192649 +424552058 +866542177 +1268295521 +134288350 +171662099 +2044797099 +1459484159 +978319538 +186716491 +43088891 +304876619 +1611468515 +522748297 +537991757 +551276479 +1636096651 +1262706404 +966190914 +296614178 +298528730 +1575880439 +2028046445 +1840909326 +1125901073 +795319684 +39536738 +183779730 +358087405 +55443783 +1007707404 +1912280055 +479995841 +1874249581 +1033091928 +614284191 +2045911680 +930405379 +2073768351 +876747570 +1117121870 +2116857242 +1181624190 +581106737 +492121891 +1719615947 +1132383216 +2128218542 +834838704 +2098574131 +277349072 +1133367434 +1526970922 +157911869 +826793112 +505388347 +953231553 +866329850 +689168077 +1311318959 +921773633 +1696875481 +1076115366 +1401769475 +1423641415 +2109207294 +2016053666 +1322069447 +892129025 +1942338369 +51333370 +2009250895 +1911711963 +1232957560 +442873984 +256350206 +805089859 +1575257200 +237085100 +1639928563 +1526347683 +514434172 +625812349 +905834957 +672346042 +1452605461 +1411223304 +1625577595 +171451663 +2100391381 +789412906 +1093225297 +1649783215 +1865528272 +347511124 +925940982 +1827251918 +216081142 +100526781 +571897295 +10935864 +151860151 +433664542 +1922647827 +1384817711 +876538526 +31514386 +42423923 +304312079 +268599486 +1682352486 +1830659762 +783033659 +160681188 +589011072 +1455379701 +1613286649 +2000234376 +933473648 +1784738313 +1953142110 +1722886555 +730479962 +1455441677 +1440931179 +1077991086 +233899011 +1120699450 +1294072228 +334425792 +1692596745 +1305008092 +486285944 +2126261288 +1080172272 +1871103655 +855316166 +1111686658 +1913527578 +1159628245 +1380286144 +1448396417 +842804360 +15836155 +1609077605 +1431815432 +1471215856 +1074880606 +1284566160 +257205857 +712135271 +1090224622 +1980092412 +1442615233 +398182651 +1273539943 +373122671 +632081662 +246755745 +1667194900 +966507455 +1939352491 +824719344 +1452793399 +1918130131 +1904891616 +1176413406 +625962649 +869094626 +942457337 +1785590895 +101897123 +243370106 +480911607 +117733278 +1852447711 +1912727039 +1588949135 +779844669 +1049809551 +1846154992 +1491979941 +2140034174 +1678763756 +787111526 +390733177 +804820051 +1160234198 +1022814840 +1051575797 +679945450 +1989322295 +843444640 +1504664794 +1294632046 +614091123 +1262072763 +323561804 +1240053772 +2131167389 +1266019141 +878161019 +85580864 +1509389247 +1359072626 +203314143 +1214353310 +1124316017 +1792263278 +1994197980 +26641921 +1490934622 +1338694273 +19192447 +1022214730 +2125805799 +409925624 +1827034781 +1138556349 +1432740464 +731126930 +1818501799 +1274579111 +1574571570 +1175682946 +421727509 +41179045 +290272061 +745289314 +1281232818 +273955802 +2011308455 +11910189 +359536667 +1373214055 +1370982816 +562850810 +440083717 +347815185 +207630440 +286798049 +374457106 +1698565062 +1625492322 +393649553 +573296144 +1603814474 +803575178 +252847277 +594887175 +88831994 +983974208 +265905327 +1363411106 +411062130 +1441588273 +1785138615 +452241176 +1731860334 +382944281 +1733473994 +2005816136 +246769089 +1745384183 +217869155 +1619983144 +968883351 +780719965 +2060066861 +1316698537 +988350405 +199381263 +1691155643 +539431819 +1824873585 +2084805197 +1112727963 +1281204411 +740896727 +1365575241 +1876091587 +829728721 +202065801 +2141996914 +45656179 +613127931 +1436101539 +1830794795 +1065369107 +1020478225 +66255428 +651359453 +878810713 +313024517 +249259989 +1096679869 +1933007661 +1218143340 +1877399834 +1845590875 +387358229 +718266592 +2044972138 +2078513873 +1257698411 +1722362075 +2015835422 +222942727 +856082839 +609248501 +1588517968 +584690778 +1438977222 +1790583769 +579204044 +1484633402 +256228052 +2015305583 +1167944549 +1321597160 +888300160 +1234199977 +1972956613 +1767110873 +1547224495 +74732954 +716307094 +1332748508 +1292876295 +446223281 +1030855735 +1680234524 +1164489873 +928344225 +1611264749 +274704636 +503222653 +1479616523 +497647363 +1359305492 +2088865024 +2086165331 +1943996270 +1380358599 +1729265452 +375716666 +717508353 +1985493505 +243538601 +1885452902 +1159607017 +1131838761 +972169231 +985079982 +751465986 +371910078 +1059812937 +1467773081 +1704658587 +205205584 +1913996362 +588030674 +1885440108 +931002587 +1516374900 +1349221210 +1205707223 +2019597553 +681354085 +1703354587 +1231419397 +622735462 +1642036270 +1027932019 +2003094061 +1223818075 +1403648685 +573118766 +1061827932 +1647187286 +311088020 +73951301 +631542399 +1283257251 +1059031283 +1383008385 +1655167330 +2118844220 +703297818 +1212342269 +176566156 +469810532 +1800372943 +2062006265 +1400813119 +1169264195 +1263743827 +459036695 +1041378100 +1945097912 +14907634 +125313849 +420349726 +1656943904 +1153245868 +275960139 +733278331 +409410905 +849078905 +1795106263 +2056598191 +1160166925 +1869057564 +540656942 +295940529 +780605200 +1923665328 +1951107859 +751965772 +479479498 +1015966480 +928531929 +949290031 +668855775 +843054546 +202619502 +1838119971 +2106798373 +661656197 +732014423 +1904412637 +676563831 +857328273 +177278716 +186024088 +2010574141 +453238855 +919302419 +272501399 +1302317761 +566925035 +181615942 +315001038 +288498951 +722272885 +610941567 +1069104151 +498454565 +414565778 +1821069924 +977934063 +1430532258 +602118205 +1927224094 +2099388034 +1445172751 +2129843597 +1790024357 +1404487476 +644016146 +374555132 +1161416465 +1320579978 +1231883405 +1338695181 +1506604066 +1094973899 +1791934037 +278422837 +1367475298 +946768150 +845347872 +1549091240 +1261769188 +1133846824 +123880477 +1872710756 +55467327 +622335042 +139792886 +1876537251 +1600269106 +1570325145 +331171808 +1380009552 +1522229531 +1776344559 +1362369501 +1164770240 +1033348387 +2006385648 +1539325372 +47281205 +1179481978 +623725130 +1385976386 +538602396 +1718699029 +1030426775 +817025233 +938690679 +1977194925 +1662373106 +340298271 +1091480466 +648736282 +464178749 +816707574 +704203609 +1086513791 +956500460 +433257213 +539299249 +379341957 +764429021 +1919308802 +1901571488 +393289933 +1134194655 +918858080 +1426638320 +993096655 +310699805 +1473919525 +25094985 +934424935 +712412264 +563697381 +505640316 +1742839039 +1380722615 +1444330995 +1572550317 +895612073 +1784629266 +516547135 +1544348355 +101324367 +1333254709 +101068316 +1187838159 +142271521 +534325529 +1727137408 +521613479 +1298754551 +1498962562 +275701319 +1692044484 +485673570 +1194559400 +971199156 +1478770225 +1505259205 +297635034 +1503865211 +292200492 +1010047298 +2067562592 +797840808 +605402689 +1300801559 +94688155 +30469358 +48929984 +1879317421 +547016493 +1593278339 +1980641789 +1880271202 +1694346656 +1020996300 +2022542724 +81188537 +600650060 +396672555 +1379943088 +2099612623 +672373874 +924503924 +437802545 +1866933274 +1895703081 +1916572770 +1224708831 +45854467 +1272954333 +1516909323 +1055901765 +1193033278 +167266483 +1661304454 +346351189 +261954638 +1691773813 +395281174 +2141272060 +91306658 +1988559513 +1974430201 +1971577861 +1535422521 +847942853 +1846636937 +1616611059 +1448592913 +95825844 +849070499 +1400721888 +768199718 +1773574424 +1838524433 +487649345 +1521793857 +1607613556 +1712358176 +1567648324 +733084241 +1081783852 +476066441 +1926117519 +1249050335 +2137370895 +124985061 +1511004974 +1681661060 +520266235 +1504793386 +1772967719 +361342100 +1331739939 +1597061932 +1896764622 +32199144 +1296215221 +1365892033 +1480792057 +1392041065 +67478884 +734030298 +12757135 +1841053308 +425071083 +500406480 +1215363517 +2032684639 +65281009 +635528193 +618285233 +1147064861 +1111594634 +396919104 +248631548 +1101481882 +521904165 +1759636522 +635659294 +1042170400 +1116946260 +261143365 +1403512501 +301202551 +1858205297 +1152793475 +333401695 +1006936870 +371201860 +1814193753 +251494287 +438680744 +400740403 +264251423 +132250405 +825811486 +764657903 +1347613922 +711012478 +829938912 +1983142116 +1329297711 +1977003773 +947253102 +1726216815 +78151674 +2048734984 +100637333 +1837788196 +536910631 +1142807733 +807250809 +798053996 +398836586 +1108453360 +508775646 +1551630061 +1441855056 +1515712516 +1922831921 +1108565161 +1767206804 +214029018 +1509305564 +2031458227 +346279423 +187633402 +648632482 +1693893345 +898645880 +1478571395 +1529551813 +80459943 +1308091520 +329321268 +1806676759 +1386243194 +230572604 +1907314092 +1076547743 +767483235 +902638177 +1883798552 +1565537232 +1301474764 +844768264 +2074312878 +705621177 +139139672 +1442541746 +480969451 +1247704833 +1062264902 +694998469 +609526749 +946239481 +1041277892 +797160152 +1594871964 +587687589 +1695806032 +925959711 +2117239403 +1776265976 +86567583 +299077023 +1435459087 +1472810778 +529649627 +1195289531 +401874873 +1297132863 +2097927708 +138189777 +715186447 +1251918824 +982958041 +642015677 +1957540002 +1122097714 +2084557423 +291025805 +222318899 +999338678 +986024274 +831845649 +1945578159 +2027302166 +1629005801 +1392966475 +467506107 +1177328185 +171442538 +437261862 +806110513 +258010122 +736338885 +94085952 +1730820900 +1265988513 +1289375483 +2132695773 +415637728 +1239819544 +123401902 +1130824175 +344254720 +1106359943 +1772839852 +154311074 +80974009 +1709913627 +445336879 +303292909 +561768657 +1431361153 +1135138558 +359863169 +1311179671 +616660711 +1752829644 +1778685779 +1793988896 +1924272183 +68463993 +452615762 +34798657 +804802879 +546701714 +1765619557 +2070791392 +1836077198 +1750831682 +338945472 +928413094 +1874233584 +1469769647 +1272667814 +833109879 +1095125851 +1426978889 +914083889 +657555830 +1872315768 +1217376798 +1219324488 +1156193274 +205031708 +1579187657 +319889297 +821692419 +1184533653 +2098575076 +468197667 +961322188 +19555422 +920813429 +996120845 +824358301 +1467515144 +614256754 +747666045 +1156108694 +217604788 +1086611517 +2084521788 +2091838372 +408897516 +1209705954 +777464604 +1504023367 +489201195 +1691548493 +14095549 +214033316 +761441643 +1233420037 +1370226590 +966473351 +665124046 +1690115887 +1788165770 +1849657700 +1641207316 +108879789 +663496240 +1660762738 +1029693219 +1659617086 +337637391 +349724715 +126390192 +1085303436 +1505833409 +343994981 +24431305 +1442871549 +288349705 +433328821 +505093855 +1065814309 +1937352188 +994295051 +609879154 +1951447737 +1208328367 +1371320797 +1037384127 +431071309 +190310500 +1702508173 +2121187196 +1978476270 +1404682225 +1614910864 +2087356060 +2068178466 +1128189954 +969565631 +1580311904 +1465827345 +1319290346 +1706702096 +403647133 +677640107 +2050697077 +428078438 +2120511656 +191563135 +861407259 +478121863 +1257377444 +651275799 +1472416914 +1867256599 +455239889 +533261633 +1091093748 +1492624016 +964332942 +1281404249 +1047648541 +938036491 +1112396871 +304847119 +405463707 +1052269283 +225541937 +1533653662 +2021834914 +1805853841 +851997359 +1193641612 +1365072289 +1255644493 +1871281719 +1268285719 +1683722931 +1844309727 +1459848854 +397646543 +174947943 +569742650 +1048922342 +1647364857 +289515601 +1504162231 +33142843 +1380609350 +849302599 +997475785 +514529951 +1896951141 +1935512276 +1626926822 +54314612 +193492336 +531712458 +279856549 +1727145998 +406063724 +2085710390 +431659709 +1599705337 +1303299031 +1687304202 +1323503408 +424101102 +1223543486 +1020329488 +1883949956 +1621190029 +1195277431 +306208959 +522628723 +695158640 +595724560 +2026790955 +728301483 +1976333910 +728609906 +1725777269 +343380213 +478077399 +1513805897 +1970307036 +532392011 +1707298233 +354535846 +812248560 +1286960583 +760599570 +750475302 +1718620293 +212821259 +2053774334 +1258440847 +1536324668 +330391788 +334500685 +409170508 +66858097 +1955690714 +1604447939 +373067056 +330835790 +152122931 +968791616 +210143097 +880424415 +797641879 +938753003 +458718036 +1141022092 +1416830403 +1972523933 +963845480 +1949222414 +1532338519 +1318381326 +613987327 +671815454 +2078980897 +1364462629 +242952099 +144318508 +1270753315 +1501392947 +1680643176 +1601145104 +1835893632 +2089813684 +1668003201 +1644100699 +1546777975 +2041070257 +1974936489 +1698900907 +862378225 +37595938 +431841674 +1660020104 +976348941 +890559710 +653558549 +245695696 +715599995 +1617404029 +47434463 +100454866 +788301708 +661421790 +772270321 +719798957 +2025884419 +1015222420 +864117465 +1149154087 +369131719 +397276994 +602815543 +57541704 +339607030 +123335096 +1701642403 +1886385006 +16921705 +1529095244 +1437802265 +879299930 +1566691182 +1869643939 +391836387 +395556475 +612720001 +1045394936 +641252172 +1328319996 +515315317 +688686635 +1428774863 +1303617025 +1350108425 +53561536 +2023415982 +1228509196 +1068783956 +740049800 +230179635 +1437915676 +1137326794 +832995178 +1495457380 +1476933824 +956330274 +1049616135 +1215835182 +973251979 +431227731 +506153799 +1852551910 +1997918913 +228314090 +96904649 +245991740 +841034091 +1142299585 +887243912 +21870440 +1657614902 +1575930547 +1450645303 +813748280 +778555324 +1504206839 +689680614 +2007064521 +425507147 +1429730414 +89760508 +1863422823 +419573560 +922755687 +1211396555 +1896507385 +1879085961 +113529042 +964858919 +704854293 +544756773 +1471012719 +409922555 +395192038 +1699326809 +506827204 +641183779 +392877253 +1649126789 +1528427691 +414747693 +1159258043 +956874591 +1865392996 +1973006323 +1735429915 +1222116187 +515203290 +1595010788 +1647623334 +1944933704 +1684771297 +1363562510 +217023617 +460043336 +427475417 +2113531002 +191645649 +541004460 +930906273 +896499942 +1085761233 +254435344 +1306422497 +1480953272 +1953762154 +1813249701 +2122137051 +199155759 +1314892842 +1503081094 +613903452 +326667238 +312472037 +331812800 +152189913 +2047901953 +1553928987 +667393203 +1495429093 +1054068673 +464843260 +1032716742 +270147535 +681866877 +1492760078 +697622953 +647914231 +1684405728 +1238627413 +1578820504 +433422022 +176904998 +1833255849 +1739844520 +1657858270 +1639534355 +1405610573 +1632511673 +1838690114 +573019768 +988109120 +305109918 +899687006 +1300581157 +636922718 +1051876919 +1200999462 +43368057 +1719270123 +548944908 +1097436730 +36629735 +1581661650 +1367584266 +718496612 +926938081 +2065207219 +1366410843 +463860161 +1156350984 +797747699 +897282183 +1333255982 +483519900 +489643055 +843630605 +2123054255 +1895253629 +328658630 +1814260721 +320789749 +1316767750 +2119370639 +1220476755 +469865260 +608809709 +124870026 +1670864722 +652177766 +1844140149 +72325982 +1749614497 +1880769884 +1653987633 +969715115 +451782848 +433442066 +887438686 +1818193691 +897302227 +2043789670 +468457743 +1794584410 +1229562004 +951977643 +136743818 +2073192609 +927548251 +2031997447 +254367592 +594325324 +205303548 +1571135342 +566212316 +1425780303 +2041000602 +1175022025 +1550650329 +1564381677 +1827199792 +1247306831 +1636707659 +1429330641 +980593067 +1143211644 +251562108 +1432375916 +1576653710 +1139000794 +1103085959 +326472289 +1035306816 +1571543702 +2121056700 +117385172 +376037698 +110316870 +43094134 +1303585949 +2142314317 +297461726 +1897911273 +200134217 +1868597068 +316639941 +1625914520 +1762114023 +1491661967 +1029081201 +1179012052 +1171378111 +128904384 +668236063 +453225104 +1109497452 +1811447708 +704787212 +394389720 +1240617770 +1843788006 +1497475679 +1567090060 +731611174 +921535734 +1540663112 +848996346 +1297573432 +1650979982 +892090480 +453675733 +1645810651 +1189552206 +204103358 +1845944868 +910665627 +520743300 +1324375740 +525296002 +2012405267 +205973293 +1704308054 +1036299730 +334877678 +225060469 +1489524834 +1444375130 +2036508177 +46828398 +1838764850 +1129642300 +1890616404 +1188756881 +549248712 +474743930 +2110292615 +2089911824 +1323740276 +1260382399 +1593408158 +68347109 +1714058132 +1091735161 +1257899315 +1918161491 +790196381 +21081294 +291421143 +2114572121 +546377296 +156342762 +173061766 +103201702 +1192642492 +507939444 +328262172 +534683678 +1952314574 +217286701 +581512076 +1643595776 +1346929001 +324644832 +684869010 +1896177713 +799388762 +647677977 +1838605889 +2123129038 +1908060377 +1284530399 +43992499 +1474634861 +228781912 +1301891815 +1245312704 +1018978293 +1322973109 +1536733847 +986066766 +1869350406 +1693076609 +1159128533 +1972552108 +738235453 +1667067977 +153330632 +1272919131 +1471898904 +370617334 +1854431207 +968011032 +1717546335 +31592391 +1652880042 +1466240401 +830981153 +153074372 +1157362642 +806626544 +2061134749 +294409394 +850619043 +1388285962 +523191306 +5027210 +486115019 +1542169600 +1328000320 +2022848866 +380752718 +1049867078 +1568441828 +1539881251 +874935538 +159193633 +1059465581 +1028266171 +1432112765 +383880837 +1398883505 +1139060324 +1351891869 +968946192 +1170652716 +857288264 +287702945 +2001633869 +1010362636 +1445065588 +660776765 +924013737 +1739474982 +1511395809 +164816051 +115182640 +1516423019 +650931070 +1657352240 +696939691 +526296289 +2038104959 +1746806769 +2094738117 +1430502562 +474258660 +106448102 +342484495 +1502524831 +1538560867 +726365332 +753924688 +530137544 +2078257202 +1722870880 +1700790260 +788061818 +2010573826 +1554940481 +1798424454 +1308155766 +68233599 +574954543 +900147100 +1579629408 +739770594 +1015329740 +948568779 +1390701665 +525198333 +1645508471 +1916997954 +415819644 +1244831592 +1864252423 +1846322206 +1719090252 +1970700525 +41323054 +1074131435 +1361777745 +767688386 +1828056123 +1891915289 +698461940 +1403443356 +1445221901 +1486523758 +1266533534 +852678734 +1137464564 +427205652 +920912333 +1712419107 +1327352752 +353058093 +304706054 +195198844 +1301626873 +1695407719 +720397177 +799651696 +1464922025 +1136216821 +2044483288 +1181690800 +835055380 +1616089893 +1004907677 +876378434 +542737680 +219201774 +1644066820 +223310156 +2111117063 +195045113 +1626753512 +1408855316 +1681568871 +745803398 +114050403 +671549788 +1173009050 +1034962736 +236485247 +352878154 +1388020830 +541191301 +548076998 +542164055 +89115372 +1268474176 +1341815751 +1554037397 +257207349 +1238815391 +588244549 +1092262729 +707421636 +1593152227 +1968641163 +1250159317 +1812354001 +1465224336 +1473469473 +1775987417 +1660269449 +952739337 +1037359085 +1194354672 +1698542735 +1151409488 +1865904460 +724068137 +38888577 +2102389708 +1076946291 +1426909407 +496097361 +1625023289 +1969073462 +585212734 +746013817 +1163405565 +2139250131 +1003221167 +254737308 +580011033 +2095483896 +962158945 +25679612 +1916641412 +64834614 +1838033613 +1234382100 +1538304087 +1466537382 +747167901 +343559776 +356412820 +1941522573 +2042102511 +1507822308 +1659943386 +618687000 +1546710885 +1614849446 +1695633291 +826136644 +2110946807 +1173172932 +647726458 +548675893 +1919186750 +1811132023 +540442377 +774924269 +2065869332 +1120453410 +722924517 +880544629 +1146133022 +492082281 +945379243 +836682987 +1726464381 +336199682 +155736722 +326148634 +679759458 +512149542 +120187560 +574378321 +2019971850 +1780130946 +1193065321 +1419199088 +1247496744 +741214964 +97852084 +1210959903 +1914387896 +745578543 +1759635797 +1686090998 +409226918 +152594526 +313531619 +327612602 +1273047936 +1036456137 +1208157231 +271697310 +1528538418 +6052826 +1108380297 +1107519152 +342252508 +1264117019 +1433667786 +1022011966 +1776266561 +1553855346 +1596390287 +1648754764 +1186502644 +641971960 +920470204 +286515740 +1383186924 +1018322288 +1497475644 +1150091173 +1763900831 +1109627793 +688698523 +25644102 +1262222319 +1002230143 +353256704 +387786607 +2038686280 +1561413936 +659483917 +1419741050 +1567466762 +1767864214 +379776554 +1909719271 +884497586 +1813444341 +784247589 +513280499 +1219816039 +233154229 +14551615 +258835036 +875126189 +935021819 +545350776 +110829466 +1953344108 +2042826420 +1260920639 +1569761291 +1004970565 +1949619162 +1595405393 +119709236 +804365657 +1948662098 +507495843 +695568289 +1362592386 +1166979760 +2115309340 +782575500 +787360327 +347602246 +544811123 +1671857913 +13562939 +1329058713 +37654764 +1233378979 +1562212942 +52206380 +1492214015 +289855483 +987228199 +2037564791 +400684949 +793088659 +1932907564 +1661605588 +215366303 +790394481 +1463741103 +1810771696 +910103718 +120623112 +1611950146 +1417599561 +816191402 +827058884 +437095674 +784017094 +1609634385 +1224456001 +1131619340 +6961860 +748830266 +1145182280 +1336020573 +786485030 +231077611 +750749867 +838691410 +1723291626 +1040605351 +1825919610 +1613372769 +1441290300 +471524621 +1398796685 +955412241 +686890924 +41707519 +271669696 +350178973 +951811237 +392292808 +1962129119 +221927150 +1208484210 +641704356 +659022824 +1992501304 +103855093 +1883478825 +976636997 +110816953 +484825443 +2121819277 +1446837527 +1271310474 +205413240 +50103746 +2110001884 +1928704866 +1090709097 +1788437846 +1394593987 +384515750 +112478820 +645907025 +1339927991 +799369744 +687614544 +1611597687 +1149548717 +1639425781 +2003890495 +964194189 +1861352931 +1064891058 +1605898545 +372892108 +909908714 +1709753638 +108887285 +1886545711 +1820570591 +593712729 +1860881340 +1119924470 +1865023203 +2066294580 +1170028217 +1827541439 +1847515798 +113253666 +1468495638 +1094626138 +497769416 +1580974458 +1740533163 +1837697407 +232860554 +280664059 +1301811446 +1382409272 +1920089840 +1158218294 +199119813 +1633959123 +75625704 +1805018358 +2006851231 +985534418 +1367288348 +2115738517 +724596482 +1040375291 +561967598 +437994174 +12816114 +279507153 +356805107 +1182844331 +2107048592 +56837257 +1296097997 +1428060582 +1151463395 +1793867414 +861551392 +744512910 +1484081173 +1094411947 +1025176969 +638408972 +329337571 +797783161 +1796627266 +528457384 +284258637 +1872252970 +185992094 +143626220 +710303740 +1553280442 +111881089 +1434900222 +446172085 +673848687 +1872894397 +458988199 +953355840 +82215856 +1641832530 +912920785 +139053113 +790446880 +193497719 +1290516509 +436830646 +1055049112 +2035029419 +1920911819 +1977411 +912722741 +411837143 +331314982 +1710505902 +60980761 +859772366 +1994764539 +1933233731 +1045764460 +2138390760 +496053824 +451561254 +102788201 +1930954046 +897733339 +776636889 +1656364795 +1356721539 +1729992729 +1738580651 +851070421 +495429866 +1877633765 +1641517301 +688927586 +1020666626 +2078347947 +1743976698 +908212397 +1851776119 +1745954109 +1820935138 +116129614 +2077269091 +1383957393 +177110376 +789557809 +1231238284 +2110344107 +1835322269 +1222145396 +458914283 +139399875 +1324933598 +242384682 +1037133214 +2101570487 +1898749477 +246371105 +1684079568 +1489846481 +1097441527 +32025787 +1219996598 +591475180 +720953373 +93179576 +522339480 +317446423 +1001391973 +226631951 +2063400532 +674843464 +342761565 +1993185975 +2058800857 +519871941 +635260136 +1142555493 +482732401 +323098757 +217217242 +941646684 +462498632 +1542150840 +1184031366 +1499631846 +1496237679 +935297196 +1746002952 +1032833599 +277660029 +695960831 +1064859386 +1497656627 +1287436011 +1785812759 +1590836203 +1809775491 +2103259182 +444744528 +2036407442 +2019176066 +1119587992 +231685360 +1864878393 +1030905201 +751557301 +352654881 +25977047 +1234289702 +675753638 +243194289 +28452739 +1138252270 +1785345129 +1212484105 +490400469 +1134099160 +297653 +88919773 +19449111 +277957682 +784880604 +1084308498 +1775614309 +2072316615 +722637609 +1218966864 +1734608459 +678413144 +1663711393 +1623532253 +550105562 +635815737 +1855217613 +267500308 +1666720939 +459291267 +620155189 +1692697986 +1693580969 +1295908828 +1935892275 +1722033708 +286677450 +1573753756 +787034166 +777077919 +560369268 +787331819 +865997692 +579818379 +1065289502 +1650878296 +1664126877 +693420163 +1575711264 +239280839 +1912387028 +1162836075 +917693983 +1428614773 +638884680 +1467799545 +2064430510 +346618646 +1735299853 +1583667801 +805909913 +207971395 +1128882139 +352007234 +1503880223 +917290766 +2074040943 +1790557673 +343560874 +713591461 +420151945 +903930142 +1500923280 +1286149637 +1483748522 +418729134 +789544286 +1000391751 +1112149298 +217771902 +1239672590 +877052678 +1380607977 +9882925 +158183803 +2019492657 +1477682471 +75130665 +218627655 +1065498676 +1658798467 +1024537568 +1273470071 +640196958 +1376544803 +629866646 +1557487725 +1303102098 +272940672 +1901048599 +2016693559 +693092617 +657495094 +1370133191 +1979242254 +2141243616 +1788862326 +621302892 +994151719 +753527976 +839074794 +86340662 +1630580654 +72199123 +96223587 +1788764457 +2091691781 +1573906058 +1863895122 +162835788 +491921087 +1375209941 +1187373357 +1765391158 +2015406900 +416434512 +247774157 +1425410977 +1719536610 +520714829 +1178975928 +1588746521 +1213807446 +1836471022 +811396064 +1045566052 +1830230990 +452774742 +1666868945 +676899062 +1206302718 +358460091 +763239724 +689399724 +430659215 +859463311 +330680533 +374867348 +285885722 +47092008 +537703136 +777806809 +1422301949 +1725076493 +395714319 +1290225201 +2141511005 +643488476 +568152530 +1713563967 +1164203305 +1747128459 +1154826840 +230527103 +1436115833 +1966222905 +1276093156 +1118863176 +271513999 +795478453 +1795762238 +1477816718 +1153938544 +411518314 +19732794 +1584597759 +1270981625 +350413328 +1959465107 +1556867347 +397505336 +349684596 +187190508 +1819807285 +2074761089 +582904828 +962548839 +2068788447 +1226393304 +1530701369 +1634868766 +243112962 +1130346180 +642211959 +473640065 +418978366 +460951216 +1749733221 +1537841542 +732465215 +397728026 +1186120132 +62798285 +1551666571 +1597638446 +82531080 +988780682 +721136423 +432944408 +800762142 +130520123 +830449744 +1150446738 +317710631 +502773381 +1077724179 +900615459 +1465322220 +999028978 +2127008764 +848539942 +486414097 +222638078 +1978886122 +1128626056 +696278143 +250380840 +1589577272 +298527717 +1788222382 +174558839 +696255743 +826858866 +237357125 +100438666 +277013664 +319888205 +1089219349 +998150088 +752832613 +1889981491 +1128670211 +1583282357 +892944581 +1446380842 +2086055738 +1970668760 +199512654 +1403894311 +822214091 +179037770 +104950605 +1308628188 +401675848 +2083836727 +289770596 +1097953991 +186733920 +1879347868 +1396481708 +1974956302 +2053906707 +2092737452 +654331521 +143780184 +45692470 +931345185 +463668389 +1134911819 +1929495273 +1216501002 +877409662 +910681836 +652299711 +1770354243 +209579031 +590871802 +1593539356 +409091685 +1994766113 +268269799 +588129455 +2099716718 +1576897987 +989805303 +2036069797 +1866668583 +2087759294 +75320069 +1598532803 +1336757355 +2050276372 +1504955862 +1282011159 +557124245 +1648736047 +1327703629 +1488469430 +2112404436 +315131801 +1270481056 +1181421791 +1192541463 +33679244 +1833721502 +815412059 +243258275 +277109656 +261467767 +652349960 +124392121 +529737566 +1240479415 +76625191 +2106635553 +82801070 +2112694989 +1825820488 +23076717 +40531410 +1276869643 +1359834072 +2090807782 +634341857 +494361583 +500448379 +135594256 +1822065212 +1988917810 +100515045 +2137197013 +1111915218 +1281936836 +1182254829 +1145594462 +968174690 +1997666888 +1388852738 +1245284347 +111651007 +2041202698 +1369676468 +641388573 +1134198466 +1446301660 +600540478 +1216999536 +1411513001 +278877318 +1240076253 +1452044411 +1555746961 +452426677 +1395368546 +42605170 +946788260 +1895816925 +178199427 +621369825 +1737251087 +278714472 +611083190 +701682657 +1560651308 +1793338019 +1847277120 +381342350 +1643521259 +1088646210 +1626626697 +1755172266 +982365260 +848819518 +249077191 +2116563726 +147637530 +849617669 +1186079615 +1559150531 +1128494987 +278672220 +863711294 +536758300 +731098898 +111596192 +579363471 +1677887158 +2007413118 +757562898 +151773335 +1597180557 +1036277370 +762856526 +151379567 +449445030 +408710897 +1998656687 +830787380 +2052232157 +939819249 +309930430 +1659920775 +1922184509 +1158749948 +1908997967 +1891264588 +1306387478 +611131988 +929860555 +718054361 +1739626976 +1208532775 +1581765655 +128901628 +1939631673 +1693361848 +708265099 +1470035184 +1553291318 +1465827997 +1621808519 +1002988227 +354621719 +237181397 +1154367794 +804066749 +645892295 +1005540833 +1634854130 +550640804 +1945360082 +1944784560 +63077931 +1720060944 +956050860 +1972075898 +1463841884 +114954690 +435724239 +246218791 +833009051 +27867567 +1454751566 +267291058 +156769195 +1246899592 +1960652906 +865034295 +569451128 +1366460576 +183378644 +43775999 +221965156 +538000364 +280957397 +1376332950 +1342067113 +926849692 +234390136 +829437595 +1477490496 +32266570 +626738507 +1540568427 +1752327514 +1582789367 +1365160678 +1068685750 +1697744057 +1800884917 +1314904541 +383269460 +1828752484 +622172460 +650560519 +1985521679 +1869072052 +463729777 +703072326 +291039532 +1830190354 +886450971 +334815531 +2052155510 +1424451335 +615772928 +1281004812 +619034800 +1542622620 +1515394948 +1448472396 +872629468 +1547661519 +2075210903 +265714248 +1152505385 +1510516623 +1630874926 +73707488 +1060777032 +1284276195 +1388612029 +1444046493 +965545031 +2010784489 +2094607012 +803583062 +1732372893 +410853141 +1506655389 +2023412425 +93559847 +245622712 +210744309 +2145715357 +1670074047 +826517237 +1279236522 +141625199 +221656210 +647147822 +1590097595 +1094285678 +47325693 +1517824851 +1359999926 +1199831079 +880857826 +843391204 +1273538567 +1941634858 +2127667399 +514666948 +1238197703 +945728782 +377967790 +1185321067 +1749311845 +2110340683 +1596174209 +1108483586 +1986269461 +1689734056 +1354106298 +49530122 +1687965766 +876696697 +876047359 +819718640 +1018321896 +1097703569 +1466866462 +460935844 +44505600 +1514192156 +1978760695 +1404505526 +566539587 +712134873 +100413083 +1840078154 +506286083 +80596834 +207261454 +1744483787 +1026325617 +585229244 +782321206 +628153814 +548086280 +231011767 +1736637400 +386872093 +1920745824 +943260050 +436402215 +1461227942 +1819956747 +1312449574 +133462934 +690794995 +262669496 +1600329396 +1151730839 +307175096 +967037904 +983007886 +1711680622 +1533577491 +1695142759 +1812093705 +1226171997 +53945195 +1892690540 +1433433452 +1798428982 +771532509 +2018662696 +433266540 +1399686323 +419265328 +664278308 +988840075 +806137421 +437540484 +1932100125 +1242539636 +1898768426 +1604573224 +407505563 +2032231360 +147884571 +670175059 +1485077108 +1299615411 +977350155 +304631365 +135139649 +541547129 +1838208856 +1830282409 +206157187 +916897206 +1884227604 +2098847727 +202847010 +1535172938 +722896588 +74026058 +1968439478 +2122582911 +493291387 +485234138 +963939338 +1299428808 +922774622 +748555815 +394484797 +674059400 +205645391 +801990360 +558807112 +353529962 +1472165419 +2043884221 +1653145373 +302031926 +201031938 +1788285023 +843579055 +2039240794 +1471083784 +1049736242 +808654352 +1207827740 +1001100321 +1011501362 +595517030 +1723996909 +1085527421 +416472860 +1699096172 +1578818808 +901706999 +515551862 +730763968 +1824481621 +1264107677 +1125248765 +351057374 +1469753068 +1927239125 +909864486 +1823283031 +1251920896 +806265059 +1328944756 +1553952822 +1007296997 +969746131 +250048230 +899054144 +293346267 +1299784472 +1707708496 +1501174007 +153401146 +571726211 +2096691037 +1877398055 +1657253632 +365680250 +1429010580 +1088588792 +1267387249 +1944562442 +1819352760 +944385222 +1061186472 +797117878 +1295442596 +383455892 +576873355 +57823435 +59255275 +1828794252 +864088494 +1388200032 +1235263426 +1871385492 +210462515 +1485311656 +622955988 +503808783 +637612481 +183180836 +2004982790 +791013627 +754907047 +1954190180 +520928034 +264677031 +172386782 +1949938614 +1353265823 +1439774031 +1747017409 +1025134936 +236675605 +660720233 +1822252814 +1532118202 +1044176125 +251642521 +1589941637 +1103431401 +2080436773 +306546483 +344147785 +1168216552 +30448327 +554610300 +506044560 +653404315 +1058419083 +1143657041 +836585152 +915918226 +1934670668 +1591492199 +722624758 +308115055 +1856169231 +895011540 +110570021 +1061951406 +187301923 +1857587430 +2087086342 +423977528 +370824015 +1761855508 +1956095730 +1415000141 +2013498030 +1398553719 +370947894 +1946451155 +1705100203 +715095679 +967184059 +1735548530 +1269705979 +1473228620 +241469198 +180641415 +469402013 +1078054350 +1096559641 +256589034 +522062901 +1819184399 +564704089 +230748484 +566712291 +675274110 +1292699891 +754014214 +385377893 +1232302585 +1177991742 +756201908 +846674446 +986603825 +23718401 +712688828 +237673896 +394666295 +511656335 +1942774099 +1109761974 +1478840395 +1530838982 +231984306 +804585367 +1772308180 +412625721 +1273987380 +702878882 +1509185362 +1530576414 +1224941783 +1180886113 +2095280503 +1455690268 +1747598404 +623070966 +600906511 +354128970 +1008448859 +1833209096 +1532120712 +1764650767 +532399894 +371240889 +1788369169 +1245088722 +608914786 +35551816 +1756745058 +404205237 +1145313791 +1088101805 +1935044219 +1377298097 +1892687172 +1559868751 +1789923818 +1019190904 +115263985 +1151625532 +402283671 +1340205769 +185027997 +350080526 +648412389 +1932626401 +973151492 +1249318900 +139271723 +1981600351 +935044348 +1671392435 +1598767471 +1467444243 +2042633325 +1239652992 +565049317 +504064463 +1275204808 +174310727 +908269700 +273034951 +1262412532 +695830272 +1650333048 +1007616056 +108215375 +1292773218 +2026806961 +223479361 +296915102 +281606984 +1563685130 +481943099 +631687510 +64613871 +267085852 +1604839003 +1313932771 +406357575 +1438955706 +101493471 +2077750011 +890239529 +1568937714 +1972899688 +2129892521 +2133987032 +329480503 +1257613682 +160814111 +1237750203 +1530648633 +1423226644 +1933580475 +1033498034 +283359052 +2041795851 +178787604 +162682365 +117791564 +475702707 +444289349 +1681476694 +957645806 +1075976860 +1746090565 +1224731659 +533332215 +912539688 +1631089234 +1972287921 +1014033159 +1561355597 +715043803 +435487226 +1386771637 +697452676 +421990610 +1716252140 +1955066358 +582804721 +806518696 +1338231344 +2006031365 +592615523 +224245730 +141906770 +486927726 +403033334 +304589135 +604719290 +878736041 +748878485 +138712336 +1836381848 +1824855345 +1884802901 +913629859 +210703912 +649858941 +397235445 +35508185 +1663892101 +1958591043 +750551988 +2099379327 +1197879032 +1448004665 +373886289 +766647525 +1255587375 +956691010 +1573166221 +446335071 +815238728 +18298096 +670580801 +957145498 +505225823 +1073614136 +1261734633 +1109945113 +1952350177 +2010613118 +1248657450 +1641248377 +1687984815 +985976703 +407394588 +1898688727 +1635835645 +804630034 +1934196913 +1152244098 +615737429 +537265253 +1104139777 +1813616461 +1985269918 +1478026066 +432780338 +1093373646 +287233428 +2005946559 +1539708717 +1102472156 +2024244656 +62805871 +2059617654 +381986831 +1136420007 +1173868640 +1491931944 +941286536 +1036998110 +593105746 +435051266 +577499278 +1579082450 +842445854 +328704357 +1067434447 +1647075888 +115417622 +72194897 +115329669 +652682876 +1176334674 +1928946131 +490469146 +506877092 +214242821 +1583842792 +794110520 +72705733 +976067862 +1896582677 +2096950389 +1038873733 +1808716683 +331453572 +27810092 +835101675 +1823385516 +969096628 +1872099786 +269007615 +1404147894 +302115416 +1848090065 +99110101 +630819773 +768040864 +1746185989 +746237396 +840235761 +1861515659 +1398920272 +2016570435 +1642978142 +1889389418 +375963879 +1857220963 +1325748563 +1170074399 +1929926696 +154332777 +919173428 +1879393437 +1193206510 +580406464 +63363361 +1221016602 +1415508139 +1886748878 +42629582 +1140124277 +8272845 +1446777477 +1442239693 +1856362910 +1545887578 +2073059467 +476920126 +1144589919 +671813215 +1317155887 +858621930 +2070733487 +1186242674 +354116424 +1812639257 +1562206553 +63853740 +990904172 +584797304 +1993780436 +1145236949 +1503970733 +1725690226 +190959811 +2084377197 +1789053587 +1411976413 +1352401688 +1528318817 +1454605996 +345042318 +1536591662 +753899825 +1787282011 +1245470924 +152303755 +1712857830 +1722391050 +1296893674 +237187397 +892063289 +8031957 +160437236 +2078305963 +362148381 +1973076494 +1493028868 +426002121 +816497018 +2077826173 +272298910 +1961733968 +1434313258 +1997989136 +5210131 +1371206807 +1639559075 +1417186545 +576124847 +1020394245 +724308893 +921167165 +409502259 +1478208718 +560965529 +1654973184 +1630512473 +126339711 +1229880586 +779922499 +363527109 +2121943876 +787954456 +523964345 +2052766191 +1150102838 +349557191 +1398311412 +1576104959 +1166054210 +1328653937 +1848403869 +980304530 +615483547 +1698909357 +985514661 +1986690354 +1190984785 +255217558 +415331553 +63895382 +979526451 +1336498719 +473397641 +310251521 +1897464248 +2128370825 +1940763994 +2023803959 +1210767764 +573202846 +239847420 +1185227992 +1361157302 +763811766 +1090510535 +363776492 +1113368957 +341338299 +1939881452 +131939519 +1669992236 +1640801673 +1112244049 +137992135 +1192227383 +2097758711 +2124682489 +235728520 +205492621 +392530395 +299623902 +1185019073 +1729029114 +773021543 +1495270594 +1479009714 +753908721 +1288550941 +1355330025 +1964676485 +1861753787 +1595177446 +1002420829 +1075427441 +211505564 +2092931364 +1439203934 +1324874521 +286786016 +1231601738 +1456814041 +1956778252 +724919763 +421574442 +2094770388 +1917147146 +371849505 +2071969229 +5392018 +577342127 +317015976 +305015920 +1762361200 +2046045090 +1078037464 +1110148146 +1377571156 +1831946185 +251215439 +585417534 +1649139022 +2112969226 +33111332 +504076203 +1040913020 +244616896 +449523919 +332633306 +1569491417 +736309935 +1564235044 +878821810 +545604540 +141671159 +1300396253 +492891280 +2058818306 +1672245758 +417376861 +2064210324 +102104237 +734392838 +221742597 +1864465437 +632954280 +1299780061 +827129936 +2010525437 +984242598 +1078345375 +448459323 +485897972 +1043830954 +481570655 +989974175 +2084743974 +726187551 +1439498094 +269893632 +148195320 +28324382 +1834128676 +1027017131 +573928922 +1975799835 +179929736 +1066820202 +1887134493 +1852175494 +1484197063 +1803861170 +1954279732 +71106253 +2025603767 +1671261521 +704060534 +1177900180 +350907809 +567102323 +14659130 +1429253185 +1015561646 +500557102 +325600491 +1497132301 +1490531277 +262860817 +75836204 +782545723 +532754449 +224031524 +810870105 +219399477 +1251048655 +1384799027 +47715664 +1430978391 +304135581 +1934850158 +1135670238 +1788332645 +1591227680 +942466322 +1859438898 +1469347799 +466244195 +416015784 +499764331 +817152005 +983118107 +514423461 +98921542 +1998679753 +1014980563 +424522033 +1348328406 +358028192 +687382850 +1424164610 +1140573915 +1220137299 +1648196135 +1951444021 +1439536776 +751761142 +1188759400 +1487252440 +35255886 +1492894982 +1274618950 +1170926124 +1133743979 +718362982 +2113392446 +845699229 +40227133 +432152993 +1261715014 +539991464 +1249304998 +97349473 +1054414925 +1348226540 +2096029227 +2069395488 +1772748573 +1296873985 +279940032 +312647775 +573554948 +1420513948 +1532785074 +74267435 +1224474321 +824838202 +826028577 +265750073 +164606995 +861284463 +1758645055 +1439225945 +2032210587 +744905386 +10105280 +1998119385 +1590604616 +50332413 +282788731 +704835982 +590323878 +1532093729 +802185455 +1644738803 +732836622 +750731034 +1566650644 +358101547 +2047605020 +1846590676 +670749323 +473676320 +1119620976 +56050749 +547943755 +196611649 +880888952 +1373972332 +462361723 +1045495947 +87773148 +73523130 +337238244 +2119983735 +818428517 +347343524 +1970619473 +261549485 +397675938 +105924556 +966385467 +987999816 +1638018285 +1768570922 +485254971 +223371259 +371818309 +2051905615 +581472807 +271939681 +1751012644 +1252222130 +745616001 +723149972 +1308272879 +1293559756 +919761622 +41678183 +520048440 +1382123345 +1087174130 +607821588 +1455646475 +1424412375 +580321676 +126591344 +1771755899 +403457501 +388140829 +21948189 +509382057 +1354526296 +1009948005 +2147400342 +975613571 +1495202977 +223287954 +1347431880 +1399624944 +804760761 +1619371561 +1003153940 +2056982891 +217503914 +1726303913 +1217772122 +1511063670 +498581887 +1259450306 +2031112110 +1880705232 +199140788 +491450051 +1188868059 +1623553163 +1071771727 +1315459404 +1247825415 +1475229228 +1703600233 +1269773604 +1984611285 +910642882 +132237962 +1984527979 +1886256453 +1627440939 +60332285 +1086204685 +879582235 +865093046 +558092598 +1882736176 +774592289 +775596512 +1461556441 +1992364412 +139176534 +1960138328 +1104331070 +22804996 +1693359912 +1303471858 +514255047 +734744323 +779541374 +1586026774 +2050203727 +2027366789 +913772354 +1606320313 +1149656745 +750899991 +369479547 +1281894707 +587944323 +108252352 +761851998 +648276608 +1194457037 +1641434234 +1513369655 +1752549635 +1376686762 +140478296 +380662499 +690759555 +2132842708 +519839033 +503414235 +1089690130 +542644029 +49290499 +245678341 +1056899077 +784034822 +1025219715 +495442203 +686754902 +905102856 +1409214558 +145591567 +2054759601 +12630901 +515071114 +1189170661 +600575224 +623323466 +1951022659 +1248851833 +1817780503 +1444973245 +614737840 +1422846490 +674176359 +755216136 +1803508989 +1364935914 +740575197 +175864374 +1868350149 +1830265327 +718508403 +1917640648 +2075943668 +1775407480 +554191823 +953679735 +123366036 +1240946725 +1858782591 +1532580594 +1386538292 +1766058545 +1545211495 +1901609406 +807745558 +2145786720 +377449224 +611284569 +1247154905 +47746079 +2056257815 +1861892745 +1470592569 +582950526 +469625233 +1126617910 +1947886441 +1210200430 +1302482284 +1668752942 +892982110 +2020990687 +1438909943 +821442130 +1648914520 +1993101766 +1775121866 +1772280556 +1086564843 +1486420809 +1157377502 +325619487 +1104995706 +555105349 +79745245 +1912741264 +553408421 +457194469 +376542186 +1800563326 +504940548 +285316353 +1514972423 +1975533117 +868266879 +1984597657 +954667379 +668669672 +1047314439 +109666015 +189938967 +1940296549 +2130656702 +1628848910 +614255032 +1632087574 +1474467028 +241893250 +1256884482 +413548223 +1728314059 +266778336 +739167710 +685826118 +821883686 +818912955 +451083734 +1375292107 +1276107424 +827625920 +1028371786 +1781047972 +1112942273 +395860561 +1609097441 +1981209153 +232974570 +416281172 +502395177 +1280289010 +525947187 +692334144 +1073101911 +509120241 +173699406 +1687356943 +2141207816 +1648166434 +1929250193 +1250608650 +2061714657 +1510080605 +1517386987 +653398719 +48423075 +191787025 +1472311674 +499506809 +1567079132 +600935450 +1327132730 +447967270 +234499774 +292591355 +843827832 +1843597215 +126316860 +1076802402 +112394739 +628712038 +209607764 +638341926 +1321046182 +1282709676 +1147462168 +1494745589 +822582971 +1141186336 +995428375 +604349517 +244311338 +909659385 +2114430122 +1761698325 +1563058104 +15369549 +1953485350 +887886131 +514876358 +1373080835 +1488821581 +1842009088 +1821048105 +1723321356 +2134600444 +517392289 +1419434923 +113433656 +1594194692 +1531829663 +742145694 +1803802456 +22687941 +2063191877 +939028484 +1170150109 +1410453818 +1761611456 +163852797 +258398545 +218477325 +408164136 +1168057930 +185423799 +22378813 +583632387 +200793348 +1975864164 +1471518518 +715669706 +1201461351 +812856451 +410195147 +875025808 +388694159 +397311943 +1392418098 +1808129083 +510745599 +839129142 +1192475098 +1252891294 +495447950 +1215163039 +1168599523 +1434476435 +237829501 +431569693 +1048604243 +401682298 +689968238 +1267081568 +809846434 +1858026169 +1452505367 +832225248 +294174908 +1653298715 +660605764 +1765693426 +221484773 +1862067115 +431066229 +631679920 +589609275 +819760389 +1028991863 +1982027373 +480405824 +1539737463 +673672867 +1672880922 +645145109 +1169120818 +740560313 +1813744632 +456113605 +978389814 +97830677 +1504717848 +1380072113 +787798915 +624315768 +42434899 +498341436 +2076821135 +874660147 +792516344 +1582636202 +1535265911 +410726122 +1804120975 +1249849378 +841792352 +288317248 +1839458654 +1661552741 +1317309111 +1674002379 +2141958565 +709562926 +200191599 +1667355839 +1354708035 +1369312417 +260432504 +1020969019 +1825426022 +1238822319 +1118799696 +1182660222 +471410784 +1906598612 +1806975990 +513845683 +257456400 +1736313477 +1388505831 +1049972745 +1171466031 +776288094 +1460698867 +828103358 +2026137473 +155007571 +1116420606 +1718112479 +1816560312 +286246070 +1244631210 +1811035229 +995808996 +1444822809 +1330907420 +203033384 +666651578 +1591339925 +1224002403 +344593952 +682678596 +195318452 +1527254174 +1154089380 +2101917064 +1186746516 +1667935063 +211889816 +775576345 +908957246 +1261862561 +1947042376 +1685245341 +575077781 +627662087 +1563899166 +730085352 +1744082693 +1134527997 +399162017 +2030328763 +231675559 +62713598 +878654112 +1676498369 +1393621019 +1081687496 +195666299 +837477296 +158206251 +540260252 +1520155892 +353524703 +2067514426 +526761624 +307958119 +1106777295 +47213039 +519847936 +1882353640 +956170286 +1781710497 +1681912369 +493931979 +209304630 +162090808 +2057831145 +939389983 +1906173501 +1044875494 +1338552000 +1789018617 +1276551053 +1401265598 +520189081 +805565774 +647402969 +1601876577 +1001232074 +1484880265 +1760082828 +1541492326 +857552509 +2113607532 +1461523104 +1384314133 +274082003 +420816751 +1431527173 +793929939 +155686744 +240213811 +428156789 +1837599113 +734145790 +637461419 +1999689921 +644493287 +1576851402 +1758379774 +1689368781 +767919754 +1399914743 +818436186 +21701705 +1920103824 +1624001961 +669104674 +1374496753 +477750387 +6501292 +987095934 +2019242713 +864053801 +953219818 +1333282169 +100884287 +1227301821 +1754098921 +1532411460 +2021231761 +1909785665 +1772625271 +301904902 +1599901130 +359287413 +939366321 +1452107403 +1003780700 +368734076 +1063003529 +545665833 +1136653830 +315434625 +1364102019 +1158355535 +88054801 +840620332 +1827460210 +1462551555 +1318370719 +1833961502 +302163841 +1190129784 +550531655 +1255383659 +375928306 +651415942 +335201832 +2130027227 +36343754 +208949945 +1892329244 +1808969025 +510854847 +1344746726 +20772790 +1450221169 +649370481 +1024553490 +1818955245 +1712374010 +1570219323 +808125427 +2027808635 +786837695 +1966480963 +2115863437 +1627458027 +1646457525 +1430931344 +798345099 +1332935379 +1733095185 +1988474883 +1883467034 +840995196 +216919541 +387399329 +1176197028 +199463120 +423743083 +1385146974 +2091792364 +85228461 +1896001821 +1289055442 +106001251 +1198739342 +1938425923 +1130554742 +870210939 +1503316286 +553290417 +1678336367 +1383641273 +1340128112 +1497333682 +1352021062 +820102492 +996307559 +635468758 +1618447591 +181759290 +221080295 +1459438826 +2065226324 +1062075491 +1676358368 +305142005 +90788872 +1875821488 +728885089 +1475935846 +1820130205 +814113550 +1224454019 +961701999 +920114801 +275709714 +752644275 +2050669543 +1145920653 +108476913 +456476313 +676773372 +1492118186 +1796604425 +26623406 +696655601 +469223269 +1022930965 +1332124359 +2087670860 +1204690255 +1553204655 +1399626039 +1122432932 +467796498 +928500759 +1427574937 +558585370 +656838599 +8976378 +2034521216 +329485156 +823089928 +1111491588 +1291187156 +1743204730 +1387201302 +2043831431 +1646390625 +385638307 +4824696 +2102866938 +1062411680 +1496942882 +1751987716 +1089035086 +46114835 +73727337 +2111966052 +1378239195 +13914550 +1169172659 +783960202 +1413540589 +144121943 +1251756700 +194557700 +1571696881 +1810342071 +851396299 +1580673259 +1697379639 +1180881456 +256279540 +661387579 +324584964 +1999484270 +2048588881 +220932747 +1498391247 +286743541 +225757443 +1453774538 +1349155221 +1722700325 +1058278606 +290706659 +1768815161 +1132005943 +255189063 +999570708 +1145920493 +1424361723 +1783530910 +411977434 +1568483666 +887803962 +606535134 +992696899 +550662385 +1457931434 +425886511 +100558377 +491329242 +682166051 +761945956 +815914206 +534166673 +663051190 +1036846953 +2032557920 +949794731 +1262604396 +1338848810 +151466304 +837821073 +249643768 +442172963 +459152586 +1381649712 +697362027 +1458723294 +380086557 +2121723750 +1094770556 +792063992 +1542723768 +1982574519 +1398599126 +387937020 +385753256 +709046912 +813823531 +486311633 +1200376154 +1495989582 +1248257590 +2016290360 +2030156255 +1911308780 +905653665 +1915230527 +713619863 +20774413 +1106595690 +865086167 +858595487 +1356239458 +1307259130 +1317748073 +590405522 +2004621157 +628987720 +970492080 +1978861259 +1723758276 +1762556072 +1374101380 +1558849147 +1013671550 +1762038400 +1944602404 +1722718463 +428378283 +283430389 +775610969 +1924367865 +1531687979 +644417682 +1807040472 +1295513111 +1550071347 +1574787351 +2009132974 +1570845761 +533899393 +726735493 +281957600 +1890138852 +2033994624 +1599705673 +333060726 +1891132133 +81209745 +1303552806 +1722509745 +1804968022 +918625230 +949127477 +1216333521 +1932296781 +563682229 +1013452277 +1507531596 +992060512 +1296882667 +135658917 +768944729 +681086998 +780076599 +428501553 +1976600110 +182664299 +2003288904 +1838249436 +1753510060 +389704650 +417501282 +2035467660 +132359854 +304012258 +1487689685 +465420580 +47660743 +1568899431 +1768973387 +1770170488 +1226383805 +540114969 +571814317 +295233678 +324928102 +1135496546 +1308685956 +1832459698 +2127557058 +458084975 +1968118616 +749018139 +1139171973 +600711567 +1177519692 +968288435 +783375866 +1033324949 +659054224 +389402278 +1423029599 +1076555506 +277386290 +1555389453 +1380567764 +1765075976 +2020810033 +1428228507 +1186491759 +1642299772 +1050915348 +265391916 +34931094 +1622729665 +560625594 +359859196 +610742564 +1869311550 +44835247 +590815974 +179912877 +2012953863 +1339834114 +1319084851 +466181782 +369870158 +139889638 +1249557649 +1403195107 +798943862 +1638959927 +678741058 +1875499368 +1916346218 +86646863 +1108583484 +1533938546 +2107456897 +389328344 +572946657 +1602273021 +1440243692 +838338573 +1637204115 +915489709 +1398964167 +1997063312 +1526232273 +1120792070 +2041898559 +2117048248 +1300704947 +1907368774 +1309398714 +472306150 +226066908 +1679268872 +612195789 +1475624557 +934980332 +1411139651 +967100837 +1613721390 +1139155372 +735963407 +1700368254 +100255208 +122418305 +1660341503 +489583552 +695364962 +1115130876 +1929827244 +1533703535 +604851344 +697833306 +785184054 +454431008 +76581931 +1905976124 +348845919 +46146531 +1059197424 +108731045 +1355545245 +1531503574 +334797953 +887330470 +2143699363 +1810422511 +1822310802 +1407355367 +630039700 +1288548544 +399027091 +1366003107 +841433150 +499282299 +1488421412 +354291005 +988865852 +36302726 +1469421882 +771209448 +1570006261 +2074273226 +1469042754 +207706667 +381220586 +1545624686 +2113682792 +730066505 +1591771217 +1025396568 +838797550 +799832815 +409416494 +1173595503 +1687163285 +405632210 +836534366 +1361990439 +1812987577 +1466574066 +503055335 +64531020 +685093525 +1344488486 +563813319 +26031289 +1698779491 +1552679171 +62334015 +1020717725 +176404972 +1632340276 +947507303 +1645447726 +1840046944 +1328727889 +1043588764 +1806246088 +2058794394 +487876334 +684159008 +750108296 +1287709149 +1093575502 +1923703800 +827388786 +1499207712 +612754518 +41895577 +1164711641 +2079328585 +544950912 +1229242661 +616938462 +1889439398 +1793055981 +642969752 +1440735242 +1198251504 +705303767 +313969319 +1374656476 +190160396 +1261476623 +872620555 +2030207340 +442720864 +1916209319 +1688969780 +354031611 +256602005 +225645140 +1104139907 +1544311154 +1319220642 +880360059 +224216292 +670944707 +1493114578 +266111869 +1835656348 +1424959515 +811062782 +917415362 +2041897977 +553018532 +562987695 +537384081 +1993753774 +1761239199 +1242687849 +160239446 +988412028 +1432848245 +1421716069 +1861032583 +1315571937 +1864436933 +1629758254 +857058069 +70984896 +1886360260 +1082703209 +1175124804 +1283187766 +254440203 +2055484863 +1507404059 +925384910 +1401115793 +1773515928 +613557611 +678591660 +437095062 +1530972973 +573005990 +990113595 +2093960668 +1110390071 +836383721 +1707716219 +205594272 +996623167 +548644599 +1638442517 +270855588 +262193534 +806530806 +2135292522 +1891951789 +1663588875 +58793770 +1630828401 +598808436 +1233918574 +766532519 +853248640 +1141919790 +126452930 +1778633550 +395551935 +1899968859 +244707513 +1074143596 +189580273 +1775680486 +1647149586 +1179693868 +1722157506 +610056009 +2016077590 +1282390078 +815650282 +865217109 +1831034677 +306609151 +1136072698 +2093228212 +1113139958 +1123881572 +1837696353 +629245185 +1182675342 +1321041106 +1228053622 +269110269 +2087573625 +2081302262 +1411030059 +66542908 +1712452164 +1806581994 +1966511767 +1957159678 +733241942 +8608392 +1585356516 +232907880 +1188302261 +1160030375 +842963890 +1056896203 +294936805 +1658614172 +1922113312 +2125971482 +1965223323 +910702362 +2071716046 +930879633 +2034583934 +1761928751 +1560124819 +1069775629 +935486209 +640694793 +1338885898 +875576187 +574513407 +602432309 +942119095 +139481923 +261530655 +761147214 +2096641601 +994772598 +769755606 +1534514470 +1227680478 +1958057867 +547061197 +2070644368 +867470422 +841998002 +1581774892 +642100087 +820485836 +1399514568 +1552802449 +744718235 +182910553 +1439902736 +359163338 +1743035372 +362194717 +1294649548 +236246517 +1701080615 +22742087 +810759924 +156029276 +964861182 +950241848 +417559931 +1726008396 +899399801 +1412332529 +348280354 +286430623 +492529360 +158854574 +833491820 +415690080 +1026324996 +1675489822 +1997464973 +1668425083 +348492011 +1249495893 +1073743885 +1093210246 +1432406446 +366162973 +1452373584 +1027958171 +728357690 +599539484 +1264204688 +281954657 +622281571 +2074964613 +437983933 +1587142753 +877722813 +855543864 +1165667501 +1777122614 +120392746 +1513947856 +2063553238 +612922106 +1672802430 +749561410 +1028612186 +551643778 +277567585 +878593511 +72585214 +626059596 +2128089404 +1146329099 +1719269842 +1413012203 +1512492072 +1024159778 +293486726 +93366114 +1623699263 +1557691414 +375320771 +98497186 +1485172379 +813304704 +1685639940 +215411544 +1668848568 +703823793 +1992534159 +1789241314 +70288001 +1908603749 +254679772 +1743090431 +510681511 +1283291959 +147250562 +788249096 +14401822 +219835776 +1414308692 +2142491227 +1366164875 +986094886 +1408019782 +731173299 +2010254665 +1701506508 +824539413 +1486470280 +1111714274 +1199860184 +1584967466 +449403006 +2013164888 +1123123758 +664814550 +1534529808 +1826947552 +509865061 +1176287475 +1897235553 +270985162 +1430967247 +1492842337 +781666674 +566775558 +1640092899 +1569915770 +581177381 +1859928675 +836740815 +576184960 +1078609902 +1822835701 +1984204742 +1809783201 +1685606718 +1538227602 +486838966 +1024593350 +502458228 +1686699150 +462077169 +951861234 +1552380390 +1585200927 +1616675785 +939426550 +1264664831 +2126540846 +2115714025 +1014416737 +250042361 +1399197625 +359775426 +1031709035 +1965973183 +1999868325 +454141157 +399666916 +1712313352 +1290881972 +975851876 +643439606 +966234026 +812572970 +305739159 +504357096 +203316924 +792578125 +1528950447 +705775153 +331793627 +1991027616 +1657636387 +1884174017 +1428744895 +1126828524 +676116919 +545926079 +1105885723 +644347297 +1560342816 +1355928084 +2043544922 +1920118242 +240153471 +1862034457 +1772502919 +694294628 +114217726 +1337332623 +1985176601 +1090069602 +1980772229 +803926979 +1902642573 +139027740 +1308284075 +2105959497 +931605865 +689750874 +664251002 +1263399492 +533294842 +174403742 +1000089861 +1962039738 +1301232266 +1676206780 +360482169 +259634341 +173070429 +1920824985 +1615562425 +69131703 +1693459579 +1855715896 +1931166161 +1318478850 +402526877 +2045383887 +508327825 +240219830 +987969841 +341616406 +1044146809 +743128766 +480644146 +204947236 +701604616 +1412250011 +894698111 +1365855618 +528165855 +1427992953 +1540259360 +1528255716 +1242549043 +694007979 +1056978848 +1603031212 +953642320 +1230049278 +1376372549 +421721098 +1299180981 +922348480 +129953346 +1082863494 +93343682 +532480223 +980763733 +601671507 +772700053 +1968733575 +943287913 +1816846862 +564378693 +1423932059 +2021794099 +1265983309 +688698422 +769008562 +484355280 +1216864277 +49517867 +2024614640 +597636345 +1292066911 +571138971 +1654615194 +747614475 +1524781292 +737180824 +2123987025 +1946502390 +2036361805 +898851857 +2076455736 +971741652 +992195540 +461452312 +1952505385 +1593867047 +1234152365 +1773755312 +389671313 +903515580 +190650358 +1813603372 +777826031 +1456633667 +354818147 +1546834593 +1940988947 +1571682424 +1596352460 +1818119940 +21835122 +740935723 +241775263 +1676450316 +1488550199 +1766556555 +266147492 +1465053576 +1565575297 +155025649 +216421785 +1494547386 +1126767301 +1208617325 +1955999698 +931789039 +655000725 +1042668415 +558060703 +1044672038 +1946183995 +748711061 +710791762 +576526378 +57861081 +1065609909 +2123360971 +1998850028 +489808686 +1572229784 +1669486320 +511643808 +165681859 +1911261584 +40610476 +1654232058 +1530334491 +306757968 +971801986 +948426141 +461783617 +1188223772 +295489879 +1588550919 +249357449 +104005929 +372856310 +904358174 +1146674344 +930917013 +1949030212 +945374692 +1679628075 +512338327 +1521901070 +1737489156 +1577948236 +1497778394 +1588855536 +2067756922 +922524530 +1110858209 +431917082 +1088206389 +874636145 +472527558 +594954800 +257486988 +779285526 +1566756786 +1205913129 +1241069144 +607496910 +1501403008 +682136415 +856854360 +1605408937 +1054992725 +1761212534 +604599634 +1985909738 +1562759099 +1549974326 +1518054165 +2075097426 +924391748 +1108059673 +1505562014 +274686494 +549431562 +1425835289 +1197211024 +1660289771 +1857752371 +137933766 +387442268 +182796282 +732888566 +644929256 +962081808 +152161704 +1850842386 +55667304 +759658615 +1204761746 +737803719 +1616512975 +662687036 +1792796444 +1230241861 +1267286670 +1631222535 +645517312 +669777348 +1001793052 +573131090 +1594169096 +2109852726 +2078693105 +1868855591 +511800640 +1357044746 +918582967 +24606763 +1067313469 +1056516733 +412049031 +1250109751 +1789405299 +1056978287 +64707912 +1941567004 +760337025 +120375216 +553741971 +1965098772 +858178936 +22771298 +480302160 +503491732 +1253013159 +1747588830 +2134714267 +1898530472 +269882530 +989023672 +324177914 +1864051626 +951392750 +255387371 +1585423569 +1463193390 +1612432117 +356522889 +1487800153 +532261939 +1413039622 +1899849184 +1782371690 +1054961274 +809343823 +1847079602 +849044630 +1569680849 +1967454819 +1402786601 +1387295973 +678150107 +1425557899 +1867598133 +1181641839 +531087410 +1467703315 +1168872459 +282134234 +1737585845 +10412483 +606312149 +1454153823 +961805233 +861699520 +892093745 +277514975 +326647990 +1248616634 +1765315128 +858909929 +514172608 +1517680664 +493797971 +1569133882 +179540839 +193393926 +270694864 +1749221688 +13365097 +1673481465 +989034013 +691515204 +951555716 +709148498 +1873157043 +1482643127 +29368165 +894545854 +1764777361 +1766954010 +904958337 +223605862 +1073624186 +1866763570 +1085305383 +1965717931 +2144278545 +1411953373 +1066850917 +1762110025 +123379654 +1581023525 +1132307041 +617177625 +1002673760 +1311847881 +810571551 +1273368624 +913585921 +823936648 +799366442 +1902619935 +1515451852 +1750922158 +464284785 +1241125248 +1086081637 +493652951 +2135671102 +703375351 +113123313 +893145792 +926981213 +1186747499 +612425714 +2012286596 +1004981782 +609220612 +1276756321 +2071832699 +223846989 +1400135975 +1505372577 +1356154031 +2017313601 +360562689 +520518264 +680401504 +1633931313 +1434104185 +1504338153 +285814107 +1189240472 +872306357 +2036736266 +1653525258 +2113431605 +975334255 +2147178209 +2101619060 +1678709606 +112817874 +847281204 +458207172 +1299565374 +1459706918 +323010120 +157063508 +2068927530 +1599766442 +81412560 +145290872 +852418769 +1586785137 +1501444903 +722248722 +1947347826 +2021963167 +1402650227 +1433795491 +1308583704 +759504732 +1719609599 +350340529 +1631811089 +1608862217 +2003865787 +1597759047 +436712824 +2003560348 +1551894459 +2115422431 +2116378222 +251692015 +426145955 +1268459948 +1711398933 +749156075 +1425523457 +1632842816 +201438869 +1506936017 +1778133688 +1053857639 +946237506 +1132094943 +1776106361 +746101684 +1006574462 +1031272940 +32413527 +167674518 +1790777672 +1752023126 +518015047 +1275105114 +1213401695 +374397186 +725380513 +1650114520 +230473886 +129791324 +1618053303 +199368461 +381483339 +2044199258 +1467828409 +2092882272 +645871685 +745868218 +1578241440 +847310555 +105320587 +1208891480 +1901168194 +1051558093 +193502775 +1529790907 +1797659777 +1200077237 +413580200 +1830073305 +1367751756 +56874224 +1434612783 +1885766803 +1331979338 +500530831 +112680342 +2057359851 +3161703 +343154228 +39667527 +1621215006 +542522689 +421150866 +1517930616 +2010351099 +366549491 +16318653 +608735669 +1944790931 +863629208 +714056257 +1006198764 +617313754 +1765614350 +1199701539 +2147104662 +1415790480 +252295129 +413201214 +1098380137 +1620046885 +470075438 +385509272 +1358330040 +1802054777 +886040103 +1471010382 +1711930980 +889201806 +1814164611 +1751598508 +362933164 +209203652 +25265726 +1880863780 +72071103 +391815217 +1897182434 +680806773 +189122501 +613327994 +1394863030 +1195321265 +1230641749 +1012993732 +247539156 +1230262763 +281300564 +499834285 +1643463977 +1379680701 +2119881170 +2113539415 +1765189974 +1330727563 +1768110544 +503746429 +654254297 +1332557877 +1392948236 +320935260 +936672737 +1755881400 +530138913 +961938463 +1489261533 +602210016 +1353753681 +1238960319 +1283016789 +1542876182 +1852288313 +530396171 +590713799 +935446414 +1543389904 +838252955 +18225529 +1824690468 +1338087241 +1661689506 +1056887522 +1310484763 +1627745274 +674593848 +493728678 +1248372170 +1178340277 +1147982976 +433446399 +423804865 +1468918236 +1370119136 +32202618 +1999057149 +184573952 +1521464151 +453783518 +1538327633 +612940822 +1736800307 +933720167 +317745487 +119712831 +1524433966 +1253191902 +1663102735 +215203273 +1271417431 +1340309555 +1553290514 +785623290 +249713429 +716291630 +265884916 +924307277 +1210020308 +1514257086 +2102647555 +210519636 +1947703486 +378968772 +1679437873 +1170338974 +411171390 +1531011374 +1354912926 +1932635541 +1984794892 +745756911 +398092715 +1574111552 +1679477078 +715838203 +1693824383 +1056427396 +1969030105 +1209443470 +1271630670 +1092963888 +402269377 +677437536 +1878587178 +651982807 +1393729166 +2144472094 +1576290084 +456265827 +1511245533 +1531453991 +666785463 +1311465371 +1910422764 +198739688 +334320697 +174110506 +1729751063 +1689233624 +2106746048 +1567062307 +287506887 +357355115 +993690211 +1966983966 +1073193318 +540030946 +875927714 +894739775 +1749474416 +74736 +1987703664 +4260146 +677512273 +1718807194 +656242953 +2071241439 +1715795641 +85049389 +380023618 +1079557526 +1616503381 +1046809082 +243539249 +1379442497 +1245548770 +577859946 +1553553003 +827816185 +119609922 +1512815403 +247394845 +407116810 +1870170519 +1241085056 +226617128 +795880189 +1781116003 +1102544842 +1690619965 +1383106771 +1102619579 +1530839981 +1387366917 +1780131852 +1102163527 +2043609870 +1703889643 +670475520 +2128659260 +2083913262 +1750033046 +1597678993 +983238696 +1993572295 +829637842 +81303818 +423948594 +235707197 +909120004 +543558516 +1748522601 +1156514849 +950675326 +1471209472 +250116257 +1177292454 +119606013 +2031232260 +132353649 +1810225978 +1266855384 +1234973228 +1193582311 +506738653 +867621432 +148262191 +402864876 +424027427 +818737711 +384040488 +360457041 +421287110 +1981719481 +1343695737 +267375757 +663873675 +1424999556 +691324351 +899580872 +186635912 +1234882868 +500619825 +1343150761 +38074546 +1971829297 +1593267018 +1215367001 +2091435311 +1477015631 +1347720650 +1754177641 +596387367 +435210230 +800276305 +1103126020 +1302831662 +948538496 +1505990896 +1726859089 +1767276207 +1890031384 +2087316131 +41079669 +1724267217 +1283528220 +308455427 +240657244 +561044128 +999779778 +1140238117 +747680040 +87178998 +1640857942 +2090830801 +125253545 +1465203592 +1536614172 +1340620546 +1409155255 +866146155 +540857548 +1015849248 +1462533522 +976067778 +1816125553 +418175894 +131415792 +617180401 +1924166791 +1858274881 +236972961 +1666714527 +1798107364 +278052630 +1243498097 +934151937 +586508057 +1484155341 +1495196065 +1586287836 +476909810 +95392458 +1673466834 +2117767753 +38739611 +1798720379 +1435487697 +1575353783 +991857277 +697159304 +294016290 +1532714825 +1713008552 +1756549812 +361298955 +1381650458 +27242059 +492714747 +1998830859 +1951408850 +203505981 +88320172 +1470639729 +2001613345 +366372803 +566654178 +788281634 +952880860 +2050809520 +135994052 +391685048 +380235682 +231386510 +2065151883 +350519787 +270126121 +1716388614 +1786007484 +1845479905 +560762244 +335683140 +2139496195 +2093477069 +2048691693 +1748562360 +307292377 +1282858503 +1775804419 +800007124 +1134205714 +1579729621 +1003513105 +1222525887 +902885702 +857642803 +1588898690 +1469539881 +1645924437 +394295902 +1372865753 +1781918489 +785980951 +1753101435 +2013304999 +703649186 +2103621223 +135947473 +272554152 +1742145059 +1981427378 +833316396 +2077828200 +1973439925 +779309818 +1979036245 +1574518637 +1086602195 +1114411100 +1202839408 +1886609319 +101133166 +635085381 +742638777 +1323659053 +1537971084 +1600281580 +765074095 +860027317 +1098722369 +1159369998 +85409422 +733157211 +1945350949 +1838510857 +598978562 +501516487 +1794648432 +734926035 +774070639 +1389309844 +568869765 +1607387036 +1319654396 +394826043 +239213206 +1151206993 +1969344680 +1325815401 +118134445 +1024700441 +1064941072 +219267611 +1659785822 +1807579849 +1542926665 +1050273258 +1260377781 +160517112 +1910300575 +211616503 +1319887110 +1995709997 +944773714 +1117754411 +1686737207 +1543752276 +1619270898 +1333901991 +131194664 +245857890 +575728187 +700064429 +1853244926 +1895382583 +1094890472 +2092458132 +899105928 +916751505 +1270789885 +1017240373 +1941451946 +188247309 +1236507985 +1453754120 +1995827159 +631951002 +356543731 +1108721292 +792468114 +119360658 +1320337795 +2112355225 +2115070656 +117627861 +1082625988 +1654324215 +1661380138 +554413239 +840742558 +1792574802 +800271129 +1416470746 +345155583 +506032407 +1164369681 +1440046056 +451006891 +2063475610 +209313913 +1721796776 +933232335 +3282211 +1910044085 +22256672 +1457036331 +1758387596 +654207674 +1813580062 +719625241 +1446675789 +1932940721 +2039963036 +1411547366 +1900527729 +10107250 +346689706 +1407368296 +1671487388 +901102945 +100627206 +1316578542 +1701374074 +1517097952 +1661734125 +59922833 +533983986 +954296533 +510929724 +449975948 +1163610446 +85242852 +1383208283 +1166892657 +1995286938 +1405464956 +476445341 +1606190886 +2059672630 +142541755 +178332479 +1358864771 +2075482476 +70811868 +622928489 +1828526557 +80919118 +969618196 +1088411205 +1752406506 +1870721141 +1189038412 +921501400 +1424611568 +558652716 +435751877 +1484534401 +1092636702 +1390048411 +1995464126 +1542612650 +406175209 +2080706978 +778337286 +1573067867 +1928510268 +36318594 +2049513208 +1387217507 +2095991224 +44571315 +1565549986 +1307372348 +2120053792 +1636361854 +1930300837 +1801096701 +1717280972 +752435385 +742024259 +1322203830 +475672879 +1931062671 +96221582 +1900284447 +342231739 +531973460 +1237335200 +1434868442 +1922021871 +1085315678 +829997444 +180713432 +1018539009 +1608334730 +1753781299 +799565629 +1644653324 +1655810859 +39299488 +1593160901 +1700382175 +1604849475 +753049601 +1672952319 +1093727681 +535866790 +1326565372 +663525006 +1288302176 +2068589631 +1985728836 +1763975055 +1852168654 +2081950419 +1516775854 +46916746 +466440231 +606627406 +1481785188 +240978454 +1691943085 +164298984 +421691886 +562998446 +1772633715 +27989538 +1362564075 +1269803391 +1683800397 +1401863564 +715480644 +1236698924 +859229391 +1468530245 +762167595 +1952957072 +2004397036 +2088732968 +468998430 +1145215564 +2009838951 +307243619 +761706971 +1714523958 +241710390 +130999177 +1761440704 +708150621 +737626583 +1095742244 +949129075 +282086020 +1260041228 +1370820961 +845084466 +885191295 +1398810499 +60164894 +7511039 +935127249 +1462028458 +722991683 +24342525 +173774201 +44038281 +786510121 +2126731273 +2048435317 +727759441 +448246056 +1046167233 +590114744 +755489675 +1807874204 +157155054 +997200065 +1938873381 +1918595758 +1705350686 +529016316 +866854354 +506996113 +811102337 +2126895583 +1877817074 +1656186803 +864603230 +1129143926 +1716351697 +872114269 +2064271175 +1030896507 +1595105953 +2088613700 +1204670708 +1639144234 +727640173 +1183918334 +1540095903 +1455399614 +1632164390 +438779488 +2045514359 +240170417 +99170044 +55185765 +1237370482 +2038043425 +1973781524 +795237520 +419576093 +693152230 +1302233633 +1230678430 +672564165 +1032567059 +739381586 +1537167396 +14227337 +308249635 +261798017 +2078498512 +1339146143 +1856903970 +2019628565 +396333203 +1348564556 +599785090 +1580251537 +741176811 +2055184705 +1064932279 +1179956299 +1953215416 +1305102696 +1279126343 +2008401181 +394989530 +1169686120 +1834699057 +1190227050 +1589262214 +380367640 +344977035 +672456996 +1052931805 +1377544095 +1411838582 +442615553 +1391771432 +1720088218 +704413571 +1322786297 +911750713 +413833893 +1194931214 +1308083916 +1762398450 +1794716304 +740851806 +356091613 +1702417361 +1805784085 +1536047913 +1508149129 +963403134 +667690608 +1369066663 +1358392664 +1837376729 +1056282072 +401136067 +1279155295 +1436649712 +746113102 +1951612291 +342097870 +2123657197 +1215967226 +784713423 +1367944982 +788571796 +1489126994 +543247631 +1700322509 +1902960888 +1738178845 +860922777 +1517875690 +1385411501 +1601774583 +1873967303 +940345215 +1260075021 +1262531568 +301010696 +75994507 +1930222177 +1670077359 +1434387171 +1620115258 +578875784 +1835523238 +751786905 +2015525496 +434152693 +555915548 +210139718 +410326242 +1771882774 +994853142 +1778271224 +412970922 +336496488 +174035207 +2113293431 +91973728 +1912214052 +826732561 +1609849418 +1150141906 +281023496 +1336333074 +2090487121 +1541098517 +451380994 +244014169 +1617093024 +234119523 +1914091529 +903996548 +1854234781 +345483665 +592036138 +458538038 +213525513 +1026188831 +1014453587 +423665232 +1436515074 +638852713 +1418518374 +1067302650 +1051823636 +1755014862 +1241337858 +1017633419 +1846988591 +1006068262 +1844365980 +1309354361 +8726520 +2125389477 +498203787 +2099213641 +1519004346 +949584782 +195744163 +988613723 +1183704305 +2109835692 +1892610271 +890455439 +307835709 +337162761 +1348993477 +521361222 +1363351593 +215963416 +945026454 +652383019 +854816130 +216061180 +1719685669 +1906639766 +1971076043 +813539879 +776789537 +1670580986 +1819608142 +473671870 +832451699 +1828334662 +451577699 +1330655487 +1780064656 +1970582045 +132756621 +1975808819 +811712120 +1316460926 +1938160863 +556838743 +59432717 +98512924 +894001505 +1408426195 +619874146 +109869450 +1624389611 +1564900601 +762252469 +331722093 +1780961781 +334454490 +90878211 +1604554176 +1147994370 +867667749 +1127651514 +820118864 +1341339619 +1960103214 +500969878 +1792917318 +1143275053 +133550886 +1616015715 +1276031674 +2109359705 +280244188 +445008952 +1900036920 +837082931 +504441670 +1998549844 +1731084436 +1912867865 +470940343 +1840953886 +1389773828 +2035840944 +455722707 +1721495922 +1669319077 +790177198 +1812374133 +1126389606 +1938171568 +532558234 +106557472 +610806784 +1873897853 +2066660686 +1111776662 +1519331523 +1062452091 +1245327549 +987863591 +191000117 +1207203606 +1268107779 +636009070 +959756879 +2105190710 +1140450740 +810823075 +1688791499 +905834957 +1281763418 +1382261737 +148125137 +1170120714 +1837984445 +1869621059 +691956144 +480677995 +1534511545 +1818345750 +271365915 +2067069779 +1924903222 +882172699 +1793483985 +1844080261 +1993949361 +1165331860 +759048704 +1091793262 +5711803 +950048822 +151513221 +1273819582 +1586057892 +1111270100 +1231526645 +579024984 +1922093175 +772834496 +1484859941 +1056372946 +7612585 +1632985078 +79010012 +1845597030 +1355122490 +770966156 +178791377 +742150387 +441828258 +450157292 +661736518 +219247833 +1332329991 +307736855 +2063328094 +1178795705 +1473068716 +674893150 +123105319 +1478780519 +1624941972 +274618540 +605116454 +1063516216 +1385888640 +1836643099 +1642541200 +1160498168 +461993947 +979917493 +69387466 +469606532 +465418924 +148397478 +167719915 +1820541414 +919363635 +346511292 +415208153 +1361191893 +796668585 +1076944671 +1580439726 +2128998576 +1384681527 +1496284172 +1160310633 +710266595 +23693675 +1283415953 +41563466 +1648635647 +1558034493 +646679920 +564668216 +796439486 +335839371 +59725768 +1956937654 +797833318 +1039643262 +2026325120 +1267439851 +1505062186 +27238950 +1435159766 +1178119952 +946602585 +1781671058 +1593328105 +160310831 +430855995 +522789128 +1740750557 +412370924 +1907470655 +1089551082 +1572681557 +470253602 +1113244757 +708613862 +511817069 +614396756 +119164708 +1158496989 +1179064972 +915604194 +1494336361 +1238790741 +725058200 +144686031 +130950355 +603899672 +1412125882 +1636012541 +631138622 +699802000 +666648845 +1577741208 +333989411 +112493302 +1738052039 +764845406 +635282430 +1331318948 +1177216330 +395269438 +273386382 +602414240 +865523040 +1386631139 +1311028102 +1377340109 +2001027896 +1430192810 +388353451 +1032609220 +198313356 +1882689812 +123916313 +923371556 +2027375843 +254866668 +1527271228 +1292018078 +1890879209 +10926203 +1991820078 +410044406 +1588667411 +178325841 +522537708 +1179235802 +943171248 +1157820139 +363071102 +2120387578 +1553089577 +636457485 +575318170 +271128969 +2023088624 +1886346273 +1648469079 +1876632872 +1169055435 +2036822530 +761758445 +1367368792 +1772028694 +885674758 +143256700 +1651920889 +1140541427 +1670527929 +796455319 +883936988 +1681454132 +640791750 +1293981395 +1122637895 +819117591 +1816519103 +154390049 +1762288839 +826855594 +517461151 +1735192770 +232461523 +1153918636 +163027292 +503590493 +1029523613 +2049373565 +4575924 +758672837 +1070945353 +2041398454 +1520431282 +290830497 +1665943500 +258622393 +434087197 +1170380741 +1399163820 +2104615126 +1966836061 +135617160 +1638585610 +460144163 +1429598555 +613739857 +1279261754 +1098634011 +768129906 +894066946 +1925489605 +1285591058 +481776068 +10467481 +292026046 +644803360 +514057974 +1321549659 +546693278 +518633898 +2080222497 +1617638631 +412548704 +1453170131 +1908469128 +2078492204 +1711792524 +195072677 +1101389297 +963472696 +152204156 +920741710 +1099089857 +1790789766 +1380885873 +381204764 +257045976 +512663980 +1479838775 +1025175882 +1406730926 +1257844733 +163283292 +1888506994 +1268312214 +455309339 +385826706 +1782370188 +1776858998 +932519984 +153520438 +1709597847 +402674967 +566069142 +1015284331 +163660447 +497077698 +579593207 +358733125 +1598466995 +1543065904 +510937281 +371725058 +494672113 +154243399 +1752610931 +875876877 +411289375 +117791263 +208232005 +1436465258 +1524522189 +1466076738 +1599748550 +1265545535 +586905304 +2055057889 +1651372242 +221791844 +1684433240 +436408578 +375312282 +1246547439 +839083546 +941381424 +114348122 +1002743993 +1438459122 +693941330 +1361477118 +889442469 +89523586 +1872414399 +1261167527 +584195699 +2026657799 +866294811 +1460072576 +290463526 +984086074 +1668304581 +1726928784 +361124616 +986897671 +1179193687 +1626670151 +1573802975 +1086767928 +1130558745 +1795594819 +623717520 +1566967324 +23423453 +1870264960 +258567222 +964804877 +1984613082 +1261311215 +255780351 +531070764 +475304686 +1145222821 +620594350 +200235437 +258906700 +1204790049 +79409588 +1125201511 +517378978 +369873115 +2109287586 +38199911 +2096801899 +322928554 +1025097583 +1128511938 +1949598705 +451416910 +67796219 +932673803 +99528082 +691513739 +352157479 +122951535 +414295051 +610724701 +1087756413 +251424486 +1872035916 +1343536764 +782495250 +199856954 +341275937 +1403089601 +400092392 +600182638 +460396002 +479501980 +1725384149 +977774980 +849375095 +1687188087 +1015974892 +798693347 +2010116641 +2041072475 +1927205285 +1812231699 +345005737 +1995001504 +597421854 +444533819 +539031596 +949579333 +567485355 +953326647 +1560304034 +1655241768 +1204751133 +1284856302 +851294884 +1987246384 +1484713257 +1192570822 +1242852337 +1884805649 +1792753460 +1703248339 +216823981 +1370653961 +533539672 +1066199077 +910358401 +1549514564 +1864892424 +772991394 +1443103391 +1644614061 +437739445 +1788109128 +1492131918 +1035161299 +85159300 +2031163514 +1984740632 +652644655 +837006513 +1397561018 +160402775 +2041757647 +534933673 +1011697659 +1881520383 +2019646930 +56784833 +976889072 +1756968931 +1849538293 +532653763 +1973792912 +1072708607 +1066193435 +892508341 +1983067008 +468224351 +609917117 +608574754 +1911327742 +107047531 +1046314200 +1551953223 +1599179449 +2081475499 +1637112523 +1482859315 +1918732484 +142273530 +172382180 +1168809854 +302676305 +66656179 +1703743527 +1314373964 +1948176562 +1575906809 +1371158798 +777581986 +1185392092 +1073213443 +1310235750 +1011701357 +2145922050 +228945537 +1904209698 +1981505410 +697169889 +366643168 +442596517 +461013983 +473690699 +1488910717 +2012967206 +2072870148 +1422902568 +1502596081 +1408245815 +1194151404 +1644869611 +1580627995 +215477611 +1947545916 +1647284175 +1919221138 +1114436233 +1447977089 +1347644300 +338111383 +78075428 +385552744 +1411324826 +1388311178 +1397254101 +1409763229 +1617256715 +1153980152 +1243784991 +166942956 +1520623320 +1686381508 +627956940 +1994314019 +1027808577 +493440498 +1919700519 +303227498 +1996036580 +1180462686 +1497378902 +1493422543 +613607033 +1712856513 +1293484812 +113407560 +1484594004 +260437397 +1561384650 +684754656 +598548780 +1639460078 +1070307400 +2009873606 +880287608 +320077854 +1272153187 +350060675 +1474058006 +368454531 +517003632 +847197678 +2054836039 +1144960572 +694028049 +935160969 +1638401070 +466244920 +1238388467 +1486954002 +1646707606 +588283721 +832892898 +112830991 +153656587 +2126377710 +226238552 +1638250591 +239331459 +1787623202 +175521599 +837880239 +1279599632 +1245828999 +700270197 +12403592 +1565906853 +1972423385 +362464267 +892481211 +193394268 +879467899 +1739678889 +100746659 +2024428471 +286223290 +1035907628 +1515345894 +752468210 +126812447 +854816248 +251692168 +715096169 +1687709146 +364523160 +868752756 +1666603208 +590761712 +359519699 +1905934667 +230901266 +535041298 +596331258 +1510500898 +1780870297 +1296601456 +1522904490 +1199293503 +1121541193 +1885368757 +2091774714 +1314935461 +617353009 +1683969956 +1415682120 +494297832 +1970193246 +304106101 +2009643726 +575177809 +430918548 +716976327 +826869977 +1146014717 +257201825 +1191393137 +2014767473 +1923805034 +1782154849 +226803524 +1682256053 +2013056115 +761844822 +131103664 +1376073365 +395231472 +1427705120 +751494207 +1594524975 +401762665 +489379317 +1538816041 +1716698126 +1106732326 +1075302349 +984896598 +1601030158 +898011948 +1289002699 +1463190237 +1473189757 +1719921248 +32682916 +152576086 +718452317 +289884741 +1343969224 +585736143 +66206127 +978640425 +812539667 +1748462181 +844212893 +1574384490 +1879565845 +72802610 +1969615962 +1159787317 +824296818 +1416657289 +1561549982 +1313676135 +807989682 +1130764460 +272924813 +1883292032 +2115661058 +1873954971 +633820332 +1257180110 +1189661560 +2107010089 +829617710 +1222344476 +112102527 +1548070027 +1512229218 +1456071751 +2133806170 +1578435345 +287228529 +798862190 +1179413878 +1131441422 +225763032 +911496075 +1204244032 +47895346 +2071283392 +2028540850 +1464552635 +1485349726 +1194733337 +125058669 +468630538 +1467658150 +2008350701 +436807949 +1194129474 +494687385 +1693988059 +236307386 +454213826 +376122121 +1458651863 +566316354 +1924192148 +823397433 +2022388105 +1910514671 +254349130 +162132986 +561893213 +1433763009 +1293574408 +787656245 +197775436 +350334793 +835551591 +121575181 +231391995 +152620578 +1606924907 +1426125333 +277679247 +2075555446 +746299835 +138546301 +364879747 +1940429309 +633233686 +2058867806 +29253048 +1087447513 +287506279 +1487904911 +1653763867 +64214779 +163818696 +1528668324 +1974729450 +418167826 +1690801311 +389139015 +1851930835 +836892071 +1176795260 +2049706272 +1187226864 +2012346851 +23797805 +1418618860 +17483781 +1630722712 +697260545 +295163029 +1558794510 +1443560380 +433709330 +1923674257 +1236506042 +1066943016 +1835058415 +1265759090 +6906881 +2122564694 +606180353 +1660670748 +39295826 +769999049 +1041855425 +2014025276 +1188166875 +585173088 +255680644 +892614063 +1422065159 +1432475904 +794836687 +461808376 +1297339108 +818634492 +1880427236 +1314822889 +301873556 +430204133 +1609985918 +1860668067 +1873764513 +2043695248 +1636858676 +962786907 +963154617 +1324433444 +81062349 +970061498 +1299514490 +687242702 +483248599 +1338810316 +1457241751 +1525104024 +1205351945 +497924979 +2110277112 +1461032589 +1390539042 +1384858623 +746024845 +37892081 +1846666999 +2043363953 +856526573 +1579610587 +1210703195 +1158400129 +2009814720 +673205465 +871584548 +1736095586 +569417066 +360959577 +551398845 +1532571683 +1685393021 +632461195 +355149533 +837423863 +1319703897 +838398132 +28750532 +629462001 +216018508 +1234102477 +1127386980 +178811972 +547651418 +370442374 +1563670596 +1293676263 +408334455 +1262853947 +1189556569 +1264861028 +694980887 +252776116 +275777509 +557311959 +925981581 +1147362058 +145923897 +1495398647 +1508321635 +697322743 +880486682 +1046231008 +1329783938 +1235636216 +1883654871 +502004187 +2074034348 +1912405403 +1131466188 +142569209 +999024232 +111369520 +321381181 +1546675650 +481811894 +1885051777 +692868266 +890146349 +1000422077 +1882424835 +7523729 +1695402964 +2135200951 +283301239 +105231275 +913698884 +1430663297 +251155173 +261613884 +791501284 +948477916 +1142100566 +1837732292 +130778206 +230253134 +1573903515 +632782393 +156803835 +1338825271 +1764248582 +299373044 +190365855 +1875618102 +620754225 +1737041506 +209946349 +358322355 +282426124 +1100092698 +1358744432 +17367311 +1107616428 +906663748 +5084614 +1390917667 +1011895023 +918783498 +674097316 +1263050196 +1180397382 +1465598600 +64044464 +175014301 +1155847244 +194822670 +405267435 +582267111 +827605064 +562071270 +1921092382 +444369998 +861444314 +2111458238 +172504452 +1482198540 +1701016096 +382450801 +1840520895 +1983442220 +1482543500 +1051781679 +2000809531 +442676280 +1958445427 +2005894145 +1833593947 +822856802 +777193995 +360207615 +2085906999 +1957591378 +1825806215 +2467815 +2132605679 +834169811 +197290486 +390389466 +1416436922 +1024895550 +952460737 +1190045657 +1469265548 +1813905051 +1154020247 +1641770000 +1148619943 +707552695 +2024220802 +841657190 +543511267 +1359280654 +1893438869 +396837150 +1801956934 +1704400648 +255247647 +1488067233 +379773803 +1032441642 +1848274848 +318197154 +842549372 +1526597415 +320664969 +827671403 +213283578 +517955455 +1218060870 +1629720500 +1542851005 +23037959 +672282509 +864632905 +1836943010 +1826302756 +358919258 +838079306 +386371803 +235656412 +1679736496 +929883070 +1594937066 +1425691718 +1326720220 +1249410352 +982608718 +1581967867 +589993937 +1362382521 +466925862 +290785137 +1680579675 +1309475234 +1817382552 +2001244645 +2137146638 +2030666130 +371716452 +1207723860 +1512902982 +1914567458 +1230761819 +37701844 +631716715 +920221181 +1864004600 +990635973 +1758300487 +102892756 +1226292385 +1290553336 +1032775826 +673745803 +568761406 +212012399 +1923156155 +1551370124 +1793980266 +365666444 +766268998 +113422480 +656451581 +299365025 +1422897715 +326350485 +153126022 +1412560705 +209532967 +524842475 +472800917 +1722435950 +291926285 +1703562736 +1760137794 +923643000 +476300269 +1476658746 +1914278974 +87117109 +1579551502 +993087711 +1377670445 +464843681 +1666833515 +1946431851 +676856080 +1442506022 +1350318327 +323352698 +1808172467 +2116587325 +436775179 +317140400 +268468703 +1859672894 +643490886 +421594725 +1124749951 +853023853 +946437200 +1597550868 +427976155 +1238363485 +1153629956 +40630301 +14522838 +1629930225 +1517289048 +1928801812 +1717047334 +949356902 +774405875 +947234131 +1414200583 +293755742 +746182334 +2091056663 +1736261765 +2096500662 +266925714 +1396950584 +2065604339 +703700893 +1714090984 +186589394 +415890139 +210098222 +608184120 +1540640090 +1063122076 +1554621320 +990707310 +1491098231 +645501158 +2144337266 +1531728533 +660023996 +1626783843 +901533933 +441342160 +1196347530 +1850890835 +1215748035 +2143581661 +1117607771 +1509503778 +742280348 +1061180786 +1098281895 +691297362 +1328106500 +347748831 +609418053 +2031807393 +2061839815 +796007448 +300213884 +124454390 +1404191568 +1840853974 +1187576466 +811329240 +684077636 +531191049 +1456830398 +680931254 +2062919582 +2116854394 +160231450 +816969867 +410712906 +1356578980 +520377055 +1626460942 +1352676993 +1637984826 +988481072 +2094957341 +551681964 +2086762967 +638771055 +1879788465 +287028150 +1248189109 +1764112210 +201384317 +2044196557 +2064326095 +325838707 +1300904477 +1757696421 +1513415173 +2112233717 +294290410 +2044606223 +1421580468 +975221664 +1960042157 +1390951214 +1135453114 +629528377 +1801664121 +344548446 +1149905432 +1280641415 +1697225440 +640406610 +121638839 +1644699133 +1192088574 +60918158 +135986541 +924393391 +347946308 +1384175650 +541021954 +549330625 +1280888559 +457864401 +875169333 +434309388 +68077174 +241100858 +399059457 +362367584 +138223433 +1820639925 +1337589249 +2098265591 +1064107492 +325558715 +580310320 +718287965 +670107162 +1730215752 +1998929380 +219848954 +223138714 +2120568219 +1864548087 +1415227288 +34002729 +2000534628 +192137032 +381949037 +1237226630 +733158986 +931279662 +370631541 +1191023387 +1806448995 +804940929 +1259100561 +2047549854 +1204000387 +1621468146 +38289639 +877156664 +811573747 +2136555230 +1941264156 +1137132462 +569381902 +512068473 +1807239624 +152114006 +363514205 +2027088578 +375252720 +336598776 +1744153018 +1790480009 +370601505 +1597203998 +1982617041 +752550542 +686946981 +568292379 +1683830205 +1057578522 +1759315766 +1342795552 +1862519452 +870932679 +1242861758 +919036191 +344917177 +1281151398 +1796192855 +1156490924 +1270222980 +1589973364 +146139739 +1839604883 +2102041837 +1953379363 +1991718889 +318072395 +1832984294 +219487962 +654671171 +1429653664 +2009967971 +1025272677 +879374014 +1845101364 +1777823219 +1566320995 +265910095 +1314169776 +476415870 +2025225861 +509481681 +191451674 +748674892 +1752343439 +1110487865 +1093592070 +886011189 +759197072 +102599346 +8750522 +201686788 +248739085 +1848355405 +156244978 +54634801 +1692590646 +474317373 +1887619095 +1912078608 +1128988544 +1169789111 +1774562931 +6777573 +2049163125 +1472180647 +1784600793 +1468000473 +1738090742 +951286921 +1944416343 +1615832955 +1460768602 +2135868017 +217024200 +1065628394 +1098872234 +1310616270 +1951639583 +1858069306 +1413215616 +1960390105 +2059756095 +1661954702 +1661261862 +68517425 +1716589503 +1206368861 +542834798 +1456724950 +970963821 +1671823342 +479030413 +598043105 +1678600916 +380709890 +2070223752 +1315718061 +1848710363 +1660830847 +119521334 +1645643058 +1129180154 +1580289937 +1634027427 +1346204354 +498434683 +585416013 +509336976 +302590618 +296001672 +1922552593 +115497076 +208274119 +1437023647 +1776758938 +276791544 +1006129502 +835644151 +819626342 +315370804 +1806607973 +343966036 +794401217 +257167430 +2022566952 +1175111107 +179907534 +1190801365 +876337823 +1840738381 +1310322700 +374497233 +822434888 +743128989 +2008524661 +21155594 +1241563672 +446457026 +530492571 +1544154290 +742458698 +305561516 +1659651366 +950732817 +1742585163 +1288926657 +1227524361 +601231017 +2124570808 +2047150703 +916601821 +1783695133 +243633092 +1711003038 +2040862563 +118716396 +738630497 +73286450 +1309517762 +1614968320 +1914024831 +472356814 +1989465554 +588976071 +1215485803 +1850506567 +610131666 +309565827 +149479945 +1140624237 +1853720117 +891938644 +1446185753 +1365887836 +1842671461 +1041287268 +507330845 +922712175 +1642518285 +484418005 +822379230 +411636458 +120629491 +1066012322 +2122639496 +14008406 +1184728719 +713786345 +87294856 +346762833 +181271018 +2001319688 +819119647 +23252924 +442812111 +2034605450 +1873759491 +1052943777 +196687629 +2023239436 +46084366 +2050407746 +767694432 +1492270119 +1268811934 +462882246 +386073739 +1776142779 +1385594421 +2028592024 +113077137 +60490003 +292744834 +233706628 +1126502326 +267900682 +247715034 +163747397 +981687028 +335009891 +510510230 +1162958046 +188845931 +1329629877 +1186210970 +631658042 +1216751679 +912486813 +1684601820 +1413439308 +788242601 +1730686186 +1316363406 +1555937034 +1075472658 +437691693 +2018819280 +1461546397 +66350824 +1256930053 +1342654774 +179427961 +1317420056 +1635399608 +413134589 +296438734 +1903300291 +660849624 +460186131 +737503671 +995859515 +970696361 +1900461717 +1184705446 +152842590 +939189039 +1816363488 +1369594269 +1851675852 +1353481660 +635549929 +492434805 +936684199 +1951913336 +2048371839 +2012156857 +242121381 +1919707471 +1326219606 +308472205 +1029153876 +521390732 +487900167 +199090285 +9306693 +901034756 +495529019 +1912606984 +1561884380 +955715151 +502627007 +410260247 +1926411512 +255605076 +1594965693 +2079254103 +1194794115 +1263845534 +1301364724 +898986319 +469843546 +1936914654 +1391421124 +1406527745 +1741344342 +1292309316 +1271200954 +1983465723 +1064533139 +449936913 +144454280 +2093687016 +971327645 +632354447 +145293653 +980634338 +1533389204 +640822672 +745757674 +947789936 +1596537823 +1248384681 +1358050184 +1375465688 +1503989757 +805532229 +1307236143 +551300224 +2069377763 +461117219 +1450286543 +391737662 +250548225 +694224020 +1798265407 +1991892567 +1986533336 +921982714 +1827874642 +903582827 +1371919627 +1972328923 +849786195 +195763624 +457199722 +995079848 +1176397963 +1990588926 +1635902521 +1922155637 +790895215 +1084956696 +1023056671 +1461751 +312938736 +379562780 +806993980 +1620174879 +930863005 +728888096 +2081292099 +233665900 +1120625758 +184356676 +927889920 +771407517 +28765596 +766939608 +1693390231 +1856640238 +1670522436 +917826210 +1681485513 +372824983 +1113589835 +2138685236 +1367904832 +142504150 +1981790514 +856323705 +2064659787 +625202081 +1941280401 +940232810 +626663832 +106735490 +1319795591 +1433657813 +1726910369 +103174948 +15062261 +1660718820 +336840848 +1135688019 +1845075497 +1264730769 +1907095536 +1873841093 +2031670377 +1453002120 +1582997683 +1554709165 +223344682 +1116999549 +1927534149 +1336934517 +1108201137 +1147955333 +1479438667 +942508003 +2004279038 +1396614807 +1567710085 +1798075791 +189363969 +46890269 +1904811281 +1509159560 +1480548082 +1484238003 +1612334508 +1495610343 +997473175 +1949175357 +483814714 +695065024 +1066422478 +243426603 +421422469 +950609207 +1696428723 +2004420153 +357834725 +1919773405 +973936054 +137885226 +1109224275 +2082137191 +1285840559 +441179294 +877161546 +1142635949 +1837794101 +297387983 +793228092 +2027158071 +344278253 +550555726 +1388833983 +1824826335 +2034793729 +853684844 +1172953031 +884783256 +655376553 +1656767745 +1579848281 +1721799031 +1900194348 +2001270750 +524924590 +1449139423 +1858207255 +882759315 +1221429181 +684659661 +1020644541 +183169808 +619313204 +159001452 +624349102 +1496474751 +1301637401 +314659556 +1793862734 +2094865494 +194333979 +2138140987 +497937572 +1583167962 +1815483675 +385247653 +289369158 +840953058 +1270030909 +944745711 +350237155 +702395542 +519061094 +102947856 +556182645 +1043985685 +1552087279 +266906252 +1926745000 +626032812 +951565914 +799905894 +809202620 +1570879118 +958907346 +1433551723 +919870221 +113061100 +1748211279 +566249308 +60442946 +1942545258 +556906647 +558380518 +1378229572 +224906674 +943628171 +1667598731 +1065859732 +66175432 +464860794 +1416096888 +768570975 +983921889 +1519044744 +1324753620 +2027907574 +923648375 +1591659872 +1807168926 +1549681188 +395742138 +459591172 +211400160 +1966621257 +1418498519 +1644951883 +739007830 +1531559619 +1245679514 +1305257138 +1592002565 +1040741124 +1862163786 +2899435 +271487049 +2087070460 +946527606 +1939085780 +1005446545 +1012703038 +256462926 +274059785 +1781274013 +1240384815 +1793104529 +958543985 +1120808741 +569269256 +402720210 +780494020 +2118950444 +798462348 +1240085192 +182866957 +617599957 +511100063 +1827818840 +1356607788 +2042659682 +926014707 +514381278 +1487178599 +1966755831 +229061416 +1490078034 +90759232 +168648229 +289121992 +2029845012 +1174094774 +1301825031 +138824291 +1448154559 +935615396 +1379209106 +1093775440 +1894159382 +352534200 +1663044696 +149395944 +1133028220 +1634511493 +947858292 +225629764 +1817378450 +1565458250 +736729828 +1497713642 +774582390 +631905862 +276244701 +1288963668 +2119084462 +95516885 +1518025085 +1461678848 +186276117 +1686673314 +1750800841 +68637482 +713284440 +905142224 +207461773 +13955351 +1840757620 +1586670879 +1107730791 +1587433354 +1939205079 +623291839 +1736829298 +924749651 +110319684 +537203943 +1150379416 +1927698134 +2102662193 +1887109244 +1277928129 +729760935 +371531458 +1554172830 +2018724603 +343132272 +1649689715 +1389266040 +1804811121 +1835965833 +928455706 +1408128314 +1904603315 +1641740146 +165786890 +2112065088 +1655695497 +2006544510 +1551252319 +615942640 +1446494217 +1342973751 +1239234480 +1035839867 +120239754 +1349554164 +1573043810 +1270619170 +1129768651 +1528222355 +1010244766 +260213132 +110499642 +1381776225 +1814385962 +2129224246 +1724908497 +1316592030 +1371006638 +1382235970 +1005074215 +151978697 +642880636 +762193882 +1793718843 +808667526 +726775322 +1301930693 +667728389 +130543993 +1917873333 +2114222606 +1473517744 +1009624165 +1002578825 +1593757499 +211694682 +428138988 +716893021 +1341463333 +1956361343 +1727137788 +1601676465 +2066860986 +961430365 +1268578779 +2048601584 +538855214 +437687161 +1272124574 +1921091185 +1442761376 +1424103271 +416488173 +57471610 +1070338467 +1225155700 +784246932 +224785512 +1892884089 +914790926 +2142658845 +1859623047 +240825022 +1004799363 +714718224 +1834582521 +1216494045 +1142857212 +403991895 +410473730 +951734908 +2131129683 +2012150195 +871112246 +945076400 +1133245326 +772230182 +1483931614 +1570932488 +2044354756 +1257539151 +866210216 +1320974380 +1674027325 +923681827 +243829199 +751699377 +1707928759 +468614711 +497099818 +475236037 +463789908 +209239217 +716061060 +1468589271 +923957441 +403159933 +537599668 +2066814654 +807151828 +948073398 +871065914 +790797863 +812739945 +1742178160 +1735874263 +1945985272 +366924694 +1072322230 +1369434112 +263795802 +182377733 +88160680 +1584770182 +1856405058 +1011842507 +1828599381 +460620787 +572287619 +149730444 +957720605 +1047523656 +613520353 +1166959822 +1763584716 +2082109624 +2090917264 +19261002 +472225645 +2010248270 +826412830 +1420299043 +733830536 +1617210694 +85555341 +328525048 +1205601309 +2031540613 +695449742 +130439891 +1253491077 +959245544 +312817625 +1341651757 +396532079 +21739035 +206010617 +77647812 +482359823 +778298236 +227378257 +1440080428 +1825821892 +840898610 +459556603 +1441922961 +775524586 +402990219 +1461183963 +1247750231 +265754841 +140113145 +520565627 +999585377 +1757323839 +606120968 +1328110425 +815441501 +490177933 +2023560167 +945881392 +1743669010 +835322063 +1258699017 +937837119 +1231854142 +1280438053 +1143847736 +1309501955 +1762797876 +1922145972 +1536880212 +1055394656 +1600484217 +230295174 +1514951259 +894923530 +1005819760 +1917941478 +208623845 +106086344 +36212671 +348736990 +626651971 +1035798048 +2106060830 +1232772939 +216424825 +774018683 +1722950872 +92501344 +1719900075 +1319136234 +927823408 +831115445 +109489705 +12193902 +2111553498 +1253337442 +1321695857 +1726867726 +1027999766 +711092421 +634778734 +481000335 +941387595 +2246346 +1375923865 +1947207356 +1920187824 +1584547710 +2053293700 +1956400496 +1933284701 +532462023 +844714896 +1891861883 +1765234962 +1061139722 +518396918 +1340702186 +1153641066 +90813345 +512354772 +2081464474 +921928790 +621844477 +2093658377 +885998640 +1875181919 +1267870586 +465382718 +755698038 +1978963008 +1100161453 +1236698373 +772866955 +1102407799 +465138591 +572590663 +875111975 +2049686301 +478400715 +684028823 +1835487354 +1010862738 +1528743720 +1579865589 +628614052 +442399794 +2098262507 +1969316238 +1596040860 +41592205 +334187362 +1530021687 +963520995 +956031840 +1476196416 +1849519636 +683730111 +596583354 +167418706 +1439428149 +428062714 +1267580159 +528642875 +1200929670 +222504310 +993781466 +1773520333 +1097616286 +895984119 +104437401 +1781645109 +583987826 +1115300139 +1162905181 +16369767 +1743914192 +1605304975 +2114632275 +1565746782 +1053862188 +8740832 +1899934145 +436400227 +972261827 +708482337 +1912596643 +674297815 +1392212448 +361696349 +841716522 +684156950 +789759064 +2109296681 +1212799825 +1990688734 +184317344 +59097643 +1616725419 +1281933630 +955081762 +1721162820 +916095091 +1539069588 +688979312 +2079000273 +1555439356 +285409856 +1536821600 +1522587983 +1851156638 +443200140 +1531328815 +1603607135 +879600367 +356106994 +164605824 +644713362 +1030404810 +1556818273 +1006409712 +1872121332 +93491575 +1796168776 +1833934365 +1306291400 +1639373862 +2018251709 +1365389043 +1108615633 +1152701691 +172987157 +682294806 +2068796783 +1712056746 +1371274118 +2000313408 +1120012454 +1656683974 +1389651360 +495116789 +1360356964 +1832851501 +2026445604 +816480452 +564968220 +235068950 +981086276 +1209681583 +1265473760 +390420901 +68607647 +990111444 +483912476 +1864776423 +676562162 +1790203876 +1356666637 +547330223 +1008109271 +317798622 +1700031915 +1181096429 +1000093428 +1621345050 +745669527 +223883898 +1474174810 +1865681981 +1880567872 +716342522 +213315122 +1093441189 +401710375 +92277078 +1909921641 +966678596 +327346028 +743524269 +28876531 +1592819789 +1133945171 +97484178 +435447585 +1617857647 +1962260601 +1112009747 +1260577876 +1171443590 +1659339971 +121203499 +1489242212 +1211888238 +1302299928 +341851993 +685749640 +2047969455 +565735891 +12440802 +1766167788 +298820116 +728783324 +1979482910 +1392261305 +1130493700 +2071759988 +1154699298 +2097172296 +251622369 +1898223567 +2126048827 +1844442158 +884685090 +76049357 +132406095 +355059090 +2038309958 +1244415843 +1615636966 +1062269900 +756272166 +1736840465 +404028464 +1968160404 +891656746 +745880457 +506426396 +792142553 +1311616349 +518867198 +410826694 +1610436465 +1247650522 +242825956 +855214122 +230660574 +167102297 +2009913420 +180349222 +418724666 +1760653339 +158914401 +115683176 +497854782 +234963758 +248089271 +852913872 +125790068 +1492505114 +321067190 +1188059968 +101293632 +2057907655 +1592088433 +2069454036 +802080753 +190485242 +428396784 +1594223307 +1502101591 +947263982 +2005050001 +965054408 +47430857 +100392309 +1820268530 +278091431 +267494606 +1682698302 +458440654 +686219272 +1295867994 +617355055 +801902448 +1793722776 +852318814 +1049991720 +499153000 +978108882 +395013186 +820220190 +18685203 +496306819 +730644197 +1610773636 +418277207 +1532724951 +1801258878 +846673992 +979464610 +1155876822 +1793937974 +837030963 +2120931230 +1841368831 +937423272 +1793716113 +2119460263 +1204917879 +1328930767 +430417269 +1891137151 +477315113 +1047772324 +545555952 +123554241 +1900091138 +1595547672 +622707241 +730716373 +1990560858 +1442927431 +749401576 +339384029 +26087981 +212691564 +757661237 +1558812932 +2013950442 +1604335229 +390793894 +1022343616 +1250789555 +1227824857 +995791199 +944674739 +17764481 +642023664 +916651354 +1222682360 +1970954431 +1347068623 +966335864 +300785897 +247357299 +1511891816 +424340138 +2147448438 +959955840 +1047047380 +730681163 +803033050 +342491163 +1480082739 +1142417080 +368579144 +1692774303 +1900078317 +1927392076 +1559241097 +1356929898 +170702322 +434101066 +460235805 +1398527179 +1429892265 +1404910544 +1416291661 +2071915929 +174078250 +491490373 +1895386712 +1521146873 +1457826237 +48688961 +1768504173 +822234405 +473029100 +1768468963 +1782190245 +1520076480 +351666478 +437739648 +1862567643 +1831749217 +1580156728 +83663140 +1377039872 +1332751397 +2011055216 +788797321 +542197647 +34273891 +1222898387 +1002433452 +1432801070 +505307004 +259860349 +701609083 +429739285 +433938599 +1193099457 +177642350 +1955085473 +503442046 +226331311 +1576105998 +1325676452 +699360411 +1197091313 +960383049 +71953243 +1548757791 +1398122697 +1934520887 +1233023360 +830795777 +2018184027 +462579584 +16063526 +1881755595 +1251376905 +558261173 +1916029486 +326791645 +1560694626 +1201346909 +832098649 +1820554975 +1902955992 +1261837935 +107009926 +948571801 +1439480285 +2062095399 +1452013848 +1665811596 +1490717749 +630206652 +217688360 +540325414 +1590589701 +289641603 +2089083205 +841228751 +76678842 +1174622917 +1672024528 +2094862869 +1637202501 +1688088055 +1829134817 +741095759 +98865580 +1597680655 +1067887404 +1659560206 +651543916 +1899986053 +1332631533 +407016261 +1014340340 +1439641460 +1355588062 +306336977 +1354253211 +660118262 +1972148574 +697487313 +1290324914 +42353286 +1237812727 +733430968 +331994889 +1179412285 +1574659719 +408673732 +206551554 +1099200599 +356052953 +1843754056 +639805006 +37704122 +437366167 +738670587 +1635384778 +1505253571 +250747145 +139445046 +1257755976 +1583378679 +546461307 +124612669 +875536491 +1902049370 +430949646 +82306054 +414683984 +255614572 +779793367 +1705008899 +297967858 +2017606095 +290956219 +629962748 +1049534732 +1865615938 +1038636480 +1256086286 +817332889 +1394689433 +952356694 +1457137896 +1432393556 +1389722861 +48324835 +920294686 +747492784 +299071980 +1059739732 +2005248761 +1882450659 +1606201040 +2129861430 +610503502 +1360766762 +413327428 +692809557 +1775450746 +668942001 +1472602924 +1332975997 +966909859 +1342725371 +1623932216 +1596872607 +244776455 +1342064506 +488025439 +1500862742 +11913748 +1882714873 +305735788 +1469051644 +1167624781 +1695458650 +1517376479 +2087919467 +295467786 +1816448459 +1000175551 +153232899 +1551415471 +458892943 +135610681 +14435325 +1819659705 +548938110 +707244882 +1447626804 +1217880111 +32364159 +633119153 +37306322 +1375089530 +109567722 +1634178930 +1619865986 +1451632228 +2122204369 +973245080 +1463545976 +1857435594 +1278980868 +785113972 +877576727 +826955870 +155006803 +818012546 +1122423657 +1971455263 +1818188098 +1275656556 +1375387086 +129597393 +1411267238 +1389822411 +1949257099 +1960205348 +2097067294 +1249400255 +1030601811 +2129431453 +1882519408 +1067908133 +1357037335 +1992087130 +554603415 +829419673 +1296235711 +529324137 +1802664753 +612298039 +239276083 +934161974 +1397412012 +1116852811 +1761117844 +1552418815 +1934865357 +736057853 +1376390430 +1605569807 +2011714410 +604293868 +1735167201 +1275498000 +1994116280 +1536940652 +1088219700 +1943699926 +638857259 +2118821511 +1925647731 +373893019 +1039245996 +1135201418 +218496502 +1593849412 +1964621092 +1514732213 +2123173549 +1619802197 +2127030252 +214965984 +406480523 +1376958616 +1331818795 +20114720 +781893784 +1119200505 +756172573 +10800566 +577286664 +620403335 +615094435 +164970217 +1895901335 +461727067 +1701910869 +836637387 +257943345 +193284480 +807975250 +36107428 +567177500 +1847221247 +1171308846 +785674002 +1293587011 +988446290 +152922567 +1269276912 +460764840 +132469171 +1484242896 +867245363 +1509427788 +668578044 +887360083 +143837924 +1787778549 +1643532657 +154638490 +217581565 +116452344 +769732925 +382551783 +2012353680 +1231459992 +2084462652 +701507419 +1489403337 +130263485 +1509482670 +1525510765 +697440985 +1209220269 +549335964 +1483114987 +355323632 +1537782254 +1636037554 +1624600544 +1998547094 +1768506725 +961359792 +718308810 +1130450865 +1629937836 +1605668893 +1274288789 +1270232737 +1101717902 +1428927280 +1487814303 +1218170247 +51176557 +1870366086 +1083040279 +1282636550 +1807345090 +1784547698 +624556239 +1937608575 +1146546720 +2583357 +487565912 +208283341 +551919321 +1970680899 +563606973 +2089701575 +1459234805 +40723869 +1940765022 +1080257883 +1002083662 +511590184 +63225100 +484537850 +2117259077 +1337513890 +1754770588 +1071493332 +618957522 +1095101243 +142179931 +670134079 +817983681 +1225220210 +1952770629 +477845123 +862284260 +429843221 +267970051 +2008830981 +432426578 +755535963 +69630674 +984345899 +578733215 +633237648 +926563826 +2037968020 +673961517 +719845200 +970742255 +1676045179 +1231435384 +1033967356 +13099382 +1201210814 +223997598 +1767869970 +125220498 +842955120 +715487565 +267400429 +1513089199 +1533471246 +1492620639 +1318376181 +2011316369 +207421251 +1748219402 +131802772 +68768584 +33162332 +887338736 +138399259 +1017508231 +1466071951 +771636907 +1944072057 +1356556323 +1445598424 +516433610 +179814931 +974159956 +1747868994 +1213782287 +987259338 +801596160 +1437779885 +607645660 +926816658 +133251357 +1323133225 +1194217087 +1646340556 +709120823 +539354078 +817233089 +572953544 +746775330 +417968843 +704756317 +815543914 +451131175 +1592095053 +953943173 +1468639406 +910683356 +1725580080 +1265227816 +119756031 +1023694857 +1781661426 +299570962 +1997854813 +1382046772 +1513353249 +837630503 +36159285 +803649486 +1445276163 +962975943 +936900843 +620925740 +9709383 +435757752 +1330046563 +549063461 +1252990841 +1903000107 +1295838791 +1670959685 +460272776 +2111382706 +2122090860 +2052367829 +917842231 +1443246619 +815567537 +495938664 +560990787 +935323569 +1519633521 +195168565 +1234894531 +1370004686 +1577215337 +600764133 +60151541 +1613374622 +1404413619 +1505427704 +428866918 +193830815 +2126353444 +438576301 +629588567 +1308916359 +987639762 +1882579408 +1064432818 +135994906 +1406055445 +1524705595 +99893964 +1380662658 +1429589776 +1017736195 +676425629 +97673666 +1513674859 +1237416416 +1032997235 +885824732 +1432584981 +120408118 +108345770 +862316670 +721172251 +168497311 +328207645 +2125585871 +1673925015 +757074563 +171933038 +1652794811 +1195650864 +801521605 +814227522 +35806978 +536617365 +1878660341 +171801884 +1942672811 +1255882288 +271695848 +1175851821 +537988416 +1289432044 +1852277450 +635662082 +655623255 +942210218 +1668659317 +1541447988 +227311551 +1789067436 +1649793758 +1089628221 +362756039 +1818291070 +1417835866 +340858262 +1344732437 +27426781 +512791300 +850043601 +1223077645 +1314312905 +1664271123 +1258884624 +1850930271 +1395447816 +1430686508 +1646119434 +503846456 +1702382357 +674487607 +1041834873 +844330753 +379281409 +1677496955 +1499954008 +1321491627 +1198672625 +893918348 +1548803178 +840256413 +396228459 +490947751 +1203012452 +67035881 +1908783618 +1543870715 +1411768318 +1936210399 +2056662015 +114328271 +1011804397 +1223491273 +1778599395 +123205373 +926937896 +1026563563 +1553891881 +425573682 +1530410020 +1108790590 +1100061289 +424761245 +1953121343 +1479342698 +2102258200 +1305591704 +653350677 +1153447177 +52026404 +54670207 +1993703590 +448254863 +545617958 +1049232395 +515290744 +306917928 +445619462 +1927059063 +95644680 +354797829 +2041387334 +1107449077 +1578289102 +1672503081 +1230654450 +357743350 +551582997 +637062683 +783317032 +2081993017 +1745853274 +1883378321 +359270614 +1551490969 +1215237371 +314045166 +709599025 +1868588048 +1467492344 +761625430 +1923258255 +1313712286 +1209880293 +321392566 +215461033 +1725171038 +628310494 +661080495 +1504746453 +723955174 +1015878325 +1398650139 +1831404251 +446683779 +923669573 +914575053 +804427130 +1475252570 +1551637737 +1587744162 +1409761939 +1150007363 +1323638836 +1769032553 +554014684 +391392559 +2083077719 +1263613710 +112496960 +1403086415 +2025239140 +2035755215 +569315054 +1087635785 +209664133 +784776087 +665323175 +837974628 +1445856583 +22585980 +1561929802 +314251260 +1421236120 +1245850406 +760935039 +197422045 +12941811 +1565362169 +1672674615 +1564579548 +1005622684 +934952906 +567103263 +181777872 +556501811 +1121117948 +573170431 +492095882 +237248010 +685667391 +1895182298 +115003502 +573938959 +317013704 +1202639287 +783603092 +1101789791 +1867962463 +1621577720 +400162726 +1890548443 +1036023875 +714413986 +1164300915 +134390633 +1475349026 +1361722960 +147332444 +893227547 +886913927 +1711911993 +1898850231 +1821866833 +131531608 +2080628103 +230884996 +1252649556 +506314887 +722980879 +1489897566 +1191982278 +470679529 +1604901068 +1765921237 +787693233 +660056708 +402040682 +1889483024 +380535523 +2023618402 +142162103 +123600318 +912158629 +856576089 +1287901234 +1046549262 +184441467 +502140546 +1193881707 +1077669015 +1389054474 +758310052 +829035598 +1063437659 +889841660 +762180054 +1294322656 +2142491217 +1268494941 +2017303535 +1484905135 +312993571 +340499416 +942322556 +2078914809 +1128192649 +1602379264 +333471843 +870192025 +1982914787 +209606597 +1012354128 +2106515105 +1121765227 +1868930218 +1246932691 +20830841 +2053371685 +1749073238 +1214712548 +983557052 +990644064 +1973022600 +1812592651 +2054081723 +715380613 +427289057 +1200920731 +710388182 +1695783998 +1070740618 +47809669 +2008777569 +1411240034 +990132225 +1940208730 +391949035 +445027841 +126196925 +1262141061 +280458980 +335803523 +127011541 +239490438 +1457568750 +1995941759 +1486423129 +1478399591 +1901829797 +1088012719 +545628492 +737903201 +2078656783 +371167444 +403012204 +1985254859 +1086548057 +830301261 +1038691942 +1796936239 +378601611 +2109432561 +1844745909 +239895533 +1373188947 +687394486 +32620615 +1765137983 +1132422328 +158817541 +879795396 +1412881308 +494621064 +1006806937 +1652371746 +1952189814 +855265049 +991311228 +1283105757 +609611198 +2079323947 +1828734249 +1347514399 +2010497083 +52418046 +1750526604 +1848268294 +1138966103 +433344217 +739476588 +788418695 +811945829 +701425501 +485680956 +1051841362 +2074614449 +1173075442 +1084461977 +1692268784 +158014122 +1243279518 +424580532 +1570895431 +1737900582 +1431387469 +1075783529 +1542606748 +139168870 +2067094757 +678228858 +748780068 +1998935057 +359479459 +2096294468 +1861948492 +411897505 +1699337424 +1562733138 +1550863609 +2132681641 +154726078 +191798656 +797143822 +856151580 +677479612 +1848985184 +783282381 +1850555054 +785963514 +328067517 +2008569177 +2029243032 +752648049 +1431980960 +1619659967 +36551870 +360280841 +1014783067 +175720741 +279891951 +1693011925 +924500809 +131343360 +2052491385 +873311629 +1993291852 +316905242 +425165405 +1408541342 +1867768851 +410363399 +1563267420 +2059567507 +1207507221 +271935352 +589563471 +909008758 +1055217733 +292634878 +1694972272 +1383285250 +153720407 +1576731656 +2135933299 +1585701367 +1048907975 +25001522 +1945982208 +2063691043 +200722263 +78390511 +1609219320 +1125223072 +209733871 +1514227057 +1998534702 +55542075 +1831132300 +276216459 +1464083417 +1551417503 +686579858 +879867190 +1463501363 +1894087080 +1151802542 +2053064834 +655612190 +59536628 +198216064 +203100814 +1442821878 +351936471 +1779832470 +1431271530 +1937637838 +681256798 +1456273052 +1736136399 +597464193 +1656995315 +1814526910 +59199865 +634734739 +2024260782 +1573426923 +485785793 +2079802857 +1257075575 +762002253 +1396402627 +661009430 +1448582111 +128786169 +2124510793 +1195185543 +1280588711 +2030091980 +1850797733 +1340125339 +80824396 +2053898547 +635463570 +432760868 +1686247370 +2066735100 +222915058 +220020520 +1375524504 +1959051457 +817484713 +885036171 +1626094720 +876684578 +1519770910 +1502871854 +302627853 +2005556704 +1435191063 +1559703428 +620075309 +684110042 +73229211 +2068657420 +812896211 +50256356 +1116359316 +2093484923 +2080348336 +819673401 +1286126614 +13689085 +726088301 +1921590184 +446449953 +264852023 +1840841636 +669365011 +484872543 +1068882492 +480932821 +1302357256 +1953918663 +2107027541 +31558186 +1326205926 +1462415747 +334186040 +1184278982 +750123162 +1893889468 +1804354291 +1434233205 +1967118679 +1725528063 +99645768 +2017375036 +694403731 +45647043 +1950239724 +1514077133 +1331773658 +1963928809 +92681786 +1105880194 +262895114 +357533809 +799238183 +932260126 +842406352 +1868120675 +1413192947 +2144763608 +1674555691 +1372736840 +28838146 +853277969 +687668939 +363024186 +2037556951 +1437792101 +109430007 +1694427594 +724541658 +2076548686 +1272472009 +824187427 +1946440074 +1966875741 +869834470 +1749196151 +1333469226 +54124480 +1565641312 +1426151012 +1160004675 +1828536427 +1783684821 +1959242858 +613312905 +478607525 +1679879885 +2026505852 +475887485 +1206951928 +1251759044 +504725631 +2060229897 +1939427983 +867749818 +1950303200 +1229736436 +977179825 +1497247146 +1954278095 +906244863 +622235508 +630981874 +705201290 +441627601 +1500816344 +306913793 +1775096827 +1554940825 +1872555105 +1053764191 +567461852 +1553607884 +689965364 +379221062 +19437141 +1168572889 +2059100947 +2045942993 +1644460374 +1118569228 +1150218389 +1702357 +1031315477 +942162724 +869452175 +834135030 +24415513 +1846632000 +183898528 +1978693608 +605393216 +806134036 +462191834 +1310594506 +1247761637 +1963008178 +1617508299 +875374816 +1370465355 +1342579756 +1929139007 +1937927207 +748703993 +471620723 +169664621 +768141134 +1640193612 +81281921 +666600480 +1137170338 +1199851149 +1816818869 +1138872696 +83682978 +611497946 +2008324871 +917818008 +635913459 +1707473224 +1101716537 +467123419 +165382792 +1907850573 +929315253 +1475977298 +1008128563 +744839783 +946001949 +1883503379 +2115305139 +141098057 +1665158739 +1905748698 +889802050 +2136779462 +2075413320 +1657943185 +1629489427 +9211593 +177060017 +619176117 +1209062742 +1993878886 +1758048813 +1292745720 +457893184 +1618890037 +63080081 +1093806643 +1178879613 +1164796618 +1560930062 +1344262405 +925163543 +342761667 +672756055 +1933292106 +1087601451 +1618758004 +1669311838 +1055422942 +1759856061 +1186986929 +813687992 +502174464 +1176282743 +741617664 +12634001 +658288522 +750829257 +189694018 +1277464640 +1959891999 +36089256 +888029805 +1105154072 +493982441 +359436194 +1168234153 +1587789084 +1538315807 +185547123 +1001235499 +735094564 +1110710666 +1343997166 +1407850619 +896519125 +284114969 +879124975 +418347315 +1339537911 +491497389 +1605334244 +5742256 +993671853 +634133339 +747359920 +1006305854 +1292421862 +1498189178 +1195999872 +422402854 +1310597529 +1232089128 +1310432659 +268267953 +1726071569 +1669868854 +1436502106 +1166377006 +1060701013 +1622049229 +20128857 +1795795578 +585276248 +1364126023 +1056162549 +1481795373 +1648240993 +1935287525 +1900142688 +840295256 +279301266 +1357993284 +846037512 +1272973119 +1992126623 +1593397433 +131795325 +1137064837 +944102963 +1327795197 +1559467691 +107216844 +412400677 +722416703 +375484798 +2138472247 +244801909 +1811986904 +1157365605 +1305502922 +1286552486 +1177494462 +953814852 +1871828734 +394136837 +2009977402 +1206140459 +2042377830 +1797781279 +958799499 +735189439 +2077082545 +169309135 +1581226951 +1202572016 +13952110 +1027140736 +1334367341 +1151016948 +1971243699 +514678890 +563000991 +2078460544 +927079567 +1285417694 +306461694 +918068166 +1530219603 +2118448598 +2075433771 +688238878 +1257517436 +1105444585 +1642053730 +981862522 +1499581423 +1504547484 +40519333 +1394475605 +1154845115 +999318832 +2129665044 +1084444012 +1168627967 +1563408348 +139532380 +1182580078 +443065436 +1473899721 +186113378 +266825488 +1988578611 +749114369 +197802384 +768174531 +2034532064 +504264078 +1686242697 +1417268019 +475229028 +1614192821 +2105506897 +1732746465 +572153758 +1600076980 +567125339 +2071735181 +957140816 +607644673 +1318727139 +2111985932 +1606963505 +1300908535 +1048946296 +628107825 +716833235 +1188478677 +1810687903 +1159898672 +514894750 +1996801281 +1426724160 +355989714 +598432002 +1624526544 +1124164245 +485480418 +2128790622 +662923294 +1902748438 +456536002 +129632467 +1860771687 +41798819 +701786226 +1313365019 +608924159 +626037759 +123022188 +1216568832 +1944764898 +87524472 +676048689 +1098189786 +1136470768 +1304156514 +1815023021 +177465797 +967360769 +827438045 +692360548 +816678402 +106678557 +1048350262 +1415110405 +1731205101 +25030859 +1900590823 +1712512075 +687954153 +1655855613 +21564430 +817586621 +1369143653 +63363249 +1519372847 +535025024 +672287408 +2145410606 +658047212 +1888856240 +1942691857 +745571684 +417421282 +893397995 +1882042453 +1721577796 +560937368 +2059508250 +541454918 +1388375414 +604385150 +1358133320 +1495053971 +1652735412 +625760077 +1078775425 +1677766271 +378867253 +643803852 +218236777 +2034722866 +665368282 +1035823398 +1256382871 +728731532 +407712597 +1791407896 +1401018940 +405639555 +301971460 +1142391533 +200847764 +1047543145 +1559812815 +1094245759 +782101950 +1133906963 +1655183128 +694126552 +1675361881 +896074894 +1298511703 +886011554 +243645217 +803763467 +1511771631 +1322420642 +334046091 +1890638884 +1966224495 +552282868 +1777878103 +484109129 +1588106266 +886777326 +1212840661 +1995818863 +530701574 +466375954 +253974770 +832673035 +1608767487 +454822535 +1880216180 +1021096654 +1549068294 +514834482 +7519969 +1056767774 +1208961034 +1682881851 +1952842668 +359989089 +421409757 +49004238 +1163752557 +1933181388 +1371424880 +1497798648 +1676336625 +1190165727 +2050081516 +1306731080 +1674274857 +1490704134 +46024758 +739631870 +1339039349 +576726333 +1206007824 +1593014119 +1409399368 +667291663 +2047836654 +1142131900 +1688388317 +1449421301 +1656966382 +1695908287 +358705427 +718443768 +1231306490 +164064448 +1078432858 +1652716247 +213068686 +94701767 +1438413987 +1584493566 +1592500415 +967266964 +627175646 +1495098283 +126514396 +153966855 +838318769 +172539155 +893598725 +29874470 +749265488 +2099606550 +1622888589 +11181208 +619414565 +1523241596 +1153313108 +160319235 +825179249 +662795842 +1856227522 +1183884676 +1381239610 +940050364 +1347949124 +312188820 +445282963 +1561017810 +406890587 +1883696950 +998027729 +1999391002 +703480267 +1625203375 +1347005637 +829994663 +1779170230 +37840758 +1002533818 +525285307 +67715228 +1751799306 +477408209 +1690603818 +1762980514 +1096822775 +1066361766 +768809974 +1257142010 +1891541015 +1431605816 +965885884 +927942043 +665361779 +1905936248 +128407520 +977550599 +203735563 +1689425330 +1384441187 +2087432513 +539969411 +1236348541 +643429132 +17689138 +435870531 +1473423796 +1796859368 +473711289 +328473966 +174661028 +541426518 +2080273273 +652069237 +84546688 +1695770139 +1748892012 +1150908454 +317096466 +858550374 +894965821 +1748702282 +1824436258 +1822907864 +266580413 +1582888858 +1951315384 +1244131013 +1786624421 +1493257067 +481088552 +1726573287 +2033226478 +1717437093 +222518771 +2050915617 +5823976 +1695942567 +1700291337 +479535266 +2024416534 +1874952365 +1020961784 +1957206159 +379537955 +1105508472 +1505492650 +2128429967 +108933278 +1822589116 +839496694 +1003899099 +1423807751 +516449304 +679323315 +1690388164 +2099338163 +483155052 +787035529 +1738478936 +1976412119 +1268124081 +1317568575 +1862154949 +838077527 +1540087347 +1765586918 +843901503 +1088546266 +1318394608 +1323436769 +965479152 +1045863325 +196914905 +775201663 +1425401280 +1302423377 +133210666 +1406347600 +1411356655 +1955799782 +98360646 +267772106 +1232123885 +614809950 +947095422 +775028402 +566664465 +1430250474 +1562063931 +157659754 +1259178945 +682704365 +1475228329 +973850246 +1520781892 +867832028 +591953517 +217199747 +1956378295 +1910348125 +1540636517 +774373799 +808727802 +1737551422 +1549575463 +86645435 +892491152 +1682786129 +1492993035 +156364159 +1491102263 +1591353681 +424136266 +575742501 +58679983 +1371231688 +1350770903 +625344449 +653998514 +765351186 +783004203 +1913177459 +1448055551 +110748884 +739544057 +821353795 +978580913 +1331497574 +1038553543 +787475560 +1094362051 +431706412 +1561849359 +1903089854 +21774186 +963941174 +1989735289 +914265338 +499243655 +1335244676 +1070629498 +1990345919 +779114709 +1494765764 +418604772 +837794692 +718513804 +1769375675 +1463139141 +1372512318 +387243213 +98659696 +1138206129 +1835298765 +209408581 +1877750186 +509168912 +1187989494 +1061764113 +1547722455 +1975465054 +8642516 +1979428867 +1389830765 +1911732370 +2001203054 +206288292 +1753984011 +767984744 +705531947 +941745039 +1838614242 +548394218 +1720859748 +1185896358 +966998990 +411170793 +1904410162 +588891017 +1874309934 +1129438832 +976134231 +1972969631 +120161313 +663949348 +34894564 +1997911500 +1173118260 +1222884058 +912191965 +573357068 +1050865464 +920834481 +405302287 +293212581 +685083204 +259021693 +499500873 +291583567 +1027006438 +1205032821 +1233328607 +718137032 +1753427039 +806704707 +1904033391 +572942382 +1217875500 +1660959905 +1161833399 +944701787 +642915090 +2137967630 +770187770 +763076403 +654433330 +805082334 +613504255 +1827551591 +2027966392 +1525696220 +253425011 +931348208 +299047054 +658727298 +1224560789 +984130258 +917748992 +1724061663 +1275713825 +1944755430 +781610836 +361558784 +515408814 +387554227 +1168263492 +271958557 +960496609 +238655344 +1932918463 +2122330009 +1183357131 +428349905 +2112813991 +1953544901 +1191426308 +619763674 +611143587 +1804930564 +299831617 +491626331 +1183143136 +553256628 +1422974539 +1482190190 +1211983926 +500051681 +318836800 +2129732918 +76629696 +1594550626 +1927004700 +858240532 +1956109410 +294929867 +1245794759 +976889254 +566888424 +58807721 +1215544599 +352323239 +33654082 +251418082 +780673144 +2146468073 +57479336 +1972099453 +618748099 +668622923 +1629546369 +918579716 +1160249255 +665205857 +1471836344 +435740146 +2147396048 +536336623 +935791827 +318749200 +518585893 +1012421523 +1913299826 +298106946 +1870662055 +1721925589 +593036813 +968973167 +551331195 +1159925237 +1027780888 +1766875794 +1512248477 +1061434970 +2018293877 +145437973 +1060419395 +2075773213 +2117537426 +1679167495 +596912488 +1599600147 +450263563 +1757161743 +117322357 +1922099908 +45418242 +117234757 +310952883 +981210069 +435983957 +829538776 +1993631593 +201800136 +1127645722 +1716810000 +1923725725 +1720682535 +538299519 +327573272 +733124125 +1566080407 +2094449067 +97888954 +480031729 +1965259296 +243326927 +1540451125 +1893548861 +213380706 +1072134972 +342977701 +1812980853 +1522398535 +2100139445 +1930303210 +1297014795 +2145557687 +2047537967 +1607967678 +979284108 +336038277 +290022807 +825432053 +537838413 +1417668529 +394758406 +314080490 +990867417 +933057925 +641653762 +1723991542 +351654685 +588619181 +1821880496 +831686414 +406394829 +2065207423 +224653891 +152460042 +131104481 +1296788863 +495437744 +1944085335 +671703751 +448093541 +1726904897 +1968718546 +446167580 +1626959217 +1429202577 +1425451688 +1962997494 +1719225384 +103400094 +353352259 +989410265 +498158500 +667432749 +1980277682 +1431216425 +1309086511 +1556785576 +1782871110 +1897705693 +1231182424 +467073877 +156616874 +1148906200 +691727768 +309076917 +1280010681 +1988516632 +804514661 +1076612368 +512736735 +1252608202 +656033618 +333971633 +1698775782 +135509187 +1763174210 +976743822 +2098506681 +1334915946 +1080143916 +304375292 +176842564 +1578302416 +971808041 +9636598 +862035194 +133410904 +1566422175 +497422656 +2031116597 +650120951 +964496533 +40249824 +1799027151 +1656224302 +349326741 +931554185 +1497257286 +1153841402 +2008166553 +2009994021 +258965956 +516716523 +196482006 +1957741738 +652225710 +1959656217 +787001912 +603248743 +1147088515 +1867145829 +907624035 +1323931079 +1297964597 +1879432076 +1333567678 +12516143 +2012842981 +752506205 +509938800 +1896475930 +1402627156 +1474435333 +1936725754 +1054170660 +983175987 +138568847 +1985724845 +332949625 +1292410249 +1846407750 +195459998 +1551376205 +215640626 +391942005 +1361634295 +867866336 +204114574 +1152560 +1471115080 +1351203089 +1868298389 +231255467 +527650521 +1018779338 +2110687544 +1861218199 +1031295482 +1976046877 +466240756 +1541234282 +1725039159 +1868867912 +868185967 +1514281266 +775554924 +1851361955 +1652850113 +613796121 +36827932 +797776715 +312720224 +232287931 +201669272 +528360850 +624229936 +1563303568 +1396227186 +828344510 +1564456128 +719858618 +32063951 +1285270869 +951114086 +559714472 +156566559 +914317982 +273449023 +1187862041 +742881211 +739689779 +581612675 +320436722 +461074044 +1449798643 +1834717988 +1236628968 +1153676950 +1340084454 +1850425090 +1190504882 +2137861169 +15661666 +1422792813 +192046793 +544022516 +2047022749 +1755350361 +1940249702 +727883611 +1172322841 +512624673 +759947563 +310110062 +1463738759 +1319662035 +466676622 +230573093 +1593111059 +1654538663 +973454304 +185317190 +88667691 +1293891026 +646391234 +1538466334 +981125367 +1883020203 +544659636 +173726173 +1585961645 +1735164518 +164103694 +1601623311 +1010473684 +356150487 +2145645827 +910012785 +2111500849 +1938411881 +1637896397 +1136340042 +303552906 +250360312 +1446450105 +1767291665 +1570022347 +1913126727 +1997864758 +1015649758 +1420181742 +823835414 +1200966949 +1508849433 +2117726441 +1847358183 +899832119 +951368160 +1582894738 +1444491755 +1125094333 +1021372735 +1032172626 +1289198027 +475512398 +2042646310 +1645348514 +473674577 +805175447 +1609365715 +264602811 +295588196 +598222110 +568155717 +545948508 +2044672215 +187963735 +2115970856 +1810315294 +38344845 +984136966 +1083013388 +862180260 +37620267 +444379174 +832423053 +1884978451 +1344211293 +1783791213 +1320389541 +641219401 +761401898 +194278629 +1673392027 +2050599925 +669791027 +1568554689 +1548464791 +1143465605 +226246488 +1010346859 +1408068416 +521834685 +1608568969 +1976224133 +1067783193 +1505757536 +16704220 +1036270401 +1168589182 +55049066 +2020407368 +104118922 +917229326 +2058027635 +548498096 +1749652379 +1795522438 +1892709390 +1385959944 +968428332 +386445143 +2147361842 +1162706961 +2059837170 +2050478119 +1832497988 +1480908211 +1451459262 +828479945 +1707154699 +314322473 +89064713 +81505736 +1922891442 +2065288847 +1149288930 +1281165330 +2081993067 +38075683 +302270864 +2137042133 +2058483051 +406389787 +906787811 +1969027039 +954887883 +508956542 +1617065829 +700113625 +1894916486 +438010513 +1086558768 +1894794680 +1600717474 +998912290 +1797789151 +1285731815 +332336853 +1101764766 +2114211760 +2039491553 +1416087239 +55792826 +2120997289 +1191495034 +2121081673 +1122802571 +325176716 +2055591092 +1160878255 +627447581 +2045149578 +1071877658 +1033837368 +804453741 +893421049 +1988725251 +1313410284 +363003231 +541355229 +1060843122 +801013744 +1627913997 +808154155 +254247571 +479342640 +458459658 +1539979386 +811679493 +1560224424 +1506707498 +703687398 +828828016 +1562500324 +677201040 +2020323050 +1536098349 +1800003611 +198016118 +1444205794 +813398218 +825463699 +1341871724 +1885275877 +1859301067 +2146325465 +631213278 +1700542671 +1312252101 +994216509 +94414252 +225611576 +1795230254 +1722328249 +1033765731 +2049477825 +54187241 +1492225389 +1441973563 +865866735 +904966166 +801197413 +1569554133 +1733794182 +216214090 +99271525 +1606633584 +1752312439 +1899275137 +1804649702 +1049034585 +565189707 +482629754 +243422661 +302981936 +194447173 +242264479 +934195215 +1894989844 +1554516580 +1928411724 +1989404096 +1780128156 +1576158330 +1564248698 +666410239 +1478152507 +1618435939 +11151981 +772642422 +336819026 +916118147 +1573839836 +1906373160 +502428681 +1790053926 +2005644685 +2109062265 +1394882717 +1757436174 +1766228319 +296433655 +175142234 +101374425 +539856316 +478124170 +295821599 +782120795 +1412319385 +43327795 +189153728 +1193247462 +2032731892 +1969281884 +621922144 +1449496942 +488208476 +2100074652 +920449233 +499360457 +725233426 +1257268260 +1415478604 +151589614 +1016157772 +1917907285 +1941643540 +874318809 +1879485902 +1189042610 +484271336 +1498230573 +1485476265 +659413570 +1599604999 +2025332581 +1137537740 +1895426598 +659969729 +402373478 +1938754393 +849123457 +1595620940 +1824002637 +670921693 +70059436 +1126015931 +1159130169 +22650440 +2046465165 +1658490626 +747883867 +1156249777 +926485582 +899473481 +24923901 +696909219 +693633374 +899242710 +428911473 +1882675984 +1383514046 +1927142047 +1220668601 +2042927616 +1379263398 +1098517534 +1032981709 +1127206348 +1758487263 +1435355187 +918477093 +460127072 +883492479 +594996083 +1131048766 +953551915 +1721012014 +142695287 +976202356 +1619993531 +1801185914 +1724086223 +628759660 +580187848 +476076056 +653683561 +1277097068 +1169709430 +1552926272 +1706008541 +904901766 +788956670 +1485666940 +2125570367 +684400639 +717446690 +1076604254 +1717382348 +1844653038 +687607869 +1005253887 +615646484 +1147734942 +1888746366 +1210642567 +131300060 +694814633 +784170933 +273995347 +1671016989 +256680817 +2075181261 +1247619564 +885440477 +507885462 +1723695621 +1539124039 +1784982530 +745921403 +944566663 +1343507423 +1650823170 +1733523333 +681690716 +1628909889 +270440324 +1399137406 +558030495 +1987822672 +1096306797 +1245638365 +845592911 +1711953281 +245889659 +586855629 +775112200 +377189719 +1281670263 +1559283133 +651185066 +805203604 +1815963950 +578882680 +2052823169 +553920780 +1086768142 +1629035142 +2093044819 +724267024 +227472897 +890127834 +2067774447 +1878296067 +476167519 +601981515 +1359722309 +746607844 +2001118922 +1917752804 +586946868 +949942071 +1015907521 +1432539780 +514411704 +1261797180 +2019395409 +1289523904 +1638986899 +1153582024 +701323389 +142688318 +1958785629 +369803692 +721570998 +1864125150 +923724472 +1808339140 +1345676644 +869285643 +385122516 +1573149541 +1759413477 +305413315 +1303961961 +88097348 +907394831 +516200622 +834705192 +761030105 +286469778 +1421652061 +1710972176 +1302377300 +706708193 +77900232 +416690832 +578619954 +1367424136 +2055677732 +1732201979 +2068747525 +50882402 +1543503960 +291067569 +772453400 +1260145462 +1214792041 +433308892 +458338458 +2084077684 +818431408 +2031487999 +1696007513 +1123844723 +1187966312 +1784104862 +2031239554 +1704166934 +471326406 +644786011 +1990636713 +1892978467 +208274539 +1145530365 +452203012 +286174771 +1562221197 +1030822967 +1653598907 +1470415281 +615541298 +1574862785 +1521297683 +11561610 +1865930354 +146267435 +1271707072 +933238748 +579576327 +1730045530 +869832784 +1398007735 +1614049881 +418356650 +374368811 +654532546 +54977864 +258124717 +211215832 +526304270 +902910729 +54368897 +271799090 +1111185268 +1199899262 +724002102 +1397360040 +614636812 +1754825069 +903475299 +2085052093 +222882719 +330854436 +1458866129 +234444329 +49301143 +1605133564 +1506151401 +982539891 +37226244 +1088713283 +1852372675 +1435233979 +555279517 +123245677 +1809602790 +1209812063 +178223541 +2067727508 +1421027895 +704527812 +823154589 +1475396793 +976326902 +1934339857 +527812407 +1700329004 +1184216249 +1142449219 +1307670426 +2087691549 +1080017665 +1530553145 +271062337 +391400146 +1764997475 +320363480 +1996533710 +1123665228 +1302903371 +2033759954 +64894864 +1007792399 +1321510286 +620174381 +1131038076 +983629428 +1829986444 +1309261618 +903873288 +1103530691 +2013789430 +1727027877 +431443836 +842632684 +1513884087 +959256244 +395478040 +550616688 +2101705463 +1703148466 +490824589 +1034239480 +1086217964 +761886927 +1425639626 +703731791 +1082250407 +1274689689 +1827397019 +237670131 +1160965995 +1892291883 +1245462530 +334992633 +364982616 +229016958 +1318622062 +47485412 +1538278576 +75011702 +1151016104 +1404584358 +1802039580 +1582459940 +99733394 +1168440019 +394232536 +495211435 +1719056707 +348454352 +50876253 +62397649 +1382693832 +1137094217 +824284576 +660849811 +1840826008 +1906534983 +1935539500 +1520739380 +2144205114 +949021847 +1265547615 +1242183996 +1284014481 +1630530232 +1471200955 +455152895 +1678015644 +861995883 +530164597 +681548100 +119096594 +184720529 +116524393 +218829988 +1353160548 +510756929 +714041423 +924733608 +859211281 +764917677 +987131257 +94421466 +1902011894 +1811415833 +755271277 +1595354255 +1570467168 +543327129 +968609987 +1567188635 +1492348976 +86673954 +661888983 +628879809 +1717204186 +2133089938 +1084032704 +1247736183 +847602174 +1614197302 +1929284283 +966698768 +1798917831 +2045808676 +1185528756 +1004594732 +409081958 +1899570180 +1929328340 +1268293239 +517004209 +768975949 +1362714705 +271532455 +432908134 +2117985982 +1866886710 +2003375302 +513829463 +688013049 +1423080289 +2006178440 +774687004 +2084969273 +487574601 +344407542 +2070575563 +1571607306 +1592143725 +770694089 +1038320960 +1373944361 +1737392857 +689755143 +1272269389 +775437966 +1694349875 +1681351347 +527524498 +1476194567 +802160939 +1044528707 +97686868 +17391996 +1316061162 +530595002 +2135377979 +1035464225 +386486657 +501723794 +1723477274 +1809566946 +360418586 +350680630 +1747052571 +847993188 +695088173 +1670144487 +272116846 +139748250 +293354928 +1310437806 +1513692611 +2030747786 +2000192949 +638478353 +658702104 +1547059177 +172346052 +1186226602 +875770096 +974506991 +83271661 +973456965 +991898988 +1399332823 +1504051967 +979793319 +287313400 +1890538624 +1481517113 +2010790675 +1552621923 +1841935700 +213987657 +1152190846 +542445240 +909075830 +674851685 +814562086 +1048824081 +968206614 +2124999892 +415033044 +851470752 +1977709193 +1053511397 +1510172856 +1377284722 +1225857450 +548915810 +105571171 +52880793 +632187471 +1079028136 +1044779781 +2031520294 +435596455 +2024573100 +171350047 +178651432 +1358606566 +34657074 +1731273355 +1053058618 +248644731 +735980553 +1595503858 +1157720562 +1410832239 +262582296 +59060995 +231555205 +240098540 +474094039 +1083025957 +70324085 +1527605437 +445715165 +1447608808 +605979239 +994630975 +1553179979 +658860032 +1626818446 +484724467 +1703639814 +1510855092 +920320922 +1580729266 +1682205139 +1098972354 +791852184 +1716862213 +682762061 +1844910802 +1965506945 +1418742615 +1292931012 +975743859 +682091206 +1555513308 +1034804854 +913646411 +1795611848 +1508898893 +1996672368 +1865935934 +889020682 +294903885 +1166061094 +1494999921 +1289534860 +571757425 +6376306 +768869658 +1056481892 +1710016120 +132241102 +1976802814 +1143261738 +1814446242 +928291521 +1935113923 +1383824807 +1611053582 +1632541077 +1201848104 +882312549 +777988442 +30108315 +1564403755 +186018102 +1064913169 +330566518 +1981629951 +426328415 +179755238 +1700082237 +1315349097 +474659123 +718659683 +662865371 +1764193983 +1290417108 +669241677 +385579993 +199415352 +231774149 +517821096 +28734518 +1375035887 +184783690 +957026039 +1162666162 +1568608497 +420595974 +647723592 +622972954 +1302908523 +1425712034 +653081269 +719828631 +1611730136 +1717994439 +1050395149 +1445876439 +2144322854 +1230150388 +998475028 +1312188303 +1704809511 +1717134711 +1975053674 +1321519847 +860068171 +496811703 +1707099840 +1059483523 +728585852 +77437288 +1088218042 +2103621740 +262220978 +2045244081 +1118804254 +1830829476 +318356407 +1766527846 +306318782 +1621264931 +1044756232 +959400051 +193609914 +509002721 +529910842 +1244005063 +1954879160 +526750048 +326671803 +805870541 +1838938352 +2031481315 +375521604 +1666508378 +1205517514 +1235589776 +15836434 +765133706 +147589651 +744422286 +842570995 +1235807693 +700560378 +1104791973 +1133568127 +1819364633 +788137801 +1451924534 +1438408831 +1094456583 +925705817 +335681416 +2053856635 +1119315731 +844684137 +436283829 +215837147 +652079649 +963033878 +542508950 +1457950190 +654488582 +426506617 +1833471795 +173513312 +1632024131 +921577923 +189349746 +249674190 +1069167574 +933772033 +1092245185 +157491620 +1634332411 +49553510 +1291059747 +1306213396 +837691312 +595500633 +597138580 +1932147895 +1521206451 +932819996 +1838520882 +493038534 +1777504133 +127321064 +708875681 +282100134 +1090354942 +1251384632 +1740050325 +1744843524 +1677891249 +1426038472 +1918356836 +1162431733 +200132747 +2107706583 +1412105923 +1269300321 +893994968 +356867460 +1426791941 +380843731 +406420970 +570368040 +1687057128 +1244112282 +1165868674 +136712060 +1028776530 +539591477 +1069532056 +719813764 +1032630011 +699552541 +847134828 +1741505693 +981652675 +1937489770 +845406677 +574219352 +1534849646 +375814278 +2000257824 +1305722835 +1538246011 +52906923 +1265945770 +802868286 +1322207245 +12457090 +1159735746 +601515538 +393300821 +1566156717 +1171883579 +2080357949 +662785351 +190268605 +69586361 +1691561881 +729860082 +1139118417 +263891998 +1762490093 +1838670958 +1111026826 +1356512138 +672839986 +901032949 +54435167 +1247059338 +288398947 +430249446 +1099833515 +1594121782 +1968495457 +1152740438 +712583904 +623880096 +327464035 +725040994 +1783615842 +928979574 +1118341816 +1202288911 +2100863153 +1051216117 +1865074263 +143648110 +1120802479 +1409152496 +873508192 +112437248 +1673044494 +488514637 +1951108207 +636587673 +1845026776 +476464545 +1537620622 +1899461943 +1723523883 +1826019569 +182227741 +675873750 +1272657704 +3239551 +1828614189 +1985241608 +627119647 +8594576 +562798955 +263251841 +937574150 +1681140771 +1465540753 +890953655 +584873240 +1183131368 +1034601765 +1705675719 +444800216 +1908109957 +1818112968 +2117844711 +249140947 +1621737527 +606948736 +2094167723 +2098202072 +2144569358 +1846146018 +1674242307 +1823105279 +2028373760 +202632410 +948279335 +2031613311 +2031246599 +786037296 +511249310 +2039841175 +1348836251 +774501151 +829931678 +882493374 +92558256 +1720885333 +1467366614 +1275689624 +608003451 +1025558686 +1720489841 +368629760 +696188006 +1690850904 +617770707 +170441885 +150315992 +564454782 +121160309 +147401702 +263117153 +1795402616 +1970506981 +144007265 +1998035026 +771302669 +28136928 +1881797977 +1557339965 +539386238 +1774155505 +758692568 +1313887389 +456603535 +1641185942 +1406445646 +30005220 +961068908 +534651622 +638008671 +1986627594 +107657815 +1006638432 +535331952 +1798508719 +1624409139 +705773837 +1948824711 +41380274 +826934146 +2096226413 +304497427 +474853115 +1919249747 +448504692 +325404493 +543068768 +476641620 +59718823 +2100408733 +1016027858 +1833874328 +711617653 +182431599 +142994215 +205319947 +1588877245 +172999435 +1166388855 +2123528868 +811008107 +1005532802 +83703035 +1817646539 +1540864754 +1882211755 +1294572030 +99154944 +1683552818 +1335952304 +926089090 +1632295584 +1640449731 +1400942205 +1404061683 +2088954423 +1726346699 +1947130451 +418112395 +1786065522 +1900055536 +1434140253 +1472456202 +464189541 +1616571853 +1615450417 +669509488 +1057965450 +1788449852 +1835898343 +1034010670 +451974311 +693947497 +1117713706 +122137202 +87328604 +852441813 +1416709233 +186483548 +388510983 +605177889 +1112572638 +2020806567 +98143973 +366031196 +1277384602 +39614748 +2092377895 +1077031405 +457727144 +1730959769 +829603293 +1891867397 +1055932323 +1293792834 +1360955602 +523899092 +1963302322 +271437405 +164865296 +1651717018 +1305448075 +616839608 +198180867 +275678133 +738976810 +285509471 +1128119946 +8202395 +471993019 +1516630930 +613380285 +1584565658 +1389953849 +711524258 +1950596854 +519854804 +751139006 +1895491101 +1596886209 +1208866150 +1478967222 +279005855 +953249900 +387415897 +1572798689 +166721854 +911314989 +1388617364 +438159259 +1076180285 +892850734 +1743607335 +1693019893 +1091031601 +2019285468 +284513056 +1376541073 +999921767 +292715451 +1848534092 +369069049 +906095736 +1285616102 +1759022898 +1617619994 +1088729308 +131394054 +221275353 +836736761 +1728280264 +1430141503 +168220335 +2007286119 +235907755 +555636232 +1432601160 +402629610 +1466951221 +673734876 +840788869 +395647859 +1566585610 +436912556 +2088667752 +510133564 +308714377 +225697160 +1886674637 +1308636144 +518412612 +1587725081 +1677705193 +1424508348 +725857536 +1289244443 +894644695 +1814586844 +1420638498 +1115920048 +503839958 +1001435114 +398577903 +672060293 +861237585 +634485659 +1227696526 +146355097 +1037115269 +547164099 +820089974 +1877904138 +942811958 +239191936 +167333047 +883996063 +749325500 +476047424 +1109693223 +488516489 +1784683568 +1628105835 +2076241571 +1314905113 +905130536 +654615459 +456665908 +1799775231 +321718655 +1877304406 +768211631 +825558613 +731255872 +1166789534 +1497618907 +1592493457 +1801275193 +577831785 +1738848555 +690906814 +1124995884 +411454881 +421327305 +2067807843 +650646817 +588660352 +804320258 +1399972318 +1064707776 +1914013481 +1888488807 +701907696 +1394635669 +1817246730 +2016812809 +152282557 +324378541 +325995069 +1952057788 +646097197 +55815828 +572785771 +1471655810 +787071700 +1739575305 +821791069 +232081510 +1393366851 +1399622854 +1970930065 +2084273665 +377135091 +234901298 +358117322 +297459286 +885548115 +946777674 +1101779544 +138036785 +2011485450 +868309377 +2026525593 +565909498 +115461398 +1696288675 +435238659 +267743955 +2020667217 +761233729 +72318095 +519280766 +817049557 +645103866 +1990936576 +1604121257 +237195524 +665243998 +1836202767 +1630562375 +2064866852 +1659649184 +1567352392 +294518295 +1894550482 +1925469715 +591977581 +632614950 +724763741 +1693757125 +770651735 +588765544 +414582855 +649693680 +1154675042 +530044253 +198498708 +1589913702 +797788209 +71682277 +203663783 +870106304 +590963043 +1020713340 +1515210171 +434415971 +477350949 +1752405695 +1099659969 +166070069 +1235484422 +1017043174 +1825719253 +655353166 +1311561469 +1572786088 +433339233 +1903539051 +57917390 +1158102975 +1449812528 +828569125 +1746868519 +1864395383 +1478262806 +754059913 +246955989 +1676761514 +196489967 +1044744198 +1748443791 +400153750 +1914850502 +191923186 +1420867090 +1282577025 +626339157 +1898218040 +887499072 +1725999127 +2064288109 +2122983494 +595558653 +1742523714 +630853013 +1907120122 +1167826154 +1064192246 +1663175525 +1225743544 +74811573 +965504406 +2054312670 +1821680092 +682416141 +1385091828 +428256358 +929372130 +914369694 +624746325 +1974116328 +515329837 +1024900076 +1741483183 +707253023 +298283518 +876576560 +1333592180 +49017910 +1764075633 +912107659 +2113306019 +1739575479 +1507666312 +1708346086 +222944844 +1267302787 +728688592 +1287137091 +782994664 +1954432137 +1361948664 +1748499070 +1861261159 +1036145109 +283431564 +1098869339 +1464401467 +1212803694 +2013239033 +2089147792 +1039436375 +381085222 +966564220 +633435910 +1088338245 +1264847739 +1510012470 +274446777 +1313865649 +1126604455 +1186554437 +1279688021 +718696287 +546737101 +840550459 +941641131 +1814039888 +1569239051 +81294574 +449550905 +1376187540 +1443243239 +50566327 +1089965051 +331904700 +333997891 +41350742 +1796306167 +1546801586 +2054589775 +1737970311 +438754313 +288191349 +557050884 +1072190223 +1376529594 +1821898623 +434719045 +1650976372 +988280624 +1561323501 +690047161 +120484997 +132536140 +1236784262 +961035456 +1074177271 +903340503 +382790860 +1155471846 +1352891408 +1758978400 +451231437 +1403457735 +701459804 +783136137 +1737455627 +742810546 +431958656 +1136773565 +649916674 +22445319 +1575527878 +938108023 +579496203 +500234453 +167153970 +253911178 +934953498 +1818130342 +1242191803 +348793351 +360693855 +1362676800 +481329491 +1597478117 +176228609 +1555506763 +353334972 +559019469 +563494961 +1706226380 +170514221 +1014726398 +962200468 +871974025 +1797862535 +552172447 +1614784572 +82337543 +1688946012 +117217598 +104782862 +1116990242 +1055325621 +684279066 +1617224695 +1222479591 +938190244 +404694545 +893126285 +32898399 +753487897 +1253820140 +1395575200 +1234817388 +703814610 +1571803809 +642840503 +1057149582 +2130823278 +1206335464 +615892315 +153853851 +73578214 +1578092783 +1025827877 +1871440749 +2130265230 +493128801 +1953778292 +1671727594 +610346399 +2058561155 +641234188 +1665672020 +595356573 +110975235 +740667964 +1533546817 +515669780 +1633794249 +1566445217 +1269157677 +740130742 +814536769 +356491418 +1443945352 +238856930 +999331921 +353611286 +222196560 +58183738 +969503601 +376050411 +131761952 +400112736 +1401878288 +2003202702 +382894318 +1895007089 +1809497346 +2054621912 +357869840 +1720574853 +548372452 +2023541861 +168447778 +659347687 +616726177 +1701994596 +1175017468 +103036778 +1120956165 +296691497 +843167520 +1935492934 +653182915 +139629224 +26866216 +1652514837 +493240511 +249062776 +1710698575 +1462744112 +625113187 +1842460527 +1862856849 +2026991476 +1698179581 +98267519 +1774514917 +1360193280 +5405784 +2132384758 +933284485 +553778236 +2008442971 +1101732264 +1213125924 +477685500 +656243212 +240659744 +580722278 +1777199377 +537351241 +1423889799 +1565208663 +1190534157 +1563519023 +1592074879 +695565346 +2056759534 +1841137655 +258780273 +1372019999 +318767194 +2101240800 +1087393200 +198275022 +1651936734 +1185660719 +1972789940 +864646366 +1191066503 +1957691050 +1797930851 +1744844740 +1818650373 +752179467 +810487016 +148852225 +1408422679 +1051146760 +729574503 +1038138408 +1588498001 +5980654 +455863423 +631548510 +1569499678 +2047938302 +1327113856 +1478775564 +1741592309 +1585894129 +703311915 +2060359504 +1539651282 +1790705115 +111150878 +1044104368 +828882187 +2083940818 +1908750734 +2019948690 +1894148220 +1559197937 +1617309782 +1565314945 +163893757 +280313150 +1714167170 +1572316436 +1331459910 +296258026 +462971197 +772474264 +302238680 +918834620 +1404022774 +1871738358 +819289275 +583652983 +1203030275 +413397936 +22063464 +1906342190 +326273792 +1561714746 +1549563658 +437424671 +458335466 +230962197 +373881841 +219602552 +103427239 +120546414 +1778800490 +1720737022 +1685861359 +1942694247 +2001050172 +1252544882 +1367527035 +1185026435 +1548802908 +1830498232 +1957500699 +1851041588 +601849205 +1214039825 +1575296299 +1421138480 +1797692808 +630842926 +1834536416 +1819756273 +389701468 +13326561 +1233987371 +1939265126 +450751232 +1692322838 +22743675 +824633073 +1911925390 +126170915 +945179487 +1543242232 +1846907937 +483557199 +1338452831 +1700474461 +1736102081 +558496219 +738017248 +1137421341 +241510803 +548034299 +840979281 +843360008 +1762074125 +268791932 +117014840 +1412283285 +899634858 +1951551257 +1084555910 +1289336327 +1964877818 +171059634 +1081117805 +268145402 +1863382472 +1103861481 +1092778475 +1627824214 +1230032396 +2037957963 +1023582799 +929456685 +374031514 +214551982 +482447498 +2110133595 +773048201 +1220464747 +1100071288 +1014559005 +1768499046 +1941050569 +1857919013 +1383089523 +62358854 +1974933854 +647889161 +961993712 +1779001463 +1732445071 +103846391 +1596395633 +1903504705 +1184964197 +1864541035 +1619403529 +141342030 +809835862 +1099744096 +1371374426 +700310177 +2123326895 +153347463 +1074341691 +190395229 +635794961 +1036991638 +963443431 +1856259708 +2137062926 +1978002436 +1477275107 +1930629848 +1688437801 +712880982 +1992988702 +1515888007 +1360770143 +807498766 +1147405822 +945731567 +911345158 +596317807 +701752624 +2096309355 +313375194 +173672506 +90167737 +1123211057 +1273416602 +1461542163 +1823521234 +1249259849 +1614889626 +750379278 +1439655078 +103200939 +1787370916 +255614861 +1959460648 +1776950195 +86133649 +1289252107 +1560096395 +1774571451 +2002133089 +1405601449 +1142975810 +1215419585 +65616567 +142897985 +13667504 +976961725 +739215792 +715420128 +925787432 +1052590987 +889092634 +1015955169 +28318396 +15025588 +330013684 +1851839630 +1264285437 +1944903310 +454735260 +556456868 +2048104250 +94622529 +812071729 +1860081250 +1871572724 +898205379 +1001849709 +1284185471 +525293182 +856499150 +542303272 +1668268992 +2071918735 +607919839 +1811166977 +2085586239 +1584881565 +402899122 +653522720 +363185349 +1455490109 +1542615354 +1379140519 +1483808505 +1557640943 +1709154203 +1188164487 +674442732 +1506573866 +1642899748 +1230899600 +1407194468 +1737522277 +2042971330 +1119792070 +1461611353 +793693061 +2121641779 +598313176 +1318986243 +830657281 +1140616448 +839771587 +755092369 +1748536287 +503454917 +693194960 +1185934204 +906354039 +1346717680 +1549119554 +214360500 +741849387 +780776425 +1698169005 +152006682 +342446980 +738849844 +826449414 +1849020846 +234265944 +2057349015 +1108731666 +1971788221 +1952836697 +81040088 +1285915926 +599046110 +55198219 +1884229102 +1918032353 +885855501 +877361902 +610320292 +1640947870 +478414542 +1113775209 +186659182 +1664348746 +2020129248 +1533376863 +1065984652 +87006100 +127742602 +1846761077 +1785175105 +279749284 +41724410 +376541302 +1106198698 +1890745256 +610807246 +1016064065 +851993275 +435111820 +821417114 +933033363 +1721027746 +1420463224 +988231583 +1457773201 +1191011929 +1874087084 +187651455 +1801332222 +1367551306 +666065997 +767623783 +1554210488 +182931096 +640269384 +940103703 +1248915748 +727275484 +1067846305 +948193178 +364966942 +1347595589 +989917588 +741508244 +306310640 +733179196 +1352315490 +1322374705 +1585172471 +1787427310 +2143791820 +370722187 +1360971409 +1416771396 +1358953770 +671260962 +460299678 +1085557206 +858912417 +114148252 +305624864 +1524978415 +881772035 +1859835352 +1707909511 +1522041419 +652455408 +809341611 +101833256 +1720301713 +1757534789 +466800198 +920413655 +599968729 +1208308442 +1226724295 +1333147926 +413140284 +401615352 +770836749 +53083947 +397923524 +1141558936 +1414055356 +1814694921 +353029058 +2085316318 +127510951 +1438586264 +796745087 +241659203 +1744211128 +174239854 +1123431238 +1456562833 +1882149365 +497989010 +2109018241 +544007329 +599822266 +1681836306 +154058470 +1066622464 +454766313 +754027200 +127447258 +1681490608 +2087175126 +540587542 +2083105961 +710528227 +593671489 +333545837 +1852087164 +2007726845 +757110 +57632574 +1945559515 +128268061 +1496218839 +594820955 +369927264 +1092946319 +769060809 +1493358503 +402025504 +503726527 +1991347513 +363560097 +1047733856 +443686131 +2045396404 +1201792326 +1510308595 +352679069 +1955819526 +1637755853 +2034169678 +1895511004 +30859747 +1969791991 +458555584 +624531237 +155854180 +163159100 +484774434 +156611291 +220791674 +282850302 +284879352 +1717010513 +877671257 +654806617 +662473185 +1646732066 +681472 +1064498689 +2974945 +1992028985 +1428058787 +1050708801 +288231468 +1325971543 +105017480 +1798540063 +1678650612 +2060837006 +1288812268 +1565336642 +1808864363 +1319672015 +1387644985 +119936299 +1944203252 +1543499166 +283095399 +281494039 +1700110457 +503887073 +564344341 +1984989809 +73413939 +1442015598 +492312778 +735887124 +941264016 +492994250 +1800385813 +944238962 +337539587 +1080960952 +1994947763 +625771055 +259448847 +2099965243 +276827470 +1938099460 +2013318602 +1565639738 +1355952454 +1674699317 +737828106 +596113792 +1794635616 +534547710 +2139612958 +2077731015 +816041749 +1692239767 +434134440 +1380386090 +1529745928 +507548379 +674918040 +2022058707 +1243435503 +1616182057 +367569309 +896337669 +412937371 +705108897 +1977298621 +260401486 +1330879952 +89263821 +212883082 +1607707423 +2027363281 +78718036 +1025863513 +1235832087 +1753417353 +1763691619 +1831945879 +1400569321 +150755682 +1824075189 +1330816688 +966797431 +1368831308 +1764951128 +199699874 +751093589 +125015860 +874617914 +625668648 +1368451363 +343316323 +993237957 +117305384 +756253694 +1698346854 +2094604006 +1016655181 +881743159 +36384179 +1229538263 +341966934 +2063747460 +1308256299 +1367830447 +1152095899 +914190004 +984038419 +836558131 +167275677 +1134794101 +513149672 +1498092365 +2101591532 +1881980981 +1115559845 +153807758 +485590922 +1240575705 +1028425673 +1111259570 +461543421 +1371741996 +2104497527 +578848805 +2127995691 +1655360734 +525969163 +997167224 +389620245 +562353342 +79221839 +731587179 +478617154 +1387478138 +2099417626 +1630713054 +154184494 +935972397 +319787537 +321460171 +2070766498 +832937209 +1819552536 +2024874383 +567434542 +787628733 +31198493 +1053025464 +2028204439 +1059624166 +16801386 +342264212 +283882515 +2121298914 +921113017 +264394558 +1629176000 +1447082181 +1261561782 +2018796245 +2009435523 +1340783621 +602899776 +340569030 +580778111 +554833754 +1971282084 +734962605 +1490806152 +143585973 +1056422776 +1414089002 +976523182 +728491664 +1291479737 +1543957725 +1516120397 +1322678231 +449499541 +1396841188 +234818749 +466300928 +1739105400 +518701264 +440116194 +512734770 +783095822 +2069292194 +1959816951 +2044657604 +1940604791 +1821768826 +1237957577 +396020919 +14854208 +1818735688 +950854673 +1986136292 +406214645 +294177177 +2129722265 +1462637421 +1708266180 +958761800 +43645437 +852262269 +355235877 +1559765835 +27456852 +804735418 +809123375 +262275602 +1271036346 +400745128 +780976866 +1711152540 +913479898 +1564072689 +1632961086 +725813201 +1461246645 +1426082229 +400098379 +551720575 +1822103148 +414952588 +222972615 +625474174 +253605232 +629187261 +919651351 +235843850 +2091824682 +480433883 +1194605650 +2135470120 +1332696153 +1549841527 +1547752307 +1360153005 +207093297 +209392034 +1622428607 +1478129644 +610137162 +255921826 +1041798536 +1523617060 +1819994515 +527275975 +101946613 +1133757512 +1953358204 +502044993 +1685478087 +1627977705 +916997581 +1908450703 +105968231 +1170602813 +390154316 +1025619582 +1406446663 +334495350 +1506053466 +453568665 +322481822 +691265971 +2003410192 +1870234129 +2051418976 +63019842 +2079626164 +1526363936 +1541149486 +542279678 +1782285762 +435464374 +2065896739 +1454796629 +962740349 +20359704 +441070493 +768614906 +522404697 +2126548581 +249108963 +1439402278 +1887515636 +355077194 +462521444 +130186304 +1380696776 +1868968107 +464681654 +739266594 +175053125 +787163477 +1430532565 +30979669 +509913958 +1334467894 +93999511 +442056474 +713348182 +1635148997 +984336153 +348150296 +2070613372 +902749244 +1802946925 +885870073 +923108948 +96533770 +1654484979 +1445513646 +75598703 +1903593942 +737432276 +1963114339 +111187488 +1199953720 +2093300643 +1491884265 +921438180 +410498650 +83667211 +1096491305 +1197662127 +1514199777 +1127470974 +1707576085 +701184023 +1221470486 +2148912 +1414532205 +709135835 +986485065 +1762682501 +632265559 +1889234309 +1418145778 +1518135633 +664859609 +1514679548 +1025136964 +2110373255 +1590278252 +781247259 +700321884 +1405908943 +892434747 +1900275604 +1351725939 +236835364 +674230136 +1762224589 +320502576 +1770721441 +812403068 +1834702353 +750708768 +372495505 +388402728 +1972179254 +374644417 +1802934933 +533831441 +1361129482 +1418133786 +1166097001 +1102880143 +688795916 +536748986 +1767739753 +55991816 +1561885950 +1730629360 +1646270068 +195649561 +283467596 +904695364 +1088084309 +36259553 +108937655 +1324919673 +710489689 +1871162244 +1645422249 +333727483 +536081664 +1332640954 +1084436251 +908577169 +1721043682 +909131857 +1283221587 +1376494967 +1442963298 +496867421 +647145105 +461576651 +1599747565 +1335941021 +998325637 +1220003670 +1391932838 +412727940 +803149382 +890719258 +608377501 +1086616979 +1795414622 +1696461810 +1122876532 +1904352277 +873897836 +1833366221 +1628030873 +371836437 +19610056 +16628889 +1704477392 +1104046307 +925206059 +1278037426 +2013178164 +60943998 +507048746 +1308657815 +557811419 +1154193851 +1770234466 +10075336 +342651225 +621076456 +1230079006 +1734584063 +1033804396 +2033228389 +477819673 +1642181897 +972361720 +125750648 +1191160060 +2095238252 +2030102925 +2065057896 +1781120825 +1510650151 +289410685 +1800730882 +1527279040 +1993888077 +757293541 +305001451 +1124441856 +622988058 +365945449 +1631490602 +1931645873 +923756869 +638200805 +1554396691 +933832205 +980852030 +27989499 +16427564 +567952445 +1061793895 +2049655953 +1045772119 +556492145 +874534025 +1171522767 +1747652205 +822288629 +1054142044 +1665226453 +455925806 +417308547 +1954637138 +109173040 +1944587588 +1801041568 +866466582 +102105391 +777999776 +1489454640 +468050841 +262006730 +1273616865 +1391807710 +900207535 +680529908 +178156267 +1881059566 +708519408 +194583831 +301528363 +1770313303 +96756136 +1347300482 +179321800 +971290161 +371339601 +1926974005 +1793578790 +1425481646 +1444716810 +102020949 +1842790193 +1251870301 +211193989 +1639894133 +905428221 +1077660571 +1741999525 +1683427997 +419631563 +62566718 +1945434727 +1693248428 +1454374428 +698158614 +226294689 +1632530695 +431734532 +934814097 +1827114527 +733262896 +557643752 +1923870663 +2080563378 +736965553 +747677177 +304419332 +516455910 +393772319 +1729900978 +1961172721 +495793268 +1425207523 +1065559374 +706987258 +917618009 +1970987595 +1784647829 +512133886 +1506931944 +56795745 +574700604 +1304883023 +1750044173 +2029075032 +2003041637 +1976338862 +1514122079 +287292522 +763669311 +1193752958 +1020555418 +1321313064 +970139974 +953635148 +2058278617 +1717817151 +1258054480 +427250879 +2111589470 +840471810 +240939952 +459899091 +118195686 +1306499326 +1166886349 +1035813695 +1130003273 +804050530 +1547947581 +489451569 +860846275 +2122648185 +1794334592 +463406801 +2004239569 +1649892582 +292262015 +1370878000 +1937185104 +1055931327 +417147311 +810256874 +229760743 +1387287285 +1763892022 +140555712 +957620788 +874462855 +567806591 +921726610 +1714934665 +808746544 +1381625701 +1833130351 +2115245870 +401028402 +721460398 +1097765496 +1205078933 +121924331 +1587217065 +2065925208 +97088868 +1234068010 +381848361 +2101328437 +736476944 +674110377 +1324722790 +526178400 +1730041704 +1741870101 +1336435274 +1959802447 +981673738 +952843648 +2100358159 +1939294526 +1827306503 +520681102 +713537488 +1394757521 +1329427646 +2095163190 +1080404224 +1297189869 +348707944 +1801864623 +247471717 +1553786877 +1923788954 +1834688782 +1472228438 +2020877823 +921273144 +1854076799 +1974722612 +1657750088 +380703528 +1151961754 +36444840 +2110745232 +746348207 +1372880114 +1923064031 +1728021945 +178240115 +1875938542 +1519832823 +2005546618 +249135997 +85886664 +1252820491 +1578563643 +33566206 +185741068 +728269864 +382274150 +1987605691 +975741581 +1936061028 +1763910997 +662946716 +1260805818 +1637305172 +1584219860 +967398969 +1464544137 +1094486301 +1348102498 +469022243 +1130931141 +1311364082 +1215370451 +356327608 +1086944466 +795908748 +534567723 +815399360 +168257924 +392630693 +1064535357 +254144588 +1645451185 +495615353 +287710794 +1831192253 +1223885217 +669984944 +1671314296 +52143151 +458562324 +1287741645 +715089867 +1719368142 +777563170 +151826079 +539283464 +94623659 +1246312380 +1887385962 +563645902 +229759874 +1051266396 +1779016353 +586087482 +2138210862 +427441454 +1120655205 +806126575 +595699378 +1513285898 +1870661932 +849843966 +1011253435 +218793637 +1137554760 +694962040 +1442678855 +1807539704 +218792688 +1494822006 +118618381 +1506534334 +62428225 +1837986523 +136613856 +214254304 +229786339 +231237515 +1460566685 +2117172301 +794883417 +1690326559 +1020955050 +426416123 +128930393 +1011682264 +853857577 +1249585598 +1817808839 +1449556955 +615387848 +1540987124 +151917273 +1626641284 +1759780761 +1289472033 +174119676 +1054975968 +949528089 +392912365 +402314326 +1068146470 +1899446699 +464742551 +758649346 +2036060555 +678996856 +988435685 +119814422 +2139563541 +958124339 +914697839 +1682406452 +1979079389 +1341113962 +1811336845 +843278005 +47487891 +913438795 +513603197 +1497044846 +1528826643 +2054590321 +1648962119 +1007984279 +1666887434 +790950504 +1182103956 +574379755 +1740478594 +1575016321 +976694081 +661141416 +1326979372 +1441436633 +1419790762 +1215556279 +2120433489 +260742800 +1335370701 +2112513382 +1218867139 +102584892 +1647436186 +1050462880 +1443698855 +1311289383 +1893740885 +1491186746 +77244530 +259860434 +840747945 +1606071173 +166967107 +342226416 +466571805 +1833854542 +1133176921 +1648675761 +260750649 +726171867 +1076208434 +1237444730 +1387313283 +255704158 +531397715 +659620398 +1471260437 +504347556 +920363198 +659147490 +469377290 +2139230337 +761732382 +2116813476 +1042209569 +57947589 +1280619211 +788466806 +1549134336 +1357863741 +1048327241 +242398633 +816451267 +1215294348 +584625049 +1283023072 +901665242 +1717801970 +784215185 +1162415891 +296490189 +1860423619 +252376974 +1683803473 +2116127777 +783774689 +195940223 +1439904566 +1288122246 +1116303421 +2099052056 +1757499536 +1108050110 +713300790 +1726829365 +2776031 +771248380 +859964928 +791242837 +172899068 +70345022 +1839570078 +415297701 +886796289 +907380779 +999922750 +22335713 +1809046021 +570241073 +806550898 +823978265 +866731262 +519490869 +1076355239 +403051087 +488134998 +1860129928 +598991310 +1928039564 +1000768526 +1715294731 +1879607972 +610784415 +675861193 +445425114 +190130132 +678637224 +1216673494 +1050095060 +1469880062 +1389572562 +1120440082 +1161966492 +1804870263 +2007236371 +2069347271 +657309366 +2029572084 +1730909645 +1227550439 +688639334 +407404262 +2094281701 +1208130203 +1483759501 +349849141 +1696265201 +1196405781 +948840451 +1476821117 +49690660 +516651535 +1208945441 +660475075 +1192512728 +1654370556 +850605207 +1871149953 +723560402 +1900700267 +1193546367 +2113132965 +873656702 +208029211 +1770519580 +733409425 +129892835 +280345298 +615497862 +1860802480 +1507895737 +1304137196 +120723094 +1454693791 +364783752 +1604482595 +1804542932 +2061048953 +653404728 +605899735 +1390386423 +703095388 +1122551270 +451848216 +1363570463 +167580351 +2106218772 +66692022 +2038730304 +682295527 +1967392290 +1084793023 +647944844 +693565344 +1292822234 +270980776 +1426974769 +1422715069 +551326075 +2042472631 +1136033901 +2059221812 +1199126180 +1256756995 +1366431955 +1563909932 +713755942 +1023491239 +1477475237 +1367160671 +1629390975 +720378012 +2070256059 +604458597 +1172226229 +1286342875 +772038948 +1130961353 +1353034897 +663285604 +1813256880 +1172943539 +1748078627 +313718076 +1866508883 +893417214 +584698853 +1146000005 +168648635 +1136024928 +1040988988 +1304682537 +1047763092 +92631520 +413955884 +266711400 +1656541452 +1127711827 +1290202639 +986533042 +347388850 +772109966 +1706911054 +270161261 +1376568564 +731653635 +1556504136 +1123864 +1862614989 +762055386 +664409469 +1528388221 +1934998925 +265004448 +1842106298 +1654024161 +1158421662 +279321503 +652540518 +1327070298 +1415346431 +1693529506 +484269187 +315625875 +1786161027 +898225071 +582337275 +1295218831 +2025936898 +1872539915 +134268225 +225842100 +497166233 +1841179280 +496003362 +1873734797 +425349267 +2052507498 +1874858662 +140480608 +667079236 +391784483 +1668868830 +454594514 +656788931 +1363491480 +2108618675 +1815210594 +1642812983 +613675545 +994797244 +910675766 +159721403 +1479066431 +1226301641 +1945882430 +229807854 +1808638917 +1093617614 +108261105 +1533695184 +1227885839 +334103205 +2030861417 +921581471 +830106567 +1757112567 +1346930739 +735130418 +1484487581 +1487411347 +1402209654 +1876272064 +1008796529 +1856804168 +385577347 +224804361 +1817939195 +53304293 +1867617344 +284131092 +1048101537 +630809462 +443852496 +379684320 +1857111104 +242251278 +609492175 +1518266373 +1335868892 +717753280 +904477909 +416271084 +1051856485 +787855678 +1337852555 +1881963053 +397484597 +537299646 +469609823 +1881972178 +2024710994 +1871819477 +1610760594 +886023875 +1581139998 +1996337942 +1110828237 +1251595545 +2049642235 +830961933 +1535726638 +950260125 +1461771396 +1979579134 +1329944445 +1171398852 +74346764 +1939436620 +542181577 +1410215657 +509706252 +1446659486 +1826486741 +1561562738 +87031516 +1016855648 +1296042143 +484516114 +1554155295 +1765651966 +219004644 +1431382641 +1489987795 +1829765239 +169922868 +923644145 +1678619533 +1280751105 +27756043 +1580778120 +2111713039 +1563482681 +383554597 +1426000787 +1395578167 +1713499043 +449915991 +1469924931 +1505452015 +992097568 +732656940 +2015158268 +291273406 +411660033 +1429237358 +378304922 +1428515682 +577795853 +862821036 +835187329 +195964171 +1081825681 +119086322 +1685951966 +764107272 +289009190 +462112464 +295243157 +1569760296 +489868507 +1876021277 +1533989687 +2053351188 +112092227 +812506826 +1301445707 +1825591270 +1262422817 +623886990 +1183559637 +107036737 +1356543931 +1051234257 +398310143 +1768203964 +332987967 +776615065 +1049235998 +910783820 +1639436102 +1884423327 +1106747991 +573778135 +2003509649 +645216310 +1337885407 +145035192 +1107328774 +1633128564 +1714795488 +1597197281 +1361666193 +1101301527 +1503064821 +1473758420 +1913808353 +657026880 +1151866042 +1028747522 +1280913870 +187942032 +1135784259 +489974153 +1239176289 +1534094402 +110694470 +1572164257 +163225819 +1159930468 +335464429 +1802661921 +896870148 +1442212421 +228956408 +752896149 +2087428731 +1566841815 +897931341 +1047273857 +1052486731 +465243181 +496987490 +266669277 +1566544708 +2000052311 +1740427697 +1332869413 +509595543 +744810092 +214133287 +1790509413 +932752124 +1349917546 +132999919 +24444765 +736528300 +243694389 +1596609022 +899754120 +1403624857 +1932073452 +554932393 +153011357 +1226802225 +783888802 +905907507 +1166747308 +203246969 +1803838848 +66537517 +1255733701 +121598382 +563525007 +1522402978 +1688143090 +416093670 +1115347027 +873528856 +925689213 +1860157119 +1087662143 +568714978 +645425595 +290096042 +701714897 +669870361 +1026624342 +945409286 +118995735 +1926378462 +201550496 +2051069187 +333827208 +354561853 +1130387764 +1117716010 +1260469360 +149651424 +1320962979 +916824561 +216188941 +429213032 +1038422943 +779713948 +1951616010 +579082385 +1195807618 +919479390 +1452611241 +2121496831 +632152861 +392789737 +542728162 +1277578457 +682885779 +1244443059 +1947448818 +1709510121 +42368698 +2066444553 +1488404936 +243919194 +1970030093 +1822232144 +598481047 +952934209 +792464506 +1858950408 +1102585634 +2113427485 +628291321 +1318774575 +395156870 +1666714264 +2098488524 +199289232 +98313001 +1146812494 +1118768622 +1550924243 +1120825678 +1750921484 +1943713980 +1663553840 +881016293 +479116111 +760513251 +680981463 +41142584 +802881949 +599942368 +1529547520 +1046801143 +422488813 +1204296016 +1645282191 +1375423023 +1996760522 +1356748951 +330525009 +1962704360 +1985040272 +1649299584 +210377582 +1504270888 +1600304460 +409666814 +1602583889 +599633307 +1528435437 +1006024484 +1720458985 +1131873273 +802254816 +1236529177 +2012889566 +1281370927 +1997042428 +546387381 +1322513512 +652440730 +1146329749 +704577384 +1699241873 +1568818563 +1908873401 +1197040416 +796757938 +1758150275 +406305719 +1127282947 +1573370987 +243862343 +629098883 +1783748569 +1748133231 +81919696 +45931736 +1203233473 +681553003 +1574367173 +61774309 +254528340 +558756798 +864029126 +1491057517 +424162716 +2145400053 +1340616297 +970550097 +1320429917 +1993057027 +2116879846 +2025007302 +1544815253 +1538214761 +1786397055 +594372021 +187489051 +1397063682 +1000677741 +1314771998 +822951022 +1244540084 +1943870882 +459215943 +845189668 +2025790578 +505147679 +2048423141 +559859933 +2079514852 +2110197450 +814388273 +490788002 +826742928 +157962142 +914950718 +824659334 +1498578439 +1885500815 +2145089251 +1344151819 +1854897014 +2022612905 +741483424 +1245628127 +1661526312 +1335855445 +1433117179 +911106347 +189049538 +600405529 +1734057369 +1433589623 +396792763 +45789664 +131295643 +275099693 +550937344 +32235136 +834959626 +482968548 +2142432586 +1649347899 +973756551 +821691867 +1807310041 +1888707269 +1646351201 +1158404833 +1626724437 +1643956804 +355073004 +1334137803 +1519086062 +1096556428 +432282282 +1033128726 +284928225 +1865399461 +1944235073 +473977764 +318321343 +1530808794 +1907567387 +715114106 +1576598459 +2038863030 +990213800 +2127535803 +2071098166 +1825173426 +463020703 +2066047104 +1327037678 +1436777254 +740255323 +986864071 +1178000876 +239122876 +2145268904 +657241665 +1883079681 +352858260 +1991379468 +1254682095 +1449414688 +276178102 +140327173 +1734342914 +2141577564 +2084562247 +60837030 +312415259 +1467887393 +1968404417 +1027529365 +897002204 +1859783799 +2017743165 +877054359 +1783398317 +1695432944 +1340075063 +1701961773 +874986974 +629368669 +294733449 +1861851045 +1807369545 +533856325 +1859636302 +317127562 +269452358 +65010914 +161023382 +1524134453 +1514425603 +437201485 +1664461627 +1101284869 +431295401 +1601540226 +1162121899 +743710660 +921943971 +983042668 +1771240025 +1818946176 +695342819 +1641499543 +548516887 +331257488 +1189448839 +1888591950 +2033219261 +2064435813 +370476972 +180469062 +1778803210 +30362869 +714325388 +1490955864 +347490432 +983777746 +1555966779 +508513814 +360428552 +922908734 +945715299 +2024890179 +2024193603 +1377010700 +1478946757 +1038831854 +2120721360 +253407080 +2021874522 +1744477738 +2072353256 +569733693 +1238493633 +473386496 +900991181 +280458824 +214494798 +786726794 +197410989 +584971770 +967195857 +1976214199 +615334640 +1681521245 +1319686416 +962825072 +517815343 +728169547 +1471338886 +878243895 +1651078281 +269570538 +755650426 +1527788236 +1646581238 +87113535 +419136442 +1619818951 +340520616 +293527316 +1216813041 +265390224 +863261009 +307823026 +738776720 +1764252190 +588281850 +953271519 +403495336 +785692839 +1538243289 +1370691193 +614423390 +6094281 +904728790 +1934109806 +968919353 +1422544134 +514795705 +292774592 +153304381 +18390338 +562345130 +908954808 +1546178574 +61442720 +996068343 +1965315016 +1681261671 +1336588959 +111358684 +750591064 +1601979184 +974619693 +1058414090 +193272256 +591388235 +1646695940 +1146543775 +994883572 +284905131 +537303417 +218091117 +899328522 +543397698 +1122819908 +685954680 +1512317052 +397880394 +1200750386 +1805091644 +551184775 +1219140724 +219953126 +1460139583 +617835651 +281395846 +308724279 +435667019 +1962657518 +1645313238 +547025704 +565764934 +1099808774 +1521645397 +1624179025 +1293081031 +2113033633 +1123391317 +292141158 +960433557 +1408296449 +829444575 +1178524674 +160141323 +1372842274 +153860934 +846096003 +737675678 +551741328 +2046846389 +395283674 +1102926104 +1118503466 +615236800 +415582039 +1736339117 +896632646 +724306318 +24522488 +711806516 +222135909 +571548192 +1277571451 +1321944683 +2093193590 +754266828 +467542066 +2058743575 +1877658145 +759683225 +871693484 +1138470946 +1589127800 +2050218158 +1298612269 +814486426 +56595445 +2144708273 +1552162104 +608336773 +2044071014 +1947445778 +1711262877 +1015090832 +415198930 +2126844917 +603946301 +1311831577 +703667587 +628468790 +2023638093 +925803496 +1200016982 +1153725896 +100264532 +1145726924 +1907992724 +567806598 +1056986851 +1638167222 +1327489823 +1928680335 +629154520 +769133976 +1831414846 +1927766790 +1583620402 +1888010291 +1924991415 +988298859 +348863416 +1821578781 +788260989 +2060126294 +689185966 +1203459920 +2039487563 +1293132267 +367807849 +595671502 +1921601057 +243962294 +1521474999 +974134392 +1397688191 +1621739531 +2119861316 +1158197267 +42062481 +1029364520 +648880841 +1369552305 +810561207 +1278035362 +2138686281 +494492405 +1058318504 +1574823035 +235019048 +835826271 +415638246 +583882465 +509921404 +1203899236 +496525111 +1199107370 +259875508 +388529026 +344755990 +627683357 +984200528 +118873399 +871645651 +358191879 +1093007791 +121850194 +1979931410 +1065385460 +1280047462 +2021993892 +2094749980 +1928928303 +1244062549 +757827539 +1059480017 +1235265182 +1252319945 +2117798521 +662604569 +1487338993 +806141144 +1078242816 +2071221458 +1316062549 +134658404 +420262921 +367686271 +394533912 +808791947 +712442261 +1022217269 +1792992476 +831315661 +1893862920 +3700707 +1924323452 +2015713115 +1983632118 +842225264 +1148276929 +1858142362 +789491596 +929721584 +954721263 +1547319136 +1989201602 +42502797 +652155433 +1959516475 +705107366 +2139494426 +618173972 +1783350182 +2063232237 +1934236521 +1918008586 +336011510 +154439144 +165058850 +1144803458 +866881406 +1187276119 +790312286 +1698197067 +933655392 +794012993 +1475036871 +801884859 +630161463 +169778488 +1950161788 +340820177 +959270084 +732399724 +1295541440 +359105572 +574117678 +1338044237 +1011261005 +386150506 +2043151604 +1003271784 +1004324478 +1679018138 +919020373 +791077351 +1449543077 +1255031883 +945516495 +1614601927 +252351693 +1812397901 +654394399 +1042663979 +1363111320 +1588049791 +1836676973 +690664544 +242451002 +319354788 +860443032 +45129142 +660174966 +1819713116 +777528866 +1955716406 +31335041 +1351646545 +1146276996 +1042596046 +1737797051 +1041944952 +2045867830 +594637881 +573479442 +817404555 +1385715232 +2023022519 +2072436439 +183748079 +1490140799 +177304484 +1996145981 +2144535198 +1219968464 +1211773653 +1585101341 +909161789 +1902438197 +1827552343 +1228516577 +615397581 +1872681485 +1888691543 +287627050 +502726703 +1696924302 +318962091 +1854373248 +695717650 +1361558137 +1444686651 +1737662602 +1259942320 +2039324532 +163658396 +2077346875 +1277556116 +39197268 +2002299666 +1461304196 +1529338067 +32120503 +1309966529 +1526389617 +1252088967 +374256534 +964007310 +13767108 +129211084 +644076005 +1242283685 +744608665 +369273842 +983491581 +1032235715 +872000545 +532932235 +1351197806 +578890146 +1228649885 +565272296 +2023576797 +818828839 +1825214616 +1915417682 +982487235 +1755077843 +1045490150 +1021684503 +1609893862 +359310698 +403538922 +1642014365 +1669277227 +1929928539 +746619684 +2043533762 +746452201 +760386792 +25261198 +1390528206 +2002670477 +769869863 +1759802048 +838678410 +1802105579 +484318946 +1371610645 +1005819737 +1063209092 +452776882 +1571092033 +939302241 +1271605721 +1248823001 +707236275 +106609309 +856417197 +1752726426 +1128293812 +318827411 +2112037124 +1531832735 +1960841776 +1633830704 +1314277626 +559977812 +1529880818 +2060729828 +1320364604 +1555142016 +1303774386 +1175551433 +177528231 +916092787 +2014229844 +1979633810 +1400411733 +1238356841 +837969900 +316137177 +1691133724 +261578285 +1255439418 +815255797 +1510401287 +1962675694 +921865106 +219334836 +1567918472 +2050158919 +538162247 +1532471948 +1434508006 +351520375 +1018819004 +601301984 +911498187 +401216174 +514548164 +84379143 +1956358190 +1818322551 +1259930576 +2133886422 +586931690 +1126676772 +1966036584 +1987343423 +217549966 +656522836 +155996952 +1908683690 +918101122 +1411436370 +576455839 +281018761 +1226628416 +1498320946 +500353597 +647063240 +1400996217 +1038515844 +32051541 +688020575 +1390036219 +1050870545 +1289322559 +154050758 +1452086720 +1803870724 +238429901 +1260961262 +1474709627 +1498360477 +1247364036 +2061641317 +477553602 +1065916973 +1901501092 +695103568 +1722439809 +2057498044 +456303610 +493057283 +1321450766 +1032759449 +774076044 +400595535 +383596747 +1274429641 +1047658775 +1784592964 +165461837 +1079710316 +325129891 +1555498056 +2130580862 +1614452451 +1709548814 +1435183934 +1270839527 +1947978715 +548661548 +598065506 +1298855545 +1796025585 +512223175 +1776409147 +714458910 +266240619 +324029067 +289415071 +176255015 +780332677 +782472355 +1497705781 +1813092126 +1556548399 +1898301316 +49205226 +683494393 +798476444 +1833798190 +848956230 +1878186760 +11444434 +256970639 +1861283974 +1625896885 +1966519453 +1148984260 +749252764 +1767014521 +1697645809 +1347318270 +918386418 +1346187746 +1859541445 +547311917 +2060646656 +2125782064 +871340984 +202578079 +154553431 +1651673661 +985050434 +1652259212 +1317282139 +394115186 +1403076881 +1366487365 +1077609579 +54069677 +1052801908 +1926565809 +1932256437 +1064246342 +36052800 +1646056764 +542659579 +2002572254 +647557376 +1291912343 +1622103127 +197719537 +491746965 +393005897 +1543907283 +203804762 +940317814 +1457070291 +182103178 +1811658798 +1659648371 +336656609 +1315848811 +497215157 +1988915821 +485647302 +891330343 +1244509054 +1852134668 +1968939922 +1298578731 +757452928 +1748022084 +1083351521 +1821699270 +1784074884 +581924637 +216875201 +1639163490 +1229482013 +1508787544 +1113782969 +1427201551 +2000534509 +1506788866 +823625186 +56855623 +299623032 +133211830 +238958801 +2111281830 +1792860201 +575615410 +1279646993 +142591710 +417047583 +1765294296 +1033922054 +1661556638 +1469945316 +855378328 +812651721 +79914596 +455916764 +1896003242 +1901613866 +92508001 +330444231 +2118489067 +1731671491 +1559926245 +1479792963 +697970813 +839644148 +1332843824 +57276031 +1663269334 +1389699447 +356899064 +1796481164 +1628658248 +320697246 +1441857717 +56790010 +1600344240 +1584449428 +473837593 +1218154888 +470887834 +2135394231 +540616556 +1326266162 +800562305 +620531152 +1782182927 +549081899 +374661370 +1874690928 +879526131 +345666789 +1458878771 +291968728 +1825459752 +9365936 +1131612876 +1010819928 +66641968 +647398562 +253035727 +423541032 +296396079 +1881693975 +744238278 +1738253796 +1938483985 +197098870 +1175219576 +264837930 +1415253758 +1646107410 +252748514 +1955870314 +824889925 +1053310819 +428917818 +459589204 +1602392718 +803579188 +186796484 +334435201 +1149245977 +1645675255 +626403929 +827222081 +1655041192 +1758016805 +1838042009 +1721683160 +257931720 +2091077736 +2145224192 +554327799 +1825288063 +741978822 +145097947 +1616288400 +939077693 +1320317524 +1881126331 +206847803 +818941286 +2133874845 +15234470 +1643831211 +1039702016 +444152288 +2103420415 +494611086 +1247731477 +142733251 +829046288 +249493806 +1788408507 +1455450217 +1076715888 +1295966051 +1065983375 +767274249 +870165563 +1323915095 +710868338 +867906107 +1878242894 +388672753 +1609884929 +2023340841 +2004961154 +401478974 +1196174717 +1738603837 +608326778 +2015116004 +1724995034 +623561248 +1511463567 +617213402 +1067713536 +1467400335 +1111824488 +167961365 +1610133586 +1940870776 +417455172 +1251058445 +1248837346 +1494171060 +399540848 +167337073 +113961661 +1269706411 +1491252168 +824829999 +2137612518 +1222011414 +1213502753 +1600013800 +1097868607 +1070980259 +2001492774 +146559677 +662100448 +462335904 +14192033 +239611834 +1085897152 +1525655600 +856825236 +6127041 +845572287 +1968649724 +174088406 +308222226 +1762036853 +591543578 +1559280671 +863390551 +2085714638 +1958821520 +1030727624 +52192652 +1081044283 +374496144 +877022651 +1071173154 +1596507558 +2090525404 +523703306 +546892517 +1014022015 +377712432 +693452194 +1676122463 +840048337 +707644227 +1915734297 +1925945489 +85816180 +625075885 +1932072530 +931388467 +446241962 +2106160937 +1239610693 +60795167 +550220867 +651407717 +924185718 +488451858 +462745589 +1954913342 +540644510 +1543789872 +181925838 +1417667161 +467479378 +1778433396 +1360708918 +991182684 +177842265 +227247285 +1368895117 +871294460 +1903369749 +61459806 +1578938687 +1671620398 +1987405295 +1664754867 +149212636 +1771994178 +448659687 +595454598 +1730671467 +1688270380 +656249765 +133408686 +192194449 +1580435483 +621860544 +654940038 +1387865177 +1162505054 +51246263 +1569791015 +432688568 +518725641 +1200740763 +1793397486 +1509908326 +1378583028 +2020644771 +731319795 +102393840 +1776530872 +792779601 +1681332528 +1300667623 +632701248 +1198603747 +1449880259 +257211778 +1647263434 +2045334857 +1987883245 +1188050167 +554100974 +2121291932 +1380244616 +2134536457 +595668828 +2035184655 +1374917986 +1758173883 +2086430918 +797225353 +43378803 +457672911 +1997966116 +1836776289 +1967581237 +1229065496 +1709937412 +551417384 +1331459337 +1338984637 +1344196985 +865308217 +492168612 +1976898234 +2063911964 +1942048871 +86626364 +1563691751 +1839900080 +2074509610 +604258270 +246517406 +2048317894 +1984502886 +233570215 +496503074 +1872203893 +1608488201 +107193309 +1811151163 +258229906 +150572112 +121340427 +108712374 +1987348401 +2088921664 +1337777870 +1549802166 +492855401 +521753559 +741303155 +1837052386 +1387061776 +1233471767 +1666466972 +1303490093 +1028036990 +1753093337 +719698196 +720453422 +1680119299 +1323956466 +966970828 +1580953545 +1160975704 +1200541043 +2077456619 +885695950 +661545596 +37166281 +549363465 +919775502 +187738393 +670703892 +1028487876 +27603147 +612141909 +218782098 +1577405313 +1104997310 +740535658 +171224820 +794566048 +2127597434 +1404696587 +313549373 +1283603879 +285249929 +2066642710 +2003302075 +1005703351 +1599278361 +1179774893 +1972674179 +1032748258 +193266950 +1025731574 +962721229 +1078962900 +1687277170 +999887510 +1628326365 +459569024 +1187625904 +151546610 +1488056900 +1215229051 +763688519 +1706838998 +645150716 +1868685829 +299891008 +816375536 +515768229 +280004795 +73588475 +829317602 +1563608674 +358838404 +748476664 +1419427102 +1364541755 +200271377 +451718347 +1189732286 +1233019635 +644985297 +67980212 +48257217 +1723948197 +1755257382 +1048144727 +1204790915 +67342758 +88286983 +1356337525 +1555399658 +1303516034 +2120026044 +1114755008 +1948666750 +1841228225 +1414646017 +617558638 +209512806 +1694650812 +691147113 +1038830409 +1110775838 +1049985517 +1787307073 +382719292 +267043624 +1987578451 +834437640 +1456775910 +1073114438 +1479422937 +1524756122 +1121371655 +1055887487 +1132529856 +22032735 +113194754 +1199872614 +110319718 +1469532279 +607788624 +1413835753 +1442074675 +1722543633 +1215018855 +1135819252 +989706002 +1832577494 +1345332058 +536873166 +376240959 +236678819 +1647649004 +1426226477 +2023985893 +2030368297 +1693270101 +1864080696 +717322289 +1002562364 +789711486 +49261578 +379834838 +1911083142 +1105149065 +1512364695 +1933115877 +1218343819 +564753661 +2043435595 +540392450 +1172542286 +1309787700 +1982467125 +747602271 +377322908 +970802729 +1737308273 +62416754 +168651140 +126697791 +438657713 +405329959 +1774346795 +1864884190 +281832204 +1657231444 +1410670644 +2145912900 +227070085 +265749360 +788140739 +276331664 +645584198 +551740233 +1381480729 +10465245 +337372462 +452340901 +575218907 +233324409 +992733351 +1747761193 +1543112110 +827716829 +347879816 +1920435018 +1798519558 +2085188089 +1982851772 +1967170698 +64402232 +274025837 +225017010 +1838749027 +2138910028 +506849214 +1348496824 +1402097024 +505278467 +1575566909 +1667846384 +1293419206 +1851898573 +165946934 +1845159439 +1085895655 +176412180 +35048253 +1538236556 +751631087 +268372662 +383486259 +351908632 +1811484772 +1211203088 +699788448 +1584436142 +862238999 +637492889 +1419804266 +681926049 +701895121 +1693830104 +906943059 +393160500 +1685256484 +1413792274 +1741657324 +939869860 +1919070741 +1169740586 +460232596 +1065006299 +874155511 +626179530 +762682090 +1960051166 +802591710 +797730343 +1350804074 +1554222797 +1066103005 +1734290334 +1906131429 +730104130 +798009774 +458436229 +167056624 +1660248773 +1095929118 +1586860891 +194691175 +1797824239 +1133207347 +1101634234 +43501092 +670980183 +367942860 +1785158416 +1610850043 +139529953 +807415354 +2071082639 +1204536252 +1681570866 +549778521 +1967218342 +1494138384 +1352370232 +617465037 +697458811 +759109381 +1683568043 +284265497 +517757163 +266188525 +1082275271 +976193392 +433245149 +595040397 +2072122511 +2020106040 +789731572 +1722463102 +1005829739 +1891365806 +1765964194 +1676809922 +111825019 +1403638963 +1140176317 +251354972 +63570669 +1063775308 +1455891225 +1745141535 +1613553830 +1275625919 +1091796272 +818440414 +1893090957 +1789255083 +1577549795 +1429175352 +2073520580 +2095306958 +1695363877 +1008312203 +924016703 +2128609026 +1603352600 +848655566 +2001231419 +245600524 +423635020 +859577510 +2136966331 +42115567 +388903785 +101307702 +1445754530 +1529080102 +352662674 +1509325199 +445371763 +1808553899 +1106983087 +2058925593 +936696171 +51295711 +729882359 +682303480 +1840550794 +159948506 +2111478832 +1766587726 +107771817 +1659359061 +627416281 +1031788520 +1640484439 +83285234 +1880444086 +1494232210 +328885758 +156595458 +206326073 +318368441 +198711025 +595229858 +419676143 +1644465555 +2124309960 +772338818 +1006307107 +422198075 +433409069 +2113290194 +333640020 +1370105240 +17102257 +1063522379 +2052408720 +1857653051 +1223470886 +2016403904 +1476757129 +1331242703 +1528279317 +2104173410 +215547575 +1021280109 +39974996 +2095991661 +368028671 +368860755 +105103471 +574354744 +687229196 +303814497 +1169584602 +1106905340 +1948280052 +1146410915 +1879244158 +807103511 +1568608990 +165169579 +772910057 +1902249011 +1535274820 +790012314 +818287742 +1440199892 +500181717 +2041758628 +1309120149 +1976938846 +1225517683 +689915818 +1933628609 +1441065258 +1711195927 +1973603605 +1389573271 +2079224599 +194980712 +1494676743 +506095695 +882209909 +1798491240 +1675680298 +1989115249 +1599287644 +674607565 +1720875759 +258907508 +95732907 +1886045338 +1031817565 +1997981918 +1273836510 +1821829880 +668786013 +566552755 +174527949 +563060993 +1875672904 +3983148 +1788578677 +418105074 +1937611757 +1082160287 +2129301002 +1763731714 +324249911 +2061041953 +1958712427 +1818926654 +419654000 +693438688 +1469934246 +2095334298 +535070289 +921738242 +622458215 +108462400 +1180645750 +718191123 +1994507738 +64979668 +568689393 +1120860601 +1886809548 +1237475406 +1687413356 +2061337497 +1800536400 +1415602612 +2065320645 +1441631429 +1833707686 +1855448754 +376308068 +1815525040 +1471696821 +700557979 +1729083345 +1282925600 +372000985 +1253698 +1976364288 +1841935231 +2096587996 +363950929 +616189826 +571562564 +472413329 +1796835576 +1289753687 +319437419 +1861815244 +1858443080 +1440298020 +1601141144 +948434839 +980227728 +1514994994 +601487591 +248346692 +1432831991 +2043119020 +2082054379 +1140797098 +271943440 +1750095771 +465010271 +972501420 +1331695469 +1747935871 +1344502405 +1332949167 +1576816511 +1038953989 +1282053515 +1940767440 +1655143815 +1853616079 +265697121 +1304495743 +995886118 +585134540 +1018827340 +706845551 +2025432561 +472484836 +1655280390 +858176641 +1987479830 +109284333 +1106523334 +1272828174 +4919705 +1041094065 +266141624 +276863145 +643706188 +731151895 +1249364565 +1975401657 +331604118 +446383323 +1160867176 +1908420629 +1485337312 +295437044 +1701704421 +992997479 +1569475 +1967401542 +150009574 +997455594 +405052434 +1168836914 +1704301145 +283001347 +1641321751 +1212097887 +1141177989 +1481317933 +1321382220 +100217675 +606662459 +1326301925 +1141311740 +872804083 +1603165070 +1785017928 +1603955978 +705045988 +1612935938 +1935560096 +1151429311 +626319466 +1696497077 +489282975 +921756510 +1250717850 +1482280454 +923325986 +1070635744 +1632290028 +1920781580 +1475688179 +653643295 +1477599077 +1758689526 +147481398 +542213316 +752383867 +1628799331 +1863595536 +852601542 +87978143 +1042413813 +1993913282 +960782226 +498095235 +1631447563 +417254557 +1203141223 +1096899853 +205331005 +207086886 +1723219319 +1901828083 +696369861 +497492182 +1005062285 +31166667 +1420818168 +2075698030 +1663456696 +1194116100 +1403902561 +169616343 +524231529 +1015108439 +317097741 +1066444845 +1767492307 +1945897072 +782556733 +472610201 +2033875215 +1824970546 +319039836 +847173794 +175582133 +1950487399 +1264428351 +1378723357 +899903604 +1469759356 +1585810243 +475639275 +1224103791 +134696457 +973131457 +81682429 +165863124 +246465977 +9896811 +1829319820 +1440582077 +1413799372 +1998936163 +1964813606 +281424163 +168550256 +883774803 +2048916470 +2114447329 +1666331536 +374043024 +2000838896 +1343818434 +693082860 +700529042 +1519400568 +496086611 +1964957393 +750640277 +1395990215 +1287233102 +188966872 +1871629490 +363853245 +323663329 +697277300 +445535674 +489526454 +943743277 +455432485 +171362626 +236841707 +1869231857 +22815142 +54171665 +3172373 +191365398 +937946469 +2052088843 +158329079 +456794357 +278648219 +11684328 +1800612792 +971731079 +712213370 +1172529712 +1467817690 +529687116 +1923169989 +716324257 +1816920218 +2112136861 +440470100 +33289815 +288316543 +1137747400 +478825490 +777842997 +2081490677 +934257975 +949205623 +170848736 +656006185 +972020765 +225020402 +659178558 +1163386164 +1162966871 +563783753 +1321715243 +1619761228 +842431973 +1333399571 +1272890372 +1814163052 +2045612942 +297936436 +1134497095 +427816410 +73622777 +1850821352 +97252980 +38275991 +143807804 +130542795 +326592534 +1281555204 +609368285 +1104435531 +1215562234 +1543626261 +2053641154 +1386410970 +52148798 +878178272 +1611431372 +711327356 +2041564436 +626914595 +1275111109 +1215796031 +99192176 +2117543082 +401711955 +1372082548 +1784222487 +299841249 +1670018985 +771235934 +727657659 +1743641762 +474573638 +824910639 +1781917753 +618381443 +955453434 +2108510287 +1899936647 +1564821720 +1065462170 +968015233 +960964333 +971619677 +206942556 +1013113131 +1849797949 +1818373928 +1724440487 +1743878737 +297804876 +852067948 +812191120 +396997052 +822127383 +1213903075 +1769079600 +458866222 +1513744324 +1291614937 +1230102156 +93918335 +887773052 +1704675794 +918828974 +522207157 +175573589 +1874282409 +483233797 +2075510237 +1291620481 +1548695967 +896041822 +105101166 +372831996 +1102984378 +1118214297 +75146297 +773874659 +695171136 +1819025034 +1071679535 +1547239084 +483732507 +1468676587 +221882819 +1697635582 +1090272539 +680749041 +1063896259 +234403829 +1910851197 +1157814594 +1122176881 +1468043344 +2076643569 +1644384038 +1643616933 +1803442330 +2127617835 +1571643522 +947579163 +1528830155 +320201697 +1052680329 +1901662151 +1423186075 +23410978 +1976808449 +49577086 +718582114 +1648349835 +1121256621 +118337550 +2132082342 +442449560 +340220370 +1682234277 +1532722100 +1020969411 +598646888 +1767125929 +784336961 +1756461482 +741819162 +104896657 +1685621403 +238719552 +1748513590 +1341580085 +218853740 +1172673465 +141675600 +1747683895 +1492875162 +1194355929 +1501862398 +768577589 +1217766907 +1331187199 +818154676 +1936349021 +832053387 +1939411297 +2054686572 +816652081 +234377210 +247423294 +351402710 +1767099310 +1268392705 +950049598 +1386741591 +2052729666 +559027433 +2128560753 +10142675 +97165188 +219796657 +1758656266 +1438745274 +438650397 +783846083 +1580420874 +38850644 +129237597 +627293156 +1540713043 +897815186 +1845060063 +724416594 +1715969862 +1633925437 +1556469981 +1507897512 +1541128361 +225638415 +1742274722 +1788551655 +577041125 +1361890384 +909460712 +1527090724 +601148327 +814706731 +2086118157 +582225432 +824849406 +35799697 +802022089 +436022024 +1474544971 +1240672487 +1219868107 +907482198 +1279523131 +1349105704 +1534775354 +672752526 +99437243 +1232351769 +1397169121 +1815407105 +718793558 +806155454 +1175820969 +112438271 +1031793869 +770612043 +1900989926 +1608834995 +2132502427 +662966991 +988442071 +586167106 +1477673722 +927076580 +1168392538 +155039480 +962876277 +1970414628 +591061505 +289937601 +1063603467 +1810929612 +1197419799 +195642950 +1012551669 +584711505 +868395477 +1111988912 +1817063274 +118080950 +779912369 +388373185 +924236404 +1955733339 +500811456 +1956030274 +578861734 +254317735 +1417381621 +563880514 +917284726 +258340044 +1150047620 +247474800 +1185416624 +170956511 +402514280 +809253 +2141371139 +993575785 +290746854 +1057490958 +657021750 +1488166653 +1253133908 +1669573419 +2072878158 +2121529385 +634078683 +1742457785 +92126687 +1413991052 +2130830970 +1016363092 +1222240743 +484158778 +824909718 +1801102478 +738476513 +94807691 +217499344 +1655761239 +353147735 +1367546964 +1903236039 +1538564359 +1538503475 +158266672 +1539373612 +1532390966 +1151842457 +1830120467 +442398276 +1808864207 +1170803472 +1695532185 +1330953978 +1096197983 +1669577922 +1965032661 +691172120 +1761704610 +1231540066 +674519442 +630584054 +306297161 +1158678220 +1455493772 +2107399639 +1897154734 +1550301463 +177415335 +1405432325 +1903449198 +1544962300 +1161184717 +1294529909 +935982127 +1319451389 +686419873 +320889446 +323810198 +369056692 +763287722 +2132674406 +1539860165 +311336259 +1316144736 +488574500 +1980914182 +1133693750 +1179746620 +1595135144 +217750168 +1854266062 +78235550 +524047329 +865460634 +1533729322 +483963321 +615131720 +936547137 +661378656 +2020564046 +692512687 +58857308 +1034265115 +1987042596 +994839436 +206232856 +525978821 +1315728882 +530043054 +895035514 +2079016604 +515233812 +287412031 +242869216 +1831378549 +775986531 +76299750 +817588651 +1955733151 +1671434894 +1035338819 +1662515565 +1749670444 +1559386148 +380492551 +1135916118 +2043349469 +995624272 +2072463255 +557244478 +868704670 +617492294 +616101786 +1902969785 +457051242 +1610941222 +2109202641 +983030063 +779186456 +491762047 +1878065577 +710719413 +1006995860 +17993960 +953588629 +690890761 +793980491 +1029888379 +1508479412 +602229994 +553839625 +396334583 +117261911 +156026421 +1955720731 +497754463 +1291942539 +1851586553 +1493378735 +1216922146 +261347383 +214599757 +1834414440 +877449169 +2117569542 +143982034 +340906744 +2079288535 +1127012097 +1120093200 +423566934 +857594027 +1830812613 +1430562794 +875587987 +636917594 +2121453555 +1669568479 +1666805973 +1482449319 +124314825 +73161950 +1878783902 +241576737 +229188371 +1687020986 +739331200 +1521130910 +1391123891 +85226287 +590569408 +1652471274 +299826044 +277500200 +382436795 +269911938 +421482234 +723343539 +201716825 +1548494332 +1843436740 +625283759 +258604711 +1526765705 +2055846554 +1134192698 +16199652 +2029816461 +656277529 +1683005625 +1364782133 +780592355 +1756167576 +1096082387 +1022169092 +1985355947 +635619725 +1761500292 +1359003210 +2026743616 +1846726579 +1949572618 +1531731242 +2146552623 +79589171 +1914168038 +268980913 +501071405 +490027929 +470697738 +2049565737 +185981021 +1095981497 +160686800 +1712746727 +1004344403 +1294879499 +1728946379 +886677217 +1951157028 +1264468356 +103975702 +584265735 +873152284 +1200058089 +1606434827 +711024584 +1835677815 +1220451471 +2070027794 +1714937783 +919694402 +1872116764 +1099185378 +918763377 +1951705935 +865869768 +1187744290 +305293693 +1355897697 +1658442028 +207375782 +1541878719 +606939878 +368062583 +1107141798 +1611284281 +1662942082 +688604529 +350477850 +1466615462 +1953072885 +454453552 +2050881198 +678741522 +1654511642 +1509832377 +1389766106 +1342705809 +582800201 +1312310252 +910159944 +1502494603 +1036943368 +2009345322 +273774333 +841165656 +727731442 +1461518623 +1146459349 +2083629140 +972477004 +1353835131 +1478024211 +1579416882 +1721897714 +437682361 +1043217515 +1237356148 +1126286890 +1393695366 +556487963 +931876127 +1848148918 +459885513 +1610617649 +1355176912 +1969717890 +852900107 +550399073 +405034443 +17726711 +1460559018 +1907529047 +1054670080 +1322420692 +33819732 +1895835736 +2050152135 +1495338355 +894811437 +1986297627 +320331711 +101162920 +1316838190 +1899748593 +1823060635 +1754520551 +795482461 +912933135 +733323793 +41694179 +1469421098 +1665199920 +1889843097 +1929306611 +1128333922 +1097536362 +1751540854 +1981234029 +1647935435 +9091649 +1998960741 +961010805 +1916620696 +906147173 +135947850 +1950440428 +654499261 +38616337 +1298295136 +1549310698 +2024913964 +1618626847 +1650473618 +1194268506 +1370891793 +1326050605 +801305409 +18890606 +91500093 +1534629202 +60584785 +1560921191 +1052345474 +1950427882 +1342744155 +33195748 +900480596 +946801361 +2014429778 +400932384 +955893010 +1865906871 +1361943189 +725030059 +624570396 +1497891039 +527986839 +1279069657 +1536507376 +1826281975 +680896707 +1413937692 +1297425175 +183886677 +460722550 +520833320 +1509937283 +1262027959 +539723926 +1601437376 +649173513 +600308711 +1014874919 +1701518988 +403252945 +210135426 +1734714736 +1303733542 +1156936787 +1601660866 +1704665926 +2112829798 +1320084089 +919125467 +690376209 +1944654485 +269532859 +1218363048 +1076240494 +1806040235 +897161376 +1757137201 +1072494280 +47102903 +1941023879 +1533216830 +567936223 +1303477514 +647761142 +1107660149 +757431242 +1296934655 +1707968860 +1772306161 +850969995 +2111221805 +1982441588 +438201084 +1267471699 +991894727 +2039861950 +824653977 +957240877 +1212462392 +1743779445 +1647617086 +1009633229 +2013312304 +718496487 +2085873724 +1671868891 +1615657863 +1695527277 +596879523 +1662760766 +1489067508 +2130096354 +83213341 +645061374 +630373848 +1190873490 +1402492616 +1927308503 +751358702 +1027315130 +630794851 +715096859 +862273070 +1068995935 +1982568559 +1854167797 +961374237 +659738888 +663925027 +26352981 +256034685 +164058465 +1035986211 +121863341 +882554952 +974376287 +1793732233 +350729167 +522419916 +243128108 +2013489933 +2011487425 +225740814 +2096703274 +509065151 +856114662 +1140093116 +1911557768 +635939518 +1891451818 +791389250 +1266734369 +459065030 +1653662320 +188246656 +294149941 +1360346469 +1149620893 +953888829 +2024271496 +1175973875 +1209923515 +40846314 +64476438 +1331786856 +923401266 +1038852725 +978035441 +1274130434 +1561272641 +1221163550 +1140136719 +1425276418 +1446904364 +1089356346 +1934341570 +155535379 +81965814 +1698415690 +791474897 +1973417633 +342321292 +2058209266 +284999015 +1995983612 +98972274 +579148956 +1208846433 +1248593167 +1533037785 +1085634282 +277083394 +595477652 +1126480596 +341559832 +1927264509 +2049881862 +1380412557 +757816302 +1176528648 +794201551 +1978979852 +169181720 +71994321 +1278400569 +1258538066 +2006335891 +1433935948 +1340503880 +1557267933 +77927197 +1166437865 +1899589225 +2136136463 +1451436880 +1748089189 +87625089 +2030585836 +809451975 +1336218256 +1416139974 +1895086257 +1613301651 +2011617626 +874083205 +1954861483 +1791398487 +776481419 +1187790393 +401731142 +1953010068 +1981991944 +233227346 +2122191788 +2053986265 +1511627915 +1233246206 +1912838509 +798080215 +426266438 +1322622794 +876007412 +1592704304 +1074728372 +864660227 +896657536 +675333913 +952285316 +779759725 +1484785888 +141019925 +48416051 +1232388497 +1754321576 +2060033677 +2106471702 +1561699411 +1703948517 +735469474 +602006156 +2105679659 +540995894 +436514452 +191423357 +515704034 +343017070 +1703051273 +1748950240 +108371931 +353647840 +27733030 +1430994725 +1229655253 +1620437334 +358239449 +2094315480 +369611223 +1033573363 +899117149 +1149370948 +370875603 +1040137074 +1197786999 +1603264101 +646975002 +1110337028 +1562252155 +61190765 +666801897 +150237981 +663196922 +624997908 +691233875 +1099711374 +816421266 +1206937909 +1442728444 +371988891 +808404501 +1551100375 +725636731 +836137532 +834611453 +1955291984 +309091218 +1192850902 +1902123817 +678702441 +78940617 +653757318 +1828073389 +449816221 +1693894392 +878376740 +2053080322 +193385746 +1988713769 +1467848829 +254576511 +508032018 +1618086811 +917773433 +1133029927 +161837038 +2017484808 +1949451193 +1368774948 +1312729604 +173956436 +29695801 +716346332 +899593167 +865833333 +1550957785 +707401504 +1174924552 +596325039 +462041673 +1853626993 +675265657 +1115798991 +1534216735 +1125081878 +662209735 +265109827 +1030678552 +855595481 +106339948 +351043733 +1110171992 +614371967 +1969130544 +2027945426 +1747401894 +2130967583 +1897946586 +1549369439 +1352258883 +1063192542 +1723325875 +1381954684 +1779538874 +475435394 +100304370 +1183013011 +1182836898 +1275228922 +1779338051 +1644878571 +981372267 +307120060 +613193914 +368105354 +1432201938 +1275403649 +633215182 +315396842 +2130999130 +739555130 +666440575 +1093687475 +1353927097 +488087472 +974149253 +953845343 +471571407 +724612191 +355731134 +1823830290 +1787804733 +2079057009 +1058301326 +1419859960 +407008756 +1158605696 +455389323 +1589845654 +286350970 +87243726 +1087240578 +1267723238 +394363786 +1700434492 +1635828592 +1826565724 +828354494 +121560126 +2141962566 +811869976 +861115257 +660919494 +1905557451 +67558706 +1149006966 +732223056 +1021404050 +1620578373 +1456835247 +1377135184 +1296925015 +1097156333 +1308708546 +207742693 +369532645 +1715717302 +1366348390 +824921968 +1158079308 +1652699360 +912165695 +97836238 +772938950 +1306529481 +1798270731 +261283895 +985611558 +479141577 +382844021 +980090476 +1291011553 +1243959278 +1641009970 +1049085357 +1311517985 +642533288 +1781308413 +185438387 +115628013 +1090660013 +1562573571 +1412553028 +40332698 +723798469 +1620295722 +409865343 +292032123 +839160464 +1234787311 +1450111432 +344376176 +2146953006 +1547947670 +1117315127 +1305998840 +1198734753 +1378599022 +144126750 +1677876330 +1761443043 +1124217226 +821404236 +857918674 +617743549 +1870489593 +21953011 +1260276837 +1504314358 +207391398 +1375904851 +447490723 +1769964969 +640974231 +487823421 +346279791 +113786305 +897688764 +638311914 +952946769 +2132476076 +2088423346 +1297322946 +2131945434 +1488887369 +267154425 +1290460626 +540138474 +1645753447 +1434587376 +70531157 +1259712842 +411320955 +891935393 +2117631516 +1029064504 +614941338 +2139584527 +141857693 +2119255696 +199492277 +1517762544 +419262772 +1969457247 +11253128 +907086193 +168253390 +125039433 +1804774958 +806565304 +1077986203 +1789767386 +747505003 +227825501 +1774229172 +88908724 +494979926 +917206151 +629047198 +2140733373 +204309879 +699578355 +1252962567 +615630834 +1591513748 +1223110436 +1644695338 +58971438 +1215211315 +1786553032 +30743487 +1414703593 +1156831928 +450006259 +1236677192 +1168085056 +1357092452 +1404930582 +1293124490 +1014383762 +64012238 +223627045 +656667500 +811517241 +451452546 +283413025 +900425965 +946432472 +1200619176 +1529473164 +939682197 +1404929055 +81567871 +45161116 +2020559890 +1673081620 +1268271552 +1517771580 +1732053058 +335999220 +1156840964 +1762796545 +1750702813 +166189245 +65319156 +839896357 +1334274301 +1422411609 +97343291 +479915143 +289311723 +161355529 +703542188 +945979224 +972872771 +1154994734 +1229392249 +1873298736 +2101427206 +282527777 +1255288252 +893625755 +1687456832 +1336856124 +938786872 +1560533074 +862454096 +59574776 +930821007 +447023506 +395573996 +2087661971 +62336404 +2146276809 +106367568 +127655560 +838689518 +1440641870 +1550067169 +936032809 +1920557013 +1839378893 +1097388339 +476615554 +637874469 +2070261110 +1631610288 +1867266718 +1796076198 +1585553847 +2310847 +903880803 +331695954 +1689767679 +93253279 +1270482826 +1102817106 +955707375 +1330057603 +2033638113 +1402730881 +1725631599 +1973816436 +1465067285 +1724424761 +2080184005 +1592722846 +415630631 +1373342227 +995306367 +1351663441 +1146415592 +687201612 +301568132 +1623031146 +1325076081 +224345594 +1107157787 +1044859151 +2020421792 +545227986 +1047169998 +776818947 +876923940 +589454030 +870072226 +2147406767 +1692271136 +1825779601 +1329980722 +1578425601 +1081026835 +908128673 +1404758389 +398610472 +485069786 +1337458746 +1991333318 +900700418 +563317325 +839156038 +104880211 +1709732918 +1526357650 +406448343 +1185280416 +703950084 +630793937 +144954555 +1748809235 +503732081 +690182541 +648495586 +1280551029 +1567106482 +1237949616 +3139607 +1567029601 +782737104 +1828919209 +749526675 +213679057 +762462396 +1657655348 +1618437446 +1161072868 +2142725135 +808412545 +1004922539 +895941905 +1371729870 +1844078577 +1000822116 +933979140 +1222952579 +1407270459 +2119259557 +1926902663 +2038064396 +116730464 +1528228251 +394312829 +806913006 +29240189 +1674863858 +226535840 +1267189805 +1678003466 +1793565441 +2049926909 +1359439027 +395608468 +116122318 +2121901423 +2053263816 +1734559764 +1135490643 +2048505303 +395488661 +2140413182 +796963560 +1767218532 +1837008111 +1797785676 +553714024 +912477043 +1057572487 +525489933 +691896058 +948153235 +642220398 +72640661 +1342466065 +1449133404 +101880850 +869846275 +1675669244 +1369070655 +400366093 +1321751037 +1271513916 +1759805120 +1717359505 +1387636234 +1734222895 +1623139673 +974712351 +722229891 +1524161329 +1370201012 +715159425 +173641241 +989935896 +404683889 +1971426918 +1543649921 +1317160932 +881515757 +2069139854 +2009056990 +1829668993 +563876604 +2081697652 +1024651410 +2013010008 +36094854 +1894497685 +1541195604 +1405165510 +147380131 +715462993 +529195778 +1907185251 +285338850 +1916832013 +1493924499 +1908478524 +744060716 +68670742 +1285156205 +2114261728 +783830167 +1458797446 +956713977 +1188514056 +1282740716 +352880250 +358191340 +16772826 +274536456 +219764683 +1846441819 +838413061 +153978687 +723609581 +703939421 +190073541 +470623618 +97651378 +1595239051 +618003749 +813114371 +2124434830 +377705353 +1098453222 +1893783195 +1871629852 +859448098 +490360263 +1940300594 +2144604303 +457138343 +576647113 +1455918101 +1413852320 +1765161170 +591175170 +1766732570 +2123352510 +607947996 +2041269027 +195633545 +306906167 +732198440 +349612232 +1030515748 +1436137861 +539685774 +1501139366 +1533789239 +2134924825 +2119143116 +199419963 +2111876007 +349364821 +1297873185 +1858175554 +73511025 +9837635 +201052169 +2013811619 +6958290 +658190513 +442975084 +1462876391 +2072042833 +60652606 +2054051561 +1691291756 +36521469 +514515909 +1585077135 +232155014 +821422076 +169791927 +581767247 +1851937824 +1605929788 +1121453021 +1205593543 +992235380 +1108894198 +1177253011 +1191655343 +1073286558 +1526617832 +342044880 +783978464 +1600128857 +351882515 +985030634 +1466456828 +358840805 +1643221147 +1909431912 +1821717196 +1567780332 +1970084519 +1728285110 +1111588440 +2006605988 +95317371 +549181927 +91277354 +916739448 +718973854 +673044601 +621193624 +177419995 +1794497622 +1826787167 +1169655375 +755908173 +856556530 +213827070 +1829194731 +235690714 +555871950 +465689547 +1835819571 +907754465 +1450720181 +1154792751 +1266595270 +946457680 +916741016 +940828818 +366754365 +739341887 +521630280 +1478342805 +598464227 +616947652 +2027524733 +689741581 +1533687100 +599014939 +1362786183 +7397076 +776434934 +1009800157 +1834184244 +1946090309 +1765708330 +543257126 +12433731 +1447419413 +778947841 +568305681 +1913108961 +467283764 +1476060146 +1216345494 +1622076516 +595171768 +15319527 +391333884 +1536000587 +382073892 +1130675771 +2057630867 +1860416697 +1729139998 +527094871 +1740457782 +271397931 +2060781971 +191989074 +1634184114 +2068179048 +968424008 +496500624 +1754879644 +767030670 +114725306 +150653122 +779464401 +1562144720 +929600963 +1347770083 +1327770033 +1396884728 +676346581 +396631879 +871477596 +1271518350 +411951406 +1262811480 +660035289 +794025298 +246003603 +570182508 +506958348 +1975143601 +1097277380 +99932482 +99057884 +1010575703 +291921556 +1733241999 +931271103 +1260345565 +82258975 +538667099 +2027376235 +196984281 +689320222 +659356988 +1759129001 +1618921185 +2007127071 +939415386 +868322265 +535990005 +1336047266 +1739799861 +1807508355 +1747998672 +855127693 +320059996 +394540323 +1101131296 +890242504 +901498671 +928791249 +1987519884 +1001431153 +1027849134 +850611940 +1293352710 +613607485 +1781883043 +406214627 +695866460 +173066495 +286107214 +892850741 +862386717 +945464202 +504496095 +333824254 +805107626 +1443911481 +1202146520 +1341097631 +632475099 +794462733 +1001122338 +232990124 +1649590427 +1321182334 +627530447 +603238075 +63941190 +1529029118 +1532029325 +2051461075 +382976623 +412394811 +754589367 +1676329333 +1026002296 +388988762 +2082543960 +1721868756 +562055257 +221167526 +467235849 +1424441974 +1166631729 +971731944 +1758266229 +1971739355 +268159778 +812929101 +1165353338 +900634877 +1607391834 +18992028 +1133625001 +1109498613 +1340174362 +1761155448 +1712736689 +1404115552 +1142700918 +1097282366 +1308092979 +1525677542 +1509677177 +2062682346 +1054523227 +388195825 +304187461 +989583540 +2110064581 +866242718 +1210751066 +429816782 +143201045 +229899147 +1401548727 +1901467274 +54154854 +1669708505 +566912727 +1219508192 +422859734 +26820913 +1238500220 +1556484736 +1136319527 +431190934 +1170156536 +701572568 +1835306487 +165373807 +1798854934 +995915818 +1691051349 +1161048463 +911114517 +598090928 +1549244288 +1215301978 +1587674468 +1511825221 +2081544696 +650941887 +1941642003 +77262093 +880841034 +1195707082 +1978729367 +934995889 +717931939 +398158446 +7020433 +1140791674 +424979360 +1245520654 +549792762 +1561298887 +1676711588 +1719949298 +115387807 +1364534427 +1885323105 +1914242741 +212966598 +1428890806 +927807556 +1124081115 +2026981735 +329568196 +191899445 +1467172555 +1841393417 +125960493 +2118114442 +1635551772 +203222587 +851471829 +683775207 +34468306 +1786467718 +1401707146 +432626753 +1793488151 +395015172 +857606113 +891525157 +944807934 +271421352 +420753098 +517273585 +386809159 +1785287525 +255113042 +153568252 +1998254123 +1684003849 +1081375808 +974851590 +1563501936 +1410944004 +1166751035 +883190843 +1104853773 +1292711529 +853821638 +592921897 +1495934116 +1705293467 +1276697104 +1530402422 +1344277537 +530920603 +1963029175 +990282040 +925935775 +673151640 +1881807198 +1870743710 +944572992 +155076648 +240533647 +1331382151 +1940364173 +495646689 +1484950403 +1791134649 +32166890 +418842563 +618502591 +1595668826 +1829786567 +1785253627 +331376022 +787156692 +930481508 +1185197660 +1380078590 +278931976 +743007479 +509292046 +1809334398 +2087285016 +1040212649 +1624879926 +930083408 +1966148425 +150547918 +664406958 +1689408487 +1095120911 +819483606 +1929942134 +279019414 +612364132 +278105175 +1763969818 +256015133 +310272066 +35328733 +874517724 +1905940892 +1865115301 +512287703 +89833266 +504788345 +1442769211 +1275030926 +1884866935 +1721701187 +2018038405 +246675334 +1383551938 +1957839773 +1286887983 +860948216 +740439534 +1105552760 +1011496134 +1404846492 +647477599 +2106617045 +76846451 +429936085 +238152812 +689210583 +708041261 +2002122630 +945225716 +1018313327 +2037451363 +1819743440 +776770571 +1755083016 +184547496 +866603838 +112387714 +1627316707 +2141634764 +1997254649 +1201534247 +2012189522 +96446335 +437602537 +1822545647 +1383334319 +1298550753 +415501533 +341403431 +162563239 +1820348026 +988881031 +121696637 +1897194477 +1418817116 +359849449 +438921412 +2126858377 +214488431 +1384147128 +997688056 +104456146 +1056406920 +1774458628 +1859539163 +1240954416 +493578818 +1971926877 +720787476 +487729934 +1821697878 +1922321723 +352435808 +1918144214 +212440612 +27497808 +1153994885 +1510991365 +442999341 +1495398316 +1673554604 +115863719 +336795699 +1795251241 +2013058196 +1755612816 +7617042 +304495960 +1734987545 +222105473 +1688643088 +585191954 +326561620 +597566361 +212166934 +38617135 +1838520777 +705745752 +2010544012 +411824605 +1193475686 +1684758242 +186662680 +1545911495 +1455418808 +399103292 +1573409303 +461930045 +1910094657 +2016408644 +1957328362 +1436165614 +2132272364 +146640413 +1083933207 +1997846912 +1902253229 +1091550250 +154859225 +1489757127 +1313655723 +1843502313 +2074949081 +1640217343 +293585026 +139632367 +1678834478 +2132105804 +845378119 +1541894842 +396446761 +2038853805 +1079169437 +583109442 +1437281652 +387104597 +982212734 +863207307 +849034643 +744823744 +732132304 +658879357 +33505710 +716921020 +805519770 +1117438917 +567284284 +560289352 +61505519 +722143509 +2050046479 +1375161243 +418162175 +1977511912 +867894938 +711747201 +2117144279 +399245769 +696369357 +815038750 +1941140611 +1092816119 +706408907 +872826400 +1675925561 +2143690560 +1259930998 +510654647 +859414219 +2108965641 +1255478391 +1591546523 +620361350 +1288984101 +160983895 +1425881120 +258939371 +728268180 +1986170472 +320444890 +1450411689 +1888733303 +1695606133 +1868573864 +1718761567 +416017424 +432837418 +1688422198 +815263193 +1129206775 +355977300 +608920156 +74539246 +1062386208 +1481746557 +1750464807 +1058593120 +594193907 +113635807 +1918007339 +555675900 +1369114198 +1362070215 +1176037250 +510614652 +1523054110 +454434722 +769554023 +103838642 +293121547 +1089998913 +1554250332 +34371202 +638121399 +1275340548 +1753132770 +1054138823 +1708177966 +1294071320 +1869402016 +689901094 +1650048621 +330838524 +764440340 +564951181 +1812585081 +367421500 +1623544301 +259295340 +481057307 +1394067992 +814971240 +1850171505 +608654559 +1991008490 +213302509 +2131708670 +297959565 +982856532 +88063664 +591081112 +2072855446 +1642313996 +625452314 +563493197 +770170897 +231101436 +1617632020 +330865215 +1525172757 +1339550388 +1020766309 +1027737730 +1670388912 +1785206650 +1592688911 +1335490346 +5144502 +1068749564 +1594785686 +486201809 +315333908 +262273279 +188889666 +923988468 +105798121 +402192176 +908213490 +403757686 +1385048708 +996277154 +994838798 +1310420506 +491107503 +1620291113 +1873913703 +1261278400 +1851392549 +1344062075 +1592143615 +1229081658 +536128815 +465426277 +109335740 +59034080 +103149279 +1702024651 +1394524426 +108293781 +623290567 +841826464 +594495590 +938624476 +1104099743 +783385256 +1862612944 +1209897865 +1185577432 +623342786 +1613655551 +423142493 +1619619940 +461010702 +1733562999 +2110727443 +2081301815 +1459993055 +1224522195 +1785210716 +656571482 +669182163 +866808727 +1192700298 +1134608440 +976144467 +1251734378 +1237757719 +530685471 +498775156 +1346051500 +1153976038 +1340601620 +1940547090 +2092600514 +297217716 +576448698 +1807729810 +1507115581 +1762026131 +283588948 +973287484 +37684976 +1903208889 +1434298186 +1771247975 +1866452684 +1368116353 +1083757382 +943491232 +1005843422 +1740328865 +1612673395 +1872652149 +785545515 +599798187 +701312968 +2037279893 +1837555906 +1231998439 +388571401 +1036123758 +238490830 +1729173021 +829187200 +183607696 +2026390737 +1405635898 +1991337507 +1386022670 +1020178381 +127442807 +211826507 +1057863357 +2030651696 +1646124693 +681627685 +1749620733 +866757399 +1765385067 +545628317 +1872600821 +1358230284 +10818064 +1597769322 +2143775799 +610616251 +151598642 +2033572044 +300688509 +1383597082 +274659797 +1336812267 +1622087912 +2003832819 +18515819 +1805695608 +1882739908 +1424151717 +1649549467 +1121278931 +296846451 +1776992275 +1333105438 +1354709808 +1660160323 +831746483 +2036337493 +1262297408 +1698503882 +1654238913 +1807925725 +1423621055 +864985549 +1818743789 +873906729 +861277701 +281876392 +1025505372 +747366097 +582564901 +261618806 +1022025895 +1919377168 +1883706718 +878375066 +1937892987 +1541918678 +613631326 +1214561057 +1043984498 +1734910257 +1511407508 +673493125 +920532047 +718633668 +186169800 +1752278531 +607487514 +1448467209 +1303298765 +114242779 +1108909286 +579436173 +979228328 +780169428 +1453342902 +1840506029 +1062045820 +331364626 +440388479 +1644610722 +592983432 +1462414374 +1416504242 +329206502 +193305792 +1206913582 +1871125181 +806937118 +273990991 +767626031 +394363728 +1785398499 +1441119156 +1314895775 +356548519 +1627288956 +919690658 +964036033 +928272517 +75505776 +1078278812 +2037181804 +654941949 +2057507141 +669867584 +2108284851 +1750529522 +1731913404 +292165830 +43434353 +1229040478 +885149262 +1505848727 +498061073 +1214355765 +1699154519 +1704974655 +937997298 +358607990 +1978965646 +1705623329 +752971718 +1616880497 +999258837 +2067867493 +1973429016 +479064145 +840074504 +789981402 +1407336663 +915580280 +1868260214 +1297034819 +1570522229 +1778283707 +1966902403 +1531323432 +1381329582 +1551332159 +1823489262 +1424763935 +632888990 +561154877 +783129015 +1130950063 +1775510642 +334799886 +688441070 +566024292 +693407876 +519923068 +124163973 +1446379594 +2136803565 +1123422810 +1366763440 +1962748933 +1602486955 +59354296 +605246687 +862339970 +974934576 +326023254 +11891141 +397973157 +2104306961 +1978793544 +1929296589 +1338152895 +1382642056 +1605302204 +615433183 +2015531046 +18973433 +1398562198 +998997461 +1794484075 +1733362084 +1687438531 +213024719 +279286313 +59877951 +337188692 +1725665907 +49197868 +1460611502 +944945699 +2011946801 +915614809 +1004299995 +469709841 +1777954780 +1979234571 +795733095 +1789845921 +229724080 +752556408 +1621155818 +11537022 +2090709304 +856314226 +1616839226 +558658839 +724361624 +1635812659 +1957221037 +1723359085 +1282813086 +1543099473 +1263313968 +1495837805 +1822385786 +1323191919 +1833026497 +1400568046 +1372389787 +1146154351 +198030097 +1236852940 +2061769160 +1202330093 +1706562781 +1692240292 +1034081016 +354812228 +1334602566 +1263805097 +1107368637 +808274736 +1275342119 +1050594293 +1664588962 +744697697 +1609253132 +241466938 +233026708 +1418990521 +1964826023 +1515839794 +814606346 +1080656343 +864193951 +489508485 +256364614 +549736800 +1890076531 +1628754401 +1695891151 +2088106628 +718123693 +1610176663 +1142953073 +277202827 +1154933308 +29550442 +632015055 +342052226 +1293355539 +1739383692 +1150326962 +421214010 +642494337 +667432276 +1165911707 +104263821 +908899214 +1398938415 +1523254342 +726241589 +767294561 +190377041 +1806897932 +1631488512 +679885526 +2063262546 +33741664 +422478409 +1544533299 +1729632815 +363101389 +115173344 +1192325830 +1506054463 +392376171 +199775490 +1535604905 +1024391227 +541827716 +681476796 +616291271 +1692154678 +1102690806 +1258785609 +212103306 +121118865 +1363049430 +1121002520 +1520057280 +738820125 +1847244109 +139868193 +929197166 +1506658393 +1771356705 +1609082692 +1422437291 +1805098369 +2031561101 +819486942 +1387247536 +247178842 +934660287 +432089718 +1753233305 +1327036458 +631865209 +1141354562 +203944037 +1173692925 +1822831358 +820235309 +718363956 +778038516 +2079020918 +930467262 +899157381 +1294586700 +2051469783 +271731013 +2033406825 +1751230244 +411599206 +815120343 +1110404990 +35472263 +276719387 +385358633 +1840570632 +160796840 +1204845576 +1080334520 +407975683 +2139505863 +1512424239 +13725340 +1319058673 +2144289448 +1155079903 +1523002711 +1170498725 +830427613 +195754372 +1888862681 +1608466130 +127291642 +671846296 +360139863 +1421878342 +575832431 +631870877 +1307801520 +179579027 +1043470083 +2122921863 +1289984017 +1078942347 +252157603 +1675342651 +772029331 +412954443 +732704579 +1852363852 +820930126 +724726794 +1217304443 +834655467 +2043785467 +1214110243 +1989735370 +1419304530 +237125320 +672679335 +1615058902 +2125988002 +133661817 +1742350544 +650350650 +493801681 +1016745239 +1226183081 +1125672558 +177063111 +1405762108 +21658993 +152501326 +548262478 +1100601340 +404658929 +76121481 +1872630672 +817613373 +808826060 +1577510876 +1638543499 +1533552854 +647331671 +325715318 +1429854673 +1861441914 +167967040 +701675556 +2098567234 +840646376 +169250810 +2077071588 +974308193 +1911601355 +579938590 +1468109874 +780862946 +1806121671 +446298784 +957926057 +1064400132 +467957778 +1110427383 +1612662610 +1568559118 +1515086313 +1688784091 +1293706142 +185216038 +350126503 +723733370 +1823759537 +1883679357 +1371065041 +1991208 +1166050382 +1085023307 +169958248 +1867725938 +1036106894 +1010604624 +2036976749 +965694834 +1984912818 +1801094456 +1545633425 +1305539044 +434473754 +1204271448 +1751837829 +1392399811 +121187932 +72311959 +355343546 +1733850542 +1640871077 +1870429859 +1275150985 +787093572 +2055645897 +1625277488 +1510826942 +1731921787 +1361473197 +734408336 +1733912995 +380039932 +1819431643 +1903871243 +100282222 +708054889 +766992220 +2137258971 +1673749724 +604421390 +1790869779 +1071899501 +1909960434 +77859885 +128687301 +1514314615 +1470259696 +249875234 +1586626574 +1825603243 +1983725776 +1080014004 +1548549454 +1111393114 +1867107576 +1456711704 +589186954 +1230450870 +1041149843 +1950660152 +1964859206 +627579190 +183216436 +1636807202 +383966785 +283498658 +197378443 +1150959005 +273273982 +1871128167 +1755380395 +2064143761 +795544020 +1517857182 +2142003647 +924231322 +884688149 +1464779695 +1174106556 +323831076 +1142899290 +1010348684 +1403845080 +543965097 +2121741798 +1123469008 +2000676801 +563445105 +206436230 +894342996 +366621609 +23811789 +1521922186 +549838045 +1660618991 +1905888971 +833336703 +1857997434 +909364329 +1106610685 +1581641954 +517261076 +1023270799 +229702326 +2035118258 +1017790798 +1153933648 +772322760 +335086845 +180556556 +1096153836 +1477986136 +1190905241 +352515268 +2021951233 +1165163391 +1475984276 +1875144386 +1728608496 +1682420506 +622003734 +2095230105 +1706232295 +2143925920 +497584502 +1219367638 +1902331243 +1330921206 +929881425 +664211924 +290048243 +364039731 +1181473001 +1313319042 +593742057 +1069107611 +183626192 +1747675706 +1841430371 +518713038 +1928232262 +790100559 +1996699174 +971653855 +1142615827 +1871166759 +2136817247 +471116455 +1598827497 +1717942095 +6053314 +73347583 +1665688553 +1712285609 +69789855 +15789407 +784169600 +1972121098 +1346710613 +1714051025 +488849375 +1636758857 +2078090756 +1670322376 +802594251 +524349165 +591946339 +986220444 +124541223 +285893063 +1504933482 +2052773486 +1075993622 +1354149008 +876943693 +71125802 +1077832119 +866277292 +542242257 +529175968 +436735740 +548295571 +602523551 +2102424293 +113097533 +672313406 +2118213700 +897267133 +496950856 +1317440666 +463834510 +985800231 +806715875 +394441618 +508638959 +1609310126 +918790783 +1100585299 +448046922 +1043332007 +1386478362 +1952980404 +948621845 +314988336 +1159645764 +1825565538 +386114138 +89994235 +544359183 +928356396 +619170203 +981094923 +1476651967 +1221693754 +936035568 +1589749500 +1894007160 +906765620 +339532985 +243474369 +76722638 +803367495 +1229274600 +883438513 +1197809113 +1737913560 +345264992 +2116599897 +691015211 +793311914 +1012448256 +2077493573 +598808671 +1961070101 +244998261 +1758454435 +1639151991 +631112400 +1848448671 +36027526 +1559468796 +320135226 +1017122449 +888637115 +1541828981 +1953158017 +330902968 +1288352493 +712439990 +670435953 +1531826862 +789162628 +1473803449 +613617815 +1672601142 +524128914 +204047727 +2017866134 +493245163 +895062938 +663694400 +1505693419 +825072863 +1262503071 +1319279872 +1070071124 +873473859 +810948216 +1701183524 +574438882 +846975742 +1113168672 +894574108 +1864098192 +2001805788 +288919441 +1669772561 +185225108 +1577271935 +234728903 +855661061 +961615149 +1023891532 +181980862 +1575232964 +549009026 +706109777 +1779280691 +419391512 +1199354940 +526859981 +1083085912 +557564712 +1351932844 +198105336 +1876844584 +274520321 +1071579195 +540309152 +1975703845 +1646018077 +1387284895 +941388870 +393108537 +1103899439 +795711010 +682027979 +626188352 +980936118 +111816266 +860917256 +1836597179 +1073431415 +1884808788 +2018578042 +501180732 +286334166 +577204171 +132977775 +705725678 +1776559111 +659837757 +1788811590 +186640175 +2011770601 +1986916926 +2063484760 +138807274 +911012473 +456310264 +2114511120 +409546902 +1843595159 +908416342 +802655440 +800010950 +1704127352 +1484683419 +1426199303 +537579822 +1596499685 +139632911 +226693353 +522447452 +2024441699 +97787747 +1023628184 +163292217 +674991918 +1156605960 +869017895 +304067382 +1816443717 +510345837 +490707557 +1680730670 +349779116 +406708669 +1819537945 +1260791589 +863018934 +1786565417 +1670338492 +559130445 +547498111 +325510284 +1359141396 +104141815 +1810193703 +637857051 +641721637 +1259209740 +777489962 +868414990 +1781657192 +654448013 +966202738 +657801729 +817740230 +1641194656 +1814407689 +1686758125 +1945262038 +1483367758 +49620314 +288485948 +1016614780 +399399430 +695194617 +688669077 +1660191020 +1558213551 +327750846 +1183045864 +2117343997 +875248957 +1508556148 +1329001745 +979390772 +1171266203 +1966858796 +1621112409 +282992295 +596865110 +342043752 +2064649487 +1251313123 +1308246490 +574967568 +2069053353 +801957498 +241891609 +1608327830 +599735889 +1725259367 +1657948144 +888221837 +594390500 +2057347575 +1583416454 +1283059577 +1570054947 +994146358 +1610810424 +605617163 +964006707 +338575733 +2114173311 +145524804 +1317966506 +1137955866 +2112383600 +791595267 +1420948161 +561765062 +1133639019 +1338114000 +1813078185 +294401861 +1913081569 +1734647890 +1096359360 +7489530 +1195492072 +1696095249 +1732748898 +705956568 +436833438 +179655750 +615820495 +2020249892 +1462715327 +38391794 +866912602 +926042103 +644008957 +1830919309 +1264617837 +610698620 +1976444113 +435100695 +1748654486 +1941344065 +1226695962 +1022118999 +355625479 +212851334 +212749352 +21220016 +507253195 +2125830921 +1755867906 +1603612555 +2133320451 +803876330 +1152224156 +1718585701 +1509832899 +1589057594 +1898241451 +2125653394 +1461823839 +1213473131 +16561541 +181252793 +2139515234 +660570498 +2012172103 +1256649423 +1271269119 +1841132568 +1691750118 +872439957 +1634992986 +770962433 +1894558957 +1990618465 +983813767 +2107308309 +2011838482 +1491066962 +2085655582 +1620222740 +947195870 +2071492385 +276615423 +2099420026 +1642594439 +1786448322 +1540993973 +1393352242 +1764618068 +855334164 +459341725 +1781179609 +1036586957 +451373312 +294266460 +901275412 +1708022735 +1565535579 +594924333 +1252289206 +290491888 +82433671 +2023251639 +37567197 +2073052136 +859581758 +2144875506 +1937406970 +203165072 +2083047440 +1410146063 +1150360942 +2007056178 +1686761486 +1102297321 +1502166969 +1325726160 +495807646 +748035563 +942860580 +1351141810 +1207377289 +576556542 +240245119 +1658750601 +870823002 +1141520532 +1219289688 +288874933 +1736444865 +324095246 +579366821 +1818878536 +199863237 +616934019 +1744447024 +1059444995 +614325877 +1534370347 +1262610068 +549889670 +797032762 +265487362 +409462200 +336310600 +1367784683 +1911629169 +1662036760 +1863592329 +512181084 +457413692 +1067250491 +1719558373 +1033970234 +1307495611 +1230825326 +1904793236 +301532495 +302631367 +46184521 +2037977360 +626726613 +625551343 +1709372248 +826589851 +1242485362 +1306335624 +1886034846 +1856811239 +693222323 +1001161266 +259217261 +1490255085 +1266648629 +668679461 +1826565685 +486949664 +432824982 +1341118797 +203058346 +945006067 +1798532490 +1270308837 +517080792 +685019076 +430320800 +1747906119 +442328665 +731853295 +2050537486 +488513186 +622347007 +529780451 +1114064529 +184235607 +1356370302 +209066243 +1490571232 +1094921501 +2065877483 +36309907 +2096082767 +177611096 +1526564993 +1215247748 +846290558 +1205647030 +1702197413 +1279115540 +399282180 +1905255759 +76637959 +50331022 +1028080948 +593718752 +735350098 +1458401749 +194141223 +1177678763 +42771396 +97195061 +1666191950 +665118404 +626975512 +632772831 +849354011 +1983345815 +841839075 +192441595 +930783668 +760232910 +228751503 +879382787 +937844006 +1755316496 +2094630536 +1784134564 +813479878 +1649344301 +915766457 +1212762058 +1407116412 +992404416 +1263093080 +287713712 +1586123168 +1998443179 +1746115461 +1780264391 +1028638294 +1788886858 +1877459452 +547346596 +306521614 +356951317 +1180119428 +1155875625 +192813484 +2021958503 +1348317221 +1123597152 +634707765 +1577068724 +2002979939 +1572551771 +1184901572 +1950126827 +1209202688 +1998381450 +1451987480 +2124969145 +1063659861 +711620244 +969889913 +179269293 +999333957 +408529434 +30228824 +597965770 +41310177 +1058867119 +239368980 +1918769630 +1606213715 +545890594 +128237299 +638849495 +1701766220 +321050783 +513324350 +902599793 +1444647935 +1148032115 +332184869 +1300144226 +573100239 +1517086441 +1102787406 +1782302927 +1367984243 +407291238 +1759788424 +284160456 +1118911483 +582194689 +463429750 +2118245440 +990724123 +493658574 +568727562 +1032034301 +1552525693 +808096543 +803320283 +1011255761 +1353987137 +931557582 +1650105256 +908269709 +1252608365 +15945959 +1810869502 +549772652 +1163978074 +2143054371 +1849916878 +1737078313 +1512657164 +805220636 +1371897592 +733157760 +1212511875 +984202368 +1017318216 +183939710 +1566397058 +1480747966 +154701502 +409637533 +1974406541 +723429064 +1441671834 +1379448586 +1531525607 +97508469 +243220699 +738029097 +1029066051 +1893325956 +1646298806 +134190768 +1909271915 +1309684661 +683963420 +925766341 +1305255384 +386396651 +515361007 +670428901 +1191617287 +1887258599 +1403586661 +256645514 +723977320 +273421229 +440585224 +142890730 +1754169196 +595286726 +552528263 +1581092089 +1318715791 +1994200098 +813057027 +702757750 +2091708567 +1056277727 +1440786847 +973290971 +802120035 +939602006 +1107481739 +563908302 +101803019 +1791445160 +1489674643 +1407058403 +30358163 +2005035650 +2077487304 +1221975450 +1744810602 +1333590317 +1478620965 +321304274 +1607011547 +1919206189 +464195004 +1213697095 +367009268 +1016723267 +647305536 +1685725059 +863439717 +1460362563 +240999161 +807664637 +369156642 +1681786009 +1780955608 +1171276677 +473904367 +740953699 +1735184979 +575707386 +384915211 +1077375975 +1982765789 +415273374 +934927977 +1912769446 +1637248825 +532254931 +1098876115 +968386142 +853559205 +558404014 +740108683 +1317754209 +1772101109 +1107117951 +186993829 +271922997 +645359362 +1050433546 +1732285561 +886358524 +1858098183 +2101442203 +420660885 +1491570143 +1125235233 +894565252 +85040195 +712936564 +1470272638 +469955406 +1790312539 +1305554779 +885228781 +577756869 +1070840577 +374993958 +1110011800 +22233045 +1343380100 +1963571006 +580637059 +2083488783 +1133841567 +205254521 +1043123087 +1320835396 +477177518 +1688482449 +223785295 +61979431 +427357325 +2081883478 +15937987 +848018210 +1425969974 +1141173220 +1742583462 +1511010169 +1854109784 +1065372452 +1980965575 +1496938676 +223443584 +718710708 +2074695545 +1294284161 +1093704666 +1037223697 +1316517206 +289601118 +853311055 +1897154266 +225606254 +1987152623 +2102408787 +1268729341 +1160504371 +432102657 +809728142 +1384289666 +494082089 +1237085468 +1318689497 +510020076 +2085103678 +597175823 +1651193296 +1680203493 +2108185992 +1357819432 +598092297 +1941667919 +707274460 +821535881 +512894980 +634486357 +2115820043 +1606599646 +1671710055 +1284853601 +1896200765 +377537462 +1034524219 +2121807019 +217206437 +989449358 +1243052712 +1377710809 +1421552016 +2052780854 +614516827 +1915634105 +1142382674 +1933206324 +278170533 +1080002705 +382898499 +1929363829 +612722550 +343600843 +1139699613 +1210814847 +137785115 +1846974074 +2032350729 +650680095 +333976783 +2000687124 +109796093 +2005686838 +1138057077 +2005996858 +235740653 +25097649 +1980320229 +452947090 +1014547007 +1075889293 +1830657899 +288615375 +981186500 +297691079 +56765832 +2123569174 +83413755 +334936365 +1056088231 +466312255 +116816546 +1668810781 +809913098 +1256516160 +732141981 +947698213 +956006586 +617009062 +1598378308 +1289983369 +470212538 +1708174402 +1148186560 +1608269615 +1566687612 +1383927213 +1633367264 +1399524194 +1836874303 +500430624 +327929839 +1520048555 +789045999 +1309116339 +1817739634 +845811832 +1285201866 +1901153389 +1180748197 +193806449 +219981996 +1297564744 +1862617231 +1029895095 +406597256 +447275564 +1977593308 +1362603842 +1064284626 +1428487969 +505103563 +1534497164 +989178723 +1653290123 +995283131 +408382687 +889733688 +481166748 +1807906881 +579124344 +981597372 +2135836721 +2099172899 +1770643371 +1297469412 +1769428885 +468971555 +435187630 +1523098626 +1649719753 +628994080 +1743080623 +799800849 +344127663 +625492070 +1206398105 +791403227 +455601730 +421518299 +1855687853 +1884089699 +926621862 +1242701369 +725784774 +432428338 +90500852 +1134167462 +1322162026 +571667600 +794590695 +1901286370 +1553264972 +782943768 +1852975621 +1176424696 +2080413181 +1474920858 +1645396251 +368117163 +850535837 +1147632356 +997111243 +446132812 +1947433205 +1341238906 +1071624882 +1006347662 +2132642133 +1527226612 +1427865961 +1840846338 +1263832664 +207004176 +936064059 +1989617438 +639432514 +1026564912 +976301252 +1961594540 +1598232512 +1770891948 +1715397263 +1004013837 +406352068 +1420889236 +32954885 +339281601 +748326447 +1678351136 +707398765 +1598862284 +678499845 +1704510008 +2044995096 +478449402 +898265267 +969136330 +1484797065 +883423752 +348879294 +765179378 +576786443 +1612711958 +972183554 +1512850502 +1454845749 +1611616068 +391931766 +283663353 +1425726961 +1990164279 +2054555301 +993640576 +846694468 +313423722 +267046164 +879649353 +652705323 +1015372611 +410516841 +1360104088 +466751247 +1089016686 +917130449 +364262695 +1567466089 +1815395716 +1333399025 +904779506 +551335820 +1682278320 +1669958884 +1128122263 +1147506630 +494658791 +493489118 +454868731 +2106274859 +885420884 +738532085 +1384518172 +728101515 +645603738 +230675100 +1574795983 +959027460 +497721265 +306961688 +1611732784 +1513093876 +717478530 +824353224 +1979845124 +1806495216 +1741483673 +196624171 +1226477657 +1409395741 +1530023197 +2131257163 +1960731562 +1064817869 +1653732400 +941370177 +64840851 +907543 +1434859295 +519709583 +2107182402 +172796532 +1258241668 +1344216927 +900898047 +1903845406 +1574892027 +328210383 +715389219 +2072613292 +635172071 +179638355 +1438223521 +1352650601 +1003991579 +1270584997 +1011662170 +597991605 +1467209168 +90656179 +2007387346 +849748717 +74429695 +1820635260 +1914566586 +1728162095 +614521790 +1979407438 +1729069638 +2049381085 +351633373 +1688768392 +74693969 +1609875041 +885501671 +975592017 +1366236799 +312910051 +1303802400 +2081626018 +238039695 +1938974471 +113780725 +1676263216 +1144141425 +1117772305 +799364565 +8319947 +1715763910 +119090086 +98976126 +1575667608 +968838803 +173405821 +1248819221 +735921742 +1901567916 +1863341011 +567845532 +1483153906 +1765238448 +919478905 +1024438651 +1839932418 +381870298 +1909940322 +668040787 +1748107097 +75366725 +1971843187 +1682249468 +313406421 +1763334010 +1796030193 +1989669637 +759991787 +766318850 +641550555 +768311734 +334599112 +760640641 +867287861 +1910266721 +1729479444 +1040693682 +1011602294 +317917538 +794777951 +727459657 +885763070 +130448209 +345214457 +1805241975 +1154886860 +37663227 +39628625 +917343535 +705704014 +1787735723 +992710260 +530063553 +1322501543 +1306116681 +145913916 +971048088 +1148302671 +905905703 +1737366939 +1789853226 +1674217438 +2071966051 +403010219 +394021651 +1834749124 +2132489663 +1434715333 +698867770 +302923554 +82009636 +1426327427 +1188686624 +212457846 +1771541885 +846444952 +1367344706 +1809205112 +886073577 +137204593 +367425479 +526325652 +1129914854 +897489032 +1848827195 +288547887 +1043402948 +672391636 +1436850558 +1949308652 +262274927 +1079220136 +1476042442 +186757330 +1482230355 +1870064093 +2021506455 +1467236371 +1157295778 +572890577 +1770159925 +1239305415 +1999218005 +811362901 +1451763261 +1623276242 +1657807853 +671624319 +1284997706 +396397783 +808828913 +1652423185 +922723435 +1938743767 +402428570 +624066983 +79808006 +1445831518 +1296458619 +1516658565 +1247656522 +1558733546 +448395053 +576215316 +1745490876 +1930625409 +298795761 +1619513683 +1250378132 +1456091540 +44920613 +873054409 +547913307 +2044138618 +1684417310 +1999676568 +1519931212 +1194741516 +523817239 +657445270 +1591139299 +1332646152 +162384808 +366379086 +1123906271 +564813378 +990446069 +1203714278 +2010644896 +139421040 +572889195 +1110817771 +1698154586 +1021284248 +1687033087 +1296161815 +804426009 +1985828849 +768191850 +2054804141 +1294436741 +813112463 +780374902 +1842350048 +709767433 +317308565 +1694542968 +82214997 +1512050081 +70876559 +739660268 +955705732 +1403522712 +902045076 +1322084818 +379945335 +1466858454 +165047240 +1583659613 +1330019702 +304468280 +9065160 +293353825 +2002622867 +1030349409 +1980386913 +1151301034 +1834775418 +1818732114 +1919492884 +1742095912 +965685207 +585121700 +374987166 +660551607 +1294889133 +692295731 +207610927 +1377104131 +56862164 +278487486 +2116764399 +1012567896 +1682010198 +871325827 +187169067 +2061955534 +190700633 +352216307 +1498131499 +1520720335 +656684587 +1507196660 +1814074161 +511823806 +390062421 +1646977426 +1663124840 +77354191 +1318225892 +1435134077 +1819450103 +136427451 +2020255777 +46953622 +796979058 +1167661262 +739249353 +1004589985 +397281745 +796111518 +1283077471 +366562496 +1808679414 +817604022 +1237888323 +1995848481 +732075908 +1428588956 +200581140 +82723759 +801825644 +857265728 +1589920419 +468416157 +1369089534 +1979982840 +2115393583 +884730727 +2057337032 +1286135827 +172381156 +1729303487 +1422563278 +45153285 +1776257109 +72058688 +1212814547 +368022815 +1076648673 +1610096293 +1164134333 +212242496 +1976658789 +825330099 +1029846518 +1067063465 +673694933 +1761922426 +348168773 +874276073 +1844646186 +1149994417 +1731541801 +1287082957 +1618410574 +953147688 +1119582150 +1586320509 +1837878415 +1029435534 +724972688 +2010259571 +611255373 +52318 +2055412856 +240028835 +72111006 +1120743755 +608051650 +1148759679 +583356400 +1772185983 +1361002176 +412531542 +450032434 +243365046 +1479595007 +1123727367 +2005287473 +1827763780 +1998003441 +1702450011 +830274550 +1582061594 +842049320 +301201476 +387725634 +1961631470 +1887521986 +78120401 +843583356 +465011026 +2088379972 +1454838730 +465063345 +1996309180 +1694867565 +537174351 +969569288 +155435567 +1685934031 +1552925688 +1927621550 +899452559 +1965457230 +230170336 +1142817605 +1297568589 +1353897704 +1000621430 +977848722 +1204417497 +555587793 +1808123272 +638995443 +1397637114 +2109324748 +1026721078 +1211784936 +1849363086 +1104841479 +2055368293 +166890465 +1045737804 +1362723375 +631953810 +894563336 +910107292 +1169128161 +1864132624 +1065542859 +707578544 +1269574665 +845680761 +1607031103 +1087548247 +1075851097 +602365061 +237633189 +282265153 +1602986491 +1215481911 +1486682650 +11090637 +876121535 +2125678094 +1408727751 +837962635 +1004915524 +473029039 +539842074 +2109757003 +380913684 +706732539 +1008011159 +1743637059 +1338686349 +1902574496 +506260703 +360330862 +1619223472 +1571803562 +1067909407 +741314489 +270000675 +527456862 +1828862737 +1345851773 +1129821923 +2066495926 +1628116926 +585324767 +1134494189 +967315929 +596415404 +2010615724 +945510375 +2005143155 +701094711 +1950425899 +330688546 +1240936785 +1912699254 +711602231 +1947669324 +773226766 +307755642 +1138872025 +528317614 +814016346 +1499202888 +57438 +238336260 +419628647 +741371928 +508336936 +947085509 +422751017 +1854188709 +2076907433 +341763295 +1334821987 +514748552 +1476257484 +154654268 +1111163956 +1339389560 +1100164643 +968823463 +2040484271 +903106894 +1299512009 +1133937409 +668322501 +2011114240 +934123085 +1441549267 +171386235 +2072995111 +1969866881 +985402581 +1424714351 +1969924319 +1223738841 +1844342998 +563812599 +1732075777 +643944859 +986563616 +1438780838 +573368644 +1328326911 +626119178 +1088117196 +657100747 +780773446 +51797504 +1996490307 +1880938090 +1020620967 +1889490931 +636561336 +172649329 +875944692 +1304883837 +36279921 +1810067777 +598949456 +207666156 +1735579240 +421332689 +1193068737 +1012809943 +243773361 +269323931 +709669293 +807585960 +2001399708 +1353614153 +1794149577 +1292696899 +1926982797 +974992840 +1918816077 +867616346 +1632093588 +552105875 +919413850 +1481100247 +285560317 +1940034818 +1223107530 +922121654 +2112684147 +2099052222 +79521843 +1480420 +1761636352 +678471300 +209146577 +1349731944 +1099803989 +1402215314 +215058240 +1343577350 +1671539245 +924727533 +3679663 +1525455306 +130858038 +1797829240 +670668557 +2057840836 +625338432 +442000986 +777973534 +109948372 +994106861 +1697387384 +1591048620 +1279667179 +1489938554 +666672502 +54305185 +1455139053 +618241077 +133827028 +1456619474 +232393781 +812298328 +1665766051 +1582125725 +1912102318 +920497717 +1797183965 +1108196020 +444553315 +574427851 +1111875683 +1970008621 +705285889 +762221275 +493193530 +615643077 +1387559708 +935194516 +1393616611 +1497508080 +1929301377 +943520348 +941073052 +1061484908 +285975254 +1607745555 +1115790093 +1741114308 +78502984 +1249617122 +1050250134 +310896765 +2061915450 +568532537 +1893022490 +1826534120 +1489030254 +1542722808 +787246493 +1933583569 +2117150659 +1899122176 +1756108542 +674952900 +513859804 +101818424 +1290595978 +1901419512 +1037012940 +536728941 +1251443944 +818830670 +1480249289 +45033349 +1880315578 +1766224544 +1652778904 +848622024 +1359855204 +1731281888 +2098239146 +262621690 +2042178653 +2012670948 +831154227 +1787717495 +1691721421 +172700833 +1182956655 +331484266 +2106284403 +1152623666 +83122794 +1714909297 +1827576567 +596982598 +1816727722 +970688897 +350918462 +706257014 +1507417838 +1602362407 +1525087684 +840183480 +1647395756 +1257919615 +458924376 +1152691012 +2106541639 +1818779580 +736489252 +2057297137 +2081401270 +631184257 +1922484437 +765071849 +271418104 +1466722210 +937772682 +1454374760 +1798206476 +896573437 +459514778 +1881329271 +463999087 +139607697 +330828221 +133243161 +1110296594 +681746684 +839500175 +470230785 +136625443 +217104212 +1310414265 +1784021199 +1475023827 +1769338641 +789228563 +1434081818 +1440634573 +1525717815 +1343895307 +1374552195 +9418424 +1118896096 +2139624044 +280836528 +438134659 +929913078 +1735211288 +88857487 +1826486516 +47242419 +1970186758 +143001955 +186850116 +153531332 +276245116 +1297146711 +835278016 +1115745291 +1767377496 +971903459 +1332849503 +930308113 +608441010 +660389682 +552163106 +1397669573 +2094471500 +1992797679 +775903740 +1290883159 +1219866226 +785322164 +262295608 +1212006622 +1066158692 +700430267 +2141919700 +653886333 +789287754 +1820922568 +701128752 +611990865 +1963924523 +887978868 +765522197 +92685991 +37641931 +1600800213 +1208431283 +1805019427 +425220024 +393797138 +587843892 +1033661034 +1054186821 +1140006998 +283846959 +1001174673 +985321029 +1059750699 +144574185 +57703607 +1845072863 +406869793 +1269710229 +763747907 +1107300060 +1264146282 +1417634240 +1896587814 +937585202 +2118762992 +361095031 +754026078 +859258213 +1126617228 +846712069 +896900144 +579933793 +2055143352 +554435924 +1005153817 +301456843 +1142279816 +2038814851 +1355643664 +134803167 +175178162 +209334689 +1120124196 +1234928861 +353908874 +1177827804 +932518076 +760778667 +300054385 +1696265984 +1868078727 +1564200667 +966416576 +1617182894 +354302222 +937695921 +1978277925 +1108328300 +1796954134 +957411506 +1955040369 +546370630 +1537345299 +1862700074 +1100806554 +395015469 +16673269 +95602723 +286346672 +1372316933 +230405890 +461524835 +1581651622 +1350530086 +1696453696 +1935560497 +380874242 +481488125 +548855516 +680928628 +30270461 +269450596 +97645647 +996687037 +1886633490 +451947869 +1934382958 +1717427767 +1560276169 +1583853444 +527355625 +1367832891 +2130224075 +2064700925 +1083049317 +1083546981 +312232746 +1099722586 +1179149704 +598579418 +324555871 +1409555594 +1060104253 +1906207493 +612602033 +609074302 +1694284342 +993476275 +1090562427 +95656211 +1674404903 +1120832888 +365106807 +1772050551 +2117519925 +104256649 +76514772 +1904419236 +1821684416 +1636790942 +1340789032 +201556394 +857140185 +1323529459 +118773671 +1940189502 +259592793 +431006417 +892428440 +1438742497 +1029585835 +1216984311 +700814444 +2089690089 +975708156 +1313416477 +551280743 +522508851 +159409104 +1641843170 +618165062 +1833814008 +615192410 +983271869 +1458380911 +585228687 +1087528518 +1534895683 +342164275 +761729286 +1024202977 +1682953308 +963285680 +1881343162 +858999119 +1082059351 +1674049016 +1118591912 +1513065768 +418993808 +409850762 +395167956 +1635978119 +1110665206 +337374397 +464202628 +276598035 +888655140 +986711479 +436007139 +383014662 +1604876541 +122337499 +998207072 +440664762 +1580718410 +1583435759 +1528193280 +968130446 +1925600035 +142438918 +1992333423 +1461069695 +1105724599 +1726192938 +172585166 +40300302 +1252758306 +1291177079 +1553366071 +1671752115 +1701027841 +1948534027 +1160246586 +664209399 +138424776 +1624449214 +940807434 +1027079916 +463677045 +1376814573 +1410094578 +2068553586 +1499152073 +260818002 +361734700 +932386835 +1844253761 +1889927980 +1900517281 +1622370148 +2032366899 +1745367057 +935956195 +990607850 +1324076347 +1108541362 +1030908152 +429351005 +252234793 +436790575 +2101103120 +1953262634 +237840954 +1113866059 +469988385 +376265730 +590831625 +1410795819 +1403345646 +1054508671 +640126744 +665956576 +975578609 +2139278817 +926774578 +1337313310 +924182005 +623544692 +1079757642 +677215638 +98431192 +964640893 +275099047 +1034387388 +1955248743 +1599175394 +2142928750 +838673248 +2028526400 +247679895 +1275463823 +1982145872 +53458881 +1513304778 +948528283 +523447266 +1889570508 +1539359909 +1934243085 +1145432507 +446384932 +426886181 +1811389083 +1421963541 +418681351 +590680014 +611793203 +1342863356 +1214224706 +1691550846 +2020078994 +1312655898 +508708091 +147694394 +199559638 +316473187 +1746869788 +195004740 +1155146435 +1627912540 +442684635 +283126610 +1462574765 +496143516 +1796431388 +263619400 +1019590782 +1538518249 +1802979309 +806350219 +536467108 +101880593 +1233236401 +200372543 +1523844135 +1651917752 +791052557 +2135637338 +847297460 +2005277263 +1679704536 +719892806 +1170449514 +40928980 +867587200 +1370009152 +357402167 +466973341 +1565013893 +1512548602 +2094885881 +2007698528 +1795675212 +1409976998 +356358397 +1444622953 +1673596399 +1375949179 +835657554 +1329092060 +34815751 +1372124662 +1430972654 +1268052152 +1572497205 +807333141 +772486256 +216066115 +795486831 +1619783716 +73859730 +327707720 +192192874 +1244309244 +368636700 +1059780075 +466834749 +726038867 +1526753416 +2031848642 +91103821 +1474155649 +1892063522 +1886779033 +736649000 +100938271 +1183918338 +262761751 +1476887451 +2019575892 +1591853811 +1511703202 +1244216906 +875342817 +632271706 +669230464 +1682675958 +1404757962 +885296579 +330679142 +877058030 +959156309 +658386862 +1069250904 +55981906 +1027023562 +2129030979 +522816655 +1753062429 +1508300747 +407181649 +1844166250 +834972749 +151761523 +1583461635 +1571621749 +252699795 +619896326 +1834383500 +1729587246 +491988570 +1278753663 +1093806800 +1736205477 +6612833 +1726078506 +257952293 +1689288791 +983352820 +1143248872 +2019967933 +1860410850 +2102405181 +530871147 +782178106 +10903439 +1557894709 +763725438 +533720094 +1163473490 +124542537 +940901743 +860156092 +959515286 +1092663267 +296134080 +383653387 +1345363062 +916030406 +70553239 +927466660 +1408018976 +1349306903 +2021273460 +996740805 +1355919736 +1599868318 +1254693098 +897724879 +435737490 +250458322 +770209165 +148664692 +205379856 +1301080312 +930842798 +216283295 +711491374 +1694568236 +750003390 +1874964864 +1819110774 +1690905133 +587637309 +631142412 +636084752 +883771389 +1014795800 +1981447814 +1799801795 +1085349039 +761430826 +1060337123 +287172294 +635220638 +2057077929 +1643092030 +87605308 +1164287379 +393333262 +523342798 +1414745702 +1163542427 +672007490 +1620125558 +317139091 +1602850289 +1836408853 +1028630465 +1149934877 +438928595 +756111682 +821562003 +2129833729 +1343748991 +1452704416 +618434833 +80036732 +320016568 +452399000 +1879838527 +1405365607 +1213829826 +792692002 +1692537902 +1849050465 +702286283 +1188146284 +1936655773 +1866573663 +1581479546 +312514924 +1133835717 +597538325 +984522414 +606477627 +914677417 +439889055 +295402832 +1943307882 +1589823933 +734331428 +551935916 +263902288 +716681509 +1895684907 +1716606704 +1335116342 +1975721639 +2036623272 +1787515342 +1708076518 +1294505232 +853861521 +353284873 +839559486 +555428338 +1055571156 +2027705770 +344600463 +774661171 +1461701669 +657115387 +1908496888 +2059239994 +1641637802 +367490867 +826433763 +2081526857 +662893700 +622257998 +1523867142 +1397225128 +1174193914 +1787769431 +2113906637 +922395174 +1356892487 +1301539331 +750633165 +1246032112 +941571026 +311226036 +393053696 +1795432547 +664510909 +1232613182 +203377237 +1720082065 +1112835304 +547977700 +347259589 +427053325 +1205093088 +108272829 +338809672 +699247242 +475763697 +1165243435 +633290451 +1138657397 +1787501433 +9673946 +388398877 +814211700 +1797443377 +354821866 +1736606874 +1006852216 +1656361197 +339756391 +105400680 +450448575 +650982427 +498454376 +98397474 +1315493336 +1731067558 +301774711 +888091754 +696419215 +849752412 +1235351343 +1123472540 +2054845500 +1343624172 +1462282212 +606609094 +1819387869 +480042000 +1239899545 +810561618 +120059785 +1249573491 +1198960495 +934271485 +899533220 +1553782361 +523394711 +1906385437 +1062659911 +863151103 +2011786117 +1513108486 +1514133530 +362756846 +1611505961 +682143219 +2093824404 +1913280672 +1570234973 +642759971 +615549436 +658102668 +1766232512 +522911288 +2001726840 +1081031076 +1129520382 +1673631062 +1561073076 +221936280 +336709032 +1681132862 +1471509771 +1535669528 +467920699 +223559344 +941968241 +991315411 +2129944781 +2004628152 +1854466514 +1994247250 +1370252991 +1221116396 +209520448 +834275304 +1903259615 +155861205 +600072328 +1326010940 +798621176 +1215621765 +1984113608 +417370040 +1738533053 +1838356801 +1498401117 +720569788 +1364504215 +911990545 +942506068 +1701213247 +445639759 +266532191 +1089399127 +913560459 +490091535 +2031367369 +1904875870 +472552668 +1888511873 +1611858736 +319316271 +1111281216 +685491484 +528836719 +1945556520 +441267452 +684697924 +398145201 +1767278392 +1483319101 +1613766966 +1603908353 +1900689141 +1204816371 +1294781506 +1251606610 +1925386159 +511802073 +16113508 +720408579 +65531672 +461753267 +986940771 +1154930800 +1375313726 +1477032306 +1038814521 +1132705948 +1949584975 +779842746 +597081036 +121417598 +1891123963 +1282572521 +650254317 +1689196835 +1723839973 +1334952242 +2087342036 +1343634717 +670787695 +1553625354 +800059422 +423993188 +610958078 +2094840928 +1675599799 +388860589 +459159353 +1691713307 +1109269169 +524691026 +5982926 +2096209940 +1679621826 +1381296653 +1425758598 +570952699 +366518953 +1227859925 +1350795445 +963599990 +1349277523 +1094435760 +98688863 +1999531841 +636148948 +1822528836 +1187000435 +576007336 +1018679905 +1857788130 +2129632691 +1818739328 +134297670 +593107121 +1766096608 +1809897469 +981967710 +77772314 +1354127128 +2091236879 +602463340 +1360110055 +2039963171 +134601518 +593923060 +1318238122 +705554217 +960442013 +398614399 +2056349662 +1924042003 +1747891923 +1003301775 +2022730866 +1599940116 +1639450723 +1697776054 +639456903 +67974411 +568972312 +349761385 +50123454 +240227992 +484059055 +643230575 +2006324600 +146472877 +1625198286 +2084096914 +1500600005 +1568951517 +539076606 +713226412 +1461431041 +673678124 +1307149472 +632185515 +1379232341 +120107838 +1030799914 +1288098356 +2044149841 +631208189 +143916483 +1919397060 +83664657 +1783367206 +1469689466 +723121560 +1851341617 +2038661778 +1072882945 +1901465072 +131406122 +1556942001 +397211999 +2137730723 +1703414878 +2022410285 +2074343989 +1056531235 +1443878155 +465936948 +1769757648 +757825548 +1139615072 +929423472 +1390011063 +371363766 +1049531310 +273327329 +1659462122 +946197504 +904535519 +1803378605 +718110916 +988200176 +1439262163 +40316734 +1711321737 +1143120132 +2078978513 +636721034 +897101556 +62900987 +46179387 +1294313556 +53148062 +1749594265 +1169240193 +2127492052 +658641853 +465634700 +445945352 +280915853 +1223460248 +1585560424 +1210339325 +465987663 +1956924190 +112386988 +739314993 +1468902664 +1058584492 +1643850512 +1124797621 +1776695408 +484567040 +416576136 +1817012142 +48405129 +1559696269 +1748507007 +685126164 +309314177 +1811407995 +731305551 +1603627733 +1864556057 +333416169 +625384279 +1844564461 +992058022 +1091018979 +143026165 +1272973875 +166995580 +1728586590 +335829552 +632983243 +1538027132 +448216540 +1372298236 +859446149 +1506801032 +868665100 +1984243770 +1136012792 +1353232141 +253336259 +805541287 +1401637270 +1813032528 +406564646 +2086763434 +2122346705 +70488993 +670585338 +1578490791 +1935045051 +1004001507 +56391422 +1632125864 +1996059529 +1147410401 +1775152030 +1121549756 +1314405981 +1356254972 +1457379308 +1947389225 +746798456 +1905595849 +1172203813 +1606244605 +1264913233 +2040868914 +1443004728 +253442378 +1246617407 +1696340987 +1058983665 +500771029 +1361889867 +1465548311 +440050816 +1336752924 +1536037305 +1110636154 +767760067 +1323598708 +2114637661 +824151489 +808240924 +1963213542 +1971561891 +435909306 +937279650 +1138484224 +1792164278 +247175310 +938389801 +391479087 +5287511 +2110593615 +1997723692 +1270200745 +2003978881 +1293244772 +1523643123 +1103112640 +842102111 +435143140 +1603883669 +56508330 +1900691451 +2043934485 +1393261255 +1289245108 +1007086991 +13537674 +465360168 +974241004 +837689164 +1273601093 +789970898 +661767407 +1709510399 +1727250548 +1800251631 +1354191030 +1974425859 +591157785 +1745670117 +1979713370 +554267752 +1595910161 +1102430467 +410762985 +741671286 +478589942 +1513875625 +1583773397 +913733082 +970275646 +1640281728 +666940886 +866726484 +886059335 +1956185994 +1873813475 +899597009 +274062515 +700570832 +1737286173 +1547663608 +1490541730 +251569932 +1109690359 +1070308631 +2051821564 +316397741 +897250842 +495495701 +2062067858 +729480564 +1049763453 +1510494372 +1831911032 +1460526438 +104682010 +163017326 +826918415 +1688455407 +1076750409 +1797194061 +1181253487 +1743691295 +516436897 +2067312822 +1552393641 +242766725 +819426184 +1826456156 +943337557 +409228709 +1226636116 +286395639 +660798642 +188842828 +1356704270 +565136558 +505240569 +106471464 +1060632259 +419824780 +835952029 +2110395712 +1930319152 +520379413 +1423438502 +2035001162 +683396739 +102873269 +1575972921 +1760147148 +1900067330 +609742761 +1356354795 +269020580 +529571935 +761264789 +511787305 +1348998119 +440237297 +1455124862 +1758226829 +1666873414 +1741520501 +271541823 +1855716242 +950741124 +836678381 +213473163 +1057212588 +1897310640 +633297943 +1893164617 +1860222704 +416133447 +266060382 +1136177558 +303650961 +949457122 +1239050827 +1879623883 +562120622 +991634509 +341882996 +1918475418 +1260655089 +871454931 +532256559 +1772442394 +72969403 +972493856 +1080083608 +1831196232 +491883622 +674120462 +2102738055 +200116216 +1624861586 +791932788 +413589380 +534590526 +541759780 +1046887323 +280271496 +254498836 +1463020771 +546331878 +1390676394 +1766671732 +1495789000 +482243573 +1498811967 +2057909623 +1473878082 +1840694963 +1828901393 +587049524 +564666247 +213674304 +212008270 +637635650 +1186168160 +1292091879 +321348234 +1678051783 +1966212341 +276602641 +1878167999 +1443590279 +1068535429 +144273731 +1978180805 +1610295209 +1191161055 +110968653 +1864794045 +506698178 +657300532 +1107986791 +125886262 +5605884 +1590230364 +1624698230 +2063515507 +916624798 +1317909545 +1744933252 +1503674322 +1882575792 +1958607556 +1715682593 +372727794 +997292069 +860290824 +694076028 +527860204 +679019517 +970678669 +258544555 +2122609796 +2039214098 +402818287 +1953306953 +1502025659 +1593979342 +2064275607 +1219336056 +2100677520 +574092491 +179839199 +79080134 +579698375 +1770069563 +1703778364 +495730235 +539210714 +874204262 +93179839 +2042885036 +609296406 +2051787396 +1611083981 +982024201 +901595817 +323891157 +1676100229 +1429456021 +1002910674 +499295251 +1688000576 +978036822 +391025701 +2090818863 +783860128 +1893051361 +1537314557 +700652087 +964903769 +1490508429 +1274744578 +1144742969 +1569588564 +1854442953 +767328884 +1125883280 +202689540 +1306539598 +2000087542 +295869380 +1201940987 +461900301 +200173128 +665541320 +1443924502 +1101768945 +989432478 +972541083 +383741318 +1992343152 +1471836334 +2071741894 +822896327 +1862862036 +2015077110 +1606756455 +1608429749 +1404908019 +159924894 +425849870 +747932801 +1434669472 +1570592839 +170037717 +1141628777 +190438076 +1295920997 +1344318318 +1496977674 +1148524892 +1640187698 +551435013 +1610425193 +1840360826 +1216976334 +906866047 +794646123 +58925164 +1879407130 +1178387441 +2051268316 +1203759817 +1102645687 +726680995 +919138205 +970239149 +185953802 +380084306 +227663521 +345878696 +805934176 +975596322 +1780548168 +229043368 +1145634039 +774693298 +419481444 +294071388 +2119011616 +1916459118 +1442596280 +1611715666 +320410484 +905537825 +1304592844 +1537386818 +1812403872 +2099238967 +1596311982 +1544327355 +1130142760 +1500096650 +600603524 +85304799 +79293998 +1519741729 +1055543949 +265247800 +1899826035 +1283207470 +611126497 +558276563 +111320144 +244191017 +787319931 +1256954183 +1018884315 +1206801375 +1551025571 +990412283 +975776846 +846138204 +454644301 +1296187330 +1751676029 +1759237145 +686090500 +1416596254 +1710992464 +134918834 +813439961 +693651576 +1635015484 +1414043485 +778956376 +1714309482 +786301566 +1834500325 +1979557283 +538643953 +970224147 +443200132 +1096920516 +1081544291 +687391149 +1884240448 +191014826 +1706275465 +943558175 +1742040397 +549204100 +1919335021 +440694953 +1003848402 +1068038703 +44887335 +615601899 +1754129203 +1461483589 +179110716 +1889048037 +127439902 +872762292 +1376579874 +1541483387 +1651718668 +943405708 +180301305 +1338735345 +775479343 +718945258 +161475844 +1218679475 +1815865774 +1243020135 +1906070625 +1552622574 +1434034961 +1464862442 +348697102 +1028591711 +2014066542 +120548475 +1469286664 +870431296 +1188587179 +1514173999 +1486033196 +795232734 +828173940 +1665143912 +536797124 +955613842 +390422556 +1913376998 +349613581 +2042141225 +709299058 +529914886 +1233392922 +1484778402 +1248860144 +1394868767 +555974229 +917242271 +490405254 +314561206 +322381197 +1924440216 +1779423648 +671078299 +805548279 +1646006543 +791626775 +127351295 +368954191 +1980213954 +1641525295 +1854987387 +627963040 +322215587 +1372647651 +1164760164 +1277829430 +1763070208 +930653514 +1627443011 +1657727785 +1639952573 +9874250 +743637059 +977247327 +1258734394 +2138505826 +1533221556 +28493017 +481427433 +1847782763 +350874215 +258384001 +1479722763 +1021952514 +1063932280 +978245658 +1813579289 +1191283575 +1347199850 +1646309595 +685325222 +1054703589 +126788988 +1007540810 +279867593 +1291549152 +137886592 +2042937801 +74719019 +1765329603 +1553181938 +1714671592 +1775203853 +149335349 +544435271 +886454600 +140357528 +2077656827 +914947617 +621784961 +1777955942 +1265821832 +880168962 +1110195058 +140290699 +1944101242 +2088440716 +1953869988 +987901169 +1288156918 +1452695936 +1673226392 +195376860 +1579484924 +533283554 +475244453 +723550428 +671170146 +370698606 +798269447 +289016101 +1923880544 +365457391 +2064219955 +2073215893 +909892662 +803190907 +66089773 +840065842 +1718138524 +687874734 +470538136 +836476709 +1568043696 +1580733194 +976767408 +1364661290 +1521690263 +783153748 +205078812 +662363533 +88366036 +1878305204 +857740393 +1667850960 +264105110 +1332984846 +243917741 +935275256 +1703683452 +1042187188 +1224291357 +1480080348 +1407644580 +1141027664 +1405812594 +170053594 +1944218571 +1471902367 +1010119436 +1514873448 +12293454 +1480657573 +203866509 +1580337150 +913907119 +1180633917 +797514793 +288113734 +1963787665 +1002593605 +950477268 +2052153702 +733415161 +1808217661 +1572521014 +997520271 +993718860 +1816438755 +1932795527 +549918664 +711142296 +1009603236 +2029999013 +2118786876 +3147253 +1288327959 +141356822 +1947365824 +612746678 +1151476259 +1314755624 +625040132 +484650184 +1518622133 +57893635 +1398557303 +551772402 +855408428 +1686671038 +368076420 +1858002033 +489664658 +272746474 +443933546 +150398671 +1845267488 +1441453817 +1144117531 +1514222596 +1226765696 +1694036196 +77881244 +88885284 +1576551561 +49184472 +92032537 +717395872 +190541294 +2039398362 +1330142550 +1342017553 +1206670338 +1955182683 +1826667737 +577808824 +2013076318 +1077741393 +1129581226 +721001098 +616928783 +1497657646 +431519483 +1106593441 +1770404120 +875453029 +1256992112 +1468187961 +169423198 +253625996 +834926909 +1396188894 +1947662192 +912808153 +1485074178 +1376730105 +961992625 +1577106716 +2094125977 +1152533919 +1469021430 +1276784879 +347067825 +528208120 +1084483914 +26251914 +1106016944 +950076584 +1103993307 +88114523 +1671077682 +1720922090 +1585772169 +2102597165 +680031883 +1208692642 +830566546 +1937023996 +529396955 +999989744 +43166344 +1364323864 +248694990 +1990828536 +129648369 +1733769169 +1220074993 +1091640994 +1163392237 +1166717322 +96691265 +484930019 +296018553 +443759090 +1013138139 +1380502468 +470011005 +2119155084 +183095404 +1574004312 +59785959 +1854173087 +1147442755 +1645558128 +1809286604 +1827474638 +706767122 +492369503 +1617014986 +1236164077 +1492359247 +1660181330 +453004293 +1741054238 +1503526218 +582652662 +1327339759 +576117563 +1674293656 +343248348 +1742834885 +1770984922 +828178367 +2038853439 +67260364 +1841316506 +1271872259 +537271369 +1812987942 +1454967663 +2111275682 +1872773901 +1161657102 +1111234789 +1370848382 +823460059 +791225779 +2077615504 +1315829562 +260757118 +1166295934 +660705161 +1920938448 +1619300227 +254275751 +1276981019 +54469242 +1581615510 +1853098582 +1728762898 +1924863858 +1448449820 +1352264172 +605558577 +1339819611 +1419524537 +299391436 +464208222 +1956795906 +2112379378 +1919175885 +1920587940 +1837669632 +933349340 +884339081 +1061034366 +1756809399 +1675564861 +991166222 +925155313 +1936321979 +9978508 +1585860474 +1709776779 +1629278736 +1840136226 +839274150 +1683747978 +1274268088 +544889085 +1265027228 +1051648299 +1993338905 +469807753 +1657206876 +1185674868 +1889332290 +1956598312 +1649883090 +1698644548 +1921494043 +1421575327 +1471748841 +1611680027 +207441019 +208604274 +525230745 +1964250418 +1884169135 +1516396967 +741922083 +1673007466 +1526375476 +180298910 +1235300598 +1008170564 +2020435136 +2074574748 +544434894 +1147219576 +471980185 +1809462122 +51384227 +317835442 +131786227 +1708591104 +1503510310 +2021118517 +1517705768 +1005909752 +1572279418 +1291716163 +280001432 +896544611 +755912542 +487442451 +1105148885 +1281143287 +304209222 +841834373 +650056607 +1046131305 +367358191 +28948435 +1226430215 +1602658789 +1037118999 +1099381703 +1529749890 +1581553893 +99117632 +2001730075 +1243532367 +150501859 +172081870 +1375318595 +1859092963 +1675592180 +1248953464 +1229315084 +534018285 +673749234 +373547599 +814019717 +1570293845 +1129460142 +1301462168 +527959083 +263119781 +1605671390 +1369793456 +913176388 +504319048 +1737151647 +942124823 +1730749263 +1192326789 +1979243822 +682647319 +574593031 +1413314067 +781764951 +428839458 +509362787 +932266810 +600921328 +1884681382 +643876126 +129029861 +986151198 +1873191210 +663048146 +1659900433 +99255161 +1477067863 +1082710630 +1228715303 +631046383 +1610669713 +1491835085 +89234126 +832979521 +257527825 +593553174 +422647521 +1199652649 +176818789 +1614974310 +1031412823 +859466108 +42083693 +297243243 +1641231059 +470923151 +806606030 +426014222 +1071844480 +543803764 +1069890348 +1200874341 +1529954962 +795597910 +1863922487 +1042371747 +894853071 +1193506702 +2125082378 +2123568375 +1824553085 +1588268443 +1467919812 +1913787211 +273764317 +1725447637 +359856737 +696411838 +777616638 +536675527 +163902500 +1809029462 +1396141635 +205986193 +2106272705 +889889047 +676909344 +765395087 +1315903269 +1748753824 +1309198851 +238309969 +802144517 +691670165 +1033907879 +518583356 +1734041913 +1928760950 +1712090058 +1711640643 +1904845677 +1389159496 +1152425438 +1225281841 +1155463059 +1426189755 +803245831 +1515319797 +2122601593 +1580862469 +2051995324 +139020445 +1242408283 +1300653311 +345006638 +1201197340 +43058710 +1021915983 +1966592427 +1358961979 +623186159 +1128307630 +1597271948 +1425330677 +1819977796 +483696179 +1943914033 +1406536061 +264973482 +1508520444 +970693056 +22335511 +750196292 +2123118494 +1247617353 +1905659351 +1401824602 +2050863184 +1273495500 +1376942547 +1484242005 +1178007176 +1515962993 +579166641 +331176840 +1860969631 +1780363981 +374235550 +735401966 +1599472761 +1733197530 +1358588126 +580296743 +1182985830 +636435155 +252790891 +1666682010 +432865540 +1659326952 +1931655492 +1941385984 +482536360 +1953991003 +544098628 +458171207 +1054124708 +302274332 +1859995809 +957504244 +1575769832 +1089454708 +294262602 +606293361 +457934053 +873429243 +937470201 +171420037 +506309576 +1311705751 +906822003 +2105782337 +897419633 +117926481 +538595433 +2080405464 +754361636 +791386324 +1599603826 +1187227177 +303229629 +1383775670 +981129513 +785765989 +1190283025 +1525228142 +1243937196 +96924086 +1827502474 +956449357 +1054428330 +1255788658 +2045904066 +1348690932 +1862082019 +356354471 +74636527 +652068572 +527774508 +580946104 +1963774324 +1434596512 +539244793 +713710309 +1552522993 +1077840226 +646632125 +159400982 +1869226551 +98752303 +1346628159 +24972532 +1482527973 +180274024 +810738521 +525327351 +1705502166 +2054675718 +622251437 +1385520992 +863641427 +1676679767 +493826003 +762061845 +877887052 +208424374 +1118416317 +952523579 +860492947 +1646190825 +1533469683 +676783623 +933303689 +2072714477 +1390493932 +338343035 +1003071055 +2037126058 +497744017 +724813958 +2135878361 +1844372176 +749786490 +1470922687 +2024646200 +1560525012 +1996250038 +1582664719 +1467717082 +471017827 +820702063 +183874861 +213946 +1314528066 +945936707 +878100998 +1522952441 +2064353024 +1830624578 +235961740 +1563060201 +1216610613 +912745363 +348880243 +1141841442 +155755647 +687223278 +2144912498 +45398057 +1184967295 +722242808 +33792771 +881855823 +1472029299 +1504715458 +759018375 +885070663 +1353481848 +194199446 +205304097 +1824499675 +1014901510 +389178958 +1824713621 +181945928 +1335115665 +555330972 +1704898369 +1251985041 +238471902 +1940860109 +667561595 +1455082515 +706121824 +1016441838 +449440310 +861877472 +1703665116 +446869160 +907275529 +741148763 +1169111968 +941068300 +1623004586 +493657619 +298300110 +234539313 +1378728282 +1651781958 +428738760 +1584032379 +1328797985 +1443640270 +1973211338 +1006027959 +1625586198 +1160843355 +1561358931 +1183000920 +265344749 +1799830833 +976377381 +932906344 +1107429700 +1682499206 +1949348182 +1556870010 +396893030 +1505529650 +2003739170 +1304168559 +99194765 +1025367491 +97753212 +1722199351 +1519025110 +396053322 +1956738664 +750269745 +2047835281 +237993776 +186818476 +1229149618 +1681634046 +12546166 +87693929 +1159736597 +1173389522 +1649052860 +195253869 +1438734271 +1301400045 +1171631250 +224156967 +261346098 +706646808 +26021501 +1818216108 +1103539838 +1531551151 +1674471631 +260224750 +1630745916 +552355474 +357977962 +1205461619 +2071380584 +754031284 +1014716635 +674166681 +654382917 +1252710412 +860985158 +1883532536 +786860810 +873531324 +1971226465 +1946597407 +2046920846 +1472795678 +2141851276 +1338171469 +626712075 +1165998879 +1562328436 +888058173 +1872645687 +1588349937 +558790634 +828701878 +972417440 +85778617 +1088926628 +455679708 +638134091 +1446904590 +1661141327 +562031027 +53452226 +528374315 +1236197709 +707835144 +1781084727 +2097182867 +443884032 +420461889 +823230543 +267626849 +219575649 +722667742 +1740422527 +213943277 +2060839211 +219650955 +1379942156 +1475684000 +1107709128 +1105104196 +916550289 +1666499762 +1933806074 +1888967730 +1752278379 +875249054 +197163790 +242928822 +174669996 +1858305118 +804959850 +228122222 +239195785 +2041157559 +935957366 +2020280512 +1990856778 +1379841398 +293258753 +666603673 +1647468248 +512834402 +1389271415 +1240407127 +726777680 +1302626979 +1460058082 +2106719836 +630827331 +420283563 +1064340384 +1547377620 +2086783325 +850662810 +1288861702 +1691578057 +1725911864 +1486025493 +1934506879 +1900581860 +1196846963 +591983081 +2128704083 +1436042748 +485656992 +917177801 +1308839612 +329030122 +149535552 +1602098365 +995633796 +1797003800 +2114932768 +237421563 +889927279 +694226800 +1540048542 +202501714 +653462988 +23392225 +622785277 +1717803373 +1570769846 +562084954 +420982535 +712147900 +106179363 +2146894400 +50689745 +2040686243 +1899992612 +1247536708 +485185676 +1881213047 +536095808 +970842669 +650907201 +1844935420 +1299872791 +800442753 +1299550138 +148022939 +449962905 +1266999258 +385444503 +1339890184 +1961226058 +1925493045 +1542391898 +467205398 +1948885271 +17693527 +37525123 +1372171469 +579778482 +458507659 +2084319369 +685957845 +457918411 +2135009115 +579160440 +210427375 +1235062175 +1064346117 +2091640423 +1771157984 +2035188786 +595063976 +1468609756 +1187577929 +1395506729 +620676246 +1335600869 +1845469634 +1887675504 +1721045372 +1037876170 +1701417914 +1499054769 +432784421 +21139665 +1300456392 +450477948 +58664788 +525144213 +1030256430 +517172447 +461979935 +1716214276 +975090858 +449505402 +147891068 +1185518234 +1684567577 +1212237185 +1129675009 +1308241913 +1099942323 +1724738985 +629368022 +140036605 +972762066 +1250044268 +1475637474 +670748052 +990236125 +1049199198 +1708624222 +544170391 +400770319 +2141408643 +565310056 +1701226712 +444402944 +623974845 +78887277 +1474659374 +1141147292 +540867212 +1043390002 +2116238151 +990372614 +1191281071 +1154272737 +527456544 +256034608 +136464098 +1835698457 +1355976932 +1861203083 +317582831 +1496013537 +686481501 +1567627100 +824167363 +1357229553 +410379577 +1873366561 +918370127 +954549968 +126653232 +912295123 +1519860025 +1827879944 +1356698067 +2143834870 +1906767222 +683873793 +1137498514 +300150786 +1727263796 +1106253017 +1290523401 +771061219 +113042106 +1817979945 +1027095827 +249506204 +1506194754 +235589111 +2110709287 +1823777586 +1731602648 +649707140 +1243921038 +408286363 +2006936693 +1654300615 +134169276 +777823173 +461366935 +260822509 +1690118296 +1981226960 +2088702453 +899332715 +1977578182 +1847986027 +1583206508 +967593049 +653166 +1162986656 +2073846066 +1291176567 +1934047875 +39404525 +961672864 +813660055 +288910729 +320383970 +1049249166 +252136369 +2144161556 +633368167 +901843509 +1240598946 +1041654530 +761296555 +747415913 +1175823807 +1539119728 +1208782849 +1436646316 +1081754376 +1042526161 +1377865121 +1981087091 +872620696 +1078367501 +1416809951 +1840213745 +1079020667 +432312960 +1766576163 +222713586 +218877187 +1805980688 +1184386450 +1032537242 +2094891418 +1504770420 +2081786409 +199544139 +1501448329 +567670928 +1101387648 +594563627 +1609325458 +1862684203 +1341979541 +637665617 +1254320283 +403278742 +2074311933 +188591011 +1445804903 +1304693407 +22194454 +170941951 +235577260 +1439004406 +2011155696 +1314597927 +1871317366 +1630248212 +1537311513 +2090194553 +1288745252 +574214315 +975248148 +1236153022 +2078984735 +909550909 +1435697161 +1432949416 +1477221837 +389601162 +2027513044 +939063647 +104801717 +1222008937 +1576729265 +1359122001 +1625287679 +1503557550 +1547713012 +923608934 +660767309 +1569907467 +1094550886 +896344569 +861428225 +958222934 +63458848 +585261943 +440987498 +1600770361 +527972848 +1729732751 +27501028 +1503220996 +818402125 +2106485764 +265288257 +106615639 +1391951532 +1742510094 +496216801 +1271980928 +534090094 +601018518 +346506217 +2110819359 +1960140519 +1971793896 +1466893261 +1360369884 +747919183 +2127660571 +782793703 +1842470069 +876521492 +1644221928 +653209355 +939980341 +82000223 +1094196854 +393267054 +609973071 +676445957 +420768083 +2113194068 +1494848082 +379770199 +230998677 +1601463721 +1771721731 +1973508772 +2097680522 +896219012 +360115218 +551215393 +1242725229 +323450929 +363872264 +1067035478 +1790344190 +1724242148 +1814954661 +1770521113 +359552203 +1509941082 +499558958 +2003774131 +15666789 +1439539299 +2085774354 +1109863643 +1832806353 +548263778 +1786309600 +106090788 +513974198 +1133674035 +485860987 +744972875 +587654108 +110099071 +570997999 +537850983 +1006318083 +931113217 +1089066376 +101559664 +1254564146 +1452938640 +1168595142 +897424689 +1029697141 +836066155 +520462154 +1389249344 +198523589 +1020021112 +1245539828 +214190379 +312076763 +1183830534 +1324054022 +2144883117 +1732094312 +962879975 +103490257 +98584862 +2096554010 +589351245 +843557738 +536724470 +699450316 +1414555737 +1074575453 +1705768399 +198185307 +16158181 +1807328063 +1452749453 +1469096822 +828439558 +202690494 +351310315 +1664505713 +723152649 +1740559659 +1863029303 +1743173761 +838615839 +2077219682 +2055250525 +2022446374 +1253790056 +2052649994 +1607057038 +69186383 +8656603 +1705641901 +18256745 +598007848 +401715991 +554981216 +1297458164 +1816271728 +1629556669 +855742915 +2014457035 +1645714851 +515587331 +1319722841 +967328025 +1344026889 +1522413335 +1318638340 +861048954 +98082336 +911714351 +576594609 +1841256098 +1750330191 +506330643 +1749022975 +1625292917 +1760120700 +1654189321 +1084866307 +1829307083 +1662845924 +643024560 +1847563829 +113370125 +1044740551 +255061397 +1410828289 +713528632 +1884618066 +119087557 +580502019 +1382849269 +634674888 +1900224860 +202693646 +1978701777 +1275154548 +1521331986 +692267083 +1373236884 +285562690 +1268861693 +1067009334 +2035892881 +1775192336 +668548661 +1513702150 +1387829388 +175254334 +451084809 +1069652824 +1838100259 +1094109370 +769733005 +1951470384 +2138849921 +1024794402 +1214815025 +704894905 +761928820 +1333902582 +1285396925 +2144778090 +1968577470 +1038138137 +199988088 +1799795599 +165809037 +1721320075 +344579035 +1539045922 +2006882765 +1613440728 +458571608 +1895291998 +1241149416 +1127120270 +1261510500 +481495157 +1302374604 +1712595309 +1551147981 +992991215 +659221031 +173397338 +796977951 +650587305 +1198191740 +2011792977 +1355482210 +1960120560 +1198211911 +493395487 +1957415002 +1019305734 +1531533625 +9919443 +671617685 +1697342662 +1731239518 +1016196720 +1088904936 +1590638635 +482153800 +1547476545 +1338446985 +1723303217 +527113167 +452473837 +57314726 +1829487771 +17585498 +1608462707 +674995339 +676806530 +1781860045 +1471973290 +1327393835 +832568137 +1336282619 +535392397 +645205049 +387010883 +1028787885 +455136404 +1406316617 +412837862 +465055847 +2077934302 +2110180524 +48811717 +946647375 +1051601813 +1639450352 +1428801175 +451594710 +830413689 +1004620744 +978707877 +1282887526 +1061935470 +660712000 +1300473024 +522914529 +1335707339 +1977279554 +157290926 +660196982 +1157189741 +989859063 +1996479601 +1692582139 +1635064113 +236006836 +573886376 +2090200517 +1642323453 +986724238 +407772716 +1572774108 +949421114 +456584433 +371937835 +2001022927 +2096034785 +1800739010 +305133989 +778964826 +657876107 +1283841866 +2061852352 +1719811577 +1944553867 +1214841728 +95242459 +1132777558 +1044637635 +252533385 +1792974540 +54343728 +1242392449 +1641970494 +1746925867 +729972914 +1877977330 +173328595 +672689783 +1372817136 +1160052833 +1080462499 +798107596 +2109473948 +1537046932 +1170045431 +1963013227 +1485598069 +823300793 +120663569 +117079247 +1481176900 +1404505435 +31447951 +1053504830 +1201575654 +1246289679 +1148747289 +186869565 +143443666 +1401280674 +1979844105 +197787395 +496189475 +1474330951 +1944713262 +1226162389 +1204824634 +2118041858 +1898852172 +430158122 +1130611043 +831831023 +1228265718 +1092601343 +221394307 +250827501 +908130923 +1706992376 +1074128294 +1028794492 +1824071623 +407821547 +285816279 +1855519574 +1461326377 +1487391934 +954325606 +462590018 +1674261499 +1097769272 +1863870692 +1506621956 +1295556667 +212576520 +833469260 +1092786282 +1438738909 +2038293894 +1063344492 +1190107434 +320968368 +46471887 +2021938457 +1549234086 +1139073231 +95849117 +1800061587 +2047204154 +1802841493 +726706233 +928514998 +1479429469 +1134527780 +1214331277 +1187465395 +448370509 +554239563 +2141791001 +910960527 +81017414 +1092076626 +627347572 +1587639371 +240149645 +839924092 +273624983 +1332935927 +131179353 +164435229 +248796771 +1321286787 +485403597 +295268659 +1195741597 +2034637683 +1434341890 +1291590714 +1687215622 +1334062396 +946948559 +266438207 +115093746 +278894380 +1400965988 +1329425023 +1466359776 +1849336497 +1883664587 +1460667129 +612813377 +1964682001 +405260107 +1240160949 +1404837724 +645409753 +2080085041 +1678462707 +1978345680 +63780746 +1842897936 +79658804 +1385067534 +180817885 +374927463 +433325483 +67971920 +1809269353 +1724916197 +1755187542 +995848101 +524381108 +2021625750 +1110941847 +803275489 +1275108090 +292883222 +122151617 +976960939 +29064161 +1582818746 +1589774316 +1993746163 +1988078854 +682451617 +1251100239 +486004959 +615053010 +782079299 +316866991 +678833757 +477493587 +396525795 +2063901291 +658311473 +771453258 +349743126 +726283393 +433238963 +2074659323 +333987288 +1429087064 +451556783 +208129390 +392545263 +1254832272 +1483237480 +685428486 +1376983889 +312714771 +714492647 +812318988 +1902489088 +560755162 +652914194 +437457057 +1811855402 +1138919153 +1052510068 +446451053 +1455786144 +1731343825 +923944640 +1852311940 +1647761468 +1582256113 +476281550 +1997504594 +161055859 +909520514 +1924680269 +495043147 +191123930 +228753404 +703172537 +583669194 +1483585677 +38926369 +1269097680 +713085918 +351641140 +1983590327 +1525404906 +106646580 +396861842 +30835452 +544103638 +61233596 +1169754605 +1596613706 +507684649 +478057102 +1180473883 +1431629289 +182885394 +680751703 +866401755 +659166944 +530772649 +1027457614 +1568687458 +307969270 +1522500761 +1759811389 +536722674 +78189650 +195996935 +2020308351 +117116019 +1465094615 +585910622 +468757159 +1301201294 +2111315528 +575403740 +1698063136 +2142150981 +1119507378 +1759296732 +1164421938 +568637436 +119497733 +1642479040 +1749111319 +1551127023 +1825364434 +282379374 +270045130 +337047731 +813152023 +1297502744 +1905735189 +1121121293 +672519857 +1518062930 +1657843967 +750709507 +1714059865 +1530668671 +867825526 +1031670832 +2116579293 +1336582685 +185388479 +2080411173 +1911986425 +1883451615 +2075078506 +884010155 +1495264700 +1092016797 +1452647591 +1614762433 +587012189 +1054275262 +1018405808 +264892976 +1336654636 +1288450938 +601940707 +2323011 +438470034 +360192248 +1123444304 +1110989891 +1878255179 +633804624 +1861699398 +1444831396 +16989647 +582041276 +329018581 +2133568940 +1918623962 +514407060 +2066496465 +1683126739 +250375027 +1994091324 +419653247 +1745639727 +938624473 +1872300838 +1212918513 +1525636662 +779092453 +83840673 +1790529638 +2115747089 +1372291612 +244986697 +2118070101 +1810761646 +605178946 +1094030757 +774267890 +335950477 +1727835381 +488483640 +1780781873 +1744825028 +1070524917 +2109800454 +1730910320 +841665231 +476723866 +1649923138 +377308322 +727098894 +1496530814 +796961569 +325254973 +287671639 +521778760 +1538173486 +1813308301 +1300871213 +1622014160 +1456354292 +1269134654 +846822124 +1701340989 +1239721107 +510100122 +159036287 +186268217 +1284368012 +494986764 +1914103598 +1772851653 +128284990 +1511444979 +695892922 +90601796 +1094871651 +1537558153 +567325663 +597311141 +1914866475 +1294424557 +2093841955 +564344397 +1619679530 +234029946 +1086123157 +1010369369 +2047338248 +239510722 +484899881 +1356208892 +1508645376 +1331722005 +910066233 +600882836 +1841822127 +1069102521 +787151053 +978706492 +1564089285 +553771003 +604074497 +1692374275 +2065215982 +1299967419 +1782976072 +1012603986 +690041924 +202818087 +1609915127 +457424751 +1497242644 +1556273435 +1021769148 +969438526 +1790303381 +2107892305 +1979807895 +1690157981 +199919379 +317224128 +898883225 +1708564756 +1648946133 +1808949459 +161963944 +1343284613 +730568332 +949114997 +174507457 +147173969 +1502886000 +778581954 +1839548245 +1420618335 +2078549373 +1475040669 +285738673 +621107649 +1677858756 +1895653800 +1078532400 +1027617752 +1304443587 +2100301549 +1997056278 +947263321 +2060710206 +1829380526 +489937654 +113145938 +2146604654 +1388820880 +1821710694 +1648067140 +1050286691 +1983674638 +843868105 +1780855023 +785305987 +1018375562 +1928028992 +140708339 +1796957516 +1620093589 +1561326674 +1728023241 +947650610 +1847065347 +201647242 +478025718 +1595235500 +1280179642 +1505643470 +752195439 +1232997543 +1355216101 +1699458760 +1146224102 +1037112979 +41912767 +1259370040 +1036233985 +1430733647 +933597086 +536817477 +333536690 +769788076 +1380685582 +2114391713 +1555094063 +251577496 +1894937057 +1695802402 +2048535012 +1367546999 +1109645429 +1629074605 +167713961 +809227128 +1830721847 +645739680 +256978980 +963417842 +3899502 +1009174420 +48931737 +1359115603 +561149532 +1195155839 +248744934 +603062299 +307042231 +1284978920 +2033795946 +1240639317 +1821796397 +219848988 +2010427393 +1054998332 +186757053 +1418037808 +1306575828 +2081694111 +966356563 +1207627193 +1301757462 +2076001992 +689218150 +1469471423 +737745472 +372456350 +2115211103 +994724453 +1335874192 +2119110606 +2003898873 +1384805929 +1330742561 +417564757 +432478121 +1579487496 +1020627057 +739520352 +716982768 +906939355 +1980159670 +391295517 +1126788344 +1843103415 +1446293849 +1313545397 +1113657576 +605386030 +1247755860 +2080014139 +1813013223 +402029674 +2008532483 +354747725 +1871501098 +598794307 +727204075 +1839228553 +1593518760 +2063078267 +1810855511 +1449933985 +1300400549 +994114425 +1867498743 +1732878670 +426118273 +740642152 +324915374 +1143101041 +1647581507 +157591396 +1534396558 +626886203 +2000694812 +833206760 +1940431601 +966868740 +1438592790 +1040703813 +899399231 +1104122365 +1442733488 +760448066 +1458870090 +1166750938 +1359242373 +38590518 +858495843 +805277486 +2101668785 +521867707 +107727823 +1254585686 +1515982132 +1975226566 +839980708 +1942100405 +568385070 +1164896083 +937717798 +68482930 +1322487479 +324630708 +695369133 +1175698643 +1157837468 +488317086 +2142567383 +448946610 +1529020900 +894482966 +1553068975 +824270740 +1654931032 +864455418 +1991021678 +866689758 +903045936 +702033873 +1671967244 +857231073 +1223901580 +1779695067 +2111816760 +592400064 +1607437986 +804313820 +387016821 +28339408 +1969209903 +1324734619 +96822338 +1144213735 +1649365328 +792191472 +172428730 +659719148 +1280508558 +167512466 +1108665759 +662045810 +1061995432 +514251086 +1486316550 +569442817 +1378706504 +1329854580 +1436132575 +134268792 +2031888454 +960616171 +991499866 +1108306386 +592827590 +955832978 +1700706451 +52781928 +1760146798 +2087723272 +81121337 +1581873054 +1264974244 +177943675 +578603141 +766855924 +970135147 +751031871 +1426575072 +103160058 +918544337 +387757183 +765205868 +1980539770 +902008270 +104038771 +402498939 +133231126 +1433893351 +1838631514 +267499919 +1318298157 +651764037 +1258999785 +279120896 +1244591627 +67349115 +1979827347 +1297373556 +1827495913 +1920066971 +1378494893 +1261885319 +1037557567 +1556438568 +1840488460 +1804413491 +379090068 +444036684 +1083504916 +482250126 +1362581021 +1471262099 +1247455994 +1195637143 +225786721 +1351494765 +1598136082 +359017848 +637904469 +1289283948 +626517767 +1956202626 +1941047985 +1885517552 +87839874 +1038155965 +1952866667 +2067667221 +188045873 +1632878932 +1840250545 +1566540766 +747280604 +730324464 +975495686 +440285416 +387254308 +1354585754 +884322100 +1470759224 +1836835880 +99419474 +794537675 +936808227 +1295056617 +1020324397 +140819344 +745709052 +1379342245 +778723813 +2034993000 +2005860012 +587442792 +1828557338 +1743893916 +675282666 +719229655 +1549276935 +595466240 +907275528 +1034672219 +288233137 +326332646 +1781952823 +1018557601 +1301828332 +74754592 +1405811909 +508930439 +959076692 +729087485 +198282671 +1058496166 +1523625161 +1135090898 +206069136 +396465910 +1275910243 +951778188 +1775808155 +2054634056 +839287540 +1634184519 +494593200 +520361230 +1230594787 +1169875867 +1239590885 +632388074 +1765342107 +2146866413 +1667060293 +2053575244 +325715411 +1301529469 +924649197 +1627543744 +1376284061 +182977459 +2136474183 +187877105 +912064944 +187273206 +1246373272 +288206457 +1322364105 +1452442408 +684672367 +450790700 +256736948 +312996874 +357941108 +1096024488 +1947181393 +852534309 +1616385719 +1030292532 +2022410176 +708492956 +1662680606 +1640268635 +707875722 +1182257252 +1546360231 +1033591133 +336303073 +323525780 +513651229 +1712587134 +506503239 +502641764 +1900464239 +1418568184 +689914971 +999353863 +1706774641 +2012279076 +304312623 +243963361 +315586128 +561049571 +556960235 +673527236 +1657074060 +356657981 +1526061545 +1125976131 +1386950513 +1400988073 +1834469087 +902147472 +893773060 +394861161 +2084404724 +292649643 +1428452295 +273224149 +616175424 +1942103524 +1985811283 +1122678663 +297261641 +1738791874 +393763199 +987176612 +590662090 +2100537841 +851972040 +894974713 +197017554 +1167558168 +1456024285 +753977789 +1841085404 +965614697 +1110635770 +1219663302 +2091590828 +350102636 +473167727 +1778576267 +1252250108 +1366940788 +25953781 +1189171184 +1659590431 +1454406076 +1462395333 +128282207 +1249025952 +1300722968 +1250960871 +1546287593 +892031194 +1644724070 +385980557 +1482693284 +1597778263 +1237952597 +230184350 +1794795817 +258027117 +1686208635 +401289959 +2099112522 +504339684 +1511925729 +1171292176 +448446864 +1862028365 +1644459903 +79539483 +966794825 +863917043 +105493264 +8482361 +376023827 +1559899340 +1470877694 +504306034 +661441645 +624117014 +1755266905 +60245590 +1516148209 +1252507328 +446226148 +851357845 +702801943 +1684178745 +1081542195 +350114113 +1942205863 +620267182 +751404072 +1893834737 +1124606866 +115846153 +917643265 +1573053730 +1977874519 +414619520 +1652593214 +797185696 +1278536564 +1758086478 +805668058 +1654560391 +1170502171 +129062104 +11382777 +1831943816 +753179119 +1766649683 +1892189406 +121843680 +871673363 +190931906 +973201525 +1574475306 +1875110652 +2054743721 +1924589419 +1669832867 +527527255 +528509843 +1416183956 +1652134122 +644355997 +186343573 +1077704204 +474746868 +600963093 +582813770 +1271932564 +1879499657 +193416601 +2077600622 +1386576400 +1363918772 +59179079 +1397959178 +1048378940 +812358198 +1017125213 +793084698 +934201878 +1888798576 +984016605 +1907403403 +1315790234 +711643609 +1814663476 +1092896006 +233992828 +194707084 +1621405849 +1650176784 +1846841206 +118278198 +1836520357 +777061762 +593025066 +289999802 +1359875533 +1864957631 +22015812 +1553292134 +1795074605 +1408592212 +769727258 +1854253684 +659067742 +1818106198 +519128234 +1676192955 +463707248 +1453330112 +1417507883 +1447723853 +1213249868 +585814470 +11883814 +880429696 +1678710476 +245876642 +1075136780 +1152632677 +1896053426 +774494338 +1270910876 +1585090135 +1551556101 +1863935942 +1875089938 +763947986 +1581409925 +1897105750 +169756472 +1229000883 +1158214314 +939483730 +935770919 +1817282057 +610106280 +1454899154 +1345991364 +1073813528 +760745618 +616015600 +374053734 +1973995486 +1201830070 +385937548 +706941535 +733056898 +631814191 +1782078315 +1885689575 +380383969 +409089006 +1009116803 +1965474105 +1960645107 +725569098 +1693080395 +577109445 +159495375 +1442702497 +746865917 +1388496258 +453433163 +1686349647 +176783530 +123231572 +148972279 +1631682684 +1469222937 +1222785807 +244944654 +2085238537 +1596839541 +71456493 +1139584959 +1982777090 +778398028 +1872641857 +467107633 +412992695 +1610847784 +847491602 +822081701 +472480940 +665482059 +635243160 +1198050038 +211078806 +1212352605 +1357545413 +1653781303 +1959218522 +598558024 +2107214467 +1498084521 +775341554 +82962391 +1647056800 +259540590 +1552185328 +722358960 +504485244 +1489940217 +171714853 +575941737 +482041528 +7008295 +1354339765 +207199737 +474115928 +1767332461 +1818047522 +1321607531 +441930514 +143044814 +1987089590 +1077173675 +1341094852 +50684749 +142042632 +551156617 +1704466052 +2101261155 +1149714641 +1664196871 +1451862028 +1925056195 +1747159263 +951435181 +37113137 +1151860943 +1673794141 +541598382 +494317513 +1845508994 +1117540119 +976359041 +1852517290 +324396237 +1183558779 +179149570 +2091728698 +854122653 +1500757101 +386175564 +997167467 +1340363044 +1463349239 +190778671 +1391047793 +1605391872 +741935288 +948030197 +1559169379 +1891649930 +464743421 +863547759 +1669222477 +64419036 +1814982940 +1706335615 +1216279979 +1341293433 +100450349 +1710597492 +1039318780 +1217990468 +539472886 +744352422 +1542386705 +1723031665 +923501992 +1486631755 +429670670 +276775446 +1872807320 +1426838137 +1617138490 +1188672911 +1617616808 +860702635 +646581135 +212068448 +1808732832 +58266866 +2103718378 +125992605 +921814626 +1625457208 +190411641 +589313918 +1184309175 +1406691621 +1930607352 +1284759524 +969805465 +822442484 +355266344 +1509278351 +1566794906 +1897653050 +1084826368 +342813250 +1236801157 +1514497038 +619588696 +962124829 +793851527 +89243538 +3314093 +263984687 +949946173 +649895228 +476053136 +611195358 +708162095 +432287866 +737187963 +1629976721 +2057745074 +927599605 +71806991 +1094570601 +186807578 +2002414343 +231846477 +1156613043 +677373179 +587112822 +518407747 +96684437 +337282224 +1603234115 +439497688 +1574083381 +970247506 +1059086384 +388724563 +1764099033 +1148329923 +392038656 +2028083721 +2098276096 +1041933884 +356653209 +561987806 +1750095979 +788941075 +1299175770 +1232589052 +699202502 +79291727 +1304396044 +1793773103 +266099305 +1159326739 +2025619581 +1422712348 +1836699919 +465248755 +1941120095 +1933384356 +802530979 +1396870563 +225398396 +229130712 +219634421 +1284484781 +617855275 +1983733454 +285331056 +1009893931 +1864333527 +236123504 +2051827816 +73503088 +798111311 +1654440147 +862444164 +2097287081 +739545552 +1561646666 +29095160 +2043941596 +1207936121 +295194465 +1055784687 +1086072054 +1717906813 +745000958 +1551320809 +1511543261 +530901667 +206368140 +760930176 +756300063 +435498853 +980564597 +2040784844 +1053354128 +816814403 +178632252 +2063248060 +533664283 +414755757 +1967592228 +607167371 +1212867068 +1474548727 +1469611535 +1162670501 +66610631 +883774553 +1191765661 +2110552227 +2091710675 +1486960126 +1018853267 +1030299081 +1057383291 +1763854225 +434136243 +421442904 +147272244 +640504383 +1182373080 +903572308 +1076003236 +15454029 +796873504 +2129357365 +832268433 +975505757 +2045121777 +1365932716 +1390261514 +1865230357 +1973100087 +455644934 +1192295436 +1295227975 +1618315435 +1258906068 +31518880 +662597448 +1221974647 +2123229555 +2073926 +93344266 +1006044989 +1059457217 +1857198492 +1440181232 +1480900122 +2004470736 +2080685615 +515789554 +760559396 +1009205204 +531243584 +1557432901 +991078921 +1363512017 +385455010 +888717050 +581961085 +1775716524 +606463759 +407577524 +83877810 +1798759195 +1702805499 +1702193245 +910181615 +1734324380 +217307045 +2132156263 +1710070287 +219380971 +78016881 +568631628 +1278838188 +1935215373 +2008812860 +612254662 +1792202462 +1942014828 +1128044217 +405278210 +803736384 +1659287801 +1962711111 +1794815305 +875316170 +200682473 +536048707 +1457277255 +1976398997 +1142512466 +1864854779 +2060276807 +793788013 +1420176631 +1614986404 +1703969629 +1007017363 +1832293449 +1688642244 +569604002 +2051674420 +1766659125 +1138235631 +1183028961 +1554390851 +999564843 +1795283623 +1199109665 +794096023 +775844192 +1604387875 +1597832407 +287648345 +1419615339 +1245164064 +1162964515 +1620297812 +1781212771 +472758122 +1449213162 +776241589 +190129254 +1362006321 +1570029603 +1610305885 +829509078 +1126515584 +469839600 +514318879 +667674180 +1039443602 +418509652 +286849657 +30195585 +1601538613 +1841240508 +1029760429 +1249338588 +892866525 +1823856452 +2025182781 +349770753 +1274205212 +165347478 +1769386092 +371885628 +1328311994 +1242200256 +5614752 +1801070116 +543929770 +781856341 +1991199370 +1905936092 +204402296 +1454021607 +587961522 +1330917880 +1923861207 +1102280401 +1998592060 +815821162 +1520790053 +137958070 +846016747 +974845018 +1979198578 +1875777176 +76699959 +724581456 +1552149981 +2101882740 +1074352209 +678871545 +119746570 +696254653 +1050757173 +1448058564 +1938454909 +1056371925 +1101645033 +334901032 +1838228267 +945360755 +93353476 +2042630563 +251898715 +681314998 +1226064796 +28276274 +1783595399 +1077173208 +844097436 +1156901805 +1215131278 +1690114184 +2131746823 +1046846209 +1418407712 +60963134 +1771427665 +823074045 +15362226 +698296226 +1501945590 +135108797 +1394550879 +405219116 +1583167361 +1185522140 +1461591041 +537328746 +1520423172 +1152335660 +1482689502 +1613776648 +1047482576 +1734588217 +147607998 +126063724 +1762864491 +1931203398 +1203236932 +459478280 +940621555 +270884563 +2108816 +924884730 +1317730772 +1420516528 +985847865 +941674789 +96106926 +1001210091 +1639971015 +1598052516 +1136318888 +887038246 +2003271632 +572002602 +2072560386 +1317379026 +1109331348 +1445499911 +322231038 +444537202 +911792911 +1369713614 +31641771 +1059400910 +1495777338 +1794506263 +843120660 +551530623 +106500895 +1783742215 +822415186 +108609711 +561143297 +2140145958 +1529126239 +1546991162 +934337099 +1625233165 +400717606 +426824466 +1075802034 +1537036494 +1313862712 +931590018 +2109039096 +1238939450 +101485396 +1070886797 +536955713 +423716435 +1515423999 +1448748625 +1793430049 +1547065771 +360665887 +1141723740 +1194088386 +1203786547 +1693254363 +1300589281 +840045114 +368185901 +1409198992 +1401188411 +360848211 +790841583 +800695926 +1295185310 +268591101 +1201413532 +1722009776 +1344393135 +590966378 +888388840 +128499505 +552521827 +2127328290 +229984902 +1623408624 +516800356 +653701337 +991348975 +1965548981 +299647738 +390931098 +178731220 +1441371478 +1585019484 +1382517767 +987142193 +738125117 +75079233 +1355328094 +2147324109 +1476267644 +1716176305 +790682045 +129479922 +863877967 +1059273146 +1330893454 +438404095 +256182633 +1921859833 +1326792935 +384682138 +326898012 +1306637578 +614667040 +1950306636 +1823437934 +1268368377 +794171963 +1641503267 +1568016116 +1185103062 +1820234487 +861903946 +622638898 +1055268606 +1849046140 +1360764016 +1130347839 +1056890586 +1360604477 +459131835 +625583244 +3802874 +588611758 +1489461211 +1063076020 +1919505212 +1927865307 +1319258653 +1693881397 +1107174594 +1703940792 +2020779409 +266328524 +171124184 +1823602397 +2089766458 +1439492562 +470290713 +1583786077 +860025030 +1655393775 +1256536916 +1721928976 +130549025 +164321874 +1423491468 +1491313041 +1294669713 +332898407 +704433871 +1753801549 +958481651 +708236745 +194929659 +300459214 +1771312766 +2114434871 +80840873 +943087771 +1660832621 +1188015468 +499544915 +1534128382 +1454343992 +670669100 +1210247132 +1396626803 +2110161662 +1680537845 +832929232 +822703044 +1188447972 +2089466149 +397148372 +1318996997 +106304375 +1820639841 +662826391 +1400974089 +6054600 +1367260262 +1007291990 +964536251 +2075497007 +1202221649 +1264995465 +1699326125 +1169172872 +1345836339 +494930249 +682521845 +386368159 +994475164 +69166580 +1840712151 +1665144264 +1279413712 +1089855306 +1627822278 +812467909 +1922784539 +303041674 +2000915881 +1864767040 +700190047 +1172429230 +1971071415 +373346240 +1835255621 +1224561856 +379400840 +1055032235 +84370198 +1343937091 +983045595 +1286591847 +461448908 +534888072 +308281072 +1807285247 +1029818321 +990802917 +46169758 +2024293486 +1059969497 +1886881910 +1541954102 +191899561 +829253568 +1022292733 +1004367470 +604554459 +1325334407 +857799703 +321837851 +2025524454 +2030228934 +145425619 +251387046 +1718000907 +1369987475 +630787886 +625549495 +1454357674 +1974724977 +1608595090 +593465873 +288690238 +2143483162 +901746945 +2095975485 +1025817836 +1892549863 +2142145244 +902627674 +805035712 +1881543506 +297098128 +996935274 +563313426 +1319390861 +2001302744 +1167867886 +497241621 +711618800 +1489705737 +375282427 +594364086 +1635131356 +626669474 +164881345 +857635184 +1257457360 +790430840 +164509210 +1084698690 +251542282 +757975083 +1373388928 +247541797 +1659722029 +1321880765 +1273359633 +1404788244 +1316542361 +28503659 +62340308 +1050602219 +325601787 +1059275582 +1613915646 +1644992649 +913094679 +634299884 +2142234270 +1624713479 +2124005621 +370033049 +71593917 +1611653330 +996702523 +236475262 +321804866 +106676236 +1026906103 +486314076 +1191374926 +1278448385 +1244289159 +417280206 +1525990182 +756527540 +1739160971 +651866167 +13832136 +908219685 +680369826 +76172445 +1958821904 +1005971614 +1135448027 +1425253902 +503480615 +2048542706 +2059553786 +498231237 +1525772537 +2036075760 +868264286 +1597366454 +1500245442 +1864966810 +1833841717 +1822050308 +1971643046 +713264172 +160880736 +1015534324 +1991712557 +1405169895 +1432814530 +1370219092 +14213788 +1024491853 +2022085259 +28045924 +1932711538 +554971438 +104218369 +1744049795 +1560943052 +1239666397 +1021820049 +2064423667 +1140725455 +933890188 +415171256 +519014345 +822482300 +1283435542 +2116380799 +175244094 +1000918704 +1802738868 +1997294402 +825078102 +368519392 +10691490 +1840612426 +212748302 +1415861385 +1125943308 +1582967394 +1430075173 +2951514 +1457569005 +1458121098 +1935663052 +2012540443 +1562339467 +1532229199 +1425999847 +654522216 +406565601 +1342939866 +1795247672 +1340455789 +1758111122 +166778369 +15454441 +894063017 +135675520 +190698535 +1894981721 +1938414389 +40509289 +572576176 +159450133 +51200779 +265704954 +372198435 +1467062164 +1391648263 +1955165829 +749653690 +1394599777 +1265251187 +60291140 +1182779181 +1130307982 +1622630607 +567524733 +408824182 +129669176 +974090334 +1751764048 +1924916848 +167062475 +1362391523 +2091695217 +182516916 +108970892 +79887089 +373215451 +2003952613 +2018301478 +413724740 +429045141 +30267964 +464925519 +694750096 +402466399 +1931987683 +2086398359 +210148581 +534157725 +1333514488 +1475399768 +594448865 +368810021 +458224102 +69595825 +936334754 +867048284 +199265001 +1910425088 +471328685 +2124181849 +2077487563 +1833720208 +2068393418 +112520831 +1942691100 +796859 +485736282 +1799160065 +2019098338 +899461022 +80721559 +2049366302 +1364386541 +775471655 +304349053 +1148890577 +714386366 +514497634 +1683048302 +2047900854 +1989897402 +130013520 +269227227 +300637857 +199609345 +1205561982 +1167686141 +398874346 +968503422 +1639014826 +375572547 +898507338 +1325251386 +296482317 +1011028169 +1120458838 +297279176 +1496764452 +772135256 +168893866 +248741826 +852856815 +70776520 +1613128368 +1628328470 +375125574 +614535297 +195231188 +889623208 +150099951 +95648394 +732036963 +280113471 +364875621 +1032674820 +479722816 +1570437603 +52877313 +878597162 +391457378 +1691892140 +1254169709 +1289964716 +869659878 +1550652026 +153509237 +1990118717 +1847931203 +1650273689 +614770325 +2016825069 +1899015516 +1467627140 +2087601590 +1364660236 +948471962 +315243516 +1979195533 +1143703150 +1204866724 +2129295484 +1239351544 +1936903687 +261925308 +1604227165 +822094859 +741648124 +1027181121 +874972173 +1620245287 +1418638499 +419380665 +726931348 +561119567 +1289040543 +130099727 +714628804 +1131675612 +1978030930 +217418846 +1746445937 +1847372351 +2116434362 +1066589429 +1787490293 +1333610950 +2015061391 +2102733809 +1165322835 +1011280893 +1160116886 +1147134671 +103148789 +949536925 +1409059979 +1707375955 +1771631785 +3224456 +587073428 +499120310 +1623469743 +2005711927 +918500975 +202917443 +419347846 +60057870 +333017170 +1133976650 +1191733483 +163564452 +1351395496 +790695772 +2010936804 +1320346210 +1857285202 +1650943449 +506473512 +1724862945 +1606193611 +1671796347 +588660191 +618826849 +671447371 +691808980 +1568363774 +2080507350 +251701287 +1192511911 +2083731806 +838774715 +1691632221 +1559717901 +697002994 +462649548 +1762635345 +1116350840 +522707419 +2095652515 +102843843 +1714440902 +111733320 +1454239339 +357653026 +2122670124 +627101902 +67454580 +1626129925 +1133575414 +1792317526 +1084839888 +657888114 +233494069 +1703666737 +1329335485 +925303049 +1124546864 +1262359187 +1177004337 +169575127 +1198607346 +2015779052 +1861207349 +610841599 +565298399 +176373249 +225993296 +1681649239 +699080668 +174162164 +1784493082 +266037922 +285895484 +1091248774 +623690949 +261081960 +1718350676 +691145529 +1887211885 +704442442 +335979407 +824568126 +1362330556 +569473476 +380751215 +544182393 +1494776526 +1505298079 +1806541581 +524297215 +1674873207 +857665279 +392592619 +1388596908 +1468506878 +957891018 +1564970157 +1694500175 +492056610 +116567178 +1868662339 +129066044 +382605100 +7074175 +1220314818 +1006296049 +268156135 +791181846 +1697441579 +7884372 +1495624289 +2033420986 +832452498 +710471197 +455410815 +1213203714 +1254653591 +1950187341 +571018145 +913711524 +327000908 +98407704 +1771376803 +719593527 +1487004612 +1092400033 +1677484546 +904491122 +639416560 +22057508 +1021058300 +360595251 +151123552 +1403663400 +367669426 +1371438371 +262475802 +635825561 +15136569 +1959917381 +643709934 +1510760858 +1845854719 +1476162432 +73748408 +153781886 +541882498 +1328401999 +2103969227 +1112900644 +94629875 +283486487 +1211308348 +1866006678 +1003080015 +550829313 +810923063 +533080913 +1455320435 +1450339624 +555138421 +328895087 +1810934875 +706261973 +1732558487 +31120654 +2077700344 +1995034289 +666946215 +2092836914 +1807468022 +1310656149 +1456114124 +1505839094 +639334934 +1529862532 +1659620980 +1181217432 +710780883 +1616106560 +146634428 +805410758 +1899593047 +1357942777 +523933788 +755189414 +1908772090 +1334856852 +1288270327 +1216608877 +637712828 +1843408748 +1545503964 +301164055 +402187074 +1130578803 +332284709 +332403770 +978129445 +999230925 +277757036 +638113819 +162403426 +1733871161 +2143952913 +801738360 +1116250045 +1656090246 +1982955793 +1827030929 +1124713158 +2129590221 +484958039 +876822557 +1340049350 +1008891828 +1632011972 +1101337792 +196265032 +772798651 +170463021 +833977860 +468723752 +1715966985 +1135141915 +870910826 +699062141 +1467426625 +1203314596 +1677191586 +319173902 +1481071633 +167821757 +481577328 +1067459146 +164291023 +1283315689 +36225543 +1820381269 +1118787834 +1863256472 +797610779 +1100894407 +200730864 +1674433336 +293460110 +1209622692 +1158961660 +1394797902 +1405887724 +1931760312 +1565260924 +92381936 +253000416 +1133744261 +1227523851 +1123911242 +1832806402 +547466828 +179742190 +1362514340 +866640730 +1660813823 +1530336098 +1348218059 +580789321 +1694627121 +484050100 +617014865 +1367524742 +1602837934 +332787689 +17651873 +556248693 +533518553 +1692085209 +849708803 +1743141245 +703563222 +97023058 +1001545321 +487839886 +1662283982 +1093927257 +740840302 +648544595 +173967461 +1864751544 +333867350 +721434289 +2044493734 +1696381690 +1588075020 +1557823910 +1079234140 +788809431 +2138613231 +626377613 +1272859531 +608144448 +1993902355 +728213817 +940932138 +2011554228 +1284462510 +1474450691 +1556155790 +2134171314 +1070108289 +112235364 +83710724 +2071653610 +600075250 +1745994706 +1018097220 +1340915552 +247055653 +1192064681 +1058183448 +580923003 +1913498970 +955193534 +129821046 +1354090342 +365533796 +1209055186 +2142899773 +356663380 +1835432800 +1268275656 +964807828 +1681851507 +1996489473 +1905739966 +1545922088 +1133468336 +1232707010 +954594230 +1120156002 +155331651 +1066829594 +1203866726 +79501613 +1666904844 +802377784 +1097598833 +860336748 +1049433437 +142179866 +1918520196 +1630356441 +2055678837 +726230082 +1760177487 +1262285531 +1091763879 +821749025 +1257701657 +1448427259 +509698177 +378493665 +265751439 +44066037 +227499491 +24007758 +1589988125 +1360967827 +1256714768 +397098707 +333640181 +1412046419 +1463928301 +1537506907 +1491548032 +983349497 +192401043 +441663218 +1843686245 +1241834480 +583843084 +1614722793 +724707273 +492038273 +193469227 +337401112 +1754323805 +1285233106 +1159150138 +864541814 +586176717 +1668848315 +1243035479 +851928157 +1712914352 +1470534970 +875935915 +1155418829 +684019149 +2132650683 +1552517536 +1017659330 +1397213454 +868962189 +407682589 +741277838 +1852311686 +600083632 +1182941056 +1548514283 +1841918113 +1766784141 +1015753428 +419141738 +111338766 +1209222656 +756542851 +1865662571 +346972114 +1915692989 +582720737 +933148832 +1437057656 +1825756217 +1785076989 +1002488361 +1148807539 +513529256 +10423542 +1832826689 +498696291 +1562941079 +703002371 +1895909745 +284419620 +1110684961 +489703935 +2136731307 +1710768593 +1672644992 +1537761942 +1405203058 +1291945485 +406031723 +1824344797 +1403284251 +1615254379 +433404000 +1121463175 +1962226493 +201613341 +1704183912 +747891677 +1638670997 +1382456481 +385485018 +493675710 +383780373 +899014274 +504099253 +69123414 +1397710565 +2067040332 +772125785 +1146136662 +203976304 +1882810746 +1635840598 +193223963 +1446095692 +1161001942 +1730985906 +703815102 +305463779 +2137017629 +380676251 +1708748030 +1604788360 +814080251 +682727557 +1419531205 +1015693592 +239427822 +19939235 +506880942 +1621884303 +405424253 +1000556652 +2005664676 +1304438528 +1504655905 +2074788090 +554665445 +1424212589 +699430228 +1700802108 +1628188894 +434757326 +1189159058 +1821412857 +1880853018 +202677352 +1404915115 +437184473 +508141131 +1394449096 +817860724 +69405513 +851753808 +1631940976 +752133071 +123801366 +500150920 +991560893 +143740601 +1007031862 +465961548 +549164854 +2007588515 +324142577 +1853603382 +1364760772 +251447019 +260785180 +641489714 +950877247 +1961587288 +122194960 +1385634574 +1003262698 +1943607817 +1119003944 +1205940050 +1201039285 +1556188417 +1714081181 +448004733 +226565494 +1783486694 +1299758542 +1858506470 +388136117 +1423559908 +211173742 +1379697010 +1567300509 +1218205605 +1845658559 +2116465363 +1078310472 +22317488 +1822585098 +295587596 +273764507 +2083370278 +937077310 +1224641755 +1897473918 +1059272270 +462792681 +753252968 +855396440 +1581796625 +1959193018 +2056435725 +990501395 +1525790551 +356956810 +1217066889 +1161793597 +1656715352 +928089711 +1549929715 +932791612 +1139263453 +782143077 +352608473 +209985410 +480317988 +321590189 +1288295882 +502635476 +2144175287 +1583883479 +776399984 +2080061917 +373477141 +2001041739 +1830052187 +1432749412 +316350772 +435821507 +140662204 +1898147397 +247530877 +49614281 +741165144 +1773321428 +406571091 +1958232033 +787631377 +2063286444 +738838096 +190077444 +848594408 +1878101550 +972220522 +1201202882 +2088086960 +1452538510 +1522793071 +1228899195 +1955173987 +1519484710 +665299026 +584090323 +1452062979 +1038776167 +437648414 +1134631518 +324041931 +753999186 +1570453025 +464704135 +504662935 +1817983902 +514318416 +1245828080 +1443821682 +920889508 +1056576465 +83969411 +836692304 +1795414562 +274046856 +1685286712 +1526032464 +1246267378 +739005946 +1466635776 +551322240 +114315369 +548051323 +359012579 +1633800079 +1213350349 +943102902 +938379410 +104642869 +1380751316 +2073010928 +428684800 +2134750502 +1495980305 +893388936 +491929790 +1166480559 +1407707352 +1737757870 +462818593 +181113212 +646850687 +546788005 +1017805516 +294781601 +820834861 +555608581 +1820814065 +2067102239 +1294614527 +1139966194 +470940831 +1408929897 +1688017517 +829953411 +895246328 +753884219 +1773056313 +1833625739 +858527088 +1006323982 +1759153019 +1287211888 +993590836 +1107649677 +33117176 +1485520626 +126646588 +1440824529 +1075794848 +589465182 +1621937741 +1722645536 +1136253187 +492259610 +2017427137 +1957088048 +1047868191 +1690757555 +1876706639 +194999070 +683240101 +200163822 +1603928967 +223773970 +1030117233 +351691648 +977658189 +655689899 +37833739 +1836185277 +1662013881 +1796986758 +975913518 +508121069 +757152787 +1009030694 +1993641696 +883799376 +302371575 +921952896 +1473264558 +1924309317 +497114784 +462034097 +269085279 +367058274 +271638497 +1316953470 +2057815829 +861488 +1511952540 +593572282 +201025310 +968397860 +817346252 +1231142544 +1320089508 +1795004442 +1886832443 +1357923247 +1483706071 +1401362676 +1007426357 +312135941 +1909483745 +1764579145 +1321166636 +1755641793 +500894873 +1623538211 +530111042 +1974159431 +1400363880 +1027225826 +288709880 +1669449159 +1394284100 +560348377 +838918981 +1304616281 +561209865 +203387874 +1898188563 +762235175 +1171785734 +568051168 +1993377719 +344391594 +215571962 +1732726514 +1702314841 +1699278033 +986605542 +562257550 +2011413975 +748605640 +179353047 +1185096963 +356763785 +680247920 +661151526 +886874827 +506923703 +2061515407 +1914100654 +795633583 +1583480918 +1160901106 +1355981960 +274916252 +318033740 +1917191825 +478304126 +68738655 +531943353 +1650089860 +636789823 +377837424 +1994481454 +852361785 +2110563939 +1549312647 +404156171 +949685833 +2111570197 +268086498 +1698291473 +143439597 +1453183461 +2055055259 +823687517 +2114334987 +794446438 +1330611221 +2028366746 +561063444 +2126244804 +1464364017 +1721964551 +1334743117 +1739280269 +2039998291 +1104451294 +70100747 +2108736946 +1636394647 +1720190607 +598043122 +2014232072 +1567188413 +1450404907 +1977312363 +969017412 +1854561078 +779514548 +933103961 +2122647576 +330322374 +1076543558 +1428347389 +237893985 +1900231076 +1395198729 +1032340423 +1083358649 +1276081827 +1593403868 +1062119805 +592962196 +1167884771 +249379274 +184758817 +1060399414 +1353830569 +254859564 +1021652712 +842741568 +1975050171 +1619695834 +709489992 +1394754936 +922617094 +539318707 +216288700 +629694524 +1318833256 +1149392662 +604858453 +1649155630 +78452572 +2033205842 +1887049615 +1978683648 +1280920923 +771906390 +914558649 +409519103 +217826610 +1976678455 +1002481299 +1385711381 +78574081 +1187240117 +298627147 +1432404650 +1442099681 +1320279860 +127662571 +1269666205 +792492046 +837152563 +516937493 +1715109140 +1376471271 +733226194 +197320017 +547820879 +1882618856 +802178470 +49492861 +1961071428 +687900664 +1936542476 +1792271429 +1968821588 +560965218 +559346430 +230857043 +778791829 +388541237 +1233338342 +17019562 +467115319 +273094811 +315646710 +1899519969 +1715194493 +1635926570 +2027182540 +837377050 +280934968 +716851456 +1354314543 +1996044109 +2093322727 +2087540737 +45880478 +493659958 +1822675945 +848058948 +543152819 +1636263726 +1535959612 +332211647 +1281051507 +1357297552 +893176865 +1840397937 +1588154595 +1671968694 +81455527 +674009290 +1688988257 +548570846 +947104101 +2004634967 +300607167 +514814946 +1493077889 +180306060 +1352191996 +1774012857 +897157516 +559022892 +1622573318 +842996595 +499079981 +1668453796 +1336656553 +174272279 +369029096 +1879809372 +1810536005 +1904988709 +64537371 +944103864 +1114802613 +957714236 +637018153 +555473561 +482199283 +718473680 +1229482851 +23703892 +1267044526 +29103304 +2028338859 +1567651694 +543918251 +1373933100 +1747957754 +1896110247 +1000462309 +497631622 +307649491 +475551980 +1340628217 +806729473 +2144005776 +529801122 +981001752 +365551225 +262126846 +644054109 +123056286 +326664217 +1588157973 +1237858899 +1284378453 +77692478 +1793332460 +1766577736 +796166159 +875331663 +1790281628 +2063210685 +904434968 +1671136839 +1483378731 +1448353219 +897586291 +1083852837 +1196979818 +1898048601 +1581484459 +1504629310 +226116933 +774629028 +163875135 +222639061 +1304430150 +1144876887 +588190286 +1566556996 +1788930996 +711246572 +1893221213 +1229605321 +1949105472 +1030116019 +1307297799 +1594954284 +649210107 +2103463958 +322802300 +292008088 +2019190996 +1227237268 +1963144927 +1355086079 +528106839 +713247571 +291455269 +1725086657 +463812524 +1872939728 +1082232319 +689929457 +500085109 +1246107454 +912568518 +1804515259 +243500693 +1500758805 +1223588608 +2032431689 +64521729 +969326173 +1114553362 +2013627201 +1999442192 +274367514 +1461097838 +501168652 +230347824 +1783900138 +793176740 +102055172 +863653758 +608838019 +1457141252 +1391760597 +1322085590 +1748596521 +969363606 +1785898114 +1474052601 +2051595926 +328343923 +1974137710 +1150219732 +1240912442 +1631169322 +1393720426 +594187599 +707274282 +1278668467 +658709328 +1676600455 +245738182 +524852882 +1528559000 +520105696 +1985950720 +2029727652 +750453520 +1622367210 +675420744 +852508693 +338537320 +1284258763 +162166297 +1730297917 +458860706 +1910762818 +552177875 +97275172 +1237331771 +456290153 +425619096 +1063985834 +1606509886 +1666531538 +547671508 +852746664 +113235489 +1254945790 +2131415131 +771944817 +784062597 +229669665 +1296797699 +165137949 +749775361 +1135264771 +47381953 +1500228882 +610148333 +722802697 +205253927 +948685653 +2007061461 +367420224 +531499922 +318438519 +130699394 +1083677798 +415713691 +1368031165 +1539967951 +841332787 +284533351 +998994189 +360380677 +832204859 +1851740853 +473616166 +2087150649 +1835672337 +1245560984 +723729599 +2065342002 +394875035 +888867548 +667633716 +1530139807 +936249502 +20378950 +2140288140 +1659052199 +225632877 +941490146 +1518630012 +593053101 +1472990068 +1837068531 +723752495 +409184218 +105298575 +2091783660 +1949152170 +946631362 +228833364 +800662711 +1307012040 +1061038223 +504919917 +1780628206 +1000705225 +193108606 +878705542 +1724434824 +110966960 +1273580578 +465818724 +778600676 +656236737 +1402068226 +798979626 +649041229 +913636778 +1024612503 +1590531375 +284783142 +1617665604 +916037796 +2121851674 +193934451 +1325222014 +79666601 +138234464 +1126890536 +1026297963 +367067828 +1927553248 +185826355 +1428106051 +284989517 +1966454562 +281327628 +478098123 +697676456 +2005762452 +589065083 +1971257034 +324097529 +1367665760 +480010123 +1726165755 +19161738 +1129051353 +492318885 +1043774242 +572099080 +777102028 +513956198 +1488136876 +751470054 +707890650 +665875243 +831136655 +846125114 +1792765779 +1857434618 +1213192942 +1572835379 +2043260974 +493815345 +1857824896 +1862231888 +775142974 +188439371 +412424696 +633421778 +777504455 +236198083 +957519307 +2145170215 +716208206 +536201415 +16848305 +1845259559 +1028520300 +1060622547 +269874992 +1805622328 +1574578746 +1758011868 +409608734 +134985748 +276403463 +1240745389 +981110862 +2069169243 +950696360 +46820156 +1494520974 +846473686 +540635501 +1204862223 +561221926 +1315778475 +1393301594 +973646622 +1949200254 +23322401 +1209844705 +759235913 +21008968 +1926052912 +1295437328 +37857274 +1623828823 +176473981 +1098479821 +1893703815 +1982096309 +525574919 +1504232036 +244221396 +660560667 +1780635499 +1484966785 +1641671529 +1702321094 +288179497 +1688491685 +1049358421 +1134653183 +81643539 +106736996 +1695875109 +1397422014 +1500038590 +522038084 +1199138620 +1523360992 +1731882789 +1958374534 +1544369960 +1510452053 +1106328214 +1582227234 +986797229 +1282802195 +533223408 +733017396 +1117414857 +1058798327 +89765784 +1361636253 +1719358995 +1870401284 +699119390 +1213546876 +1425238730 +987298888 +754554914 +327113503 +2121952071 +836198453 +433850499 +1670343533 +86136819 +1933889090 +44897969 +1285275440 +1309766434 +1776780758 +1096166326 +706652746 +1139749164 +55010892 +141396333 +2126546393 +1337813088 +674619741 +712080141 +307744297 +1733418068 +801845926 +1669380550 +1305293415 +524763562 +221016292 +371356644 +1950002292 +1208315180 +1125911558 +129632148 +1182783604 +1962110011 +563482647 +705643489 +2048246830 +349888089 +750541458 +1186038622 +1659654523 +379838568 +134721300 +218823622 +1519587732 +189732193 +360219955 +1498650477 +1527545281 +1034839696 +63246971 +1835289578 +620774116 +865092897 +1357186480 +1926067532 +1389856459 +1578202772 +149940528 +1192375103 +639034305 +1275852086 +1322007251 +1821817909 +1090478449 +1885489899 +379977750 +991241631 +87894340 +1130519208 +29796606 +1747548864 +1510357776 +164517906 +1966372486 +882461861 +354250099 +179108793 +233628690 +1881795380 +1213948489 +296875661 +1569601310 +1834722605 +1161968558 +779304142 +1613306489 +404341369 +210023267 +1763247017 +1596716473 +849057572 +891615455 +771240076 +523391833 +1982093904 +509246327 +903369583 +825851888 +597140668 +2033888791 +855648494 +197205884 +1396762919 +1020166400 +16094722 +131741132 +1374416500 +195203515 +365369823 +1108728232 +1409152004 +662245484 +530845895 +1096390961 +1824214043 +1310150037 +562213803 +81071764 +1520173304 +177977172 +1677788237 +221747228 +1069592628 +301544666 +745139061 +904202884 +810790993 +1648508644 +1730054772 +1407931661 +1534913787 +438219618 +1605137545 +784193059 +1458386019 +1621232267 +915934191 +685318871 +1816435782 +1281304014 +1794047103 +1078104138 +1943549499 +177409350 +27011452 +1620279894 +1487559388 +589225255 +1701351658 +860249044 +767202427 +1231656248 +1081996273 +1836795055 +1533200914 +1827135334 +593514292 +196508259 +1328160331 +176085416 +1604439921 +715590470 +614305035 +1062093818 +1499783529 +2072691054 +535842438 +268234073 +610526277 +204794572 +1549538087 +257089732 +1282898711 +1345603938 +434499083 +1309910163 +818400184 +1922058471 +1899135418 +372268195 +634823867 +518854197 +1603924443 +1716820140 +208165605 +989641709 +1396471827 +801679897 +1186149968 +577148510 +977765313 +643106241 +1292738980 +1592070348 +1705200060 +645038862 +1517277754 +93558850 +913272935 +2127804031 +298353422 +315327374 +237410116 +1581252133 +1660931313 +671909199 +743678648 +331847849 +446484022 +495330418 +704116044 +1081307889 +1014184616 +160556839 +650644382 +1222350221 +1150198548 +2047116209 +2024030118 +188864869 +476781071 +854311783 +831971110 +1769520051 +298898484 +389687522 +267075265 +1816176238 +483246372 +1180348200 +1796496622 +781599795 +1495675575 +2033906738 +215368280 +1009123240 +558332289 +959046929 +1340971089 +1004816311 +1454377347 +2045087134 +2086124200 +321078315 +58160325 +589284934 +1543428536 +1208358874 +488917495 +1419975006 +1397223743 +965698566 +126803142 +81711205 +587734970 +425701626 +471398728 +854810235 +94394216 +954645100 +2035158436 +1890890838 +1736244895 +1383350363 +1777313928 +1951613176 +244989955 +188162569 +763176457 +1585961044 +1192978880 +70070156 +1483564530 +1131619433 +391148472 +1541724856 +1720904367 +1934577008 +602600082 +62338215 +1207068367 +1999823825 +1028036781 +1333871509 +2081535030 +1615771751 +1759573135 +405450110 +323098339 +1853967351 +1360095211 +210773127 +1597374542 +948856458 +1594123490 +1227204822 +752985986 +1839113445 +1415367392 +1516162443 +1277590841 +460862624 +1586232600 +613671724 +1592482057 +1977381072 +7912932 +1165902777 +1764474432 +610513014 +1228240992 +824059151 +462853191 +108794125 +10447012 +396904573 +1724565877 +1770020147 +802354684 +2047664216 +1476503851 +14966247 +110953695 +926394745 +963822705 +1705077185 +6115919 +1716808692 +1396706982 +1421483311 +1085487487 +526814175 +1882345936 +524236439 +1140485899 +1327344345 +354133863 +1148398831 +345763474 +2118608296 +1758911845 +1574004466 +795183799 +74281388 +1682798592 +805630812 +471185962 +1259880821 +428167311 +1273540646 +1160061389 +1904671162 +1288506893 +1271015084 +683582259 +104845950 +828608621 +689698179 +1821654642 +77831955 +2111181490 +759658482 +604646130 +1846043778 +1283894921 +1745132030 +1025904476 +1638028785 +746047213 +1371667950 +1609153433 +357475411 +798188769 +256853584 +431756799 +333503713 +1062484396 +902942761 +1593384534 +1490651708 +28999759 +605962275 +1247839222 +1317506652 +1876977359 +1931421482 +1422352603 +558102332 +473636013 +1096523597 +635934287 +437333855 +1856182079 +1240580417 +135893986 +992593353 +838228799 +1161798462 +483138490 +1584276013 +385982764 +2092291923 +1941751424 +1184171533 +201661859 +226024575 +1517675246 +1264146256 +1128967337 +963576132 +607314316 +1157967096 +1569538407 +1855153538 +327990101 +1299032118 +1639091372 +1750342704 +1857134450 +2112727385 +699382653 +345585089 +402577593 +408081085 +1586165507 +538471579 +1400674438 +276910658 +1700270041 +1883812928 +1861186671 +2086252805 +1828621203 +1655454447 +1122940691 +2030283062 +1881479023 +493132289 +1146945670 +862962712 +1456708422 +1754259986 +2020929808 +878763181 +1461929877 +201436261 +30311652 +953537601 +1951778965 +1887446102 +918781339 +503677971 +85547544 +1321358932 +911759056 +1671713051 +1859830511 +164949846 +1948623709 +1412616904 +2048762774 +1662326733 +1351386061 +1729900329 +1170297532 +326843104 +1612699743 +904292907 +819975394 +612161766 +1767255619 +129200168 +218938104 +1640701780 +1007963349 +1680867981 +1842138041 +1038275001 +486921935 +1646433359 +778237456 +1405703274 +2627682 +863785000 +579578558 +914386738 +388014403 +291925421 +1079336584 +189154464 +1704542325 +980615710 +1851481197 +908444738 +563032391 +874295082 +1235287843 +28248486 +1778587989 +2055263237 +640410252 +1398359961 +36979757 +859348357 +891578093 +1044943106 +392732690 +586232486 +2083218108 +879654625 +85182197 +713971916 +137874251 +87809879 +1577756916 +717452809 +1002196617 +1965771319 +1009378230 +2081533201 +7442135 +566436907 +914665263 +1858923333 +1474881646 +1477697654 +585734767 +562685841 +1505946141 +216839108 +470465430 +2146356393 +1615199069 +507445187 +858221102 +359293514 +1552388293 +1250953793 +945526001 +1488122753 +2130608418 +1030708198 +54611021 +120999022 +1118518078 +1632367937 +838451831 +2120714695 +1450655608 +1847830062 +2054764249 +1458097744 +266783321 +821945864 +1169537429 +1741664967 +152159871 +1755272196 +156867160 +1658106012 +1972111304 +627332590 +1656978757 +1439826726 +1134777777 +367716212 +1799120240 +539682423 +1618670005 +597162593 +2027805176 +1601794775 +1627870792 +2082416198 +1722793797 +598905222 +1567300487 +413761981 +572136269 +870472448 +114108395 +479416870 +181086544 +380891716 +1301362735 +1350623973 +2122556684 +1453522606 +958412521 +131940196 +964144970 +783040177 +759272787 +473640079 +75383255 +1894050564 +841356291 +1874503496 +286249339 +312542648 +324182441 +166570868 +1914337424 +1952053233 +101503418 +1489647573 +403474807 +1668803905 +1903409554 +975611077 +391792705 +2017517949 +1455027947 +572879249 +250926018 +608907034 +1923503222 +225999054 +2062429640 +734432095 +357939250 +879090962 +1517472273 +1117212037 +1352731042 +1592855528 +863778954 +46603685 +1319875376 +1150028293 +359146334 +1644057818 +1316599161 +126000110 +1448627403 +1418102579 +1615647683 +1852102211 +939422837 +1371573590 +680229640 +1331215542 +1241607891 +2135257587 +1904094792 +1492533909 +596680974 +1680114366 +1718532963 +511626966 +267062814 +2076472214 +1390717929 +1784535087 +1046200603 +595965323 +1229906967 +1909979557 +642569008 +402298696 +912524203 +1001715342 +2046356514 +81639716 +1127715452 +1347500269 +1499742296 +595879488 +1052118832 +291681485 +1967453078 +1732348472 +1622897027 +1061577321 +1720122412 +1379508171 +406627583 +169319738 +912138890 +2125160546 +680946704 +1179201704 +2054149112 +2071664633 +816253143 +952866068 +520146308 +2046160110 +715361977 +1162715317 +300975158 +1627886180 +16947011 +199848024 +1709525897 +1144662464 +1547348294 +1061784545 +1740541952 +451983478 +1353466030 +1560511382 +36848303 +828879409 +474605055 +1756970715 +60903933 +881232638 +1926290453 +973042823 +858909537 +459753509 +4760879 +765575001 +383934495 +821014022 +1718441069 +904080803 +719690484 +286319399 +2066796120 +1020665643 +1914205579 +2083743132 +1220513667 +1476247828 +1080921948 +620378313 +390548725 +673980252 +1072361792 +1744014755 +87007986 +1109210095 +425410517 +561613041 +718697162 +486314450 +1442845680 +497503967 +1459357273 +154271569 +957257476 +1464118152 +919846570 +1341191971 +137648526 +490803992 +97789127 +857339010 +777123391 +17101599 +1878004653 +543845322 +2100844731 +951034673 +2020093151 +1034283031 +1571412986 +263158228 +1708263283 +496291130 +2007172984 +1795271269 +1605501225 +285099853 +209400663 +176714739 +771414303 +1652246343 +674218706 +83287928 +1806517912 +1631476183 +1547406080 +578880834 +825184506 +1685054606 +1069684826 +922973633 +394909968 +1846808217 +940075233 +125430974 +243169892 +893436316 +1076465647 +115779395 +1927719348 +500394985 +378937623 +1488498983 +996686116 +238626959 +1136286605 +454703693 +523726812 +1345687268 +631418433 +1295141115 +850449963 +1305637139 +1378429043 +509484227 +789629674 +778351475 +1088365061 +1614814181 +315922433 +10566240 +390304166 +710832402 +1857374457 +1330379399 +836263376 +2100544349 +76332068 +1912729023 +68840096 +2004051416 +265640360 +447777720 +1345066751 +1262326476 +686404679 +333869708 +1717030170 +1210131492 +1679556976 +200964955 +357788959 +382523291 +1506602094 +1736218003 +892007518 +148748121 +367085830 +1980372580 +1763562302 +683008264 +1990938820 +6382820 +1393840666 +1700829629 +1336762220 +82620394 +1653890331 +1413094288 +1995349417 +1722730427 +1269662056 +113506129 +23024499 +467245159 +1375832606 +709429179 +801114868 +945379128 +1919560671 +333188196 +1146344083 +129865982 +715711488 +505462529 +1866083985 +1607719006 +654210650 +85686168 +1440607938 +270289304 +768694432 +1284063110 +276672125 +15051450 +837409092 +1613434345 +97671844 +343815775 +879044985 +2093021261 +2066546202 +1223393 +59043742 +2089570702 +468468552 +1434876348 +651516233 +1269583420 +232771828 +423593256 +1602771617 +1379115911 +553459238 +170999457 +1884578441 +272059576 +1778718463 +391305443 +357745744 +1071842754 +661594748 +1126440176 +208422216 +938266873 +1141491626 +1045831308 +404217570 +1239163470 +1389647083 +1283262555 +1184701083 +1308709638 +1284485948 +1243744825 +1250796692 +1752954500 +531137526 +1902312925 +875054273 +763909354 +178422533 +330342242 +2143025266 +731881771 +501341699 +1880120059 +1003941347 +132576514 +123941854 +1361687091 +1204419268 +785536602 +340643619 +1412841485 +1723803475 +1482135245 +311189145 +2128021045 +573815067 +1700836229 +1263799952 +1758516150 +862062219 +400802252 +854777328 +2112858911 +6273105 +1385914854 +1867688188 +881327378 +2340560 +2046110721 +1211669620 +2145365826 +630508844 +1713011319 +1878002237 +1634450192 +1845587833 +2001944092 +848653635 +902523454 +639997046 +1189297255 +167881291 +216316874 +523948852 +479070436 +196854271 +1097763920 +32423017 +1460654224 +708796422 +894485236 +1861456476 +1563573750 +859860499 +1867729581 +802004956 +580065039 +601573311 +804345517 +478692112 +1813242931 +802227695 +1109200957 +1378770602 +532746285 +596167501 +1076874788 +387206729 +1444821136 +1979398242 +1027203775 +486634743 +2147279533 +1243520649 +1010583596 +478866321 +1440374921 +2108347516 +511289339 +753545497 +669660290 +1405774575 +467518325 +85750393 +118151427 +187764259 +887755349 +698216466 +789337570 +1692100866 +1176908579 +455096854 +346844914 +138625888 +1833867456 +879591199 +734793389 +763258596 +1266797928 +32130877 +595173190 +146518055 +518765621 +594969075 +1390038705 +1529349217 +1073835397 +682929978 +1490213085 +1585124736 +1436475475 +12389727 +843415663 +1903993800 +98140120 +961567090 +2091758059 +985895470 +1659783557 +733611982 +530512688 +689208488 +1188708836 +877357602 +827834376 +875092644 +1756948801 +1562627765 +1638351241 +876263081 +1594758642 +86040783 +1022781137 +2113524263 +681009859 +265336194 +1495389832 +1754845256 +948266172 +838119269 +1192486344 +237257999 +850508997 +2035902007 +2141251799 +948649117 +849985450 +2085526211 +1934544587 +362285359 +671654545 +317573628 +1051493847 +1860363381 +1194931230 +1879328223 +587972377 +804396384 +1294472340 +78839970 +1680659465 +741747334 +164880754 +555956954 +707787950 +845890613 +821293148 +55694134 +453252221 +1769559320 +893813404 +1645738565 +2006817319 +1744322401 +1534156924 +2000585471 +545487870 +236658726 +1938628034 +332548810 +598944085 +462798931 +650122438 +1650437932 +175678664 +1845053668 +1382282507 +763651041 +501966404 +529271199 +842491012 +35142222 +1271018534 +1007371766 +591099176 +1978806484 +1853262379 +1412392325 +2034500618 +159030952 +1034467997 +780830374 +1804769517 +893801669 +377669127 +1191442793 +746903492 +923156998 +1428101520 +538047878 +1255705808 +2027045605 +1000846809 +1905828246 +1529999890 +1176525473 +1603398266 +764798749 +1940176514 +2105364671 +1294069949 +635183878 +2140506893 +417604835 +1642555644 +584122421 +248927671 +1348334375 +1996514746 +135944641 +1507365327 +883499096 +916775016 +1164651196 +1777300765 +1294444143 +208610342 +376720609 +70117493 +1636711862 +914768487 +1325823301 +1516273819 +1915615296 +1084167899 +898790061 +944657121 +540082518 +1663588811 +737349987 +497963541 +810175112 +1372533866 +490986786 +1227779947 +867605862 +1075109207 +1476707618 +68456590 +924140306 +1612652259 +1575821917 +1807639402 +381943627 +592989466 +1437456519 +1676387771 +801599808 +1814177128 +1746505264 +290828022 +581461967 +924844918 +1807101841 +349593615 +2009012817 +558408255 +1294250736 +401611687 +74513418 +2031600723 +899575228 +884688530 +1256650941 +1390562014 +2112468477 +2124256804 +318187574 +1441692447 +45229746 +1242327880 +906861058 +1621051663 +902483634 +1288804686 +66557481 +192456505 +817708809 +868157289 +2006633633 +416730425 +1158985311 +440611952 +1341575343 +818603505 +790205567 +1203104513 +1377011760 +2084456303 +1604716200 +1451525178 +1968573378 +356807781 +188730060 +1077740672 +1747369795 +153714889 +1054513828 +2065557369 +1595407336 +1099743574 +1160401601 +354784746 +573311589 +2062885235 +1643589432 +639869071 +107858092 +313814593 +1508026360 +2114491725 +730545019 +519528024 +407620029 +2072120362 +1338131529 +1197825596 +1127741227 +567659641 +1134798251 +584973780 +2019184819 +955887982 +941781561 +60431231 +2033628654 +541667708 +214146120 +940658834 +459741430 +1809553456 +2040402408 +1620143031 +16854554 +466230349 +1535544619 +1660443987 +1106099420 +1643402711 +1974258580 +466642133 +1610410789 +557319951 +986170157 +2018030818 +481956666 +176818038 +1068372767 +1609697893 +744477679 +55687370 +47188025 +616178850 +1011575352 +988969586 +676610081 +897720358 +1530637295 +890756201 +1838379192 +1990378725 +552826009 +1731297952 +1463038108 +569680563 +50044654 +851099079 +82640902 +1156144074 +347018143 +2056899483 +1622786207 +1957428932 +466735786 +461472716 +1827976102 +948692452 +638290754 +748865221 +410906698 +1382768433 +804552592 +458094723 +1998947283 +1816127944 +1447064310 +528073716 +566364655 +830217957 +1418829917 +257260199 +673113034 +1971655926 +1988558152 +2136151142 +393852842 +2038602806 +839766574 +476493744 +1047263232 +1186784717 +385909579 +522565792 +996730001 +852645366 +984038508 +677222455 +1801337818 +1622329263 +1426087677 +64760868 +857614048 +83156621 +522855592 +709077684 +1899284565 +1969919902 +1237151400 +318165572 +652654211 +508497670 +575425772 +1325767245 +332669948 +416500276 +1314434739 +726522790 +307619434 +6717665 +1203016535 +1354882666 +1193502382 +1588926114 +1877448458 +42748735 +294087832 +714003319 +719971191 +2095425651 +188848934 +2146058868 +12702871 +1046462982 +81731841 +535558463 +1755540666 +1981016406 +357994717 +845208419 +151698331 +1010648928 +1353706089 +727124103 +188932525 +1686376037 +1143624379 +1503367265 +265415180 +1451243813 +1510084930 +1468431715 +658642831 +556103665 +909874181 +388607642 +598852400 +1203962014 +1102610961 +1318823591 +1151904017 +1291459895 +1317398811 +1164606888 +190439229 +1399130652 +1700165352 +1945979896 +1232663411 +2058160069 +643704667 +1384361742 +921325350 +1997410756 +2111485845 +1110257875 +1536303145 +1107626576 +466141492 +1801718325 +411386741 +1976226423 +1122666392 +1070029572 +384846440 +2032540574 +1458637214 +983698840 +1089018940 +413764527 +155038784 +93439309 +1705224422 +1472437595 +1258046197 +1895663652 +724084600 +810727901 +1694159900 +1956748011 +721404323 +190380919 +1193626105 +1642729673 +40308027 +1157628302 +605503900 +1576611172 +117771230 +1071645393 +1230845850 +529157971 +900388168 +206028594 +1599187543 +1285234608 +91085520 +910341110 +121449800 +1180104460 +1324105637 +276488584 +1273543769 +881846412 +1748926180 +384106319 +630026416 +325527132 +1194834220 +176702668 +134791495 +1916238543 +367083587 +1328417600 +1411484568 +407391614 +338562254 +2016988469 +1984002786 +456333484 +941150214 +1067364988 +985491455 +1841538382 +1273393583 +437195350 +979289342 +1364479103 +1347536460 +1100739142 +397099916 +524158450 +1377227727 +1670643685 +1406004862 +978670259 +2054750004 +2036031278 +1304197391 +1102100577 +65250298 +1438988886 +870855472 +432333885 +619922838 +134856393 +839725499 +958485092 +4361214 +676244637 +1414818576 +945511428 +1743609626 +252826383 +639566162 +869519561 +690021733 +1618855504 +86515016 +2037558194 +572110998 +483614932 +414232996 +1949338725 +6774970 +1820237858 +780525336 +2061524974 +1708785488 +2084722727 +1016141903 +1774035786 +1376227965 +1886997376 +58886023 +1996150803 +2021853769 +898611522 +807152247 +2026214983 +1574856159 +74487175 +824242763 +1170982137 +327313558 +1463808925 +2040501698 +1017335292 +935180781 +2127016715 +907409838 +1507291779 +463147999 +1321642834 +1309146857 +469922969 +994397044 +2089672193 +383964296 +555698884 +2026911273 +1400106199 +182251022 +1255655590 +1139619927 +241137045 +1104322746 +1013990048 +1139748567 +1911474993 +892721383 +567121078 +1985962169 +1716964146 +1738103216 +165792079 +1033289423 +1631121266 +1183127371 +1968470204 +1610654333 +2090537209 +1328278336 +2073802333 +1264696395 +489941545 +396241654 +111609791 +432130090 +780205950 +667308675 +311557715 +32828502 +849559697 +1567213306 +1172448429 +1090696742 +524052404 +38954830 +82961661 +288043749 +931676213 +650082740 +126522270 +501156712 +240702308 +292314350 +1534446135 +1871823574 +1475441721 +1355432692 +1334994260 +1418495283 +536227380 +1261312945 +535708030 +1026168925 +1657554599 +647317822 +1458299015 +290276902 +1314626497 +1769856731 +323105404 +16702547 +1189586389 +1495553833 +1107399289 +1713638793 +1534508663 +1190360951 +2001682542 +318701229 +1840443691 +2128204813 +819857941 +2081145999 +273035515 +206820428 +1805485925 +1748477236 +1562253120 +992996537 +1019488871 +2098480500 +106825834 +1555196902 +977165777 +1764380434 +55031076 +287981145 +2054657336 +1369657573 +2057837876 +230279092 +1386360120 +1099940617 +1725832925 +346275762 +666095762 +1112857941 +1536636713 +520294656 +1431559170 +1229596756 +501015821 +103933463 +1163259107 +774051336 +310753891 +821261384 +375044925 +1873007012 +1814257922 +1394533796 +1824003864 +1921083756 +802247050 +653685994 +1537980542 +857278126 +941667139 +1445154230 +79452052 +852021367 +1675433322 +1465812172 +1951961984 +1253782600 +1812087934 +470574098 +219156893 +1201240999 +990868754 +1650716063 +283354107 +1491884576 +1754649526 +1446613214 +118452264 +2065403417 +120390951 +493497189 +1790926781 +1934648873 +1888030986 +1467446998 +1708248981 +542794388 +2121132992 +1098745876 +1400072515 +915316483 +396416458 +1479524567 +1767337850 +2071849781 +797853091 +1571816186 +1178148733 +462457378 +2042390284 +1397305626 +1663698377 +885775390 +900538041 +1947052485 +230176318 +507703919 +1246182051 +348628583 +425623688 +1366573002 +842125772 +69066822 +1153738227 +582673110 +1536513820 +714503561 +1125467499 +1510163164 +1813249437 +378056366 +277995999 +62182247 +1857580933 +2045333849 +2134032028 +507950376 +1469666387 +1164697113 +970407754 +1364573023 +414519091 +486622484 +102864765 +1315057132 +286191321 +333041084 +1822761051 +1532373372 +681669667 +100901092 +751462727 +1523795439 +169967914 +1905200954 +2106468550 +1706481734 +472220867 +1084452401 +1069161250 +137986656 +1462508767 +1347157249 +200168904 +1172606052 +1245007450 +186717284 +1680556428 +567190189 +1351414398 +503480535 +1931763212 +1765933489 +990103019 +2034627977 +933506974 +1276294340 +220185413 +608784377 +661184064 +901855080 +709685469 +1412646791 +278166872 +879653383 +1170364098 +237151774 +438651469 +1642584965 +1321604175 +1507812719 +1780571622 +636629294 +707486320 +1980740526 +1809235346 +1952493770 +19974162 +1342308126 +372200311 +1371388560 +1845788661 +156479875 +989838402 +688408032 +43624205 +1923345376 +1964702372 +263809618 +384646105 +478402789 +1165664699 +1094331575 +1891049580 +1443831571 +1973984958 +913930030 +1680983345 +265152780 +409031348 +855103872 +1772965499 +42119322 +1491733166 +332968172 +2022859848 +1153484864 +137978294 +2042834010 +348309342 +510178606 +1266738923 +46614356 +666658481 +109093677 +735022388 +710282686 +2032439053 +552241113 +974092305 +269601510 +1030643902 +2139757004 +1363933085 +774209834 +1436104927 +1190434396 +1688139865 +969604624 +1455587176 +2097171213 +1824708496 +1081069027 +2139290535 +1168958014 +1414037199 +2014666735 +174959230 +1552015494 +1910017097 +523268572 +2062194100 +1029272372 +569882928 +581368933 +1138366049 +1304905317 +1291651620 +1023321454 +1857146430 +118260277 +1292922965 +740306684 +110533633 +509372402 +1514516518 +1546638560 +1699806798 +1055172735 +368759536 +1007910326 +1004860300 +45984384 +2088979354 +996667187 +1214942398 +1355532905 +863850274 +1389901628 +760064751 +626383724 +1913170200 +674775203 +1655656096 +335569481 +1256144137 +646538498 +1640474798 +400312109 +1669859952 +1350137580 +518572386 +815299269 +2090444264 +629106019 +1324671672 +1457477134 +28260931 +876994822 +365166222 +397020467 +1884905149 +1370026522 +443004851 +1826400855 +219210062 +1657947249 +1034450112 +1083060336 +900365229 +1794514864 +1709444060 +666051781 +321806419 +1217616509 +1001621262 +1577950556 +1864155007 +494612412 +1978262665 +1386531311 +1844749992 +349351403 +54346933 +1787710608 +978457422 +1379018605 +1097704095 +1006718353 +108529779 +1462870317 +1403738820 +1993434928 +685413191 +1846743671 +1672352135 +904623253 +1357207272 +559318600 +1987683590 +110088853 +206349816 +1549644002 +776140635 +528156235 +619776863 +1777761897 +2106106792 +336448222 +124890662 +1936885809 +1722979534 +1969640654 +138753565 +1777326467 +1609867615 +1117210987 +1008861424 +560088062 +2123929341 +1117391203 +2022958379 +1380184513 +963342484 +560887922 +1079444537 +488210971 +1465511176 +289168161 +1047529571 +1305711118 +399257015 +1253879387 +707871472 +1175397650 +1782035623 +1327648336 +805675899 +1740658767 +1664096558 +930566561 +1530060928 +1239592444 +752723568 +1668814493 +869435263 +215107535 +638541833 +1878296687 +775195597 +614987526 +848204243 +650670328 +1995172039 +1811546727 +1211558250 +927132928 +152274050 +529585778 +1216301090 +1199803622 +1835296896 +1615558105 +306199361 +395684721 +643472107 +2088234984 +1723333057 +1449148006 +1681410103 +1239945967 +232230920 +1063987384 +332054764 +984954488 +585318229 +1201490027 +1200062023 +1223860062 +932303067 +1975257620 +1838847588 +1780507310 +478444300 +1686535980 +1444570389 +1690002550 +466185260 +1596844439 +72104681 +1682486350 +649164413 +1907401577 +1150560807 +955363775 +155602650 +1794032914 +896115111 +1878935707 +1095697273 +430041567 +971398027 +1327928193 +1494028951 +1303452791 +165399033 +2079347180 +357459170 +1365461056 +1155723595 +1289762237 +1193235028 +847087535 +922785899 +1671679328 +386139867 +219872640 +1214198230 +852325128 +1816717080 +1286302911 +387327830 +318397845 +1046220841 +1537888638 +1273761620 +1201823491 +1184437904 +22393084 +933275551 +132651529 +452434651 +1904673578 +1460579722 +1946463602 +1060642721 +1625978755 +1878327134 +1418101891 +843956163 +886567081 +560380481 +2037191191 +1733654617 +1483166380 +1561386871 +2119794484 +1703039021 +628101454 +824635964 +1372272453 +1914404365 +1211963795 +1690670298 +813141558 +602368785 +816948271 +2014965050 +1786806689 +839341355 +800756953 +1919458219 +1291776006 +557946883 +1232554293 +1090755960 +1618589604 +711049401 +821599446 +889207847 +1555005564 +1708166528 +1449588328 +1444713108 +1294337497 +785271061 +858616331 +1266648333 +340826434 +1486717785 +2091284298 +1713098887 +1253638503 +1155764445 +1256285537 +2066780061 +1758133230 +2073233808 +1934261463 +1397456271 +765091515 +587534768 +1169430842 +2056867521 +1145481651 +254501488 +1000139833 +616587607 +965550889 +1821739280 +1505795455 +373072805 +1382422160 +807900135 +1817785913 +529276009 +1593171196 +528918597 +1795924342 +1933997630 +2015636382 +1739724992 +1499612869 +1121791237 +748005789 +608414759 +1041087651 +358655371 +534164919 +827865466 +1756111643 +1299256435 +1415400235 +778058837 +1208640308 +413398238 +1032560325 +61296494 +1029985846 +1998111214 +1883035774 +388297653 +223700372 +1117974286 +1196197788 +2041486285 +1647250295 +641885337 +422921234 +1295690989 +428399319 +291073969 +887932334 +1928012189 +1412865206 +1635938123 +388943300 +306469209 +1994593495 +923108219 +1134334676 +1603221490 +74881006 +402251263 +233796679 +1283521315 +815649501 +1266357005 +1344817809 +1845635347 +1116984571 +1080369935 +86449352 +1340684943 +50860573 +1282647141 +1234687581 +1698110868 +1924532478 +1657608815 +846318209 +205448149 +1948682784 +1734250543 +2133460338 +1214064343 +1222705019 +374919990 +1520533552 +1069814866 +1298028210 +507384580 +525552708 +1372909216 +909635843 +759349387 +508946883 +1725285345 +2025706392 +1853764692 +1423437044 +995207316 +786650979 +1509886397 +188408611 +837511552 +645049890 +1423096192 +388138772 +422098720 +933221360 +1234456982 +627546869 +734420496 +821223877 +613523560 +1948484839 +2043928896 +988443550 +1321534744 +966260114 +138988112 +1828919324 +1491812822 +1511897329 +591071520 +103678562 +2020844212 +168873217 +2129384954 +1727125257 +1592310261 +977108622 +366292588 +954713010 +1165517234 +1203804141 +1599762900 +441129778 +1591942913 +2021861620 +1374351138 +678916247 +501924842 +2108771635 +1500140125 +1115448402 +1909772826 +1396585373 +2103891952 +1083823922 +215361840 +95396417 +765259599 +1707174662 +1607293746 +1356331119 +1810853224 +1480654310 +1525204336 +1792754531 +1060295919 +970030949 +622379505 +1426588508 +1924743960 +1787896739 +482909001 +1377023212 +81542870 +2074851914 +1251401185 +1455894008 +606284514 +1753326027 +1417181995 +2106424639 +721290781 +1179471174 +1355526364 +677699085 +115811448 +1570888204 +773095502 +881071047 +1130579219 +232905600 +89918518 +793948795 +1713559911 +1615122854 +439219678 +626372182 +437670156 +1061599184 +2052960690 +214930468 +702012275 +388386043 +1591953680 +783555145 +315754310 +695871217 +91965506 +922038824 +301713596 +1509147501 +880979815 +1023004377 +541135027 +89022531 +1700703463 +656946476 +1659910736 +326315317 +1538017523 +643006307 +559220918 +1627936042 +1436955102 +125297181 +1095575248 +1876174781 +751669363 +1533245404 +790290317 +657146406 +1748175872 +1492302592 +1045532449 +1192645905 +128374090 +1361286759 +1888517122 +220339596 +135841935 +42747071 +1729487097 +1016821750 +1065751448 +123138477 +1105844282 +618971263 +780084953 +618271370 +945286581 +170618828 +1261277677 +1504507499 +1798554870 +550749131 +1629804680 +746646471 +279440264 +233990395 +132408227 +1069730581 +891136801 +1880584100 +414549526 +1936669251 +925746357 +542923616 +1150472362 +666779831 +763263212 +1286314298 +709526902 +345266661 +155652400 +1775278351 +468405138 +1261496682 +246765966 +1248490091 +1879768052 +1192052547 +1419108920 +993562081 +549076398 +1070180142 +1544311213 +31397430 +1816826613 +1823751477 +265387826 +1949234841 +745998411 +1156524627 +1682335293 +1160547937 +945710230 +460598002 +1703471553 +2096182593 +1127377833 +319251117 +1235013243 +1836904736 +664517778 +1390665643 +1464699439 +1132922917 +504678678 +1711465405 +233929360 +236963082 +756034305 +1653038280 +1230525164 +1305110703 +575734775 +627352729 +1336508134 +245077740 +303620558 +1601895960 +46828933 +1049618969 +610936939 +1729164226 +62683258 +1556647170 +42278580 +1766154811 +1505346115 +1169656414 +2085405928 +592875710 +859077502 +602440059 +1983541353 +176293293 +1735362976 +340736383 +1887758698 +1969292336 +577699466 +496309355 +1474846969 +1808224630 +1801420059 +2050581744 +288093711 +990444545 +148175836 +591714269 +444856857 +195004770 +1641333239 +1055793796 +1924168996 +1704016497 +464957318 +1966447577 +1322687661 +1970303433 +988620343 +1260609941 +415695495 +1847697845 +1863050000 +251753201 +2023991138 +1450929328 +592489584 +1764266188 +1272738017 +1170189050 +113091896 +600101338 +830930032 +1914511955 +503199434 +1119023743 +757472852 +651375270 +1710738013 +1202329709 +846380040 +1204587604 +110639857 +623065389 +761120453 +575597176 +442029318 +2083808114 +398416961 +1430649661 +1196934408 +814112457 +1130863858 +912500760 +1065865658 +1007371348 +215946441 +1658355242 +624153888 +1488684458 +681060645 +737245784 +2088785796 +1511990677 +504274091 +444501582 +483530773 +1261746943 +1095876852 +46785138 +316593004 +1942256893 +1251372742 +427232862 +417838634 +2012493195 +1002830038 +859867952 +1948817662 +1401246999 +143033965 +998268422 +67875808 +1273897823 +1910769182 +1133741466 +133785523 +2126715623 +644613061 +757939411 +1467916433 +1325673706 +1495185196 +1409218581 +690180735 +1999459287 +1853720163 +1173711508 +1113722583 +802113368 +1220496646 +1430315587 +596886613 +324385740 +1857548449 +1014725247 +189395288 +712894839 +1874593199 +2138212950 +2114141839 +2017627164 +988997724 +34533999 +1144041339 +752283258 +1168275466 +1277826862 +731515234 +1812888527 +2035766273 +51948019 +991078585 +1383467821 +1461166601 +1681259320 +1235443461 +1167403116 +707487181 +201682396 +1969516484 +1927983827 +1631997983 +418919449 +104885920 +1342062785 +1433644696 +294281208 +2054957624 +1160754247 +285010510 +2021615815 +1030897763 +1274008234 +2056149815 +27455454 +2026291492 +1076941633 +1305282316 +610323078 +742346512 +1193564942 +662271098 +1733425097 +429549115 +2123437699 +1267200769 +1664992576 +1143357167 +1974687950 +1866674972 +965390004 +1755188130 +1351189308 +1384309453 +1860074050 +545768445 +670470502 +6871610 +453242421 +1831224749 +291882120 +327374589 +714638865 +1565890354 +236040756 +742094319 +1444698198 +1312982389 +2047376636 +2055021277 +2055328901 +1093457930 +569808727 +1641270350 +1523007045 +545762778 +760987471 +1040515974 +1689119945 +588191774 +759707298 +507026301 +195896256 +2110896606 +1891335755 +2055970306 +509181403 +414322609 +2062841916 +962423825 +98063710 +207240388 +1289798414 +812702575 +1773130742 +1525839170 +1554796895 +1070345292 +691337911 +1454689883 +977882921 +599183164 +400664165 +1547691648 +92969866 +1923671210 +2093454426 +853957337 +816703536 +1635090724 +1442149111 +1576410835 +2142117025 +1638045367 +1539823793 +1885969132 +1546532025 +2049005197 +152808093 +1461890293 +863945374 +250871804 +1669130681 +6260140 +1063574379 +1294777775 +1532099310 +470887626 +217639420 +75953573 +1925577509 +1195522341 +675136737 +178758026 +595730342 +768106603 +2102429237 +541701120 +1622063940 +771649125 +29308196 +916729404 +200576312 +23941574 +407291123 +1740400106 +1909910706 +1953823149 +1641921655 +2062718800 +1268229794 +358383381 +166106956 +789876828 +364643521 +1229681335 +2084654603 +1896742831 +1700568962 +154810375 +1972696404 +1478662823 +1350332717 +500349493 +1657420850 +1946063059 +1268456096 +1612366439 +340280531 +743036388 +236531916 +369588728 +1659765792 +437108229 +393530302 +2067056916 +30024687 +155957360 +1873396417 +1671946342 +71192512 +994142563 +2030329723 +237299468 +1784019391 +247489596 +1466980804 +1721190347 +2144232427 +1020066118 +1876000722 +1969445183 +351245293 +1078849791 +322311028 +2008666143 +877429202 +1590767124 +1473548934 +1217709734 +186319864 +1710080851 +1587298462 +1846085657 +2147189080 +1980828764 +1765658925 +29730119 +2136786124 +1491571694 +1701676461 +60494989 +338230609 +1584522536 +297794457 +2122250001 +1832012132 +1764775261 +1695956700 +1828760911 +637357731 +1424473774 +1650722446 +988603025 +355839918 +1973033474 +849785520 +1233269120 +1416316950 +175850807 +303495206 +1602636814 +1885931658 +1890793668 +1301238823 +1885637090 +1724138784 +919414100 +1915367209 +1713441261 +263502146 +1469560022 +1773936250 +601732756 +906598910 +2071730707 +576499109 +591127394 +1689022321 +124972161 +272404657 +178896404 +1549445935 +1923127103 +1167499429 +1905285853 +1748676929 +2017284950 +991071326 +1017510231 +45652109 +1294566532 +472663397 +1931583767 +1037876553 +1773902221 +1669737209 +614531689 +545832673 +1437620770 +180489302 +809334820 +759697144 +1954425552 +1411067576 +1666296054 +1878672612 +1987566685 +109939800 +1420211285 +2112538846 +382344457 +1599107689 +1514501133 +157987912 +619123471 +1272303339 +1906664841 +488924773 +115891017 +776691424 +534576882 +1410457549 +1249354821 +318677001 +300850454 +875773394 +1988414210 +915382144 +1421606068 +1278551332 +1095871446 +83457240 +2038248476 +902813351 +1494524816 +1557060882 +634002315 +1334607853 +1667000682 +2054213600 +1299663051 +2049345139 +1505837641 +666680536 +59849403 +2124961112 +1938983875 +1966514244 +466402237 +2054874892 +595722020 +1000979119 +1317848794 +1845076841 +1319656120 +1618699248 +573366588 +1160586682 +386597744 +1994972656 +291654366 +1482469191 +2078429896 +182419194 +237798894 +1425471064 +1739480076 +871801209 +612595269 +1258997110 +778531161 +1912258320 +1160858601 +136885154 +431455208 +1220708004 +114362619 +222955436 +1039738600 +580764856 +130346680 +1635460620 +1581743976 +1448195474 +1333053814 +753916448 +919411075 +1906420402 +1914503131 +1306008819 +1753909410 +58673849 +640994362 +1684855658 +241093044 +878793256 +962843074 +1980573120 +1750594465 +1575438343 +1092086583 +381641978 +1340213015 +105461536 +518527133 +1771668223 +1326169541 +632889752 +1994623659 +218424493 +1213654608 +2124970340 +1853885114 +647914936 +1425682166 +1039455280 +1401831385 +197609593 +798392034 +1168850868 +1503618413 +404817796 +1227524717 +2144612775 +2089673454 +1468617761 +875922384 +905032880 +1301707234 +479033201 +332987575 +246310169 +860675180 +1673200590 +351771705 +1379202313 +1297385165 +1677941246 +2012092065 +1144525177 +1896365740 +1078263025 +1122011869 +1602767206 +1726177962 +400210387 +494738838 +980525699 +597819981 +1293130872 +1892919 +2101438394 +1697948668 +1229417636 +2098567521 +1640138474 +550551750 +827006257 +397687706 +1852258984 +1306039459 +730675281 +2098569153 +19230991 +256392223 +302857210 +1398433304 +1553777388 +1980798457 +1263041721 +550818917 +1729680549 +193821098 +1672830786 +1184964107 +1919999060 +2073041174 +1679702945 +753041111 +523377507 +825350169 +754934030 +477332253 +375815189 +1984351667 +428416126 +2015953663 +387419769 +1255422384 +266157721 +92195105 +413978195 +996833002 +43280610 +433209186 +1253225225 +346137820 +1831642490 +659518965 +179452629 +947200563 +1210337883 +1909133178 +1141021661 +735685021 +946613637 +913537074 +661242547 +478832934 +1666578185 +1184620054 +1304183103 +274028568 +1661952307 +1679998292 +110896587 +2090368434 +1548468307 +498316356 +1198307170 +1814626028 +590511461 +1612285365 +663975382 +633792071 +2045494551 +1917200607 +979929891 +1729653393 +429235925 +1159382521 +529370308 +1639573808 +921032051 +1670391969 +227775181 +1867645689 +436445395 +889017729 +198994975 +2103023581 +2073637783 +1503178079 +229568501 +1588106443 +1035692723 +340465088 +1530991229 +436677383 +838781444 +581814751 +103819763 +1429292905 +46616468 +767795146 +2063084976 +2092111019 +537512105 +895531219 +1674280764 +966748030 +2054913740 +56167424 +458838190 +828462144 +1726559393 +686613372 +548624185 +15521141 +1575631101 +747619160 +2118544722 +1501785236 +103313591 +200629575 +942408031 +1139006315 +541094663 +325915612 +1575683698 +1379876107 +907730363 +1679503461 +661685364 +954346831 +299814959 +577286692 +898974202 +837327065 +1472817911 +425771318 +1804075095 +1380248004 +481938742 +115429638 +61226500 +61014488 +802043010 +609850685 +76535629 +230190463 +1357469845 +47596703 +1731975699 +1460783437 +248226278 +526900083 +452306104 +789320941 +852815695 +2027989802 +21713400 +1760546059 +1560009615 +683398764 +567409242 +1859824575 +1260685456 +1466383445 +549667992 +586019719 +1892154763 +206259439 +1966267723 +226609858 +321689077 +2027494223 +287624346 +1123732087 +489861260 +364159975 +1353922550 +1847331106 +411756678 +938414602 +1160630895 +659982956 +1465314685 +1612936999 +1449303897 +170646732 +1493443153 +1471017297 +1931192791 +905969120 +6932413 +351118386 +618310047 +1267617869 +1817501831 +1167978039 +1853637588 +1562172946 +1374237479 +1672421664 +1788782804 +1695926556 +1552432239 +2076407150 +672174996 +2042293500 +293083477 +2026097546 +1742140958 +704840155 +817028500 +755288205 +1364823111 +134859537 +220741556 +666643360 +305506270 +1714184709 +2137660657 +89215413 +472670181 +2144593070 +440333799 +1090980229 +1264727291 +110351982 +111474620 +970881232 +1672524929 +1485712099 +495819248 +1313824085 +1034155008 +2048251487 +1242747588 +1706330004 +1943061339 +1535831065 +1584943902 +1537718649 +93187573 +254488755 +145523206 +1458010684 +389348292 +366264762 +2124654045 +694854562 +2080449471 +2114831054 +784069976 +405636005 +2111940477 +1224403775 +1496616234 +1229184120 +1334755758 +1608090854 +52581704 +859797039 +946319306 +548400952 +26137476 +1980474314 +449168792 +1268885064 +1539320670 +244746483 +657232482 +976780924 +1782465133 +750420055 +1231269679 +1927988339 +60947091 +1620617972 +146769454 +38117488 +167988886 +79735277 +5464895 +952058862 +485371282 +2117405372 +28978990 +1981987516 +1199105844 +1363734748 +1442594723 +1251687549 +76048139 +241430381 +1800088501 +102185615 +74421047 +101773645 +1371070680 +1613741717 +346520129 +2028303162 +443038993 +2128985262 +631239569 +1674308673 +1909489953 +692186660 +1147442997 +2056259407 +730304149 +1315431883 +2135994685 +735769044 +120007098 +473882319 +705690768 +148986088 +308386188 +1904796612 +1512720836 +1750980911 +1009000513 +1588768975 +1992411292 +661605367 +1690954590 +2066832339 +763379012 +914541622 +1533090408 +1109899141 +795361136 +1976129401 +1091400755 +1426600705 +1502954426 +853407061 +2118787366 +502913775 +762182820 +701607867 +1818345659 +750693857 +1437376911 +1938352757 +1224576177 +2143067679 +2087338845 +1532962365 +1900380643 +1452576033 +1136459628 +761897509 +893861360 +981387272 +1423502876 +437332302 +900735963 +39398240 +1351873925 +286342723 +1149297382 +2147235061 +114988476 +93214489 +1426352119 +1617942903 +946621550 +1397655837 +2120856678 +1708804371 +2099263704 +1791718689 +312014580 +1389156967 +1582587798 +1536590757 +1384740998 +1522442995 +922069474 +1137637993 +827535380 +2058529102 +1899535502 +1721396740 +892432726 +1175554730 +11245395 +1793168689 +1214952971 +1363119320 +2079511412 +216766705 +1362870733 +47016241 +309981194 +641739204 +1664959144 +1256602745 +2039395041 +1638332174 +817923468 +1991175097 +1282567216 +1129938048 +1232848416 +717671366 +519045158 +470105766 +92630714 +1441114632 +1607743760 +920166094 +1352160087 +1359795614 +494079187 +97109165 +387866697 +505324582 +1890277855 +1602819668 +1868443902 +1822305619 +1819586373 +1083830987 +1869321860 +2129567567 +1725570192 +1386797356 +1238686664 +1617481585 +877645883 +2056610132 +1461173035 +12729451 +1039064533 +546537803 +730400817 +1558109691 +1016643570 +823031531 +851740675 +476903682 +1743197626 +56417114 +1836699296 +89793165 +153526280 +77082345 +595117747 +2043804135 +1679902013 +316078001 +1718626106 +1352004738 +1399908988 +1440464319 +1334088658 +977995532 +679778027 +425291674 +447993470 +1557423910 +334418159 +1909166505 +1570153361 +1373482692 +308220660 +153070531 +784108735 +1324864230 +976102062 +1635849410 +1801767912 +571816040 +1692266525 +1490983561 +661609205 +1845792805 +1568065906 +1256726952 +1742113292 +1100484272 +1572804953 +1313255750 +305005362 +825230294 +606236421 +1639094020 +1803225826 +1286014449 +2064385695 +103735648 +695954711 +251320206 +2012902153 +118624425 +1624802898 +173639166 +271694956 +261427985 +1498503396 +1247797018 +1897277395 +1152787661 +1819613059 +1442060272 +496287574 +333738616 +1140369429 +2064353480 +1590465569 +734999073 +1017354104 +1015786874 +2048254824 +1322359467 +1841017168 +507007597 +813969839 +1496759347 +1793022046 +730871886 +1600494995 +341493110 +982192092 +1465913501 +460117535 +459511342 +1639552667 +731812491 +720939327 +990572415 +1979609509 +470733075 +2143360076 +1651738920 +1912793347 +492164002 +1985477537 +905679129 +409033835 +1428459458 +1640678202 +1426387939 +296762684 +1541449378 +601263758 +2137779853 +2048456976 +1415233598 +1487055552 +1693995374 +2146105484 +940066899 +2035488484 +980813929 +258496752 +348122371 +1440325271 +1898049419 +1079934862 +13780951 +741138187 +912060724 +484514026 +737014615 +416315996 +249823725 +1229178618 +254309885 +1155502854 +1638212453 +1682769343 +648697409 +917116744 +1979532028 +42663139 +1518380503 +1969828233 +2091120115 +786130453 +1309400137 +1637631842 +784752289 +101983388 +1525636678 +1765566218 +360480141 +1873759050 +1058407842 +111045912 +806210264 +1072188793 +852184099 +1718270988 +1556702819 +1589198715 +2134586985 +1806526544 +670893685 +241413222 +814545751 +161622490 +1924182566 +1463243160 +1078739234 +1756230946 +1505906299 +449636089 +1578575531 +1449542767 +1235766542 +740492020 +939690961 +2020518832 +842475408 +317843991 +1638601402 +1202955549 +44119393 +549525596 +1314001462 +850329658 +1621714389 +18701913 +421116998 +1030933560 +1607900628 +408220335 +689976457 +131310665 +649633558 +1504522208 +292933155 +426332476 +820281720 +1371672390 +35079774 +178704371 +1821308479 +1613655305 +1628247138 +909591374 +206663677 +420454451 +782626558 +1049139085 +738298443 +273744312 +104610987 +782417836 +823269909 +1418612449 +1632747494 +297500650 +1437314362 +2053864493 +1328434211 +897731343 +314601180 +2018410668 +1029042008 +964234738 +1375449228 +1321975164 +1390567214 +48247300 +546163906 +1425646988 +226951671 +219988737 +891818645 +1855198810 +1129580111 +1098482322 +128169613 +1912206669 +137760 +866468056 +38467334 +104748747 +1648885893 +861737243 +1523361196 +1134149739 +1159237893 +813191910 +1040530584 +340188456 +1710923253 +1355131765 +211115476 +592481614 +171882855 +1586564704 +1914456778 +1562450070 +1634812004 +313137036 +840613410 +1861763676 +533125773 +1732432056 +1569478838 +1662705885 +683430730 +1697648451 +1427428906 +683568490 +416632860 +1465896240 +788317237 +2065518753 +180149835 +164194785 +1052184844 +1339387729 +977386696 +2092715429 +1679576185 +540826301 +1300363546 +1890691662 +1133307915 +1472246401 +1329772718 +900281045 +887212823 +817101075 +1213418081 +1727826234 +531381103 +1746543855 +1312774642 +2100859941 +1261766092 +1996205372 +1651024744 +541711350 +532290215 +2067657604 +2007607591 +1320607452 +1985692709 +40273778 +1484802238 +890393906 +1379661507 +314705286 +835625687 +911754045 +855531587 +2135989233 +654962059 +1988839503 +1460751986 +1984734777 +741636900 +200481162 +654352204 +1955054982 +1928307396 +1185733307 +1554115189 +1093598390 +1139109600 +668397633 +942320114 +642650697 +1210108983 +1474610329 +562824653 +1070232926 +647734134 +401033715 +1110506705 +2132536372 +1291427621 +342684564 +299758010 +2127053308 +1254438609 +1155289597 +2115558893 +1909400668 +996645452 +1428827231 +1746651798 +1738282353 +1629308393 +253520354 +1545853687 +1410132141 +1439253662 +952485228 +356246883 +430879614 +1620882861 +1298566998 +1073530311 +683508196 +625693679 +1636354965 +1753741123 +1273427813 +2037388680 +716764180 +1258480537 +1181332653 +1059448744 +1558238547 +1160902313 +166403706 +566044497 +1128977558 +2075804374 +1562689949 +410321141 +1674972524 +1153488654 +2039629535 +1928492879 +551858693 +1302278028 +1220262893 +1504343921 +1658524912 +1651142507 +977743134 +809608262 +577189171 +1661251331 +1435301941 +66060488 +1267508806 +561246107 +2103449168 +1984272986 +1819726644 +1137298173 +896238082 +1230481544 +150716838 +1062641788 +1796526041 +1279694396 +990962515 +1211732342 +1690015537 +518451391 +217737349 +1582161424 +299460622 +769596042 +736955805 +1519723515 +126456316 +247997069 +1023382375 +1104199450 +1057605331 +1600571546 +617967133 +345423624 +1666632034 +1885475939 +906669731 +1622597554 +1722265277 +578912728 +612412079 +471019712 +1809394272 +763128917 +1533661500 +1458436665 +2042823313 +377140367 +522685359 +1585355202 +895591759 +740422708 +1020032979 +1195052381 +1510018751 +1756988784 +567292249 +1636475067 +2004985853 +1590674624 +593190869 +915107536 +1043762522 +1211158003 +1260531160 +562910908 +949150294 +19717244 +38024814 +523931924 +598629972 +650436893 +994951636 +260540596 +1413565810 +381129488 +1718977261 +1308905475 +758269856 +94178972 +746777029 +1653861615 +834601681 +1766810008 +701430348 +197136784 +1376315144 +1268722597 +1833611851 +1233817349 +711913573 +279319072 +1441237 +1755676095 +1490477075 +1261972398 +171103355 +292143722 +1281689642 +209128169 +816075646 +1880319614 +859565062 +1811027282 +2140860210 +125647224 +44673122 +1712353823 +1434552699 +802942978 +1806532795 +33846081 +309320945 +493650828 +1800656089 +1010751294 +690787612 +1029487586 +131990243 +376915815 +115821287 +843903817 +656234888 +117262525 +452096264 +2146711963 +1379234923 +623199620 +291372037 +513440917 +832327789 +1107447683 +246276883 +1691892852 +770991317 +239653445 +1817540076 +815664440 +1952007268 +1104609128 +1618607418 +1611056415 +1138455209 +1927928364 +2104707244 +791627650 +791196010 +648011208 +1821115236 +923186253 +1024927024 +1936936524 +1767090070 +1681161912 +2054199049 +71702687 +1680390227 +1285950324 +694902307 +1971762265 +1799391241 +1527230096 +931726300 +2045668124 +1071639300 +1702717618 +137837921 +741695729 +370898410 +2089845189 +1846304857 +1989505828 +1553417956 +837276418 +1769950544 +1510641552 +1628904068 +413662906 +11169113 +1302535657 +1336849160 +1036096137 +1091988533 +956455582 +569774401 +998703934 +1028158269 +102680980 +137170610 +1723060576 +2074443245 +1936561851 +1102807025 +858685898 +1834746327 +26962677 +413919868 +1972584248 +768658406 +784818278 +1914945789 +467479615 +626840458 +1320880097 +1304756033 +249307355 +684038002 +786176454 +662970261 +695207115 +2088712111 +1999819421 +1731303252 +1033216996 +808791356 +153594005 +2031920930 +1836949625 +256274985 +21607892 +1412526554 +183234583 +1958169743 +367849931 +1041920481 +1645432422 +394812608 +1455840349 +1470533022 +1163471015 +93174979 +1237995163 +1630950630 +720015437 +411391612 +788223016 +969322792 +1095429614 +1574399470 +1632293054 +1790636729 +1515627933 +1484628827 +1374456333 +401361281 +145936535 +1528050338 +285798563 +1982886161 +1784325324 +307406455 +1247929067 +1967559907 +118092550 +1615778998 +861996740 +1763524972 +2010591606 +170353441 +1086574346 +1026578973 +263528420 +177085861 +510045956 +983543857 +588477473 +1298268972 +1952866650 +1683907088 +725184794 +1437676056 +1327060169 +93329079 +774821235 +554032855 +494690360 +920757771 +2082083193 +780488923 +756160284 +1718924869 +1087895378 +2004089351 +1539001128 +1205987928 +1472384701 +253514220 +822029252 +1335492659 +423867661 +1908603598 +214587985 +687396081 +2085689459 +724633941 +1670939939 +526683284 +2022902913 +1476322941 +63106724 +600604059 +766515349 +1390166894 +693933138 +1541336584 +1944199749 +1188623498 +314610707 +1878799294 +1969112421 +1070770991 +1450240516 +909524151 +927376694 +841757996 +2115512079 +252277747 +1095272217 +790057683 +1587770407 +1519139878 +551177633 +1802358392 +59052312 +489383444 +379508685 +1729992251 +1016066728 +254927950 +1058831544 +1079173453 +855532009 +1825346893 +321856699 +1549465147 +1219199829 +118572800 +590604997 +1533810537 +1997372094 +412233770 +457097880 +1300128962 +1321757921 +1384474575 +2141886959 +1289786352 +1636752322 +1089675528 +2079844035 +1077039081 +461331758 +483538020 +731913825 +520384070 +972921464 +1111422510 +102892673 +1988988192 +1366350460 +1161724217 +920677997 +74398821 +839587462 +1242534696 +1623863968 +2058787292 +1361107496 +66985317 +1445114181 +1210995943 +479219087 +1902212061 +363641257 +1800977008 +1139202988 +358044568 +943279712 +628471663 +1447720096 +875640099 +1705510744 +1909051855 +1359178119 +289940922 +281952277 +184615935 +1401363432 +384844951 +26120480 +620230245 +1546569168 +946798477 +694629066 +238672983 +41849526 +171009387 +149976627 +1402957022 +237994704 +1595090808 +466469317 +717213792 +1349819221 +830110575 +370707152 +341538562 +1188155143 +1313986865 +970010225 +488391592 +42143316 +528037321 +249959799 +1401321436 +817978243 +531912076 +1585937371 +71858028 +916757027 +1612057851 +692088273 +315842548 +411372681 +1386717339 +554515531 +453222207 +1557726726 +704492158 +1856179229 +1795721431 +152099318 +175164899 +365451575 +1501918539 +1005275474 +736158727 +1843457101 +45946969 +2050145592 +665983678 +534338561 +2092288909 +1194021000 +784298360 +1346126697 +2011999243 +1316210437 +784580420 +2083857271 +85483816 +249154624 +628461896 +401326364 +660527305 +2015179236 +955841895 +1113749512 +1425422314 +1660334053 +822445093 +1073660097 +1812433371 +997609992 +1439111672 +1166868263 +2002885466 +27786752 +862841716 +2048832436 +2077932344 +1528825395 +435687349 +2022737605 +575362747 +1219985710 +1221380654 +439878342 +388712499 +2005961075 +376251966 +474196315 +107632051 +1004713862 +875522680 +768159356 +872409450 +1831364575 +1881908868 +150348117 +1344214981 +556870313 +1224008214 +1009164704 +1554480306 +515636239 +28549319 +1409882124 +543422991 +891391036 +1311230912 +473871687 +272732783 +1746918262 +349125645 +848095530 +819420324 +1570506299 +1287973872 +1208132823 +1428983726 +1664225838 +1682329138 +1536615777 +521456053 +410368170 +157291485 +1393865503 +94249098 +2039200353 +1544213620 +1438464079 +448587019 +620738187 +300145135 +2003067325 +1136374426 +328694455 +1265465801 +1679797417 +1220085491 +429213066 +6185456 +1492818274 +28647680 +355311101 +193430156 +848068004 +1925817401 +1481404028 +2056200827 +1207317479 +998146219 +1591046317 +596449609 +1519602272 +2001414488 +753741094 +765984127 +2095663586 +645457800 +162714100 +1386644017 +1094044819 +783452287 +1686789152 +949628496 +1919826713 +2015483607 +67610649 +1452140482 +1088085450 +496823715 +1458325938 +433420076 +525471395 +1813637040 +626850232 +1373539399 +1591970793 +2108254261 +1282256578 +651804624 +958916832 +725819248 +1248254233 +331035456 +579750088 +2001995328 +1097019583 +527930026 +499969480 +1259733683 +1914574043 +1594014299 +2043185970 +1453879547 +396159147 +1815529035 +1321879507 +463769796 +1120185869 +262481309 +960593512 +431028160 +695901386 +1486064907 +97181552 +1322751618 +712120659 +1689152345 +1283522231 +1994377237 +193473321 +94955415 +572712837 +1441727555 +425990871 +1152462925 +1296239235 +1523010455 +1680392951 +1796208715 +635260490 +1447483346 +1242739366 +530962813 +753879246 +1638898513 +199008200 +2075758753 +2102668309 +1319194070 +190756414 +915778173 +1750222230 +886657800 +254359433 +1847403782 +61925771 +966480092 +1389072479 +1345448002 +813373681 +1582545800 +1440403418 +1386086519 +876789707 +1866394289 +391065796 +25545294 +1241921096 +2071458748 +1821754009 +1877181587 +1371458446 +917009727 +260660752 +2125337692 +408424592 +459668952 +2053612797 +363609254 +1778863022 +96885564 +1279387427 +1381601604 +983543364 +1533746860 +1081521738 +1045469135 +352743304 +323110569 +243433490 +1166116986 +1905656370 +1683836908 +404719857 +634962429 +1402747549 +795785653 +660507724 +497184998 +719760753 +334778085 +226882937 +2091219200 +1251787813 +487543689 +2069073244 +1660212405 +947212641 +1975202394 +2023821659 +578592016 +2072087958 +1155725439 +1960193620 +908147674 +541988651 +894231711 +1953616810 +894731956 +1217342280 +49566652 +2060848942 +975515002 +1733403560 +318085151 +1610477432 +988667461 +1113870804 +123501508 +1485852459 +1833631558 +458279593 +1712735396 +1777367110 +1710067406 +52795437 +1698956706 +1222796164 +1000008079 +1526675452 +1099134175 +1578600095 +1451279762 +107375966 +1391310067 +211943789 +649364618 +138058130 +18076951 +1544096574 +1355400411 +67643603 +1457461868 +183431765 +1801047163 +1775547019 +1793909197 +642230976 +741934175 +1917410705 +2128083436 +428082085 +228206651 +1693335184 +57965547 +1938274057 +1746130622 +1756922254 +1013586573 +598655053 +1136114058 +2112720749 +29771500 +439910173 +72613067 +1421081567 +651853962 +721977685 +1559139698 +669930913 +118590611 +767056461 +737574516 +1576052479 +950488226 +391138031 +1204115850 +596913776 +1033369007 +1946050026 +366840833 +1013968795 +226648463 +595047484 +559820332 +284614011 +385837894 +158467306 +2041536265 +1399424467 +757122359 +1030166675 +1364661568 +786893859 +1470076848 +1437274636 +60491778 +2121930810 +11768673 +1619631476 +644378075 +130359285 +239204289 +1381952591 +1706411764 +1189692516 +1773090622 +763043967 +1786606292 +658975982 +561610345 +5963477 +1672944777 +788258808 +601010962 +85281461 +1072872819 +986848856 +243748767 +966925436 +238789675 +1000871126 +1997092112 +1603451244 +1787764985 +1319685312 +893242232 +1848256764 +1294132475 +905010905 +1320404592 +1938510550 +1035370190 +1559608882 +1172979494 +594298307 +601817750 +798586468 +1357342274 +240940394 +1457562450 +1918952619 +246903871 +983023580 +559727779 +847914833 +1068305041 +1632600599 +1834763689 +1312053809 +452042387 +2073553365 +165441287 +301650851 +1529520961 +1953206273 +1621336164 +275279545 +1653979389 +767984991 +1180290450 +826900333 +559011893 +68176993 +239025567 +1731991387 +662475300 +840843317 +383094208 +2019817574 +1081783711 +1840656658 +1791286545 +1328687583 +676196590 +203530676 +29118768 +1744501632 +1836131275 +1863882458 +909071793 +140690015 +1789952175 +1074513080 +442340866 +1171989488 +880235705 +2063677030 +1447269033 +386731446 +684178373 +480075835 +1213631780 +1243190267 +548252828 +1452657347 +827698006 +1210728128 +146017017 +1210792214 +1083062054 +1227800728 +903965225 +726864951 +409004663 +1580161815 +930395628 +438123432 +1177179799 +619043255 +154522242 +2086251592 +759733270 +1944474417 +1013281025 +1202074137 +968980257 +1893516730 +1118267519 +268765642 +132764529 +1802445893 +748841477 +1346396309 +898152512 +1297094306 +651570008 +1725850518 +360338786 +797587025 +789159085 +1443400841 +2025387754 +1693124310 +22782144 +286908769 +1125802477 +953177772 +725032201 +155498629 +1572221028 +879554443 +94266573 +184470650 +676545212 +1107547598 +1386544787 +1645525469 +853580681 +357328659 +1914291111 +986345210 +12290904 +515648941 +185257871 +910443416 +1812743247 +836827879 +488810286 +25598385 +1634414905 +1277969371 +1468999226 +1512319011 +823610033 +1491781371 +1799227780 +1949412511 +297475495 +376776334 +2104911140 +1869696523 +1256330777 +51694065 +2054167174 +1932875990 +1159241664 +1293228313 +1430917811 +2012822345 +1650556972 +1197725275 +851683907 +1662847876 +1713374216 +1036941778 +425807644 +1378633815 +1873769657 +914617931 +1404232200 +1360700914 +45103654 +725747779 +725536277 +868713688 +70045502 +377280410 +670642551 +367520997 +754056744 +628070043 +89733873 +2010387521 +679764108 +2143901047 +1795779863 +1839005772 +1289645712 +1079214027 +1704344469 +792719037 +129455654 +408544728 +308083265 +1842829870 +1445486506 +733890910 +1073980037 +1171772516 +1648508841 +330728589 +384989782 +1693612495 +1056476368 +1110526060 +414842535 +1126521870 +1487806470 +1085485086 +1494042868 +94379566 +1713555129 +1583776741 +2104767087 +245835590 +1580194140 +1753063303 +2084841362 +722356204 +684793682 +1641702184 +1515075241 +814249336 +2050246912 +1823158507 +509595558 +1348249771 +409565769 +1583575595 +372538639 +2058074610 +1914304184 +757528421 +1604203457 +823296905 +1868054481 +2019045993 +1949818775 +1208377303 +957047431 +1296377995 +1302756869 +523118913 +732671088 +1260040309 +768954503 +165381580 +865619964 +706312217 +887737785 +1550413646 +200530753 +255329378 +217179334 +103294018 +2078487885 +726774892 +1451543789 +340570006 +162866839 +1824082428 +251160968 +2077171023 +434127201 +1855364426 +752984280 +154698035 +1726926771 +555319408 +1363075338 +536490554 +1851697403 +518348560 +1059609467 +436884844 +1778388869 +1828563970 +602266424 +496525185 +387392540 +1490004209 +2046938831 +587923293 +1745333588 +116634517 +691217311 +1676337825 +843409409 +2142761100 +2016907832 +1006276248 +1819359880 +120585152 +935963623 +106003434 +1975949578 +1688947904 +260701469 +1555392701 +96783664 +1623776807 +2091883256 +1948481067 +2142125367 +1004009075 +237882263 +1773030588 +685089398 +840148688 +122072125 +1072481938 +182669249 +21527308 +1660405231 +1928002837 +138161825 +204138895 +1456857015 +981571234 +199416347 +1326281199 +1987847482 +2018776228 +1446866351 +776327458 +2124779662 +1275332282 +317791714 +237997483 +683241335 +414575378 +1861774290 +627640943 +215572797 +1856416010 +1631650019 +453455061 +1481962950 +169255769 +1293603749 +1604035076 +1241737707 +1476272998 +1625562384 +754659290 +1256792188 +1763724210 +958798185 +566165555 +597811796 +1158214533 +1892446754 +438175631 +1029507113 +1191829457 +1214503089 +1006803127 +319678091 +1532294803 +1244800610 +1002919427 +1946870181 +959091252 +1630560370 +14959330 +668023614 +1114726741 +468414391 +2502917 +1283982510 +1762018140 +1606537993 +378236569 +1090807491 +1084616729 +1132895860 +200116031 +700857291 +2091694045 +766281586 +1298669088 +1102424930 +511244692 +1736844719 +2131932043 +1703074149 +803864160 +991251522 +2022752241 +188675315 +88568484 +878188020 +2135545496 +1047659737 +361264742 +3021178 +1715683351 +1475991484 +471435570 +1718186268 +612490346 +85970062 +1177240613 +990726916 +1176777553 +114373695 +2123622776 +1376893584 +815230986 +2067833173 +2143175170 +2113900074 +1022774456 +506936214 +1703261145 +1007222851 +62526716 +359641657 +1998474374 +2085278957 +548316972 +2087042858 +815983329 +536378820 +987218947 +1177248071 +539399999 +555418651 +505755907 +1010835569 +126121271 +1118246254 +1096805631 +1303361885 +2108973170 +126099537 +1417735580 +2085112298 +1502993121 +85482918 +2005461823 +1498684644 +51899345 +880752631 +2005620858 +1755160490 +1887975483 +2068147574 +2114802148 +1738966209 +2005942883 +515635472 +1678525419 +674442564 +1052014293 +518260719 +1851690636 +1591414292 +1073679370 +209962895 +454766213 +1199800641 +1328209149 +1551571844 +355678878 +1289698671 +1677671381 +1773414458 +1227327321 +1033180855 +1858897377 +1085305497 +384381851 +1910796722 +1966058128 +242519061 +1518473564 +1706549963 +163182988 +1485792064 +1298032524 +21642223 +2001427537 +829074296 +696084788 +905958182 +1347335015 +400291776 +349888826 +273530737 +610254671 +804655039 +1473331378 +1938463821 +208743235 +1829010257 +1080678844 +1886414617 +1454941067 +160522518 +772111824 +1166354796 +1245828015 +1156493675 +929667870 +1064402495 +1399012736 +300657787 +623468811 +1562195724 +1786449851 +1921501335 +1583837948 +1640393740 +603091983 +132439088 +398868274 +1950426998 +532730864 +748757100 +76474087 +1142985535 +1553412139 +1549805466 +933965708 +1762155375 +1231332075 +2014644553 +1501086344 +538789494 +27683423 +125714520 +1705144291 +1273511438 +1282208195 +487328513 +190430285 +533737283 +787986300 +813899096 +2095933008 +426952504 +587916784 +1532287308 +2067346244 +1191008767 +1664726396 +318730871 +993952118 +49973612 +1067487971 +1070426205 +1192959147 +473416463 +472748023 +2126924856 +88088190 +1704080098 +1994085761 +1589174534 +95385945 +2021769184 +1714889054 +1800530236 +1147796974 +849613601 +140375101 +1338227259 +1383350884 +928361402 +4642708 +1331800244 +1355313906 +592559492 +716603904 +1275176502 +1783568259 +233846652 +1593907373 +630036729 +283820264 +513911697 +1700462935 +1476779412 +987328160 +25727310 +1456220620 +1075416350 +1729807409 +1302822733 +517107236 +1825193354 +1177108269 +84512642 +1478239942 +177421595 +934126243 +1618615043 +1515648854 +169993479 +399492797 +1520291562 +1501793724 +1754806703 +2112851054 +70913980 +882499558 +1748935666 +304760633 +328923283 +231488747 +588580897 +842834980 +1931951682 +2065360309 +1830163140 +1957678993 +1374097281 +758095842 +1540002754 +529436366 +1275203078 +1217712460 +1706544635 +1359715720 +548468754 +1883966230 +146358315 +19600149 +1252131437 +316351795 +419092947 +624939351 +1818145519 +26416002 +590306758 +1889059499 +908915560 +191758776 +46336484 +1237838844 +423247523 +634917382 +2080673824 +207715558 +552794043 +1763353317 +17910903 +1926891325 +373965511 +1557913657 +308844043 +1649168590 +628142469 +2015388679 +861400662 +1176611223 +1751871261 +1007758978 +1196211372 +856519050 +1324110773 +1615304319 +1481458402 +994772644 +1641720322 +2071765160 +736348495 +403152234 +116040288 +782684980 +1640991078 +539287811 +1417602362 +1574181255 +747003369 +1970396405 +1190050924 +764914272 +1749804082 +1564016435 +175344281 +2058648126 +1065701377 +803486750 +1926553157 +1927102040 +1980097973 +1530940770 +787377370 +1028825698 +239976173 +2111488143 +496646369 +1721434575 +958777139 +2138366691 +1645716087 +1695125634 +394035278 +1761756375 +330326966 +2035026356 +153560538 +1747929328 +1461723963 +900563908 +1570842086 +504291239 +1665478180 +1173162520 +2068307675 +1840822462 +1084326998 +986525404 +496825564 +863396507 +766143796 +329439890 +246853630 +1553521166 +1358265588 +486829803 +1517525661 +1854911957 +60780730 +328819152 +1845795001 +1706496817 +2023944787 +92346631 +1320769544 +206788105 +2127372987 +1474330082 +1954717434 +1441613303 +227410342 +1378075872 +1945904542 +1892888523 +403754744 +1866728569 +1586227337 +1488081743 +705770326 +2083052901 +203994602 +1471914122 +265009143 +450848232 +877951641 +1623274731 +937678035 +247993654 +1330703041 +998458765 +576812807 +1029014394 +557471934 +453273946 +1121361025 +1878241478 +660062051 +1101250364 +1205087913 +467295837 +395380019 +1432498255 +1845371709 +193800914 +1177903130 +101642806 +2060529483 +616646819 +1589724549 +618816161 +552216073 +1793719151 +2090730284 +817225216 +97083736 +821198277 +293016300 +1034761771 +1069191931 +1623719341 +2033220537 +1646004738 +505250087 +443208823 +2099278684 +1626611112 +173966654 +611857088 +580377828 +1379054567 +1079152925 +975757848 +664069174 +777040987 +1169558762 +1841972305 +878683793 +1082604597 +311135476 +320924694 +1701420759 +863351549 +2114643845 +1644667395 +1680576766 +64243933 +318382024 +1973593066 +1099005705 +1387573955 +1449828759 +984742594 +886095046 +1955078846 +1427951417 +837890082 +1434206310 +1601918071 +1449747170 +2014584138 +833488990 +381416448 +842858338 +1497558165 +1158457435 +2012417100 +1192046822 +2037141228 +947538050 +1503182298 +210582274 +501475161 +219050200 +177742471 +2146142556 +1899626966 +241986405 +317040932 +1725736384 +1340992110 +1704614887 +1028081495 +178251056 +443226285 +835676693 +1606202473 +1281116368 +122399355 +1060636897 +583379890 +2136983493 +1894125887 +964796338 +832358184 +1244200404 +2123253773 +697291636 +288763578 +2012911353 +1644829686 +1791945877 +76009979 +2146304847 +2010996077 +253752451 +2144963755 +1763139395 +495738856 +314521039 +1341392131 +1836730966 +2019135927 +221989978 +2014982022 +314878564 +1057666671 +1473700847 +1595994932 +1180066026 +386854096 +31891175 +1169565871 +133496336 +996687513 +2001924055 +1377696740 +972457639 +551732044 +1666460319 +837885344 +49078082 +1310922548 +913895324 +47899282 +1174434977 +1167647775 +45379389 +790090724 +1663386631 +359900429 +2131482855 +1352633949 +231552708 +205989185 +1220132323 +546431272 +1263655856 +546349522 +2142426205 +296238234 +933203619 +26833732 +1465804105 +1066699955 +1023521245 +1320244513 +296913047 +1995978884 +1871976557 +1963373366 +686380581 +1921054639 +1126812266 +1600275905 +1968953921 +153763595 +620440032 +2014333311 +943854319 +136343015 +226750092 +927853526 +1488976964 +458302800 +1133842711 +561625639 +1004734072 +250014919 +1107975161 +999676629 +546253153 +2041178780 +1026510361 +2012057259 +960395087 +2050031607 +1184818124 +1257308135 +1898526843 +909311033 +1073197853 +437423776 +682882024 +52526472 +2037699681 +504352298 +206290067 +510656065 +371201961 +1150144387 +646999080 +597952053 +2077997913 +2135976044 +1056254853 +1064356977 +550118035 +2060988925 +1314371896 +1658093197 +913181907 +1860625050 +1551788329 +1939692268 +1725198661 +364699769 +1842240227 +762533137 +1622007904 +1593283423 +1671844170 +547722109 +2030707199 +207242546 +600248581 +1920923233 +711594844 +806538649 +284095650 +1082796805 +1956683036 +931094731 +1680748858 +1887197301 +919587127 +589520063 +804070630 +1469705163 +503025341 +2118442527 +980314712 +1416207248 +1831583929 +384619393 +1208415868 +1409298942 +749319162 +903172448 +24348431 +223843418 +348972223 +1696192601 +771565528 +232195774 +1903435147 +1371814109 +5635359 +467546344 +30869110 +289731010 +1550343149 +1987552146 +1220825741 +1083608360 +1727265800 +2140412868 +1673128423 +383852782 +1462634383 +28670116 +354811661 +295465447 +1444877364 +38911942 +680084841 +505809585 +1448210884 +1429404003 +1408982033 +1472559315 +1653247422 +1757954256 +1021268268 +277329302 +1990150030 +777219768 +1649143411 +1995785390 +1244766112 +1680012522 +138032752 +647625613 +1520081020 +1358858493 +1731233973 +1099863172 +1351787713 +1256878749 +1483715955 +666938449 +1285548865 +1838527616 +962403896 +582942582 +1877439559 +1642488737 +1088752167 +1178166795 +924409093 +350250552 +503242463 +430172867 +2108204808 +1524510731 +707502169 +1950871190 +154246851 +209161932 +1799172932 +1399012963 +1889174454 +1937205684 +2046638577 +1261771827 +1148580529 +1630388902 +214151351 +352884595 +739784003 +1697867306 +1019823044 +2025332869 +1388911275 +1982226940 +460791803 +1118867186 +1477232030 +1549543970 +149550333 +254157475 +1899794522 +652792796 +684330342 +1860515682 +29819880 +1391832511 +1663903224 +184066731 +1600994443 +1315592509 +1583079695 +1342685250 +1105314545 +1482234624 +456973429 +106411427 +965139878 +671124780 +459296022 +1704923882 +221508439 +1479119066 +1582773103 +1610419714 +1313862358 +2043564906 +581803252 +643610740 +1445625228 +731353585 +897768215 +1197936102 +1384146382 +1582098557 +910968136 +1413966262 +826447420 +427387712 +1598032993 +279958216 +1742980221 +1033629040 +1622643466 +700811119 +368380016 +2079616895 +807222546 +1333519895 +603258027 +1266518568 +890960129 +824766466 +598153986 +326249584 +287702532 +1912016344 +222330842 +869505784 +408143437 +1667956070 +1600859370 +1305911652 +718408524 +837522104 +740526562 +1629376660 +104004718 +1566973982 +2056764372 +1702037711 +1846932198 +1652260946 +588183104 +1322092016 +205588417 +956563120 +1254225263 +1012810963 +142599367 +1857483291 +131845883 +1033559496 +534766109 +729999869 +1359809080 +822468642 +494532565 +1582139922 +1691974426 +902676002 +1102612344 +1145350148 +61104007 +1821020868 +1982872252 +801630569 +1302913880 +2086876970 +221120903 +1212194605 +1641431034 +2068053102 +716971903 +82130490 +1242661470 +922560320 +1038693610 +349403086 +1935371283 +1181292978 +59402729 +2067217166 +67368826 +594168838 +649733387 +1427177907 +1416637480 +1144265952 +861834181 +961128259 +2046941955 +1964446526 +2106478407 +2108045962 +1637983746 +1941867012 +762192883 +793413979 +1881260334 +983313786 +2005608584 +1375207720 +903883240 +575096839 +1457338210 +2146544711 +1497657159 +348548173 +348464149 +1285544794 +1529841151 +407866878 +1205278312 +1597209977 +1002035716 +1855011699 +876904236 +271189549 +851794003 +1738738418 +1232317808 +751252310 +1555701296 +1191312567 +711814624 +1046201394 +985695931 +1474007507 +1839615373 +719472618 +309837646 +1697740309 +2094680338 +1213720886 +125353500 +1404534901 +1212781949 +1623010659 +1753083074 +1561246098 +761071805 +1135440577 +1969112976 +1966350117 +585166906 +823665045 +1673878168 +1462071143 +1094854594 +378188524 +1053325913 +179688754 +1129440834 +461543561 +1371001321 +1841255459 +1507744955 +209213605 +1167779318 +1199876681 +928686223 +1477616964 +750133342 +875882913 +543854203 +875486843 +132934166 +1756636152 +351013854 +1886017240 +1170398603 +1112085660 +873974169 +992027931 +930952129 +1459141076 +1815692976 +457346650 +773728571 +763063922 +835535174 +1827054484 +942752676 +1964976008 +141114397 +166270350 +1658747819 +1648859352 +375483955 +679043490 +701252385 +1304170178 +9176806 +1451385728 +32569443 +553031009 +179388923 +165503610 +162183514 +530402777 +2051520850 +1332582117 +1642488437 +778011372 +177126400 +425956919 +89668800 +1992819377 +883303569 +863397371 +608399651 +1718838743 +542968207 +1551152328 +1536331103 +684082604 +1717422678 +1047595275 +185458308 +2092906633 +1726638765 +886710694 +1249593163 +1735815571 +190612774 +1282162606 +141362933 +370001697 +1447666216 +303546447 +900404474 +1351703419 +1636128564 +395409264 +2129714791 +1813254964 +821366183 +71899943 +1658590693 +1704669752 +935297314 +119506697 +1276024847 +1478265521 +1670659025 +664872302 +14864477 +1240598055 +1712467577 +200322785 +1186021040 +1291622694 +1087033479 +288130555 +879954618 +1277646253 +1570293161 +1021317551 +1647647950 +870475730 +1324863998 +400568777 +74695501 +813508914 +795978041 +56926644 +479280230 +1617344224 +128826587 +2137870924 +1174530328 +1064123901 +109893973 +303071527 +394905774 +1780552998 +967943829 +409770251 +873667405 +532927759 +610093036 +2059688445 +1824550453 +1697126516 +200335352 +557021423 +827289121 +1770628513 +1578338974 +327453424 +493620595 +755719324 +728022201 +568316096 +1569228238 +1524000242 +625242740 +2048508469 +993860818 +754069327 +2038895745 +20907498 +1818193228 +1306070 +323979025 +65615354 +1781859068 +1291922854 +475385605 +508042825 +1824850613 +1085478642 +420247622 +1501917419 +635121510 +620582974 +2058938842 +1462410631 +243727839 +1489794169 +1789864055 +737348435 +98029845 +370402608 +1305664531 +1667258084 +1894402850 +1930907272 +1568282905 +740780020 +537492951 +1459695002 +761687518 +208202532 +1461001072 +1085666543 +273817886 +1095376492 +230105750 +749203492 +1603419317 +2054956363 +1834682134 +2023666939 +1409390134 +322319996 +496766265 +1320845329 +1784730627 +740494104 +663155850 +1427111035 +1477842539 +761185695 +1797513643 +636023423 +280960131 +1544432846 +419447047 +1849243036 +137729218 +956939998 +1161454390 +899416737 +1165142530 +474971814 +1985083280 +1438960417 +1570348306 +67705382 +40680261 +1026283975 +2122661746 +1875362395 +902467266 +1384568232 +50198743 +1399233531 +557929913 +1834929370 +2139727636 +1221085763 +1114556757 +1470086527 +1982271459 +764586753 +2106109950 +115747942 +161535951 +378073349 +1964990979 +299265169 +1335013348 +978961721 +1198681906 +352672230 +1453933536 +1036281539 +1791632647 +876798194 +1103986921 +1832312908 +1903082170 +1079165019 +1560191655 +658065788 +316249604 +1610390398 +2057299320 +874179517 +1297836121 +2049543308 +2095265281 +264909230 +1372146187 +1930053092 +1029495983 +1330772490 +2045801034 +1191031934 +1708845839 +1863308365 +1490297104 +896375539 +694786439 +541495362 +1249047770 +1236327 +1577776901 +893196769 +878034521 +534280175 +578026030 +633633043 +1613445194 +2138217685 +1291698832 +1929694798 +1601124436 +1201514504 +656390668 +751476909 +1103574164 +604172301 +1016386139 +328236703 +386741745 +2045882123 +1659009193 +285059131 +1089430409 +1220371385 +883849 +432243865 +2116746924 +695670288 +973739228 +1218311046 +696906615 +404032481 +2111507816 +1574941136 +938312656 +542050198 +61090532 +404274203 +532784235 +1352789364 +186485353 +2133908671 +406820220 +842876021 +737901932 +1510394384 +1447048322 +1754288072 +1838631087 +1833790067 +1652686547 +1350156633 +2118849199 +594633308 +423044370 +2119733048 +1026877174 +392307646 +667919688 +2000616402 +1610618693 +1364826303 +257165235 +1574642861 +792283791 +1195477892 +2116693059 +853374323 +1599752095 +501993646 +58680039 +1786237448 +488418670 +465500259 +481629822 +1226320602 +1975894643 +1928678144 +833125026 +1667042083 +1614984564 +338327925 +869715068 +1586350115 +932961234 +1292759438 +1558599515 +1959838408 +1685067084 +79035555 +1812971162 +1148202129 +1443861858 +2070136397 +575361342 +88662001 +1118130641 +544570753 +942036325 +570399088 +1046564400 +1000716364 +209152889 +1534983070 +1466216624 +690782711 +613820024 +1294627619 +471977207 +1446945051 +814186054 +2086961771 +1785272976 +1683901122 +1525828238 +570750562 +829176912 +936944105 +383105322 +366760349 +1015979660 +48592836 +1514962478 +312357870 +2118729234 +2090323821 +401019872 +1089376227 +487410926 +1343056197 +1659775316 +1533975326 +196288913 +1868928205 +921474748 +1662505537 +412227268 +1535294773 +809649509 +884204475 +834756176 +1623835563 +823682599 +472545504 +1160253038 +202027189 +1043296067 +1989429950 +1138971295 +1426401389 +208706651 +7467307 +1474994226 +1723669130 +319825178 +1446239812 +1666509303 +720845050 +388132391 +6436581 +2063901247 +2047907707 +1540411908 +112706512 +1769352264 +314403008 +1775212050 +34095884 +1849697781 +437377911 +918300360 +536970309 +2061213474 +1741982959 +1009515814 +1073982864 +1944010148 +2052811881 +915929167 +935497795 +1331729622 +1124635818 +942965103 +659240200 +700821300 +1262790281 +2105480012 +219846955 +1983635331 +346128756 +226283537 +1900052930 +246552815 +1766695445 +2012759442 +2015905080 +2081098453 +1640487844 +2050000964 +1783312587 +2077865755 +820817676 +172799248 +1991595582 +415316987 +1182315062 +918094798 +211843488 +1087643295 +1834023965 +1147341283 +271889270 +811176136 +2090306386 +931129470 +1511997436 +1205613019 +889125835 +1731844392 +1041764702 +1235254591 +1958127929 +794333984 +1481807406 +1577339726 +659609779 +1350228838 +1510954531 +152613975 +1252746155 +1146783470 +82996083 +2073563831 +1319582719 +2074591665 +341397171 +354414133 +845202815 +553240659 +1442057429 +531743133 +1700581942 +1713946699 +1342919269 +1643404681 +497592521 +707433057 +701534052 +1386718356 +291793801 +1743298755 +474489299 +102438082 +390149091 +1956296706 +1679777808 +1049758870 +1159041896 +1043248692 +1202372846 +264304403 +42548514 +1285368929 +190384587 +1362131233 +1212476946 +531781758 +1716545367 +2057679761 +1085022417 +1011119148 +441939246 +638120711 +577582199 +1784858515 +134041744 +1075174720 +344807925 +835575797 +314409429 +636601726 +431390904 +788898728 +739039809 +821539995 +597711786 +271333969 +1871298866 +1756753683 +1314582661 +926188064 +2021058086 +1357131176 +64073345 +63959025 +571778761 +1276550291 +595740783 +140840480 +1186746404 +1680763200 +1151959628 +1628685651 +171400264 +1729541827 +1266060518 +305442008 +657232900 +1610868443 +1141017805 +971642329 +99986522 +1572408709 +1760541057 +839026331 +246465057 +210769196 +1110360300 +2117763923 +1967522879 +277459314 +896468339 +1841097317 +1634590490 +960541684 +1905056343 +58885603 +89608327 +353313478 +199726084 +1276354731 +2034076679 +1351685712 +757556734 +57993295 +933743892 +2023617253 +363435303 +1590976792 +1487002048 +1504453109 +415135473 +1586988570 +929378170 +28192882 +278531253 +1175843227 +238962078 +1388891554 +1146123502 +59001309 +1666350868 +2042591841 +1900098627 +1153457710 +855649877 +1657671322 +1212343313 +945258204 +2010984800 +1412069397 +74129288 +1897577831 +616271462 +831686022 +1955571126 +1550015354 +707819627 +171522782 +993508498 +47338028 +1675975891 +1408643971 +1634326598 +457870413 +1436836853 +1912857852 +1633713641 +1675798932 +1154265758 +632353495 +1734800241 +673132978 +527461689 +1487415220 +1826590688 +1383111566 +997602894 +891450353 +180886123 +861104047 +156036103 +255015411 +611198230 +772307565 +1086701433 +419285709 +174839271 +1794521061 +590808491 +1168347769 +1841859089 +119300734 +429508092 +1328702039 +577171147 +1866344945 +1094076243 +63401140 +1394660229 +100858353 +695754636 +981976823 +773991331 +1223216325 +321908395 +453098371 +458844243 +1319511290 +1344548725 +639730366 +33131689 +1500584828 +894745777 +644329919 +125408745 +1981447211 +1063615628 +300248016 +1628484624 +1654424119 +1468595785 +1322860065 +1773724853 +1898103877 +504078456 +203412353 +1616965174 +1598154700 +266813493 +864141756 +1699013053 +962568129 +1846118579 +325520737 +38300806 +20543326 +778619108 +497145050 +1340054616 +2123167833 +1136875416 +1373186305 +1476269013 +2031621194 +2017516225 +1601677758 +1865584757 +933648205 +1901925774 +1346585733 +440588677 +1223037911 +521962150 +66829882 +973658140 +1026040606 +270242235 +443139667 +476711658 +537055729 +1307281423 +28241064 +1499623858 +1005916354 +353761801 +1537924665 +1026459680 +1132380909 +2035069715 +219030649 +1108065095 +1024461483 +1592216954 +436850460 +908599029 +1462249531 +2038528219 +626700138 +248414089 +1792970345 +1973285871 +689002766 +868524609 +347764373 +755832648 +1842182749 +1373804980 +1026074884 +137838768 +1850516638 +1563130613 +1445120191 +1878757702 +915270823 +303552897 +85035855 +305711840 +1330012578 +1217416765 +193297907 +1549043227 +177998212 +1217759391 +993776533 +614848672 +2126358420 +308542417 +505893243 +605574911 +556956506 +151379941 +431377134 +1245959272 +1019904550 +779141508 +2001791920 +714603651 +5462840 +880383156 +852442420 +1855979478 +296030121 +150078963 +1587253533 +1211300945 +453631861 +1672289388 +1517012785 +1783644439 +742222505 +1710310693 +1185204018 +920220717 +780586436 +31496903 +1535069390 +759461208 +340039320 +2040962633 +1365036119 +896995826 +44858926 +1796413254 +2142955098 +1064763476 +428071114 +1997263371 +1779367128 +433533954 +730162879 +484325900 +142029784 +1026193001 +634404863 +1729283317 +90010298 +1088036724 +1254089058 +1607023083 +724197515 +1996311563 +1169850128 +1909401533 +769048633 +1950436564 +1940898437 +156634375 +562414125 +133454109 +50113360 +1927450244 +1030449936 +94972287 +1576379850 +1025921386 +1159735763 +2004450964 +875701109 +791619243 +290501270 +1605863989 +1275945143 +432531055 +484573342 +1910350007 +14330724 +574583640 +850903083 +1268419782 +34123075 +1575100599 +1117247698 +1203973204 +1337018484 +1886296331 +1006926120 +1130433273 +2042930706 +1569340245 +1263887383 +2093044066 +1349306842 +146853671 +40532705 +778203044 +1172775057 +1200268469 +635170361 +2048476167 +1991887712 +925671631 +1506856508 +1120349208 +1358202686 +1991429850 +883215567 +1372533411 +418529842 +1734118650 +493469545 +452652917 +1161735601 +1610717243 +1656626121 +351270438 +1349529926 +516068594 +1481703711 +1244976984 +2085408839 +598107446 +1190537403 +1287232033 +744961117 +1231070108 +2065435078 +1917736175 +283854929 +553121791 +1818728694 +128258994 +1478793422 +1178101554 +1248608202 +689512461 +1022047756 +2131823769 +2062045872 +1440577598 +1718458771 +408031769 +1893230515 +732710725 +2018749013 +1402372989 +1083981163 +1220795291 +1918441583 +418201226 +318288628 +1856366774 +1016308673 +1508826031 +996115160 +1761269790 +592412491 +914066590 +1531522317 +876267421 +1467188381 +1202767363 +1004526415 +798498155 +233385269 +105650969 +1488010616 +1255433025 +89991090 +1402572840 +548526975 +1808449861 +1810604610 +294273843 +393676938 +1681869975 +1696646832 +1477658101 +755181618 +1467604767 +1895859328 +1073470246 +1176487893 +764684353 +434812629 +25119405 +378470495 +1027225121 +939185995 +1909992813 +1903492542 +258890728 +965276528 +760535309 +1057388884 +1198661798 +866186278 +397915852 +306611175 +956177368 +1800488693 +855138151 +617143581 +1463609655 +1149411994 +1010820520 +997995982 +698575178 +340994973 +1753177600 +18696297 +89370653 +679164199 +1195184190 +854055006 +1113976828 +1220303596 +1232525502 +2141201949 +12005943 +995034667 +1897210843 +270896672 +1960311195 +510262504 +1328285556 +1011489345 +1376448782 +1726201408 +1318100521 +185142502 +1379206453 +25755024 +802286084 +695332460 +1175167018 +1813106604 +1693328442 +1873742196 +6617929 +1299022395 +1892438493 +95988583 +1978186594 +940139035 +950043589 +944679774 +12958983 +35085443 +938398076 +24964927 +1030120110 +688125271 +295861599 +842947658 +1198387776 +1624147155 +1854437003 +427352910 +1202864915 +1025053876 +612495413 +434587721 +1050808900 +1414781497 +1129920181 +78492270 +1080404453 +675764976 +1952234466 +1087022382 +1974787371 +1697189311 +1183010965 +1805490317 +489844699 +2133054555 +602686443 +502803682 +20656350 +1541084519 +527768609 +1050776461 +81726143 +823630208 +1893724119 +1280113919 +300293715 +1600677474 +1707466829 +1503158631 +478247703 +172478594 +1937746352 +1529056603 +1587260091 +920182885 +1607548874 +520180896 +1595947861 +1412299692 +1607203279 +1423251584 +962005356 +642730596 +1081258253 +1451850055 +628301503 +1683944697 +1954653737 +648957854 +1077545568 +334938699 +1699734315 +1159271711 +1158568907 +1445974786 +291901982 +1458862623 +899168612 +1999368812 +814537606 +1377416315 +24363758 +604800310 +758989271 +1611623850 +1524983195 +219054497 +2131804746 +973447409 +1631354189 +1591524377 +249215345 +445875897 +86771326 +1330473599 +1897725952 +715072829 +866934648 +1704896042 +1364030683 +1944480216 +2039834741 +916281350 +956268280 +1050920000 +214772488 +1248170262 +362298975 +1113941101 +1100055426 +1176836581 +343873768 +1124419185 +1781636891 +1102863039 +588559387 +1159136439 +1321917536 +572880485 +2132583848 +805788078 +16921215 +234315545 +1251663975 +103692541 +1564789144 +1001906280 +818765370 +284240144 +559318674 +35312406 +81236713 +451669767 +951593756 +1037504993 +1502589767 +1166366245 +138191607 +1864888743 +132823698 +1238247034 +894241676 +476697466 +215182571 +528394920 +1579560506 +803741958 +1687531359 +753994394 +1376622443 +1672631559 +1559782472 +1393543658 +1906947104 +663962800 +1497236199 +1324252601 +1665869080 +168517922 +1608492745 +77704106 +203830328 +1689729458 +529373873 +1155424084 +579750803 +2031963640 +174306681 +717942411 +1749368735 +307130379 +1956189445 +496126764 +783827846 +23888368 +1024521684 +215904704 +827630326 +564569395 +969899098 +56769121 +89717306 +382197923 +1450312780 +1996664410 +1046160723 +800065331 +1173433363 +564546155 +968583253 +634442461 +642250261 +1172413581 +176688271 +1171624134 +180354018 +756439075 +1056104126 +354660699 +1474381486 +657989214 +661791079 +1283087283 +1154115978 +1445618925 +1306975651 +31154014 +1661523629 +2134605977 +595723409 +483939079 +43891450 +685440715 +866137002 +1494204230 +534621477 +1912297725 +146785914 +1708054841 +329360232 +1115369167 +195013654 +971610493 +140299101 +371701925 +2143234627 +320653119 +1128141000 +1051855106 +675313818 +455038838 +1709844320 +1337104897 +1738126121 +716476650 +635240174 +897618124 +747630664 +149280155 +884740453 +1343354073 +633219235 +928631904 +2028794788 +1499356237 +275352486 +415932617 +1264170315 +422138400 +2123987458 +1593530547 +1537507568 +171517464 +417657393 +1677806669 +543219390 +413408372 +1998459788 +1671360390 +1465263478 +526289958 +2126399229 +1027624150 +1863394856 +1717041702 +1744100800 +351151382 +467176179 +344247816 +500431538 +1351916632 +1687601889 +1133650773 +133064888 +1568913029 +485523362 +408417375 +1984845647 +1749693677 +830555775 +1961349457 +1195740577 +220579695 +2132866922 +1613397970 +1898386364 +528602664 +2026806342 +1749362504 +52479406 +1344586173 +128168815 +31394987 +224726675 +1991563671 +1748436690 +1968827476 +195231405 +68129221 +165591644 +695662943 +1420045853 +1853193534 +1829313716 +1553110742 +1274622915 +167353431 +1961528117 +1111984914 +1917047108 +644600244 +925850724 +965304037 +865179940 +911233998 +431218359 +616082656 +1439836662 +310541054 +217961513 +1492316068 +1655127227 +346130328 +1523711056 +1879853902 +190210351 +1124664098 +1701197730 +385441756 +1192793319 +1866789375 +1081104700 +465355524 +1572499261 +762934768 +2018466266 +699638528 +930288199 +1832510735 +1811623443 +699851660 +329627332 +589990519 +1665155697 +1194807272 +1501224517 +2096374057 +1810889928 +793577531 +259431463 +2028851441 +138409951 +1914558690 +227498121 +1662121007 +1646928944 +417708472 +639301457 +1200643027 +803150229 +1832094776 +919948754 +1884254929 +149966653 +344964367 +499706049 +20949271 +1044602895 +1429994249 +1853460007 +708742690 +2129845909 +35603691 +1298733209 +1647517958 +1230410963 +652474078 +1596408367 +893817243 +1446051609 +1855839830 +775185037 +1584461561 +1622914872 +1002683158 +1099098920 +1122360169 +1420391631 +1738400378 +175519548 +76058212 +1423011506 +1095468302 +1960313141 +1572978159 +1440432669 +312535542 +1593927431 +337551916 +1742529791 +1299903790 +1046294607 +1724892052 +1335507481 +197544168 +1224926363 +418434796 +850018247 +673851082 +1312252039 +148586208 +382207265 +2087437076 +1733047769 +2005122137 +942636587 +684663042 +979998658 +215544570 +275579772 +1155518206 +291602782 +1698591278 +103502860 +104432275 +1124085790 +1543935529 +416967817 +570529573 +1881487446 +12013961 +1870433363 +780298405 +1736906013 +1058457196 +977842573 +814348728 +1476891992 +1827860820 +1488199811 +641660383 +1976447029 +1870407076 +581613812 +1562011150 +1728045565 +1524250399 +99190544 +560560576 +1739794969 +374770316 +1716078782 +2031397751 +2073361595 +1819581643 +2135830026 +1049963737 +1216033524 +405314195 +1620493310 +950037322 +417328156 +1343443025 +1730335727 +6750522 +254416573 +560694653 +821099250 +1731308565 +241071825 +161815413 +225485300 +70035206 +2032222489 +807099112 +1632046357 +1612784407 +183865863 +1731236901 +25861335 +1923660832 +2106007218 +1741940117 +1807574935 +2031885165 +1414038112 +1795921313 +934365254 +482587989 +53751861 +407374916 +1432625311 +471080017 +1750817941 +1015477391 +477830539 +2005234514 +1576172044 +1298929790 +1589059431 +1817243869 +1460745203 +1814544731 +1887279076 +1345484045 +474160196 +1371841785 +810784804 +658026059 +955595038 +836646139 +434203244 +914118608 +431102608 +94294531 +798520125 +1845140721 +1890215845 +1732885379 +180245062 +1943967706 +2140260295 +1612870373 +267564075 +1743594588 +480864116 +745394615 +1601345454 +2057036160 +2044324405 +1042921237 +1726796382 +1357585960 +709982321 +1466591810 +555586357 +1184142517 +690949947 +1366371161 +1842168576 +1646544985 +55533652 +128888172 +413179946 +486636261 +223182704 +1211700071 +184293334 +2113398549 +797101803 +364538396 +1909882607 +789878450 +1977408769 +29963034 +385989391 +310789238 +775357649 +1987334845 +220341750 +672198406 +882772435 +1947138132 +2029784367 +1592754756 +1266246294 +437887076 +629413625 +1957196241 +1804258238 +324098553 +1456257579 +1859791890 +452986726 +1869437525 +198944503 +676169430 +933653948 +383237837 +642084331 +1730755751 +747776233 +404483290 +373150554 +577701355 +434446324 +759139945 +888490593 +1209803974 +598991142 +1108832343 +1882002380 +1481763577 +908486828 +1764303099 +927034685 +27249474 +54706528 +1556448310 +1984445716 +1858964766 +1880546864 +1293219647 +1571273008 +186049942 +1015173524 +1770217512 +862219372 +1948827472 +5971701 +1504303703 +1532099576 +753747935 +1908786993 +1905250130 +1331449290 +195749669 +516906427 +72456235 +1405553643 +1115897569 +1181288578 +1140072376 +450177499 +2089775406 +756891827 +1377212184 +2117024881 +811598355 +786176847 +1953986949 +523079473 +519240063 +1099722948 +2094352482 +705290005 +2114896472 +1717086346 +1567509377 +1916240296 +1723058047 +924329432 +1300856224 +329322334 +685632777 +1058622706 +1660771624 +881382446 +1575529133 +1733227859 +139452442 +543943055 +767032790 +1279524818 +994120554 +709324548 +2036416645 +223849090 +678865781 +700531353 +1010025937 +485369082 +1223610826 +1529266000 +1585092030 +1170479660 +87072357 +1552504854 +740082358 +1654581734 +1321261503 +315656758 +431427518 +474634079 +644979092 +1117060295 +1533256786 +158267069 +1998442742 +961302271 +1891494928 +2137895184 +1505245326 +511044070 +1269936354 +351882232 +1220368619 +1158869351 +575731323 +1899234400 +1859400704 +1585757260 +237119835 +935527883 +967539613 +1822211865 +2106007543 +1054611970 +1227233072 +698606254 +561710057 +401010927 +1014263012 +993137575 +875645006 +1659242104 +2110197871 +261418144 +1817509173 +1961156965 +1222720416 +1561520454 +1951568501 +580482094 +2072564524 +1074021207 +932364327 +1145449495 +85406910 +1508095650 +897200248 +1944807615 +946369262 +1134320083 +732851850 +1913908875 +809048300 +691375745 +821037198 +2036281372 +1389981999 +1382747255 +289808651 +256761363 +228401182 +1165453658 +1916003468 +191115405 +1426871802 +1586028993 +4788722 +502108570 +1000065799 +1956357223 +1082590665 +925146676 +882894782 +2014954992 +2070596171 +968301693 +1375566994 +820312771 +765625660 +174452608 +1954632854 +1498477510 +2088361484 +616197507 +42369607 +761915034 +504995231 +1432351607 +2144662289 +794803883 +1689112970 +225579823 +1960257541 +1457632790 +416695229 +1239645695 +896178136 +421483951 +1741754266 +1896243935 +230357527 +676861283 +673906963 +1113252309 +544332627 +597019487 +2081554002 +1919899621 +1417332258 +699696014 +2094352229 +1224481465 +50689876 +2035230065 +1840678972 +93059484 +649661451 +198190555 +1525411091 +646840092 +992994438 +1067040413 +872419916 +805768331 +377189556 +1289115145 +2045414027 +1273367692 +1710599096 +1639684645 +1022127979 +1940956623 +169062280 +1696034943 +906725285 +713394907 +145570782 +840795639 +485810880 +1562903040 +1540491654 +432679461 +639900857 +1591181530 +320425879 +333096181 +1684241014 +970087330 +531286737 +1062168457 +1616927423 +1524281175 +2129208871 +341863691 +182565859 +358914779 +1630978836 +80496238 +1632282471 +1194094284 +1720180883 +506926802 +987567260 +1889243163 +55478097 +1894292545 +455154422 +201048879 +587604536 +940965302 +1763951920 +2128096190 +1373644763 +256369129 +1571794073 +1694070642 +589465311 +1108551439 +516674325 +1120752048 +23236249 +2133601748 +497549575 +4961472 +327981791 +680115434 +363876251 +1958960627 +760611672 +1996158722 +1005571263 +333308907 +355601876 +1993138523 +75068422 +411079974 +1739947420 +530222844 +612128853 +180068309 +1471188146 +228597125 +160680851 +697349262 +484966255 +1732474924 +243936256 +1074431566 +693542716 +760610581 +47699966 +716778965 +746728681 +545249541 +721740437 +1074710472 +1225364976 +1085616688 +886187451 +1985976648 +934291762 +1891758715 +171801908 +1289893638 +1737413590 +246870330 +1700973612 +1329877363 +777093175 +165618818 +1509945672 +100797673 +394215943 +1670626523 +798146935 +879182198 +1255617800 +1042083192 +1953613764 +1949160516 +1802693773 +2001313730 +518455833 +401938807 +399079624 +1240196270 +1476649279 +1624444600 +178329310 +215353083 +1462937600 +1112621072 +2107111798 +1634739508 +255031062 +1697041740 +1881609839 +1956004675 +879435455 +511219366 +2121623493 +241897479 +612017039 +368355788 +1912524003 +1410163975 +1247537987 +1020658155 +304763519 +1053668103 +822335023 +2107457292 +907498186 +1340790856 +361912451 +1306577810 +433503478 +1838561731 +783538762 +611832788 +2053914814 +98992714 +1724453860 +2013542964 +1733732223 +1979484922 +1563101056 +1467858414 +1788005949 +295052864 +1979077780 +1762145794 +536950343 +443611171 +2130501583 +301990698 +1853775146 +1230555922 +1322648853 +11055017 +136740377 +2144983876 +2118512310 +1044238563 +1338291084 +332941113 +203332725 +1771794562 +24019196 +986871487 +236143702 +2077934010 +1085864202 +1960597562 +1943993326 +672112777 +1792598837 +1359610735 +2139971191 +1433121138 +1654663599 +1971565323 +1047783285 +44130294 +267692846 +1030801220 +346120993 +2121467993 +113873494 +1668769846 +2132523010 +250613871 +1666270075 +2103551672 +1294852435 +857077511 +289009138 +1498185160 +481388426 +313028334 +337573000 +717532128 +243478697 +1423437202 +530646043 +39988375 +2095549979 +175761232 +1399599110 +2088037522 +1608882370 +906779061 +1912119197 +509182007 +950909356 +32328395 +1539983227 +1297030349 +6312740 +1653856721 +818316547 +2138835751 +1904470593 +337102974 +2094903775 +1051839380 +1194180486 +236429265 +402540892 +1675568912 +549457600 +740113892 +245617392 +792936297 +16067446 +776263435 +832924672 +2111617425 +952024667 +85040135 +2052171299 +413423390 +991819196 +1816806848 +922605397 +1942728552 +1849135244 +315104977 +1092275253 +1855447984 +1968961698 +1910591801 +1846800087 +1725948643 +100211127 +1794220215 +630304375 +1294391613 +2030649480 +1032845268 +822476877 +432623432 +1772959160 +1068094270 +1225559729 +1789026607 +1844357705 +2058484402 +1753160384 +648898725 +2143524537 +1657848036 +1062322115 +987860085 +1327171236 +1984927512 +783104990 +1028822832 +152548841 +1875380243 +736787169 +2121510540 +1638488396 +436103608 +1699975535 +1738699524 +82840175 +182796263 +885607489 +2113489656 +1215641531 +1708084367 +398629440 +841117043 +628694989 +1624189170 +482660002 +325569046 +1535189924 +88336739 +974467771 +1531230813 +1746184775 +2036789886 +371607250 +925872363 +1874233751 +1154712240 +1954695196 +2026782592 +882608836 +543998717 +2000809484 +373613584 +980102325 +1553301372 +2112313108 +1062942501 +1736097635 +850436950 +1028948509 +804255518 +411037669 +1427577949 +1645372561 +1039732658 +904283471 +2128032564 +1365301704 +291989747 +68885655 +192285828 +1823220560 +1815070430 +81592066 +47344163 +593459145 +1955825817 +1202056403 +400670693 +1835124762 +2084665239 +944669410 +1688450598 +310795176 +1924771736 +1094268322 +275624636 +840230589 +682882309 +1126061586 +1869179098 +1487137827 +1537099255 +1149273399 +985026741 +429348265 +2053556871 +965575657 +1794649970 +198062970 +1034461312 +1986935798 +2021283531 +702048094 +2068527864 +2068627694 +1295507239 +1876870034 +1123200449 +1696177933 +1564511148 +1060382041 +493363695 +1105478098 +1371177217 +270651783 +52262773 +1646801853 +1110882372 +735145082 +625379792 +832577822 +74799262 +14995399 +1981851222 +1059826003 +444343665 +1887924445 +2025401660 +91509987 +2085987415 +912379324 +2078445785 +1959787298 +1614427418 +1999490001 +1880931344 +762451009 +1728876387 +856648146 +311145294 +1145903887 +1917030187 +804508990 +103898338 +1140723756 +1075160773 +156161111 +640041961 +38559498 +891306193 +1265421753 +871137320 +966105455 +1280417153 +705504894 +2025931458 +1724760818 +445945691 +1903849470 +1816270805 +384449459 +668745146 +1747232942 +196753109 +135688916 +1599239295 +2077684454 +898139926 +1180632035 +786848952 +1209285220 +179052274 +556395491 +2013794210 +282950612 +1697119247 +941471336 +439111723 +189677560 +980030834 +1330417917 +1455099314 +1851168154 +149039724 +588032819 +409189401 +27487535 +165309989 +855135092 +1931337005 +1981580794 +1239584551 +452598504 +1581330088 +1436337661 +588287420 +1033085735 +1366538467 +1486427346 +66234122 +5903771 +548228919 +245286397 +562299262 +414539481 +528237009 +111934861 +1356010817 +967348733 +301612421 +188558003 +150283002 +1756711735 +2039726158 +299322726 +197260906 +301431911 +326810261 +362570895 +1156567003 +110663619 +196668041 +248667907 +563262123 +1777998129 +1685005568 +1151549543 +663600217 +904060387 +490493242 +729834339 +909964158 +1038722161 +975120736 +1472263420 +1453261642 +1503357746 +1584198281 +661788812 +323222831 +1885810702 +850346815 +473505833 +1495038790 +742589325 +772828559 +1692299696 +1044021236 +1099638821 +2054870592 +53104592 +1210302440 +104054985 +301772499 +1773564563 +1882053115 +1986778067 +777630458 +398169684 +743354806 +1268123700 +1128004023 +1653318964 +159362213 +2103124760 +978098736 +1612623856 +1458998858 +414813369 +126929020 +1782221689 +153140423 +977275835 +108243874 +1648179213 +1719865161 +881072433 +1192995262 +616402749 +1980711254 +1100382206 +669507341 +1043530046 +1204437191 +971279840 +669610961 +939006658 +810574259 +1447241420 +1337176342 +1553929065 +567881472 +317696718 +1059764381 +727243686 +273337830 +2037863117 +192383894 +1732336688 +305192838 +319312914 +1367074729 +458333262 +1296588749 +1475318603 +2106512475 +868970262 +208907388 +1152024089 +1485373012 +42134995 +104922647 +7396705 +1085665041 +1309359839 +978676546 +1755276003 +100882849 +1789250805 +1055033775 +1438059192 +1195696223 +1622915247 +1755755910 +107976956 +202675285 +2029093740 +2145840074 +395059179 +1613946780 +303549264 +714372093 +833537861 +761882526 +2010960843 +161372816 +720911354 +732447457 +370280204 +1872935443 +70336821 +412415199 +1977858091 +77733527 +1498080241 +1139734282 +1056410073 +1105872596 +1240617131 +698177230 +13422723 +531192675 +1893873453 +1636337970 +139464937 +2001850410 +1839013256 +21075029 +2000206836 +86588787 +1635021809 +156272452 +800960881 +321076022 +918154979 +664438076 +482448838 +1639066333 +1396885533 +852729043 +1364518128 +1467222355 +1265144242 +1194892571 +1544955882 +615740835 +187143205 +453882307 +1721613431 +1427760337 +1152059537 +1735036154 +1958953012 +898449343 +1223890477 +2098417950 +752816105 +915420085 +2119492979 +605539293 +1002008872 +1607031141 +761811745 +1802969753 +1928107163 +1679966724 +319924181 +263072354 +1171549409 +1716809715 +1115801397 +388583890 +1036548422 +233461991 +1583476461 +434020656 +849202827 +1770619667 +887902963 +423332610 +1050896356 +2039962500 +10885117 +862365720 +790928195 +1234775594 +813300022 +1543744300 +2712031 +785309354 +1799945 +1004720903 +244856847 +763611691 +660207009 +25480362 +296094767 +980131190 +288552716 +1467644177 +549457257 +1404354113 +1856228067 +1586005679 +1637816105 +1292220880 +2020026335 +339535284 +915356899 +760445650 +762867894 +1966253255 +652924503 +773753011 +681135328 +1443852698 +2008528605 +1494435350 +840113351 +2011240636 +132261056 +841913296 +868477892 +377117903 +1605524987 +1528684901 +402598266 +1901619755 +361332443 +691150982 +1221780284 +910789701 +2095505096 +930524703 +349311732 +1585837553 +75261935 +221854420 +1925372837 +990618835 +982300070 +540757083 +809388442 +1635224573 +1314510095 +1490523770 +931593624 +1175555052 +837475473 +1771706975 +1039312041 +969736529 +466136623 +1907789933 +1346854433 +2071661611 +1288991186 +1749452699 +1825797718 +1650323629 +293120033 +900094354 +413629682 +241141481 +1830619057 +762941415 +1826979034 +1905880992 +984795835 +1604868223 +749016179 +1967095905 +2145625307 +1558404622 +1454836831 +1312651754 +901444744 +238946807 +340723158 +1738920217 +2010653782 +1380035199 +561173099 +329306757 +1140341484 +1908027532 +253484720 +281849022 +1509996583 +2079282438 +1932172652 +1803116616 +831893144 +198318686 +2044258098 +515028553 +961260101 +1723753484 +273425898 +1946055936 +1181138060 +1022442077 +1765668194 +1179279719 +433363051 +1073021377 +344447825 +1334807796 +1311968184 +685170983 +926244365 +1175138318 +2065206183 +1487417464 +1504445075 +1058064019 +1247961348 +1757929796 +1339913042 +610474283 +1689728586 +1124602046 +266107252 +374138083 +1322920732 +162881702 +889166636 +136697186 +1886635186 +1162592534 +2082753122 +920289598 +37550964 +1700937668 +2099569317 +470914015 +626475397 +296533494 +1805721811 +1938443581 +981704478 +584482529 +966098251 +899427013 +2071899993 +323059679 +1957491032 +1172377694 +2080989475 +1149920426 +1782851977 +1623234413 +127038824 +2048959229 +1997372496 +1449959557 +64357283 +739055485 +1586656743 +1950992470 +1901648019 +1521926217 +723798420 +1939198983 +1075380238 +675884090 +262629351 +1701855635 +972417584 +2068351162 +1492815569 +1954122062 +505350043 +311430172 +706065427 +429766389 +634489851 +516072812 +1602144083 +567995678 +1665993238 +1237512412 +43746444 +1793032063 +1138987994 +2041118940 +1095507972 +1203345277 +632690777 +534681067 +1006854099 +386855149 +2056607284 +1730652520 +178570484 +984503874 +259052962 +441199835 +538875862 +1231470546 +362067350 +2031691431 +1038108961 +867417393 +195637955 +1744174388 +1297183782 +830127807 +112763552 +751844217 +1398123485 +1778756791 +1989356630 +1441869929 +1424305206 +980860976 +1335505222 +372329530 +36722605 +1968195999 +907010597 +1043576705 +207567500 +816134233 +626745577 +386137985 +1800638108 +885798539 +827337820 +192030322 +2117269085 +1189405170 +76238105 +1007894398 +2056822564 +271876060 +604585139 +1206522698 +1102003867 +717348691 +1958366916 +352643705 +348621834 +1800239898 +1794513634 +1772927040 +633617226 +982535208 +2145256570 +670339831 +803247560 +904783519 +1713916536 +1010815060 +1720917753 +193178465 +1396953045 +1374072213 +1078977004 +76807218 +1566102535 +1048762442 +1266212388 +1642340640 +2056656840 +1175551304 +1914216700 +513758331 +234590355 +868736920 +1231107023 +45473623 +1221380625 +1579728857 +1845713521 +868410611 +1205172250 +331847099 +1850945820 +1202945172 +1002186930 +506709732 +2107728692 +568619819 +1517524792 +1681162797 +761798284 +766994190 +907751362 +1840775289 +843801408 +326370249 +742054083 +2110013796 +1968710889 +651227275 +1138081453 +1735443941 +1164985607 +1372671808 +456697213 +248608982 +1418145431 +1678077838 +1828337839 +1116375304 +399004802 +886026441 +1448222403 +102466974 +2088971614 +302925685 +609176706 +2049216658 +871545504 +2126701498 +1582895807 +1633343789 +746212040 +343163521 +1326635430 +1590013448 +669533770 +2068689513 +1552543597 +490761011 +572433140 +543141402 +78721304 +1737418747 +1915813210 +535418518 +1986027729 +1186474993 +66012708 +1666881921 +155366649 +465017510 +405424714 +1603589052 +567484484 +346912680 +1906514737 +1176661190 +248645690 +630576594 +1155879041 +1831541497 +116436735 +1902091081 +27221370 +1443072165 +1344620882 +696755140 +1364278030 +749680831 +1187516151 +1936711170 +1292822233 +1266237456 +1526646270 +1061151795 +1801655974 +1365190351 +100143140 +1867668682 +884588624 +255509789 +185202545 +1290013339 +1859098841 +752687029 +1636926019 +1618129930 +1929348220 +1885571710 +101222876 +937743613 +1569629559 +217659611 +692351046 +1596850930 +1660731776 +2036971928 +146122422 +877526158 +639169111 +1333638574 +666753681 +1931991344 +452392382 +45916303 +845659491 +106564708 +1411106654 +945802631 +1974233390 +148211631 +1201312420 +11952287 +1438224970 +912927613 +764639317 +927667341 +383573896 +546503889 +665755403 +484796772 +1484247502 +87901315 +702456384 +29114900 +1684752245 +215704512 +2066086829 +1830874667 +1093230671 +557772292 +1017029593 +1759984352 +342279989 +1469421975 +1805900655 +1187939480 +1575986683 +1069523661 +2133742112 +1402736426 +1217735292 +1187570884 +1414688713 +508476614 +2100498498 +31844382 +1436143956 +336588746 +578348271 +2101899359 +821385518 +2062595773 +42317026 +1523841902 +2091710674 +1727069271 +1739546415 +2010313855 +1410460291 +685293438 +420602499 +280006236 +297794142 +762882488 +1749428212 +2103694797 +1950821969 +1177931247 +1025734810 +1937080433 +433184025 +95986455 +977167669 +1847872739 +604463069 +930182519 +1879717121 +2040607025 +1266771265 +310581745 +1995022737 +2088156784 +225693870 +2037339763 +1464515038 +169920896 +1616925387 +1056577805 +32751103 +879902030 +1741871243 +453353603 +1159908266 +2039665385 +1216236091 +761852830 +1995876534 +1019574412 +1939784078 +874127697 +809171197 +225484455 +970114152 +1786338867 +2073357194 +1574577221 +569037738 +1805590668 +1467700599 +1835809004 +2116172413 +1315239688 +1776482140 +194382635 +1205095803 +1093513530 +364303532 +674537542 +2607688 +397054635 +1554439572 +1744478931 +850408238 +566864191 +1636660669 +2066644330 +1328717021 +1485053555 +938735094 +1121017451 +211697604 +1747906292 +1346501907 +1181811756 +1386761511 +1272375453 +608905330 +1955799249 +930482473 +2076605929 +1644124605 +899171238 +1244361969 +1273123097 +1093553874 +301974124 +219152980 +1457857406 +976511667 +221760668 +1854912041 +383467591 +1966239599 +557836632 +950331782 +1455416620 +476997314 +131565156 +792986528 +1415732408 +1252582607 +1004684132 +1016155052 +451600866 +39012241 +255432915 +1723976320 +647917571 +63748517 +506975145 +577039852 +1707873122 +1406146384 +1821401821 +833512572 +352216610 +2123375945 +1052665552 +1810074016 +952403964 +1274426220 +1517502409 +1335871556 +1093182171 +2075339041 +138719690 +401115144 +404852707 +270284846 +1194101672 +1820585116 +1522867454 +51302156 +689256520 +1974468320 +90314397 +944689436 +1550960992 +738231968 +1008437953 +2057936138 +1315271820 +568827427 +1316598874 +989189993 +1402339999 +1668815484 +965082291 +307521903 +1331405852 +1917486255 +1581948123 +701424613 +1105874163 +527646647 +629280007 +1244593854 +928761791 +1034132714 +1514878700 +2122863463 +707234182 +890262506 +26681971 +1396490703 +717247179 +116996369 +193696491 +120724523 +855228337 +1202134444 +31177013 +23016510 +1770961871 +1347775887 +1012206503 +1025818223 +869107723 +1977288794 +1333340126 +53029927 +1747291402 +767804602 +754454541 +705681917 +1295451249 +1383734548 +1950275771 +76729392 +270383614 +1317670824 +52109207 +977617797 +60449682 +78791178 +226624852 +777696861 +195787547 +420321343 +898421385 +1051015885 +1622455787 +929598398 +1074032395 +1245934010 +129890638 +2086238898 +124268585 +998998361 +1916044045 +1457608712 +1052028289 +1515851799 +77929666 +1806482830 +74050068 +1373380915 +1042733730 +2024325840 +1450110307 +1313117344 +1194513016 +1502219514 +143251493 +1254962698 +1581010692 +369876345 +2032659560 +1776798240 +790197688 +783597297 +680330477 +265169827 +1713195695 +1754362872 +1511103838 +1843086333 +1693118122 +1635372423 +694601047 +1461678519 +945497487 +1746629336 +830046670 +1023427153 +1405628518 +904096739 +249324420 +300878600 +780938931 +1699434727 +1613995944 +1975451947 +1054170593 +1757247438 +1082930997 +487697638 +2127123783 +968106909 +117012230 +769837824 +1751704206 +797342707 +1035007651 +1317416254 +404221931 +398627841 +1013018939 +2097340053 +2034000265 +1707619986 +1411534925 +832014104 +1306765674 +94097947 +1855441258 +564910544 +998194686 +2104765678 +865789144 +1779133617 +1656716758 +332301441 +1607101916 +563403703 +2089548879 +542549266 +1051101341 +2069189014 +1510656175 +1168113571 +691543190 +1114876734 +1965456278 +1726550842 +284809340 +222194561 +2125178683 +1297828279 +172050967 +2011695300 +857964618 +1583585892 +696225757 +17246644 +1677683839 +404183367 +582157189 +528394878 +361465397 +1447946333 +160044847 +2018182155 +1780247774 +1767146764 +434102211 +1722313005 +162212382 +1485203552 +1644018372 +1672868557 +505833476 +188077914 +640261643 +323806106 +1914628756 +925070983 +546000668 +1892323792 +75415615 +718051635 +1756535444 +933380233 +154153879 +305277553 +950626877 +1831837718 +709460920 +1532784066 +212748948 +1070926318 +833246752 +372793796 +941624825 +466010878 +2139940560 +1375727036 +40840236 +154669294 +713446941 +1684858608 +1827537851 +1219280417 +1872936522 +320315847 +1543086523 +1640081631 +1245386830 +2089087191 +1384921775 +1320802445 +659655178 +993973571 +106699030 +813809057 +1299251125 +1057325908 +498163128 +2008712045 +442626326 +710912076 +932154715 +1275873078 +1083705872 +1873779541 +1741883957 +1076162784 +1102022929 +1782724193 +1230832078 +1815469870 +1320099153 +910886282 +887266639 +1045552027 +1231202129 +282869515 +538150010 +329105311 +224473058 +1923071785 +1649907757 +884128237 +769561709 +1756606787 +1697937294 +2068812834 +666449047 +48616774 +1930041231 +1109075374 +759528851 +714712299 +237464804 +1843234723 +441008192 +1979348761 +771913860 +1543031121 +1614589306 +2002745938 +1211017344 +787204811 +766148572 +2098283983 +1832756839 +1997350701 +233669850 +223423201 +178972365 +458142909 +2146494987 +1828880122 +1342271146 +768573048 +1438003261 +892724792 +689902234 +2104452309 +941341567 +472459817 +1066044035 +1700870418 +1187172116 +1303508839 +1396621493 +1628180308 +1135373953 +21051705 +1023727782 +602479611 +2023797644 +87261478 +1389684423 +642462568 +38061813 +1074957614 +492329622 +271731664 +1298380815 +671301987 +729874573 +1297392154 +352698461 +2072145719 +2065965202 +1790701722 +817386863 +608383788 +1747670383 +1758728430 +1080843606 +666230770 +1312115200 +120532074 +1969739610 +561253046 +1748712383 +957629915 +582304751 +624956517 +1560109526 +458618747 +712217995 +802310301 +1101081316 +750279808 +1877267915 +1593410938 +1022011472 +1028165083 +117229277 +1751886045 +178073589 +469927738 +1676548116 +96555144 +113145812 +346451332 +704938932 +1860816196 +2105179762 +1785782538 +379563318 +1269811315 +1906314613 +201819280 +1831064361 +1507543348 +1159449195 +265885464 +2132499865 +572075074 +724504212 +697234212 +1374385375 +1825585528 +1447514020 +1104169643 +1271512818 +322041845 +2132334726 +1388742095 +2073927890 +162924667 +1858669833 +1602992359 +259479811 +1971815645 +1949443691 +964418744 +1685148193 +1907139805 +602717634 +2064711512 +1029467472 +361548599 +119047144 +713048185 +1869091947 +1278496340 +978933650 +1854108164 +1850571414 +1703437862 +403858728 +1077473141 +1381539742 +1851372749 +34159136 +505568912 +25930946 +19010214 +1894311007 +2099858836 +181934882 +1605497192 +1555367547 +441414693 +1429829189 +1357327590 +1405833437 +967493735 +1116983748 +2008551072 +884721599 +2146451220 +222616023 +1003768743 +712015758 +2091707971 +134781435 +1690949408 +1798332487 +1985352849 +1246903622 +54707568 +915342343 +480959716 +1906080317 +949501479 +986528628 +1932011263 +968511694 +733355987 +1884386451 +1150446576 +191369531 +1292270351 +1591861269 +1621198720 +502114293 +850211059 +441208807 +1619098041 +711278483 +1325930406 +1618065614 +933894506 +182215502 +182597724 +878118829 +316996937 +1873547132 +528967669 +154866139 +972967106 +583675237 +1070208482 +1453926822 +342271906 +2019709961 +292971802 +126799521 +840738007 +1026327789 +2011185972 +1991184583 +1217697320 +1155972675 +1435562205 +691412392 +1658086969 +138289616 +1132621200 +1129701362 +849568099 +311067958 +600283328 +1783462605 +493283460 +782881052 +514097787 +810280398 +508944536 +1043065456 +965146537 +1481911642 +1626740693 +2035355019 +788354816 +1969012599 +1907581332 +1081326618 +2095812120 +600835692 +2107654407 +1959514444 +444536627 +1177868079 +968003472 +1880098832 +1869280472 +478606793 +2018388448 +854418024 +1608308155 +720472899 +1165485982 +61107836 +356451857 +1658769443 +843988888 +870549644 +321566193 +1352933425 +1913615100 +1286712730 +687361419 +1392872145 +1174584101 +1475716236 +1214401096 +934681785 +409559206 +1162729568 +1535517477 +369729966 +974760364 +1980054105 +1547598045 +1942763836 +1712669289 +1269394869 +273886981 +1583574090 +2123812893 +1882195137 +156563341 +1141815228 +1943302973 +513015198 +653101023 +639808213 +1383564842 +974667216 +1992741638 +1149696294 +113896298 +532619410 +395084791 +1288480399 +2008335646 +1609485887 +75678536 +270411204 +624731807 +1611196014 +640141170 +1599492172 +1443766471 +40255568 +1394772360 +1008952112 +1309650437 +1668659342 +445042554 +1285979683 +1403370831 +601605896 +280311263 +1199190156 +1114621094 +933412286 +1838998369 +350702289 +1908079502 +1684256360 +1500398583 +2021975800 +69392122 +1895483375 +1162972551 +2077727768 +1357485614 +1238651087 +200655324 +1982217422 +702363453 +840796495 +1434225946 +2146129924 +881052063 +681514658 +1007598389 +43218852 +202690352 +1452640943 +1329198535 +1606061183 +2054246839 +1609509798 +657767691 +1021384286 +395438436 +349282413 +1372086575 +156034290 +2033538773 +725001510 +30526442 +2102930895 +473001237 +1193498993 +2033175015 +1830486852 +284666433 +86346691 +1665220626 +987029886 +927143186 +951962924 +985676163 +1808195249 +1633477582 +1993274552 +1851414102 +1836167935 +1298431847 +1033128989 +1294745470 +1205195039 +495155140 +1952513162 +79095677 +890593576 +154311927 +1451182252 +1046627867 +40367052 +28700114 +1077154309 +2143297947 +501701352 +123169655 +2028989314 +184704556 +407836088 +2115336005 +1849925182 +1394865974 +894995544 +654404458 +233058489 +555707145 +140398392 +78849393 +259637599 +1976566327 +1377281241 +1292766589 +1123828150 +434992632 +1787921729 +928857664 +514088309 +531031657 +1083169591 +1965270561 +1577659524 +1123536643 +1993970675 +507330186 +1119350942 +348188379 +630499841 +1000856608 +532892935 +1038335929 +968708965 +235334469 +285718255 +1863704509 +889738927 +518776745 +271928007 +1030137320 +597626138 +531565606 +859219999 +1974907379 +1824332195 +1983048149 +262416363 +1464770276 +764422165 +776504672 +1995801934 +1847591756 +594291585 +1425977810 +823644751 +440778613 +1933307996 +1942995693 +788966992 +416324189 +796368653 +1321859928 +1454660118 +1765077619 +1557194397 +1740378374 +1481298480 +299449677 +111671471 +1753226487 +1329586997 +709297609 +137308446 +41323348 +536721341 +1961640641 +2024371498 +799137704 +1278927270 +641310015 +1575642377 +1127245556 +341418124 +22450314 +405739718 +1165062875 +463228927 +191564067 +960574921 +1252195920 +607888256 +1756943574 +426572200 +2062548375 +1374537545 +1983766597 +1655443101 +708352378 +135732626 +1767114572 +314095217 +1465319623 +328928533 +451403663 +1506642972 +865649874 +265560657 +1383530822 +1664787579 +1544487927 +2024840837 +1092946308 +524249835 +218775313 +1115396622 +929989553 +1383838189 +1578625550 +1121553620 +196929462 +683337822 +1729441877 +1953873036 +1109910022 +1644506604 +1180926934 +946192971 +1152466057 +1889279312 +1081925598 +772096981 +55890881 +399761573 +1101025514 +507294545 +1906404545 +1966675389 +772855202 +1142451719 +1483979320 +169859481 +1019808909 +429441980 +694109316 +1238584222 +1544838602 +1624098869 +474938763 +975980504 +598168842 +671868225 +1659318326 +180127071 +478257614 +621744700 +1824633675 +1659184548 +1567937672 +829616084 +1400980212 +502379622 +1601713065 +1456871093 +902141195 +555254931 +1964165638 +661062093 +374446672 +589537192 +1803513812 +1858425992 +759396673 +675839073 +140384324 +1453505989 +1914423296 +1685222927 +930121211 +241878411 +513719783 +1528290053 +913746637 +25554462 +1708417124 +1392004251 +647299162 +1385567151 +903705151 +67753186 +67699587 +157201715 +570132808 +1669412652 +1614072808 +1472274004 +77183935 +1430754799 +2133336097 +451630608 +2020291991 +1789366261 +162572952 +632205017 +317721687 +302957277 +2085711006 +84661335 +1988180204 +868348569 +326539746 +354416339 +249154974 +1240286383 +379970801 +1957572098 +484806986 +1027269964 +1195655601 +1388512137 +1095023150 +1263355188 +1545713852 +1665155959 +785284192 +1012303013 +989946315 +862468128 +295574164 +975798764 +1314098736 +168382507 +617681377 +1476671688 +800587524 +935403064 +1779628965 +738814883 +1020064399 +1620325521 +1607163452 +1346604146 +1974741861 +1856318427 +439406881 +207229014 +1666406877 +924213868 +1234498978 +714578831 +165242357 +182038481 +1977934019 +1710956210 +1847194440 +615734564 +575775575 +689657107 +1478202692 +871349739 +1665455871 +644817780 +1039732246 +135653600 +2121489468 +1840319771 +1071056665 +1753634786 +431651006 +2091121064 +1226476659 +2038814458 +1290241562 +1053734872 +1747649237 +1729648444 +1260963887 +1266572467 +506378664 +347979217 +1981151298 +671621021 +530017698 +1811601669 +235093583 +229728490 +279852585 +810869158 +919385597 +1758055277 +1682218897 +437357820 +255389409 +574467496 +573011421 +229395230 +267303619 +1644068086 +1983030016 +698954625 +1587705502 +1062023027 +590285435 +730463417 +2115757900 +190451025 +312628213 +1229238139 +1457023492 +819006877 +1577217356 +1290691142 +1490627898 +2107235055 +954809163 +1725721482 +189479897 +1234661749 +389106992 +1108865495 +845233378 +2071325890 +1546223315 +1100622788 +498309738 +2119234736 +1330018018 +765613357 +1615819174 +1165564386 +1464567982 +1056041029 +80103765 +2054853417 +1786504446 +48378017 +97820794 +2099132659 +1277616156 +1554844286 +770655888 +707349865 +698051780 +113800138 +667101272 +1652860944 +1839521620 +856581169 +740039045 +81144965 +1965446664 +1585272423 +4987207 +1364186332 +538411563 +503296945 +1335937420 +1868429581 +1268910302 +804272947 +886510319 +585994636 +1860313976 +966614085 +493364405 +1499334774 +1014992102 +591185200 +1450983785 +145124611 +2146029486 +74156025 +852474476 +696597619 +187956163 +1519575748 +201974915 +2027477784 +228673269 +942013960 +2108622749 +46636286 +379802735 +2113609956 +1410822618 +918214299 +469423253 +599276390 +639160232 +1738333555 +1403549337 +1525670552 +176844543 +1116379665 +344800989 +670208948 +468230791 +1359793091 +1261394148 +1919214576 +1504917702 +1259939987 +1993370601 +209908530 +1956537606 +33843117 +1729484278 +11028873 +2061320901 +1958157548 +953042833 +2022460002 +2004793834 +1332845568 +1988586310 +1268132804 +103576219 +310525915 +1867409194 +742736452 +2048859470 +1123474884 +120923356 +78220365 +92370901 +465724345 +748429313 +560601693 +1825517436 +2009823462 +332332621 +1182951491 +1122279801 +178219575 +1392860021 +931333759 +212062692 +974860652 +942362632 +125899945 +785534552 +1895405465 +876299 +642844738 +1080767385 +1989462609 +1910977542 +1184343605 +152504876 +1630903088 +1927080057 +53880698 +606894324 +2048003413 +132101063 +699265226 +366244110 +880530376 +1259866919 +44277898 +742870190 +1592199540 +1227229389 +1865149991 +1770419115 +472605763 +649000102 +1982481807 +1447466415 +1591362734 +2108381752 +85517319 +1339284551 +2109258051 +728362057 +272568289 +1951237012 +491855951 +1456911894 +2103741888 +2122759039 +1236508303 +10138938 +582169716 +1137028068 +142240001 +1281434942 +1503272178 +1022770378 +393818213 +1547550076 +1765640568 +1986017753 +627295818 +1483306912 +1608953221 +1099901581 +2132307014 +1443951380 +399884348 +1576186101 +1404849485 +485401667 +767987004 +1366623888 +1213763724 +1040555293 +1170377253 +1705619675 +349983539 +1126635493 +1680895066 +1586491842 +1136774432 +115581134 +576036262 +1279014433 +1397016076 +2079308440 +154301163 +1790834289 +1479374869 +1919941732 +1629368395 +2106670687 +1255764996 +1090837968 +1059088620 +1240588362 +387305700 +1458972968 +669290815 +1792155185 +1944374635 +1437277820 +1011295426 +1010654711 +330349465 +34189031 +568790738 +680333005 +1160824524 +102202156 +119341199 +150115308 +217783291 +695377462 +1429129742 +1614799367 +627202254 +1583430905 +1258150009 +2106577123 +1355888989 +740034756 +2065764162 +464170337 +1830872724 +977369134 +1704758700 +70694776 +288858454 +226565867 +1862849962 +85749441 +1663843687 +726661740 +1096404152 +1994193153 +760850771 +1665194890 +527042510 +1921675295 +1767397047 +646383709 +2071790604 +1985180338 +1341761171 +1353436698 +1452496057 +1968963426 +789383955 +563162418 +1928056901 +2145272945 +1303197174 +1846337416 +461959634 +986586250 +676222902 +19234686 +1057281027 +965081357 +245800554 +772647341 +1050830798 +1909644241 +1499309081 +2147234951 +1756353746 +112676204 +1664946193 +135912608 +2034351499 +1284859592 +782296318 +1958658455 +1122556282 +2124057489 +1164611505 +427568692 +1945537267 +1953995461 +990731110 +1726110521 +1951784758 +146444637 +1424964289 +266260744 +1133030887 +2101187191 +285495431 +42828266 +918784900 +531295985 +815475607 +1969615699 +293456578 +167301040 +1969367002 +2049810325 +279977244 +1486829547 +38239285 +166845096 +624205492 +820535603 +2125503551 +1746761774 +797109445 +1142631409 +26846818 +595163064 +949143222 +1017577929 +173789937 +753444332 +1164022566 +1598754226 +1019705076 +149569805 +1552457770 +1305200507 +192398072 +323759022 +1836496492 +1007873679 +145891073 +2129953071 +1175174720 +2115258075 +2032279748 +1455151964 +1454603975 +2070519033 +1621997060 +2078809467 +743570989 +1600016964 +1678087593 +1540680434 +595164725 +1704934412 +2135843498 +1544307947 +575028693 +162149788 +150268631 +1739051259 +1760904014 +1169973707 +1888621064 +1165878136 +327690567 +2081019136 +1489637159 +16703411 +941409168 +1635528232 +2146656482 +2116583888 +1603302660 +2031452582 +1424252204 +910422987 +1954487968 +898765617 +841748806 +550575309 +351298933 +372352751 +2091255743 +946463658 +2077287163 +2079615593 +343287957 +504832208 +94281733 +493556588 +96399819 +1855185748 +1663530295 +1985020884 +873580236 +1991220862 +1918556372 +215733747 +2007924274 +712481892 +1851261980 +2007097108 +681582132 +1307080992 +1891066043 +2105834337 +70020331 +1698070363 +857116306 +911769137 +101162024 +1208415239 +1284121888 +44934119 +7395249 +1213925404 +2124549712 +350683206 +1718757612 +71347798 +844239794 +1815157432 +1926533546 +360286441 +1652694668 +652630134 +204023656 +1423767392 +868363882 +64464282 +2136249285 +572142214 +2071561390 +670347769 +1879223206 +1815143785 +628698458 +1949243537 +1365730500 +1485814764 +713529026 +1466892524 +546746355 +1997650914 +1511826643 +554141604 +1064092670 +1488892708 +904824810 +635366635 +1560240506 +1749064604 +303040419 +1339290404 +2109351046 +1955735087 +1991920538 +165891054 +1232018831 +712800772 +230355336 +1220784468 +1284942986 +154433078 +1891132238 +1016682544 +1969576864 +372347048 +818442433 +1187823716 +1858161813 +1531971459 +507232593 +257424520 +1382138726 +2019059236 +811566125 +298747748 +1360468296 +1716390935 +934114383 +773225154 +1317971892 +1237154802 +2112515558 +1279839290 +1045406241 +1956952449 +1445730344 +129941425 +522269573 +1676085680 +1350725893 +1807212560 +1830518758 +1094374483 +676411456 +1652611974 +1466721532 +1494853890 +692952043 +1177399697 +879341701 +1200184636 +1434824217 +113996779 +1071760224 +98906694 +412744528 +284744873 +1815297630 +1346858911 +1057970027 +985785874 +436530066 +1023001938 +118141516 +1481936307 +832470739 +1563871860 +1611877732 +1354740312 +1092473892 +815119978 +1014469224 +775509002 +1909494461 +1690880681 +280637329 +1228732345 +1038250923 +973589372 +258648394 +1917592624 +26290360 +1693472612 +2031589404 +1098050584 +1792379306 +296850284 +1382795457 +1460193288 +1643709195 +293281837 +298495514 +2080239261 +1316283775 +416637030 +1414691921 +1270866 +1980508890 +879086005 +1356011178 +925499134 +1694205983 +222996755 +1701008137 +1456216797 +1913877436 +1981645466 +537465494 +804644711 +807751190 +796113889 +574753687 +834041550 +342102853 +458859443 +1932092134 +2134482159 +755709727 +1167403944 +1447191800 +251935275 +1460685781 +1745687314 +184690888 +629485908 +14840697 +1599382809 +630756774 +1995349587 +330985167 +1986767952 +773365074 +2025191150 +62281059 +326889563 +1333924299 +1976158495 +161051381 +1871389794 +633319558 +968802571 +520020035 +1208073246 +1802844121 +862122888 +1666932689 +1587452607 +849121399 +275158769 +607372903 +148829551 +527094044 +2068058684 +1894516866 +711784932 +550060944 +1909357563 +163684094 +1180817718 +1757223502 +494669261 +1020102023 +383104928 +372376763 +1082383082 +709994491 +1706301063 +911057930 +871045872 +1430207209 +1544377488 +1839848443 +1950227244 +604967086 +1495208916 +664866484 +124416128 +935177876 +1513987883 +399574897 +1542550779 +1662817435 +926668941 +1463125816 +1409850653 +1638453873 +2013186760 +1171724568 +1802137967 +1046520831 +781464422 +149323580 +2066622854 +1164569351 +521700344 +1001522288 +1874563842 +80517759 +1912580218 +598126067 +1510724968 +1309474059 +290490862 +1313468564 +1914441145 +1785699779 +1978335048 +2038857273 +573394007 +1344839283 +290948522 +2115944786 +860173070 +1217617463 +1431586954 +122540075 +708587689 +1297290067 +1294264643 +363242008 +196327250 +2075729066 +512565589 +115466456 +1092814769 +1034265933 +1116988744 +819894963 +1114783692 +882085315 +1418021030 +478025012 +44075726 +1708511893 +1791493576 +1958516871 +1346728024 +1622344976 +1849890497 +1920122031 +819700611 +2140839019 +1888583169 +1679873682 +1210972835 +1172686476 +1802413757 +1919560524 +322492895 +949194753 +135318884 +518820145 +877440171 +647884473 +634286601 +1970254940 +1682150406 +1751275345 +642666255 +649450450 +485877012 +2060687286 +1127475462 +529952738 +1621715531 +771485390 +340985962 +820959907 +246346718 +43392811 +593598290 +1066047330 +36748182 +334697811 +598437364 +1247721017 +1507384287 +253367473 +1019797893 +1829877182 +1202562226 +1155116778 +201213679 +2080002397 +1803001251 +835500280 +1902773689 +1337668010 +439291978 +397956297 +1987118460 +925168990 +311159935 +967110275 +1455121729 +1932875466 +1738595665 +1796107691 +606351725 +1984942384 +1839500502 +1199950015 +903506066 +1876248684 +1534647826 +1501943430 +976486054 +894548466 +1755310903 +1996283947 +576942000 +810389482 +1003917077 +778155680 +742908231 +659434681 +1613655960 +498198273 +1997102691 +2052947938 +896154570 +1836737503 +830633281 +1207314505 +656364130 +138271362 +992706323 +247476148 +1934379053 +1599058048 +84934884 +1626395907 +651524415 +988440950 +1355160943 +38688593 +342900732 +184163349 +933237059 +2098211635 +32963649 +1510179060 +761117469 +1036880726 +140851092 +1504025701 +1696315407 +1754507052 +2002223974 +1545934450 +1659971343 +750894896 +1235188306 +343120976 +1958209401 +1891552436 +481392338 +803432076 +2139028584 +268287743 +255006476 +76479820 +1894683650 +906530891 +1064920770 +1102360945 +945219484 +1407821502 +1286524295 +1878456544 +1358549490 +1319487944 +1241151956 +2119666959 +208885022 +1382003048 +1476209012 +1905200430 +989026452 +1330949338 +1303651232 +501514147 +2081844234 +391355890 +844635123 +1892569987 +135424679 +1326027461 +548518415 +126969615 +1594315204 +803524891 +203449436 +1341515206 +1710055782 +1268370206 +296392504 +507791619 +528708061 +1582916799 +238764515 +1887257551 +754921095 +1479916471 +1859440862 +963806117 +714435871 +1188166227 +721522899 +1703462323 +371631917 +2025174132 +57492823 +305992504 +269046374 +902127946 +51078843 +404471053 +80671760 +599597259 +531440669 +1674986964 +1403122150 +734890105 +869018523 +965694285 +2003260311 +1165411027 +1473485904 +384484724 +600844178 +1712250419 +124258627 +1355765273 +1044683242 +1983699490 +172087742 +1759119113 +1024382069 +893610642 +1315097788 +1396013986 +771301126 +1372590611 +1702006490 +1040347500 +127234910 +1753085334 +1444818554 +207906670 +205198945 +1976259223 +1882893634 +1608321095 +563665680 +604428509 +426531732 +419442343 +1769839536 +1900017636 +803927068 +223200066 +1464784407 +928185695 +1578965339 +361984001 +764401537 +1751053082 +2121103114 +1788783606 +497180076 +1288717255 +1037313945 +1268481202 +513824218 +591836787 +161345054 +641059128 +197438473 +1606163608 +848965798 +402637418 +1434939183 +584375785 +2010958514 +1998604863 +1188804294 +290006598 +270563559 +811160183 +42540587 +1074490627 +1034360249 +1507324994 +2002676322 +465841941 +1869308996 +619594212 +69411375 +1842928462 +260894170 +566591451 +984162069 +1298208115 +1835072653 +1497986288 +1890044903 +1996417707 +2139045416 +2087483376 +1455097668 +840527567 +342637147 +742553203 +1424903352 +206112013 +593674419 +466223998 +496118611 +864237978 +1277384181 +538659198 +1938728605 +164260783 +2045984193 +1793921279 +630102724 +1767809541 +266031843 +699514099 +1463254355 +526926014 +1266105550 +299932777 +1825134129 +953694555 +1797919065 +1567695384 +802628614 +1789480833 +1507695113 +110242634 +482524752 +1850332260 +852795838 +1907428104 +2056444273 +1446470257 +226168455 +405079236 +163224587 +1503552636 +943738435 +2101953192 +1667813419 +842238980 +1748390823 +150432495 +462564873 +2014422667 +849946594 +1925819228 +393865033 +2116052144 +78268357 +71515514 +922263051 +1876187422 +1639210899 +1724891666 +1518184608 +999422364 +1835134300 +2000709360 +702270976 +540446490 +1760653817 +611231601 +1986916747 +1986822272 +1016310837 +2657686 +1342891260 +1960049272 +2104610878 +863221032 +654804604 +1705518054 +1013653527 +1117369477 +1572457073 +1863600122 +895705058 +1966322106 +1832168618 +973973415 +2037837620 +606948022 +702677190 +1529564871 +184356040 +73378150 +381503587 +2019490340 +2074087510 +1083774563 +412453183 +1687257679 +1695006164 +251886282 +1526596303 +563833354 +254543969 +722003916 +376398978 +211671199 +1585224948 +1031203583 +1917189253 +451394827 +1089412 +1342162678 +167511301 +896794470 +1161001136 +1999679920 +1870767886 +1051355109 +459144294 +425961428 +433436332 +643500334 +499339578 +814939920 +515507026 +425943440 +1898714483 +927960209 +2113201120 +1446237000 +1179846492 +1492313775 +2010070354 +1434390461 +66834043 +238985684 +1646061660 +1652058991 +1270189267 +1415767266 +2103453819 +1271278680 +610446296 +123481472 +20589502 +1771447433 +2123161392 +1891357388 +675318894 +434822038 +169835168 +1108755226 +1078322372 +669174746 +1923695146 +1593829399 +1095118187 +1674925982 +374305960 +1060835659 +973679334 +1554152452 +405665786 +836266040 +841059265 +472499830 +1075251724 +339637278 +2124558821 +197957344 +1755404544 +2080528992 +1469236024 +218367192 +56526817 +1489825526 +1989814625 +32204561 +1233699267 +517649871 +467026600 +1403534435 +1626405098 +1545348972 +2072709182 +1402616596 +991694723 +1020343721 +930058930 +1366000684 +2081179380 +1903738264 +772669488 +339361518 +592520656 +1613728754 +811861348 +1667772381 +1953366032 +788936522 +1865729725 +1561286928 +721981866 +1187482101 +1779654120 +778508683 +529823979 +1621985098 +810713245 +1763523246 +2139634969 +1277739845 +1019574034 +1618556419 +675605169 +944799568 +873689368 +1667299893 +1965143289 +1803748298 +885816929 +1898839021 +1560002915 +1658486417 +90716891 +5039923 +1124731523 +902578240 +1672812304 +930613907 +1691514762 +1391058381 +344417187 +266012980 +431056834 +2124071308 +1044521664 +960880814 +1598572758 +1855234909 +576920412 +1590724079 +985491106 +1596494446 +1061796851 +1661096275 +393810366 +1935486219 +1180912520 +211470007 +1591750869 +2066729449 +2110309028 +1004270136 +1577732219 +53542272 +1009310060 +554980094 +956120512 +534638716 +1485594002 +500151626 +1925697098 +1830011189 +766164606 +209270284 +1806598849 +1810686270 +1170151098 +1257687959 +1518437531 +1747071511 +700928391 +356444989 +1196082309 +1762725242 +2017541265 +1589892676 +1550727813 +1050970137 +1801362683 +994995034 +970215939 +1764188064 +1999265171 +400464510 +1817730336 +861091583 +955444604 +626367200 +1395730299 +293554958 +1126518826 +1173943749 +2123566148 +1892683432 +1383214034 +1782681349 +1555886055 +405881484 +892885661 +926839938 +5469347 +1593814052 +1283284928 +1201551657 +1209055646 +1153342545 +643960685 +612299811 +56829034 +297839720 +1607294845 +1027044973 +2062027784 +1459076368 +1427509483 +1732274472 +172684303 +235470440 +211158024 +1568414603 +529025398 +1337676850 +594874704 +505107898 +1082876635 +1978088738 +140305600 +491279042 +236486575 +1033191261 +1418118980 +241955922 +479521665 +553920260 +1443507579 +1688577311 +1707262805 +2087468264 +153393474 +1764091840 +237824337 +1760688319 +643653165 +152368473 +1072281040 +2071162649 +1884642946 +1244965343 +159149441 +2095800970 +665896298 +688174839 +1285994173 +1260771003 +1193282738 +221387160 +1091376093 +1333588338 +712666202 +1327862668 +219295951 +2130785182 +1569818591 +698817616 +537221795 +865842522 +239911279 +97000952 +805827139 +393304753 +1861092792 +1043651476 +6509424 +357262310 +1196019949 +1078790464 +280941311 +933179247 +176272160 +440090752 +881496570 +842168458 +1128265591 +20007095 +2102939461 +174064681 +241394255 +1046831907 +1507653019 +954060457 +227210927 +1726948970 +937361991 +1797029518 +278282938 +1474583786 +515388393 +518194217 +1571584739 +1321215532 +911498970 +1285193883 +217383360 +918008395 +1642456193 +1413403309 +1996798859 +1923397504 +199098909 +25587371 +216004608 +1080595479 +867755830 +1344270200 +1100602574 +823211643 +1518334881 +1341996829 +1870043550 +878504253 +148573638 +2097254478 +457969575 +1085935629 +1746800348 +736252514 +413035768 +114705093 +1254446731 +1984620507 +1435920625 +18462054 +1122330742 +1653303985 +936470449 +617303288 +919223647 +785785660 +393217144 +1118322556 +811373032 +609221753 +51434387 +1679128862 +1953491953 +1152036961 +354856857 +1324343186 +346550142 +77416760 +55363791 +495123780 +27187590 +513333367 +1581059409 +1773987938 +1249585881 +1994095177 +1888693032 +356548964 +1831232036 +1177130009 +375011018 +806079131 +682950347 +1311481467 +1423382419 +1602173994 +2097267128 +1816599563 +573012902 +761156512 +278337668 +624447289 +292801726 +84345973 +1776484250 +647658583 +1408689160 +2123034392 +725075343 +1464052951 +470674524 +752262933 +1977386318 +2051733933 +378767224 +1079488551 +1898345463 +119976608 +1436037516 +1582093851 +1297106617 +1811048534 +240689334 +1980056964 +975046354 +1664071753 +1434747310 +924829834 +1333187669 +2007760212 +1685986346 +1611525337 +484723853 +1978788072 +1695871311 +113724455 +478963007 +957076823 +89275199 +1204038351 +273646126 +559949723 +1956301284 +103548797 +464200009 +187584860 +1183037348 +215061824 +307561468 +471591216 +1797155675 +1604668086 +135156103 +2037845010 +1437241402 +1110202457 +1554433115 +724505065 +2035032291 +740137136 +584781629 +1573534989 +204178826 +1069505483 +1404839413 +1900050137 +1183229938 +1883802420 +709643312 +1272505138 +940357123 +983289438 +1832454861 +749174760 +1086838235 +149171222 +936759620 +122391936 +364233046 +1244321089 +593983152 +13905074 +701505527 +729139255 +2051750084 +2138746929 +1839341712 +1458699551 +715768346 +1726890355 +51353040 +1300549976 +1152941696 +255531866 +222571811 +410297461 +8098355 +1405801749 +146616234 +717741667 +530823239 +1086973357 +1701031105 +215794453 +1836148117 +640385693 +364965675 +625424090 +762777629 +729198722 +1869745179 +1356760781 +743103796 +423767058 +2085900037 +647370232 +415030339 +1777758101 +2106069783 +1130798686 +1357164809 +9939175 +283865014 +362622857 +265471041 +506436825 +772920319 +273569396 +1912238574 +919536553 +991311063 +295578166 +2006509910 +544858521 +511372619 +1695174380 +1185244214 +876338294 +173114822 +1948021843 +1605537016 +2042860001 +1157298976 +201157164 +319143411 +1095715365 +848527396 +734173750 +725989819 +807113532 +1864972436 +2083154628 +817052707 +1353802 +298293837 +1082523749 +507790627 +1071214156 +1356093145 +272545554 +1990750709 +199920561 +568123720 +1849776972 +744779082 +1079496339 +1397467704 +1930023296 +1955834633 +1570582526 +1730561491 +1413888002 +1465958879 +740376819 +1615045166 +1785102290 +1836092185 +316088915 +371792392 +414598356 +1123202447 +89281181 +350269336 +1940255154 +90634983 +648563173 +875295255 +598425611 +1719777330 +83904753 +870971165 +1563044391 +283825314 +1439094885 +1265337715 +1028604396 +371107576 +515321771 +811144044 +179458561 +2085904297 +394221887 +1593346563 +1404379528 +1134598706 +1060908082 +1041998170 +823207243 +1376996997 +1413790563 +1237805599 +352715796 +1503071744 +1588074935 +145487302 +1593706727 +89154461 +1020782558 +44648690 +1808931791 +1104687311 +915619855 +1224492534 +1388512625 +207231092 +342346602 +269633373 +578338668 +857668373 +1080777417 +757797230 +796089023 +1474999304 +203660145 +52984903 +462114362 +1264568227 +1094983074 +1285321606 +494081576 +361289989 +375643557 +846797372 +1864361733 +1963718493 +992284675 +1310584812 +2052872954 +2013067233 +1355233503 +1714321097 +970270896 +123369710 +791329983 +211299873 +330600803 +1133676585 +480933246 +908939471 +1991344959 +1561710663 +1666736701 +639950334 +889226319 +1870396847 +692935237 +1351340681 +987481426 +1787918311 +489178639 +1481563003 +1724652 +864822197 +180876727 +1866086385 +681057042 +1173161402 +1029187550 +586446348 +1038744987 +236937405 +153283797 +2009015883 +360307115 +944613780 +72832108 +690907918 +2078290366 +553765354 +1599847390 +1922151677 +2115476017 +1119100443 +414618363 +857218688 +842013642 +1107553600 +61075722 +1829495069 +747988264 +550254361 +1163574424 +749712916 +1415076558 +1344451151 +468315654 +2096133600 +370128906 +1497503204 +535096300 +1408873893 +1734440609 +688380097 +1270406129 +2094747724 +1632993878 +1343238237 +638171995 +1563800596 +1897003592 +90535737 +1338468625 +1864995961 +1209636180 +1753086988 +574731002 +2051649823 +713156940 +635806724 +1733661244 +1461145204 +1186061085 +749752020 +63374473 +453653996 +2094203171 +531690127 +402303948 +316848429 +2029193331 +937400249 +1725722323 +1616150292 +1625780346 +848644804 +1563414368 +1111290576 +44399393 +54102715 +527607524 +1941402985 +144638452 +1866076149 +1658915299 +1354274633 +1471679489 +86162653 +1258440808 +37352782 +721969377 +844618404 +1498497986 +1908030462 +1594370424 +1561872459 +214200810 +1541089947 +2093562586 +616504759 +1857938377 +1975272269 +1553905008 +1436177052 +1443938913 +1032201706 +137338208 +859869634 +2143492283 +181737601 +913972349 +523616159 +2123140587 +1058610802 +242208661 +1634572238 +265401787 +1713888150 +1720734891 +1523842595 +1751240932 +295220620 +220977351 +1102255271 +55767434 +1815347775 +516644082 +269968245 +1208954074 +462723021 +886473004 +919408803 +290511642 +292894364 +208102207 +1734450556 +1325096070 +345440415 +446836542 +1321104705 +527178017 +1360808891 +1844720865 +502834956 +271936045 +2086929526 +2137407194 +537337832 +1653334028 +1710658437 +2061180427 +1257091313 +2005879057 +134674130 +211862936 +2061646491 +1950021905 +728507018 +184131088 +1011492332 +1191230039 +1070604092 +1930901135 +1481741682 +1363498456 +2139003343 +1068708590 +541110879 +336960110 +1515545132 +1862215584 +864138127 +728870375 +1559452801 +1366973083 +1000806421 +1498898679 +1356896629 +1538144253 +1004749060 +920071418 +1451841033 +114356725 +778466827 +1586515163 +326219661 +692629671 +1389053421 +1054726679 +876760759 +253062105 +98473071 +1947364852 +36479592 +1580214753 +1163379660 +27999287 +501439695 +1704490539 +364959398 +2016984827 +1419222476 +1229097525 +598371554 +831191629 +448586961 +1599177975 +182606661 +1805483590 +989838581 +1187355721 +578071361 +294195966 +1301712446 +1356538188 +1880711129 +1627932107 +2049167859 +1122280902 +535175138 +778444971 +1375343007 +633648209 +578326175 +1411822600 +66379314 +1741705835 +1439821887 +567819009 +1298712727 +1804781285 +437320188 +570451555 +886395163 +1035691743 +1401643184 +1334982124 +487386070 +1584249845 +992982066 +1477224651 +624121918 +1571053427 +1771420617 +1925834364 +780107968 +1504648099 +1406282823 +681792179 +479445353 +1941457962 +1460237150 +1854788361 +427622523 +2038563325 +1119127313 +494001838 +1632785513 +411465552 +1061820847 +784014592 +68763190 +1499141036 +1354466147 +955158353 +387349131 +608625683 +142656829 +874735201 +45391881 +1135638895 +204476205 +669513799 +559208675 +1975896822 +447864516 +1339316643 +1333061273 +1854147339 +2021108822 +1812506627 +1648121653 +1333862325 +1519811340 +2075744177 +1224942002 +491455005 +422262367 +710243867 +902920557 +1484083214 +1494258459 +971683747 +835740602 +701240958 +1926842100 +1223089733 +1309866642 +2069498929 +2097824935 +1355258523 +1057654177 +154817492 +2024772322 +1616862852 +2130714314 +325153190 +808695847 +1316291940 +31816882 +682321021 +981314919 +1679938535 +2016183346 +353642611 +1608199064 +1093641701 +845097616 +2030461431 +1803885568 +1748018173 +1367060998 +1150660380 +572218273 +55317952 +1851901338 +351576725 +1278407686 +1014284332 +273592007 +1228748973 +222059207 +1331246184 +1383566465 +99347882 +800625388 +1366797131 +424501072 +1609321235 +535605423 +456317954 +144158608 +1516920342 +2136256490 +12858307 +1870562953 +1596971906 +1106500008 +568176921 +1479949690 +762901928 +168711447 +699527040 +1913562308 +740929720 +754844992 +1617979999 +1092506445 +2033252678 +484780683 +1366098452 +1114518003 +706839891 +549860988 +350600820 +806187773 +1350486376 +1717397952 +1230688845 +812323963 +105519727 +1687006800 +956482572 +1622440070 +1675779642 +969340879 +1345519375 +1125267900 +2075840887 +1913696297 +457733942 +691259167 +2082407744 +1157260982 +457337828 +675853816 +1912105975 +2075317827 +1768360261 +1797875005 +412614862 +986975066 +764909361 +1119454753 +1536836054 +1115510181 +1925642526 +739838783 +685424485 +1008847724 +1552162746 +790944213 +548370876 +361161670 +265900635 +76666870 +1330502549 +1611420010 +1201934770 +1258859788 +1377632659 +1659668713 +1950118956 +1312556755 +669446047 +259973136 +1988410571 +434068374 +187807315 +1609287185 +84459732 +600422177 +448778603 +849369093 +1719876931 +1985614657 +1964879274 +1498035809 +577969792 +502820112 +359399885 +2130132539 +1293764325 +907770761 +343810561 +1559664960 +984437631 +1674313111 +1023601322 +38888754 +785689251 +253750334 +1698557467 +588324559 +1566307089 +220519866 +848297695 +1407234013 +654588241 +1036105010 +869037550 +739047973 +1636527188 +1317816153 +1588417066 +1208920471 +1155947162 +1405812692 +559472632 +1733916955 +1908632804 +918872518 +1716565846 +1054913481 +1826643279 +2060376407 +467094793 +663597263 +1587205870 +1490696116 +702486017 +225411474 +1744446450 +253559836 +813736033 +1163269891 +474079702 +1662033729 +423020256 +1128667943 +550655091 +1292057806 +1867715916 +39698631 +462390311 +1308649334 +1248619102 +1618337474 +566978379 +1808091735 +1204770781 +328127535 +579480605 +773852979 +1383041017 +258640236 +686745738 +1850135810 +922237499 +126467961 +1193348278 +1624723516 +351879435 +790311080 +1878283352 +1165615468 +1953580972 +204879407 +680165549 +229117580 +1333547350 +1230820641 +1521175387 +1053779619 +1270519272 +1983565698 +214945305 +371654727 +1454419524 +781923684 +32262814 +511706657 +1110051220 +611743419 +1285559636 +345608589 +870383655 +1972305375 +48260751 +1792621155 +2098773336 +1241609030 +1269861023 +303169123 +2031920110 +1000660728 +1468784591 +1838017434 +1205540135 +1466493 +2067135015 +391603837 +1232287134 +1440826754 +1445383456 +355322758 +1276908804 +1660328762 +726977485 +583844681 +294768798 +759240299 +1095551338 +1404820018 +1370983718 +233627327 +1750428607 +93883726 +58449054 +1798689359 +1886504881 +9738742 +892814741 +1008882256 +312907865 +777251203 +2009542984 +1781692456 +467784990 +1067599471 +1783158949 +387436357 +1459203309 +867962435 +1828263111 +757103117 +1223285194 +957688267 +269948231 +1950262679 +1541532948 +564717030 +562019331 +489600639 +1969537048 +1933003049 +723227966 +1572482008 +2026886775 +781677020 +1223687719 +1765908008 +791415762 +2116502460 +627306617 +1104323627 +746270015 +489365953 +738532435 +1214055005 +1556965425 +374207737 +1601491362 +868685086 +1242170172 +1282270825 +1625788203 +317971718 +92475445 +1895736435 +120750750 +1634008393 +312969817 +682770081 +2123609032 +135023217 +468289482 +699353350 +1707505225 +347692610 +1481030370 +783709296 +2113600618 +124962484 +752728108 +593423587 +1229286111 +1498998124 +1082789541 +1967818547 +565569481 +492271318 +194542636 +19577196 +1360956404 +1436712808 +1301848021 +839260959 +1754684527 +1394323466 +587513746 +1875435277 +880848212 +900483563 +410721710 +856973596 +1035506781 +879011192 +1556326947 +595528358 +1226703802 +889873669 +1379237655 +1192820773 +1014836154 +2131965763 +1786244360 +96638617 +1483480239 +721550253 +2064457164 +2049049721 +1213821571 +111516152 +2068626917 +427294327 +1548228961 +1222991290 +1266555287 +1155429840 +469831109 +1854069033 +883381469 +1350679321 +607068949 +1294103179 +60169269 +1642575730 +25630723 +1616496216 +90620440 +1252334526 +358886238 +1469858095 +297671651 +1373722392 +1454340211 +2083916011 +1470361009 +790336802 +657982617 +1387334526 +691902875 +1871804188 +1498850678 +613046144 +151614868 +899595991 +1836037435 +1418170155 +2055025831 +158384896 +1124755540 +790923652 +1509064217 +1731824489 +2085026831 +1569233486 +1226916571 +2110657555 +1038246055 +1317537012 +1215508433 +1397132293 +639911459 +1513180084 +623371037 +2094251670 +1449612447 +2093732046 +737104825 +2107595064 +1333582924 +1429007700 +1831915605 +684949955 +2042053845 +1983530473 +1584545946 +1730607632 +1254216980 +1492088130 +1888992528 +231488872 +135528134 +1250573097 +1963313362 +73071318 +672322935 +1042746285 +36245225 +1710568990 +212799649 +1251753658 +960217635 +852711109 +617450094 +1583588672 +799479131 +2067062541 +1529837071 +1536583956 +2027173958 +715936347 +818108009 +1711605915 +1400886302 +712678206 +1547652740 +837948601 +295802190 +654386072 +182553083 +37311070 +885874944 +318081217 +1287884167 +701704658 +391152535 +1960207102 +1744450944 +427397760 +1523292445 +1957250593 +1679151418 +336026432 +662478054 +149117864 +1919615105 +1461957186 +68696758 +1301968528 +851057494 +2095870716 +2017904875 +1669165503 +1659992983 +1271307530 +234360061 +1060162075 +2109256131 +530162251 +1714548147 +144325566 +567473321 +452939443 +462406783 +1855357488 +1154644102 +853559319 +1668080943 +751611398 +1280957079 +1043889740 +561378343 +812624850 +1379916172 +1223856398 +961742714 +1152047629 +538329936 +1030439472 +306532509 +1389387430 +978826540 +176953737 +911069286 +491335875 +1448261267 +1145429347 +1551497950 +1410033750 +1675591599 +1118562449 +1554359316 +95581272 +1571501893 +2016766099 +1950938761 +578662347 +722841770 +1471536056 +1330273745 +2003798850 +367942148 +1891652088 +668940052 +1747858320 +968024838 +1630682766 +752422302 +1506354774 +513638591 +1058954811 +748258557 +1492465131 +1235908548 +1659327843 +1983801007 +536686167 +657273542 +1387815309 +1946719917 +185381493 +358894111 +1353595585 +280962766 +1930396004 +1222878037 +84417879 +361574703 +1945719807 +1555953935 +1691848448 +1802035009 +1923896083 +1436016888 +323491413 +1524270755 +256558079 +1954174180 +129209409 +1762912853 +320329123 +1188164221 +363687762 +1812794254 +276589121 +2023015605 +1649111613 +813275289 +532805500 +889443275 +612511558 +718186993 +1248337386 +1966107144 +999149759 +1031249742 +1041501533 +1083567638 +1392824445 +839737692 +492037925 +937189245 +494289054 +268450360 +225722485 +817780467 +1792721116 +482280564 +624470999 +1921930525 +97709770 +944800122 +962611098 +461397532 +610110729 +1239200220 +336929490 +111738694 +2052475509 +869734990 +1001181969 +517503419 +1587921983 +102035707 +336126915 +439588095 +1133285449 +1377628448 +1523155733 +378626246 +69882493 +2015193659 +1315815491 +564171547 +136160371 +1541537977 +1381952014 +1928881487 +2023818541 +2006423014 +1703328365 +2121528311 +803739488 +518455815 +435442196 +1413850217 +1757656035 +772371686 +1525588912 +1662647896 +1642106676 +379287233 +32667668 +1082545011 +481322941 +368794583 +1522133106 +1614608390 +1746423032 +897805192 +1993234637 +1816305525 +765515203 +1161566480 +232993424 +901675574 +555620809 +1614945438 +683073414 +431955703 +1473884804 +238918131 +406000366 +130140645 +757373946 +841442562 +1543990862 +367546334 +1613814248 +922096126 +2030194230 +1108437276 +1301383360 +2062861898 +43498640 +1782706301 +284172834 +1565631746 +1249831043 +2030595866 +315953290 +1095582032 +1699417743 +1081468493 +109664865 +1932411167 +1983144068 +665285674 +1399872957 +518733834 +1097241377 +726274114 +757651965 +1503241744 +856414759 +1515025911 +197200658 +252921973 +1882572245 +1811014907 +1175018100 +1765282828 +771968535 +328917812 +1680661078 +815467175 +2111624113 +1964833912 +233615274 +1213971508 +1847946130 +549568564 +162069893 +1399880225 +1631037058 +271734758 +1184807744 +1466697478 +937020432 +437197054 +1985431312 +2034261810 +1163471168 +595599629 +1390019906 +2019885927 +2110625540 +1587220564 +125324252 +1845714138 +1250751823 +1300342352 +1463513318 +2022720359 +1629260164 +996690748 +690703886 +1593400629 +814041013 +924319160 +659888490 +514503495 +1473887725 +821958383 +1914383721 +957441135 +1093693141 +951707817 +276654965 +2030713573 +1388904871 +114602629 +1917491735 +404892391 +710202258 +1160027993 +277294670 +673344150 +599764910 +402618923 +371574640 +1850516733 +1702961275 +1835087958 +1725753444 +1184737792 +684295059 +268973683 +630654773 +1498336072 +1193292843 +1290543263 +2012839567 +519696920 +2112501646 +1779739640 +1477138055 +1058711139 +583963810 +1753793020 +941941065 +1972868681 +1868395649 +711949152 +230277425 +431114259 +1871977146 +507572095 +1104458410 +324258408 +910191018 +1476033050 +27291493 +465668646 +1163637361 +1753044938 +1650406438 +1847932420 +2022018621 +133577563 +1198784844 +1067827816 +1424120827 +1064140763 +1587524737 +1389138825 +696396756 +917179144 +300366317 +1280360566 +523488517 +1242307382 +1105745599 +244400518 +1954256534 +1336023024 +675514778 +1678750032 +1843595120 +1779973188 +2003008440 +606302490 +1108522590 +2030299934 +1071971136 +124676303 +1635861224 +574893926 +1972608723 +1510396197 +708471490 +1023909919 +430740365 +2132592317 +2088050683 +2018265102 +1374247494 +636963791 +787960599 +1674613811 +1917324357 +1311449116 +769437545 +875586308 +1555849634 +576210432 +64125685 +83880764 +107476816 +1907720805 +1863853952 +2110485257 +366539647 +824892895 +1993301543 +1438510784 +949569198 +1481679119 +2013404710 +774694274 +844591668 +574392552 +1798604193 +1275332033 +559501221 +1739171228 +1146113488 +1933748716 +228651371 +1934074087 +1460878879 +2145975728 +1098039555 +82832777 +874078389 +506405541 +659043209 +938204074 +590286306 +766520025 +698441231 +306656610 +729521634 +1064980878 +1131549505 +575339529 +356008014 +2081118704 +2057018648 +221929077 +708329330 +754126668 +796321629 +359449875 +2029458702 +1355822851 +2098621104 +1028088542 +1142087919 +179788827 +814678981 +455483150 +178280908 +1912718536 +538315927 +1052359297 +271640429 +1197359136 +1990563371 +861926735 +1963879162 +541520954 +1168583346 +545917148 +1606501832 +152649203 +1121256678 +1962509847 +86284259 +1030791678 +36955276 +794613589 +1784918347 +833276905 +1154063465 +1666893401 +41616108 +1105200921 +547498295 +1183704027 +1284989748 +1362177276 +1639187178 +1463270656 +1127412164 +30019457 +368146305 +1399052593 +1227378594 +211226028 +113495681 +1043774108 +752746982 +1282079027 +1589691256 +211765167 +1434728230 +563464286 +26791366 +1521012490 +1594255965 +63746642 +168142431 +1231690664 +897023547 +1322205896 +751100417 +938639656 +279923169 +1298598712 +2122343683 +1564912918 +513292340 +1614047213 +880699926 +1640704504 +1644066671 +1248846232 +892273449 +723961617 +1460072260 +1005769130 +1767735725 +65335595 +140364509 +1209943333 +277100762 +1575092740 +1773407620 +303892128 +948621582 +1220179937 +367638770 +1116764013 +304386953 +1264662317 +291486262 +1055487370 +55818325 +571409431 +206602434 +30678361 +2136322349 +719894774 +1644725574 +869538628 +213115630 +1141308597 +2118384860 +1105389079 +1865270214 +1430973472 +2111158210 +1485522291 +1496309067 +104039071 +547981977 +1773409829 +1679131811 +173905949 +2077301957 +480269745 +1394085886 +297457079 +1597033759 +1698472839 +1562119397 +1888520021 +606476561 +1617937722 +312445804 +813078995 +1648616083 +301284506 +1532973769 +1145858010 +1170823134 +1746089399 +139682959 +1141724346 +703994830 +2004953174 +425214170 +667669392 +1342991817 +1921523238 +771708464 +1890973794 +1547449419 +303356627 +2064879743 +1477267729 +783626373 +1311481981 +1774724808 +233176484 +862471172 +1189360557 +2121696505 +1468947733 +659814632 +286658661 +134543080 +160947067 +587943167 +1667516849 +1306805077 +1758766301 +1266122600 +1446488037 +753006999 +1970117431 +1303957563 +1178221170 +490303175 +499465732 +952260760 +1262011639 +242955879 +352226531 +1565368267 +160351974 +1829494260 +201510992 +1471833956 +1456735421 +434687476 +186821480 +498612330 +408900333 +1655769214 +1158426962 +695558994 +1790312294 +1319374030 +1283502162 +1310345496 +478695459 +894784815 +428984448 +1925183496 +1647791815 +251618231 +1081657411 +678529337 +741921407 +1581123144 +1630790097 +2003933046 +1824079023 +1983016628 +1421817665 +1984430997 +1665027241 +1623328657 +1308781305 +974279014 +2058016133 +1495602786 +1472891344 +319432818 +1003888352 +483834659 +1014991813 +646716998 +1803208689 +151010327 +1957062494 +134420500 +1045795142 +238563295 +2059603997 +546103309 +490181526 +993777760 +1224632646 +1232102933 +427417256 +707939095 +1088552332 +104012631 +543472076 +362886349 +2088443629 +61015669 +1986215007 +1249741286 +1035294683 +1896747492 +597860424 +360702379 +68696663 +1601748776 +844537038 +1083688476 +100982127 +500262079 +1234698803 +2058044621 +634682580 +133010297 +149124268 +546802929 +679113607 +639305795 +1540580689 +1903746253 +1871408728 +1967997946 +464201701 +812477412 +2072010577 +1007673777 +1175363762 +2012970558 +1068689446 +1014095121 +1115228197 +2103984129 +763358965 +1713088621 +317202860 +832055628 +1167353750 +1161739899 +1915744104 +1268335877 +1662001978 +1002959259 +1178896850 +149200910 +1135969557 +1328021119 +696003839 +1815083164 +1967326914 +89100881 +1571345769 +1691251994 +2057098827 +2035547470 +356245759 +1981625756 +895737599 +1531609521 +1847112667 +1964427045 +398220994 +814857216 +1920927526 +1161579959 +380462189 +90646739 +1993635588 +1547815939 +1252386638 +1761896044 +668668168 +766904968 +617371656 +1847565019 +916105879 +1753341213 +1028102490 +1612109718 +1420940729 +847945756 +1701210599 +844802850 +391714102 +1610825778 +732866673 +747959861 +1444967887 +1628604272 +132085734 +1144596906 +1445547670 +530306728 +1959454122 +1218991548 +1691886688 +192432663 +1309638287 +1538038628 +1740248603 +414541277 +1152451024 +261433123 +1181446246 +1769822680 +2108998142 +2097552125 +1375680245 +989616984 +1562178195 +649137326 +1837562740 +1115905147 +1493940177 +81793195 +579247277 +79323202 +829753056 +2024215164 +1707927474 +961838791 +1021328422 +1005991496 +1492145519 +833298896 +77499397 +1036548559 +1025731560 +1387137684 +427103539 +618496515 +1801678962 +1579554564 +879929638 +835641560 +1201893596 +841444133 +785710037 +430090194 +1831061117 +200404584 +1079227520 +1521140210 +1316309731 +425684049 +1602933405 +1895557009 +505007251 +285202813 +1772288525 +65451078 +1247041604 +646133300 +1071442574 +591703476 +1479432196 +1148941971 +1628252035 +357680108 +388596008 +2055355575 +976176623 +42791322 +1487426491 +1856106262 +878432882 +541836439 +550066747 +1664142919 +971926633 +233644216 +1864547503 +2051154154 +1754784426 +1033373587 +329354555 +1210234183 +781446948 +834361807 +1495436997 +406251825 +899812885 +594994953 +1052385125 +1971255459 +1186698429 +384333674 +972713783 +667466817 +742013782 +1361309791 +575338744 +1718190406 +1404101113 +2062765235 +1426813020 +135050347 +457118026 +1976879767 +1799193266 +1429044660 +63040335 +1516257121 +1332715166 +1817824762 +402147060 +1662069721 +880575297 +1183594008 +348947880 +228528646 +1589845834 +1248760765 +823523600 +494747311 +1072532577 +2010222029 +879080985 +2045246360 +530205198 +1621094768 +1259072503 +1105543942 +1191801526 +515689968 +1020825529 +471130898 +650740315 +1477943556 +300527017 +302449933 +759504568 +363567352 +1818707054 +2092219734 +33908466 +73370467 +1606805807 +914483764 +1256964475 +1955753688 +1143012410 +699326661 +1057030805 +1966536010 +1194073973 +2129563382 +1829274392 +2073154958 +2027326094 +211995942 +1546766078 +1138914949 +1317539885 +591083956 +1654604917 +190881766 +1062214854 +157861584 +1668825322 +1362741871 +460311517 +280846242 +1726309224 +131534924 +225582328 +1760217690 +204905391 +1832388136 +527217806 +1461869866 +1640658176 +1670230217 +13712880 +550205333 +1489282579 +1207786853 +532285068 +1171073323 +1133458163 +412127514 +1383069266 +532740594 +1551042464 +553125503 +1123824550 +1058163733 +744007269 +38555757 +1216025318 +265348944 +1401297628 +1676336835 +546195186 +980123204 +1807871759 +771777515 +592857247 +2012777150 +456682003 +1120075053 +1327163369 +2097340179 +642821622 +1340876249 +500061864 +2132104202 +401179454 +1032346932 +1155693877 +1534637617 +1444474447 +391279495 +2067378211 +848033263 +944404998 +1043719114 +1906196996 +1688412268 +1082274871 +974738666 +1953761212 +336088851 +503591854 +352472750 +1316212056 +163979965 +1124250265 +1909069303 +29273468 +1580932268 +881660708 +1356436837 +1530788799 +1524482331 +549829438 +2030850664 +1509102885 +951008892 +915713948 +517313114 +338162861 +212704747 +908592610 +258057425 +1060738010 +1852997608 +1301776539 +819451359 +1393926228 +236567762 +1794190025 +1200203792 +572656613 +150298231 +1552676543 +1888868669 +314278197 +529443160 +1650454324 +343551665 +2110375429 +384631385 +1699988502 +1493680580 +1909113716 +102334292 +1377047596 +1270732953 +1053343184 +145277897 +1788046067 +1391506045 +357982644 +549155029 +1649563470 +1418720655 +254668990 +803856361 +90688366 +1648595218 +1040424123 +1884878391 +701315363 +1613080737 +2035176623 +106508258 +1354465758 +201971172 +635951418 +857436435 +545522837 +598843199 +1242067820 +98027691 +2092523780 +1003697888 +200361983 +1322087728 +126947193 +1253705167 +1467365625 +1914993260 +497727564 +1825348270 +316664642 +2147291035 +1096585277 +571333632 +803663748 +1187273643 +72445202 +1844087872 +924668386 +773760565 +1309684961 +812361361 +880268823 +516667071 +1014332533 +1516220242 +1374103506 +1559855370 +2115063441 +468687678 +1657883061 +2060103573 +1472385566 +1858245044 +1234707654 +1599332759 +964466563 +554589631 +1366842372 +1462194128 +232454253 +1683507014 +1462001515 +1329039530 +107356998 +118181615 +368829525 +179802200 +1962269487 +1293497912 +953562766 +1124470800 +2105859273 +1833831589 +1641137872 +972708159 +1202568183 +867757730 +385079881 +1170147977 +1336445409 +2042962943 +1082767902 +661347327 +1753724339 +169991908 +113196439 +570707255 +724581540 +1480038811 +2032901383 +957035793 +1016062177 +1347419250 +138591676 +1123419175 +1465600865 +507421201 +1303221375 +1280386705 +1800919113 +109300493 +257373857 +1759294739 +1943132083 +1898511729 +584519250 +998216618 +618785812 +969599131 +20880947 +1955231221 +865078426 +1103648850 +469094900 +471319118 +1273640758 +582291339 +1042026373 +1998222298 +2062330150 +927444108 +807774444 +930908679 +127379710 +946366120 +2054327854 +1592980575 +1453787321 +1210065582 +725883632 +1107222787 +1319366075 +983257490 +719033878 +1115014510 +734285571 +1303553128 +2113231129 +1353071383 +125668611 +2134112076 +1160818956 +990747038 +1090277278 +1629913857 +1462066156 +216434389 +64721548 +356608881 +67173039 +2127051699 +1284052989 +874947483 +910476730 +1411432699 +1821313603 +817320937 +856929626 +1127617277 +2027386519 +1582813259 +87356416 +1199268946 +418587101 +806390294 +166799809 +1152872672 +2109943422 +132547290 +358460408 +88128385 +119175718 +1519279364 +1078875423 +1209452997 +1001709573 +393457931 +1425887386 +1066431122 +750066812 +1493060425 +1045999173 +2034119801 +220524261 +1956475903 +1298068852 +2041837864 +626313192 +7514831 +1021971493 +506216063 +1590328090 +1109327909 +1705485010 +2008915191 +1915718203 +1872284819 +1014304215 +1878177977 +2004832109 +1372764623 +1966306363 +2124007827 +744560340 +897698138 +1185977176 +1746269913 +1291156070 +464380914 +665217387 +2041222882 +1957441340 +1711216560 +1927859036 +30481953 +1520208816 +1078444240 +2072319817 +2146522008 +1085959071 +946807663 +505254424 +528803513 +2056135572 +63255786 +390235056 +1824370128 +1935540605 +1404539272 +1555064457 +1792889066 +629820247 +1373887172 +1769413245 +1374380587 +124101663 +807906774 +973166853 +1415257733 +1272287688 +1638384240 +1308996967 +1082245380 +1202117153 +1089372355 +1112727333 +574842321 +20332948 +1037563503 +573880681 +1106292019 +1984371166 +1079135105 +1635095533 +1893023090 +1142390891 +2025330589 +1569909570 +930447848 +1282386213 +977490380 +575853266 +1912206461 +203893904 +197782864 +1139103400 +327995567 +1005689638 +2112270253 +1743253300 +130493678 +1603170846 +904766620 +1212739059 +657804351 +1994138975 +177982744 +1232646672 +2014471923 +1215546247 +1806527353 +973280295 +1052433765 +738178811 +460892180 +797973208 +1880569702 +338739121 +220399130 +663533903 +1621125335 +1197889510 +1239387169 +1385848148 +1401783415 +1437170033 +377467900 +1729778982 +295376023 +342254506 +1325548635 +425869702 +1945425352 +82831607 +1638608761 +455746055 +2076970582 +1816591505 +1688392727 +1943958858 +884654105 +1347436432 +769755505 +1937087870 +2085615243 +1230647685 +587577430 +1818701298 +1569386806 +807976561 +334751553 +1043028493 +2005866071 +1574138722 +281392993 +1260165838 +863825108 +658860894 +842461173 +1159201131 +1001115400 +20526160 +1585070833 +799057104 +103357767 +1076195946 +1254803159 +32844701 +745303804 +795712238 +1976803559 +1629957909 +2143148670 +599075416 +1419562131 +2081280266 +1829723101 +2007139562 +1752497916 +1251626260 +667632475 +2087249469 +147171105 +526014898 +1513904543 +428564099 +1786180737 +230246003 +1087424993 +481158262 +1389447135 +2088540393 +501684422 +827034320 +740113849 +605042189 +1903230267 +1994917008 +637886890 +501050423 +643145598 +467206802 +2131008332 +638810620 +1066282218 +1403086815 +572607238 +748521672 +1262742729 +177621506 +2000147932 +1930375204 +117387327 +2147319037 +308906455 +1631291871 +428399488 +2095087192 +1861537874 +1515824481 +428761806 +1103501361 +1456881226 +930446228 +1930535682 +49511427 +1535488417 +1686282301 +2044428435 +25891659 +39849076 +540090385 +493098461 +23373760 +1178901006 +1559380680 +1426460575 +1751508244 +160418704 +541719657 +1929129751 +13082988 +324611213 +2046517078 +12918377 +633517668 +1530325301 +441317866 +581121212 +1244379528 +1957142347 +1009883018 +200397241 +1266539926 +1940329246 +2130932923 +1316051353 +1328334015 +1669731576 +1212996141 +1354225675 +1709580652 +1753086526 +1847324136 +1732954412 +784503884 +1259221168 +1011931340 +388528481 +1419639872 +1553650997 +170174584 +1432722860 +1878262210 +69208014 +1445641238 +364296231 +1599533316 +1886959104 +945417443 +696429196 +1696617803 +1955300462 +896826437 +815674081 +1748146060 +880275713 +2131725435 +928996428 +402523641 +1197237928 +135738455 +2112104294 +802840806 +1983062591 +1697575058 +1587344691 +1094800112 +562022750 +1975873172 +366956336 +2115673747 +2146047756 +1799679197 +1846452310 +67772122 +1097836787 +63264893 +1667305438 +837312243 +1008682336 +216250986 +386446398 +816499150 +1113077424 +1202120480 +417161563 +1993353137 +1186362267 +1346157991 +248393130 +236116547 +1481896446 +213013776 +1038957353 +1317475389 +1910588835 +478818396 +264791853 +325127937 +307207920 +631748190 +293318037 +305772028 +283943739 +2139770347 +373544151 +1381780526 +55551592 +2040849589 +71609121 +1064233928 +109616928 +458055519 +1880733079 +1222694352 +1660175999 +150410994 +1068563841 +699054618 +1496568985 +1316956971 +935171165 +830981783 +1529970748 +1974128519 +973524 +1293075935 +305463267 +265765378 +1618203872 +612671188 +897513568 +1911521909 +918443216 +1181457307 +1903808608 +1291987367 +415754185 +1959360200 +1185353309 +487363306 +876110481 +1294970237 +945418825 +609359912 +370180941 +458111177 +759770906 +1438744782 +1157165795 +108856243 +608218105 +2092336961 +939838026 +2138188853 +1918981832 +940811550 +1283781140 +76961451 +1206576928 +754501365 +689632639 +2104090496 +518539626 +1608075856 +1138064155 +274864587 +752579575 +1553818340 +86741139 +1937932884 +2041181646 +962851620 +1085419473 +839116824 +1572211532 +1455600414 +1297228001 +184498790 +746861548 +306910148 +293355033 +1355079654 +251763461 +1233193059 +1345784859 +23261645 +26520962 +482082352 +100223097 +1233097890 +1236583717 +789855736 +1189704739 +1755123343 +250447944 +180285246 +2029987930 +1003027520 +1734103587 +2116729070 +793476756 +1627801585 +932097042 +1878896230 +319434761 +356824927 +1187012996 +1616662762 +541323717 +1933874545 +1923572911 +834678751 +1141470551 +27852724 +2067871810 +339771762 +51114370 +2094392772 +821854114 +151337467 +1180007015 +2058437831 +941193203 +222228106 +1666077527 +1191641148 +402513352 +1548581809 +47185020 +2136616939 +1517827231 +840661776 +1616934877 +302440626 +572074358 +1936369638 +659265553 +1759087355 +1405548753 +1200589270 +1545478252 +1181638016 +2035268021 +539465155 +1209490740 +1955656184 +879236917 +1260605110 +1902565308 +1701091032 +1411942577 +935088675 +1612045215 +205652133 +1157316781 +1130639094 +1397293281 +1559830134 +531737256 +1444478301 +1548963425 +2049564487 +137656429 +1018414654 +204521465 +709730788 +807300645 +863787018 +321334495 +65365750 +2064376289 +1866812747 +1247003766 +1952160662 +258794254 +309010858 +1760333198 +1138031171 +1569615969 +1515414859 +691638555 +834074898 +303019886 +156200123 +1039727031 +1460336668 +1286839217 +289536664 +872683154 +1818576473 +1734014965 +274162931 +1720657313 +1871671395 +1292577586 +1925178778 +433918535 +2099878231 +641482149 +755253030 +17760333 +558374790 +474582129 +1264764099 +363051804 +733376383 +1573774957 +2123385003 +1871407554 +995907278 +1491316214 +415562462 +1829982177 +1794336100 +571762585 +722225560 +1107189120 +1858601802 +1011762225 +1979872274 +1529694628 +598293542 +106551558 +1102868293 +322481289 +1399129144 +880563423 +756399824 +1351523727 +1522045572 +1511652854 +1369284060 +2080420362 +1986234983 +486564511 +295988519 +572127718 +2060339468 +271889874 +296051625 +908763099 +1763206088 +711614087 +591261628 +1410058540 +1283376672 +1313487188 +369764013 +994494826 +177765765 +202152639 +376705806 +776059308 +308704197 +1479574099 +1098540597 +1707833341 +212653875 +1854940422 +911873420 +1734699447 +1219109628 +133673832 +1667636162 +1057860964 +620238343 +1963624681 +1629988682 +533094164 +88030907 +1926040307 +1441857263 +1851236995 +490170746 +2033118891 +1113811887 +1773547418 +1199122431 +1483575900 +620558597 +1376888197 +1685728540 +997264403 +5463857 +1994432737 +329354855 +1104004454 +1554782431 +542008730 +811461228 +319172203 +129224529 +2030570857 +452846036 +1796860691 +940948173 +1073084379 +1613001724 +423453207 +1606178543 +1701032631 +202009867 +900552158 +1404785978 +692180613 +786187401 +371114218 +318244384 +1985309833 +1854690118 +938802981 +1214714382 +1392935010 +1936067384 +1220178239 +1239884100 +117938591 +176699045 +647182883 +659947321 +988160274 +966355086 +789171851 +871247483 +1419201122 +438548894 +1812195656 +344801854 +2051550619 +88165215 +1950980397 +1605099602 +290175082 +704048908 +862401933 +982355696 +1490236309 +1233516151 +1300600080 +1328062494 +940722621 +91919413 +395293228 +186173984 +2027986797 +1615471467 +1426058084 +2145925389 +1792170513 +2073240967 +658389062 +632847139 +892112405 +1447560913 +1504094622 +163829880 +1886109808 +1168806630 +508631734 +1790176779 +1256971845 +312128483 +1247792733 +1547146928 +1016177391 +2110194666 +382018976 +358930053 +1196227169 +1682619056 +1686992547 +2136949791 +1774538469 +2082285776 +175640127 +1655041618 +1550273595 +1601698211 +1653483359 +1194960460 +1527455530 +164388774 +1827807599 +272084287 +1611949687 +1184418573 +435914167 +1350575847 +205741555 +944545901 +993268978 +1462713401 +1256674385 +93578064 +862376681 +125368128 +56289082 +1244395657 +484298181 +1252516252 +779531065 +23807081 +1241982395 +406585886 +2106092857 +1417622522 +2061627504 +1508882804 +871837085 +1567627216 +556359617 +251808967 +1732015990 +236683568 +523893254 +1196482029 +1421102142 +959807422 +399574229 +1626843697 +1904353323 +1392843207 +942073450 +1013544060 +1486421271 +1804450131 +1138912189 +1542710354 +901362140 +1623210370 +647742958 +1680893205 +1647017451 +1889725353 +2087479091 +1605626660 +1159864227 +2001622948 +967025817 +2031701312 +1421766516 +1523385434 +136026631 +1006298858 +1760069002 +659919885 +55297239 +1033687496 +1619727307 +454871468 +513047546 +1376596983 +1847714676 +1455120996 +242657395 +1186652299 +1112087480 +1381569584 +581879005 +2013449620 +857296307 +1229621963 +1546859178 +356830110 +971863668 +1486854621 +1962456771 +2131727895 +1340993921 +781998940 +2015945559 +615276789 +157900726 +4488542 +1621575647 +1917969728 +664408428 +1676872887 +804173577 +136652087 +2131744355 +1317221123 +1513249070 +1831975383 +624858471 +1755906466 +871144035 +1736945951 +989992402 +1453023040 +1602911924 +1847288709 +535161356 +1002287454 +56635172 +1507025024 +341658427 +2019091943 +1491269272 +1682652349 +653607235 +1359731183 +150445490 +811507961 +1364219726 +1772021138 +581994041 +2028628154 +1301410377 +1386167618 +17796593 +1285671084 +555905093 +1531045664 +970162820 +1180763565 +1139468482 +1841306855 +770225868 +2129460884 +1146846247 +225654144 +1829265946 +1682007603 +1227941598 +1885901118 +1041548980 +1569600026 +1757509413 +385334604 +1104768727 +263633000 +1745065787 +1255214217 +1075140961 +961801865 +879751707 +1657135002 +842946371 +33678436 +895818973 +860742965 +1319349521 +1451724066 +244304981 +142028693 +485003983 +1383773463 +1983335548 +1255229852 +1365750699 +982698147 +1480883996 +1047532997 +517222103 +561341947 +785950467 +1558771083 +2130941973 +395976232 +1944105687 +1088227052 +659609232 +1541687826 +195957621 +1734750193 +356006044 +1075709329 +1244401548 +1198952415 +1109387765 +2140220521 +2059695380 +281253638 +1444460939 +156516713 +423282331 +1929464923 +1540290176 +259134231 +1037211127 +758557228 +1241832379 +370611475 +1806090225 +1759054482 +931953422 +444557045 +1170341917 +915411747 +840533277 +966963956 +2003638799 +1500142510 +361168134 +52112773 +1087409055 +717174178 +1127822102 +184326955 +1916126594 +89726219 +177063828 +1828338326 +370979858 +1621524768 +1984855040 +794262189 +1403506043 +1377661568 +1053396421 +293233522 +2136218796 +147745152 +663844997 +1794825374 +1906799634 +1595798420 +91898771 +929657903 +363726519 +932432048 +1896621859 +219881671 +285090910 +110306345 +271994444 +1372499966 +827480524 +1399816546 +1556826921 +596123470 +1489542765 +1733890750 +276978148 +1860522623 +1207931870 +114349540 +507301165 +463954265 +1492011109 +1560697586 +757187787 +1480746257 +1708442738 +1421032784 +1128087983 +1467758724 +869347556 +1219986754 +249932979 +1233074076 +4935155 +2146554838 +1452955747 +290026065 +109377535 +1724950191 +1662526031 +936858059 +977283089 +1071869305 +1532981529 +319342206 +658276407 +1809959678 +32381182 +1866208277 +1924309218 +539682347 +182678894 +1268836679 +2100379933 +939866681 +602099289 +1661339023 +213415817 +1730187272 +981614099 +1082763374 +802690379 +1231547078 +168353802 +807625534 +1230618268 +1621309549 +1097651599 +1339995803 +1198776092 +612693983 +129370215 +28575533 +1684563288 +1662351744 +347917739 +195356047 +1324827774 +380298921 +2061564324 +1101653345 +919981268 +96759570 +223006376 +872877553 +1036626251 +825105665 +386732928 +1250042068 +407809290 +1368347027 +185321794 +1210499669 +452410457 +353675596 +2018125203 +1683028725 +1974985145 +968293154 +875540881 +1026277589 +1580987137 +1004911096 +1054853122 +1118066777 +519779192 +1402770862 +1313422824 +1844606967 +1783069783 +1227503500 +798776664 +555567404 +1324263070 +1021783040 +1428444957 +213405673 +1846888706 +1815177886 +1463447742 +107214348 +1036041265 +1648769536 +1317714017 +1488451723 +2002445133 +1188355572 +1023996800 +1829946630 +9165078 +1899537681 +708740572 +1590152216 +756965129 +1763593694 +560735345 +1276744322 +1018880908 +1874158170 +973867641 +654467044 +954178022 +1772644305 +1210034448 +130957445 +646943697 +490995757 +344363118 +346348755 +158689995 +1807810860 +453563103 +1194731261 +1309096749 +1771277120 +535699336 +1164058234 +812149044 +1559696136 +846521216 +821314123 +1311750170 +1555261788 +263982691 +2068715299 +1171371835 +824718036 +1197975973 +42769095 +551392558 +24359966 +697236139 +1505570581 +1797004271 +1907270587 +1636528026 +296464321 +250782697 +1980891144 +642813076 +409472692 +1641218357 +1096376180 +1604203953 +802831458 +720169652 +2139903289 +1966889692 +1532318697 +1552115778 +665927260 +206149172 +716382300 +73705401 +470131863 +637613951 +1245077236 +1294849899 +1835589925 +1287846331 +1846242458 +1859949891 +1985082471 +1204329391 +1509470515 +1744869410 +693373769 +1805934836 +1995652107 +526781265 +301264264 +257641152 +20515974 +1397640444 +1861845105 +823347432 +2117810097 +1854264747 +642753476 +1502645146 +1258896877 +1308680737 +1708794318 +1975279177 +1382386138 +31442533 +465409480 +479979726 +1326292432 +153515757 +1767826057 +1025051242 +2013465649 +1605424880 +81896985 +1375452516 +1202810643 +775270754 +1033903704 +1050979102 +1302052020 +1335167968 +1308620254 +1322567994 +585324765 +1022981712 +2145915427 +555651214 +729762811 +641185255 +2058296360 +1988659688 +1949865992 +1619607030 +1816455217 +1184768482 +1651049563 +134381049 +1664748208 +829858347 +287896807 +1285090618 +1854909590 +153878808 +743031850 +1936806575 +1529331324 +1945842493 +564593682 +415751380 +849337948 +1866645702 +1750919348 +10474554 +1041730048 +188760465 +1033456266 +1040161827 +744411679 +1763219077 +1681347083 +655224391 +1604395117 +1483729427 +127347773 +1273366686 +521014262 +1778397336 +1407747736 +38278822 +460772036 +1695644543 +1323369440 +168197978 +1849523351 +2066401291 +2105004553 +1231371027 +1864760136 +522114587 +1647122407 +566614436 +241276641 +1250558107 +577088991 +1283006690 +1439318573 +1610545257 +175684869 +36246604 +1226280687 +1857031952 +691470996 +683192156 +1193277732 +818818769 +1956558843 +1714291994 +449732458 +1216822931 +1752570816 +910504494 +764983826 +928456609 +1078702472 +467023529 +847374252 +1036223377 +1698394556 +564650740 +1558337965 +1198033315 +1131265177 +1799614606 +301107774 +1708354168 +935137648 +1740426347 +1171415777 +1110822518 +1776672952 +250212816 +820370822 +320660300 +933404973 +2013648554 +1139479069 +742480168 +1580456900 +1589211527 +1959303099 +1185544069 +352232373 +576803277 +2114000678 +1430934845 +1043826806 +813891282 +319674575 +594737714 +1378542022 +1878012540 +1792771029 +362323551 +1530143498 +2093878803 +2070677719 +317797499 +1686821503 +1094609849 +1428620017 +1316010807 +1344822665 +101507191 +1636671107 +130743990 +2115155746 +628666528 +873224158 +1548128998 +70394408 +685043609 +586189419 +422626781 +1261846886 +552706449 +1853561627 +158190044 +1366597731 +25752554 +752927758 +597656106 +1903765094 +398215139 +959979657 +1286424944 +344610295 +883173729 +1604222443 +2031431798 +1977783578 +885358812 +1199958957 +1175122595 +986866004 +689146416 +1305866586 +954538102 +1317812944 +31607096 +355183452 +1388207352 +716650706 +941372872 +1810834134 +1978497592 +1494079321 +1516912113 +2136687637 +713193405 +1542664667 +742131747 +1310849511 +1298946113 +1140346887 +123345520 +437887409 +1484957182 +1006519249 +2042109853 +1368905332 +836819179 +779985017 +421380641 +2011941775 +1766851021 +1110527057 +1170324713 +573905475 +280856353 +1201931809 +929088928 +1669063706 +1918582515 +1870461800 +1332414192 +1749596460 +1217057473 +701842657 +1738800449 +1930250878 +97023676 +333448548 +1093616741 +1395969789 +1473795435 +1216962262 +1833857198 +811268969 +75997863 +1728483403 +32690653 +912817043 +360984773 +454071294 +777275170 +2127835794 +1564598351 +1947599883 +554257622 +1845454705 +1002048044 +1483346550 +1367034763 +773146912 +1206324702 +551965307 +375259724 +275898527 +1253807964 +2114060173 +58665758 +1350831640 +300025073 +1152282499 +599317781 +1773820509 +221761113 +285691331 +437605830 +297758977 +2014174735 +470296484 +1210576020 +227675860 +924367778 +1987851190 +208028006 +341482482 +1787967425 +762285628 +39453539 +642531821 +98148530 +1406488302 +1415678733 +1304473232 +1958453609 +1790938457 +1580371760 +1064777925 +1757514982 +1639037518 +268125917 +2057540056 +643836369 +867443698 +1683876917 +865597483 +1153135029 +2121482747 +1163356460 +1019826116 +444295583 +226448832 +1247501976 +1368663362 +66816374 +1455529983 +1710145844 +1854783799 +70331963 +1749599383 +349831972 +168480494 +1008604037 +1765510706 +1472953726 +819573998 +1408965515 +905841838 +1884351923 +1018996850 +397395708 +4994192 +929053258 +1041232078 +872437890 +465446527 +1906829561 +2025572919 +439445626 +922702373 +897915388 +883741210 +1149151205 +2145417364 +104920924 +1215967579 +1453463699 +1815066768 +923267730 +1523795663 +1417182503 +1273099702 +1692276157 +278302892 +891126760 +1017746235 +1097876890 +152608628 +1923588074 +834745165 +1171605478 +173500134 +839739357 +2100658736 +1214732212 +1712177247 +418621615 +974078125 +1590266518 +858067241 +1896780498 +340698258 +1741808451 +898448055 +338631975 +1846729375 +2114415634 +1792095674 +1514312495 +890199716 +1168407689 +784011350 +15815771 +713200198 +1062314242 +906942531 +1730946434 +12707484 +1059551159 +1507050860 +847452649 +83672989 +1680550994 +1687192006 +36848077 +747799559 +1251885605 +455469692 +1721877684 +694668476 +1313536934 +1471174535 +1035366734 +907861737 +222138942 +1373998709 +607107465 +189070929 +1018610736 +2121419960 +1079270645 +39534777 +757947663 +1095086416 +752734976 +1820261905 +2002028948 +336197762 +1832969390 +914096459 +1843248622 +532938391 +997769449 +1376315968 +72646750 +1034617526 +2124115527 +1324532355 +1490087219 +1698509564 +2019200831 +656140505 +1022200451 +907083918 +1564002242 +1244339393 +133598979 +23626059 +1433410322 +1152209715 +2145046020 +365197320 +1191744493 +755510035 +1460283736 +1944479469 +428288292 +1314829036 +133193583 +113774034 +81441848 +1976442205 +646712426 +1079211297 +1205274525 +719359176 +2113828823 +1181906405 +2043891531 +1456432394 +732932321 +1915608715 +2112572899 +1755132772 +675208985 +1529091494 +851988517 +808807964 +1552717553 +137915192 +1961017680 +1550279925 +503112512 +1005278525 +158306312 +1963396248 +802274346 +586594605 +1130741637 +935467929 +700368639 +1212183485 +764426486 +1347081065 +143911134 +1969701011 +2066440241 +110256309 +1004123768 +1962848125 +1566688704 +1737056089 +1730973192 +1531777955 +1344705213 +258698529 +913385801 +49210083 +1067506493 +318619707 +187125275 +881040525 +1868899632 +690237787 +1886319050 +2027205945 +506150387 +541109748 +466316902 +1636892024 +1476577677 +1166685541 +701591861 +93520515 +366282959 +845502995 +2063221527 +285239552 +955759305 +919861647 +100604029 +374964361 +509434089 +1831577221 +1906742316 +1854139302 +2090275750 +672644470 +1903349385 +1010298596 +991264177 +2090474660 +1891339121 +712680161 +633228799 +1630174524 +592402458 +1139379187 +23800624 +1058719360 +628787563 +1500378302 +77921254 +1330379425 +1593898817 +444204213 +28398772 +1509636696 +729443765 +984158077 +282014696 +830047795 +1359122438 +791448785 +514141368 +1118381107 +498104439 +456933471 +1791025577 +253970177 +1467232067 +634806106 +196961189 +1211087540 +1347486267 +830189989 +693778416 +1939888726 +1969569176 +717579041 +851124438 +450873091 +70473695 +929045692 +1781252516 +1664372512 +1373249905 +1809651289 +1026525561 +2102693671 +646325718 +1308540257 +785257818 +2005448157 +2099989042 +1299399186 +976345616 +450609833 +1756332657 +619887545 +704580010 +1076081076 +1254693651 +901541200 +139684969 +454696270 +1731731189 +833463385 +247101348 +1553816717 +1551042426 +1098225787 +2004689808 +1621516121 +2027271479 +1638458677 +1138404986 +1253037737 +1300626318 +17446899 +1208247760 +1946952036 +1325987156 +1993505578 +1804916545 +1278492550 +1145421116 +633778513 +1729102383 +754270126 +1253666058 +286198746 +1830351202 +360876061 +1187739946 +1970036171 +815572332 +771987487 +656015909 +1062673680 +178320556 +59574687 +13415819 +35526716 +1681090809 +2040687299 +1673985393 +672012147 +1146241388 +827128063 +689459046 +207005500 +626596452 +2015446202 +53027430 +284029349 +1146455104 +1198448546 +917807863 +728073839 +1952718672 +23990273 +1014272585 +1635586227 +384866335 +54528883 +1458138750 +1200438667 +826516370 +2114154659 +115628699 +1004836926 +26245699 +129044519 +1040363643 +1707336508 +22248170 +566865388 +231865007 +1168489558 +1393993452 +921324053 +1375495058 +2020589904 +789286607 +1428522488 +157135605 +1935741711 +479487386 +1074943468 +516331902 +284722411 +1098933742 +1530604488 +1920308638 +1483800077 +1585133371 +1230963740 +536755096 +264166094 +1197634752 +652383795 +1269003020 +1223880451 +781428314 +161883015 +783733311 +803676484 +728748404 +1015598318 +1972166042 +2122741856 +1936922371 +1200177452 +1995848112 +578725330 +481216292 +5500069 +366983393 +960703679 +1080443538 +883315295 +1245426090 +31893632 +266436135 +1018251080 +1515693709 +1851569507 +101731172 +2052448805 +2115735601 +1299365924 +557348952 +1237254973 +375762727 +1338777267 +1399137989 +1159496038 +2142453751 +2127886393 +27610708 +1967136146 +2103144601 +1964533079 +1019829950 +1951509065 +395774761 +1501046243 +1957009134 +762758154 +314266274 +889969024 +1646073450 +1559692364 +921862656 +1912509585 +430459796 +290072717 +1616595444 +532190968 +195037874 +1584847397 +1831556893 +752386827 +674618723 +59835972 +2091164094 +2073756712 +1219332011 +2086134197 +2054159457 +1246942719 +1905786695 +2009820410 +1063992151 +778132998 +1813845827 +1459766912 +131695593 +1623371313 +75041419 +445961867 +365856690 +1721114869 +2005654231 +1287719346 +1486140806 +288630379 +1577792064 +955252603 +820821347 +1772829938 +392616352 +504894592 +377733117 +1067235075 +564730565 +321413563 +993508139 +1784062576 +260064113 +900183948 +883521647 +18367160 +762520710 +1947513798 +796500158 +428882889 +1259797063 +928195751 +2052254203 +1334838482 +1374157618 +270627245 +908469703 +1232328201 +1558346591 +247126861 +1520958580 +988655007 +1202379464 +194296280 +614001298 +1594995817 +699190872 +991734415 +514747244 +1263921437 +1313147979 +1508255384 +900500365 +1573212092 +260955684 +1784022013 +1591579252 +1023476395 +1584052163 +240595763 +1452359284 +696365578 +1168791514 +1357129839 +2031204060 +395465485 +1627757084 +792190115 +1627793686 +1038620028 +1039316977 +1001268619 +2027275035 +94212793 +1195564899 +493792685 +1689208610 +1894755771 +1485527101 +56472207 +1011193561 +651191432 +1564727591 +1911693926 +76919876 +1825683275 +1548232291 +1668499128 +701676022 +984800807 +1909094891 +6551659 +1681166385 +930402758 +1363681498 +1564886798 +1325868243 +843954935 +209593265 +806178281 +1882574963 +1248910242 +1807446900 +1762366350 +1343123036 +855528151 +108675388 +884847998 +602800275 +1594202489 +941320205 +1613993836 +97910273 +358564148 +1378204114 +174830149 +36763776 +778952758 +1843329277 +738439798 +1763753565 +1604940521 +744991457 +1297436302 +387859631 +2108672956 +714839452 +1713727874 +805144243 +924432718 +372422507 +540235558 +25859312 +32385760 +155118260 +1368982348 +887913911 +263793648 +106346699 +1490714186 +1857996137 +1047666904 +957224374 +1955906410 +1406231053 +187944841 +2130736559 +1442994829 +966897599 +1826582189 +33950979 +583167516 +1284039062 +778942437 +1880603818 +1671898693 +740131745 +447959623 +1238142919 +1545275988 +1372392341 +1610565426 +2085511546 +1398251653 +1642951186 +93146158 +619750354 +383381450 +356939807 +726097053 +1874095636 +67452296 +1773763957 +683836363 +2023358707 +1032511362 +871781204 +2006611618 +328022543 +1838678803 +1685710159 +361973523 +274362671 +822265573 +1140915960 +7482841 +346680618 +1881047705 +455442464 +1584823537 +1278840045 +1827834805 +1047905316 +1216867943 +1078602811 +543372854 +1310014101 +1698353165 +926754304 +1666953908 +276966570 +653366293 +1734406205 +2050730527 +1337202656 +1610281264 +935758242 +61500212 +1469409234 +1263780785 +1900179015 +1007635746 +1625754308 +27058038 +1829901319 +619186620 +34540879 +29098290 +352750677 +489983344 +1613921827 +1631590722 +170334501 +514343495 +700975017 +1248937312 +1057716350 +2010989119 +799806829 +1984470654 +1530459379 +1076773399 +490353299 +1117381936 +980020279 +1827555955 +580179552 +1915778521 +1889056167 +2049588787 +1032075658 +1641751534 +909740885 +510346319 +1668809572 +592158556 +1129532939 +1703350452 +621256846 +1482283617 +45850148 +87695026 +966390691 +216184649 +602038521 +1667365709 +1465121962 +1659754871 +1530871180 +117445143 +1496741878 +913846911 +1194218543 +1987095177 +2031228848 +26755174 +1667167485 +463924752 +1942533695 +1408740004 +366029891 +827125705 +903007891 +1275770776 +1337472024 +424333815 +1867929333 +319521316 +2127684267 +341702531 +1801804933 +26050767 +429397557 +620711976 +242235417 +1031436079 +140594037 +1707357379 +543707302 +1671465217 +1824802522 +2040449180 +437828481 +871537417 +1880060710 +321573681 +898292591 +1399744547 +785498433 +693342638 +661000903 +1151528325 +1520468344 +1564008794 +279815453 +710456720 +1988342610 +261138 +1029978036 +1968543229 +341963670 +684299321 +1994593997 +771361227 +1305011298 +89345766 +1802797306 +1445605335 +1796703145 +199020961 +969586905 +1474022019 +91986493 +1407415386 +198075789 +1972047203 +1728989067 +1096368380 +1224308102 +367003852 +1789711019 +1885309006 +1518532177 +1162695715 +1301834152 +1798347631 +1873152435 +1142693114 +1798608769 +755646824 +963752696 +2140572439 +1439946145 +810863045 +764450019 +597473795 +900208811 +419763677 +2043079131 +549428308 +618784638 +865182388 +2023450327 +710771132 +125114126 +74042468 +535334687 +1854103193 +1170410849 +1759642790 +73623397 +812638220 +1497468148 +1592155575 +1975333935 +651818652 +1243019558 +1701002722 +1794511767 +894144679 +309165898 +610780815 +887233471 +1749112044 +1421643860 +1651683490 +199102191 +174369023 +2071447167 +94697674 +723797331 +542748158 +959880062 +599764010 +1253519290 +1084994188 +673806479 +1788853977 +791613733 +1844217328 +1401013119 +865237131 +509371900 +750997619 +309909058 +337222187 +1402816272 +1552928616 +2038224909 +1049844391 +299589647 +199907160 +1660625206 +1186823118 +1949019204 +934785418 +691022960 +637747 +1109154441 +614986480 +95335422 +1832951772 +1157734638 +1055215484 +285232134 +263770280 +2140209673 +959038613 +2052624257 +784339758 +655772293 +1306153729 +1649576889 +1165144193 +2057151348 +1959485947 +1502366380 +1312483972 +1364930915 +1393107642 +214844715 +1664520563 +1593014802 +1875469921 +703860033 +1394550358 +662771691 +1394882994 +1395188105 +1771926132 +2009869474 +1490523527 +1457394256 +1020120464 +398255364 +1742626391 +1283890744 +390981389 +554181356 +1189031353 +1175321147 +1209953650 +347701434 +677414389 +227614195 +257369135 +489416688 +1729980576 +1569853107 +1854347604 +975604570 +1784697823 +1371384519 +421135724 +1512684096 +2075244552 +1815686082 +27972140 +1322643898 +1063390539 +1799898272 +1185029724 +406430419 +1109808881 +57666540 +804685783 +704951624 +1341557284 +1195667172 +1259132980 +383104990 +223504671 +321602982 +730806424 +900919060 +549217178 +988175559 +1390335749 +131714106 +410545019 +1097199705 +1107318676 +47759194 +321100576 +1528454400 +1560443290 +248861480 +1196656834 +1588415430 +1571505379 +112563725 +1240830055 +609051455 +518994144 +203155288 +666717996 +1323679927 +908106912 +2008275280 +371863451 +19756244 +243896622 +595368123 +341359227 +974703047 +1496287183 +890576405 +1962878606 +739139284 +1022290511 +225939977 +1836338989 +2129609187 +273699171 +9955917 +1510579939 +1834142462 +258817398 +559753125 +1275074244 +1830322777 +672316850 +368420651 +291890584 +1191310995 +571575939 +958608580 +367507274 +1479682851 +819400213 +739370726 +1499439096 +1063296835 +1334738849 +1840798323 +2037999882 +683542384 +583891080 +1853394841 +1422681669 +1606181591 +2079334818 +1111537010 +1588307130 +205550342 +1121492928 +951403421 +2039692804 +1380310326 +1511156546 +1167283400 +1063149455 +35989748 +1535704052 +1355040039 +1227300743 +2107279991 +166164972 +1594808018 +1439479195 +985565185 +186695096 +791434643 +2048862020 +1521433945 +484749318 +1939378255 +57492681 +1068640398 +1645289448 +1480174350 +527338341 +1577140618 +444227713 +2115645471 +1782690960 +1565720641 +919565244 +1674900116 +798547319 +283238142 +694699869 +1861696774 +319227890 +82920273 +1069253165 +1546528634 +42716616 +1235418137 +993853004 +1482195811 +73499674 +1180548100 +126146806 +2122361695 +554498397 +610896124 +1914256302 +611991078 +1679536522 +1412062102 +2092165429 +59391215 +841719072 +388909494 +27553038 +476926385 +1954630135 +947118282 +4342853 +605693806 +1230356424 +699042722 +319906932 +1549584315 +781962995 +1389160097 +948629301 +824679612 +477094587 +1942482305 +159391775 +550594261 +975546757 +285538582 +525472308 +1530045154 +896434706 +292244962 +2142036232 +428487581 +1704307064 +2086718013 +487878796 +398542489 +328143859 +515431835 +875468874 +135290346 +1462550117 +879811727 +740984152 +545422894 +1578854450 +1060891084 +2095007209 +213333797 +302567534 +896152862 +1038013409 +779662121 +691151519 +1197405185 +1330256382 +1666698276 +1482943767 +1855728691 +1049259782 +231894825 +490005 +1043812366 +660382406 +1704797070 +983046732 +1148261203 +2103339559 +1311190591 +1663693038 +831324785 +1446480938 +978759507 +1711136512 +39981442 +1524182401 +1142507314 +1100872527 +1471705962 +1355841112 +1403440061 +220375176 +246370873 +35618534 +911526695 +1443776058 +1365874916 +430741323 +779236177 +1074119959 +1480001105 +1011131003 +1074609965 +376329824 +1671513409 +631923387 +1359376556 +672290964 +587779298 +523083499 +188500354 +1419104083 +1969564437 +1167259862 +982756947 +2009545880 +543958615 +2125264262 +962934759 +2015664578 +1333621726 +218891172 +88556106 +1579992599 +254509706 +1000082802 +876285010 +1620384622 +1430824125 +1655521187 +547020934 +763341583 +519168542 +1621630899 +1139671407 +43198304 +106070638 +351564315 +715489268 +693849936 +874647814 +903989623 +2112954019 +696728604 +2071249485 +948227318 +558790836 +467724452 +926007932 +1521725595 +335905382 +112146010 +1740616767 +424461489 +1692138610 +1995126473 +1424544291 +420939972 +1468027447 +707884768 +2076461159 +2015048381 +1471226351 +448146054 +1489195632 +463414110 +491344358 +1595266270 +814978425 +1206833626 +141632558 +1689626240 +2110823249 +107102929 +238871196 +2034589086 +1055330248 +797662032 +354829891 +1981338180 +171903979 +690735273 +2093484191 +1912520746 +1115196762 +1638139153 +1760163571 +392257405 +2059079125 +1080707370 +1100142174 +1988056636 +948272104 +423884877 +288719042 +289984088 +887298988 +780063400 +1885250359 +1702277413 +1986897027 +2026882917 +1244420005 +1950236628 +2133985847 +1483291201 +1837342067 +1041832447 +133469585 +44688310 +875686979 +305373564 +735423583 +821687522 +70410662 +1850620346 +312343027 +1830574233 +95394103 +223938504 +763797956 +1195536277 +64511493 +1712070060 +1619421155 +353230535 +2002054148 +359236495 +1133293936 +1739820859 +2061513908 +972707315 +1619220129 +1158450266 +775460295 +1605722328 +494257819 +465318714 +500071127 +627727405 +510007024 +1375758106 +933100969 +1245430608 +49961981 +1003511632 +948567306 +362305008 +686602217 +1043961409 +586243513 +1450400173 +92014039 +650755006 +1014986585 +1711435194 +1003985541 +869557086 +2070671689 +2137279477 +461894297 +1984701949 +962503144 +2081114426 +995668567 +1737963440 +1539353106 +1489926387 +55798506 +2039424233 +2117653792 +565805531 +1267698692 +903271113 +1811236139 +1317660673 +1906782745 +612319797 +1679965681 +445901315 +1656281206 +118725546 +1896301488 +1748295245 +769480552 +763804426 +1312246791 +1773466094 +1633361512 +1235434832 +1763261923 +2095255809 +1072653134 +578281420 +2028886588 +2068321701 +168761212 +1420756046 +1410764440 +224559718 +1312696632 +1380934584 +790365249 +432911676 +136722050 +454117740 +1750572349 +2043504795 +1066437537 +1283054382 +341922462 +575235096 +1401779929 +90740303 +176046693 +23776833 +854544729 +1488293485 +1797242927 +340422593 +576244669 +1413021203 +288194754 +1648897803 +1991302623 +169597694 +1569735857 +12580187 +1590353741 +833016649 +237139905 +755566725 +66467586 +1027505155 +1188478401 +203189636 +1481622895 +791567102 +99210783 +400576785 +2074621484 +441133246 +975811881 +1328917765 +531873549 +1151858574 +1352694599 +1386418278 +492668411 +1002453878 +1726840871 +1068913081 +267991433 +2015035625 +570327236 +111810408 +37149672 +2140063093 +124390595 +1627503413 +825596095 +361530501 +235586490 +892063681 +1389035656 +1424064891 +1095253317 +723174903 +68148345 +1194464100 +1123751688 +2142769829 +1635597346 +2099563569 +1324203947 +19987247 +1103938496 +529414898 +1406405525 +1596606907 +1531868776 +985762748 +518036340 +1799860210 +853314726 +1088363577 +1911670618 +890464398 +1080943022 +2036061214 +370484163 +1906539117 +250108067 +606070653 +651119150 +1639143723 +2030135544 +1746372467 +214834978 +2098283889 +793352920 +1338586667 +2093570070 +281466618 +1290666588 +1270290369 +301453866 +247121436 +1799705267 +1707859391 +1843728344 +1184090396 +546138492 +214281036 +836466958 +1399453218 +1302644613 +600653928 +142433968 +236103988 +489231494 +512918131 +2142643105 +739339561 +1118988784 +646278608 +230999636 +1001640680 +245167427 +445834615 +952440921 +1038520347 +1784421282 +898527343 +1319986966 +927604222 +21334065 +1621440832 +1174725659 +1821039332 +1181816575 +870970355 +857646080 +1727955067 +1085251391 +1694113038 +979924637 +240412357 +147283319 +1122358605 +476516345 +636514813 +1635276736 +471675802 +1375854375 +606781872 +1117954410 +1606854011 +1608422552 +1363121838 +2052688626 +413379825 +254158537 +1689626260 +1311907169 +1574145503 +469746835 +1333241234 +1048102687 +1644472494 +1006796918 +82435615 +367959201 +1864442999 +1810390682 +1453210592 +1411072389 +642831672 +1693622949 +1558355708 +1765190277 +22655646 +47386874 +1252983366 +494331449 +1423241249 +1859765238 +1612285859 +882611612 +1320704143 +827924049 +787816591 +1734083968 +1082082587 +329959203 +898507489 +508744442 +799706038 +84265075 +1556847130 +296694884 +1091061994 +1639282745 +664654085 +808021345 +1302189779 +2117864678 +71610086 +1945021451 +1664003979 +1629965795 +1562728081 +1686659626 +1677352669 +668227799 +33507427 +953110270 +380509389 +1645793286 +1835721882 +1701213532 +326233688 +476054825 +1287813853 +1408316275 +806014029 +38837694 +1917060717 +1605720067 +123102770 +1326424199 +1902414952 +1214164764 +818223296 +419585389 +2022186109 +2120413076 +389966419 +2093796195 +1917950879 +2053970399 +1576278342 +1333195312 +1593146377 +1106147363 +2001423111 +1626653804 +2059257633 +234448853 +1124963442 +1747495868 +1935662385 +1451197130 +76067045 +1075992590 +712029757 +882081074 +1114830285 +481606827 +340317494 +1237933055 +1808031026 +95248798 +304614171 +478770675 +514834187 +179316632 +451700103 +904800607 +125629179 +222167334 +811287358 +1701907522 +1555362647 +256950087 +660571237 +1409302110 +1883603891 +572345223 +1643750963 +861083685 +172357443 +1431929701 +164797168 +248424488 +360438643 +876826925 +1130505563 +1475268928 +1358433752 +1470823057 +565718335 +1018981131 +1566071855 +870332506 +1497751806 +2080906042 +1049649138 +1949451909 +838223001 +1175278318 +24135595 +1649510359 +729702192 +1579498242 +1906460446 +1390273429 +841316705 +1642580689 +1962618652 +337584020 +356180727 +2134976095 +1769513721 +520977895 +235916936 +2129952365 +1397804820 +1366422499 +1457737645 +608754925 +689761908 +2023455981 +1627736056 +108350115 +746304839 +978004214 +41772509 +1795953978 +779972475 +879995511 +823748648 +804108070 +382022222 +1553450840 +236122665 +140999021 +796240621 +1077439370 +1783579710 +611375626 +1415023390 +2139760437 +598868073 +1037053464 +513254684 +834785009 +1019522181 +1911059505 +53723860 +329776178 +372330782 +743485768 +205748511 +2000066838 +851835883 +952053351 +830587404 +893608393 +600523681 +1610559879 +1773603904 +1424272329 +267184301 +8142478 +830239521 +503306966 +149141499 +1626480142 +1580746336 +1932721210 +90372120 +848286079 +1924997999 +689240194 +1885339543 +290769036 +1524025203 +757378076 +54344893 +1577749064 +1087154254 +426675675 +173751184 +1292902766 +279258865 +1025587068 +97472469 +1109846269 +1919195461 +697996150 +572922500 +1545315717 +2122268479 +840106801 +1553458195 +805024352 +1343413768 +1702599695 +284020846 +776676456 +1487837257 +374392967 +1624962535 +1265351608 +1063633161 +1362818430 +1556120644 +440174716 +2120196506 +1610465537 +2017923780 +1059867113 +2037141212 +44191317 +205286231 +168916429 +1069778385 +302758700 +1278762698 +841490198 +1000754850 +1851685198 +239322267 +975539681 +544308352 +1792780462 +1780564033 +1887722120 +1347896509 +2064584879 +516914928 +688250118 +291494198 +2141877464 +1953601727 +1355127359 +1357212246 +1362238723 +1795302076 +1329925105 +825220613 +1665742208 +242308570 +714878177 +1709933525 +447594801 +883794607 +632228262 +750353501 +15073657 +1473718460 +1751108351 +1866758856 +1713040727 +579164384 +263583560 +1358337542 +212244769 +3822032 +558750403 +129346000 +520736960 +1247000522 +420840199 +515130776 +1053118601 +1775967558 +1872343023 +267873676 +1423785986 +1054784480 +1093094289 +942044547 +1297093050 +1807972467 +504494424 +1744687851 +544283426 +1136722687 +347557704 +559357083 +462957499 +2098666055 +278632291 +28514579 +530346791 +542215851 +1386852121 +742591560 +546037883 +1945602524 +871937560 +1066774844 +1045119398 +1292777759 +1581905620 +2098237999 +921261670 +1306764995 +218628028 +197564008 +214065827 +1311722317 +1139608555 +1511158877 +972211136 +1644102980 +1108363080 +1516494562 +633342019 +1455920784 +2075851646 +1096299518 +1407103191 +207000289 +1124814097 +1937449982 +749216141 +364182570 +532557894 +1295254024 +162301447 +1404495455 +214545220 +1207420845 +549789566 +1796450841 +1158175197 +1471051236 +955732188 +1376803225 +1668615245 +1169798016 +541041894 +660740152 +533473245 +1513253031 +157359484 +1641836326 +882263945 +790701503 +950273462 +810631943 +1887001022 +209893006 +1017632233 +864331471 +2147342988 +1766848374 +1228514042 +532417235 +914618750 +1390815489 +1936912690 +1129163971 +450752686 +339218608 +778131164 +1608927883 +1810269845 +1733863352 +838247460 +1331401442 +756177720 +1379289355 +1992141594 +1289650966 +745058738 +2017431 +784003644 +1627322683 +792718934 +1734277106 +290470979 +532236308 +1944170112 +1308103212 +1396567780 +1944029453 +927467938 +477598174 +328963040 +1842086688 +1868413663 +118392082 +823767011 +171682701 +457610690 +1601898175 +1780610585 +120396887 +1188277880 +471374397 +1451798329 +1944455600 +1850663752 +1296456276 +1086622918 +448238842 +1298473707 +1870626562 +2075561526 +2091192641 +1457420021 +218548857 +475945302 +1254106485 +1526652069 +1872513082 +1050652290 +306636359 +202627608 +1379615330 +1239399 +2071041271 +1498007412 +825006411 +95240324 +1955618103 +279420938 +1875850909 +2076014990 +1467698818 +199741659 +1380329672 +1264670771 +2050405411 +529302300 +203810041 +351160606 +1827776007 +2074436604 +279238484 +1771485000 +1384372977 +497787341 +99946654 +490995814 +2024439410 +1972459736 +1541648105 +183592121 +27603696 +773779787 +184831520 +2098644967 +124303552 +1009837931 +46401644 +2079921655 +1289258870 +1922252553 +2008452997 +609474040 +2121994212 +1241299021 +1874144811 +2024915976 +1770601321 +2077954853 +228592934 +1450893680 +2004907809 +507831418 +1074895033 +1241797138 +1005618759 +1174841687 +1732792952 +882574521 +999817776 +1126957409 +1066166642 +1027421472 +1900737197 +1250998162 +978582792 +2025040749 +113352446 +1024984436 +1957478756 +1402611316 +799753341 +1818448105 +2012085356 +774263906 +912263479 +1738746520 +651696234 +535381152 +1669217725 +880289168 +1986274833 +1526641886 +1388120586 +913686218 +620955376 +246255697 +2088527905 +206264680 +1128830218 +940862033 +1333222090 +47513212 +1968283506 +1086475639 +1298511374 +799382650 +964032740 +1411863820 +1824367086 +774027848 +666991488 +476636779 +444992305 +531593197 +1250900685 +1357255784 +122856069 +1902596919 +1892636937 +1792073794 +635402439 +1731428122 +1171232032 +2023523025 +497630692 +1792187408 +122295074 +438674949 +1998452088 +1251125292 +1379536983 +1184190530 +1298638504 +1200336841 +123182521 +449666231 +1999719491 +1087215261 +1861530051 +1676602929 +1861243109 +381037892 +5756060 +158751767 +912631089 +1256656746 +1516007551 +1035487158 +1011770017 +1261160840 +680077304 +1647172457 +845105314 +1851309336 +1523211834 +1342736006 +1496013096 +1645506909 +1781410956 +1346981536 +749148553 +1013464291 +383688419 +2047787058 +66317484 +506870940 +349969641 +2066036975 +1594086202 +64016044 +1595156256 +1307845663 +445053936 +1600912316 +1466597430 +1357685025 +710085414 +835121334 +245688535 +1721855432 +2096282174 +925765839 +1221544241 +793903841 +629591527 +597272427 +2136639847 +2125604623 +95295688 +1770567155 +1325102512 +844444242 +636547798 +1708790931 +744747652 +702865282 +68178223 +1094717293 +621418609 +1662264425 +1158733337 +69091217 +822626441 +1603787274 +1670003534 +141740223 +813988651 +232605300 +976861557 +1059677187 +1954460732 +925660084 +1985443026 +1028521325 +1719563925 +467550906 +1625793753 +1708720124 +445671881 +1721089441 +1331803632 +1770774393 +418050035 +1968351430 +1332081676 +1162797687 +523733065 +1400259900 +110031332 +1145151674 +915040677 +1268764670 +1214242892 +1737667118 +725068296 +736762778 +1879407342 +1539056947 +969368078 +708785251 +451250486 +776345163 +1634445335 +289209865 +1804866488 +1206525612 +756760771 +1283176593 +767762089 +1202432652 +856782387 +2099565721 +825723398 +1274832422 +1920433503 +10321426 +290146462 +296682920 +1410581326 +400177794 +1441834595 +178138356 +1668942464 +508593839 +1915805474 +246527112 +1245356617 +1647729168 +1785584060 +67241047 +209030772 +89350898 +843586210 +1843476107 +378560763 +500969051 +902518072 +1135321534 +1784145644 +1670280161 +190270539 +493444383 +1622362234 +1015993937 +1768276806 +1395312089 +1026315363 +2058423268 +1691995010 +289413042 +311117414 +986345957 +467551398 +1980059879 +1494939796 +235873224 +79103343 +592812765 +1883602393 +1864687403 +660053812 +2092633165 +1954038302 +1503640023 +1788625624 +185115417 +2004609074 +543660048 +1320436952 +1641271070 +66456561 +1510707491 +2134715454 +1688818795 +379217780 +1755508612 +936647237 +1405533143 +1666448232 +481158599 +1694946185 +1977565646 +1467504556 +15013935 +1810141877 +814960704 +250887160 +1889245221 +1407773469 +2134489553 +1606448976 +2067827281 +2079639070 +1413003630 +1423983656 +1720781046 +1598119048 +1281109082 +116957447 +771072352 +774896505 +183414008 +134296195 +762128311 +1872232804 +513513975 +370153275 +661396393 +1919047118 +2036601507 +1142554992 +1466509656 +1866683505 +462575900 +1481523591 +1529341735 +1277536604 +1732410751 +1271103308 +537826425 +1719416656 +730068636 +458170058 +1651572078 +2143072267 +1882153715 +1224869477 +1593707667 +1015779149 +1341826924 +217296371 +1790675654 +1525240932 +351592566 +405320317 +1249990088 +865106541 +775473592 +1911386481 +636670011 +664591451 +906457825 +2103179667 +383791309 +1369033725 +1437219611 +1913133044 +499086681 +1022146714 +1036752704 +1036913106 +594079723 +1766821340 +1495083165 +98168153 +1762409959 +1229753232 +1323037630 +1208633978 +98048733 +517380906 +1425930349 +1888724388 +2042621839 +1777522915 +146561057 +1145128279 +495145808 +922034650 +909031113 +1131815820 +1586626101 +1815488938 +1087511839 +1970417410 +1037039016 +377247802 +1736066806 +1536125697 +1399394517 +625335862 +425555156 +1993474240 +244673555 +1920638321 +2091642393 +2007083514 +1002907905 +1267196376 +1068233845 +1100956638 +1784577282 +346680546 +842197378 +1679715473 +2124203462 +988758436 +677360105 +471865622 +1910793086 +1586391218 +1603681442 +1349935539 +1254396508 +543709634 +1172869302 +143951876 +920957436 +761452460 +1680077574 +172868305 +1386788323 +2105632730 +18858897 +1631461878 +1878787403 +2110501291 +1491061744 +734211660 +1230214019 +411811941 +1835168298 +867307653 +758492488 +529882029 +399539479 +735212302 +1518640465 +1076899584 +1207077924 +1281949903 +515807154 +663275719 +484401794 +1770203662 +1206985353 +1657271096 +1914155539 +2127942789 +271239909 +1446749465 +153327447 +1658028232 +1404898547 +172186344 +1142006462 +1136202302 +135203987 +485584558 +1870413962 +1365418006 +897396500 +1558098612 +85242012 +1655888988 +2087980641 +484781491 +243617642 +1459137458 +1561681075 +1450695566 +593603713 +2077488229 +2113971285 +1078005508 +1700208243 +1173472990 +587792956 +1466880134 +1153932132 +859032865 +766145951 +1307259579 +369577449 +23560850 +1479445923 +1511583911 +1159763152 +1614649911 +1997168470 +882693466 +832584269 +747081322 +293308431 +917826281 +255486662 +233805424 +1402607772 +499104304 +1692942883 +816805199 +1949799870 +139062948 +746809780 +1916287508 +1217068456 +299534376 +942276850 +1804861413 +1766414510 +2096208982 +516410630 +385076814 +1255984913 +885988080 +408637664 +587947189 +250088343 +1568400817 +55113452 +99773165 +303610635 +887697721 +846854487 +596919066 +1805524003 +1102341149 +830724491 +1060648127 +1601445453 +376183726 +1877453327 +1403761676 +515246674 +476779459 +1172565536 +1732315131 +776313835 +2114842386 +1389692896 +395244698 +2063567721 +1906103526 +780321512 +1172068986 +644607958 +1188959176 +1760016175 +894696302 +609876345 +1815129627 +994469467 +913486981 +555343701 +1841323955 +1510406047 +213384056 +796181456 +193646890 +1274032183 +250143262 +569830616 +1004001862 +1653904938 +1085077291 +1480781322 +678986826 +669908774 +109611509 +646345564 +2059601670 +504856207 +562429637 +1818221548 +1285177719 +1734498624 +315345859 +326653248 +1347031151 +1210042161 +936529593 +1014677131 +57027980 +1850016574 +1570020832 +1898351935 +1212938974 +1783404888 +547049744 +1406585864 +909953423 +797193006 +1976416481 +1913955286 +303614296 +914010124 +1247252960 +982601122 +1583918898 +1356864469 +1628946686 +1496036920 +1861720677 +43892676 +1166774820 +999414748 +1778391300 +1482120679 +1326067996 +977938803 +544679192 +115113942 +1992615934 +601707173 +1965130516 +1415153118 +352575460 +1030585842 +1051074358 +899625204 +289688059 +1961027782 +1696818210 +118620892 +1727499420 +2000432506 +1032631016 +827268732 +835549980 +469066266 +36649553 +317013019 +1965103186 +1898370230 +360905695 +984394358 +750301331 +2139296995 +319031390 +2076369327 +969752150 +863710582 +43999621 +814884437 +1465417755 +2009130138 +82553907 +1817993216 +892232332 +1133628266 +570134772 +1181920391 +947172400 +119469335 +1300541283 +527188172 +2119901841 +185688651 +1354456904 +807968174 +654754917 +1391106457 +1124981193 +472374455 +1141993040 +1485886888 +1456768814 +1892294371 +1477700235 +1775800204 +1821180050 +299968737 +492027138 +1865179672 +1114853174 +1957444894 +1726826162 +1197407082 +1627954462 +471574846 +183551700 +50605586 +1653495238 +1130724100 +170074921 +806552873 +1657912272 +142493115 +992241525 +864885528 +950461289 +1646996442 +108508337 +2075442482 +2119370898 +1250501377 +1413845722 +1428656064 +995312100 +744062309 +1056972620 +669008503 +1044031046 +1548999758 +386704527 +11400573 +1358961004 +2113530689 +1208807655 +839431818 +437621887 +1392359355 +890037405 +2091117125 +375599807 +1060112326 +750186351 +2033512079 +1202605441 +1742427876 +750913959 +5583082 +1241940670 +859422296 +2081025564 +1213827920 +2109923674 +1347387638 +495000336 +957752126 +2091449947 +1551972956 +1626760629 +987997346 +953489067 +2013465156 +999397919 +164966423 +1979512197 +60721926 +1004398242 +269650437 +1453081281 +1894435647 +213283914 +1828681088 +807064325 +963470265 +1714709519 +2009669767 +558414493 +318139830 +2015252849 +1800355164 +1177562126 +1948794766 +866699436 +1140002152 +1148698756 +1361699773 +2097754279 +1092665056 +766189081 +1577031260 +2080662402 +1719678148 +1443012769 +932576673 +1884644572 +1275041318 +993298599 +741559166 +1544691755 +298896232 +488511165 +1757975670 +2127577320 +1295575490 +573962287 +1694803191 +1157761609 +1132376781 +2012943021 +1025530811 +785248297 +1043021499 +826841929 +1651947733 +35540004 +1975540685 +866163858 +2133294283 +920722093 +1632352940 +1562841895 +853900847 +1204547440 +858371016 +1786477520 +941708364 +2133412335 +632292471 +1683267530 +1530620442 +931188703 +24295047 +1141112464 +911282375 +1319870538 +1715074752 +458601918 +330148499 +699967885 +324061291 +1355679310 +1485216182 +1367082791 +35037591 +989680267 +1402622795 +2010578277 +1855844126 +1388433430 +783816722 +1340713418 +803791677 +1637717570 +397777210 +1662162694 +1276711442 +1339485575 +1648091381 +1909003914 +875269457 +1031228175 +692708969 +899564505 +24856992 +1603991345 +71951395 +1739931744 +2062593263 +402099894 +292415981 +239170907 +1757779205 +1777632163 +1606253698 +1792816796 +619828782 +861392845 +1655911425 +328189260 +102342627 +292244500 +1668902678 +906134304 +1929962070 +2066679889 +420813350 +1059189864 +1258681816 +2068904731 +820710130 +2133951273 +952649259 +1513419100 +886032130 +977506251 +969926797 +957983525 +569954347 +885036412 +1360083420 +862370328 +1124207319 +970378977 +492518843 +582977369 +615712125 +1112347625 +1444370214 +124139903 +1440536886 +1546712841 +416384403 +961955916 +305363498 +198862825 +881152157 +726176848 +1258052689 +2139833973 +647597932 +2078762820 +2126301599 +1600247191 +1444698272 +864850081 +430269794 +267141421 +1822833607 +1000224141 +1152177833 +1035433379 +1862594469 +128901505 +2005812356 +207629664 +711878874 +474040833 +1319977289 +8765441 +598180736 +613030527 +1555478282 +1014565139 +1574986444 +1860841780 +1213427964 +308654953 +439534981 +323997006 +301005279 +1087132913 +255276178 +279823230 +539896456 +1699974450 +1144673311 +970166250 +1967115871 +820023270 +1970390391 +971810056 +1855456649 +1685501212 +1100711561 +1713785357 +1893130876 +1812590436 +40342543 +1065624517 +1821355877 +638523279 +1678655045 +1229350511 +1653088419 +1106157841 +942708644 +719032735 +1414812794 +1382243625 +1043029741 +1715818073 +321892890 +1298305919 +1995641303 +861789346 +850796721 +992830967 +1831955596 +670428944 +1812854237 +1654862339 +1642239001 +1520827239 +1192879903 +595466914 +1087128948 +938527131 +260573702 +1127471491 +2004151648 +2081929579 +1765994771 +1535323045 +1163796443 +1271599542 +493997238 +2106505087 +1990632277 +1908810033 +1341265064 +886178371 +1477144458 +1663157954 +37000642 +1325302114 +377463652 +887797364 +170649433 +61935600 +1558226308 +1983503670 +1716797939 +1052981661 +1356847261 +762194194 +1648448576 +296492562 +1700721325 +1909022278 +1423964053 +1557389325 +1843468210 +1042475176 +945228723 +859781005 +166591070 +1439225961 +818802444 +9739700 +1200552346 +12583860 +895918071 +530213157 +1675741814 +932918713 +1855515271 +2053205466 +1820716077 +2026164704 +2115141066 +1231458738 +1862184726 +1684455357 +136956751 +1071548340 +299165903 +1785405327 +1368040902 +1999887228 +1546943958 +644521307 +1409792905 +1242928520 +1686996484 +207537980 +2102709525 +1853587554 +1646763942 +774028321 +1863327254 +699832640 +786612181 +611761677 +1230045797 +314870347 +1544680391 +938077420 +220592165 +1217912820 +816758476 +188249583 +301887910 +531459555 +1872704940 +438844662 +1603007895 +24387195 +76766341 +823565149 +2024274423 +1623710299 +1468086456 +1286583680 +719155171 +1007599292 +1494121661 +674381048 +713703199 +993401955 +1448409369 +429546805 +1693234595 +87537902 +1041308483 +775796745 +402408249 +438505226 +1713874165 +623000414 +1656418046 +383148994 +811249997 +1958305957 +914608549 +536471289 +249666971 +370132796 +560858484 +326433312 +1193697945 +437649259 +1950143612 +514300753 +1724232940 +521815135 +1521900046 +1070870953 +1196196184 +88119597 +2064272908 +497121905 +517666402 +1610023855 +584659808 +1558974885 +238336952 +987068057 +1997480111 +1952211118 +1610068472 +1506414510 +187876464 +273834821 +1317236819 +1102485013 +810306111 +1566903790 +1472617809 +1371164595 +1893337102 +518832106 +1808813855 +1695997066 +1033132859 +1385563147 +70328554 +407549257 +308950452 +1266524738 +495668854 +225739712 +1763646643 +1013335257 +1835763567 +200822803 +424826494 +2074100520 +1187890861 +274822958 +1878827990 +650475685 +1781237468 +2066704454 +924310506 +950990639 +1021705819 +1734616617 +370410781 +346839980 +958297565 +116264235 +865672086 +619627772 +1812261302 +1898804945 +2005190919 +1882589856 +158870555 +166657723 +1001630946 +654539409 +392397435 +617793941 +1667874666 +80677354 +818616745 +2092701161 +7294226 +2006507606 +220040471 +1886122216 +509499643 +2001277939 +1805343022 +1433810149 +804784930 +679565193 +1020943119 +1175195711 +1026405173 +1979240684 +1291459946 +1892077259 +451384808 +956237600 +1643398557 +309092079 +691343808 +1802269112 +475749802 +1692974754 +309324873 +868147237 +163285048 +1977199540 +948824591 +981901793 +1922417053 +956118818 +840925751 +2142457524 +694757386 +1350425394 +1996251815 +352616761 +636751895 +653553097 +1032181954 +1657695014 +1828748808 +2058587128 +1489452050 +972725106 +1803180739 +1940836858 +1928962707 +1299095648 +102445289 +472822867 +953881112 +578195091 +18313974 +1263205986 +1446342328 +181599022 +1092921878 +247683272 +1163500815 +867855283 +1203802090 +2004426566 +862829159 +1898559476 +1207368312 +711597326 +103692589 +1844120207 +1365150423 +1135874544 +1354331574 +1046415583 +1046978024 +696299976 +2019140689 +702675115 +489653187 +1800619748 +2001770764 +592098476 +125958968 +808168228 +1170293568 +144272942 +2071374214 +469152248 +325871964 +1016812444 +716835520 +1489372779 +1884667727 +1920637610 +1346315697 +600013238 +1671713439 +406200361 +1311610564 +1775406028 +102836920 +529277339 +763796924 +1457168494 +1575692922 +1810774948 +5984823 +1447349964 +365966416 +495638010 +1100486064 +220253532 +1087736486 +1226445032 +1028421760 +110546406 +1370717974 +952312327 +579698655 +1696589938 +1969124771 +1296534175 +1038479069 +1706308851 +1069688138 +237311118 +158838441 +593917929 +643511479 +1470449006 +221840309 +746348400 +1999726345 +985637234 +56033246 +1427935620 +648928534 +62018069 +727801936 +1014894950 +557656079 +1828288000 +1235148482 +1645392566 +907249385 +116086595 +1755938972 +130483711 +1068398922 +188153979 +1827073650 +890040045 +1484688155 +718069071 +448865248 +406892645 +955380190 +607703690 +1000810574 +1598891669 +2078152696 +1222650883 +197756421 +1930395393 +60804469 +253789668 +1210847365 +709733004 +315807737 +1938649301 +1724627954 +873463817 +1619453654 +812292789 +371372735 +379219391 +928379384 +2127311707 +509703102 +1996778306 +167982039 +189293104 +739334703 +1652670194 +907362176 +1188199952 +2059562839 +1862742366 +1795903642 +912889765 +1314150387 +1726572690 +2135540648 +1511906809 +1509484435 +48861470 +1765696477 +572848153 +758594474 +2081504214 +364013806 +335738780 +807484383 +1983467460 +1148031569 +1178857118 +215203203 +2076410953 +1158685178 +724906306 +1925705611 +1326667217 +914199410 +517556667 +831853763 +1821561586 +1705756619 +743932954 +1536820304 +1354176613 +1656822719 +703487044 +933265655 +1644879719 +67910205 +295266442 +1693741189 +1833606682 +868114595 +304852015 +1767627248 +1232128402 +640590796 +427627984 +1068112214 +1788622365 +1606485102 +1283315418 +1717549671 +617686632 +2008221724 +1495771634 +1944353849 +774937486 +2013328301 +628723964 +449015425 +1571601272 +1372656918 +1985835729 +778294237 +881995989 +541839125 +1711559892 +379392061 +609749330 +2006826335 +2073133250 +295872364 +727457282 +230501618 +2063499613 +1959585684 +871092414 +343643949 +880214251 +512231131 +1950129051 +16046021 +82297154 +420332036 +2024267745 +1578068789 +217202237 +651721583 +1443913442 +845926202 +1100737008 +868031067 +71099472 +939089090 +1646325304 +953095462 +1480928215 +1210401549 +1332487523 +2090677546 +1069744236 +1258137125 +239066262 +1797201518 +1488638743 +155082227 +1609303555 +212247509 +498726176 +342034158 +724478641 +301371580 +358080179 +806775795 +721703616 +234864276 +237360936 +938905853 +886585859 +1681274379 +1784832055 +1987322868 +401821798 +1855931528 +778928310 +2048147102 +661543342 +112372877 +1111065003 +1994030865 +55566775 +33325591 +1104684342 +294633038 +1830527110 +445839438 +449715265 +1292347017 +658086947 +948441442 +1634381175 +1382565588 +1249813022 +1992461354 +41857736 +1971516638 +79841982 +279218672 +762938843 +966427841 +1960493051 +400287251 +806267061 +214831201 +108735131 +1585195371 +115494656 +770278473 +1697568249 +1226559659 +616825690 +1753135024 +1259885251 +1721510032 +2047768062 +942928713 +19865822 +349999680 +87792082 +677952770 +1298441122 +1722173257 +2060518358 +400770496 +1567150963 +2102376094 +224803486 +1646992945 +234111119 +987742329 +465937138 +47120522 +1388029580 +1272204200 +261951724 +1496764711 +709915923 +377446380 +119559536 +260000524 +1604006039 +736385226 +2013135549 +716407642 +310411611 +1913419963 +1659336355 +330277433 +115935995 +1747128437 +1008230203 +1414377117 +1321818046 +921264914 +1815147613 +741485361 +876157360 +2039951099 +240994658 +1110268479 +880209781 +706931797 +1157389002 +120755713 +1979135997 +1419340726 +1617520425 +541568272 +1796787106 +1737079961 +801568797 +1253309497 +325981540 +667220698 +1969717140 +636393151 +433157013 +1481569847 +966670584 +549093009 +1081214637 +1974900788 +1963470126 +255549035 +748682054 +1631134092 +997034397 +1624839414 +1523601543 +1238029055 +587624246 +256327676 +1944960852 +1745013248 +377083390 +1776613201 +1016870326 +1994603815 +170697826 +666173784 +1584200128 +972266623 +1919483281 +1910181668 +1639487321 +1741716773 +399091171 +2072644334 +1075802973 +1365761756 +474253695 +9533962 +1193178896 +290240174 +265082997 +1941860950 +1921374266 +1262117394 +1419216716 +1297492161 +352662802 +2006840962 +1553819838 +150140006 +1604370562 +1930903228 +1926753208 +473757240 +1778023395 +2097451034 +1139931024 +1214739875 +922234009 +911930658 +977437896 +414237682 +506163783 +1376529067 +339398368 +1581966756 +594807175 +813652064 +1591500718 +1787986071 +1103892238 +1856583716 +1582363373 +877782856 +971217462 +854096442 +27791369 +1323880264 +713453756 +1581611207 +1474020271 +170340671 +1365030787 +1253289831 +644097911 +995570534 +1203257217 +1784028936 +62826762 +2125491226 +548475946 +1040264658 +392245260 +1054639729 +269310077 +731643628 +489122838 +864117253 +1545295692 +2080623556 +504619676 +501704282 +1789723624 +2086983050 +1379487138 +613457439 +793595844 +1407278508 +1937337703 +1507049600 +841406067 +1263874326 +1677390271 +58953207 +369680509 +174004535 +1054523741 +1572937726 +1958033471 +1117350503 +1550945304 +359025769 +10131513 +1943190564 +1413665498 +279441591 +527350545 +1902788336 +1143558844 +2072646237 +1835928245 +1648178520 +426866872 +1478168221 +1587677922 +1806354010 +2091625660 +233790118 +1066148870 +1881479716 +1740839719 +1907554938 +997870394 +1270746342 +1966508145 +1367550904 +1444750877 +873548238 +793004982 +1255300700 +1990898742 +196466639 +1614326469 +2001030255 +2139657203 +880508320 +132988198 +519524100 +635813008 +1276547042 +444686690 +324257605 +777241915 +871553562 +1802425827 +217436189 +530423924 +1746567839 +451226308 +1596572795 +1480563907 +44582379 +1356644085 +330950654 +1315328721 +1175668582 +1698501558 +612595951 +2049216820 +344022892 +1867896651 +1892631914 +540489531 +1334739473 +1746178522 +532663087 +67764145 +1879166720 +1052187187 +703577153 +1008230115 +1496873877 +1027834759 +1785472030 +220943791 +682776938 +2002908219 +751367716 +281861129 +306650879 +200456863 +1762425037 +351233258 +1557100948 +2093375691 +1666561980 +585285882 +1644393601 +131674283 +487019054 +1988416493 +1999570934 +232167321 +381422377 +1186826759 +1978345843 +914085464 +1254590904 +1710028915 +1966272651 +1958168058 +570775382 +1315662881 +838519169 +208763764 +1536606672 +1521296107 +64188336 +140490740 +1803157236 +370839215 +340947603 +1418098625 +722072474 +1898048551 +1363990668 +241150806 +335850785 +860900621 +372825089 +822869840 +701833467 +224912375 +1055037161 +1083255844 +1411739135 +885899356 +1997341308 +518846391 +448444623 +1816130311 +329530801 +1019220006 +984309544 +1168049970 +1227983770 +373432569 +541862429 +1292172106 +513923309 +197536018 +1663011322 +854870913 +1615634643 +237600148 +605435816 +832141664 +478750954 +941286602 +1693042285 +851576043 +1764156442 +247392104 +1076488418 +671709955 +1330647948 +340743905 +1557609311 +1180505608 +859590297 +2006053934 +849152272 +1189121098 +877790292 +1833461816 +209687421 +2105774063 +59410737 +751549850 +1250462521 +573334047 +949085868 +765990195 +1428204960 +417236864 +1003590343 +2033640776 +1249378528 +1482341297 +827443730 +794937165 +186433692 +444116524 +1042329270 +1262922111 +1115826479 +225493570 +1603666016 +525952142 +1405999179 +315772665 +384522429 +107667803 +1504893764 +1262312721 +1941129619 +1714581185 +1220603136 +2000540357 +318647387 +323582010 +426390756 +1267733256 +1089572205 +1854595716 +1684970120 +2093162549 +1740752844 +786865000 +1428020198 +420712927 +1581802165 +1614453891 +864829451 +476647787 +729892354 +1980655931 +702141358 +186074722 +359124425 +2108140537 +501847388 +743646854 +68324692 +2006741152 +2005959576 +2009454311 +1573838689 +1079079064 +1862511020 +1892486076 +1402661074 +141418128 +1012735684 +344749632 +1996013844 +550222156 +290428533 +1589283041 +1337087156 +1718448731 +2009995968 +771405674 +1185418974 +727341771 +1248053461 +1915311328 +560514054 +1950194819 +2101386051 +919638480 +1910851708 +455749791 +1663285334 +1979176400 +315007295 +1521761262 +1841147064 +1888845984 +453356679 +1556174436 +1633848412 +1856017753 +1697592565 +499100449 +53283737 +1546122761 +1049322605 +343712270 +987922154 +238926114 +2062161002 +850434474 +1010331788 +1100096328 +1577776246 +110901601 +867924009 +2138290300 +2061096421 +821826412 +910445132 +1824464481 +1277576203 +426246819 +1656157234 +1592583498 +1948008081 +1349820650 +1333945834 +253881112 +758511438 +820310598 +2109898866 +308620355 +1319411047 +15698955 +1854743117 +221250005 +359411226 +695181623 +460176119 +274088580 +1545616098 +1470507907 +1374184908 +975908696 +1581409508 +94625269 +966715348 +1495022281 +916451681 +1877160481 +1172003115 +46544236 +155923652 +680676701 +1639127734 +2103931733 +2030497351 +825589920 +210329198 +641525141 +1645900519 +172744416 +950145497 +817827918 +188443371 +657404966 +1039077923 +547854597 +1352586589 +1499254042 +821943177 +750719039 +822278301 +48644438 +1726627735 +256204162 +143269707 +545859436 +1751226443 +1059721389 +275536269 +775745910 +1106265625 +431459921 +1456422611 +597909712 +387908006 +1339436314 +1423499632 +598237204 +1980961456 +921916503 +770981620 +783623305 +1739744422 +959424992 +1441028271 +631338697 +1507279589 +646131212 +2130592740 +181739119 +1396850252 +805387393 +230383557 +975994339 +1061591555 +373653264 +1521853775 +665334351 +1433374653 +1797390044 +1441080261 +392156631 +81366317 +750019225 +990066343 +469274324 +2089455539 +266082327 +1067511528 +1922933347 +1187998831 +1838493149 +559073004 +780259605 +650434493 +2000101275 +1411598302 +10230434 +498748840 +1394707394 +191969553 +1895599092 +52611140 +422353110 +724109783 +1114202695 +796006375 +98479911 +1779537046 +81897380 +1895869955 +1073133660 +474054011 +1977236273 +1823152885 +1464120354 +299026949 +1765124776 +1730202682 +1366538477 +1540574476 +770717865 +1057547978 +2099647480 +1550977470 +1707982471 +1952265108 +815092124 +1718212906 +303530300 +62315871 +1910182459 +51645744 +114927011 +185051922 +775755527 +1229129706 +981058297 +874235438 +861183105 +1062955677 +622621746 +1934316765 +1537009689 +452374371 +1609986002 +853646395 +751401320 +1227627130 +436365429 +2117939797 +620717958 +1207083294 +1028004128 +572881791 +610577116 +588502951 +377663251 +1425669241 +159232209 +681193551 +1487985112 +2069414669 +732839295 +1602912123 +106982943 +1508594822 +684558181 +1088041240 +235346613 +1545741286 +3513269 +857968359 +1332574403 +1540522958 +1310342730 +795076757 +246685706 +2061744050 +2022703888 +683051135 +2032200199 +495938198 +1890134430 +912720679 +1068819989 +353227898 +1501223631 +1446483240 +1778897139 +1660455840 +2127676791 +1119398603 +1582386861 +713032438 +574827078 +1689369804 +74143613 +1259385260 +629927396 +309490226 +657642898 +633440666 +1167458585 +1990217302 +26479976 +330317667 +637810411 +273165682 +244578069 +513030651 +956216818 +129294620 +1008968850 +698867600 +1042015300 +2077788839 +1052095498 +395755283 +1376788432 +683508990 +2056211123 +1356981575 +1802907593 +1491114337 +2070014014 +230251024 +1033000493 +2144157627 +1489636284 +1662927890 +306164205 +2147279182 +148884908 +1473622790 +1990012836 +175364884 +1803940457 +480339600 +448530567 +2048518526 +993370251 +1404747385 +30329498 +2002339101 +2103614985 +1072344798 +1932644293 +1008226835 +1468100081 +1161949077 +1691735825 +1376827557 +371447004 +1347159771 +720458246 +293977370 +1577410795 +1753458739 +290651349 +919563431 +1268902981 +596815554 +919358965 +1417787889 +2070438344 +761888154 +1593152774 +1726895153 +1242227754 +2041683341 +1627930031 +88114357 +1298947078 +1658259530 +2090453459 +1255078415 +583120680 +1875614104 +115821602 +2051220762 +890079533 +1807557428 +1280564671 +1261526537 +1007233551 +2001022917 +1555503908 +437160698 +1606998008 +1846155257 +1356724129 +728417342 +295487164 +128599446 +2146205231 +218441860 +890487600 +1591874357 +1945337014 +2132715354 +1486074050 +1425783397 +73346064 +637537480 +936559279 +16315875 +1892615895 +1519679960 +1891929979 +2008437498 +1423417074 +634525864 +1668511278 +556498097 +1896052401 +528261181 +410037366 +1304072661 +965421879 +2017035374 +1002744271 +174662360 +597969068 +1298231435 +303261806 +596690652 +1516673295 +1193749407 +41081361 +1314526661 +1178981113 +1527155412 +592826411 +1252327177 +17209244 +1529385690 +1268643052 +1909825140 +901582002 +1013089383 +1770778990 +177515428 +1647615247 +1291806620 +734013525 +1396184001 +1820067801 +1144050891 +552773014 +638006032 +1013602618 +1555517285 +812668392 +1611571686 +706265072 +1115930198 +60778690 +75454720 +162195957 +101860052 +1389981381 +1341177071 +1629015464 +1982807792 +446020600 +1646224708 +1364709835 +1714663653 +1408566200 +118808189 +580269388 +1031861542 +296323618 +80400988 +176184514 +1030337143 +1476584989 +1996252315 +26904387 +2029358003 +486774699 +1040507005 +1437391641 +1299443091 +504595043 +2143656713 +267889642 +565373734 +71627785 +430085599 +667233786 +1461609167 +1771262670 +148765602 +1296933311 +69799623 +1794990310 +514159498 +1784463276 +1056072863 +632967688 +217249016 +2087934405 +929291306 +297650004 +116635272 +1959628449 +1774234993 +2112887587 +1986532836 +1656109349 +452178639 +879556193 +946017342 +1751621730 +1384151237 +942190407 +2019511372 +1949524971 +1013818193 +302113324 +469275109 +327943712 +2073375994 +618040711 +1624877023 +2143175617 +265547373 +2139036522 +1780155245 +1321620236 +624520562 +1997404262 +1262070994 +1553811868 +147570618 +1378706266 +1365956669 +1921805612 +1344110205 +1205005858 +1430431313 +1796288844 +2084562051 +228965007 +1400426927 +1321229640 +1171155414 +1272454651 +1123270963 +37489959 +1574567975 +1592546072 +365433671 +1500460322 +63103135 +1990310695 +1496152291 +328650509 +1981863569 +1128823889 +1650270745 +458900483 +978744503 +764858091 +2012712351 +1126315121 +2143564357 +1231185372 +900637085 +1340190915 +288707582 +183584750 +988996111 +225785986 +412549757 +241939390 +1547015626 +1583705172 +1514394042 +522802942 +1621195131 +941478369 +2115349014 +1986628803 +294455043 +30968502 +1829455850 +1790607335 +359619011 +1663835771 +771947576 +2009889756 +2122736254 +1750692079 +627264200 +1987964957 +729523552 +623344909 +1071666681 +1630160638 +1963535824 +1360374264 +1813745388 +805048288 +1586160250 +78811498 +1046987678 +985692228 +1662516670 +413898072 +1508495170 +1136228153 +1355376442 +1476360537 +975373308 +1649831485 +1507329039 +657345510 +1292955172 +1866948050 +173697633 +2064902748 +1729354158 +148950239 +1668111179 +209134710 +2136915196 +250151084 +832479620 +1061098230 +1880311722 +648531796 +273988846 +1546573462 +1453580084 +1860149096 +1625384960 +353084115 +698357676 +1140417982 +766982187 +59369199 +129162488 +2122358629 +1535729736 +1104535796 +1624706467 +895575127 +1761881307 +770177991 +615039529 +1935578940 +687597092 +196910039 +2084529180 +208224623 +406044750 +2073960728 +458375707 +1238524370 +987575310 +191203781 +1887056166 +1261564156 +1737777244 +1193152603 +974229604 +1215678556 +1546236718 +1672587281 +208612891 +165735257 +1731956480 +337775379 +140610239 +1120202568 +1442311175 +1765316706 +2015777695 +1056708834 +388011049 +483333576 +844804127 +1075608141 +680243615 +781849659 +1283832765 +1086288365 +708326739 +1742208472 +177329087 +1695902050 +1933412254 +2064385254 +809982558 +1523705850 +1110054209 +1784212163 +591900758 +508807279 +1309315796 +800513649 +674542536 +893788628 +1138289028 +815152775 +2013991196 +433116556 +432985833 +1882285243 +1489825390 +820996883 +218135171 +187145869 +1896605024 +898378786 +968995528 +1032954141 +1984667152 +1677322268 +627678966 +14512591 +1225740670 +413607572 +2078897845 +2035723228 +1937313422 +1041468406 +1672451743 +381730532 +1550275685 +834283891 +1182244182 +77334574 +1728072519 +173049562 +892487349 +1594580067 +606166118 +1325473183 +1329381662 +2095991509 +2146470066 +1547516833 +135653730 +1895591442 +298411972 +1104649259 +781061936 +135595476 +634487879 +1408740902 +150108067 +1860228549 +1822348474 +81522265 +1748468129 +1612178248 +1122990671 +1273436225 +1993908780 +525782709 +2107720116 +1028669314 +603117283 +1688308988 +1201718877 +1495604632 +1135405407 +1807884995 +673594167 +317303422 +1756392856 +672580585 +1864820255 +1892046587 +420688380 +15748579 +849212198 +1201750316 +151344055 +1483700077 +463007570 +301452123 +1196444978 +137872396 +382974388 +797429459 +1750050644 +1505965059 +2070865684 +1596475776 +2031747768 +2031102153 +477661443 +487381403 +1571927493 +1679380320 +1982986036 +559849252 +1339781667 +509096555 +877152674 +948690876 +1181677141 +594489282 +693253815 +1602365521 +610237861 +1542466013 +656632189 +761581917 +878682442 +1119639759 +1063034040 +2075127420 +1257512155 +1446008428 +725073231 +860079151 +804489839 +648455268 +309071279 +688753960 +532073773 +786732722 +1176135363 +2104001266 +318629394 +1011637751 +516366870 +1658411062 +1520734307 +1393519545 +459618290 +554927800 +1988008827 +1152872105 +9809673 +450763040 +547854470 +666441862 +1212344957 +1426536912 +1786081621 +127895349 +1354180684 +896110128 +1573903777 +2079253915 +1756189279 +230909969 +580225535 +2065260558 +919663929 +1112299308 +704509633 +2095799292 +1068816926 +1023139027 +959953396 +1585183797 +534066441 +333204055 +831219694 +993684731 +888131855 +671744873 +2146556836 +897941528 +1122507913 +546927658 +1564383390 +187369223 +1973464570 +1202981363 +315264572 +1180161606 +2099091491 +1889168350 +1111931874 +1707797122 +2120078319 +1692157409 +1625574032 +892258600 +656973070 +182600017 +840574244 +1725789996 +1205739045 +1800527640 +1163490145 +1739805486 +2133731695 +1994709839 +586006570 +874379902 +518971064 +585079758 +1772321430 +1641478978 +1132007417 +1189221172 +1828848201 +957988339 +244718887 +2144112773 +2138149946 +196326730 +1885797475 +1102598172 +1904123852 +1858392146 +647271933 +1382214237 +603167098 +1304245003 +1564814254 +1443741343 +882551352 +623069651 +1096785335 +2046041497 +215391490 +1083033383 +1893267689 +801398060 +1957413285 +264755105 +1386477818 +1582251068 +1906234083 +371001587 +623988592 +1587598636 +1328989927 +868707480 +1584227762 +1319656225 +1065034210 +1322541589 +274770749 +821674415 +1033450088 +922042682 +56405004 +1636617186 +78804038 +1621219258 +932874881 +961355390 +96805262 +2029660217 +859913239 +312196752 +965209952 +605697280 +1113594812 +775139589 +870452386 +352588982 +209907009 +629202821 +723590570 +833895602 +69317810 +2052580497 +1702603082 +1653545572 +1224753074 +620153644 +828603513 +1499523823 +1441828059 +1862053601 +274082857 +1498233063 +1351187140 +352886895 +971968674 +136578373 +1314242285 +1068773936 +18754942 +26671877 +1380970688 +983964894 +632369157 +347081852 +1759104484 +1502821543 +699670834 +1969011493 +2132024365 +1423261404 +655423447 +53858527 +1328358253 +210542881 +1707404099 +405627679 +830696526 +388523964 +1905151502 +125040937 +103093918 +31750712 +1623274001 +1454281058 +384637607 +447759027 +1590859431 +1698879893 +1516532963 +1609614374 +1725551770 +750020003 +446095620 +210437279 +1097101855 +57716456 +1713258823 +1796772689 +2026727950 +1697799540 +1072550446 +534667749 +1751658067 +253425051 +745210631 +1311578518 +659052731 +1575907157 +1700102482 +416720585 +1700948094 +1803196400 +448471297 +1176738447 +1109993810 +833108905 +1624497474 +553369594 +384505150 +993546789 +15500320 +2110056920 +1743566792 +461595940 +173010551 +693184999 +519312397 +1886269374 +342474041 +398556699 +1436585266 +1415024487 +933224448 +1040759685 +1668449538 +1678435079 +204854555 +180018621 +1106858588 +1904957038 +596739207 +660323035 +1560669790 +1045210504 +1837061482 +523179953 +1878319409 +1314075309 +1076549547 +115340911 +160138450 +1092049867 +77914183 +1903705243 +1553645807 +250924735 +449406594 +2072958204 +2137194109 +791880635 +324031255 +1426295728 +59421474 +1257255704 +319571765 +1727871013 +788207135 +524426321 +1907889634 +1895065724 +281899711 +357145193 +407905111 +1842569501 +1402355698 +97482945 +218265806 +1133191459 +1411558254 +1294815353 +1248532371 +1571696705 +239381572 +1326446554 +1327918300 +1793027380 +1577371289 +1777324894 +1718501936 +1567081751 +421721882 +2042533192 +845893831 +481143356 +1152305248 +1165465596 +61530721 +1940512383 +1689891917 +1969420356 +1688094459 +1971791628 +179081901 +2095999570 +1666877482 +1581437599 +45998868 +1885143288 +567145411 +1457557122 +1032474994 +1815677782 +881770179 +1271856566 +994640688 +62204831 +917400298 +424528330 +1839529726 +488418587 +1991610081 +113767960 +383468131 +690020264 +594911316 +1535773379 +1855485860 +656442038 +1328802114 +1397894130 +478378746 +869412926 +1222202110 +657460647 +817928848 +741595944 +91414599 +863927716 +479255585 +658560010 +174001191 +1511730579 +326754144 +1055771370 +636103497 +1321394832 +1117976202 +1553503796 +1745923162 +810022280 +2041922383 +1590049595 +923790240 +277906866 +132586211 +1518701556 +1813680245 +1988072072 +27659946 +994998711 +1238482554 +506038692 +1864411637 +313201016 +1163499340 +534856838 +1054796961 +1254913939 +1398784554 +1534052546 +1913473949 +1572785745 +898299477 +92744445 +481073468 +1534402974 +1414139277 +1599049670 +940423122 +1012578792 +261588302 +834861857 +455144739 +1185378542 +1112768723 +587730951 +556596450 +778965320 +428319375 +584256397 +1773964032 +1666801929 +1090295089 +1490892021 +1980002945 +106310781 +2025748859 +887316258 +1361224720 +1277049766 +273885156 +1127215021 +702351863 +1172184633 +1219959466 +1183425331 +559103960 +486615096 +634991353 +1499527082 +1499193888 +896579655 +186905292 +1954338627 +2081958197 +1299674015 +394585930 +491071000 +2078639336 +822905305 +1075327397 +1705119720 +342223586 +18138838 +1048528093 +174742884 +124449620 +926793305 +1062059142 +1485674340 +56359423 +1335944299 +465405714 +758711286 +360645284 +1685365180 +1942136618 +919749244 +24496628 +429644323 +271792679 +1523690516 +1326223979 +458697971 +1330545496 +1260698528 +1758371986 +1725131426 +1751769528 +1689527674 +400553084 +679613277 +1247163746 +742776670 +697752116 +148208192 +917519554 +822201736 +1075001497 +1979578697 +160392428 +1131360920 +1168039348 +625798142 +1890072206 +1528684632 +163679675 +1684725176 +300950229 +188176303 +2114369500 +572742908 +1711866820 +1293109831 +1031440879 +894928668 +406324711 +642329217 +472576446 +10610592 +184373244 +873129530 +690223869 +1431536990 +1615906201 +1387975985 +1579745182 +385942107 +62694073 +507263031 +218037156 +223086502 +1638623951 +1386076504 +848884644 +1381212510 +767277489 +1012564319 +918454038 +1068227718 +1200740623 +885339890 +1640970626 +765123795 +30966073 +524927857 +1660052463 +437290785 +1167257074 +2132628909 +447901377 +1351630318 +858274792 +1138125246 +635683661 +326697345 +378617584 +67945195 +712639452 +441311657 +575208227 +930676609 +664398159 +66348530 +169269465 +1513282804 +1447561040 +936546954 +378363475 +218531431 +2004774672 +1579104098 +1103871321 +1498261650 +196744245 +1134837395 +2023189507 +1856796708 +1572128180 +1042962934 +1841941970 +2020029557 +247109604 +552733114 +1010671155 +882793265 +879430459 +1389288739 +950738461 +1592069911 +1830600397 +1525946688 +375262872 +347514908 +1592295218 +544532338 +1860797712 +892372611 +1481079292 +91677540 +1110904042 +1338370317 +1670781638 +67291715 +689148319 +1867525884 +1202129110 +564854179 +1576838944 +626773642 +1607817113 +1271297266 +499319551 +1854926717 +1824030380 +1509990707 +590236335 +555977191 +751795798 +1540974796 +563455 +434912547 +919437836 +375826327 +782427456 +364249406 +920358665 +495741520 +1256622017 +253954310 +587419060 +220042411 +1592324627 +110717051 +287334127 +133989298 +1978242935 +1489463237 +698843477 +1407598231 +2116236880 +159176942 +531411850 +468072783 +2014103660 +207958582 +1978063490 +456856347 +763935774 +582375641 +1997831143 +764499229 +1017288188 +769785331 +1140325556 +1799715644 +1134034737 +2060684222 +147973517 +243173107 +167154884 +735392577 +463215518 +1759479511 +846109628 +750549645 +1893468809 +676868915 +92529235 +444828639 +2084467147 +61282467 +604005581 +468395349 +529355250 +470625593 +676353931 +359935093 +927481940 +1440289705 +942310734 +777829435 +57305286 +1959598922 +1547614766 +1197630843 +1611830919 +534165856 +1110831417 +1759804436 +777338963 +1277986301 +347713365 +1240554481 +889982164 +1193822994 +1991104127 +635967325 +1870691909 +2083633362 +1080795964 +1807675408 +2144915829 +1684801546 +128587109 +526787431 +7943491 +804941041 +886722524 +935425432 +97747098 +1829033258 +1713254867 +155052385 +1641148533 +1113385986 +1352683228 +1105495804 +1647551842 +316030997 +717816592 +277407157 +1594017298 +1065529957 +1517961638 +336515814 +111869303 +1361582117 +972483139 +1982561213 +1297731831 +2053279104 +1642752973 +1295164012 +1590597002 +1771340083 +1821951444 +1598540493 +428797476 +561190320 +386482277 +526544574 +242739931 +2099737145 +681596959 +1883888464 +1065639483 +2034280187 +841900620 +565707677 +202827536 +1559717212 +843114834 +1796844834 +477763521 +213592824 +2133360648 +589632825 +1575174942 +958360140 +424710390 +725423125 +864155596 +2067463363 +2020587138 +307268950 +1691319798 +1695054934 +1905809443 +2120117274 +108761606 +144808073 +499178201 +351501537 +97061570 +1180775160 +87906353 +1162701053 +1067571700 +929806973 +1728408730 +1270399236 +342040537 +424039916 +919760423 +819804059 +637632740 +905637423 +1409436884 +65324034 +1863997563 +1834147274 +790747160 +580669511 +1754126989 +663850650 +887938461 +1297963140 +211421936 +646264257 +1270596766 +320183542 +791072330 +1769774967 +671685080 +888133900 +803066480 +759591433 +2050834953 +1870638180 +1689398407 +1631760035 +993553768 +2031438944 +2055799951 +1913314191 +703759355 +545949043 +671467967 +2113196239 +611273078 +387981882 +1799859865 +1402020238 +968651394 +1406503207 +2065870888 +1856589855 +556982699 +129809176 +355370464 +1827579465 +449992718 +1146442794 +1449870785 +1121677798 +2034576694 +105453617 +1881269232 +1937927999 +1976091797 +1423183991 +1422204386 +822161917 +1307139287 +1330520689 +587992461 +2010898643 +1876469733 +1259460428 +1976611234 +340259163 +1647442310 +1628987452 +1742279401 +468610056 +888007011 +1660666641 +177716264 +1444989710 +1790475817 +533086728 +1125085527 +92984887 +1679529523 +427472664 +1214662686 +1566622569 +532926281 +948448270 +1357066921 +361534430 +224148613 +631787659 +1183696348 +1531287900 +1962308349 +1771688809 +1394702895 +1691294434 +883665589 +1223830482 +2031553597 +383624251 +705334286 +1626349350 +852234308 +1593341297 +1139532343 +1029950572 +890847359 +782524512 +1563037300 +2015932886 +875509399 +1095083175 +295921903 +2090172085 +514222097 +828848184 +891136707 +1871289018 +1190382615 +1115285320 +355593029 +226595315 +499089573 +170417730 +1998284124 +1893792468 +1861712164 +734466065 +970139302 +1745782113 +1118090316 +1675473588 +1224647815 +1970324624 +1121331237 +216696510 +852791548 +2012178596 +999221022 +268345201 +1880627835 +1874730422 +1363428376 +29066090 +1817418859 +1877650473 +857914274 +561071919 +1601455843 +2048296889 +1676357239 +1957048873 +127408556 +27963164 +2127466603 +2125692680 +1921755633 +1841695120 +712675097 +744411287 +1439993585 +1830765414 +272401228 +517157753 +1653606390 +1393732465 +733854263 +358914291 +1258427414 +1733075286 +627259492 +991571601 +1460322060 +1990687868 +1020637691 +1130257271 +1720854694 +1878551965 +1691329190 +1174826889 +1779365207 +1220202782 +984392114 +1906773763 +1248165946 +964375070 +1884982796 +1022437931 +658586542 +450174245 +1766849219 +2098580127 +133456011 +2039250447 +468254232 +1787062402 +1285499264 +1202108496 +2145976693 +396443030 +787700134 +625752537 +1388014631 +100538546 +468956757 +261168674 +1230795817 +42327803 +2139720640 +774641360 +1217154693 +1771602199 +1994844142 +54063159 +1530892314 +1095526440 +1018438229 +1268391462 +2117964372 +1677024771 +1718565708 +1737329943 +1628121251 +1852021719 +1629096742 +2096375483 +1491600473 +767112358 +1151000331 +1490093518 +1163555389 +1938700465 +2115846055 +404086372 +2039239011 +437319165 +665255047 +1122551181 +479646968 +657492039 +1897192541 +1696801661 +281610590 +1744553035 +1750864821 +1812502904 +692595827 +621819402 +933410719 +663076551 +151360526 +504492779 +252922846 +1779481777 +209030850 +1882019588 +1728373612 +1700631324 +501648299 +731890296 +1043241194 +1665203688 +523107113 +1011603602 +2069290060 +414862477 +1448922767 +587061459 +1537413658 +1928569735 +1244553498 +1287122551 +1477887749 +1526164088 +884191938 +1081268922 +1191183345 +1576787765 +1703088324 +2124594064 +92380669 +1854448850 +481603195 +345303515 +1486446979 +690634045 +79839456 +1067336944 +243781721 +581487755 +1799227240 +1287022916 +99207795 +174850705 +151142870 +21014207 +589713182 +1600065637 +608075667 +2127126840 +1381151724 +1852629165 +1266765743 +711555825 +1231309606 +3474033 +1792824747 +275009303 +1580261799 +1348429424 +252119719 +1672642468 +1055394626 +733722914 +2017945983 +394357958 +1424356959 +2097785439 +1461694902 +1668138681 +531789546 +1113438494 +807677949 +630997341 +1288289199 +958820819 +652011549 +1878002382 +411402808 +1260087216 +1857645574 +1792554532 +965232733 +976927670 +356626710 +49058691 +980401703 +1967809 +324067994 +413179854 +1350397233 +576187713 +2085822322 +258308212 +1309910627 +1956284658 +652666170 +586783939 +1906586449 +2114361072 +107438972 +290892348 +1080315918 +915116921 +921889689 +221121469 +1873937740 +1573901238 +2099123851 +137856900 +686504806 +1809285778 +1930411432 +1651737540 +638729800 +139554494 +1700796231 +1619131503 +141522304 +2024864226 +2032311358 +1491919537 +453568291 +1970650032 +1750227749 +1763478919 +1779451042 +255410271 +202779210 +1538553844 +222287695 +310218182 +1829446192 +1302603613 +1225335103 +603852233 +1523725083 +951789195 +30269824 +1475365286 +1089646095 +716774630 +1137167416 +872573879 +221028522 +1775897216 +1012128374 +1921824754 +1247545072 +1153650678 +1799205332 +1132372782 +498086567 +105289975 +955539166 +100830669 +1868768894 +587506561 +356240940 +2071548104 +2126060405 +578528636 +234282638 +1808022949 +1881132249 +1459617741 +264391534 +1257373684 +263923288 +294661358 +585255323 +1353569383 +1011435989 +1722422739 +78659615 +1232464511 +1350836308 +1090787989 +1006805617 +450897732 +96955019 +658527301 +1583270514 +595041586 +763817277 +391326032 +695872255 +485102523 +978832593 +1052113196 +409166980 +957409350 +1630641832 +643449618 +617948651 +1364290433 +2103067360 +882340186 +474180470 +219507000 +1177001544 +1059435793 +1573076384 +40953885 +634374884 +1651735999 +1273418397 +1985211192 +595040340 +132740366 +288625276 +691995359 +791267668 +1871895790 +1287036945 +1555084945 +115738175 +1982909201 +2040187468 +1094570768 +887538749 +301870800 +2051980119 +370696933 +945320419 +522445122 +1734987366 +900904131 +1404785308 +61684188 +1120411131 +434303205 +1121119981 +546003867 +475257090 +1755494866 +50256218 +1748675487 +1593222410 +645296558 +1881415854 +1881847687 +1337291917 +525199874 +1606259829 +476845215 +2080284819 +1721998004 +312270768 +1972988639 +669085125 +1199809517 +127375792 +573581596 +1570506450 +1072696211 +1096026718 +1158010168 +1973600342 +353328379 +1219694357 +946527825 +787631584 +193330690 +1492531693 +1262888674 +1948825556 +1542787911 +864080514 +1394564319 +40600822 +598012720 +1128928358 +1377892739 +1123212594 +587704539 +1854737954 +1056013765 +162218896 +19525074 +881518756 +831304021 +1219334591 +1008894548 +1404885617 +642357393 +2081590759 +353428687 +1800367562 +1907707453 +706757066 +872578271 +706751631 +1494388650 +1065908961 +51799676 +609793677 +867250870 +1594587587 +1473874191 +114331541 +1635188409 +2071886911 +1243259899 +865597501 +1047615857 +1830964438 +572851807 +2103629622 +1993183334 +592376882 +837664730 +677003707 +1811711473 +1846559279 +2081889324 +306585219 +1780666390 +287834364 +2106952781 +1540890196 +994591430 +832047404 +100158179 +341496433 +1897956365 +151957855 +951290110 +617723587 +1746545442 +277680653 +732055128 +1234250204 +202083916 +1975315027 +2099847705 +1249699773 +1658795818 +525215864 +1205845747 +1504495504 +1117592746 +2043510477 +34015564 +781820572 +1742586108 +2115904888 +1088405791 +1375768851 +256255604 +1047874924 +769175399 +1250847035 +1879922328 +869333578 +1592343468 +1630395045 +1021291433 +396149930 +100634985 +620353227 +673830583 +832690113 +1854603431 +875914499 +660521493 +1806967488 +2125614272 +171833663 +184699705 +1183976371 +1676329167 +1302292451 +1080003200 +1710344731 +2084113023 +675105661 +1678765972 +1025035166 +2050874512 +1935021576 +2072910090 +672566263 +1038384963 +1805348770 +1541899841 +483244783 +1288260168 +415707626 +879394713 +1388895153 +1036060853 +1553225296 +74101618 +743180637 +281656147 +734623111 +402664477 +259786771 +906456774 +587364182 +1443763142 +435302294 +1889656634 +376282695 +2145647025 +1826286009 +1051388356 +1676929349 +703837528 +954779220 +1464467278 +629263970 +1627345483 +355368593 +287129093 +1021761676 +838613377 +1575389261 +1437469302 +1718008090 +816800766 +326046507 +1123749739 +890902384 +1069227144 +1405405886 +1625525496 +1471891622 +1665192658 +384498622 +2059255804 +961472152 +819800916 +1801428790 +1337754847 +817964294 +1480231152 +241659555 +347409995 +36585032 +1196438775 +1811877273 +665849002 +676300610 +19762219 +952978095 +1698062286 +858375596 +380883708 +988047940 +428900038 +1197684474 +1314094448 +1552649777 +2088586859 +235837944 +810572016 +1566628707 +1707729566 +328281026 +1951127329 +1619501723 +1289753178 +623444598 +1273446865 +480024378 +1441408892 +606194369 +721683933 +1788818887 +642779401 +1918122709 +1453212513 +1308628404 +446939671 +1472974732 +114122851 +2145001958 +183866680 +495006560 +985566250 +612766718 +1692691034 +152177050 +17932848 +1633794245 +388014995 +828504864 +1052939304 +2095744561 +1156785890 +856582986 +1567762636 +299055420 +1480027584 +693725854 +779079798 +773952828 +1299920223 +1500763732 +415288067 +1942699625 +1271402793 +1868500580 +1103844381 +1718342464 +1193991664 +1217967232 +1715860774 +1377858344 +1712973792 +553943377 +1990625063 +1258181179 +706120427 +2008557911 +744491776 +1094135422 +689579127 +1797431081 +1042396336 +1846365017 +506530419 +462675324 +2145420437 +1986558003 +1156401178 +777016588 +613027183 +308837754 +130296672 +1028315250 +104053731 +1401699465 +749332183 +1207898112 +972558281 +1943323847 +278381696 +540935408 +1173698544 +1991355489 +1094878785 +1016839959 +1102053020 +1800999212 +877914222 +1846544796 +747650987 +1567493349 +1496492229 +1790047323 +1266374718 +2003022648 +105238999 +1264311507 +1842097003 +1261640178 +2041328095 +307640538 +1570477932 +24141119 +1335955789 +1674531663 +1425840584 +2085287972 +734946127 +250915218 +1881128171 +1013327823 +791850626 +907343067 +857199664 +1886729411 +1924183026 +1959252684 +1540244975 +654613600 +1658313833 +140412314 +74623301 +1007322414 +1930459637 +1340998019 +862861415 +2035698637 +457825879 +557474770 +1149855167 +351670326 +865115309 +572849451 +375811446 +53587450 +99897466 +1801652030 +2138875422 +834843593 +2052567248 +1872519945 +1848171416 +696934226 +632379365 +557887433 +436179989 +409078743 +369656469 +1976424965 +1063692344 +2027970302 +2116837279 +1138315645 +887809069 +1899813269 +331830017 +1750670484 +1788028258 +789655896 +160661606 +790399777 +1141326222 +1025776915 +1363249228 +1517137668 +1079364365 +1463146694 +1171306051 +1070756139 +150506639 +1076389651 +795792437 +1998678055 +1773323878 +1428171802 +409081840 +62020219 +1837250545 +778738310 +2038445184 +753459241 +659224964 +2007798816 +1891774887 +1547034033 +1760128437 +76121256 +1150220869 +1400673047 +865777152 +1310882476 +43589176 +2007103374 +189175743 +1406838404 +1376757395 +1268540109 +722501450 +400579798 +191812600 +873008089 +1476969449 +987605037 +724202496 +1102809679 +268293191 +1133284337 +1164829899 +2105543737 +1912022647 +1055791435 +711519330 +423763963 +916106603 +455810569 +1970797997 +528751392 +531931825 +973535218 +1929424439 +1397708977 +136934046 +1973013615 +1257328704 +326109790 +1232368371 +486602451 +1594649899 +1954869821 +887182249 +1786462499 +680394262 +216668050 +626583889 +1404596759 +1319477730 +894877080 +390397448 +336823981 +852937169 +154936447 +1392615416 +1564456500 +578700410 +161238372 +2020267069 +402014759 +689989764 +404715247 +1375549978 +471930556 +1802424224 +1512484024 +297460523 +912269280 +1838593814 +1529828895 +1398871731 +1285760065 +1337215068 +138570332 +924738917 +2017609331 +355238383 +1551322806 +1274722442 +1674716113 +298716238 +1665119890 +2011540094 +1151653408 +1820056337 +1256671862 +568626260 +251273099 +1417910234 +441409681 +653287859 +2107899999 +846124928 +2028837837 +432346907 +501065505 +1393838213 +729807430 +1413334785 +1084948380 +112152677 +664722869 +223224797 +1449367746 +803293201 +1147963714 +1319493429 +1158531584 +551802872 +446732223 +685764049 +850519111 +2111852113 +549820495 +2002172519 +1784424802 +1806492358 +423315131 +2035697901 +1076918944 +864724812 +541502112 +1037335295 +1710849741 +422856301 +1469682202 +64431598 +1816694515 +52005985 +1477766383 +754159247 +164158662 +2142489252 +977384044 +1613526408 +798298806 +2125347759 +785536189 +1956830390 +529666983 +1232268412 +495110792 +1380186094 +1196636877 +1044931287 +1234874965 +833578031 +703939997 +1658190096 +721792285 +1780858942 +375431261 +1263294397 +670710589 +2086281002 +1686150699 +2140392792 +3228952 +1355361566 +44915129 +1480995335 +2109520813 +209073791 +1476000940 +939421209 +1822600200 +126816098 +917285320 +460652741 +2083646488 +1446952304 +1692921154 +431273632 +679654750 +742074383 +1476204920 +1914529716 +1575652415 +32661269 +1425236164 +149961052 +1813520211 +1800667425 +1413255449 +336747153 +1739464779 +951922500 +329656297 +1742693731 +159800418 +374571426 +1076205419 +121837583 +583645217 +404722711 +1061258793 +258761769 +531538809 +1978544113 +719414511 +467701649 +1278012769 +264852017 +898975282 +1957667520 +1006926400 +227696554 +1724713588 +435095167 +260357823 +1002466104 +585056219 +2073878035 +655649882 +1998311669 +263141540 +247631013 +802750521 +592797837 +1990324745 +962550940 +967369263 +919046516 +1084388523 +1551014480 +1323769227 +2145647316 +1809776250 +1855308036 +1976707782 +381707113 +175526037 +1107236903 +646559130 +1074501319 +917420775 +1653485530 +1302197873 +494650715 +2088580698 +1562555697 +1497116820 +526153269 +1488950084 +5283054 +376981290 +1752091624 +252914067 +1179731812 +197405813 +95755164 +2142282752 +1164775076 +1014801680 +1079187627 +568305908 +191087259 +1077351296 +230598510 +2046395295 +906575430 +612305623 +74437685 +2013812333 +1258864753 +1148939004 +783749461 +764866636 +303653230 +1278400176 +705963686 +1866208927 +628033348 +1232116955 +1207675363 +633316402 +1609098246 +812283339 +886230470 +641346410 +1009689152 +981985634 +636145514 +26980580 +1996787315 +1715333141 +595286488 +40390926 +645200789 +825884999 +2086786222 +1551776219 +1438190622 +13740259 +1418104905 +549571728 +1162679263 +54370718 +1314438364 +1466332493 +1332770894 +2020402050 +1185057772 +1960804243 +1105035357 +245249487 +446636997 +566649955 +1057532826 +1332867467 +1207996365 +2067221978 +167369454 +1844141879 +2094202558 +16673121 +1411991373 +542005399 +57064047 +2057192162 +1367890398 +2143850269 +1461484734 +658597372 +10106880 +732105991 +1208169100 +1172786144 +786476709 +375123816 +491634989 +2119247603 +248042218 +1676692762 +1932568198 +1353077576 +1921942249 +231721548 +1919727531 +831991428 +1564589015 +980240249 +751729758 +1731958469 +676898480 +698448669 +1748631590 +2088889853 +1240454068 +1805695638 +1998598368 +460860818 +1802062259 +1312599454 +1119458190 +1812169140 +2044705445 +180143643 +837471636 +683698506 +555267459 +1329106625 +655462461 +803309678 +858315739 +440547012 +8903606 +632774341 +672268560 +1928631137 +1464765769 +89373927 +761387738 +69011879 +1821332397 +1438286219 +767460548 +1422480339 +1379692424 +2007914616 +1080692329 +1230807144 +321291786 +735270941 +395922950 +1440749977 +399956433 +293144747 +1620893620 +1237428069 +976843253 +28677431 +419051046 +1632305715 +831987109 +1277366786 +2072852727 +840890715 +1910141127 +597637639 +622038205 +1227423248 +687011566 +1383425943 +1296435127 +360860315 +674228514 +2063895676 +1783340655 +2053920939 +1924326644 +716549336 +1137244435 +98134783 +1451820277 +1533167386 +1538884760 +1851776710 +1826312133 +1012294732 +941721131 +655671739 +1040972163 +1360772178 +140493806 +1872959273 +490655316 +65862885 +566366340 +253312795 +663500524 +1188404545 +1480736043 +1350512090 +424346841 +629687522 +1711372406 +1098575355 +546099550 +1347229413 +1005012646 +322942547 +2063778749 +2142257082 +421077330 +1368115379 +1527940820 +1959962090 +1072408441 +1206769305 +824773174 +2014129573 +1862441044 +1865745337 +1227418103 +2002934850 +1591220962 +1718073419 +2068797735 +10103655 +1971386214 +584814611 +1198508200 +1304638609 +1935326702 +1622855041 +1934326131 +1499215460 +573946749 +332942034 +698961225 +1578959395 +655884581 +615256326 +1573732829 +1076961911 +1983371705 +954190001 +889440353 +908296499 +13475659 +1714213527 +774942424 +1875916703 +1432475216 +2002360527 +1731367906 +876212531 +1572950298 +1652681993 +886316186 +1396852864 +90012957 +2084824386 +554007825 +2025339659 +1560195780 +340850308 +1377071471 +2134142529 +673792342 +2076032696 +1565618276 +1329676923 +543805374 +991867458 +259155186 +379693432 +1946057459 +1148595539 +1287989931 +1959533118 +715325418 +2062932355 +1687966174 +316987 +1917809234 +1271850432 +876529518 +1343275884 +777048777 +1762845704 +592645100 +867061734 +1700186442 +1146652925 +744917745 +1112898574 +1487503233 +2121989216 +1099557455 +13811928 +2050538264 +517692084 +1343488851 +446859991 +1509559542 +1602644038 +826553423 +1308133353 +603755929 +2114543354 +1120182824 +1319081348 +2029992061 +660665350 +1319398335 +1800317647 +1932515782 +48444205 +996109883 +562080911 +1811289909 +1588754983 +1429142646 +1363992703 +587924260 +26576743 +329407630 +2075427493 +1082312 +1428965085 +2089239421 +2051620576 +1946657169 +1285244625 +350996919 +1308733063 +740405015 +1177550342 +469382769 +1344160944 +1144610048 +1589565593 +515758644 +1027118461 +102747295 +1835156979 +679952460 +2035263077 +1883601184 +1676062343 +449860340 +1547407445 +1117333678 +1879002986 +763916501 +1705257938 +1905579730 +1093324131 +1633201784 +1906662042 +374805568 +1574957557 +1810798970 +173979090 +712718534 +14312242 +1482712153 +1453123549 +1191862584 +1952094922 +649800846 +188988985 +1394176867 +1165559490 +1216107446 +1496924162 +853232822 +1896059907 +1384703591 +589350358 +1424638602 +1834563932 +2136757804 +394488633 +1566083270 +753190657 +2099746571 +1324179352 +1846514788 +1585464707 +1083357746 +73836708 +1012938617 +746673069 +247815798 +1725657151 +760985311 +1730527952 +1031297053 +1952847895 +1535139226 +1681097899 +2141836880 +781832446 +699173741 +1210460679 +131272960 +1552406563 +959036938 +1515976552 +2141756922 +236191892 +1203056836 +2131031078 +630680525 +621656458 +736738087 +582943449 +1945835811 +435769227 +20924508 +881709909 +509605935 +1033863125 +1628382978 +757421734 +612036629 +241884641 +340466038 +1643333682 +47248889 +1875605264 +1176947933 +41602121 +509954062 +1876121674 +1252062800 +641227023 +1281044590 +63616090 +9719927 +1275317864 +299807983 +1212776763 +1258865294 +930488508 +1834433221 +1995603381 +1513431957 +1632785384 +283888960 +1534356466 +367011646 +793494895 +420735943 +1995394624 +1550916629 +1032772572 +89795618 +1891382667 +528622606 +137044507 +1619504284 +1705570539 +178646628 +2129458346 +1434208566 +1430709429 +623201721 +567769508 +1494325519 +632921648 +1843087372 +1794133502 +1845698411 +954469018 +577138363 +1532647985 +802588751 +2090570320 +1017949721 +1086477711 +1477443138 +1384961367 +1879972606 +1898179082 +1232872344 +1283405588 +783468006 +1322667962 +1027304607 +1312090613 +1459712469 +499325243 +870177504 +1638359097 +481299942 +156902422 +921584878 +1104501663 +724671930 +268426750 +1737423312 +420275654 +2062560252 +1435638075 +1374744672 +492214967 +820802412 +29849775 +435301640 +1838752134 +1116327486 +1912744778 +1076229853 +848816445 +1663440212 +161618549 +2132222033 +299424571 +1484286511 +1012042992 +1611515184 +796515332 +1511368236 +334209040 +287390782 +1992668178 +491111463 +1208975660 +949686193 +1215783393 +1477402410 +539625857 +1636059048 +1392479015 +1975263933 +863320072 +1884693982 +648582697 +893169848 +172511974 +339851183 +2009497334 +2085256753 +1416081037 +710830131 +1601213317 +1577699586 +695568516 +1900637888 +914502450 +1707611509 +1364669424 +1711017782 +1071496097 +1698878465 +1998408564 +916680627 +42506280 +1059900577 +1866366820 +1258289673 +389819339 +258509030 +746865073 +1782298354 +86289315 +1610185146 +1519508689 +734872012 +355871346 +1692020663 +1074723196 +217885032 +1629793768 +343320585 +928715164 +1083523438 +1921020171 +1624283680 +836677678 +688038973 +1184411541 +53863455 +251573108 +108423990 +1752741920 +102498024 +1025104617 +1795248200 +1162398601 +743987790 +906054225 +1552217941 +1002496820 +1652919299 +1187032647 +1088786135 +1115620797 +559057688 +1823658147 +1471492143 +103594704 +750897695 +1689377175 +1733388472 +1094218280 +470608691 +669428262 +867754804 +2094892372 +1506105941 +1555793777 +1131820265 +1559969396 +1807366885 +1240244256 +1165227668 +1909864910 +117865225 +812992220 +924779863 +861853015 +1719046445 +329514156 +1864349835 +1224482096 +1516546804 +805652322 +192619245 +2075604492 +481826822 +1664111388 +31715548 +1232724517 +1206004916 +1765104021 +179459150 +1676613607 +287048635 +1047213954 +1624022331 +1793154576 +455524083 +608358949 +1205640324 +115407321 +1848603205 +223384344 +2025272231 +1966468430 +1036376564 +802568446 +680837798 +607939362 +1132082603 +397703985 +1832421458 +501145759 +1203356308 +2025040704 +429266603 +1685183130 +1541668444 +460982152 +770423999 +600189712 +78602525 +949883149 +129319672 +365651160 +1997097103 +1753342003 +11322089 +305137539 +214217304 +1216962413 +420544860 +2062820509 +1440346758 +298333443 +1881805292 +329239674 +1100901889 +415159442 +937179036 +85500844 +812863427 +622116847 +586646603 +2016219735 +499673903 +1015913207 +1553919217 +2041342347 +1476895359 +176859569 +494048412 +1555497884 +1126742718 +623368084 +1921149044 +976356174 +229226439 +1932471133 +1281493713 +443443744 +1001949899 +1702038573 +358780605 +294813009 +2000372016 +93102249 +624052683 +953790257 +508261691 +1561231720 +1039291102 +1321125119 +35864919 +1625937705 +1189861206 +535538822 +494367264 +596296776 +429397521 +1971262623 +773156345 +923445933 +1379276859 +1899899063 +1546814017 +1152942256 +728771589 +1776040457 +937929741 +2010265302 +72000553 +1939879640 +1564820227 +430781158 +87209001 +1417708595 +523883408 +711261685 +224015205 +1032145099 +125009757 +1263306307 +205786570 +160874676 +741760364 +1395647777 +696413498 +1236127629 +1991944553 +1125811019 +1059906604 +617617250 +2049256953 +291699816 +370032665 +1448587322 +1444642072 +1098804255 +1077144131 +235088165 +961585909 +1149144684 +27484158 +378922489 +1579925843 +114693159 +1796631084 +2103809251 +825954844 +2020646289 +988470702 +950964601 +1136468948 +1194257273 +1111839277 +1878229313 +442421402 +1808252775 +966873294 +286882307 +786580147 +2026779898 +904499557 +688353452 +170996066 +1274532222 +2136940774 +1615638138 +225852829 +1066601258 +1850726304 +1187438739 +68262294 +1878210462 +1566361228 +1648188137 +1992903621 +1215508664 +1604513740 +671374818 +1088671306 +445500795 +1622339419 +77656606 +1639758068 +586695049 +1955885919 +2082179470 +247464176 +775275565 +221578129 +1034044323 +654571816 +1126077686 +1722397775 +825567882 +253126260 +1711854902 +293722373 +478979090 +630972512 +2144448677 +1666417829 +699234806 +1875175491 +1085295409 +199939296 +1720595464 +153320425 +1804453036 +244486634 +1241991731 +102470183 +1866826054 +1319648338 +1742228251 +306037455 +1128050609 +1676924073 +553501631 +1903326175 +1898502202 +1587545955 +410414343 +877096240 +1162460082 +1235982225 +1130222501 +726831336 +1529704598 +1609201591 +1357803848 +1526669627 +1128135772 +2057038655 +1254361470 +65947533 +109494303 +827473287 +219267958 +1913947339 +1071959921 +1461259690 +2016417523 +791302327 +633424380 +1611162126 +1097339782 +1761474989 +1140602552 +1650841414 +1517317516 +891621106 +1090903721 +1927731859 +1768717347 +105880155 +1016230437 +751456200 +832711492 +398451387 +213174143 +43031692 +1925121015 +1341309915 +2100070347 +1031998837 +1407257448 +62081002 +1859472124 +1626525406 +1976028342 +783948398 +940301448 +1844962217 +1575250725 +1573725828 +1308640695 +525106860 +1187717170 +301759599 +28464626 +557551038 +1193380706 +1119368347 +337799250 +814614405 +1225248502 +1354029687 +1566070605 +2057959994 +1752481074 +1779244748 +2100991687 +1530118441 +973071015 +2053578386 +414633631 +232844815 +2115659389 +126622107 +1859370221 +1944204083 +910570505 +652188022 +1641682652 +338337583 +78430202 +802839699 +863444443 +1266147372 +1104599299 +891909069 +1823698411 +150496357 +2011277416 +14014013 +965110762 +1089042270 +1368043700 +383697719 +999518617 +973041126 +15458819 +953026656 +355675920 +988529834 +859121394 +770309551 +1221374649 +827297135 +896931658 +933261222 +624017570 +1807502164 +1585449244 +118216574 +2145839747 +1663879447 +921056274 +861800542 +782543171 +2025655573 +1753709611 +458757934 +28668282 +1617503379 +472771947 +993779044 +559062001 +1840815647 +1377476763 +1558580618 +666373126 +1392935582 +364123626 +1022049046 +233981768 +1223245021 +1792358597 +1455356417 +2050542156 +541806607 +241133991 +527076079 +201825123 +1826583236 +645292653 +200181222 +1342979035 +1566348927 +1061981764 +2125522206 +1444520852 +668207727 +436796493 +1473189134 +138227458 +909568440 +319484530 +697289460 +602900440 +1696961293 +108386430 +1269273566 +942413227 +472510057 +143838964 +1176394995 +1695755078 +1936197561 +484267764 +1598813586 +330520520 +725401756 +2125889665 +532345644 +404501344 +623698671 +732526866 +1747480379 +42563950 +1794508631 +1725518937 +1487084803 +315232710 +14831782 +812790289 +453460169 +924400223 +1132274820 +1150749629 +1527300663 +681752465 +1259136059 +649090581 +1624165693 +1731646116 +792929545 +653077040 +1279917546 +581643458 +1137344805 +731247485 +912163978 +1862746561 +709653502 +1444509622 +119764257 +1333352173 +29552841 +1867244636 +1375916124 +1824061472 +1445279925 +715517279 +2139294182 +1460111708 +1528307568 +445270703 +237028283 +513098740 +1596020332 +1764328946 +1194851206 +707672744 +265935879 +671533251 +291835212 +1058865424 +1324610291 +1571752759 +1640508882 +314471448 +155516596 +405189212 +29734361 +865170098 +1849698835 +149498618 +51038624 +1879251676 +2016743254 +1426954748 +1555829500 +1314539532 +2142472027 +1547640034 +627167592 +1523295947 +1992910738 +864195875 +2036394688 +1441447422 +481041173 +1083762246 +1636518 +746977052 +1755295497 +293471731 +1805842476 +932422140 +1865224490 +1298867710 +1246893589 +2020741086 +1704056922 +1276627950 +738427536 +1406272109 +1426126569 +789466160 +1138040137 +1295386175 +68937260 +546385989 +462442059 +63925639 +2094026024 +1089609651 +1587221587 +1939453114 +1953805526 +1476132627 +1233416888 +287363051 +412411225 +1235053407 +1034340103 +20223074 +1528525138 +692698931 +952645214 +1246265980 +1991566641 +52055155 +1119523418 +1548139916 +1328683106 +1857950954 +806928377 +607326027 +499933467 +1944968515 +1902712202 +568870727 +343870856 +217670614 +632796367 +290413232 +1307280265 +72534306 +82382698 +1113602144 +1548666933 +1315799587 +1400965195 +1961078158 +403369346 +287821651 +1981301232 +1931894484 +980520582 +786462798 +1030676816 +824603576 +838517954 +2716586 +225259844 +19717412 +1860667540 +1032188221 +627043439 +213117359 +829673088 +382271993 +781988087 +1173543945 +599942607 +1414784454 +1463957177 +1907222873 +1487318760 +1546339876 +873341369 +888502045 +714655815 +126822916 +702096555 +1118025161 +414644567 +535914139 +902435997 +1395165150 +1322376937 +1933112813 +72285078 +13411243 +1935829399 +297544922 +33128655 +1649013291 +1329733143 +660172094 +1862130651 +11922584 +1042444088 +496635090 +1185466529 +1642386695 +1911419544 +501940058 +1402125920 +1251254656 +2048279934 +127983641 +2139756701 +615452101 +254806558 +694369608 +1733477262 +669451125 +1230283747 +488429611 +2064616275 +405177036 +274058776 +2136901353 +418588280 +62404527 +286962627 +451716935 +1711417819 +1616695771 +1111889030 +1426064822 +1628618355 +6849470 +1922699912 +666601236 +1649236165 +1686635808 +1168541294 +903878438 +790406816 +1069337581 +1031862079 +782679869 +1684789682 +1286668637 +1477049477 +1270783297 +1956119763 +559849576 +1759212908 +1873252390 +965026612 +2033271685 +1862670096 +1383614892 +2095676212 +2149075 +1835331828 +1659610383 +1618844846 +799737210 +938191557 +1099979553 +806586680 +713407821 +1766580789 +308339197 +252559981 +787638436 +1212217635 +1042966797 +1856976017 +96596067 +1825646666 +1394282051 +1383264704 +1155212495 +517581700 +1191900819 +1715062071 +129310961 +917669562 +532605036 +15098998 +632856010 +1916219928 +2110775210 +635005085 +1604068108 +1622901946 +106366284 +256321670 +413609855 +1206345837 +1062908350 +1127017677 +825442979 +1371247548 +1379577658 +1613081415 +435981535 +275060808 +1322573784 +532577602 +2100707474 +569372187 +1915842307 +1108436322 +1086953888 +960259478 +676014745 +1216264849 +1877929040 +1208619781 +1231363847 +363301402 +977356062 +1194655409 +998306488 +433940522 +670073707 +1104672772 +690262193 +1083683563 +163534961 +1753170543 +63217592 +988977940 +976934443 +1442795250 +454575707 +1412915979 +1717856058 +1777149491 +1945493581 +1671079885 +199038031 +1713852240 +632032559 +1285991919 +526628071 +1308047304 +354773120 +257073463 +369183438 +1586136967 +620374866 +1346539500 +633308728 +1618681354 +1780480022 +1303382436 +575870478 +323258567 +239582351 +739405439 +2076429111 +302799943 +1728383380 +905879906 +1745595193 +35475439 +171312237 +1315967604 +1812624931 +2116805819 +839563841 +2011662962 +1683174411 +1471596400 +1150171233 +62318834 +632160056 +1504944353 +319392298 +1001343494 +943597672 +939767164 +200399346 +1576906400 +410964870 +1980879369 +732805188 +986835348 +156654288 +972387539 +1726240787 +85599751 +1275187482 +1307140519 +991479658 +873299028 +1342615959 +1162791895 +41782984 +1007757242 +1132114066 +881346825 +871936556 +667804830 +205459577 +2022107789 +730123664 +837619633 +1379568494 +1049515962 +1838963128 +175682518 +1989283126 +2039362474 +1752588918 +252764348 +1872758195 +337910459 +1239599696 +2029412484 +1310297998 +818356836 +2115012235 +438001833 +2125497355 +959008245 +1311300861 +1320629666 +2121800141 +1353083845 +180903260 +1106430559 +86947022 +1052839816 +1774235389 +292406599 +927463957 +356875406 +1130026232 +159548803 +1406391368 +821505712 +335231321 +1248190847 +713384539 +2087820240 +1500955195 +438659086 +278247051 +593071244 +320587922 +1588545049 +1411428080 +288116510 +2026546882 +1389441787 +1247124755 +1190364095 +562587806 +1221441248 +395964292 +743491066 +180388160 +482911314 +1796330883 +1954623549 +775317913 +576311192 +164015307 +1905344146 +735859996 +1570406676 +579366210 +1071091317 +671113875 +1292750749 +1011427909 +24585422 +1731409836 +1289674960 +617656666 +2051997758 +730736362 +2029084746 +192630620 +609799596 +1271042886 +1439755376 +1800163692 +1833630692 +513712976 +48644336 +429638110 +694101136 +531555651 +78485345 +501241038 +1306873564 +654796538 +665256345 +1064734062 +1390656534 +88179373 +1644100273 +314264203 +759293248 +789367374 +1325692113 +783878671 +373293562 +467883425 +1401535337 +277807673 +1198619787 +1283136436 +470438293 +1808419384 +406695674 +1910193669 +1461099428 +92842718 +276422998 +1509743764 +522480828 +970524134 +2041299415 +600966174 +1471765172 +1200689332 +1255762712 +2137021518 +117939746 +498935598 +77717243 +1762040019 +813199801 +837010492 +403923746 +2138891914 +1620889163 +777217308 +459291692 +874940852 +1055024981 +1657911479 +10593640 +1525463275 +1318847215 +417289314 +1288173296 +632462995 +510132032 +1564596294 +2142206760 +1032612861 +387636781 +2036022527 +1633579035 +1859401953 +1089228211 +741858099 +1848939823 +1207167958 +1240793697 +1926657067 +821724329 +2053993498 +616183911 +1225648075 +2045401765 +89589426 +2002865384 +357209809 +964530278 +910406717 +2015121288 +975123919 +288386344 +1186484856 +1392413233 +1576559641 +1818947851 +1902545266 +993672287 +1813670963 +787674479 +1381309068 +1702209843 +273769866 +1093227374 +643954406 +1015627965 +794683549 +1851122364 +108938014 +573856968 +525363046 +15447864 +1190040879 +1751011121 +2060849629 +1279630305 +1606392857 +270575790 +96676936 +369315927 +138213431 +1071800855 +657702271 +1324698287 +316730440 +86778264 +996162490 +71792058 +1080450552 +662349806 +859466537 +314275972 +217076001 +1133236403 +1407503346 +861030407 +1380720 +54703248 +564669124 +110318734 +628560216 +1090032170 +125766599 +1818601096 +693559643 +39132580 +950747753 +152468853 +309708371 +1047424689 +521784780 +447921802 +2119225544 +1179487051 +1772620089 +288472337 +1266265316 +621298931 +360264395 +199232220 +1283648737 +1219730933 +513508192 +1500724738 +205483688 +1921011539 +214271498 +206864409 +1975714787 +778940622 +317183143 +456791355 +1868972792 +442949742 +127908803 +415048787 +482082323 +1078656557 +567517640 +791790694 +2126081246 +1089302420 +1239712496 +2097823143 +121305824 +864848937 +238811832 +1387571140 +1486147868 +599076227 +1586803360 +622312958 +1818807160 +2100311552 +2123037696 +2024290849 +1873839443 +189825546 +83671610 +1702070582 +968766168 +400854753 +11378290 +690255312 +843804496 +139287093 +1105304100 +1325886819 +1217943650 +1672821740 +2117677513 +1196541249 +614640513 +1209906361 +1146880744 +735946337 +2074755298 +1385692576 +2123517477 +1413419518 +1984768803 +1562837189 +2035732476 +1656092316 +1515665093 +2011286525 +1532899517 +1242020889 +53628423 +1616571127 +796607823 +1022394592 +2017425880 +807986113 +1712649904 +713746728 +947273207 +670470356 +2039633547 +17733209 +195808449 +2009827412 +1214274458 +810448962 +1072250125 +213671554 +1546395299 +999521775 +1599364130 +1522429128 +265457646 +1436649286 +937782669 +153706474 +945257954 +305964114 +17509351 +330673823 +1547985003 +71137775 +1947244950 +197109179 +1093532367 +1817187182 +1005095292 +658698623 +383450263 +1952368499 +1329168980 +275600162 +1970101709 +1524977429 +137943927 +1036892519 +187942743 +1210194052 +1250564074 +1734338042 +62232180 +702444556 +1109283522 +327689826 +2139093842 +2047066191 +481396300 +936868148 +205546657 +498905652 +1267541971 +1753531661 +570043427 +1067303273 +1950640840 +1663575794 +737006808 +808252484 +174790769 +1120457071 +613137336 +1503959749 +1396057233 +435755397 +881453530 +1534001160 +1472647916 +1069396273 +596711565 +575728342 +656250667 +658943745 +1278172899 +1765534189 +986633571 +1269783093 +1665116732 +1468029871 +59167594 +1870663390 +1966935523 +1326709565 +1476711403 +389495302 +246529191 +1279868595 +2053071096 +983535999 +2088121079 +80378218 +2103993070 +553774767 +1584337967 +1352566655 +989530164 +318307850 +739084168 +314694433 +1387704123 +1335795733 +890422775 +2043954791 +1994739478 +21112026 +1662005332 +833889401 +1290895120 +1179638417 +154435624 +1350062714 +902818159 +2121371148 +529288631 +232045914 +363382802 +775817822 +1511914509 +268970251 +1759353821 +1452551940 +349348469 +1715863243 +2006326708 +1933686436 +920946251 +848373224 +104510638 +1660030419 +1163067657 +1492214762 +848342504 +2053490433 +1388685905 +695598334 +2074602459 +903207589 +1529487735 +1218013931 +2082846006 +1683923359 +420592997 +838180517 +1657810859 +949881629 +1070226431 +2021193662 +1725699451 +434657292 +142680265 +1337569625 +1887209233 +492028734 +905949220 +1746052293 +278231522 +1826895471 +446941869 +382742161 +1339442242 +1610009527 +1874956923 +40301098 +1516016312 +1116159180 +735899432 +1443135123 +2019366769 +117903519 +513665407 +1954729128 +1801826879 +934258404 +645425997 +1312154090 +1884140033 +1715652429 +1185864104 +1462355837 +2826073 +1328544369 +652441814 +1890035306 +1820573103 +1558391034 +1488603951 +2098804626 +1237802858 +1935545821 +334063139 +429761452 +1398071700 +61536414 +470062551 +766604364 +1177695594 +1205961983 +62255839 +1049578715 +1323865503 +575921246 +856824195 +978208734 +1510179651 +1502250193 +142879176 +1246836036 +1070418974 +1328743281 +561708225 +1073245047 +509804002 +1214150039 +815796706 +182893458 +625057426 +156917009 +134214436 +1862860284 +2092462830 +468277575 +145138088 +1343050882 +529813989 +615200639 +2109655246 +1707509583 +1821162623 +24427438 +609604650 +997544478 +600348684 +1466428846 +1975753212 +2110528335 +821195391 +2118632388 +1209880724 +1891614365 +1299892021 +1771588949 +817375764 +1809696024 +838255341 +1633172470 +1992589482 +1463312767 +1790089480 +2126803918 +1178689403 +1735068662 +447597845 +1323827491 +930635897 +977411834 +1939028131 +892807495 +537437769 +1612707106 +917234933 +1147042419 +462767936 +1517583618 +465987617 +291037500 +1480628305 +1287183008 +262186240 +543025381 +1031313725 +1562078262 +167130683 +1848689490 +1224290638 +1005386024 +1334378312 +1069396472 +321215143 +976984144 +1048716742 +1499904546 +564569159 +1496314587 +676248389 +1495205056 +326242773 +467792872 +240528903 +863680542 +2080499978 +1157763837 +2010722961 +395784266 +527863807 +329226931 +686821766 +2008492112 +1616409939 +949008007 +404033846 +500240017 +363602621 +571164529 +201445859 +1587893259 +1576550553 +1535824171 +509806083 +1897765696 +365324668 +1558522825 +1250186594 +929893827 +907353764 +1926434983 +277615235 +1233596537 +246744208 +518144138 +2097277079 +179760538 +1675907975 +1960516392 +575544805 +56288134 +142259675 +1262366571 +2064780247 +1758669615 +63890930 +321330445 +111425984 +427493551 +892494974 +312871843 +2015386810 +321561879 +1848696014 +377709245 +71843927 +66537034 +1936232070 +1322030521 +996430861 +696102186 +1100981856 +1274046096 +1929698723 +1347726064 +1792190235 +1879492154 +1527486603 +1320614562 +1692524899 +2103031408 +1376902697 +1834784574 +1217914331 +1294199296 +1445970541 +1281805262 +1615529741 +1557396525 +1709298813 +360541067 +1870268368 +1577201976 +682102946 +1571480735 +1954911221 +753946873 +1638017769 +1743659644 +2075977394 +486964983 +292278182 +1029475602 +1761011079 +74493258 +229718019 +1405717666 +1953985412 +1757204622 +578848581 +1499026663 +1712752382 +1955751278 +1186327590 +783183065 +1102466926 +484814483 +2064988327 +570513019 +2042211009 +1626803493 +931054086 +1764995729 +1056521821 +1613157032 +1188992816 +863949394 +219620257 +679526938 +460125390 +148114003 +1166491921 +752403573 +1177589605 +780019352 +826896831 +1407307624 +38253371 +633398595 +1017028598 +617101952 +2132425259 +582297332 +425369582 +1171269201 +1365480398 +1527836508 +1656083684 +1282985077 +2098349527 +1550811045 +762304922 +881919965 +1168323127 +1818826743 +347593349 +209832295 +535292490 +567213606 +889359233 +995417880 +715327609 +2055851154 +1747821453 +1892917214 +688386859 +427234636 +1152741191 +726640230 +1060633232 +22286141 +1343742182 +1045574843 +604583474 +1769111764 +69360396 +1970063872 +1149464624 +1725444080 +1105565301 +1100330503 +1128771478 +1867870224 +1982250468 +149610957 +1539213319 +182360169 +359443252 +2074505809 +749573775 +1248802486 +922440042 +1464901384 +1157169992 +522777847 +1210334950 +1845556851 +950012484 +215592493 +424713433 +2010645716 +237878635 +1768455615 +908736911 +842462109 +1390083731 +978097307 +665042333 +392064707 +556057739 +1770607634 +1492395210 +1684829217 +1490994210 +1327162030 +1834440174 +882723882 +1509522199 +46399779 +809746043 +111612326 +1295202265 +1732186085 +1576513710 +304888609 +107480285 +639365013 +2961813 +1057492769 +854957506 +427675246 +920654837 +1092836141 +48647214 +1829391748 +1935298250 +1438730945 +660005407 +452856935 +1830795653 +1216063146 +75980922 +1175707215 +753408716 +1566975132 +355385598 +440365242 +302215366 +1864907797 +486765021 +1111961410 +1976520124 +1781967286 +696663847 +1405550186 +2086855896 +804144132 +2044915199 +2089817709 +1861636901 +752389058 +370009307 +634808090 +1845225199 +418656521 +316716190 +1633039802 +1857387467 +976721597 +2085896737 +1540699472 +45301096 +14394011 +568923039 +798709812 +1581369144 +924308637 +1239075054 +1883584510 +641732787 +1725840076 +848062272 +470769263 +1360323714 +1544726120 +1876319449 +1299695962 +201386604 +1773751001 +1242030023 +2063023506 +378656411 +1612039331 +550347948 +76397962 +2030695852 +867064139 +1709437764 +1740599671 +1843785736 +1647850854 +1133815495 +1889086832 +1662244865 +1702738535 +540312996 +1096130361 +479563524 +1779388051 +832231224 +1121296311 +1357744479 +1680293496 +1592065574 +570584545 +1077535968 +1320901376 +1870280508 +1278922573 +947168729 +964826883 +1194462431 +1325825140 +429382566 +1744810379 +1402223102 +312594771 +464390870 +964177219 +2053194442 +160692959 +464544425 +1039526290 +2049779791 +2126789290 +594781177 +442609140 +1075436004 +1074344701 +74513543 +1907667228 +48157365 +1432258022 +1440477076 +1640222939 +2002842567 +370529397 +813640667 +1725639427 +1649451970 +1760809396 +542982663 +696430753 +939150888 +972365229 +293757484 +193890343 +1284960000 +758148355 +1158067562 +1190670795 +918841314 +1622611987 +82713437 +821137457 +1601917629 +677494614 +1263746597 +529869985 +1751839315 +1338260140 +290053565 +1799996680 +623034514 +1730530642 +1292735972 +478393434 +2101060039 +2106376639 +56549213 +1603028361 +1719702388 +599531876 +151975466 +511369628 +1571897106 +445732950 +705259971 +709373458 +1203881305 +1863327533 +1900044253 +2122722619 +1338455872 +1982757690 +796376429 +792889854 +512768656 +2060123026 +1322759839 +117124324 +1250899519 +1612813405 +1917121004 +1873934033 +1195860399 +1062373328 +204843819 +1149436790 +1021266320 +261393033 +604981503 +593485060 +860924909 +756956969 +1104854688 +285338367 +1202689919 +1810114660 +994711826 +259087577 +1525958545 +747272431 +234326548 +716930770 +582546474 +1030702977 +1509820624 +1095315130 +943342356 +685096815 +1212439454 +46758227 +150426572 +982076811 +1920692260 +1346286971 +2044450139 +2125536080 +348240113 +918232811 +239445465 +953221616 +1511717871 +1100370374 +1710178585 +469088912 +1385708742 +765384857 +131719924 +232936920 +1024472434 +1657678469 +980209351 +1258798982 +227125591 +1562755825 +142018312 +1736946215 +510587308 +1085360668 +274559383 +1723026762 +1132118895 +424985955 +557619925 +905327507 +1771272927 +454586417 +883379939 +2119513040 +1372819228 +1122825404 +925251009 +737053452 +75712131 +487945946 +1206142364 +1461420873 +1253330803 +1337862288 +1694357793 +130319589 +848057109 +527083496 +1389118572 +1075182701 +2089839322 +1531136884 +664645268 +452942982 +469013904 +939204651 +28486096 +1601132799 +1364190607 +586106022 +358976658 +987979886 +1040692439 +1242356598 +960009278 +266028019 +217698354 +1885260287 +1003081471 +293410485 +225722586 +61740187 +1754831358 +1479053389 +1399602475 +1301705503 +1609372979 +100175937 +1828789000 +851007903 +1175358638 +1771144674 +234661139 +1840003906 +76604008 +703675043 +631724910 +105090104 +157324194 +1995915517 +691196126 +516300852 +836411755 +1731888565 +1758657450 +1796421033 +1997916585 +1976355805 +1534197673 +853514408 +122282642 +1759920259 +915254596 +1877114001 +1091490000 +167373423 +1031335856 +553379331 +267549360 +712641208 +1404387234 +1442907998 +336302234 +1639048373 +1135428257 +412906242 +195239768 +1767153167 +517996347 +352563962 +1615585036 +1209192473 +868864815 +304513143 +793597391 +480038617 +2100934176 +644030328 +308910774 +1487648201 +1497544736 +431193417 +1100084812 +265315684 +160823770 +44091165 +432689108 +1192159626 +597470496 +700238468 +1904800835 +2001857731 +2143146467 +93619421 +1493422456 +1131091076 +506525664 +1688662225 +750760595 +1024522011 +2041226187 +218861983 +86230836 +762607354 +523375126 +879828227 +1242645972 +476825654 +1523858555 +1551556746 +1964473856 +873919644 +1982750163 +917075020 +1139235328 +2143573933 +961166185 +1571924436 +1188249912 +1558636682 +124679257 +945567099 +1413010765 +120342076 +1039186520 +758949573 +1251433152 +1545712184 +300128150 +2002193747 +422750547 +193870690 +73572082 +508981384 +956478044 +596947208 +1388809611 +51640368 +1073772862 +765184519 +1603197115 +890763070 +1639104163 +1438463630 +1807838091 +630855843 +1434553916 +621520628 +55296632 +475320180 +32673662 +179975889 +1420887279 +1445684427 +300317965 +312590151 +57150353 +1551751117 +1858302336 +357278503 +1406461216 +133569235 +551149193 +1480033298 +642550619 +1507627238 +2076980506 +2031360231 +1559267606 +1003269720 +649061102 +1014981073 +1894032791 +140681617 +305961056 +1554387234 +771537460 +1740514972 +28424214 +826834092 +68351504 +61097877 +1006809981 +1489238783 +1506782304 +1307127946 +1801828934 +1563932657 +711395415 +1512647622 +1921211161 +2117856631 +1646216858 +324876706 +1450406281 +141283829 +1832503944 +1379903139 +25160412 +1244287903 +235689212 +674221514 +111785328 +2129722003 +814903131 +417746384 +1536625589 +1586440592 +10777708 +1565049803 +265791036 +79129212 +1626147680 +1272601018 +1568367995 +985446337 +432245316 +1222713282 +401895346 +1143640732 +587877256 +175622859 +1114013715 +86610466 +500499566 +416936349 +227894296 +185519862 +1796839488 +253054708 +1429807765 +2032528700 +927276223 +1541593094 +2014767055 +1742179354 +1959339478 +1403908996 +1181136298 +1970117187 +821475152 +1446927335 +2049246399 +300139184 +572044705 +1470130747 +1285585521 +1004290021 +545360381 +1687480868 +447105 +1133237637 +1863103727 +1114460821 +1219848104 +216119645 +1531397170 +1447742400 +401639508 +1180753010 +1700797108 +1831447273 +1065798063 +480589683 +1225556719 +933081470 +75285390 +1037412550 +189506819 +1256421688 +860046089 +1010981971 +555865375 +761808840 +1311121155 +1127910080 +84455939 +449223029 +2132200102 +629816320 +2136703897 +2132647207 +1763053958 +1852323976 +1099624380 +835418414 +2068443622 +483537902 +135677166 +322599482 +1664290913 +1836474274 +6563107 +582605328 +169580310 +1232119827 +1515686798 +244865700 +122048729 +1705193617 +1501287388 +982094818 +568691940 +2057152764 +1743903658 +1879813096 +1037579196 +1828359598 +181552477 +1022295650 +310692270 +170772726 +1007459210 +2073746228 +2023096702 +2107083590 +761680994 +1944056676 +443137845 +897358160 +119172510 +2107428758 +586348787 +125735618 +542550438 +755929097 +1357855445 +2058237236 +1000794797 +1479904174 +1615947206 +354598537 +314515344 +37155498 +264267653 +2058419002 +1916968594 +1301846850 +1739294952 +2098521071 +176658852 +2049987223 +121810149 +1184118062 +1976249803 +2144906852 +1143718005 +590447150 +1941479880 +1586855850 +1487805310 +2060652391 +1546800960 +2074154097 +38904361 +2089351398 +682599546 +1396759806 +2000104986 +1683394343 +729180332 +1468568544 +2037992881 +1043695676 +1505724043 +154776886 +954631030 +1275208989 +1456623736 +546442335 +1226246413 +1633282589 +448945910 +1348056562 +669917003 +277712065 +1345479766 +1813635008 +868159215 +1139475999 +1253007210 +208480878 +1052644742 +652324522 +135151327 +1091549103 +594192272 +817750874 +340825261 +446813611 +353661569 +1070005593 +1915382155 +244170802 +2113701269 +1273622550 +398947689 +920848651 +401347892 +1855571425 +1467290986 +1627594305 +1341370366 +1916236896 +828167219 +2011287370 +46465314 +26163338 +1677438730 +914624529 +1165639337 +782962293 +1123105407 +70800431 +1435286815 +1258256735 +1162349534 +2029479088 +2076007609 +1503174795 +328809051 +282185530 +425696740 +96707558 +526356333 +391914361 +1370330109 +925304022 +1312763012 +1771678001 +633391799 +632570351 +1251788658 +1974762166 +401323599 +2079955877 +1838565888 +447788913 +2106119215 +1368520970 +1362413443 +1124274904 +3999615 +338035202 +1195075335 +1439286431 +1596291937 +209941221 +1321281871 +1524815898 +1713116016 +1650090922 +1807001429 +2138812756 +1746798480 +185874114 +383243469 +969644941 +1111178136 +1696006482 +593839294 +1744569935 +181093185 +1845627952 +1571848453 +582416784 +1778100182 +1262930693 +1030205698 +1736735749 +483968016 +245135493 +713527006 +487967631 +583170695 +1908602341 +1927254062 +31978985 +2118543563 +1101052285 +1556794883 +1684175931 +603659559 +1216312664 +1675505040 +202974392 +1402186778 +2058748509 +1172619333 +365881266 +1607271343 +1766458628 +2110451202 +1788364528 +1464602932 +1534816007 +223297665 +1095219466 +650263053 +1253503363 +684471568 +1134231069 +1498638856 +1397998574 +1622198700 +2081809551 +1159117267 +1401969115 +2113788536 +1130177182 +355537752 +1523099772 +666869466 +959197312 +591928788 +194890858 +1162171704 +1994115567 +106155719 +187307389 +212513185 +1713427063 +1953766017 +175480739 +1354307943 +1270885302 +1710296747 +1577605608 +218621120 +213076152 +683625323 +903092688 +1347307221 +34780531 +153607614 +822022273 +2116590083 +1312724882 +76507740 +2082894971 +295418416 +432045493 +1458511095 +962287882 +1391242805 +2050439884 +1157178740 +405930861 +1897071803 +1263334460 +593238250 +2109584988 +829277875 +399520620 +137582080 +36102170 +1670405922 +1847878827 +1613707779 +1889027042 +2060954979 +149849454 +644636083 +1260778552 +184629986 +798243697 +2082800825 +153736421 +2110968579 +11824918 +89147744 +258903348 +443870411 +1547658840 +1221191230 +1835113216 +1450615076 +230886323 +93560429 +1200203231 +1494220783 +686798679 +1162304571 +176015010 +1086319299 +1299886651 +212117180 +609241573 +1000281830 +1825824959 +350784968 +913753161 +1975674414 +995421051 +27048065 +12820752 +1793664748 +2109848891 +166557173 +1757149680 +2121673809 +255704917 +2016053028 +418060572 +1803363757 +1089760610 +105690140 +1106495185 +1320646933 +199250569 +159214768 +667384068 +886049248 +1321519340 +843399078 +1972368548 +473922343 +1055516259 +434126473 +1474204174 +733857570 +784911441 +240473687 +562048336 +1780332492 +267521753 +574869088 +1426513593 +229886996 +741426261 +1036179625 +204077157 +997131179 +904749005 +622137729 +653011288 +1994509615 +727827869 +1759506474 +1167672901 +927078438 +1918721242 +1835056969 +1813127686 +1092756934 +530972400 +1638012586 +1566679278 +1586488659 +2072139060 +893399804 +172862581 +709566853 +1133873491 +734910918 +342415698 +1401395244 +1309780006 +1768929291 +1631282240 +2051206268 +657625268 +1835359397 +900853799 +1562374273 +310013478 +1553865087 +1409400240 +1037841347 +1165887913 +429589493 +1964919785 +937125508 +117162815 +1630563824 +2029882442 +648135215 +1121092762 +1449078072 +87140226 +1045748174 +194994228 +260002807 +1755315028 +1328867720 +994913725 +2097730726 +582779316 +157210084 +1719176369 +66577909 +60932704 +229317989 +1901937306 +961786503 +1791692262 +64467137 +368167942 +1053608854 +1102308484 +1534055856 +1483198348 +919744622 +323697716 +1600361163 +402824798 +206096510 +101012730 +1523917560 +1655174583 +188152956 +422182087 +1850168811 +448155763 +30013467 +1031552883 +1443069489 +2127744193 +1614332200 +1600279573 +1699436914 +1680910109 +1661212277 +1928754903 +1435363767 +475515132 +1572963517 +1499830904 +843683074 +479088723 +454655741 +230255282 +1962287071 +1374400363 +553952998 +1415164586 +1777225161 +760049509 +1516177316 +1153659073 +267740444 +1704330272 +1575841160 +2117909255 +5002388 +1605854627 +1001978491 +1448071877 +1586115172 +468827043 +900867802 +1138068438 +2253504 +414596431 +919339693 +1437617271 +890111563 +344819562 +789964528 +1733794637 +823908286 +1244620269 +1964049920 +638711709 +471536984 +370519270 +2053876296 +101278497 +1130568779 +1422569964 +1254937570 +1398309223 +979416589 +683295083 +1368734831 +984418977 +141666062 +223229674 +285007206 +1727781235 +692056717 +1185875008 +718366025 +694310221 +1600471439 +1637705719 +2131927492 +343099354 +1982525281 +774408372 +2076893991 +658949919 +2019028641 +1893460263 +1297661629 +343081977 +116495886 +1204054277 +444360474 +1247064665 +479140593 +1699298045 +497890241 +1458557182 +235109480 +1866625072 +295492511 +376775542 +2089854746 +580499717 +2104556777 +634427815 +1766374725 +675439155 +1328738036 +1219362516 +165661226 +1313181880 +1562461870 +702859 +2087590253 +1491872214 +659652779 +1959135246 +1237848829 +1957314408 +154733576 +1354344715 +1013885037 +599094050 +453925733 +1493025630 +150908447 +951815974 +804099165 +386017927 +670957398 +1099591676 +762793470 +613328496 +1680091394 +719866599 +1247756311 +1298982471 +1395305754 +429010699 +370861340 +1560966980 +1742192579 +1933323210 +1561669840 +1682299184 +1277711776 +73838971 +1493950783 +368076958 +2031153379 +1648684359 +1722421673 +897554768 +100294761 +28863758 +243096750 +251203209 +980679732 +1047195915 +637221136 +1651637130 +2146787592 +1400014606 +117481978 +1679395338 +2119881206 +1365238289 +830894161 +1367703312 +1794248988 +1201755501 +781186645 +1388957920 +987595064 +195372837 +923773456 +117823192 +269211808 +270240591 +485900150 +152881539 +1918924950 +60838176 +1050436307 +2019219712 +89701934 +1293533057 +122939273 +1070381667 +193245325 +760160409 +574535149 +192549269 +12691368 +692017128 +1871944607 +2132572574 +2057255417 +555355120 +1352792238 +1704020758 +1757110622 +2133978883 +945495030 +597222038 +181868072 +1869268486 +715045230 +451079880 +2139509078 +1200945381 +603961419 +1910950380 +1261783557 +1654397726 +1782686444 +1351485491 +800447136 +1905625717 +274383510 +993692461 +518302479 +848918660 +1186241730 +530993847 +1540935788 +910702689 +516082773 +1450707557 +1466057809 +1868875011 +1007244667 +1075684783 +1855370247 +1952739697 +1672906821 +2037238319 +1674524536 +240468404 +340834552 +1666549966 +1441413785 +944795971 +1430016698 +555713694 +451710050 +1065219495 +1907199185 +1252157186 +823361564 +34099048 +98365999 +1341664043 +883017708 +1284607729 +1872657890 +276469848 +47826770 +241257015 +1727177405 +1513884579 +2110132027 +586938425 +442085715 +1818018626 +392194474 +2114992536 +1707773297 +2066719010 +207977292 +2048607849 +1585785328 +1649391077 +845920173 +868318379 +57621123 +1297630223 +1933537874 +1964820309 +402303761 +609415790 +1998919357 +500669760 +1951079834 +734453417 +1785277489 +1676254076 +1010923265 +1833104259 +1917511092 +590617022 +1199505190 +1880159471 +1177555447 +1641590905 +1550694449 +1569749922 +1609099794 +1110984098 +1488985284 +1817077086 +1012108300 +927286965 +1318984516 +1858028473 +1795605344 +1376605639 +1008175048 +1581659570 +1193942300 +1410478809 +43591712 +1045378009 +1911148569 +1994671546 +1779831426 +1548942410 +1523441975 +643271043 +1234563021 +1293469419 +1233888066 +286584563 +1026145242 +263959865 +1928175469 +429356043 +1833709787 +1389791615 +1540340141 +1175211424 +1059385053 +404964793 +2102498389 +230885921 +115509618 +1750620085 +1607491561 +1123684666 +1184796007 +653950213 +386679827 +1228387719 +1699328223 +150344748 +1075575618 +1331676001 +1699287158 +451533945 +1974947045 +786366531 +1745003364 +1061351463 +1072951095 +623664958 +1325311328 +853642916 +1053021001 +1011537468 +95950883 +445877494 +39265244 +1155335936 +850842288 +2141763633 +1386221858 +966351906 +1744900070 +846229771 +2090036573 +782212429 +1500179984 +329232752 +2010600148 +1052024559 +479577501 +938692118 +236216913 +31381011 +1390226063 +63680310 +817747543 +987745779 +1125031773 +1890698638 +1611410737 +302859453 +596857906 +516948090 +1314396921 +692808789 +962825585 +1353662165 +1848144725 +1813667873 +1347942150 +1086882935 +632536131 +945358572 +1933112706 +575089056 +1727571001 +1285809043 +904321809 +1590687502 +190349954 +1383899310 +381895972 +426566867 +1415280321 +1772122036 +490247177 +85544216 +612384167 +1615278950 +1976242854 +76311257 +1918138404 +425617112 +593259347 +1085051677 +1118425901 +1556084932 +291230195 +819086979 +1222269157 +1639172345 +1905969914 +1854805289 +437047270 +1691598973 +282410697 +17134623 +829924368 +1186732506 +1607822125 +1020274322 +423148168 +1989718098 +1446841190 +1838428490 +1614356486 +1937088367 +1923972706 +79257005 +1404883670 +1752731913 +155568262 +1175538426 +30865377 +748827610 +113106455 +1149291279 +157428894 +404336650 +1968378258 +1379698052 +2043508996 +1726864524 +1087019693 +333072618 +1270979849 +1369430390 +350207241 +2100904217 +408679249 +1958029367 +973694892 +831827417 +1800263817 +273052434 +522772259 +1267136655 +62657153 +299261318 +1346393660 +1467540823 +2051993231 +1501961923 +495595601 +2082858608 +103305885 +608702057 +1084666239 +260734779 +1013038707 +905560849 +1640432831 +909064055 +484941726 +579968876 +1242136673 +1755921575 +1949399267 +1592343915 +1709342145 +210594868 +1402889634 +535553389 +1042422285 +1055669803 +808605823 +1565194545 +175322810 +871262976 +1864455863 +1521716470 +191320152 +1768965446 +876194745 +686915753 +1704340406 +979500630 +1295617810 +641522998 +1240235410 +161172870 +1547083847 +733184593 +1070236925 +2032025573 +1313153470 +164889951 +1640463501 +1115069089 +1757233866 +1202321998 +1325663957 +1012639852 +1737875387 +220602594 +2068309655 +398997562 +1785797139 +96148817 +1270260538 +1502769354 +1617865287 +1461580690 +1124251152 +346576385 +1012796 +681107911 +1326077015 +1296630606 +1322630909 +418828777 +1457803476 +722231108 +1152013371 +380556754 +606773034 +317683193 +545446705 +99752887 +1432752282 +155196923 +1302074885 +610932591 +1167836775 +892466624 +831535185 +1088662782 +1291464186 +469848677 +1184811599 +414241076 +1972618031 +655193238 +1875821767 +949385536 +1001769623 +1876834563 +1630493447 +180362991 +1025981521 +805640708 +599191768 +336301350 +1527871816 +1751205139 +716858104 +2134644850 +2068888332 +1262304809 +86914089 +1354156966 +1417501732 +1388988974 +1965089557 +437854859 +133971950 +649141095 +1526517641 +1425436136 +1118989772 +563845592 +1839677213 +944124155 +1219038830 +1568015332 +1893509691 +73324806 +1297366247 +1376519490 +253687797 +175864120 +34676550 +852879565 +512165470 +1562548367 +456601057 +1229023574 +1549709569 +378005741 +343844735 +1636623659 +1732162708 +1761346467 +878128985 +1549768617 +51717678 +1012100936 +51426064 +1578235319 +290053424 +1170415836 +2142080911 +2129730637 +2114539992 +1213636094 +1550262321 +1860566035 +1286960900 +700144920 +1089601878 +1540648697 +876009041 +1124278428 +246044614 +1388174511 +539343147 +702645671 +469714438 +2089052717 +1080651413 +813559173 +1578192728 +665330473 +427421993 +308838065 +67615442 +479139671 +1320939001 +119041507 +2057374991 +1610992426 +1289457343 +2051972254 +1593239415 +1256513687 +1118124700 +996018089 +969596075 +257601952 +1696163009 +2059197953 +1798250649 +424688402 +1035992733 +2044295264 +1812862914 +1575335881 +599457287 +135093704 +1516904950 +1680108700 +948652877 +947614030 +197955525 +1376074870 +1256452095 +265570968 +1855214542 +429907449 +384612475 +1765105885 +2040899875 +1674069818 +1669594491 +1486655642 +783099858 +640235544 +335190083 +1752695933 +897837496 +2031353093 +1664410238 +548604498 +308557847 +552919323 +445416114 +2121420761 +2128255204 +1044873401 +109030817 +1497676506 +577498454 +1057683695 +297806888 +775453979 +286274917 +1554258984 +1041024947 +2141489459 +1984166433 +1425637422 +1759111696 +1877582660 +952223593 +1281222540 +1216754654 +1735323451 +1921458084 +1551944738 +1340535736 +671811932 +1435814183 +857462326 +1220416430 +1744372030 +1410381649 +1665832544 +1718309144 +1391153206 +563222298 +1827339961 +741346064 +1140720752 +737540008 +1039152953 +1916174731 +1023814926 +445928289 +809716031 +1017820737 +282611074 +87869805 +629448786 +12710086 +1040093398 +1910671326 +1229464740 +627933201 +1684645762 +633925830 +1968468937 +208974046 +2069740013 +678447615 +1429390477 +1666628396 +2088829265 +947739373 +1237453892 +1332498823 +1510961671 +917310205 +2073844887 +504198775 +1654850214 +965514192 +272889859 +531181492 +1411442481 +1082605890 +1549002229 +1694053555 +1170475695 +30967367 +1706763641 +63085446 +1941638693 +788744734 +691018647 +1478800807 +1422670564 +512003937 +1687774854 +1344926930 +1190451552 +969681683 +864071678 +1131797169 +1917421056 +2101525570 +316812344 +1280899080 +871352127 +243173584 +1785097855 +378718693 +1208687776 +2057987714 +909900185 +472646610 +993109956 +311418767 +19216517 +16102004 +342386134 +1725980159 +79187450 +136541180 +367241245 +770206097 +1615341987 +1789911809 +1282210034 +1155633193 +987355091 +325177939 +2125314876 +1851426769 +1456975108 +1895252285 +1805468691 +1773787453 +1028667717 +529337171 +2016961037 +666281924 +908055864 +1078165165 +576785991 +1817956050 +1550811775 +1569895947 +2129374817 +1570028293 +1585997951 +324277303 +1148524804 +1665185401 +460818483 +1515766049 +287907851 +2076160471 +1158194210 +1570117885 +1084310016 +2145549302 +1895295824 +1062141245 +1849492423 +1204787285 +809909882 +1507477467 +831091090 +1838577599 +2036814638 +700568479 +357375875 +797386854 +1778733644 +934161866 +467859256 +1182061772 +356574166 +449750425 +604606417 +1942572117 +774027729 +1753131221 +1460273871 +1234846212 +1121413622 +1748181722 +1163523035 +132124184 +1170815959 +100349404 +130189838 +918628136 +1162490649 +1979682262 +2123415421 +1972400531 +1339676081 +807022863 +1663494482 +1229007071 +1507591342 +2020870357 +2026393925 +1138841338 +807548576 +346769534 +173419462 +1164122742 +796519959 +778025879 +959211211 +1570547688 +383673452 +272001434 +657910253 +1505087074 +2020183156 +1821433288 +1637211259 +1043515468 +1921782692 +1767401097 +1962143604 +936789693 +1599599711 +1938075377 +761706576 +791792144 +597614592 +277717410 +2020799215 +2105205934 +151104120 +1899709493 +1096563624 +958652696 +98995379 +1269983087 +2122775438 +895515338 +2048008966 +934503001 +318579379 +284198771 +1206504436 +976489632 +1789285845 +1079203944 +650439272 +1279013456 +2122719412 +424738317 +898930906 +1937379368 +1361528010 +351046969 +1727971097 +2123234587 +1142839114 +178102041 +253468349 +1016154681 +135824327 +404572469 +768380526 +1232387952 +1363225165 +867375905 +354887391 +1338516955 +1762891244 +255412709 +125536309 +2081470623 +539611480 +1332040745 +910476607 +181413678 +263761041 +1560915879 +1460427134 +238996806 +1985654196 +211874392 +28892526 +1199698559 +562921362 +1756863624 +1175449498 +1705760476 +1934965665 +1428917847 +574431509 +2070789993 +1833490317 +1342812036 +1155694297 +1049231834 +62704293 +1510581688 +240265142 +1825595537 +1765994397 +365801451 +1759582512 +158122230 +1697842196 +522575471 +339535908 +1961603237 +2083491351 +1799963042 +53116395 +1921661899 +2011837435 +82008922 +973876810 +427275149 +1838872546 +1842660 +2133035625 +1626354563 +1430760508 +559983486 +1549660908 +1116767177 +1902795522 +557871557 +18515363 +1965499816 +2068453245 +258780505 +1643611705 +1686963995 +624581956 +1255710570 +1845086225 +174940504 +1778286041 +37138485 +2136543742 +1714293744 +1837101527 +42176489 +1488471996 +1701455314 +124185411 +314865158 +2128730463 +1963057957 +316707819 +2114282440 +1441928873 +1747468327 +526782279 +844106133 +716751856 +282094153 +1401977691 +735267219 +100110321 +1322947288 +994047725 +1743722027 +862427635 +1618629681 +851948949 +560030212 +1793570186 +482751342 +597168697 +1782630280 +49561439 +286786577 +1824806769 +1538033435 +1988241891 +1948992181 +1852898593 +1969488707 +1764566490 +22122764 +1936287499 +1059011715 +1769591091 +315586130 +1903117849 +338859299 +597680284 +1157611892 +1074126519 +697790605 +333075532 +2068174244 +294028984 +1195503168 +1539320277 +1145977933 +1755533380 +1185406815 +1628729276 +205218430 +820553447 +1678290715 +492005007 +497876569 +1068840502 +332763250 +299385102 +774255447 +154768309 +2063951592 +796378212 +2091055809 +975479660 +418485655 +259158291 +731113861 +757344955 +856838575 +1888725753 +1831471474 +1554629181 +74317637 +1752162070 +1848658165 +1269820805 +1143998699 +847152451 +877870538 +181921867 +328398079 +1083088968 +1002475314 +2006688794 +1575093975 +1500351883 +928045648 +1907857225 +1799736985 +1702301095 +2062625535 +1716204930 +351195659 +2006197696 +544200942 +769681315 +117872339 +1275314803 +1527026270 +974710915 +1016556908 +1211014096 +381856448 +1090874545 +815692518 +83030965 +213211703 +1959691217 +930183416 +1091082241 +2141613084 +1258581495 +26687561 +996604751 +1117786641 +1601781536 +349472986 +2045832289 +1362155113 +1726324 +1600649737 +1277297000 +1717931254 +1951845396 +1136011048 +114648548 +574043063 +1253883388 +1389963351 +2101069333 +81110655 +259036611 +1164599781 +462967103 +1349911156 +1980292299 +545998068 +1563122859 +1792499869 +1476181485 +506721452 +1786629305 +587279332 +533409013 +635750408 +1705065974 +2135190549 +985223395 +1603414615 +1349862015 +986949719 +1056580704 +479675367 +557397325 +860942453 +1615686416 +672045873 +1434985516 +722086156 +2062009224 +1388571202 +803196811 +173562187 +405687335 +1266163914 +1523473343 +238495987 +1812161982 +939112555 +2030995856 +1140859819 +1445834007 +1670141513 +1728139152 +1979243021 +158408274 +1285721478 +1966949922 +1143631669 +741652445 +1169328289 +2130581388 +1798233150 +1649003657 +540495065 +511691955 +1117206425 +1212540938 +1946677471 +1839292581 +1127066514 +1187765025 +495005744 +1300628701 +1593452361 +1761169658 +676618396 +1831948348 +1425847992 +1615730951 +1715460556 +419224164 +914081311 +1238118421 +2147363316 +745840684 +1396526695 +1285601146 +565306958 +392674716 +2027253591 +1734635248 +375772456 +1678003093 +1236155257 +916267521 +42211400 +205878034 +2128808459 +1988888872 +2045170615 +1108391325 +1029170249 +392692711 +261536378 +475138962 +6378721 +938154775 +159603662 +1432226713 +406402078 +1875064218 +1851450877 +1320483389 +965698992 +1851330545 +2066324073 +214742039 +989448043 +484147384 +607416756 +869217987 +71298984 +983189212 +399737432 +1307454241 +1899456734 +441948833 +1513332275 +1880781545 +283354057 +1411019242 +841689223 +1312524306 +1803711953 +1103225601 +1787663269 +1810090674 +2041380376 +1947266931 +1094833739 +300298807 +1674847502 +798800969 +1620782196 +493062846 +502647866 +1539622622 +707804885 +1492095910 +2023770006 +1315221641 +213830249 +2095068990 +150927206 +613567681 +1255039583 +2050383940 +1055516514 +620888210 +1783681837 +1338870571 +2031907452 +477887412 +503911230 +1688135757 +1581113014 +144090851 +1350742783 +1475009742 +2091357782 +298092874 +1775308549 +1618721636 +1096893843 +1248607098 +2111784482 +1599541710 +640746072 +672105720 +944153972 +517032430 +1987327361 +1157984221 +464617772 +2138254567 +1771551902 +1719657355 +2041154859 +679584769 +193061917 +1677353049 +2018455340 +77485721 +7756813 +374882922 +1765621478 +1588869827 +518973773 +968880613 +916395922 +462847908 +1266973487 +544220823 +2081569544 +216383683 +1792827921 +2045870379 +1815925393 +286090345 +570492451 +612595717 +803122775 +410336164 +1770579938 +1267740547 +401107084 +1394648192 +839914254 +294778295 +2074232961 +1032976171 +1972131344 +1945204654 +1110461892 +1979888158 +172603928 +728599722 +1421274337 +691577702 +1697480335 +190186611 +1154425610 +816970175 +734407435 +1088511506 +1033353858 +379751708 +986898237 +701795603 +665842054 +1557390688 +1314391320 +1468964829 +1967726853 +937487610 +589221729 +221350289 +184652154 +1429135983 +516128584 +111401468 +314628507 +340776281 +2056606122 +1425090399 +173180791 +81726402 +6206474 +1594455128 +773304104 +1703686809 +1784641740 +1927729714 +373173336 +371565527 +868757573 +1406527194 +751317235 +1855655810 +2108322797 +1417159289 +1265562851 +1275230469 +738640471 +1085806056 +65234431 +1327862200 +1307156345 +249886586 +609514535 +1823284929 +361288054 +924143042 +16577562 +270410528 +201749794 +189758353 +352136930 +207956268 +1784213482 +1125441035 +1911643077 +1421371574 +905687101 +137332766 +1792937101 +1774444674 +1543859960 +396770688 +1482616837 +1504699110 +1813929978 +600696040 +632445931 +405086801 +1686502096 +697680363 +1732949001 +846174793 +947566949 +194979888 +521976074 +1308855003 +1119122931 +538553637 +1579265531 +1320872725 +728311990 +1931402461 +1528828993 +365041824 +909359848 +1292988422 +1786413398 +1815046950 +1430321188 +1431866851 +1442007976 +826697501 +1828637540 +777141165 +183912963 +1495083870 +1377837205 +816358894 +1900170671 +916855653 +1514039257 +1485636024 +1763030446 +314122558 +1680615912 +137522873 +1622977561 +652255195 +676076510 +1054759444 +1973127920 +1404388500 +838678258 +1354473265 +1769430325 +1748038106 +499978040 +1408360075 +1415601408 +1930299228 +692743279 +710125737 +609513081 +373897171 +1487266902 +793426044 +1868981041 +717620460 +1609784939 +1621668064 +1634476113 +976340548 +959820440 +1250022912 +1290463107 +492952704 +1387545785 +765957020 +1145207900 +2063622295 +1820716465 +970852172 +1320527147 +511911075 +177841790 +942473824 +112465533 +677819830 +203350252 +1528066942 +460635410 +896093531 +90709031 +1070148492 +1269990702 +1577975933 +1863574536 +991488095 +148112745 +1325875827 +465672511 +1782588859 +154732728 +1425492951 +885128123 +1445195835 +1918445655 +125190260 +63669207 +916169907 +41328907 +1884385672 +1887022080 +1361856054 +248813099 +2064863870 +156846231 +361278633 +595200052 +360196483 +1889345575 +1055835462 +1256290014 +1980054606 +2125983954 +378797068 +1410546891 +1842074843 +1370285163 +1558659637 +1020467022 +1835957674 +1193764848 +1175199750 +1113966977 +2078892971 +472911937 +884928984 +56599583 +536581145 +1801098892 +97928490 +273483169 +1540637324 +1459784544 +522296269 +1458017546 +1616630775 +883574902 +2053217598 +1976827258 +625436829 +961569412 +1085633624 +458007787 +940069719 +1464430692 +1868554678 +634660914 +687232207 +1279730667 +1655127936 +375706233 +326011867 +682844039 +1489673210 +257421190 +1155755976 +227118547 +314020773 +1692337121 +2028217439 +411949263 +1965820291 +1421371115 +1871733808 +340632912 +731905013 +1340880935 +1224207814 +637638963 +1170224546 +1849644643 +1599208375 +108374522 +160168782 +391794446 +1572805215 +2028723460 +1026455360 +112553774 +1160970480 +534099649 +488260008 +1486982347 +1216943688 +1977933218 +1744403538 +225216016 +57568117 +2058424311 +1917553138 +2085785556 +322889927 +1735889781 +1359673023 +47140087 +2076522693 +2091578036 +1388021022 +1153246859 +581733351 +410761920 +855407854 +33458079 +519136443 +1015576636 +425252525 +2091941658 +896816448 +1451707886 +57011784 +2057786928 +1985807535 +545271792 +1397285628 +1055267575 +375721363 +994205518 +1280483591 +433289480 +905146181 +1050553081 +371591389 +1228036108 +638959214 +1731264412 +1275176195 +567998259 +1675358801 +515713570 +1721245118 +109608504 +926475490 +429169324 +143066583 +1445611933 +1444745960 +568319109 +1390069943 +194078761 +2020026995 +1447081728 +104382041 +1858350882 +1992353520 +1501667669 +766134809 +220591235 +348389539 +2046618400 +653880716 +1253535721 +949687834 +1025472105 +334088181 +1588647048 +609252869 +1609264377 +9161660 +137128022 +2124977947 +1730406778 +246736527 +903969789 +12092455 +389803110 +202098075 +1456838415 +958122219 +1592168018 +1650917176 +830665566 +891766098 +1755299218 +541532800 +736635971 +1109483239 +1307667609 +957227206 +1457872779 +1206802362 +1611107922 +563924852 +9006548 +489096379 +898013033 +1597653596 +1098349249 +359793762 +1606815256 +1235477271 +337288061 +1189738387 +1482213798 +1241257851 +1201830842 +1872016909 +1443355926 +511185609 +682655480 +888040296 +14619138 +1513321047 +1779806395 +1769918356 +2054853847 +368958718 +731917947 +1215037809 +1326185924 +42307078 +274356523 +789810199 +606231930 +283363071 +1278906578 +1504244964 +1881016667 +229772179 +1864038726 +1340348276 +1465249451 +53843140 +382603015 +799979601 +1295100991 +1584433857 +524512862 +590973269 +2095619466 +1207168343 +1479013565 +2110238604 +573005742 +1111336312 +1732673312 +480375941 +1480295030 +317107612 +1695413750 +658997307 +359414690 +1969770273 +1448807506 +965646621 +105649696 +580230436 +322407937 +1986666364 +810002616 +38963015 +1179530992 +127768419 +92806155 +1562134007 +927748020 +1387907146 +999084216 +1452260883 +1978880415 +947220034 +511945578 +1310410333 +909974991 +1084951320 +274262997 +495164655 +1565327261 +1754558028 +812272267 +1113257364 +266071687 +1171686958 +935543989 +1714879193 +2137333579 +1041193686 +147625981 +312257868 +880376402 +957628597 +351220883 +2059907394 +1085397016 +444027039 +1474557753 +2013145037 +1831934185 +326158321 +1317922272 +1663330953 +1273378355 +1829867850 +826257638 +35869698 +767335522 +1100520635 +531034354 +185179135 +707595015 +1343306621 +1298436499 +973666702 +367509931 +86496841 +541062247 +357359862 +1127690527 +688688229 +669617730 +2008066929 +1646316826 +1020838614 +1920490675 +584230195 +1464865653 +1247564780 +449891584 +1149316190 +1573723101 +1767813856 +665163495 +699617808 +1450198058 +1491421133 +735487507 +70049932 +444458121 +1266521861 +255229067 +1152053136 +462344834 +1553665567 +2125719839 +829854766 +1640162408 +519298438 +1187214628 +620369287 +1207986667 +1856832359 +480952568 +706819846 +730187325 +253959595 +1291050041 +47569330 +1501524375 +1740941625 +1196885520 +927763828 +1361271833 +1862049016 +1627381636 +663986243 +1205986501 +215385495 +734036175 +1650444622 +1481907356 +989265242 +655014111 +1944252191 +395447161 +633250302 +626623309 +2035609569 +1152548740 +1813837937 +508495208 +213051760 +1523186648 +989447776 +919871606 +105890325 +1243407371 +63437999 +153459655 +597448098 +1804379624 +1350345176 +1525211926 +1018167809 +1064910544 +1005109915 +1682154052 +123413397 +1220495410 +268706579 +1773858020 +554919119 +1257971821 +281388483 +351687662 +1653418983 +914638785 +978310971 +1541544904 +2067187525 +644665260 +2050040113 +132755637 +20368261 +892004241 +1052627243 +126258586 +2135411613 +1116065242 +279718242 +585376063 +772961218 +1630063418 +2110587990 +1791129027 +547490314 +968214257 +1325799431 +670903711 +41226019 +1594506010 +297278083 +596145138 +704994184 +578666566 +947832800 +210929519 +1493305351 +1926143771 +1752474423 +1413009229 +423325384 +1655030888 +1545764866 +443693645 +399551482 +450908462 +569952231 +387479447 +1566973704 +849670473 +972855510 +192451275 +332250243 +935959852 +1983580302 +879740557 +1904174109 +1161896086 +1550644269 +1945400129 +608918448 +1847922352 +394061619 +1313912632 +279105271 +1341894420 +1524842151 +1772410622 +1120554543 +1129832927 +1037936203 +1543879927 +637380167 +436217422 +1987573572 +1036931649 +887125884 +410042156 +1424411096 +306615940 +1259712629 +249782959 +499067215 +1591962873 +1185742811 +335163870 +324219782 +942433273 +1497059956 +1874864051 +740349754 +2105978404 +1575302756 +1134411373 +1272407389 +1854408027 +328822145 +649765892 +1479335001 +1449376689 +1779598819 +369787557 +845772968 +269495339 +806004979 +685862893 +1306426988 +1693130863 +1095905049 +583354437 +1999746803 +208134030 +833137396 +351330371 +1800096903 +2018880207 +686494241 +2124316686 +813829832 +36070549 +1851697089 +1554179586 +2142048953 +1279516197 +541107312 +1266972694 +986440576 +869929457 +1916738587 +318291930 +171822498 +1548853758 +688079487 +1017595467 +1818349097 +1494084466 +1703458360 +977292438 +1039731681 +651879761 +1560646875 +891994836 +860013791 +246300623 +1243325207 +512627047 +117697182 +1929819448 +489460085 +931527015 +1965889997 +193673526 +338222953 +1960455303 +1473189724 +879330265 +1079944349 +312146652 +1749259723 +849199288 +630438582 +1921082221 +250569399 +1318518069 +791194040 +2068918496 +665118887 +347168752 +898727286 +1704850568 +999048513 +311890513 +449361757 +1859062305 +558191136 +1692686964 +224205704 +675888319 +1475022765 +713665789 +1607415334 +1293429114 +907339315 +1945638287 +1106400769 +233045391 +677484905 +38861471 +545192044 +279260980 +888060759 +1175630626 +52859553 +1138630158 +346665048 +844053594 +1060065007 +1011783935 +1191222346 +1958792293 +569150856 +42787212 +123199159 +1018512613 +1901849517 +681390295 +563715929 +2126055221 +1357278614 +2038738694 +692237362 +817210300 +1184684161 +1599576677 +615364940 +143601282 +1832622069 +1292849845 +182462753 +230330465 +1572110825 +1070523513 +1405961091 +1624970378 +61670023 +1752626139 +321540324 +1121735030 +616926427 +1512762671 +933043676 +1186077283 +1555549883 +1056242835 +57106248 +1309915752 +1737633130 +620822177 +1288487325 +947428097 +512077224 +1980724687 +1764638397 +1696761385 +1432817716 +232519689 +1840362667 +1117956137 +1525369534 +2022825421 +1348286602 +949996711 +945865286 +606764046 +427483442 +1007535309 +211906537 +749023766 +2129270340 +828832964 +114302789 +914830368 +2014910247 +1669852672 +1971073203 +2072016495 +832284776 +1561222685 +545355025 +2120772101 +361167134 +1057432249 +1954013140 +2125805532 +606709986 +1239347209 +210841573 +299589005 +209819698 +1736211108 +174930778 +1558106301 +538724171 +1120796064 +17386699 +966207613 +2128331374 +229293236 +1715231380 +2110118066 +1058126201 +1829534169 +877464786 +925552800 +1351903194 +701054341 +850085648 +36704322 +114793378 +1395440673 +9992776 +475960513 +305389274 +1964005916 +454282397 +912099260 +1055869477 +665123970 +1211688265 +1265689176 +253851430 +1386619044 +676311829 +792575602 +359931460 +693698528 +1758783215 +340779186 +922991764 +1326530947 +303413604 +1981117965 +1008581469 +1180878390 +759187118 +213001015 +1881932731 +1609272766 +249705337 +1996726110 +857229791 +259698113 +325202975 +1162619065 +76220382 +779485372 +2074718325 +1132089859 +1444609342 +1138922942 +250295387 +1698460773 +378058338 +926607216 +343552727 +737989799 +1620305744 +2102335942 +1078768985 +395813861 +1281383242 +1382182590 +229448178 +142481063 +415577332 +988635296 +355482078 +150026416 +450424414 +605187415 +2146752526 +1307654205 +864885529 +324471853 +322789622 +941105911 +1103957225 +250024299 +2073195770 +401082919 +1388947242 +176007510 +2099543692 +1767005580 +1102614726 +295612771 +357511731 +575436823 +250465066 +1436280717 +971250684 +1531848308 +670979659 +1200698862 +1674329371 +1086556991 +41850511 +2029811449 +1236583407 +492274925 +487515216 +1235852285 +1799929131 +1352400745 +1560324138 +2122718753 +146023008 +516797715 +225259405 +71735131 +917880635 +1614206647 +247742641 +869940679 +1233728579 +1350357367 +1165553451 +1591240311 +1925794190 +1416018517 +880037380 +749561226 +800383177 +1551017039 +1950260089 +327228900 +490090382 +1992110600 +209556701 +1726673790 +336901877 +697071917 +815042427 +2136831008 +2049472663 +227882918 +2112066114 +48012023 +744680633 +189841871 +119747154 +1662561268 +1804048518 +367489795 +385018300 +890293449 +1717847163 +1550571751 +334050112 +1496157705 +819106620 +1214087492 +98235284 +1619489797 +617620883 +2048495373 +1946718697 +1107711266 +1893122325 +8791750 +686901408 +82540554 +705863667 +1501943835 +71887915 +607852682 +1729826753 +36470381 +655864706 +327023739 +226312252 +775611860 +1989585007 +2030360770 +1143101656 +227119659 +773170571 +713465171 +1777691410 +1107220684 +62139228 +449314382 +173824528 +160374512 +2068804179 +791445412 +61386237 +1868039228 +1899156678 +1954508562 +1876830978 +438574438 +2037049117 +435210998 +1940518273 +2108937032 +1043063680 +1522861379 +2145407413 +1698928386 +1849885118 +224236017 +327056599 +1691986477 +107113139 +1470158255 +1919106137 +880283710 +36139778 +1549313899 +1987504394 +98279006 +1998628282 +13845275 +258653519 +1919948813 +805290687 +320039756 +1640504394 +556963717 +127064671 +1369851724 +995538155 +16630140 +1805062722 +788572780 +2125567172 +700642755 +163950511 +2123490937 +252087493 +2013835629 +200243306 +579144092 +1558338459 +307356445 +2049302347 +1329960948 +1187640155 +2085442125 +731791199 +1027660902 +36237484 +582935833 +1041506177 +294891003 +355400999 +1846796864 +614930759 +1995905393 +256276933 +741995430 +1218273469 +1251815088 +758625570 +875852544 +2040387868 +736709094 +1576495299 +56854732 +712716383 +1828582792 +2070690361 +912959689 +260243237 +1481545172 +1220316134 +162061936 +664022472 +260472642 +100020414 +1395813672 +1288133544 +136257898 +1978749505 +182156073 +431148901 +186666856 +2028952937 +1046079660 +35088601 +137746222 +1788075091 +1253362071 +1389561310 +399217013 +2129214615 +1282465530 +1135926108 +1558226266 +1339320262 +1848642491 +1239325410 +1262526976 +614118533 +1499568647 +596588500 +1834434667 +1661630584 +1260610973 +2094907309 +1761650998 +508940997 +1235557205 +1897908896 +340206854 +1417713278 +181574149 +526873711 +1299182567 +1227653809 +561962312 +1436928789 +868245252 +1815324383 +679006451 +1267462266 +1797055350 +1961471982 +255904726 +1207797968 +1153308596 +2104547217 +299639731 +268351924 +571182102 +1799208378 +864940425 +258133122 +1313355314 +2125551398 +205556783 +927522664 +487008747 +1441113989 +677947912 +827215601 +711343619 +859522061 +1354089312 +2010526187 +2087175871 +1916051625 +1299971328 +807937475 +1583892360 +1978977780 +2075399741 +1233464063 +1792966114 +183820819 +293778383 +798791062 +140884389 +593418114 +1067142987 +712066491 +245142845 +1932083412 +970199613 +1558498159 +1910151162 +1175756397 +338537176 +249676261 +469386738 +1016485088 +1076891862 +1180730357 +1876007150 +283497527 +1043772896 +1815699373 +52065504 +196260577 +476153200 +1635957864 +27754709 +404069294 +721938279 +1820720823 +587890113 +1015716663 +472028237 +728774502 +1609134777 +1539171224 +1440840994 +1854277622 +1323770988 +263556959 +1265292134 +1086438502 +1439313356 +1603829310 +1336114763 +1908700094 +472830750 +265522978 +941946804 +201354252 +549020505 +1985719700 +2017053625 +601086009 +34496629 +345723178 +89560225 +62251338 +749792472 +811498505 +1882972161 +1337682585 +1827215168 +207516751 +2066457088 +1288866297 +1746687975 +1359814434 +995660272 +922975316 +1623371393 +113468758 +2009413818 +915201102 +1717298068 +1198044934 +676417548 +42645170 +1463567912 +1618364352 +243999423 +2012588417 +1456600405 +113569400 +466190778 +1491097034 +459292578 +555751003 +1553348373 +1209085050 +1367249508 +1288836886 +399283988 +1046981028 +1496353637 +318257428 +188363678 +1095557965 +1678071862 +1184023950 +2018533281 +1153959607 +1297492708 +1880463451 +2069160709 +867307128 +931024737 +598094610 +909952298 +247109001 +68975314 +1153951721 +112213770 +1525575719 +1267521122 +578404548 +869189106 +1726813700 +1134155552 +275053831 +788415103 +353921412 +1563890717 +1187699091 +1400902441 +912760707 +1505956519 +1589266119 +2008318672 +1036544733 +625806421 +1879368305 +43020692 +1923299129 +1612348108 +2112181402 +643122609 +395889198 +562792364 +1553074907 +642998199 +631767678 +559542981 +755211970 +9859750 +1827064103 +1333616518 +879048856 +1406394155 +320288422 +1154102687 +47325610 +674209835 +570509756 +1235024701 +2075112276 +1483270463 +593497572 +1516894747 +1344105487 +1630042305 +2142701168 +1075990144 +1673062998 +1918516649 +540854605 +1637760752 +414155610 +936743803 +53069468 +1967230517 +1579742002 +684837146 +379289850 +187470324 +694696896 +58870305 +1521086843 +1573745752 +1465264461 +1841375265 +580364791 +1512590071 +368101452 +1150874548 +600131125 +295730080 +486661363 +1193628697 +1812624827 +1830766851 +676187355 +1807842347 +759273347 +201766705 +1578875348 +1300127952 +1839527457 +1993030958 +89388107 +1892596925 +1812777828 +1669130110 +429950423 +44584030 +1856600434 +1124647320 +103454336 +1230203629 +550909424 +1568718797 +924095247 +1131274216 +933825220 +1292196699 +134665116 +1533956345 +1587926780 +621326479 +580101395 +1253067959 +304609682 +1256288750 +913426659 +1063883030 +1458055455 +344818359 +216527334 +1150099264 +190365670 +305915442 +895212541 +2003143498 +1975045552 +1325162964 +2047727528 +1684162338 +302326636 +3698216 +766882320 +853236061 +1572417013 +1690977567 +1984510277 +358758586 +835690618 +2119175393 +1892714931 +276133750 +593018224 +325332678 +1529201710 +897627907 +1581621428 +295144721 +1961510937 +892193235 +639963080 +30554623 +2042292499 +830328750 +336470065 +790021392 +685988600 +164031969 +2115184357 +586232481 +1848194308 +270027345 +589930697 +467592980 +1123263406 +14864063 +11086899 +960290035 +373622649 +846777517 +931981780 +118853932 +1122911268 +1525000005 +444186611 +504629330 +275144264 +2025808039 +799774051 +89171553 +770517627 +1439737131 +119726176 +665326478 +122582234 +456196242 +1455347871 +808570834 +620228211 +1423048580 +1394803315 +320938871 +1693075925 +1984734013 +788531851 +668855684 +1999598076 +799618750 +1629145719 +225737077 +1646396268 +413643852 +344591009 +621823888 +1938643857 +788777620 +1126453218 +66304473 +667102012 +1926227269 +155476026 +1437619639 +1218480752 +275202202 +2102946117 +1341062986 +731398444 +1410810340 +2150173 +1351626656 +686375272 +1396953488 +1672565527 +231967550 +1234203853 +313613731 +900823234 +1086318281 +1113232481 +382485305 +1312055358 +612145101 +796129157 +1656646368 +1233968989 +587289366 +297940340 +212938559 +653593839 +965042352 +2139165828 +809069865 +255178343 +1210162933 +1084272068 +210640813 +403742271 +1815670512 +1621451153 +405892444 +1019813520 +160342778 +1802845933 +544895400 +392310328 +889566138 +858509131 +1293133562 +1975884420 +1971741612 +1675618867 +1140456130 +436403066 +324264377 +649618850 +1670372055 +911553743 +947559191 +1883310615 +1565147583 +1912601543 +1874992795 +226733800 +20296239 +937672080 +1311005868 +230937052 +1341414352 +979192733 +1852388205 +1747306796 +1999006253 +2012730983 +1402669081 +396418005 +257557663 +144751572 +1254927136 +1550691225 +2120635992 +1079185101 +1078826445 +1113608474 +1515588167 +1403090822 +1763227325 +1038476574 +167160917 +563302868 +774303541 +1732308500 +328420763 +501812689 +1959042301 +348717002 +1439484769 +1122564521 +579654054 +633415473 +2101757254 +284558612 +233238622 +1953279860 +149805947 +1635907703 +202214217 +407363611 +1780659275 +1457141354 +1958054836 +1753811619 +388842807 +889397633 +719936446 +1904430974 +145004807 +335680123 +795423900 +312165725 +898982991 +1569727442 +2044474225 +1227403754 +2071540131 +1856032878 +1576120757 +1363541252 +831113752 +8291163 +1996956726 +785387358 +292849775 +82711700 +591183570 +442655723 +1718619403 +793397788 +850019334 +1351795031 +103055494 +660590522 +958123002 +491898301 +1549988156 +1678059448 +248845627 +1694992963 +2013739571 +1044269527 +2007158688 +765238914 +466513321 +1904149266 +1992642669 +390569804 +1612698496 +1421279778 +1754111057 +296328600 +1429570941 +1603584135 +1081715959 +1722420717 +1686295835 +1672899529 +17592792 +1257431590 +318813669 +867612126 +461742973 +421869163 +1528202648 +1419865976 +913767464 +930707156 +950441776 +1162613091 +478216472 +816697700 +59398971 +337891512 +1581936614 +525912292 +94557130 +1427095635 +916482097 +1707255627 +700891765 +523109506 +2003584227 +2130462707 +2126693641 +937816538 +1705399776 +1665505828 +463232420 +1722992568 +775453770 +782046089 +443121046 +1237196744 +1203915253 +1971323694 +509579072 +2117682717 +754547203 +1460020848 +1132812161 +1232763675 +129234900 +1192211132 +1570655187 +1711171515 +1718123424 +1665212318 +990783502 +487121873 +1224984297 +1691675268 +1010231379 +1081084876 +1674654327 +989441372 +2018901415 +1232570455 +507463552 +334650187 +808079375 +1282917323 +1116696276 +1251200421 +372630419 +173127881 +1075040467 +882209491 +143326951 +1829587670 +194746691 +1276139112 +914867697 +323981592 +320866596 +338039237 +2035153107 +2038990020 +2003251555 +878452961 +378628246 +1080752204 +422644581 +1388859625 +14353432 +2097298908 +230817350 +2033254847 +1182385715 +738280902 +220421386 +1990465090 +2021198225 +1337117663 +1094181863 +246344996 +1510245544 +21738683 +1128554487 +1653572495 +1851326353 +1323301179 +782227959 +618710403 +1647282771 +1103094555 +956749640 +1534952230 +994600928 +812517547 +265921543 +1373229174 +1893269751 +688566125 +614605151 +1907623183 +638381385 +845422501 +1793394383 +1820767101 +1583703404 +2013815769 +1663748543 +1457417981 +1203449784 +610446759 +1703762978 +566211681 +632185442 +684833817 +72300528 +336028147 +2008134996 +854528488 +954738550 +1507934119 +1957623043 +1911488190 +895402701 +804740323 +576522089 +1161324245 +30485849 +322308192 +1849890370 +645091001 +82447728 +340788107 +1490513502 +1875842111 +14071560 +926733258 +1742174232 +1677820104 +236667592 +798140369 +140783215 +1940430570 +1364352050 +772968657 +477780739 +1436652578 +1108996804 +338432088 +143697418 +2063735355 +1846366207 +2101320462 +1827739897 +594285261 +758577137 +256778339 +1755609506 +789062987 +579086531 +1458016228 +1434153988 +661534259 +1798804335 +777183842 +389892722 +1812875896 +1703917101 +2132066955 +1343212352 +1940584693 +782723676 +1483995567 +1733531615 +2147075726 +109480576 +63828706 +1436244656 +1218477380 +402260794 +1579942075 +1134729087 +101143354 +1533778889 +814985337 +695428615 +144872378 +1071763676 +303554473 +933935365 +1650850207 +1761570701 +220605705 +164900819 +1412891388 +997789548 +554793541 +1078283636 +554223001 +539376848 +274012340 +347324046 +1322100524 +1758007907 +2080855661 +1321692602 +1867488483 +2144684367 +610453611 +938482216 +399461514 +42912038 +2073211303 +500604868 +1576690927 +740712992 +1196033483 +1721563305 +1812476668 +1499587956 +508015023 +1315843228 +1113675009 +728620728 +1480744047 +379082749 +1726410276 +2035537588 +1457366386 +133149629 +427430789 +1731378726 +480473675 +1749531313 +1341902986 +413845688 +923740268 +1061907821 +411046408 +1534193879 +2000390037 +810507922 +1577105917 +1926117693 +1311112790 +1006313196 +519347037 +359662625 +580392853 +184340058 +1859250581 +1088407876 +1500183286 +825441942 +1817028605 +833443685 +1204524691 +1395955233 +721497625 +514407429 +1529104863 +1148928414 +98302508 +2009578538 +750976080 +1440205494 +275940579 +1674716348 +354629667 +686986987 +1061426579 +207536057 +1497494909 +491048848 +2133653750 +661124051 +1497362044 +505517139 +1020786676 +2077754897 +689857197 +732553609 +1018679126 +42556835 +1557995551 +688224083 +876000520 +615036594 +2084179316 +1597498146 +1129444024 +1465800531 +598942912 +1227746532 +1327895422 +1349918992 +520468378 +1603836001 +877151692 +875098045 +143339340 +1938578271 +1082634102 +1640834249 +282143471 +1068804204 +154474652 +1779505515 +1574321344 +1175261328 +1709776765 +116694893 +1907814937 +580972243 +159251729 +1318326840 +1269196326 +1035252249 +1933363434 +1205891994 +485266747 +915323810 +524208878 +1084209660 +2143070342 +1852104300 +286645004 +516055072 +1308456653 +1163796697 +1391153118 +1451795993 +954891320 +326303572 +945146594 +1237034792 +1395107777 +1099621246 +869056659 +821945473 +127398926 +431349776 +938640366 +2035213863 +1012322019 +1097892095 +1206057055 +134034697 +2133144345 +991936841 +1339926692 +470927444 +1907260652 +1864135570 +1555137104 +1902847346 +1568756222 +1841782109 +271418771 +729729227 +858095158 +1662571889 +34041572 +1812986478 +1988875461 +979188166 +902537622 +1236499590 +2078809412 +1771594282 +2058445063 +58724690 +55460410 +849601782 +2093938553 +1067782430 +1947493877 +1152511960 +1201817127 +1933154574 +2144448801 +394260171 +256598371 +1904225805 +110912093 +1811735475 +1659589504 +1679668315 +1506033936 +1931008275 +261913894 +216645446 +1446096516 +295955466 +2029631925 +1287488329 +1275143632 +784685899 +376504272 +1206469396 +408796533 +287465687 +1265194086 +464256944 +1137067469 +1211648991 +1532039374 +937077699 +216677303 +586372853 +722748625 +213642457 +980633025 +979346996 +2117868262 +1091545118 +643598824 +1629974118 +623729786 +2149112 +1413498745 +885643680 +218794559 +712111613 +1181599147 +100942836 +1999599943 +309259131 +885628735 +228620567 +1515728528 +1294425269 +516086254 +633438966 +1758682213 +1653153724 +1845087958 +1143237939 +442747775 +2061765261 +1729610792 +1165496400 +127924070 +562760169 +2144843397 +98308685 +1654305288 +640958573 +1728282803 +130551426 +643107685 +994297901 +1016195106 +861902244 +1706409514 +50310605 +962845080 +1558525809 +359569737 +1848473816 +1787146376 +1875298265 +995415437 +155748983 +361253583 +606614002 +1808902707 +58857893 +1749851941 +104166834 +2120623155 +1331979085 +1269663234 +101063577 +1894739255 +1267022983 +199372262 +1401560895 +1907981556 +1927655066 +1532112321 +403605594 +774469319 +400823779 +1265507838 +333395185 +451134385 +80869271 +1891920995 +810704122 +1929343087 +1531583723 +538518739 +777274876 +1687332706 +899772322 +1383888878 +1348751765 +958630216 +986257171 +1452918599 +931769723 +170752608 +575098186 +1032833300 +2065491863 +1842121169 +1232205563 +1319569110 +1602619078 +1012376981 +704197783 +2006224672 +1786846300 +1105021563 +1124248862 +2120241485 +1556155948 +1205118133 +1864678832 +219376422 +986977572 +1248778908 +757895161 +1764252448 +788627966 +1657667483 +1000657678 +2137379732 +468814051 +1986914849 +1442814683 +1400583774 +10183810 +2017912869 +285933427 +2075675673 +1712550391 +1518138990 +1247761136 +1167685821 +383032323 +1951958919 +1026426845 +22394975 +909496834 +3192059 +2142636460 +318169134 +1208310193 +1859831645 +537545556 +47804117 +961126905 +1295440717 +1812056566 +1749754871 +805624553 +665230596 +1739650955 +1274438604 +504661798 +1034981991 +527538731 +514845608 +905411212 +813472158 +443037633 +470477955 +184127500 +1690798769 +1638163776 +567159823 +1495274041 +517106973 +589554798 +257287227 +520299033 +584707610 +575456362 +1728609226 +297055607 +1113001918 +1776413343 +1258182512 +260958988 +1440986261 +860453736 +1066583541 +2106216858 +452621043 +193538497 +463395008 +1487603034 +721077228 +978240616 +245530599 +1534549386 +1421278249 +716008554 +1718676886 +964593371 +206688683 +138353061 +312383764 +723795656 +727907859 +569670991 +1244094689 +1312615470 +1145127353 +825220267 +1609671077 +110645624 +454149963 +720369942 +371604612 +1895136224 +1580823678 +1438188153 +1853869434 +2033444721 +1631726650 +169780794 +1373564108 +205320231 +1148021410 +1619094707 +1739869617 +421816012 +187619613 +1311062856 +1386409383 +394308296 +1449415917 +1698793147 +1118103953 +29840129 +120980490 +214714994 +1342455599 +1266107844 +1039935262 +804643028 +1376753468 +1494085225 +1525012970 +1748358080 +1241737801 +958353000 +1039062585 +948123588 +844314074 +523305587 +1117904382 +70394534 +728625818 +118442145 +1689489241 +321011788 +540258157 +1877108854 +1632074644 +1926667540 +123933503 +934006913 +1477977039 +1242037456 +963847042 +1598957529 +1456752450 +158818993 +717581725 +349204064 +963462022 +2094335193 +1843289289 +340991344 +1695209625 +937543443 +1299344345 +586788562 +1885667031 +2143658419 +1110094150 +856087765 +66569305 +1838719968 +974529910 +1756058546 +12248108 +1514788067 +1485683752 +1644322752 +1293971959 +1609617255 +430846018 +624465350 +704171063 +1394693060 +75939232 +13439866 +1553512054 +793520957 +362643930 +369490428 +740372503 +58449572 +710481772 +288098480 +995993015 +2009826117 +874887043 +734176398 +2006000888 +1984981193 +1590264163 +2072570193 +1676217513 +417310426 +1681145091 +1688465622 +1932098493 +1019345196 +1185304726 +1078586805 +481478803 +1616150744 +1703052155 +1185649867 +863360157 +1778991387 +1199089733 +269388563 +425028697 +1561733663 +638878991 +1165401200 +1620183235 +1349360763 +1453499680 +468692602 +1211703233 +180903075 +1202869000 +1070220473 +18400620 +645649516 +995307019 +1694618134 +1062959942 +528968462 +1235600108 +847574787 +1548313658 +273421186 +1926161592 +2029792462 +1889571931 +1481730100 +1067958681 +605448440 +1113237839 +119564766 +874837003 +1538266536 +1681298429 +1513715994 +556184088 +1153998017 +715593109 +2009683769 +1622690619 +1927296342 +43103196 +678075972 +850033168 +61503817 +1323725488 +1845340187 +1756121951 +239201782 +226825001 +844238411 +1086776569 +1775138660 +1117659597 +865454514 +1657447474 +859747880 +199700966 +577922507 +1465196320 +1312938805 +697487273 +192549675 +703721694 +231302054 +1706265669 +1259905782 +1385300071 +274375131 +1122105903 +860507043 +54187825 +1165209100 +1538583015 +904220993 +1226712917 +714824855 +602077532 +835351220 +954026637 +828902534 +1679589631 +2040803206 +456557546 +649765580 +758774072 +2114005020 +1509513461 +958475038 +544443879 +827226133 +123930196 +1241931152 +1019775809 +827651890 +1473233206 +578557830 +2087557672 +711049630 +852932961 +1062179928 +1571556673 +907120787 +79905380 +962656040 +1811341780 +1306618297 +1677480895 +265935665 +2141969517 +484023884 +1094838199 +1674075500 +377343442 +1551395745 +176357432 +1136117515 +1517917117 +1685870893 +2094592553 +2062360996 +365613379 +71039101 +1156808500 +1385389188 +898690991 +482558058 +1963947018 +838765016 +1193607688 +669396332 +1900944944 +617680713 +1576517119 +1980850324 +1580336753 +1240375251 +1139984973 +1110334000 +1506310916 +1134470842 +1594357884 +453665467 +661062694 +1971701327 +2005061212 +837420126 +960335194 +1375494681 +375807372 +907444099 +1290372029 +741420751 +978483201 +299696881 +2126809939 +1877174192 +782254940 +1943273309 +568455560 +1975862628 +465185993 +321916856 +446059694 +2041703112 +155283532 +2026396447 +1134594716 +1295268505 +989246800 +493421984 +282255699 +436121036 +947087452 +943318393 +260338715 +804665016 +1780738520 +1220673909 +32676050 +9062244 +2128118009 +1323048079 +750482995 +959117562 +1622744961 +729809286 +688808106 +257516253 +525598947 +1257263667 +85895233 +990784941 +1579180523 +531954927 +885004405 +1734464056 +410867727 +2019599121 +882248913 +1400114527 +365537458 +1164504613 +1836235563 +1312624910 +2107823006 +2096574279 +2117289926 +1741077878 +1169764540 +2482328 +1750140122 +1150398901 +1325530408 +353139469 +2109516463 +800791721 +1082948755 +650840922 +1058307974 +1608547703 +1908104589 +1144203207 +451848996 +1339801464 +1676158135 +1336853401 +926781872 +2087025862 +1208968875 +1809030786 +1339656741 +1574506333 +826051751 +1028408656 +739647595 +786391109 +977499287 +709453873 +379985340 +2147263828 +711936202 +2130125462 +1150179081 +2037466610 +335781284 +1112211897 +690774683 +1418730039 +1763052819 +1749082657 +879794094 +1523673760 +745802216 +1331643090 +715991576 +274476703 +521012844 +1642773449 +214018917 +1729981719 +1304320587 +1553675658 +1157004404 +2130372338 +434600667 +1896651999 +769279799 +1412099954 +458622224 +1149265139 +1411880134 +1170558426 +1131906954 +414575568 +1060541388 +1467688238 +1526787465 +1751316071 +738934629 +1142356636 +1352915080 +1618728724 +518546748 +2098717297 +802888166 +1234538324 +225710352 +1323901010 +729828125 +439729270 +906399081 +2034148712 +1993404928 +2063403485 +2017037402 +280521947 +1812571836 +638833554 +1692621902 +123710413 +1788098693 +957018388 +1294268839 +772521999 +1371593956 +207326580 +92726589 +750897773 +1958642651 +831661219 +1893254409 +1164074084 +302906295 +264317509 +1115307733 +1105794461 +1498855834 +1341018085 +282211824 +81200311 +1780747355 +1188610905 +2115349024 +1626668636 +1104530743 +1984902778 +1907190583 +769618931 +476252684 +1452328837 +893329344 +116867730 +261863578 +40114536 +889389729 +1633457534 +247441116 +982116319 +236871660 +58600119 +1813777538 +2130126069 +1222674203 +2116683833 +246959931 +190498288 +1074994646 +1745815765 +1531516374 +1357206470 +1827016076 +1164780081 +398333728 +1794881452 +643965069 +1502864471 +1632300583 +403672005 +124999754 +2108553267 +1856000842 +1018329099 +77937349 +2117864420 +1058443635 +967327079 +1603838307 +1305884751 +1949443398 +1840709967 +1364484870 +1615737288 +1823352388 +439675426 +1584937473 +2070312319 +630173714 +512448471 +1668644436 +14206440 +1869654942 +1348176865 +1178986522 +120505022 +995574669 +1822951591 +1623369493 +480391604 +79139948 +1748369247 +441461224 +1935140791 +619214698 +519398573 +1905521563 +1677658333 +1486725652 +1361876222 +836059436 +1288685402 +1055102541 +53060659 +756939042 +730971282 +492736085 +194392867 +653799953 +1122909799 +706841339 +174960742 +1137116240 +429012633 +1523137607 +168619114 +549517655 +371228628 +1991570705 +25403500 +851620233 +2070710654 +1773772747 +1293081457 +1858367797 +245503798 +1812480030 +1616405712 +1923162131 +1151722035 +830798287 +611737920 +292923789 +1885900828 +664798579 +1049862832 +469388462 +1157534664 +1244255699 +1123188416 +132960815 +1951097038 +1298149158 +1270077055 +232626023 +673803117 +1438696169 +782143678 +1045031745 +1282783227 +807547178 +1896651978 +1206010233 +433836278 +1042249787 +916894382 +679340076 +707246170 +385816446 +455018559 +1858968205 +1216614733 +1066756479 +4408346 +955031914 +1731555058 +1054271178 +1424420376 +741606074 +151043230 +400125144 +874566890 +2102140268 +1698274302 +2144643945 +187282644 +224593771 +1435856467 +969426322 +1269625517 +571156046 +1776973501 +1018793847 +1777166279 +63326131 +2061043635 +546577013 +742666207 +620806157 +932393459 +1197684766 +332290714 +1524545 +116957598 +336699060 +956556459 +1848512656 +1390970239 +233493187 +442635083 +1542013469 +633618332 +1317201973 +1496670089 +184408986 +1314362270 +1683952733 +409002758 +602735089 +505895408 +1678628275 +1173891135 +135385261 +549938474 +803573766 +198711392 +463498461 +1350150779 +941377599 +1084304618 +135060591 +2139062365 +1416595332 +136585136 +108536315 +1753294393 +1093141595 +1957048972 +996780984 +1326634782 +252200407 +391310805 +1960253114 +1569402380 +1887980894 +2144662101 +736281002 +1424449980 +406181211 +1339016092 +1930345388 +2084809486 +365423579 +2065730649 +487264312 +1168997346 +116958393 +950762774 +371664477 +1058335992 +2035067392 +506725068 +1049914709 +1304179077 +643310204 +1158451025 +909989822 +1736451799 +968016349 +1906770806 +915602934 +1220216756 +150597963 +728372400 +642135488 +2038578857 +725550853 +1378416490 +1315545189 +1131732064 +569948934 +1098406929 +1069057902 +935372514 +1016653930 +1556322215 +2104369860 +1133612323 +359601341 +328550689 +44464667 +247185085 +835275758 +1094379377 +1551364162 +1478585962 +105346754 +313870336 +1067554114 +1073363103 +73157494 +1983157048 +146096211 +223755457 +564045800 +788231699 +114850667 +1289596654 +19164541 +1430395856 +273845070 +589113476 +381319138 +1342902973 +1524485990 +1397973068 +751741540 +1481372202 +384101744 +1111342881 +1809922891 +428566411 +1358527966 +497715001 +1522945788 +762408481 +1976300964 +1628292542 +1076278817 +896371430 +554171997 +1149436312 +732044830 +700268208 +1373191769 +1296090630 +1488499907 +1488042436 +438203636 +1507664449 +770954645 +712048707 +2096777925 +1152273783 +2054951680 +1473780267 +402763203 +659209572 +807668821 +786864947 +1770552453 +470108064 +1215431359 +981596771 +967823066 +590893499 +1744005252 +796640382 +71702394 +672800422 +1693011812 +625874391 +1822236734 +277572994 +1326142600 +1047944855 +1573663624 +667158859 +388503644 +2011867261 +27339660 +1159458289 +576432320 +2124117585 +164248424 +483900352 +1450414204 +567011627 +1143109924 +110599377 +1353876575 +766178729 +580707442 +421824286 +1747775500 +1548530508 +1012717785 +1344297105 +197687242 +1084420179 +2017097527 +1890699054 +1710294571 +1691850613 +20788400 +888953523 +592311820 +1594452024 +1556112382 +980815464 +1458835637 +1583452043 +2140273753 +2035267957 +1560085980 +157038529 +371684661 +863016537 +724050157 +1514794585 +973615914 +2077926732 +133489666 +1554323356 +352267370 +1881265167 +955370216 +1364985155 +1078078624 +1153057458 +301921687 +947692503 +896272864 +2012216258 +492059468 +917061264 +753686133 +1084371288 +364029641 +162314867 +2065186753 +1822865278 +1745766910 +2057976858 +1710649588 +1158369243 +67531740 +2082334249 +2021385780 +791581897 +1449645187 +847518046 +722024981 +1583134853 +254357755 +1074292351 +1316916372 +1209727971 +291793858 +247511348 +215301782 +593715545 +1195203851 +1111574646 +458448155 +1687263319 +2028635911 +1212134288 +624150960 +245181904 +1374449156 +541854065 +2068047182 +972732418 +452347275 +1631213122 +2131101661 +519879015 +1566063724 +2005003793 +1311460912 +868225263 +705038192 +2033485893 +303876468 +959395947 +960294596 +1620792841 +21640270 +1252088455 +1868304189 +236942052 +1845804000 +916024393 +1348516699 +156768508 +455804064 +1229668962 +1368902796 +1079955024 +1474850866 +595868304 +1621809089 +1395414400 +1568600723 +2074156365 +879143875 +1552218736 +446551732 +297723951 +1409738882 +1758012645 +1165949214 +2114777074 +1644014890 +1469825682 +926689373 +456825839 +943134875 +948329643 +1708914294 +663955417 +1185271696 +1407234646 +1579979810 +386304747 +1564003154 +2035783874 +1615973709 +785422303 +968255251 +943340927 +1381290607 +442580692 +191271679 +802407682 +369253409 +1070415554 +207142771 +815805142 +1368139505 +1616881653 +426334139 +386605071 +1584175079 +2070349029 +1856430754 +363380804 +379691220 +652081981 +1311710447 +2088605514 +1316037398 +349498495 +1348356513 +748533560 +735803242 +764876019 +636833787 +204293303 +1550298322 +1605089038 +1147634230 +784105282 +2047669730 +1338905910 +1586512964 +269439492 +261837816 +1793655735 +1085244634 +1629977322 +1263053740 +1511578773 +2016582393 +699745171 +1434444154 +1725529499 +1063125975 +1814135375 +230127833 +227352775 +1755257241 +1546165231 +576851270 +956130106 +147215144 +1312654513 +1721006126 +784048931 +1516947816 +1123820800 +241654321 +517098399 +1907926082 +141840403 +1856004309 +1346955399 +411279895 +2117842125 +993127486 +1496524529 +1600335799 +108697579 +860619654 +1469434545 +808442750 +147580161 +1047480396 +1871568726 +1961715536 +1277608229 +2098921501 +1569489129 +676289813 +528289123 +378135588 +823504957 +1840943636 +2099141714 +1607553888 +1210407805 +1075478866 +1849208209 +1727506204 +835921301 +1991048612 +1436026865 +35393052 +254844860 +1406385342 +1028520538 +1751369389 +859237494 +1137218117 +464505396 +181188391 +1945660868 +612085557 +1228668787 +1669745946 +426317445 +358793369 +1621183799 +1995806574 +1035083182 +1989274 +226458514 +1858588139 +1842932911 +178116580 +1318658379 +905857068 +1253595447 +1020382940 +485879624 +2089516748 +863947904 +1921906489 +2124909800 +1118792764 +1180808183 +1005946690 +722678506 +2040045677 +2143164808 +1187183902 +73750420 +1941342028 +1799269459 +1302419208 +1463604326 +78103256 +1661212577 +937304477 +2073909830 +548812111 +939293751 +152884697 +259916602 +634743014 +331001277 +1578574981 +1540600082 +1584596724 +451474273 +2026479706 +1526629824 +1315422177 +1800902547 +1504055976 +286731294 +834227083 +362519019 +1009409800 +726789112 +358200179 +49110054 +800539533 +152058559 +1848379513 +2102958741 +1615662885 +1926482769 +1616687670 +405483714 +1852908951 +18016133 +1344777465 +2005793648 +277932735 +1979520480 +189311278 +1856507716 +1372636914 +1773908002 +160498341 +1251632973 +1153054179 +1475920518 +905051872 +509626507 +1762651812 +1739278955 +872145526 +624577964 +318584420 +1230345705 +673688018 +1119123953 +1382404264 +374583883 +1074599046 +850583501 +153583004 +543803068 +1256067215 +2006491956 +561819201 +453361033 +1864801956 +839751936 +285397865 +2054113234 +548776004 +1658034779 +1680537589 +709274345 +762184104 +686108120 +37711215 +1667235977 +1195734627 +1800363028 +1259031284 +2067880154 +277457344 +1577615704 +1150742211 +951145363 +549256009 +385662828 +1325729246 +1623855055 +1236246329 +1479312251 +20174475 +344829897 +1338320559 +581993676 +798190930 +1055638867 +1421745612 +1083588795 +962268454 +1970521616 +594139926 +495322395 +532312313 +1356324031 +1181430515 +570023529 +876076360 +229681494 +222902909 +2135107644 +150078000 +500360253 +1565239701 +1300820212 +1451505616 +2114495710 +1686483040 +629751215 +1590867118 +775245721 +2109063466 +1611041593 +1120075618 +1299900377 +45551622 +1918266548 +208055596 +1467297234 +854371695 +1170324050 +1290335203 +1448511622 +1665646445 +1822647516 +657352005 +699593312 +245187397 +1533428365 +929274807 +468090306 +1521052361 +1079352807 +968450560 +938808414 +232689371 +272472528 +905820477 +1919172411 +902223743 +349203947 +546934485 +863803561 +1960245540 +1667010103 +16220290 +2005797162 +1437793004 +224275887 +1325610749 +144681051 +1394599937 +468462304 +1593192673 +912762735 +143626172 +103061030 +1612356047 +388813570 +1636489395 +394147206 +856903876 +1010058109 +1473500014 +1825354436 +1948866523 +1706189385 +2097826965 +707203352 +1477878149 +852567060 +1056407299 +2024812634 +1716370622 +869169192 +1544339089 +1732590912 +727482706 +834648445 +1956866799 +2053093455 +979329497 +1203983089 +374072111 +425038522 +2116745824 +517698284 +528099553 +1581618223 +906511854 +17105300 +1975765430 +1763415730 +1027163409 +1301781796 +1441286519 +828546285 +860487533 +1391629836 +1535749637 +190882034 +96713248 +444673289 +68211020 +1813083870 +1313842481 +1612550110 +1398191135 +2041325187 +299714907 +1207574286 +1946934995 +1279044404 +264073727 +173523458 +1704082927 +233335903 +691221742 +84698832 +1814954127 +1597733596 +101804132 +1643235909 +1213665679 +1128967542 +797534057 +507468550 +1957513827 +1658021590 +1899098386 +1345779816 +1848903625 +1995811634 +1790453105 +1917114645 +1661411857 +956811938 +1382181107 +912119344 +850653478 +1681896015 +2119693630 +650104825 +813456771 +236283710 +823628283 +370056050 +469619613 +1514850026 +454754882 +137090092 +965099974 +556559015 +1780326001 +31282005 +1685526557 +430376410 +538750555 +1495556736 +2088398001 +290365293 +693852904 +1789817978 +138693280 +336822362 +1559448975 +1800105137 +1293634300 +794146435 +564740833 +2144287778 +328558802 +536950815 +646908955 +1142015573 +773234525 +1470537239 +1512071624 +1242854139 +837903617 +1966826506 +1379944231 +1803003591 +375901873 +1012786585 +1834285597 +2061428430 +1443162995 +225552504 +1409501518 +1384077348 +515917798 +2103354423 +1026411678 +654611078 +292693137 +438377006 +307232567 +1586327437 +1232523441 +871973400 +1583131568 +1561082243 +1408924215 +82556875 +555614168 +34675093 +1553094114 +2067685792 +1277529232 +243514083 +1887028651 +509989815 +2046517675 +115446876 +1522776400 +1733319624 +29391659 +818455748 +1958872128 +1438893177 +55049448 +327306278 +1394763952 +1081461127 +981917356 +1687457089 +1519838133 +1289149923 +1126300879 +604877926 +13639675 +561948799 +18476521 +1422563891 +644505674 +574090689 +1457238984 +50116141 +494292834 +587284568 +293630224 +233837837 +1097274383 +192664251 +349284713 +472567136 +1925983875 +378676372 +1291022884 +1737372356 +1817569550 +1346072332 +2064678634 +1064849854 +280049811 +899112343 +604823296 +1799887944 +40778618 +1731124175 +257282222 +54418294 +145589326 +275758743 +1476982185 +790095000 +849849433 +786737521 +840211141 +1344142267 +1374022089 +1133841366 +1577980104 +323812824 +1326505617 +1927264817 +796379960 +1105005845 +158457542 +2087402844 +694894553 +1976027092 +1285991529 +612089539 +893393298 +1566041340 +1511201882 +1498216594 +1218445637 +1551980501 +1081857121 +1475727859 +1606398795 +1227446447 +1751486603 +935897332 +2017541448 +453852388 +1722634853 +710268941 +1797994655 +949173294 +1844110307 +1228491111 +1272986118 +1023132277 +1008272280 +2069366079 +2128138122 +1166729822 +2009285275 +675549027 +995273266 +1147793156 +1287638566 +1888666565 +566350849 +651356801 +1239399511 +1784796486 +55853654 +173772985 +1113040697 +1662252449 +1401219432 +717043652 +450666133 +1271277232 +1170896040 +25817338 +1981546174 +821407047 +974990632 +1678172833 +2049898158 +100493102 +553821462 +910686791 +22375533 +534475936 +2077416613 +2031660809 +1210024963 +925206232 +1031970317 +350179882 +666389149 +1598321166 +1001536683 +1905788660 +1235634004 +1057390337 +2079561645 +201191054 +572159138 +1333297430 +918234706 +1022825271 +457091014 +2089130747 +1048642609 +291153540 +763054146 +2023633241 +1969326374 +665468657 +2124126343 +375664188 +1576155448 +2146501877 +910140125 +1506088413 +2030679038 +2120165088 +283810997 +915165707 +322861322 +950200146 +366003226 +1324398005 +708505159 +1601637230 +234304694 +640583156 +1802828284 +806463832 +1973880586 +573579343 +1829289103 +283487953 +515226442 +730448064 +574641493 +1278280588 +606597657 +396484219 +1943749245 +583240353 +772148408 +1372421045 +582258582 +1682288533 +731025811 +465453972 +1654969973 +1014836808 +1380619679 +1977831296 +1965036955 +1746622905 +1154745653 +526058466 +1200776488 +1389050348 +1166641622 +856121124 +48030532 +993038561 +1429700467 +1877319636 +1276526514 +1944926909 +460284052 +1851168007 +1075723850 +1066881710 +100168579 +871989447 +1650122063 +872316987 +96926845 +84896997 +407121872 +827952656 +550350969 +2062091845 +1842789464 +1930970648 +1892439493 +1660342771 +1530109906 +899701499 +38917589 +583402746 +141268199 +1205559212 +1439523870 +189298731 +51114125 +721740690 +2066618367 +1327640639 +519183951 +379418772 +1031324998 +1594907801 +1446300482 +1131493577 +319413601 +948938897 +2003810564 +416340446 +1033835894 +263448788 +1244293102 +1584186863 +178056986 +939598918 +1367673863 +2070496479 +452458042 +750300121 +822714330 +491375631 +1333702867 +963982529 +1696934843 +625743090 +1153281261 +1748048968 +1347483780 +1072415980 +928205959 +1866667731 +1451834752 +1959530958 +1314091885 +750651586 +943540887 +1633505486 +1699590483 +799867804 +2049845932 +585942729 +1063316592 +1146655386 +22645944 +1241373578 +2086254304 +1390319808 +1164386410 +391228698 +2140619929 +1987100740 +882604330 +1326839149 +803599622 +432055525 +1952582239 +1956880883 +32620846 +1152582371 +881813215 +960826805 +871766454 +186164320 +772874115 +38374691 +936815906 +1716415003 +1671880177 +488922742 +368799159 +1574242461 +1074865471 +1432115751 +573414199 +1097511416 +526005682 +512184856 +340347576 +1690392092 +903413554 +333483857 +1530009184 +1786017884 +1660323006 +186125158 +70589762 +1465421597 +2143006041 +103210608 +470520320 +877335609 +1064037413 +1342286775 +1063499929 +1836911529 +1380661466 +2000315835 +1405842884 +905057996 +341754929 +1774642043 +331816809 +1416620401 +1059274146 +905231009 +366648169 +1585279828 +1417415865 +706995745 +1128188272 +173345771 +1040479602 +510713809 +1959363656 +553318961 +696838967 +2029953418 +2018740558 +692361361 +2133164026 +341777231 +1569696970 +1049717791 +1684064006 +485713251 +739145672 +917241824 +338545438 +2144988556 +1822299820 +680300368 +1772146951 +6632982 +2096920769 +683937450 +911863991 +316085290 +121733630 +181796208 +1023081035 +1249921903 +355141979 +2063560637 +1760635712 +167021987 +469395950 +309991031 +49491757 +340652861 +1002352392 +35172135 +682430092 +424565714 +1084889927 +219010450 +910278965 +1824035599 +1136252274 +1248824404 +1821540508 +811068447 +1929124772 +1446203811 +817701429 +1878561893 +2130141261 +1729565420 +47163535 +104391244 +1911361628 +1070244570 +1354313147 +119019959 +986321559 +967465211 +286041947 +1455717510 +1277456242 +335533704 +1796370371 +132324987 +370705840 +331316815 +556890701 +1455595767 +550327265 +1467169667 +1132147718 +1686579539 +568510423 +806204578 +350164338 +350151547 +104924742 +1167865767 +81229792 +87582355 +749947539 +128393327 +191973599 +513825519 +1198637897 +1546286746 +632845479 +37475808 +366268309 +918887426 +1493193318 +1643724552 +1254421130 +1142080041 +1776049539 +1625126970 +1473396856 +185456592 +933239089 +2023724121 +1652626259 +2065386808 +1562820013 +73653034 +724107738 +1912984351 +423804581 +829032480 +933366471 +505034373 +916614836 +1683314010 +633427700 +1108588435 +49655882 +1832065597 +507391534 +682501361 +1869541406 +873659843 +1601388787 +1215251076 +369900747 +708326269 +209847470 +2145950286 +185969592 +1683244326 +183923231 +1119208681 +1559484800 +1836549490 +1037111841 +974821165 +1910202525 +1761219580 +740321868 +186523458 +442768412 +1673688339 +691557832 +1359383248 +1209518702 +1324985532 +320488036 +1259174584 +1009567482 +827879570 +1941675945 +731625240 +1701539413 +1395581084 +1946876316 +2071440161 +2103907353 +9240138 +2069906799 +142393297 +1692484465 +106346382 +1261601979 +1104485617 +1942895873 +151230172 +2079306782 +1705614750 +1912449752 +672145002 +1892138208 +207734517 +198349694 +436212392 +1567117765 +1407868396 +1761197925 +1887605801 +519559332 +623281759 +568001723 +313751629 +1354906999 +122057489 +1709332713 +1154299667 +46014002 +1665756418 +1163539806 +2115920801 +1808149716 +708540623 +74783536 +922268047 +1813026240 +2017679409 +1073498219 +1744849374 +1575810511 +838464324 +269510728 +1320465071 +1046198841 +467860422 +1756677464 +465832958 +1875728818 +1370391741 +205955112 +247804502 +1993673500 +773956835 +561556131 +1201096851 +896014324 +123405196 +207912870 +942028326 +1789161615 +1371452676 +910465480 +1449827683 +2079993299 +985249016 +224612082 +1745535891 +855444777 +1298110301 +1342901617 +283771640 +2136574625 +1612412346 +1604236711 +1035289818 +2080272768 +1213430527 +1501122777 +1808517939 +436338620 +1707077889 +2056322441 +282528472 +333551076 +470394925 +1483625323 +1229565401 +593800121 +1691538194 +24110079 +235478088 +915507222 +934575559 +1685305771 +848016874 +1919824575 +1909917853 +446069117 +627785704 +1060544507 +1788970735 +911557344 +1049635484 +1253899433 +368310408 +2084925303 +1186688553 +1581740935 +1438564432 +847722844 +2018079556 +998158673 +756561638 +153124380 +1331709749 +1226956563 +1636749704 +413791502 +1820756684 +1180804250 +437901582 +2056234773 +2096311472 +1372477141 +1594056896 +796844698 +1144818069 +1356491102 +1242913816 +1772603773 +269551961 +884400903 +536677470 +1319187445 +2138300336 +904987878 +1256629100 +1177505241 +339245165 +547709884 +2025228086 +209841073 +1545868557 +634306076 +362965454 +730094659 +1861262639 +1999715158 +1143886161 +1534535675 +1033035760 +1581787743 +1443286800 +981863584 +806781237 +889860049 +1778708283 +1951599306 +98867503 +874138451 +1576719431 +368419464 +1758539354 +2113396901 +1687606909 +1749356042 +870901131 +796752362 +779377635 +1210146297 +1344462246 +657122073 +1419987370 +742847156 +1291428149 +1782952824 +1472941815 +1005207140 +1635184334 +469344328 +392259168 +520736446 +2051132072 +1835545968 +1502600031 +710429661 +577922369 +1133824666 +514545319 +676789872 +2007963117 +2091264750 +1045209336 +1619018823 +2057178004 +585332598 +1220891217 +780595487 +1382084960 +2000268852 +1990741784 +579063558 +509907278 +1263245507 +1321910714 +1801335427 +898714683 +647368881 +659058920 +386415370 +1116713210 +1051318088 +907151816 +1020361634 +739380408 +262268199 +1730791295 +1317302778 +1396092865 +97852966 +1994092650 +1256572334 +41634068 +891818339 +728107509 +2098812072 +1477150937 +1948998726 +731923912 +711752249 +1801783931 +575182048 +1290815807 +164207561 +1838427555 +465242874 +1965542988 +589658591 +1112611755 +477118260 +976073961 +81841317 +1528436348 +1883225777 +1102202951 +120333109 +2145493977 +685510598 +1437635887 +1394103194 +783363564 +1284244889 +503191881 +824997633 +28579580 +1231299390 +776326057 +1505730517 +1032814469 +1508249969 +69999118 +687114752 +2083432018 +1360814926 +851322313 +1774375925 +1826057800 +669381653 +216550868 +791185907 +1146499914 +1192624829 +873027225 +527452614 +928366959 +1975230176 +647785723 +926377288 +513257127 +2085421610 +172996834 +1296620691 +1222182852 +676188715 +2121618324 +1250762432 +1907488106 +750460734 +609009302 +792818927 +111227055 +679008420 +1479933679 +47175425 +2039823346 +183772344 +1821551351 +1718397498 +853153997 +2038102219 +362099758 +1999653911 +1083243401 +1235126983 +379622878 +2011610360 +1062873511 +1027408601 +790504000 +1576130638 +965346564 +963500834 +725267682 +40045768 +1639689550 +699402358 +1290808200 +1399694008 +1449863092 +1899817502 +45029287 +1561090148 +431342275 +1524962966 +1608265573 +323681973 +1708735310 +1282333276 +2042079472 +414405659 +1172951848 +256695582 +266575923 +108711601 +1491822565 +646198801 +2120321961 +407212428 +1673607402 +763342313 +1983343067 +491470318 +1726843147 +561127101 +531516086 +1219049049 +1260529459 +1822324287 +471259409 +562908904 +1574658141 +516288696 +2123999052 +2006000416 +2041251662 +1584780977 +182198742 +1602503324 +719630606 +76794566 +2016908984 +1892582454 +333490148 +136001259 +2001294055 +1825312713 +782200060 +1974132368 +85041493 +308323814 +589991033 +2068384560 +799794133 +169350532 +482028013 +1331310219 +1388399582 +1742557473 +1006150858 +1859658991 +157982729 +433325352 +228464040 +134498133 +291842120 +122232054 +1719279110 +474040862 +1724735379 +291426068 +550835428 +1594160715 +36524874 +884325576 +1730161974 +2037818929 +562154641 +364878386 +1864467649 +647196135 +673202200 +306975034 +568097047 +1472996333 +476325567 +1050125061 +656822905 +1864725149 +645198886 +1662973763 +1576900492 +803181615 +2096299115 +1805364532 +937679748 +240657588 +1927596587 +509475210 +714698450 +1504848318 +800901279 +1265533879 +951525385 +837426153 +2375807 +534203711 +727761435 +564530449 +899082097 +444745436 +1211726584 +1572284297 +751720471 +1779823631 +897796983 +1228046038 +682465044 +1554619888 +945287539 +1327663930 +1070110003 +374704383 +2130845545 +1018925471 +32585268 +921041645 +1259583059 +1960181855 +1430516856 +1974281509 +1317546525 +83934487 +1092331740 +121588262 +921360640 +1094707548 +655791973 +1649122075 +1659237997 +1554874070 +2093867512 +723480933 +979674719 +698104335 +355820916 +1877471702 +1926150373 +1038285961 +1284607942 +723954264 +218466243 +207234298 +1098658647 +201828141 +1226159769 +1131243915 +1122869786 +338259180 +943942122 +405902994 +165057041 +114004999 +489837481 +1257388782 +235593261 +1411198122 +204612682 +891385234 +912836549 +1863850679 +298775656 +859220413 +439847964 +1278450376 +1557324748 +795668880 +1008438430 +1335991473 +1833954841 +145562725 +2059945737 +2052421085 +352797023 +1011120737 +106765578 +1578956792 +2142364652 +1229635364 +1917215972 +938823127 +1635538359 +2082273013 +1052828126 +2125375840 +1192178147 +1288421388 +1389090314 +1396790829 +32322974 +154443216 +1113157860 +331098631 +1013663629 +1553005824 +1609549007 +423504730 +201191057 +470503789 +1759496203 +2035145898 +616066514 +1671958293 +1940083335 +968863537 +535595382 +2046848913 +400336681 +530476386 +1129000630 +170069005 +1469299513 +617055341 +104858371 +374643992 +594947533 +1297036518 +1663065380 +1984037848 +546343700 +1695388354 +2138481064 +1659501560 +2026486985 +1004661045 +1065023737 +1488552344 +1428165775 +1266214794 +1959056134 +1040178331 +1153877044 +427639000 +564652976 +946476732 +1396502538 +1100248358 +845841997 +1796839219 +1630724744 +1974842627 +1966908225 +952540610 +444414320 +2071766596 +1327184602 +1039361854 +1221319466 +842766334 +875916054 +1767663166 +390671040 +866913470 +1279681079 +269674378 +1871574515 +197221168 +1758226722 +1152256643 +1463435962 +1569799208 +44951326 +469829358 +1997438209 +609604302 +1416306090 +1246457099 +1709852660 +114664440 +895812670 +1193093756 +2089507067 +715237247 +2145634366 +386437740 +639520195 +1325335320 +1425799594 +1860839662 +20618006 +154232000 +1481019180 +411289047 +1021145470 +613216611 +680963425 +745236337 +810437779 +291706499 +1897492980 +126390093 +1861505708 +1942444306 +596219452 +1711460269 +404564960 +2012525542 +810433720 +2114417620 +2127189982 +1706246390 +1160027729 +2069213402 +273999990 +1158178447 +308167494 +913520185 +336030120 +1733967088 +626876199 +356648126 +1888199088 +2107895380 +767937173 +761860910 +573628343 +1448900598 +1507097247 +1384066123 +1740607098 +1257106580 +1510456216 +1454629158 +1052067238 +2106675668 +1018605779 +1456632199 +1971717563 +1829039499 +1423566171 +1951423897 +1387802241 +436110252 +1873153651 +1661802231 +1594288700 +33837497 +427838769 +1930318820 +1767804585 +1054714968 +139483298 +1508520025 +1015126700 +907420472 +122897287 +1588755044 +208837422 +1629994535 +825337519 +1949444520 +739617467 +188310087 +1256590030 +1791684705 +147502108 +127712161 +1100833256 +2119219671 +1956751660 +376915780 +1923159920 +1197070254 +813026032 +1648829924 +711388837 +259831084 +1682667421 +1139227606 +42666256 +1302988359 +46458927 +182149555 +664024736 +1061585627 +1089570027 +786922024 +502857023 +1298407449 +269432911 +1328194542 +1100368322 +1009050378 +1516504630 +209474704 +653251435 +1664006738 +337186866 +1754084692 +1635742761 +146454878 +2131000472 +1411419033 +1343525132 +796542856 +912765309 +2054913970 +1056373941 +447949083 +1046657928 +1099040197 +1750937442 +1093116855 +1281189752 +267478530 +7218835 +223276131 +1054400554 +510075858 +1521683581 +1323833465 +1838270401 +474568255 +185400195 +1207291383 +684042959 +838651631 +723814473 +1021229825 +445252675 +212073586 +1167684704 +428769499 +1623492619 +363726188 +1225312355 +388774281 +271156510 +134202648 +836723364 +1317814439 +1233242846 +440177158 +263447646 +366948950 +707655688 +270666481 +590225082 +1762056243 +780742340 +2111908663 +938406060 +471529093 +438993270 +1123806256 +1678820476 +1123036229 +1962457887 +255151301 +2144266055 +260226914 +467224887 +1164467111 +688996413 +2090717506 +1528193299 +1914308768 +332008139 +1799349810 +2048511417 +1168731503 +969680601 +1134270615 +1608908661 +1233128247 +1501219565 +169080702 +1503794729 +2091444647 +1931136945 +137053421 +2055869662 +722059357 +608582514 +347379284 +1845865613 +139919342 +1470415514 +1660839852 +395070643 +1467197921 +1921066766 +862295530 +484181384 +462579531 +805529388 +2012374683 +229404652 +1137537528 +1664240845 +130432421 +158785383 +486437798 +1264703036 +1767694045 +1719566046 +618438953 +1936774747 +1075877127 +562399953 +1720428044 +1212930548 +470785967 +295003753 +1821513062 +818165252 +2140869367 +1961432404 +141097118 +1654225571 +209019399 +1608295039 +1427808690 +1071314929 +2092476423 +1890388221 +1876844317 +1957367458 +2119792873 +866898197 +1474124656 +102741646 +1025683581 +1960562454 +1367444682 +645893978 +1532644852 +1985883636 +435185077 +461038331 +400799941 +8129473 +1673968879 +871585908 +303133226 +1347998293 +1689751160 +296518945 +1161947049 +1830848278 +1950744517 +1370966448 +1291659669 +1231069559 +294797729 +1236652444 +973974132 +24158399 +1046536255 +946283358 +891056596 +373177263 +1049025004 +1916740177 +186256069 +268986039 +415150507 +1718900922 +107386027 +850335584 +32455605 +508185968 +858465057 +1706424485 +1379771876 +1161598284 +906939130 +922039389 +1458117229 +2068886180 +605404019 +1261378098 +1292368980 +1897063689 +344964009 +1587166710 +986232485 +1318938142 +1611325109 +2032768740 +117737852 +354898057 +258462355 +1166762856 +124154587 +444718425 +1435748895 +539305094 +16135699 +1543134922 +1389640679 +48591304 +2051320890 +100622088 +1755015789 +1283609119 +1262220372 +514471272 +58164860 +572853954 +435873804 +663568879 +1834232052 +1728242784 +413148920 +31712414 +1167925846 +1399381406 +1350650556 +631767307 +1284666498 +1468388408 +986665365 +1543128854 +487667616 +1110819952 +1987847279 +1923416512 +1650125046 +2003982978 +1319067786 +892282077 +2052574282 +1222905029 +992904166 +1660106424 +359030500 +107640890 +27094048 +417195360 +680494844 +462967852 +1080764239 +367243249 +43726988 +1493913160 +398955663 +1211652835 +745810918 +1749606219 +1843420142 +2030477416 +1070510979 +682601859 +1426122622 +1558178595 +1793421811 +1266486253 +1334111459 +1296063210 +1122985583 +505695598 +40861639 +1028076218 +1728600627 +1033765805 +540698994 +2087631127 +1141406696 +567793042 +357342839 +1821901540 +1030760894 +1438107078 +41661141 +1074487882 +784536590 +440616804 +138657069 +1530347508 +42739375 +1982077212 +1413341277 +1113250354 +517195423 +691980251 +523945302 +163133587 +1958466505 +1858056761 +1459196797 +933968440 +216268711 +1500058436 +1962044658 +1944869338 +386340594 +355260004 +1885016817 +1527747290 +923053046 +94876008 +1202165182 +1953813940 +1532983087 +1243826324 +880818175 +170036029 +1684443128 +1019475244 +1700383538 +1727182504 +854068808 +966241167 +692949210 +1371264232 +1658221418 +1216894512 +1534397819 +1469204275 +927467626 +846110968 +255689068 +1143736337 +198685756 +70250078 +941122028 +585026350 +425510083 +678655197 +2112773640 +1348563129 +773531206 +1167455175 +1154893422 +159030645 +263797851 +2035711597 +329066674 +1948240979 +907703193 +2029450212 +1527939835 +1761772002 +848207731 +73405398 +985552586 +358945502 +1290299910 +372466757 +1828149777 +70283888 +1218577725 +2083838845 +1214020226 +1417263481 +6605276 +7658606 +2002289832 +432115359 +686313803 +1967579824 +1780678488 +1459845009 +987551351 +788088262 +1618875654 +1251349202 +676316211 +1947942329 +1052106534 +1584019405 +1829908893 +432562721 +1198307759 +530632977 +505968119 +36376697 +889578479 +1796268030 +408843454 +570244608 +1866551918 +1627421179 +506599806 +933088496 +897201012 +513205082 +940747102 +752007196 +945320441 +1627060906 +572103373 +578515281 +939422267 +1559654724 +1366603544 +410814274 +663520279 +2042919755 +211272955 +1715626813 +1479455512 +2041181848 +705886 +530279623 +424331177 +506674006 +566656320 +1313909656 +155458388 +975499774 +1884154265 +2022010306 +455437305 +243270423 +807615155 +1352638318 +756475505 +1748362257 +2104645514 +1701795946 +1227939515 +529265239 +132827579 +19878135 +2088919964 +1499431123 +430692409 +604956595 +1394867231 +641965364 +173099760 +726839095 +535663564 +173805646 +1257118719 +959994742 +680479652 +1823775039 +126420750 +835938040 +651791166 +2010575015 +710464699 +1107228471 +106361790 +1518079854 +312383141 +862837295 +1118958463 +269545008 +417149593 +199414331 +798810247 +549977173 +219292466 +740246563 +2049408296 +649984875 +1345203158 +1296791879 +1291950239 +1518302918 +2023630975 +1827613803 +1692108565 +1133266046 +640124897 +225104569 +809557437 +766545648 +1061042610 +1461348603 +629637015 +1771507309 +421093427 +735998806 +1142103515 +733476568 +1598836101 +113578330 +1003021576 +2015985695 +312992661 +1801831824 +418479220 +532285127 +394594739 +320403868 +1182270002 +1739797898 +1617195748 +326736593 +1110617168 +1493343075 +6866749 +655242085 +479125473 +646991646 +880346655 +1288682910 +1413537294 +1941389265 +602547866 +2043174310 +1565412926 +1023641293 +631689468 +560032793 +1757117861 +83041921 +673611123 +612655790 +2099027616 +986603785 +267003966 +370023188 +1518888912 +661598705 +690427057 +553675267 +253912955 +160139157 +880411860 +1364530124 +1653482232 +887278609 +2019772209 +2132607705 +1534270256 +752635216 +1273806967 +800323902 +546540833 +1876354833 +696014564 +2111953759 +752512478 +1327704032 +524502904 +362146692 +1410745954 +1198114028 +974802482 +1362289922 +37234165 +1241806448 +1732313111 +1556123077 +1903405153 +275256520 +2109798344 +9834461 +435395677 +842726557 +1374364585 +2088877909 +1730005166 +1246653146 +2074001966 +1116791774 +1999288363 +1200325285 +1917115677 +398345548 +929196471 +465646593 +362815660 +1681708949 +1793350626 +887318564 +2043855641 +1056612932 +2085432592 +871174475 +271419206 +2122666757 +2112980923 +2003732317 +1531306187 +1868902429 +131505189 +1493620883 +1878736890 +566900866 +188863792 +1105617827 +508295127 +1918868959 +204787325 +434813445 +888177085 +56592040 +1635138731 +657809114 +454937589 +416851554 +1123455708 +817753249 +2098560503 +769322686 +1705071813 +1994932497 +1825935618 +1643020758 +718623324 +2097354824 +1618203867 +684120600 +1953603494 +1002026406 +405539381 +2085108683 +348163642 +136792623 +504525902 +537027434 +1242410450 +1012821029 +308412745 +1447197775 +1447634475 +1196589831 +1503789816 +935289558 +1854398945 +1958727405 +1352141112 +830371005 +628997006 +1303217967 +1599693691 +186585171 +1150666816 +1278145661 +1829605929 +1869290141 +1228016838 +1300326149 +405927093 +1034136684 +154868907 +811466474 +971761719 +503032549 +948259097 +1476287621 +1040059984 +43185899 +341625003 +1348472729 +1490383674 +1789259478 +397578912 +846689842 +577065388 +104494210 +657933599 +1929206500 +934865215 +1286930605 +1084940819 +387075259 +1473515777 +88123988 +1665220920 +1155638058 +1957414129 +745754110 +308480559 +215857574 +1779890794 +463349467 +1027324048 +604168866 +966382016 +1975583145 +2080456487 +2006442000 +2018769044 +274597842 +1207431082 +1361669070 +2063857320 +1605009994 +60875265 +493439060 +1709504204 +718808864 +275161912 +496885772 +2005739470 +1360102732 +883961031 +1331771599 +1448226720 +401698303 +339926009 +1258157201 +1147452414 +648406569 +1474014775 +779859560 +1111756036 +353855175 +1384028426 +2078138052 +181954672 +1317001266 +1937096405 +53240068 +1591599108 +997043839 +1414909138 +1507972781 +454570185 +1475784403 +2001411841 +16590742 +47109620 +129090106 +513476514 +2052849090 +1489192838 +1397437545 +1237137041 +789935910 +1799135848 +1577063050 +2048093111 +799104614 +77985971 +1374624238 +1578964175 +1189742007 +1728479413 +815508953 +1120396412 +1910434085 +2132510219 +910009169 +1963674153 +1576625680 +1907053008 +1231099643 +937114813 +214139545 +559400399 +791043006 +230730287 +606510019 +920133112 +744206801 +511875461 +261842302 +2141644346 +1749012502 +1051778212 +1793296547 +1178591904 +952387675 +444917513 +1256577876 +179528265 +2023881688 +298836235 +1908007678 +691906994 +1419232647 +1670958115 +676933565 +181758168 +1487148620 +106075597 +2088811176 +570764616 +1043190410 +155467074 +1130165015 +1834233417 +386197361 +1736675034 +606882881 +1130404163 +101066847 +868725184 +1124564861 +1850079349 +1920503396 +770377760 +881187605 +725407424 +1215295274 +2137765481 +904935689 +1091693314 +289118069 +665459720 +1783600308 +1708350716 +188934187 +313050226 +1890108885 +1676082808 +419125823 +1831436413 +99363776 +1462316234 +1986903487 +1229528791 +1149066003 +225617201 +818720177 +1755948884 +1356021364 +919787024 +477190420 +333102577 +622382725 +250210169 +1103480338 +1503570330 +975617593 +171291964 +1493852164 +1880553282 +1262985278 +1782970233 +398529354 +899101939 +1343837301 +587463542 +1212152165 +1086462538 +116062702 +1631277988 +770415304 +215426478 +946110574 +609835143 +1444955269 +2095176577 +835452344 +116191798 +1703641814 +43990060 +1035978822 +33348586 +377092638 +1658361547 +283558755 +1480572976 +1014448229 +1259176348 +1651864940 +360816745 +992245983 +767366570 +2143786978 +1390775337 +1666468509 +1340140632 +1978238879 +731137026 +279119522 +2094301581 +214931367 +1049534826 +162244411 +1161041941 +1659369970 +1607199680 +1108734871 +347338666 +1723391478 +664893037 +391328727 +611886652 +698241623 +768421365 +122764551 +981800379 +101510693 +1137212781 +93493079 +1753375633 +1498029526 +1085739062 +373258555 +1494332857 +329030752 +2039727065 +686989841 +159785983 +623380443 +966109363 +106603917 +838311810 +2015644190 +268848328 +1999353752 +1527530512 +1876048009 +960604975 +1874869178 +1451955839 +1625498012 +118714257 +2063842492 +176255987 +887135622 +39123395 +1158056366 +988646315 +1176336176 +1251549446 +594538300 +526882055 +189804860 +967796856 +2021214912 +518835612 +860040273 +560721105 +678621596 +1483420716 +1526830468 +785225513 +174248879 +1394991010 +1054073841 +26118983 +775037874 +782638202 +986723958 +502423405 +87110394 +464738322 +621137662 +3469238 +640994309 +1508273285 +42592633 +1799050676 +349435952 +1218928810 +903116474 +943974253 +1745810865 +1092921334 +1911771109 +1619542129 +1611756947 +624327734 +32779586 +142894895 +2107748450 +1559610054 +928120408 +134513681 +807117417 +1982194249 +160632664 +1582155291 +617348804 +1147356622 +2084578696 +704459198 +1612094944 +558232711 +707928436 +105605606 +2066505996 +750521069 +1904656282 +268458300 +1969449879 +660289108 +1212432553 +1567777096 +1753210442 +976720014 +1039835577 +1217483741 +1601047748 +1072615163 +1360378636 +1561312551 +484741570 +141015396 +1695826232 +1291858987 +2123209646 +1856458897 +726530630 +593074802 +856331871 +663625679 +1297534000 +320943168 +1221858390 +2005462436 +426548774 +1140880738 +608499857 +183721408 +1409339038 +430466089 +844010516 +474287944 +1998243185 +449737310 +1451007958 +890595115 +1667221052 +904572059 +1963210278 +880116040 +318400962 +300468200 +1021131437 +2014227194 +1592327187 +996857435 +1723202443 +171374170 +1589932237 +432050667 +834999849 +739982589 +752993835 +2056858239 +597961377 +1179542609 +1050255329 +1206461234 +1363264017 +312110719 +1636927323 +59790885 +786398663 +1487686861 +509528195 +89922974 +230798328 +29265599 +994495033 +46524958 +909381640 +1312895995 +346993159 +1930513077 +1179639541 +1939320346 +779886864 +755358337 +2110694516 +222335453 +1187409004 +798210717 +962318042 +1940402839 +707585308 +1560279419 +972461800 +1757840637 +619257005 +188242169 +2069951357 +108700681 +248033054 +708866372 +1596387542 +757561249 +798789346 +1827185870 +786826849 +1793284379 +1873710828 +1696208489 +958696726 +73220339 +1479237918 +2138336268 +2012540686 +111641134 +746210957 +1975751554 +333976587 +1933619961 +626478624 +1296294629 +1726539152 +1334063932 +709090400 +551517304 +944420922 +1328347405 +739759473 +866888631 +1437048086 +987792527 +1575755003 +885951980 +1745353776 +227060702 +565654202 +384696977 +2020345081 +291881383 +2080905466 +831558160 +365101722 +1412659736 +822410780 +230158760 +1524300870 +1568621737 +58426667 +1858277457 +1354758050 +684905291 +1007088438 +933813554 +2018969223 +1716178838 +1485330858 +815906497 +897042596 +77606683 +1682795128 +186607034 +1065399210 +1111066484 +1072559015 +663269338 +1338127186 +1638213217 +1047966316 +1210988619 +1930094600 +981388134 +2042546779 +147712675 +246564223 +717473911 +377871435 +1770865093 +138612000 +436298102 +1481658903 +1493370050 +1121203393 +341263693 +279699956 +992688969 +2057442532 +1765030814 +1808595466 +807001480 +1842637497 +1343906947 +993608514 +760553059 +307489783 +2066167529 +1423822398 +1645616969 +1556897099 +324305066 +709121940 +1339508051 +1305693200 +604185072 +1487220726 +1552257423 +1321658983 +1865092162 +1175638869 +1460270984 +153906616 +509814124 +806157386 +1275110010 +851077817 +1085857343 +120315331 +761036701 +703404509 +1928910797 +1568038181 +398558359 +1125334096 +414163048 +1159111418 +1432823879 +332846929 +435450168 +930957200 +1889744028 +759755234 +1640079141 +1081768432 +2065448435 +96780565 +421505510 +1470222210 +1418439548 +139114024 +498377431 +731226884 +293020641 +1008191555 +1537384271 +1568130651 +1859269373 +475757966 +1688445982 +472822426 +1179162475 +1469873131 +2040860608 +1577720834 +447723580 +307540008 +589348605 +1880547459 +640386937 +1024798773 +664021012 +382647318 +1784554008 +156616505 +1464415750 +1702518795 +253397070 +1885921260 +1025257357 +1671836618 +2025035285 +1523634789 +255579855 +170572278 +384342696 +1792964126 +1738702929 +96128421 +121238444 +1279665263 +568950848 +1300400919 +602054746 +462327808 +730638106 +1049778326 +769867816 +1319986711 +782842138 +1410254753 +197301836 +1446863150 +1792902071 +1981855844 +1603479655 +1109834173 +1536890991 +1856876725 +848271786 +414664701 +1381229695 +725823423 +1938299490 +1636809550 +896395701 +175158538 +1282290028 +487614982 +271286960 +1403528472 +1767280245 +840237808 +556445744 +221851343 +1302565616 +1287083850 +1271629670 +2072433432 +459586913 +2054471808 +1335204537 +656888749 +1353851310 +980622961 +491260946 +809847317 +2090457134 +2028151937 +519240394 +791245272 +295332990 +1900470089 +1517068695 +86148832 +1389795992 +265980748 +261307371 +524602372 +753595730 +532594331 +1928130845 +373392327 +1372832139 +337092941 +595243671 +527914107 +1624176791 +1866873341 +452863891 +2083763704 +1773861501 +1788068428 +593168805 +980229163 +621207741 +1084429751 +1790076480 +564181228 +965098041 +161833226 +1355426500 +1260431031 +2062303315 +725011548 +1346579864 +1304615659 +990992296 +1607887235 +1829218032 +1744588027 +2140481566 +1609865229 +2117980354 +1365830057 +1946958170 +565740377 +1893744164 +1423651313 +285130070 +199124407 +1359931369 +2058991571 +1987192835 +1953100174 +891737086 +460916929 +890046278 +534329918 +1025098157 +1855144319 +696163144 +233041009 +968091702 +610982812 +958052557 +167187918 +1915598471 +1949044854 +1775075153 +1597332855 +1546149233 +1768073071 +1059714436 +1516645939 +986419480 +859188958 +2082386317 +732679996 +135356623 +220032739 +931804403 +1495287992 +131540663 +771513591 +1300904519 +1023277749 +1232430520 +43467149 +1557607668 +110045029 +1898611468 +106287164 +343086038 +719219522 +717269976 +1301138596 +886407441 +485384800 +1102699802 +513998946 +2082717655 +501365387 +134588370 +994948444 +2018011326 +1121007850 +1854137402 +1952913995 +1853687847 +1989494026 +25463087 +638008602 +1337298370 +157003750 +1409522193 +490719241 +1180281499 +494469065 +534186390 +590405519 +604514094 +285314210 +696692684 +947600133 +1004533733 +1413962660 +101255081 +1890941174 +1899347460 +1203954883 +257456472 +1834581468 +1705320270 +392044842 +682046264 +1575847948 +1513052693 +388700018 +1381278296 +1219256892 +230710396 +1406741383 +1857265494 +1568008767 +1563745133 +1119304040 +2058728008 +596542984 +1613773105 +445430751 +1186948504 +70803552 +730744961 +1883641188 +1018403685 +1735278694 +1150120200 +1119658766 +1478736220 +901984013 +176130001 +1736192693 +589081833 +1881450271 +2128237535 +1271128097 +1309814571 +1493806580 +1659828115 +543609219 +565579824 +1890538512 +1950350602 +275361671 +1311063631 +1366612087 +1394665711 +1222307991 +1963155072 +860955168 +1667738742 +1002619928 +931758720 +251000056 +738777468 +1950162405 +1986278750 +1888897668 +922337523 +1317531323 +643398033 +1098467524 +906240368 +1232479866 +832434147 +886994255 +356124315 +2142248719 +233317188 +2015952431 +538374290 +798897012 +1759007295 +341241245 +1074258683 +922587278 +1707853332 +321440746 +2144895269 +1523524756 +1182395915 +1665150364 +378661036 +2114154635 +1916150420 +1117438504 +1916833393 +1754945522 +858852525 +691687268 +924993197 +1502250558 +1790154793 +1831233565 +587246777 +475105292 +570744173 +943371092 +469870363 +804061361 +811839875 +1008244654 +1602958373 +423363522 +1349485899 +529733409 +1345950800 +909855583 +851174155 +1343362422 +285896692 +2033570070 +861029138 +664557728 +2000241058 +629695910 +1781996233 +1769590803 +237157784 +493365110 +313794423 +1162150982 +1995615668 +2103949216 +845900899 +435378797 +431570861 +1416645072 +1378749890 +901441224 +73222785 +43106117 +1909685878 +1676181159 +466469640 +1111688129 +58430920 +1812420440 +2021543713 +909605075 +1008299214 +159956757 +795691498 +1869328352 +824514485 +648448908 +351540614 +459027070 +270556063 +588698399 +952392180 +584350486 +1750849381 +800524201 +540816055 +449266632 +1235902998 +972386916 +1865911705 +467169240 +1873828140 +1939134490 +510275358 +1636030371 +1467832001 +976744998 +600234852 +1526262921 +641681790 +474294917 +288384349 +1649981005 +634251674 +1084075847 +1371825709 +1458766160 +1732524755 +1723366324 +1917793230 +2003080818 +164581075 +722701763 +439947656 +1915430456 +1523225964 +980763711 +217213440 +611645314 +1953150627 +2083125145 +1078814555 +1679495120 +1874775988 +1589089913 +1168041843 +1195124341 +418351263 +1768276695 +573903615 +1060033053 +95087965 +862287964 +562530410 +729339639 +1946363811 +1934356120 +40622151 +1531404918 +1510238796 +1958415382 +1387002088 +1674819871 +533633497 +1826949744 +1442766679 +2056859461 +660229808 +1659980119 +521021127 +465896787 +1595621617 +1599835682 +2145391907 +1322913957 +1041441947 +1165950102 +370554650 +1459793210 +786743150 +944458265 +372342616 +881831115 +1806746229 +934873026 +1611170754 +1605626392 +721745498 +1651792906 +989547662 +84500646 +1462724640 +229066102 +1759320517 +1996358137 +2056015847 +1054603548 +1905733950 +568762007 +567100020 +279271429 +1034658794 +15237989 +1879107112 +1032567054 +1338151946 +773065411 +51033508 +1708706596 +85374974 +837776658 +505681214 +457717590 +1719607773 +164943795 +1392590616 +1183294880 +1770570188 +2114336115 +687604138 +612634202 +51353113 +2845130 +841700305 +1810673631 +1999203267 +750232504 +717793531 +1757453569 +1318994511 +1284893551 +2036724998 +206169657 +1300131540 +1768348462 +1238736711 +490799838 +393930226 +1289770220 +52022787 +479305200 +2127546878 +557704001 +937022790 +1699671004 +722647796 +182129758 +735482236 +345734336 +148982225 +1423086374 +958368539 +200335339 +1425931504 +1800068844 +2011008970 +1277651123 +402817700 +581318853 +887621044 +1721812211 +1866212405 +776862394 +1927981868 +1018860297 +397727209 +1019234932 +1509660136 +791657435 +161521504 +1561682923 +1270962635 +141584734 +2119386924 +60501777 +1841255738 +694551072 +242631535 +429254326 +1040285409 +391613761 +1852340700 +1998653948 +591949100 +1130788556 +1651239144 +455474422 +260956031 +2054056844 +1036793275 +1148577075 +1628385407 +755522032 +1925439470 +1408883627 +1774382330 +175683031 +280634911 +1136558818 +967340466 +442156415 +550758093 +90819453 +583741150 +522661369 +151321230 +277513240 +1217212441 +393952765 +706767567 +110014202 +785566526 +411624619 +2108668150 +1377515626 +1542413176 +1612423646 +1832990048 +1803369207 +1518996842 +722299676 +804462635 +999898601 +1477821708 +582418457 +261298581 +1104720390 +758101488 +541933492 +93795560 +1725441954 +984089908 +644553653 +1816261407 +1567831058 +1167215022 +1967582637 +1845344298 +236943816 +214051754 +404628217 +346958018 +999618281 +816252837 +308142521 +229650259 +211182365 +1920566167 +2062640308 +2014551572 +1292079362 +637456336 +671530559 +144494315 +2115278044 +1253949016 +405792896 +1072514787 +2012050504 +947726389 +1166310347 +1590008810 +1931816297 +1810864001 +1258786569 +1352163707 +830595375 +1078885558 +1050024357 +1067539191 +1292937313 +1454652575 +1414497210 +145071946 +123421764 +1722639731 +374722205 +334604129 +1495722250 +289878865 +201672053 +640317964 +927335201 +873202613 +784812280 +895129598 +2127151629 +1190605176 +1967644385 +1991718486 +2138331565 +986471084 +1434243648 +1922664214 +649851437 +545546570 +1127344273 +1480446813 +1624432128 +29884983 +400502356 +769885793 +1484537558 +1814999566 +914957739 +1607959322 +1390155649 +1289679945 +1942563451 +738394252 +1579558810 +2144235504 +1378712216 +359410364 +869954469 +16040848 +1254539962 +849622451 +1206646025 +1074700699 +693857289 +1197493942 +2061171783 +2128100937 +972674509 +563539573 +526163859 +2100018782 +2043986386 +3112340 +2129903765 +297005094 +772998133 +1466957675 +2112004661 +1687955873 +927433349 +1354676662 +830152170 +722513152 +2093070914 +262227332 +719265009 +1324299483 +621637696 +1589219478 +1340340331 +1876177658 +291358281 +399502708 +803394709 +985215570 +1596996651 +717082845 +965832860 +422187512 +1280622418 +1491996719 +374722646 +1177125156 +1495109059 +357142764 +1474130250 +120623545 +1824100439 +1438651263 +1808579418 +604050141 +645844278 +491247940 +1326563293 +591431544 +753475272 +2045828302 +1915731027 +1375112969 +1487564133 +1108587711 +1103806979 +1778922414 +1508090419 +1907201689 +616654337 +957603422 +476800886 +1582487197 +1379790934 +1757423304 +927000268 +1754513581 +787064812 +274625680 +2111656345 +113711414 +395249225 +1788273136 +1552362678 +56344995 +244839629 +50723308 +547592935 +1571402923 +642154852 +1301068207 +1469747577 +410402232 +528697528 +809828062 +1518989943 +1632504508 +441266829 +879596714 +1392222549 +1057921166 +1837200137 +1869023435 +492924715 +1069507423 +1478963091 +1419924983 +676537356 +118544255 +1694550663 +640710053 +232255669 +2089799888 +281499542 +1784618347 +2146144883 +526339171 +1835341655 +546254170 +2097742094 +330012860 +1847322378 +1420006024 +740415092 +228536258 +82350438 +111921387 +1861040766 +523617267 +991518101 +1105779667 +1581538433 +681234590 +827319454 +2074463148 +1750742014 +158798897 +1346904484 +279795722 +277343152 +893971499 +920505776 +509598822 +836287740 +1202005318 +146733521 +834948975 +1728344489 +1982075177 +1381203146 +1678602936 +164604389 +1081041876 +951125312 +905019481 +1309578134 +1033475750 +1016940868 +1023135253 +1557093018 +2008458969 +2128914920 +991147803 +542209912 +808750727 +918127304 +145468278 +967549624 +117548140 +425264000 +1244892777 +1011519639 +1345769776 +1754491599 +1847807379 +400291446 +1901225120 +535272707 +2128635936 +1735816649 +1916475853 +1659755224 +1900421038 +850034081 +463396888 +657956871 +12128567 +1496872638 +1674897739 +1035263820 +906482008 +1535873061 +1016695093 +1897629812 +2078082973 +1825445820 +668273468 +76067603 +645511796 +785821608 +501331603 +1890404573 +1797341247 +1847101380 +1497412524 +1497664979 +99909178 +1251153997 +2032937686 +81061466 +839486998 +1801929891 +1740816690 +592424389 +504480324 +56729930 +1250381260 +516608891 +1553602569 +777795352 +1551872712 +312600929 +166184765 +421084157 +62747093 +96784090 +99046329 +731020561 +172851693 +744558125 +1516842169 +674183296 +487479051 +1166699769 +373801028 +1984891575 +516881100 +473710207 +1088561924 +402335138 +554771673 +1928048923 +56781381 +148104716 +372989664 +561261705 +204834646 +1623370924 +1077870596 +1758437215 +253682628 +482259660 +2071038145 +419867393 +903343817 +2133785238 +516651483 +1002390146 +717322152 +689503176 +1746948272 +86680673 +1363686473 +86943675 +1253380442 +1737487501 +2071835250 +1770261542 +63714060 +1012913527 +25113032 +618485734 +793478802 +81894413 +766590450 +1166468466 +643156118 +971425096 +642355742 +1721026715 +582378664 +896038371 +55802727 +505933161 +1315905764 +959146545 +492234751 +1832557248 +1961536691 +1209556903 +374576776 +1561001315 +1296237577 +1738263249 +1647944990 +402134371 +1328267103 +1572296593 +24912266 +1391981163 +437726472 +50025298 +2010466897 +1231205274 +131919712 +629573699 +250190092 +775075830 +1600998796 +892545834 +348618897 +35893812 +1788584205 +404421625 +541826973 +957006322 +1363568170 +1034061724 +642079922 +1177621213 +96134980 +1016656698 +591138881 +1392372557 +607436300 +91600223 +1794506928 +1935703403 +1663896816 +1819419194 +1180200918 +2101623288 +1869444493 +1043184168 +1185344914 +2001364205 +1672757867 +1435535006 +628956387 +1126273015 +180597193 +977575285 +1162166827 +1969181398 +1381996910 +1703993800 +778704072 +598081432 +590571877 +1420783994 +1775702645 +686706857 +289957045 +219357878 +2079079414 +897393345 +310958102 +1726102694 +685613100 +1974854918 +1398038241 +1865814018 +1928994559 +1119999086 +761514538 +966855825 +973879643 +286788758 +254907184 +1602836030 +1413061773 +435504377 +432927667 +427744953 +257202127 +1814924577 +2131738753 +1035906200 +265522361 +574826982 +309206546 +2041225007 +1261533839 +599163591 +113099237 +1193129605 +1496556936 +424057339 +771748652 +34686388 +251428610 +22303245 +1900500407 +32939521 +1142302331 +514531297 +999795346 +2116181974 +801320055 +1254702530 +1571534356 +66898181 +1690206907 +2004462024 +494643134 +1947409035 +1671902953 +478898239 +835831587 +1937425315 +1053725222 +1145038133 +1831166674 +167775413 +1744201725 +1944265911 +1360905019 +1093275013 +220839603 +2132653671 +1127961402 +472268213 +7473268 +880978161 +505207734 +1149775599 +1395509458 +1505003080 +1118473925 +49345866 +612221963 +542524633 +116244047 +154945222 +399503009 +610887181 +2102354257 +2071405963 +1089785420 +790702196 +1861347630 +2143510642 +1935740330 +1545030656 +163802408 +1532458407 +1341812919 +1524707427 +478249772 +1562652522 +1509877450 +1606211174 +2034920735 +1517350718 +339705687 +392644821 +519642669 +1735215146 +1897647902 +1638116594 +1784561012 +362386217 +33157579 +1900805059 +517331439 +432660589 +364208592 +472202049 +356582904 +1453994012 +1262904245 +70446886 +1450021007 +1051160927 +1615477542 +1613823415 +436135686 +809806813 +991047194 +914385459 +224975688 +353440996 +373112985 +112412775 +1870791714 +712818673 +505057597 +242950735 +300550171 +255221851 +1881067329 +2085111183 +617608068 +1914224908 +1838432594 +1134939507 +199401849 +55157538 +1607141556 +555984753 +1509151550 +722562154 +626431639 +811688909 +1773723081 +94425533 +278028676 +62375120 +904232347 +1269075870 +976760579 +1129208035 +1622516866 +1349873564 +1241620810 +1345824932 +2062692237 +1746678407 +1588775667 +215758760 +2001900258 +1322359348 +153386295 +472024678 +1089100609 +1991818889 +1606964186 +1288502458 +2046976427 +1066622094 +1844487212 +1408644330 +1789184248 +323435203 +72849591 +1415423682 +417860737 +350878268 +1477798802 +1322093084 +1619954138 +307075733 +303817471 +1094987357 +1656949297 +1545438281 +293328641 +1572157887 +1144633041 +1882104309 +1787916647 +999049651 +1056980009 +1941302943 +1471074330 +2146080618 +1785638184 +930554868 +1287099429 +1685130964 +1997176962 +984102993 +946291646 +1638877563 +1307538196 +1019141237 +906817597 +1725398933 +1370019505 +237132751 +900008369 +842489996 +544208484 +1203825840 +1937477353 +53674133 +601780474 +83322346 +1625832020 +1746413515 +1965426655 +1266265020 +597979518 +874923017 +1060084315 +2069053848 +873519987 +698238851 +852125068 +13135768 +235886167 +701818383 +997238761 +1182177813 +193212298 +157293310 +53835403 +1100029895 +1882692243 +1423854908 +1337162646 +635216965 +118861256 +1881371130 +1839042805 +2056338609 +1935045263 +293339631 +2139660956 +1413393636 +2039753146 +1957603963 +532175008 +490249017 +685043332 +1592259323 +411819217 +1558563320 +143014526 +1263944286 +1571699088 +378900694 +1965762669 +421454202 +1561078507 +11491319 +578747512 +1614913910 +1111521214 +313956107 +891285171 +301200212 +949173072 +1010146427 +35087694 +640732230 +919001389 +1970132957 +934071861 +911178697 +1236042945 +826341360 +721299012 +1768217953 +1316590377 +1406342345 +1212993628 +1728409594 +817422017 +1356008155 +844870232 +241637457 +1734908849 +663149253 +663091659 +1148503708 +674640572 +1241839171 +615933971 +1786161786 +1555795279 +1507219142 +2087361998 +357484703 +369881921 +2122449692 +998216933 +1288883310 +1945099002 +1932288795 +52578359 +1033658299 +611146507 +773877372 +654392605 +1927736884 +32736069 +1867386233 +1508662830 +850158086 +1075910740 +206049415 +1091795543 +663335941 +869198668 +1754887203 +1811839650 +1543839241 +849242726 +280289973 +1182517379 +257554357 +1787509115 +1122395730 +615039061 +9907388 +1097361774 +1613255994 +1298790699 +894977128 +1398061141 +1351369058 +1928635428 +2009207648 +2125246430 +435544385 +1789460884 +10498851 +155446970 +1150640067 +860656937 +1231357711 +1356689482 +1952452481 +1894693652 +78404502 +1559856036 +1559049654 +1622243743 +261615114 +1839339627 +657277475 +519169472 +1479365094 +1779673205 +1134208533 +1489272483 +729551331 +599980879 +640579534 +1624528460 +1998042021 +1991948592 +1405680240 +1859766021 +1969711375 +1841224625 +1501743258 +1980210226 +1996671595 +504899677 +693383516 +1080545658 +1861589159 +498352349 +827755663 +1939993661 +2058208385 +239321669 +1414753757 +172339851 +2078661297 +2072031232 +691509323 +1410542743 +1704220789 +1825717856 +752331578 +286288472 +278215088 +1392911112 +1910816932 +128773461 +1237376057 +1169013524 +1988539482 +1059603784 +862754501 +1342799092 +892330362 +711942449 +1847698769 +1585713878 +1792488107 +1561804280 +2084066227 +472760122 +1354314294 +1994790964 +712081792 +621584403 +19647168 +643259441 +546131987 +711156491 +2053802184 +102869128 +389390700 +658650115 +389157600 +667605788 +2051561227 +152490885 +796379249 +1141453636 +1321504409 +637435083 +53573772 +36775263 +1980234176 +945904135 +748717712 +1680449297 +384134365 +393722171 +1094769930 +320716945 +866482294 +301600576 +168024261 +1578564086 +923184979 +187671429 +74339879 +1469316966 +898827921 +2128142063 +1572186094 +1288218621 +639308530 +1961343694 +1955824409 +543386110 +2113834579 +604720010 +1684839746 +1287855341 +1242155093 +1738413519 +1324630604 +1074905621 +536834006 +2073348316 +607871271 +920968371 +319586839 +1702641201 +1241685316 +1186069133 +2004241777 +1409709578 +617149571 +779943108 +1597381007 +691489450 +101776426 +348725280 +672147866 +1673962520 +1636943901 +1311456396 +1487822566 +1445284662 +1854842506 +1454173498 +2050004672 +1392198605 +594545191 +1144676118 +983128476 +1919175795 +72098091 +1519962482 +1845040463 +679969362 +293447205 +17143654 +235126915 +1535132522 +1203212788 +91885044 +797358452 +1820362359 +871828152 +247255811 +364368162 +973604578 +595981092 +1036516028 +500083450 +85441345 +200488776 +1987906017 +1530726008 +2055331283 +1294595867 +1433247032 +1300046240 +1889141058 +430439502 +135691068 +1660833205 +502537594 +1655653550 +1358390020 +1182506956 +1949100755 +1375533674 +1417633872 +1336749629 +431262814 +1509518916 +2134108081 +104141526 +233863421 +233880245 +468509688 +1207467999 +829861337 +1505025716 +1707551450 +915302682 +1705514492 +1547973819 +298545042 +1613362127 +695086038 +1731792075 +765924719 +436743448 +14747929 +901615787 +2097576653 +517285523 +409785689 +1308483025 +1699792480 +211402797 +536533051 +969942704 +1548152426 +967795866 +331977972 +1534776860 +1071937392 +565841393 +1768657105 +1540447080 +1773309393 +451034794 +897989148 +1333377195 +1366337476 +456019992 +733867366 +1664882519 +2069382120 +1428953404 +1249190946 +687823191 +1865696852 +1263938875 +1589438979 +1815789857 +1781224399 +1999224668 +976789234 +1333533231 +63143817 +1513322285 +155992287 +1611296244 +333634503 +487970259 +998589456 +1405571895 +1053811653 +619762913 +798535327 +679637398 +1070797707 +1696524475 +2013014593 +289651535 +5060820 +599398311 +1954534054 +2074442940 +2028351715 +1056241352 +614782483 +1746564919 +172696580 +56737814 +1414871128 +1953920979 +2055962483 +244176714 +1139970562 +2119106300 +1757498999 +1295962849 +1582918896 +2091133503 +1783933108 +434024704 +1349221750 +690261113 +1053787617 +273430 +1369898511 +2124585324 +1696797905 +1235429456 +266753212 +1701858725 +1834827767 +73803618 +1628818017 +1715695834 +1130044971 +96116853 +1314777105 +1302741551 +152854667 +582164585 +1109178882 +61333502 +826341299 +101665796 +32956155 +436356651 +1397628645 +1615875051 +380006506 +1034078105 +2049899756 +1729228256 +1724339219 +956203725 +1729501686 +946754082 +933305402 +1278815944 +34699891 +1200058614 +833191021 +1869527658 +1273862232 +314525391 +1437739845 +256423555 +410642244 +605033302 +1559165106 +563496911 +1187197888 +520860340 +624830414 +2013539187 +622526136 +657786569 +302412190 +2020154781 +126177972 +682418696 +906749239 +28594080 +264163305 +483604810 +984797806 +1993664991 +1430358892 +1918103208 +1124997287 +1465058783 +970678174 +1958188309 +1187102794 +97056758 +125230052 +477358991 +353480314 +535872296 +1082392293 +1912645420 +1099369207 +122106533 +286022113 +1724199621 +2135645721 +908548249 +234502542 +290574263 +781219383 +360680515 +972992960 +1687968622 +389274595 +1237156265 +24089784 +1374072401 +1083337608 +1454448676 +1144691961 +60851248 +772023812 +2115370135 +2019039557 +1959126606 +64943246 +2144269609 +289001949 +418423560 +532658257 +1371394242 +183585332 +1632027464 +1493500776 +469607445 +1208743438 +1481662849 +1378155695 +1443245980 +1772237112 +11891430 +1803926495 +597746424 +1699860052 +45717443 +1834902689 +1723949836 +1419789844 +770756650 +1030914864 +416998158 +831607898 +1802938676 +384884645 +703163807 +1614581634 +449827891 +699949768 +1903583583 +868251451 +1232608025 +1127494178 +1051836784 +717151841 +473511306 +1521444229 +1925895279 +1955174155 +752116276 +1221657612 +1579927619 +764007706 +878100459 +30190396 +316384110 +923817902 +1865093085 +2040333946 +196124099 +488366087 +923765163 +613122257 +1319973985 +579220191 +998006902 +2023137792 +46318178 +1447834794 +575603912 +1949901761 +168602597 +1808211937 +929912291 +1220439381 +377880131 +1403423597 +594399963 +156291762 +1211114104 +1346516239 +1377949374 +643558076 +2110523946 +108566186 +673748472 +279424408 +1032384088 +391357909 +172274707 +1228508187 +879723997 +1096039870 +1841630444 +52214334 +1675260061 +692153699 +2075352127 +1721578239 +2139988493 +503472391 +1523996353 +161107442 +164200681 +306424996 +1381546824 +542080812 +1709848594 +1975946787 +698372574 +773479050 +1174979378 +2076321949 +1417037126 +1138019676 +37404487 +2090785598 +1417444085 +1069788575 +334659860 +1589718792 +150813115 +1214383857 +538275014 +1992443559 +1266598191 +66051427 +537113610 +1194466670 +1787629667 +529618455 +1697939062 +1164142372 +690725898 +1862139743 +1470567368 +2072272722 +256736907 +1032932314 +1900735861 +955109481 +1806411365 +928231591 +883947782 +1075964843 +2066251268 +921352269 +1019266794 +1336211705 +1991140845 +1353926654 +778446849 +2141953960 +420826863 +1316721863 +1986913871 +1687425054 +1382773290 +376543834 +734408077 +1022919309 +906162289 +284863491 +39578033 +1596888187 +2147003234 +1510145402 +1521677261 +256256493 +395594068 +1274929474 +1211365974 +54521785 +55677418 +2095313757 +1130486629 +2121928686 +869182378 +2269775 +1310656743 +712839575 +1356196429 +2089103592 +707309887 +1777023292 +1258341807 +546740111 +1316964698 +493631449 +923283945 +2051372775 +1516550759 +1829446234 +188752618 +1556128792 +1278850774 +188272204 +918790546 +653044387 +444528697 +1314384615 +1927973862 +1655894672 +1368906400 +1983651280 +1603724781 +351909381 +1958096318 +325423511 +354179156 +1121269413 +1038263087 +1710375585 +1062889357 +1745572974 +1339915229 +173747516 +144829437 +509396280 +667378965 +1068113382 +413285407 +36446076 +750075969 +602038026 +1592574869 +2028926743 +790310230 +363881767 +534487482 +1234838928 +1678266382 +314977696 +743249952 +899689135 +151145328 +199491085 +1251598516 +2109241646 +524914596 +1605777673 +1083027411 +1563177683 +1168669610 +2145916768 +1161267010 +361101192 +172180636 +1306096447 +870497472 +839559602 +226726182 +1283782879 +876005678 +976802151 +1885820905 +321096899 +858245246 +528647488 +684978667 +1392732728 +1763486416 +215761401 +1707710425 +359252720 +1115450536 +1858855753 +558743805 +219565405 +1820613752 +1083658401 +1825343078 +756157515 +499352437 +846529040 +754590636 +1660619447 +1207630232 +926771272 +819232246 +2078127704 +1766330874 +1045958428 +1214426936 +494852905 +2022760579 +952764193 +815949804 +733522177 +1481411681 +1500928471 +2126254906 +1097414449 +1716689873 +1686481683 +1456667169 +684656761 +1397853788 +2015410974 +904222166 +1070983892 +951585728 +582081596 +1827141408 +1450938165 +1428610637 +434248396 +964073964 +488757221 +1361019668 +1783306210 +419401278 +979866895 +681780991 +1633828214 +1474719800 +557057922 +439108759 +143185956 +1290580100 +1920520441 +1644114428 +1269351358 +870451242 +1213320653 +808349393 +179634764 +1897977414 +58719533 +47562090 +654715933 +1129703426 +999147818 +1236797529 +809361186 +302602335 +517924518 +1243609582 +1266676299 +1006681740 +457145602 +902498862 +1426083018 +1437012497 +1584279853 +912427584 +764248649 +2141337775 +1351536343 +907434606 +1284434227 +1124573136 +404065386 +406301937 +1995024379 +1617386039 +1214651330 +27175495 +1367879805 +1273370864 +74737585 +2022595738 +255590642 +1073885404 +1111909620 +1064951828 +1376487739 +1629834138 +161077762 +495680391 +489032230 +618223364 +1398179253 +1915115248 +2055235862 +834975458 +680059184 +672000863 +828829585 +2031595528 +1579435469 +2113263813 +1008685016 +1983500855 +372082102 +856225747 +1453403246 +1586733433 +883401242 +673799404 +712620649 +958138828 +548911494 +968211291 +2032024232 +1660821114 +2033163119 +1261028323 +1143171605 +46757233 +1756708714 +1632203835 +664980597 +1007404319 +1399835436 +572732811 +1842379777 +2079894620 +1244733675 +523725715 +1964006500 +676685496 +489505880 +825207869 +512702704 +861587982 +1681433616 +1966105950 +300837767 +417351211 +492421706 +1013458416 +1375490039 +1041333201 +1981669707 +1260030623 +554670667 +1867349178 +373575298 +1697842272 +1914106411 +2130284013 +1182562460 +431603361 +990204684 +434914248 +1004336172 +685100814 +367325220 +101586199 +1208826529 +183848073 +778271696 +1698332409 +1009055942 +1290974400 +412436743 +543005910 +1109596702 +713274511 +960357121 +1602018409 +1726732927 +188363512 +495867962 +1560918987 +1448394135 +1050538629 +1280784517 +1821969434 +600897254 +1047407281 +1804769799 +1783459714 +1479010642 +647490835 +70890314 +335863166 +1332591649 +438215534 +437449366 +393934530 +622063607 +1215721062 +2092266939 +1631119549 +359211814 +357220035 +26641812 +1468808516 +1070494546 +986998933 +923343277 +649743825 +1175362446 +1419211239 +63179164 +476272933 +322266221 +1343963682 +150758719 +923163475 +243887315 +1955528518 +559139541 +1722897957 +455535706 +630029855 +2058761123 +1788127355 +1068245389 +348726841 +34578238 +1690308997 +1564447903 +2126845177 +1173944898 +1923659717 +336581564 +1200586710 +1244984586 +1407076110 +40101996 +20844215 +2056819936 +1215464442 +1440055455 +2119999100 +1691737375 +1762321676 +1316479134 +1842496095 +538001503 +1560366449 +1650540965 +1097141044 +1135780758 +2106076671 +1727170899 +1047058234 +1746720379 +647932640 +1395785075 +1781298617 +190757989 +812749331 +1760660146 +1364702888 +588925400 +2097241711 +417805950 +1833909986 +1356834173 +457907946 +1854754202 +1266170461 +1673372388 +1147326009 +1238685914 +1217626116 +762164037 +407681400 +912638563 +1300165540 +1968047850 +415695880 +249822936 +956344960 +374288904 +1976993835 +2003403194 +2121009283 +477442827 +1251704622 +1754824252 +668200817 +2064453953 +1368000750 +2032903705 +505895705 +1317758813 +303226007 +192322044 +527109339 +761133954 +2047076246 +1793279800 +287022694 +1046918607 +884482066 +1504648810 +1809082644 +1292163467 +269803725 +961764536 +1112727669 +685499606 +1211587472 +2069072629 +1059788510 +1041097659 +1924992176 +1033314145 +1518540486 +1029213150 +640654749 +39257655 +946183455 +2008655499 +2072161360 +1452079160 +1178930665 +227903720 +1644401204 +1706040004 +989037674 +1543993802 +1351836156 +1276060368 +443428761 +88834575 +633225531 +105027757 +1380998042 +903029256 +1066792293 +346242063 +1588528862 +130896117 +267831044 +500833724 +1171993776 +45339572 +1534147869 +543050615 +1074552722 +27318970 +582308270 +2020736177 +2035974470 +506985983 +1325331690 +1067421487 +734889703 +822249246 +625977843 +1723927377 +218759401 +1977813999 +852504097 +662188162 +2066648574 +1485729628 +767215920 +1300162968 +241275237 +1834008213 +1646405031 +1829804099 +1964904331 +1914236076 +183154176 +989414459 +1959575648 +1717302045 +1532465074 +886644723 +1744621016 +2114773345 +759897252 +1633111838 +474275680 +2085228942 +553049677 +1209165383 +759994541 +1179027520 +785609112 +978753942 +1009357871 +1638113209 +1640942104 +928522798 +976359190 +260674376 +81202118 +1217634427 +2094682590 +1727607150 +899954878 +1912103273 +1494359578 +1083109054 +754034084 +1306451578 +652927452 +139015511 +45612653 +250064820 +106305208 +805509906 +1883176658 +580580888 +743255200 +288742687 +1789746271 +1503249741 +1467770207 +427871735 +334520035 +329644430 +2065984944 +1975462140 +1258167228 +894860486 +88652868 +1339369347 +2112494913 +35851810 +919492849 +864966144 +1947955083 +266368779 +1948075198 +554505520 +1572820357 +453519002 +693521031 +1618433011 +703583822 +799826239 +276459269 +439276832 +1380407127 +1019714469 +728019519 +1022669750 +375480563 +48306078 +1450541485 +710000598 +377950509 +1369042781 +537979090 +1636117737 +116419620 +626631959 +828003436 +81430885 +662483769 +1747496285 +946397029 +462955205 +2013865064 +746988580 +1017460725 +1439201774 +1200507582 +1710981756 +910151137 +1904091405 +363324347 +1186610406 +195884589 +1743731474 +58841227 +923904109 +618917576 +434321790 +972210187 +2069459061 +1144322389 +1350160696 +1291018194 +1682301479 +838794786 +1407437814 +161449790 +1666798222 +1488868700 +823933560 +1266810860 +287782081 +1286888765 +1133192276 +1034770661 +156865842 +424910402 +87794596 +1867847598 +1335061539 +1991886001 +83688297 +374188297 +40286942 +1827419771 +433029525 +964191051 +298853699 +867351315 +1936401239 +220829112 +2011673704 +1139078287 +1511847306 +1546491536 +1977873073 +771801473 +1707941326 +1497187648 +113186525 +384391238 +616514860 +400968606 +1671280003 +1749707136 +1435739268 +1828145845 +27133891 +1523533864 +1548509795 +1362195430 +1367936217 +1632198092 +1736383728 +1408223159 +1312134215 +21929605 +224930563 +1610987914 +889280920 +13848154 +1831817026 +753470977 +1152926441 +1196180685 +152478865 +983315867 +1967982158 +1860420191 +333019867 +2081168683 +97327782 +949534727 +334653641 +1768607785 +551758215 +1770392909 +1449269983 +578892106 +1146443125 +850296130 +1941087537 +366895694 +335010575 +1529987617 +1775118854 +1647144790 +1551917222 +2000049417 +1110649057 +293714494 +2013897571 +794982435 +1047185471 +1019340364 +1991163120 +1199664336 +2002656231 +1811661630 +912600880 +188192450 +1745346665 +1009928662 +1137727177 +2080000307 +631052799 +1689485393 +1702909568 +2080322782 +120893851 +701869046 +783135265 +2061981388 +1068764740 +1118145840 +1444485357 +696399946 +617806982 +848918931 +548965715 +1728456039 +1142633426 +415379638 +375954827 +42335249 +1434720003 +219634299 +1241999586 +1289892586 +2031295930 +7116818 +1478085037 +1629158947 +1017045480 +468328566 +1561675606 +1648098279 +10330311 +1117101527 +1580937414 +131224163 +1818970573 +216589031 +45721903 +740251665 +1334734871 +1490207261 +1436651612 +1952541853 +191642544 +1985617327 +1533514245 +1334275970 +253513318 +1909469072 +1376611220 +1688233321 +2129103371 +471127158 +830642259 +2012915653 +478243976 +161243648 +1494590953 +1495289456 +629572215 +908782911 +995904087 +639902526 +2025884438 +429357853 +771126689 diff --git a/CPE435/Lab9/rand b/CPE435/Lab9/rand new file mode 100755 index 0000000..09194a4 Binary files /dev/null and b/CPE435/Lab9/rand differ diff --git a/CPE435/Lab9/rand.c b/CPE435/Lab9/rand.c new file mode 100644 index 0000000..4c5c7bb --- /dev/null +++ b/CPE435/Lab9/rand.c @@ -0,0 +1,15 @@ +#include +#include + +// Generate 1 million random 32-bit integers. + +int main (int argc, char **argv) { + rand(); + FILE *ptr = fopen(argv[1], "w"); + int i, n; + n = atoi(argv[2]); + for (i = 0; i < n; i++) { + int x = (int)rand(); + fprintf(ptr,"%i\n", x); + } +} \ No newline at end of file diff --git a/CPE435/Lab9/rapl-read b/CPE435/Lab9/rapl-read new file mode 100755 index 0000000..2721406 Binary files /dev/null and b/CPE435/Lab9/rapl-read differ diff --git a/CPE435/Lab9/rapl-read.c b/CPE435/Lab9/rapl-read.c new file mode 100644 index 0000000..835e211 --- /dev/null +++ b/CPE435/Lab9/rapl-read.c @@ -0,0 +1,1121 @@ +/* Read the RAPL registers on recent (>sandybridge) Intel processors */ +/* */ +/* There are currently three ways to do this: */ +/* 1. Read the MSRs directly with /dev/cpu/??/msr */ +/* 2. Use the perf_event_open() interface */ +/* 3. Read the values from the sysfs powercap interface */ +/* */ +/* MSR Code originally based on a (never made it upstream) linux-kernel */ +/* RAPL driver by Zhang Rui */ +/* https://lkml.org/lkml/2011/5/26/93 */ +/* Additional contributions by: */ +/* Romain Dolbeau -- romain @ dolbeau.org */ +/* */ +/* For raw MSR access the /dev/cpu/??/msr driver must be enabled and */ +/* permissions set to allow read access. */ +/* You might need to "modprobe msr" before it will work. */ +/* */ +/* perf_event_open() support requires at least Linux 3.14 and to have */ +/* /proc/sys/kernel/perf_event_paranoid < 1 */ +/* */ +/* the sysfs powercap interface got into the kernel in */ +/* 2d281d8196e38dd (3.13) */ +/* */ +/* Compile with: gcc -O2 -Wall -o rapl-read rapl-read.c -lm */ +/* */ +/* Vince Weaver -- vincent.weaver @ maine.edu -- 11 September 2015 */ +/* */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include + +#define MSR_RAPL_POWER_UNIT 0x606 + +/* + * Platform specific RAPL Domains. + * Note that PP1 RAPL Domain is supported on 062A only + * And DRAM RAPL Domain is supported on 062D only + */ +/* Package RAPL Domain */ +#define MSR_PKG_RAPL_POWER_LIMIT 0x610 +#define MSR_PKG_ENERGY_STATUS 0x611 +#define MSR_PKG_PERF_STATUS 0x613 +#define MSR_PKG_POWER_INFO 0x614 + +/* PP0 RAPL Domain */ +#define MSR_PP0_POWER_LIMIT 0x638 +#define MSR_PP0_ENERGY_STATUS 0x639 +#define MSR_PP0_POLICY 0x63A +#define MSR_PP0_PERF_STATUS 0x63B + +/* PP1 RAPL Domain, may reflect to uncore devices */ +#define MSR_PP1_POWER_LIMIT 0x640 +#define MSR_PP1_ENERGY_STATUS 0x641 +#define MSR_PP1_POLICY 0x642 + +/* DRAM RAPL Domain */ +#define MSR_DRAM_POWER_LIMIT 0x618 +#define MSR_DRAM_ENERGY_STATUS 0x619 +#define MSR_DRAM_PERF_STATUS 0x61B +#define MSR_DRAM_POWER_INFO 0x61C + +/* PSYS RAPL Domain */ +#define MSR_PLATFORM_ENERGY_STATUS 0x64d + +/* RAPL UNIT BITMASK */ +#define POWER_UNIT_OFFSET 0 +#define POWER_UNIT_MASK 0x0F + +#define ENERGY_UNIT_OFFSET 0x08 +#define ENERGY_UNIT_MASK 0x1F00 + +#define TIME_UNIT_OFFSET 0x10 +#define TIME_UNIT_MASK 0xF000 + +#define quickArraySize 1000000 + +//int arrQuick[quickArraySize]; +struct timeval tv1,tv2; + +#define TIMER_CLEAR (tv1.tv_sec = tv1.tv_usec = tv2.tv_sec = tv2.tv_usec = 0) +#define TIMER_START gettimeofday(&tv1, (struct timezone*)0) +#define TIMER_ELAPSED (double) (tv2.tv_usec-tv1.tv_usec)/1000000.0+(tv2.tv_sec-tv1.tv_sec) +#define TIMER_STOP gettimeofday(&tv2, (struct timezone*)0) + +// function to swap elements +void swap(int *a, int *b); + +// function to find the partition position +int partition(int array[], int low, long int high) { + + // select the rightmost element as pivot + int pivot = array[high]; + + // pointer for greater element + int i = (low - 1); + int j; + // traverse each element of the array + // compare them with the pivot + for (j = low; j < high; j++) { + if (array[j] <= pivot) { + + // if element smaller than pivot is found + // swap it with the greater element pointed by i + i++; + + // swap element at i with element at j + swap(&array[i], &array[j]); + } + } + + // swap the pivot element with the greater element at i + swap(&array[i + 1], &array[high]); + + // return the partition point + return (i + 1); +} + +void quickSort(int array[], int low, long int high) { + if (low < high) { + + // find the pivot element such that + // elements smaller than pivot are on left of pivot + // elements greater than pivot are on right of pivot + int pi = partition(array, low, high); + + // recursive call on the left of pivot + quickSort(array, low, pi - 1); + + // recursive call on the right of pivot + quickSort(array, pi + 1, high); + } +} + +void insertionSort(int array[], long int size) { + int step; + for (step = 1; step < size; step++) { + int key = array[step]; + int j = step - 1; + + // Compare key with each element on the left of it until an element smaller than + // it is found. + // For descending order, change keyarray[j]. + while (key < array[j] && j >= 0) { + array[j + 1] = array[j]; + --j; + } + array[j + 1] = key; + } +} + +// Merges two subarrays of arr[]. +// First subarray is arr[l..m] +// Second subarray is arr[m+1..r] +void merge(int arr[], long int l, long int m, long int r) +{ + long int i, j, k; + long int n1 = m - l + 1; + long int n2 = r - m; + + /* create temp arrays */ + long int L[n1], R[n2]; + + /* Copy data to temp arrays L[] and R[] */ + for (i = 0; i < n1; i++) + L[i] = arr[l + i]; + for (j = 0; j < n2; j++) + R[j] = arr[m + 1 + j]; + + /* Merge the temp arrays back into arr[l..r]*/ + i = 0; // Initial index of first subarray + j = 0; // Initial index of second subarray + k = l; // Initial index of merged subarray + while (i < n1 && j < n2) { + if (L[i] <= R[j]) { + arr[k] = L[i]; + i++; + } + else { + arr[k] = R[j]; + j++; + } + k++; + } + + /* Copy the remaining elements of L[], if there + are any */ + while (i < n1) { + arr[k] = L[i]; + i++; + k++; + } + + /* Copy the remaining elements of R[], if there + are any */ + while (j < n2) { + arr[k] = R[j]; + j++; + k++; + } +} + +/* l is for left index and r is right index of the +sub-array of arr to be sorted */ +void mergeSort(int arr[], long int l, long int r) +{ + if (l < r) { + // Same as (l+r)/2, but avoids overflow for + // large l and h + long int m = l + (r - l) / 2; + + // Sort first and second halves + mergeSort(arr, l, m); + mergeSort(arr, m + 1, r); + + merge(arr, l, m, r); + } +} + +bool sort_verify(int a[], long int size) { + long int i; + bool comp; + for(i=0;i=a[i]) + comp = true; + else comp = false; + } + return comp; +} + +void top_level(long int n); + + + +static int open_msr(int core) { + + char msr_filename[BUFSIZ]; + int fd; + + sprintf(msr_filename, "/dev/cpu/%d/msr", core); + fd = open(msr_filename, O_RDONLY); + if ( fd < 0 ) { + if ( errno == ENXIO ) { + fprintf(stderr, "rdmsr: No CPU %d\n", core); + exit(2); + } else if ( errno == EIO ) { + fprintf(stderr, "rdmsr: CPU %d doesn't support MSRs\n", + core); + exit(3); + } else { + perror("rdmsr:open"); + fprintf(stderr,"Trying to open %s\n",msr_filename); + exit(127); + } + } + + return fd; +} + +static long long read_msr(int fd, int which) { + + uint64_t data; + + if ( pread(fd, &data, sizeof data, which) != sizeof data ) { + perror("rdmsr:pread"); + exit(127); + } + + return (long long)data; +} + +#define CPU_SANDYBRIDGE 42 +#define CPU_SANDYBRIDGE_EP 45 +#define CPU_IVYBRIDGE 58 +#define CPU_IVYBRIDGE_EP 62 +#define CPU_HASWELL 60 +#define CPU_HASWELL_ULT 69 +#define CPU_HASWELL_GT3E 70 +#define CPU_HASWELL_EP 63 +#define CPU_BROADWELL 61 +#define CPU_BROADWELL_GT3E 71 +#define CPU_BROADWELL_EP 79 +#define CPU_BROADWELL_DE 86 +#define CPU_SKYLAKE 78 +#define CPU_SKYLAKE_HS 94 +#define CPU_SKYLAKE_X 85 +#define CPU_KNIGHTS_LANDING 87 +#define CPU_KNIGHTS_MILL 133 +#define CPU_KABYLAKE_MOBILE 142 +#define CPU_KABYLAKE 158 +#define CPU_ATOM_SILVERMONT 55 +#define CPU_ATOM_AIRMONT 76 +#define CPU_ATOM_MERRIFIELD 74 +#define CPU_ATOM_MOOREFIELD 90 +#define CPU_ATOM_GOLDMONT 92 +#define CPU_ATOM_GEMINI_LAKE 122 +#define CPU_ATOM_DENVERTON 95 + +/* TODO: on Skylake, also may support PSys "platform" domain, */ +/* the whole SoC not just the package. */ +/* see dcee75b3b7f025cc6765e6c92ba0a4e59a4d25f4 */ + +static int detect_cpu(void) { + + FILE *fff; + + int family,model=-1; + char buffer[BUFSIZ],*result; + char vendor[BUFSIZ]; + + fff=fopen("/proc/cpuinfo","r"); + if (fff==NULL) return -1; + + while(1) { + result=fgets(buffer,BUFSIZ,fff); + if (result==NULL) break; + + if (!strncmp(result,"vendor_id",8)) { + sscanf(result,"%*s%*s%s",vendor); + + if (strncmp(vendor,"GenuineIntel",12)) { + printf("%s not an Intel chip\n",vendor); + return -1; + } + } + + if (!strncmp(result,"cpu family",10)) { + sscanf(result,"%*s%*s%*s%d",&family); + if (family!=6) { + printf("Wrong CPU family %d\n",family); + return -1; + } + } + + if (!strncmp(result,"model",5)) { + sscanf(result,"%*s%*s%d",&model); + } + + } + + fclose(fff); + + printf("Found "); + + switch(model) { + case CPU_SANDYBRIDGE: + printf("Sandybridge"); + break; + case CPU_SANDYBRIDGE_EP: + printf("Sandybridge-EP"); + break; + case CPU_IVYBRIDGE: + printf("Ivybridge"); + break; + case CPU_IVYBRIDGE_EP: + printf("Ivybridge-EP"); + break; + case CPU_HASWELL: + case CPU_HASWELL_ULT: + case CPU_HASWELL_GT3E: + printf("Haswell"); + break; + case CPU_HASWELL_EP: + printf("Haswell-EP"); + break; + case CPU_BROADWELL: + case CPU_BROADWELL_GT3E: + printf("Broadwell"); + break; + case CPU_BROADWELL_EP: + printf("Broadwell-EP"); + break; + case CPU_SKYLAKE: + case CPU_SKYLAKE_HS: + printf("Skylake"); + break; + case CPU_SKYLAKE_X: + printf("Skylake-X"); + break; + case CPU_KABYLAKE: + case CPU_KABYLAKE_MOBILE: + printf("Kaby Lake"); + break; + case CPU_KNIGHTS_LANDING: + printf("Knight's Landing"); + break; + case CPU_KNIGHTS_MILL: + printf("Knight's Mill"); + break; + case CPU_ATOM_GOLDMONT: + case CPU_ATOM_GEMINI_LAKE: + case CPU_ATOM_DENVERTON: + printf("Atom"); + break; + default: + printf("Unsupported model %d\n",model); + model=-1; + break; + } + + printf(" Processor type\n"); + + return model; +} + +#define MAX_CPUS 1024 +#define MAX_PACKAGES 16 + +static int total_cores=0,total_packages=0; +static int package_map[MAX_PACKAGES]; + +static int detect_packages(void) { + + char filename[BUFSIZ]; + FILE *fff; + int package; + int i; + + for(i=0;i>8)&0x1f)); + time_units=pow(0.5,(double)((result>>16)&0xf)); + + /* On Haswell EP and Knights Landing */ + /* The DRAM units differ from the CPU ones */ + if (different_units) { + dram_energy_units[j]=pow(0.5,(double)16); + printf("DRAM: Using %lf instead of %lf\n", + dram_energy_units[j],cpu_energy_units[j]); + } + else { + dram_energy_units[j]=cpu_energy_units[j]; + } + + printf("\t\tPower units = %.3fW\n",power_units); + printf("\t\tCPU Energy units = %.8fJ\n",cpu_energy_units[j]); + printf("\t\tDRAM Energy units = %.8fJ\n",dram_energy_units[j]); + printf("\t\tTime units = %.8fs\n",time_units); + printf("\n"); + + /* Show package power info */ + result=read_msr(fd,MSR_PKG_POWER_INFO); + thermal_spec_power=power_units*(double)(result&0x7fff); + printf("\t\tPackage thermal spec: %.3fW\n",thermal_spec_power); + minimum_power=power_units*(double)((result>>16)&0x7fff); + printf("\t\tPackage minimum power: %.3fW\n",minimum_power); + maximum_power=power_units*(double)((result>>32)&0x7fff); + printf("\t\tPackage maximum power: %.3fW\n",maximum_power); + time_window=time_units*(double)((result>>48)&0x7fff); + printf("\t\tPackage maximum time window: %.6fs\n",time_window); + + /* Show package power limit */ + result=read_msr(fd,MSR_PKG_RAPL_POWER_LIMIT); + printf("\t\tPackage power limits are %s\n", (result >> 63) ? "locked" : "unlocked"); + double pkg_power_limit_1 = power_units*(double)((result>>0)&0x7FFF); + double pkg_time_window_1 = time_units*(double)((result>>17)&0x007F); + printf("\t\tPackage power limit #1: %.3fW for %.6fs (%s, %s)\n", + pkg_power_limit_1, pkg_time_window_1, + (result & (1LL<<15)) ? "enabled" : "disabled", + (result & (1LL<<16)) ? "clamped" : "not_clamped"); + double pkg_power_limit_2 = power_units*(double)((result>>32)&0x7FFF); + double pkg_time_window_2 = time_units*(double)((result>>49)&0x007F); + printf("\t\tPackage power limit #2: %.3fW for %.6fs (%s, %s)\n", + pkg_power_limit_2, pkg_time_window_2, + (result & (1LL<<47)) ? "enabled" : "disabled", + (result & (1LL<<48)) ? "clamped" : "not_clamped"); + + /* only available on *Bridge-EP */ + if ((cpu_model==CPU_SANDYBRIDGE_EP) || (cpu_model==CPU_IVYBRIDGE_EP)) { + result=read_msr(fd,MSR_PKG_PERF_STATUS); + double acc_pkg_throttled_time=(double)result*time_units; + printf("\tAccumulated Package Throttled Time : %.6fs\n", + acc_pkg_throttled_time); + } + + /* only available on *Bridge-EP */ + if ((cpu_model==CPU_SANDYBRIDGE_EP) || (cpu_model==CPU_IVYBRIDGE_EP)) { + result=read_msr(fd,MSR_PP0_PERF_STATUS); + double acc_pp0_throttled_time=(double)result*time_units; + printf("\tPowerPlane0 (core) Accumulated Throttled Time " + ": %.6fs\n",acc_pp0_throttled_time); + + result=read_msr(fd,MSR_PP0_POLICY); + int pp0_policy=(int)result&0x001f; + printf("\tPowerPlane0 (core) for core %d policy: %d\n",core,pp0_policy); + + } + + + if (pp1_avail) { + result=read_msr(fd,MSR_PP1_POLICY); + int pp1_policy=(int)result&0x001f; + printf("\tPowerPlane1 (on-core GPU if avail) %d policy: %d\n", + core,pp1_policy); + } + close(fd); + + } + printf("\n"); + + for(j=0;j0) { + printf("\t/proc/sys/kernel/perf_event_paranoid is %d\n",paranoid_value); + printf("\tThe value must be 0 or lower to read system-wide RAPL values\n"); + } + + printf("\tPermission denied; run as root or adjust paranoid value\n\n"); + return -1; + } + else { + printf("\terror opening core %d config %d: %s\n\n", + package_map[j], config[i], strerror(errno)); + return -1; + } + } + } + } + + printf("\n\tSleeping 1 second\n\n"); + sleep(1); + + for(j=0;j +main() +{ + int array[1000][1000]; + int i,j; + for(i=0;i<1000;i++) + for(j=0;j<1000;j++) + array[i][j]=0; + cout << "array[0][0] was " << array[0][0] << endl; +} diff --git a/CPE435/Lab9/test2 b/CPE435/Lab9/test2 new file mode 100755 index 0000000..fee89cf Binary files /dev/null and b/CPE435/Lab9/test2 differ diff --git a/CPE435/Lab9/test2.cpp b/CPE435/Lab9/test2.cpp new file mode 100644 index 0000000..6eca21a --- /dev/null +++ b/CPE435/Lab9/test2.cpp @@ -0,0 +1,15 @@ +/* +File: test2.cpp +compile as g++ test2.cpp -o test2 +*/ +using namespace std; +#include +main() +{ + int array[1000][1000]; + int i,j; + for(i=0;i<1000;i++) + for(j=0;j<1000;j++) + array[j][i]=0; + cout << "array[0][0] was " << array[0][0] << endl; +} diff --git a/CPE435/Lab9/test3 b/CPE435/Lab9/test3 new file mode 100755 index 0000000..a9bf706 Binary files /dev/null and b/CPE435/Lab9/test3 differ diff --git a/CPE435/Lab9/test3.c b/CPE435/Lab9/test3.c new file mode 100644 index 0000000..f49673d --- /dev/null +++ b/CPE435/Lab9/test3.c @@ -0,0 +1,7 @@ +//file: test 3 compile as test3 +#include +int main() +{ + char *x = (char*)malloc(100); /* or, in C++, "char *x = new char[100] */ + return 0; +} diff --git a/CPE435/Lab9/test4 b/CPE435/Lab9/test4 new file mode 100755 index 0000000..8f28fb7 Binary files /dev/null and b/CPE435/Lab9/test4 differ diff --git a/CPE435/Lab9/test4.c b/CPE435/Lab9/test4.c new file mode 100644 index 0000000..80edf43 --- /dev/null +++ b/CPE435/Lab9/test4.c @@ -0,0 +1,8 @@ +//file: test4 compile as test4 +#include +int main() +{ + char *x = (char*)malloc(10); + x[10] = 'a'; + return 0; +} diff --git a/CPE435/Lab9/test5 b/CPE435/Lab9/test5 new file mode 100755 index 0000000..d243583 Binary files /dev/null and b/CPE435/Lab9/test5 differ diff --git a/CPE435/Lab9/test5.c b/CPE435/Lab9/test5.c new file mode 100644 index 0000000..9e13359 --- /dev/null +++ b/CPE435/Lab9/test5.c @@ -0,0 +1,11 @@ +//file: test5 compile as test5 +#include +int main() +{ + int x; + if(x == 0) + { + printf("X is zero"); /* replace with cout and include iostream for C++ */ + } + return 0; +} diff --git a/CPE455/.vscode/configurationCache.log b/CPE455/.vscode/configurationCache.log new file mode 100644 index 0000000..a27a703 --- /dev/null +++ b/CPE455/.vscode/configurationCache.log @@ -0,0 +1 @@ +{"buildTargets":["make"],"launchTargets":[],"customConfigurationProvider":{"workspaceBrowse":{"browsePath":[],"compilerArgs":[]},"fileIndex":[]}} \ No newline at end of file diff --git a/CPE455/.vscode/dryrun.log b/CPE455/.vscode/dryrun.log new file mode 100644 index 0000000..e5e73f0 --- /dev/null +++ b/CPE455/.vscode/dryrun.log @@ -0,0 +1,6 @@ +make --dry-run --always-make --keep-going --print-directory +make: Entering directory `/home/student/anw0044/CPE455' +make: Leaving directory `/home/student/anw0044/CPE455' + +make: *** No targets specified and no makefile found. Stop. + diff --git a/CPE455/.vscode/settings.json b/CPE455/.vscode/settings.json new file mode 100644 index 0000000..65e1ec0 --- /dev/null +++ b/CPE455/.vscode/settings.json @@ -0,0 +1,3 @@ +{ + "makefile.extensionOutputFolder": "./.vscode" +} \ No newline at end of file diff --git a/CPE455/.vscode/targets.log b/CPE455/.vscode/targets.log new file mode 100644 index 0000000..5d35af6 --- /dev/null +++ b/CPE455/.vscode/targets.log @@ -0,0 +1,307 @@ +make all --print-data-base --no-builtin-variables --no-builtin-rules --question +# GNU Make 3.82 +# Built for x86_64-redhat-linux-gnu +# Copyright (C) 2010 Free Software Foundation, Inc. +# License GPLv3+: GNU GPL version 3 or later +# This is free software: you are free to change and redistribute it. +# There is NO WARRANTY, to the extent permitted by law. + +# Make data base, printed on Sun Apr 17 17:47:25 2022 + +# Variables + +# automatic + +#include +#include + +#define BUFFER_SIZE 20 + +int main() +{ + char correctPassword[BUFFER_SIZE]; + char suppliedPassword[BUFFER_SIZE]; + + printf("\nEnter the password now: "); + strncpy(correctPassword, "aaaaabbbbbccccc", BUFFER_SIZE); + gets(suppliedPassword); + printf("\n"); + + if (strncmp(suppliedPassword, correctPassword, BUFFER_SIZE) == 0) + { + printf("Login successful\n\n"); + } + else + { + printf("Login failure\n\n"); + } + + printf("suppliedPassword: %s\n", suppliedPassword); + printf("correctPassword: %s\n", correctPassword); + + return 0; +} + + diff --git a/CPE455/Quiz-Bravo/makefile b/CPE455/Quiz-Bravo/makefile new file mode 100644 index 0000000..5e01fd2 --- /dev/null +++ b/CPE455/Quiz-Bravo/makefile @@ -0,0 +1,18 @@ +# +# bapp.c makefile +# + +CC = gcc +CFLAGS = -g + +vulnerable_bapp: bapp.c + $(CC) $(CFLAGS) -fno-stack-protector -z execstack bapp.c -o vulnerable_bapp + +protected_bapp: bapp.c + $(CC) $(CFLAGS) -fstack-protector -z noexecstack bapp.c -o protected_bapp + +clean: + rm vulnerable_bapp protected_bapp + + + diff --git a/CPE455/Quiz-Bravo/protected.txt b/CPE455/Quiz-Bravo/protected.txt new file mode 100644 index 0000000..c30e4af --- /dev/null +++ b/CPE455/Quiz-Bravo/protected.txt @@ -0,0 +1,92 @@ +Script started on Thu 24 Feb 2022 10:16:22 AM CST +[?1034hbash-4.2$ ./protected_bapp + +Enter the password now: aaaa + +Login failure + +suppliedPassword: aaaa +correctPassword: aaaaabbbbbccccc +bash-4.2$ ./protected_bapp + +Enter the password now: aaaaabbbbbccccc + +Login successful + +suppliedPassword: aaaaabbbbbccccc +correctPassword: aaaaabbbbbccccc +bash-4.2$ perl -e 'print "a"x90' | ./protected_bapp + +Enter the password now: +Login failure + +suppliedPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +correctPassword: aaaaabbbbbccccc +*** stack smashing detected ***: ./protected_bapp terminated +Segmentation fault +bash-4.2$ gdb ./protected_bapp +[?1034hGNU gdb (GDB) Red Hat Enterprise Linux 7.6.1-120.el7 +Copyright (C) 2013 Free Software Foundation, Inc. +License GPLv3+: GNU GPL version 3 or later +This is free software: you are free to change and redistribute it. +There is NO WARRANTY, to the extent permitted by law. Type "show copying" +and "show warranty" for details. +This GDB was configured as "x86_64-redhat-linux-gnu". +For bug reporting instructions, please see: +... +Reading symbols from /home/student/anw0044/CPE455/Quiz-Bravo/protected_bapp...done. +(gdb) break main +Breakpoint 1 at 0x400716: file bapp.c, line 13. +(gdb) run +Starting program: /home/student/anw0044/CPE455/Quiz-Bravo/./protected_bapp + +Breakpoint 1, main () at bapp.c:13 +13 { +Missing separate debuginfos, use: debuginfo-install glibc-2.17-325.el7_9.x86_64 +(gdb) info locals +correctPassword = "\000\000\000\000\000\000\000\000\377\265\360\000\000\000\000\000\001\000\000" +suppliedPassword = "\320\340\377\377\377\177\000\000\000\000\000\000\000\000\000\000\340\a@" +(gdb) rstep +17 printf("\nEnter the password now: "); +(gdb) instepinfo locals +correctPassword = "\000\000\000\000\000\000\000\000\377\265\360\000\000\000\000\000\001\000\000" +suppliedPassword = "\320\340\377\377\377\177\000\000\000\000\000\000\000\000\000\000\340\a@" +(gdb) info locals step + +18 strncpy(correctPassword, "aaaaabbbbbccccc", BUFFER_SIZE); +(gdb) stepinfo locals +correctPassword = "\000\000\000\000\000\000\000\000\377\265\360\000\000\000\000\000\001\000\000" +suppliedPassword = "\320\340\377\377\377\177\000\000\000\000\000\000\000\000\000\000\340\a@" +(gdb) info locals step +19 gets(suppliedPassword); +(gdb) stepinfo locals +correctPassword = "aaaaabbbbbccccc\000\000\000\000" +suppliedPassword = "\320\340\377\377\377\177\000\000\000\000\000\000\000\000\000\000\340\a@" +(gdb) info locals step +Enter the password now: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +20 printf("\n"); +(gdb) stepinfo locals +correctPassword = "aaaaabbbbbccccc\000\000\000\000" +suppliedPassword = 'a' +(gdb) info locals stepinfo locals stepinfo locals continue +Continuing. + +Login failure + +suppliedPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +correctPassword: aaaaabbbbbccccc +*** stack smashing detected ***: /home/student/anw0044/CPE455/Quiz-Bravo/./protected_bapp terminated + +Program received signal SIGSEGV, Segmentation fault. +0x00007ffff78060f8 in ?? () from /lib64/libgcc_s.so.1 +Missing separate debuginfos, use: debuginfo-install libgcc-4.8.5-39.el7.x86_64 libgcc-4.8.5-44.el7.x86_64 +(gdb) quit +A debugging session is active. + + Inferior 1 [process 6749] will be killed. + +Quit anyway? (y or n) y +bash-4.2$ exit +exit + +Script done on Thu 24 Feb 2022 10:19:55 AM CST diff --git a/CPE455/Quiz-Bravo/protected_bapp b/CPE455/Quiz-Bravo/protected_bapp new file mode 100755 index 0000000..7856a34 Binary files /dev/null and b/CPE455/Quiz-Bravo/protected_bapp differ diff --git a/CPE455/Quiz-Bravo/vulnerable.txt b/CPE455/Quiz-Bravo/vulnerable.txt new file mode 100644 index 0000000..223d557 --- /dev/null +++ b/CPE455/Quiz-Bravo/vulnerable.txt @@ -0,0 +1,94 @@ +Script started on Thu 24 Feb 2022 10:08:08 AM CST +[?1034hbash-4.2$ ./vulnerable_bapp ` + +Enter the password now: aaaa + +Login failure + +suppliedPassword: aaaa +correctPassword: aaaaabbbbbccccc +bash-4.2$ ./vulnerable_bapp + +Enter the password now: aaaaabbbbbccccc + +Login successful + +suppliedPassword: aaaaabbbbbccccc +correctPassword: aaaaabbbbbccccc +bash-4.2$ perl -e 'print "a"x90' | ./vulnerable_bapp + +Enter the password now: +Login successful + +suppliedPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +correctPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +Segmentation fault +bash-4.2$ perl -e 'print "a"x90' | ./protected_bapp + +Enter the password now: +Login failure + +suppliedPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +correctPassword: aaaaabbbbbccccc +*** stack smashing detected ***: ./protected_bapp terminated +Segmentation fault +bash-4.2$ gdb ./vulnerable_bapp +[?1034hGNU gdb (GDB) Red Hat Enterprise Linux 7.6.1-120.el7 +Copyright (C) 2013 Free Software Foundation, Inc. +License GPLv3+: GNU GPL version 3 or later +This is free software: you are free to change and redistribute it. +There is NO WARRANTY, to the extent permitted by law. Type "show copying" +and "show warranty" for details. +This GDB was configured as "x86_64-redhat-linux-gnu". +For bug reporting instructions, please see: +... +Reading symbols from /home/student/anw0044/CPE455/Quiz-Bravo/vulnerable_bapp...done. +(gdb) (gdb) (gdb) break main +Breakpoint 1 at 0x4006a5: file bapp.c, line 17. +(gdb) run +Starting program: /home/student/anw0044/CPE455/Quiz-Bravo/./vulnerable_bapp + +Breakpoint 1, main () at bapp.c:17 +17 printf("\nEnter the password now: "); +Missing separate debuginfos, use: debuginfo-install glibc-2.17-325.el7_9.x86_64 +(gdb) info locals +correctPassword = "P\a@\000\000\000\000\000\260\005@\000\000\000\000\000\260\341\377\377" +suppliedPassword = "\001\000\000\000\000\000\000\000\235\a@\000\000\000\000\000\320\340\377\377" +(gdb) step + +18 strncpy(correctPassword, "aaaaabbbbbccccc", BUFFER_SIZE); +(gdb) stepinfo locals +correctPassword = "P\a@\000\000\000\000\000\260\005@\000\000\000\000\000\260\341\377\377" +suppliedPassword = "\001\000\000\000\000\000\000\000\235\a@\000\000\000\000\000\320\340\377\377" +(gdb) info locals step +19 gets(suppliedPassword); +(gdb) stepinfo locals +correctPassword = "aaaaabbbbbccccc\000\000\000\000" +suppliedPassword = "\001\000\000\000\000\000\000\000\235\a@\000\000\000\000\000\320\340\377\377" +(gdb) info locals stepinfo locals stepinfo locals runbreak main runinfo locals stepinfo locals stepinfo locals step +Enter the password now: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +20 printf("\n"); +(gdb) stepinfo locals +correctPassword = 'a' +suppliedPassword = 'a' +(gdb) continue +Continuing. + +Login successful + +suppliedPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +correctPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa + +Program received signal SIGSEGV, Segmentation fault. +0x0000000000400744 in main () at bapp.c:35 +35 } +(gdb) quiyt +A debugging session is active. + + Inferior 1 [process 5306] will be killed. + +Quit anyway? (y or n) y +bash-4.2$ exit +exit + +Script done on Thu 24 Feb 2022 10:16:11 AM CST diff --git a/CPE455/Quiz-Bravo/vulnerable_bapp b/CPE455/Quiz-Bravo/vulnerable_bapp new file mode 100755 index 0000000..030d770 Binary files /dev/null and b/CPE455/Quiz-Bravo/vulnerable_bapp differ diff --git a/CPE455/Quiz-Charlie/README.txt b/CPE455/Quiz-Charlie/README.txt new file mode 100644 index 0000000..e33ea22 --- /dev/null +++ b/CPE455/Quiz-Charlie/README.txt @@ -0,0 +1,15 @@ + +capp.c + +sample code uses scanf( ) + + +Correct password is the following fifteen characters: aaaaabbbbbccccc + + +perl -e 'print "a"x90' | ./vulnerable_capp + +perl -e 'print "a"x90' | ./protected_capp + + + diff --git a/CPE455/Quiz-Charlie/after.txt b/CPE455/Quiz-Charlie/after.txt new file mode 100644 index 0000000..f539acb --- /dev/null +++ b/CPE455/Quiz-Charlie/after.txt @@ -0,0 +1,94 @@ +Script started on Thu 24 Feb 2022 10:37:55 AM CST +[?1034hbash-4.2$ ./vulnerable_capp + +Enter the password now: aaaa + +Login failure + +suppliedPassword: aaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 4 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ ./vulnerable_capp + +Enter the password now: aaaaabbbbbccccc + +Login successful + +suppliedPassword: aaaaabbbbbccccc +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 15 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ perl -e 'print "a"x90' | ./vulnerable_capp + +Enter the password now: +Login failure + +suppliedPassword: aaaaaaaaaaaaaaaaaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 19 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ ./protected_capp + +Enter the password now: aaaa + +Login failure + +suppliedPassword: aaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 4 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ ./protected_capp + +Enter the password now: aaaabbbbcccc + +Login failure + +suppliedPassword: aaaabbbbcccc +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 12 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ ./protected_capp + +Enter the password now: aaaaabbbbbccccc + +Login successful + +suppliedPassword: aaaaabbbbbccccc +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 15 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ perl -e 'print "a"x90' | ./protected_capp + +Enter the password now: +Login failure + +suppliedPassword: aaaaaaaaaaaaaaaaaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 19 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ exit +exit + +Script done on Thu 24 Feb 2022 10:41:07 AM CST diff --git a/CPE455/Quiz-Charlie/before.txt b/CPE455/Quiz-Charlie/before.txt new file mode 100644 index 0000000..e774b6e --- /dev/null +++ b/CPE455/Quiz-Charlie/before.txt @@ -0,0 +1,84 @@ +Script started on Thu 24 Feb 2022 10:34:10 AM CST +[?1034hbash-4.2$ ./vulnerable_capp + +Enter the password now: aaaa + +Login failure + +suppliedPassword: aaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 4 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ ./vulnerable_capp + +Enter the password now: aaaaabbbbbccccc + +Login successful + +suppliedPassword: aaaaabbbbbccccc +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 15 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ perl -e 'print "a"x90' | ./vulnerable_capp + +Enter the password now: +Login successful + +suppliedPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 90 +correctPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +sizeof(correctPassword) = 20 +strlen(correctPassword) = 58 + +Segmentation fault +bash-4.2$ ./protected_capp + +Enter the password now: aaaa + +Login failure + +suppliedPassword: aaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 4 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ ./protected_capp perl -e 'print "a"x90' | ./vulnerable_capp ./protected_capp + +Enter the password now: aaaaabbbbbccccc + +Login successful + +suppliedPassword: aaaaabbbbbccccc +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 15 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +bash-4.2$ perl -e 'print "a"x90' | ./protected_capp + +Enter the password now: +Login failure + +suppliedPassword: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 90 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 + +*** stack smashing detected ***: ./protected_capp terminated +Segmentation fault +bash-4.2$ exit +exit + +Script done on Thu 24 Feb 2022 10:36:25 AM CST diff --git a/CPE455/Quiz-Charlie/capp.c b/CPE455/Quiz-Charlie/capp.c new file mode 100644 index 0000000..565ed7f --- /dev/null +++ b/CPE455/Quiz-Charlie/capp.c @@ -0,0 +1,51 @@ +/* + * capp.c + * + */ + +#include +#include +#include + +#define BUFFER_SIZE 20 + +int main() +{ + char correctPassword[BUFFER_SIZE]; + char suppliedPassword[BUFFER_SIZE]; + + printf("\nEnter the password now: "); + strncpy(correctPassword, "aaaaabbbbbccccc", BUFFER_SIZE); + scanf("%19s", suppliedPassword); + printf("\n"); + + if (strncmp(suppliedPassword, correctPassword, BUFFER_SIZE) == 0) + { + printf("Login successful\n\n"); + } + else + { + printf("Login failure\n\n"); + } + + printf("suppliedPassword: %s\n", suppliedPassword); + printf("sizeof(suppliedPassword) = %d\n", sizeof(suppliedPassword)); + printf("strlen(suppliedPassword) = %d\n", strlen(suppliedPassword)); + + printf("correctPassword: %s\n", correctPassword); + printf("sizeof(correctPassword) = %d\n", sizeof(correctPassword)); + printf("strlen(correctPassword) = %d\n", strlen(correctPassword)); + + char myArray[BUFFER_SIZE]; + scanf("%s", myArray); + printf("myArray: %s\n", myArray); + printf("sizeof(myArray) = %d\n", sizeof(myArray)); + printf("strlen(myArray) = %d\n", strlen(myArray)); + + printf("\n"); + + return 0; +} + + + diff --git a/CPE455/Quiz-Charlie/makefile b/CPE455/Quiz-Charlie/makefile new file mode 100644 index 0000000..6c6a511 --- /dev/null +++ b/CPE455/Quiz-Charlie/makefile @@ -0,0 +1,18 @@ +# +# capp.c makefile +# + +CC = gcc +CFLAGS = -g + +vulnerable_capp: capp.c + $(CC) $(CFLAGS) -fno-stack-protector -z execstack capp.c -o vulnerable_capp + +protected_capp: capp.c + $(CC) $(CFLAGS) -fstack-protector -z noexecstack capp.c -o protected_capp + +clean: + rm vulnerable_capp protected_capp + + + diff --git a/CPE455/Quiz-Charlie/myarray b/CPE455/Quiz-Charlie/myarray new file mode 100755 index 0000000..8982d16 Binary files /dev/null and b/CPE455/Quiz-Charlie/myarray differ diff --git a/CPE455/Quiz-Charlie/protected_capp b/CPE455/Quiz-Charlie/protected_capp new file mode 100755 index 0000000..20bbd09 Binary files /dev/null and b/CPE455/Quiz-Charlie/protected_capp differ diff --git a/CPE455/Quiz-Charlie/result.txt b/CPE455/Quiz-Charlie/result.txt new file mode 100644 index 0000000..621e1b4 --- /dev/null +++ b/CPE455/Quiz-Charlie/result.txt @@ -0,0 +1,44 @@ +Script started on Thu 24 Feb 2022 10:42:50 AM CST +[?1034hbash-4.2$ exitperl -e 'print "a"x90' | ./myarray ./myarray gcc -g -fno-stack-protector -z execstack capp.c -o myarray script after.txt gcc -g -fno-stack-protector -z execstack capp.c -o myarray +gcc: error: capp.c: No such file or directory +gcc: fatal error: no input files +compilation terminated. +bash-4.2$ cd CPEQuiz-C +bash: cd: Quiz-C: No such file or directory +bash-4.2$ cd Quiz-Charlie/ +bash-4.2$ cd Quiz-Charlie/gcc -g -fno-stack-protector -z execstack capp.c -o myarray +bash-4.2$ gcc -g -fno-stack-protector -z execstack capp.c -o myarray cd Quiz-Charlie/gcc -g -fno-stack-protector -z execstack capp.c -o myarray exitperl -e 'print "a"x90' | ./myarray ./myarray  + +Enter the password now: aaaaabbbbbcccccdddddeeeee + +Login failure + +suppliedPassword: aaaaabbbbbcccccdddd +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 19 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 +myArray: deeeee +sizeof(myArray) = 20 +strlen(myArray) = 6 + +bash-4.2$ ./myarray gcc -g -fno-stack-protector -z execstack capp.c -o myarray ./myarray gcc -g -fno-stack-protector -z execstack capp.c -o myarray cd Quiz-Charlie/gcc -g -fno-stack-protector -z execstack capp.c -o myarray exitperl -e 'print "a"x90' | ./myarray + +Enter the password now: +Login failure + +suppliedPassword: aaaaaaaaaaaaaaaaaaa +sizeof(suppliedPassword) = 20 +strlen(suppliedPassword) = 19 +correctPassword: aaaaabbbbbccccc +sizeof(correctPassword) = 20 +strlen(correctPassword) = 15 +myArray: aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa +sizeof(myArray) = 20 +strlen(myArray) = 71 + +bash-4.2$ exit +exit + +Script done on Thu 24 Feb 2022 10:43:39 AM CST diff --git a/CPE455/Quiz-Charlie/vulnerable_capp b/CPE455/Quiz-Charlie/vulnerable_capp new file mode 100755 index 0000000..c5233a8 Binary files /dev/null and b/CPE455/Quiz-Charlie/vulnerable_capp differ diff --git a/CPE455/alpha/.vscode/configurationCache.log b/CPE455/alpha/.vscode/configurationCache.log new file mode 100644 index 0000000..106fa04 --- /dev/null +++ b/CPE455/alpha/.vscode/configurationCache.log @@ -0,0 +1 @@ +{"buildTargets":["clean","fixme","fortified","make","vulnerable"],"launchTargets":[],"customConfigurationProvider":{"workspaceBrowse":{"browsePath":[]},"fileIndex":[]}} \ No newline at end of file diff --git a/CPE455/alpha/.vscode/dryrun.log b/CPE455/alpha/.vscode/dryrun.log new file mode 100644 index 0000000..943d08b --- /dev/null +++ b/CPE455/alpha/.vscode/dryrun.log @@ -0,0 +1,5 @@ +make --dry-run --keep-going --print-directory +make: Entering directory `/home/student/anw0044/CPE455/alpha' +make: `fixme' is up to date. +make: Leaving directory `/home/student/anw0044/CPE455/alpha' + diff --git a/CPE455/alpha/.vscode/settings.json b/CPE455/alpha/.vscode/settings.json new file mode 100644 index 0000000..65e1ec0 --- /dev/null +++ b/CPE455/alpha/.vscode/settings.json @@ -0,0 +1,3 @@ +{ + "makefile.extensionOutputFolder": "./.vscode" +} \ No newline at end of file diff --git a/CPE455/alpha/.vscode/targets.log b/CPE455/alpha/.vscode/targets.log new file mode 100644 index 0000000..c6610b0 --- /dev/null +++ b/CPE455/alpha/.vscode/targets.log @@ -0,0 +1,327 @@ +make all --print-data-base --no-builtin-variables --no-builtin-rules --question +# GNU Make 3.82 +# Built for x86_64-redhat-linux-gnu +# Copyright (C) 2010 Free Software Foundation, Inc. +# License GPLv3+: GNU GPL version 3 or later +# This is free software: you are free to change and redistribute it. +# There is NO WARRANTY, to the extent permitted by law. + +# Make data base, printed on Tue Feb 15 13:54:28 2022 + +# Variables + +# automatic + +#include + +int main(int argc, char* argv[ ]) +{ + char buffer[5]; + + strcpy(buffer, argv[1]); + puts(buffer); + + return 0; +} \ No newline at end of file diff --git a/CPE455/alpha/fortified b/CPE455/alpha/fortified new file mode 100755 index 0000000..094f14d Binary files /dev/null and b/CPE455/alpha/fortified differ diff --git a/CPE455/alpha/vulnerable b/CPE455/alpha/vulnerable new file mode 100755 index 0000000..f7d642c Binary files /dev/null and b/CPE455/alpha/vulnerable differ diff --git a/CPE455/day8/Makefile b/CPE455/day8/Makefile new file mode 100644 index 0000000..ade65d1 --- /dev/null +++ b/CPE455/day8/Makefile @@ -0,0 +1,7 @@ +CC = gcc +CFLAGS = -Wall + +hello: helloworld.o hellofunction.o + $(CC) $(CFLAGS) helloworld.o hellofunction.o -o hello + +hellorun.o: hello \ No newline at end of file diff --git a/CPE455/day8/hellofunction.c b/CPE455/day8/hellofunction.c new file mode 100644 index 0000000..747313a --- /dev/null +++ b/CPE455/day8/hellofunction.c @@ -0,0 +1 @@ +void greetMe(void); \ No newline at end of file diff --git a/CPE455/day8/hellorun.c b/CPE455/day8/hellorun.c new file mode 100644 index 0000000..4e54061 --- /dev/null +++ b/CPE455/day8/hellorun.c @@ -0,0 +1,3 @@ +int main(){ + greetMe(); +} \ No newline at end of file diff --git a/CPE455/day8/helloworld.c b/CPE455/day8/helloworld.c new file mode 100644 index 0000000..a2064d1 --- /dev/null +++ b/CPE455/day8/helloworld.c @@ -0,0 +1,3 @@ +void greetMe(){ + printf("Hello, World!/n"); +} \ No newline at end of file diff --git a/CPE455/gameproject/.svn/entries b/CPE455/gameproject/.svn/entries new file mode 100644 index 0000000..48082f7 --- /dev/null +++ b/CPE455/gameproject/.svn/entries @@ -0,0 +1 @@ +12 diff --git a/CPE455/gameproject/.svn/format b/CPE455/gameproject/.svn/format new file mode 100644 index 0000000..48082f7 --- /dev/null +++ b/CPE455/gameproject/.svn/format @@ -0,0 +1 @@ +12 diff --git a/CPE455/gameproject/.svn/pristine/00/00cc334162c6faba822a59d9b13770f29d4f25d7.svn-base b/CPE455/gameproject/.svn/pristine/00/00cc334162c6faba822a59d9b13770f29d4f25d7.svn-base new file mode 100644 index 0000000..030f32e --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/00/00cc334162c6faba822a59d9b13770f29d4f25d7.svn-base @@ -0,0 +1,16 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +Z 8 10 +P 2 10 +T 8 15 diff --git a/CPE455/gameproject/.svn/pristine/09/09cbd1250a2dabcfe4f3599d7cf586e03cf1669c.svn-base b/CPE455/gameproject/.svn/pristine/09/09cbd1250a2dabcfe4f3599d7cf586e03cf1669c.svn-base new file mode 100644 index 0000000..0acca62 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/09/09cbd1250a2dabcfe4f3599d7cf586e03cf1669c.svn-base @@ -0,0 +1,52 @@ +#include +#include +#include +#include + +int main(int argc, char *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + break; + default: + printf ("Basic error\n"); + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + printf ("So this line won't print without an argument.\n"); + + // possible dynamic allocation of 2D array + char* ptr = malloc((row * col) * sizeof(char)); + + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/0c/0ca93b555585c0929f22579182092b0ccfba00c4.svn-base b/CPE455/gameproject/.svn/pristine/0c/0ca93b555585c0929f22579182092b0ccfba00c4.svn-base new file mode 100644 index 0000000..286572c --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/0c/0ca93b555585c0929f22579182092b0ccfba00c4.svn-base @@ -0,0 +1,290 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); +/*int attron(int attrs); +int COLOR_PAIR(int n); +int wmove(WINDOW *win, int y, int x); +nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((int)(prow + deltaRow) > row) || ((int)(pcol + deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[(int)(prow + deltaRow)][(int)(pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/15/1583e17e6d821a31e5b54078b098b5b6ffce6450.svn-base b/CPE455/gameproject/.svn/pristine/15/1583e17e6d821a31e5b54078b098b5b6ffce6450.svn-base new file mode 100644 index 0000000..9f65343 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/15/1583e17e6d821a31e5b54078b098b5b6ffce6450.svn-base @@ -0,0 +1,283 @@ +#include +#include +#include +#include +#include +#include + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- why */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/15/15f53f3e2cad80b9ecf4f5ffc3d6a68e1e0e5cfc.svn-base b/CPE455/gameproject/.svn/pristine/15/15f53f3e2cad80b9ecf4f5ffc3d6a68e1e0e5cfc.svn-base new file mode 100644 index 0000000..64a7ced --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/15/15f53f3e2cad80b9ecf4f5ffc3d6a68e1e0e5cfc.svn-base @@ -0,0 +1,429 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); +extern int noecho(void); + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to open the file so must use bad one bc we cannot create one ourselves as we are not wizards */ + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to get first char from file and we must use bad one as we cannot make one ourselves */ + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + /* parse maze file, + adding chars to array */ + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &row, &col); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to dynamially allocate memory cannot create own as we lack experience */ + maze = (char**)malloc(row * 8); /* allocate memory for rows of array, 8 reperesents size of char* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to dynamially allocate memory cannot create own as we lack experience */ + maze[i] = malloc(col * 8); /* allocate memory for collumns of array, 8 reperesents size of char* */ + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + /* parse maze */ + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow = 0; + deltaCol = 0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + /* check current player position against maze bounds, + if over the bounds, don't move */ + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + /* check future player position to see if wall; + if it is, stay in the same spot + else move to new postion */ + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + /* check curremt position for treasure, + make treasure position a space, + and increment score */ + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + /* check curremt position for zombie, + end game */ + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + endwin(); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to print score for project, so even though not great have to use bad one */ + printf("Score = %u\n", score); + return 0; + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Y was statically allocated no need to free it */ + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Maze has been freed already valgrind shows no leaks error must have ocurred in analysis */ +} + diff --git a/CPE455/gameproject/.svn/pristine/16/16762facfc9a4f3c92e18dab29656e94ced8e54b.svn-base b/CPE455/gameproject/.svn/pristine/16/16762facfc9a4f3c92e18dab29656e94ced8e54b.svn-base new file mode 100644 index 0000000..ec14a84 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/16/16762facfc9a4f3c92e18dab29656e94ced8e54b.svn-base @@ -0,0 +1,8 @@ +CC = gcc +CFLAGS = -g -Wall --pedantic -ansi --coverage + +game: game.c + $(CC) $(CFLAGS) game.c -o game -l ncurses + +clean: + rm game *.gcda *.gcno *.gcov \ No newline at end of file diff --git a/CPE455/gameproject/.svn/pristine/20/207e4e9215a0545cd5392b1846201b3c6f2581f3.svn-base b/CPE455/gameproject/.svn/pristine/20/207e4e9215a0545cd5392b1846201b3c6f2581f3.svn-base new file mode 100644 index 0000000..4523c42 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/20/207e4e9215a0545cd5392b1846201b3c6f2581f3.svn-base @@ -0,0 +1,126 @@ +#include +#include +#include +#include + +void readMaze(char *arr); +void readPlayer(char *arr); +void readZombie(char *arr); +void readTreasure(char *arr); + +int main(int argc, char *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* maze = malloc((row * col) * sizeof(char)); + readMaze(maze); + break; + case 'P': + //case for player + printf ("Player\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* player = malloc((row * col) * sizeof(char)); + readPlayer(player); + break; + + case 'Z': + //case for zombie + printf ("Zombie\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* zombie = malloc((row * col) * sizeof(char)); + readZombie(zombie); + break; + + case 'T': + //case for treasure + printf ("Treasure\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* treasure = malloc((row * col) * sizeof(char)); + readTreasure(treasure); + break; + default: + //printf ("Basic error\n"); + break; + }; + + for(int i=0;i +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + wmove(W, c1,c2); + waddch(W,'#'); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + break; + case 'Z': + wmove(W, c1,c2); + waddch(W,'Z'); + break; + case 'T': + wmove(W, c1,c2); + waddch(W,'T'); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + do{ + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/2d/2d877cb6b6c940c0577898075d07add36d7263b1.svn-base b/CPE455/gameproject/.svn/pristine/2d/2d877cb6b6c940c0577898075d07add36d7263b1.svn-base new file mode 100644 index 0000000..8b8c36b --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/2d/2d877cb6b6c940c0577898075d07add36d7263b1.svn-base @@ -0,0 +1,270 @@ +#include +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((prow + deltaRow > row) || (pcol + deltaCol > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/30/30471c6243b153a1f5bfd38a922e253a783f48e3.svn-base b/CPE455/gameproject/.svn/pristine/30/30471c6243b153a1f5bfd38a922e253a783f48e3.svn-base new file mode 100644 index 0000000..9cae547 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/30/30471c6243b153a1f5bfd38a922e253a783f48e3.svn-base @@ -0,0 +1,13 @@ +#include + +int main(int argc, char const *argv[]) +{ + printf("Hello, World!\n"); + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + printf ("So this line won't print without an argument.\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/31/31f3426e0bea772955763770a9f3c342efd94edb.svn-base b/CPE455/gameproject/.svn/pristine/31/31f3426e0bea772955763770a9f3c342efd94edb.svn-base new file mode 100644 index 0000000..6a02799 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/31/31f3426e0bea772955763770a9f3c342efd94edb.svn-base @@ -0,0 +1,279 @@ +#include +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((prow + deltaRow > row) || (pcol + deltaCol > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/33/335631b7e8b402171b32d068a5c94ea23720f155.svn-base b/CPE455/gameproject/.svn/pristine/33/335631b7e8b402171b32d068a5c94ea23720f155.svn-base new file mode 100644 index 0000000..004bd88 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/33/335631b7e8b402171b32d068a5c94ea23720f155.svn-base @@ -0,0 +1,14 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +P 2 10 diff --git a/CPE455/gameproject/.svn/pristine/34/34234b18c80689310a25c37e9946dab500872e46.svn-base b/CPE455/gameproject/.svn/pristine/34/34234b18c80689310a25c37e9946dab500872e46.svn-base new file mode 100644 index 0000000..ed56274 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/34/34234b18c80689310a25c37e9946dab500872e46.svn-base @@ -0,0 +1,334 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/35/3517ff93f2b1c4f166831d58b9a98824b098eeca.svn-base b/CPE455/gameproject/.svn/pristine/35/3517ff93f2b1c4f166831d58b9a98824b098eeca.svn-base new file mode 100644 index 0000000..601c4ad --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/35/3517ff93f2b1c4f166831d58b9a98824b098eeca.svn-base @@ -0,0 +1,278 @@ +#include +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((prow + deltaRow > row) || (pcol + deltaCol > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/3d/3d70931b57d57c6ce5a74eb2a8f5d6e57361de7a.svn-base b/CPE455/gameproject/.svn/pristine/3d/3d70931b57d57c6ce5a74eb2a8f5d6e57361de7a.svn-base new file mode 100644 index 0000000..d912bd4 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/3d/3d70931b57d57c6ce5a74eb2a8f5d6e57361de7a.svn-base @@ -0,0 +1,7 @@ +#include + +int main(int argc, char const *argv[]) +{ + printf("Hello, World!\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/3e/3e1d28a283b9370842154f4cd7edbdd60c38fc8d.svn-base b/CPE455/gameproject/.svn/pristine/3e/3e1d28a283b9370842154f4cd7edbdd60c38fc8d.svn-base new file mode 100644 index 0000000..8348d9a --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/3e/3e1d28a283b9370842154f4cd7edbdd60c38fc8d.svn-base @@ -0,0 +1,401 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); +extern int noecho(void); + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/3f/3fcd394dd754a78a701143791a8390ad95fe15ed.svn-base b/CPE455/gameproject/.svn/pristine/3f/3fcd394dd754a78a701143791a8390ad95fe15ed.svn-base new file mode 100644 index 0000000..17cc560 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/3f/3fcd394dd754a78a701143791a8390ad95fe15ed.svn-base @@ -0,0 +1,129 @@ +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + + /* check to see if argument */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + /* print out maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/3f/3fd1a9faf5ab97b1dd8d32921fabd6060396a8d3.svn-base b/CPE455/gameproject/.svn/pristine/3f/3fd1a9faf5ab97b1dd8d32921fabd6060396a8d3.svn-base new file mode 100644 index 0000000..9cad5e2 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/3f/3fd1a9faf5ab97b1dd8d32921fabd6060396a8d3.svn-base @@ -0,0 +1,256 @@ +#include +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + wmove(W, c1,c2); + waddch(W,'Z'); + break; + case 'T': + wmove(W, c1,c2); + waddch(W,'T'); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + prow = prow + deltaRow; + pcol = pcol + deltaCol; + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/45/459f5f88bada382e184f36683a9b382e43642533.svn-base b/CPE455/gameproject/.svn/pristine/45/459f5f88bada382e184f36683a9b382e43642533.svn-base new file mode 100644 index 0000000..6669601 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/45/459f5f88bada382e184f36683a9b382e43642533.svn-base @@ -0,0 +1,21 @@ +M 14 21 +##################### +# # # # +# # # # +# # # # +# ####### ####### +# # +# # +# ########### # +# # # +# # # +# ##### # +# # +# # +##################### +T 8 15 +Z 9 10 +Z 6 11 +T 2 8 +P 2 10 +T 2 12 diff --git a/CPE455/gameproject/.svn/pristine/46/46c5de07e2f42af6b4968ab663fc9ca61dad474c.svn-base b/CPE455/gameproject/.svn/pristine/46/46c5de07e2f42af6b4968ab663fc9ca61dad474c.svn-base new file mode 100644 index 0000000..a519383 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/46/46c5de07e2f42af6b4968ab663fc9ca61dad474c.svn-base @@ -0,0 +1,59 @@ +#include +#include +#include +#include + +void readMaze(char *arr); + +int main(int argc, char *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* maze = malloc((row * col) * sizeof(char)); + readMaze(maze); + break; + default: + //printf ("Basic error\n"); + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + //printf ("So this line won't print without an argument.\n"); + + return 0; +} + +void readMaze(char *arr) +{ + +} \ No newline at end of file diff --git a/CPE455/gameproject/.svn/pristine/47/472d3a398f8fb5c1cb06bb5c098c77bcb7b0b248.svn-base b/CPE455/gameproject/.svn/pristine/47/472d3a398f8fb5c1cb06bb5c098c77bcb7b0b248.svn-base new file mode 100644 index 0000000..cefd4d1 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/47/472d3a398f8fb5c1cb06bb5c098c77bcb7b0b248.svn-base @@ -0,0 +1,123 @@ +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; // global array for maze + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + unsigned int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/47/47bc003d7e96b71af63519d92df998ea88035739.svn-base b/CPE455/gameproject/.svn/pristine/47/47bc003d7e96b71af63519d92df998ea88035739.svn-base new file mode 100644 index 0000000..736b1c6 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/47/47bc003d7e96b71af63519d92df998ea88035739.svn-base @@ -0,0 +1,290 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); +/*int attron(int attrs); +int COLOR_PAIR(int n); +int wmove(WINDOW *win, int y, int x); +nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((((int)prow + deltaRow) > row) || (((int)pcol + deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/49/4992c3a0fd9cb1a9d96b3ba88c49fec1ab835f94.svn-base b/CPE455/gameproject/.svn/pristine/49/4992c3a0fd9cb1a9d96b3ba88c49fec1ab835f94.svn-base new file mode 100644 index 0000000..ee0b462 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/49/4992c3a0fd9cb1a9d96b3ba88c49fec1ab835f94.svn-base @@ -0,0 +1,79 @@ +#include +#include +#include +#include + + +// read maze file in to the array +void readMaze(char *arr, int r, int c); + +int main(int argc, char *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + int i = 0, j = 0; + char **maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + maze[i] = malloc(col * sizeof(char)); + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + printf("%c", maze[i][j]); + } + + } + printf("%c", maze[0 * col + 0]); + for(i = 0; i < row; i++) + free(maze[i]); + free(maze); + //int (*maze)[col] = malloc(sizeof(int[row][col])); + //readMaze(maze, row, col); + break; + default: + //printf ("Basic error\n"); + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + //printf ("So this line won't print without an argument.\n"); + + return 0; +} + +void readMaze(char *arr, int row, int col) +{ + + +} \ No newline at end of file diff --git a/CPE455/gameproject/.svn/pristine/4b/4bafeeb5c04c700702a6936e42cfdb29921748e5.svn-base b/CPE455/gameproject/.svn/pristine/4b/4bafeeb5c04c700702a6936e42cfdb29921748e5.svn-base new file mode 100644 index 0000000..6eb25a2 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/4b/4bafeeb5c04c700702a6936e42cfdb29921748e5.svn-base @@ -0,0 +1,146 @@ +#include +#include +#include +#include + +void readPlayer(char *arr); +void readZombie(char *arr); +void readTreasure(char *arr); + +// read maze file in to the array +void readMaze(char *arr, int r, int c); + +int main(int argc, char *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + int i = 0, j = 0; + char **maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + maze[i] = malloc(col * sizeof(char)); + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + printf("%c", maze[i][j]); + } + + } + printf("%c", maze[0 * col + 0]); + for(i = 0; i < row; i++) + free(maze[i]); + free(maze); + //int (*maze)[col] = malloc(sizeof(int[row][col])); + //readMaze(maze, row, col); + break; + case 'P': + //case for player + printf ("Player\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* player = malloc((row * col) * sizeof(char)); + readPlayer(player); + break; + + case 'Z': + //case for zombie + printf ("Zombie\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* zombie = malloc((row * col) * sizeof(char)); + readZombie(zombie); + break; + + case 'T': + //case for treasure + printf ("Treasure\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* treasure = malloc((row * col) * sizeof(char)); + readTreasure(treasure); + break; + default: + //printf ("Basic error\n"); + break; + }; + + for(int i=0;i +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + wmove(W, c1,c2); + waddch(W,'#'); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + wmove(W, c1,c2); + waddch(W,'Z'); + break; + case 'T': + wmove(W, c1,c2); + waddch(W,'T'); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + prow = rowLimit/4; + pcol = colLimit/4; + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + prow = prow + deltaRow; + pcol = pcol + deltaCol; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/53/5317919993e8feec9b2542f38a63c928fbcf225a.svn-base b/CPE455/gameproject/.svn/pristine/53/5317919993e8feec9b2542f38a63c928fbcf225a.svn-base new file mode 100644 index 0000000..07f95f2 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/53/5317919993e8feec9b2542f38a63c928fbcf225a.svn-base @@ -0,0 +1,110 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row = 0; /* Holds number of rows for input from file */ + int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l = 0; + int k = 0; + int c1 = 0; + int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%d %d", &row, &col); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(char)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'Z'; + printf("%c" , maze[k][l]); + break; + + case 'T': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'T'; + break; + case '\n': + printf("\n"); + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/57/57c7e440239b7657ac2ab53850eb3ab0316706eb.svn-base b/CPE455/gameproject/.svn/pristine/57/57c7e440239b7657ac2ab53850eb3ab0316706eb.svn-base new file mode 100644 index 0000000..8e55016 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/57/57c7e440239b7657ac2ab53850eb3ab0316706eb.svn-base @@ -0,0 +1,110 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row = 0; /* Holds number of rows for input from file */ + int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l = 0; + int k = 0; + int c1 = 0; + int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%d %d", &row, &col); + // + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = calloc(row, sizeof(unsigned int *)); + for(i = 0; i < row; i++) + { + maze[i] = calloc(col, sizeof(unsigned int *)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'Z'; + printf("%c" , maze[k][l]); + break; + + case 'T': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'T'; + break; + case '\n': + printf("\n"); + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/65/6540ab507557f3e287dcf3b5142c6e92819cc5a4.svn-base b/CPE455/gameproject/.svn/pristine/65/6540ab507557f3e287dcf3b5142c6e92819cc5a4.svn-base new file mode 100644 index 0000000..ca00201 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/65/6540ab507557f3e287dcf3b5142c6e92819cc5a4.svn-base @@ -0,0 +1,290 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/66/6617dc1be4a07229c96a1ebe2270a77b89942319.svn-base b/CPE455/gameproject/.svn/pristine/66/6617dc1be4a07229c96a1ebe2270a77b89942319.svn-base new file mode 100644 index 0000000..e72a594 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/66/6617dc1be4a07229c96a1ebe2270a77b89942319.svn-base @@ -0,0 +1,151 @@ +#include +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + WINDOW* W = 0; /* Curses Window handle */ + + /* check to see if argument */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + /* Initialize ncurses -- you will want to use the following settings */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, row, col); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/68/682a7e79688712ba034b82efc737a7a1173e3340.svn-base b/CPE455/gameproject/.svn/pristine/68/682a7e79688712ba034b82efc737a7a1173e3340.svn-base new file mode 100644 index 0000000..d8fac5a --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/68/682a7e79688712ba034b82efc737a7a1173e3340.svn-base @@ -0,0 +1,128 @@ +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; // global array for maze + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + // read array values, dynamically allocate array + fscanf(mazeFile, "%3d %3d", &row, &col); + unsigned int i = 0, j = 0; + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + // check maze bounds + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + // check maze bounds + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + // check maze bounds + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + // print out maze + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/69/691d46afb5202442f026ed969ee14c78fee76bcc.svn-base b/CPE455/gameproject/.svn/pristine/69/691d46afb5202442f026ed969ee14c78fee76bcc.svn-base new file mode 100644 index 0000000..4a9f885 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/69/691d46afb5202442f026ed969ee14c78fee76bcc.svn-base @@ -0,0 +1,128 @@ +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; // global array for maze + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + // read array values, dynamically allocate array + fscanf(mazeFile, "%3d %3d", &row, &col); + unsigned int i = 0, j = 0; + maze = (char**)malloc(row * sizeof(unsigned int *)); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + // check maze bounds + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + // check maze bounds + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + // check maze bounds + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + // print out maze + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/69/695cc027ab6fa37aad1fb01361d0eb90c6897155.svn-base b/CPE455/gameproject/.svn/pristine/69/695cc027ab6fa37aad1fb01361d0eb90c6897155.svn-base new file mode 100644 index 0000000..069a821 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/69/695cc027ab6fa37aad1fb01361d0eb90c6897155.svn-base @@ -0,0 +1,110 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row = 0; /* Holds number of rows for input from file */ + int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l = 0; + int k = 0; + int c1 = 0; + int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%d %d", &row, &col); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(char)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'Z'; + printf("%c" , maze[k][l]); + break; + + case 'T': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'T'; + break; + case '\n': + printf("\n"); + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + //free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/69/698ac018da681d26eaa3ff49d98e868830488fd8.svn-base b/CPE455/gameproject/.svn/pristine/69/698ac018da681d26eaa3ff49d98e868830488fd8.svn-base new file mode 100644 index 0000000..24359f0 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/69/698ac018da681d26eaa3ff49d98e868830488fd8.svn-base @@ -0,0 +1,127 @@ +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + + /* check to see if argument */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + /* print out maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/6b/6b89f2d5656c9b94d4f6df766281812d5b04ea6f.svn-base b/CPE455/gameproject/.svn/pristine/6b/6b89f2d5656c9b94d4f6df766281812d5b04ea6f.svn-base new file mode 100644 index 0000000..9069b8a --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/6b/6b89f2d5656c9b94d4f6df766281812d5b04ea6f.svn-base @@ -0,0 +1,427 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); +extern int noecho(void); + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to open the file so must use bad one bc we cannot create one ourselves as we are not wizards */ + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to get first char from file and we must use bad one as we cannot make one ourselves */ + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + /* parse maze file, + adding chars to array */ + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* allocate memory for rows of array */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); /* allocate memory for collumns of array */ + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + /* parse maze */ + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow = 0; + deltaCol = 0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + /* check current player position against maze bounds, + if over the bounds, don't move */ + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + /* check future player position to see if wall; + if it is, stay in the same spot + else move to new postion */ + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + /* check curremt position for treasure, + make treasure position a space, + and increment score */ + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + /* check curremt position for zombie, + end game */ + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + endwin(); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to print score for project, so even though not great have to use bad one */ + printf("Score = %u\n", score); + return 0; + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Y was statically allocated no need to free it */ + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Maze has been freed already valgrind shows no leaks error must have ocurred in analysis */ +} + diff --git a/CPE455/gameproject/.svn/pristine/6c/6c4a430e973cfa8cd956de9620c66ca2ddbd71ed.svn-base b/CPE455/gameproject/.svn/pristine/6c/6c4a430e973cfa8cd956de9620c66ca2ddbd71ed.svn-base new file mode 100644 index 0000000..4b9dcfc --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/6c/6c4a430e973cfa8cd956de9620c66ca2ddbd71ed.svn-base @@ -0,0 +1,151 @@ +#include +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + /*FILE* mazeFile; Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + WINDOW* W = 0; /* Curses Window handle */ + + /* check to see if argument */ + if (argc != 2) + { + printw("An error has ocurred no input file was given.\n"); + return 1; + } + W = fopen(argv[1], "r"); + if (W == NULL) + { + printw("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, W); + while ( feof(W) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + wscanw(W, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + wscanw(W, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + wscanw(W, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + wscanw(W, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + wscanw(W, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + wscanw(W, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, W); + if (feof(W) != 0) + { + break; + } + } + + + fclose(W); + /* Initialize ncurses -- you will want to use the following settings */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, row, col); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + printw ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printw("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + printw("\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/6e/6e0beb82967b07ba61b24e8b42ce88d5f3d38777.svn-base b/CPE455/gameproject/.svn/pristine/6e/6e0beb82967b07ba61b24e8b42ce88d5f3d38777.svn-base new file mode 100644 index 0000000..3da09bb --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/6e/6e0beb82967b07ba61b24e8b42ce88d5f3d38777.svn-base @@ -0,0 +1,285 @@ +#include +#include +#include +#include +#include +#include + + +/* */ +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- why */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/6e/6e347204aa32aa41870629708bed69c64ec2a200.svn-base b/CPE455/gameproject/.svn/pristine/6e/6e347204aa32aa41870629708bed69c64ec2a200.svn-base new file mode 100644 index 0000000..f0bf804 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/6e/6e347204aa32aa41870629708bed69c64ec2a200.svn-base @@ -0,0 +1,112 @@ +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; // global array for maze + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + unsigned int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/72/7262eca3ddc7e8aaad907c0e0ad69fab93896817.svn-base b/CPE455/gameproject/.svn/pristine/72/7262eca3ddc7e8aaad907c0e0ad69fab93896817.svn-base new file mode 100644 index 0000000..fcaf120 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/72/7262eca3ddc7e8aaad907c0e0ad69fab93896817.svn-base @@ -0,0 +1,287 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((prow + deltaRow > row) || (pcol + deltaCol > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/72/72e28e0e0c13d4a098ae3bd0d37f8ba93b3021a0.svn-base b/CPE455/gameproject/.svn/pristine/72/72e28e0e0c13d4a098ae3bd0d37f8ba93b3021a0.svn-base new file mode 100644 index 0000000..016a6d6 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/72/72e28e0e0c13d4a098ae3bd0d37f8ba93b3021a0.svn-base @@ -0,0 +1,382 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/78/7878dde9dd2176fdbb5c412177499cfabf9c7cfd.svn-base b/CPE455/gameproject/.svn/pristine/78/7878dde9dd2176fdbb5c412177499cfabf9c7cfd.svn-base new file mode 100644 index 0000000..4b4c7e6 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/78/7878dde9dd2176fdbb5c412177499cfabf9c7cfd.svn-base @@ -0,0 +1,128 @@ +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + + /* check to see if argument */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + /* print out maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/7a/7a2f63638bf254ff36facc2886b13e915168a660.svn-base b/CPE455/gameproject/.svn/pristine/7a/7a2f63638bf254ff36facc2886b13e915168a660.svn-base new file mode 100644 index 0000000..529290a --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/7a/7a2f63638bf254ff36facc2886b13e915168a660.svn-base @@ -0,0 +1,156 @@ +#include +#include +#include +#include + +void readPlayer(char *arr); +void readZombie(char *arr); +void readTreasure(char *arr); + +// global array for maze +char **maze; + +// read maze file in to the array +void readMaze(char *arr, int r, int c); + +int main(int argc, char *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(char)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + printf("%c", maze[i][j]); + } + + } + printf("%c", maze[0 * col + 0]); + // for(i = 0; i < row; i++) + { + // free(maze[i]); + } + // free(maze); + // int (*maze)[col] = malloc(sizeof(int[row][col])); + //readMaze(maze, row, col); + break; + case 'P': + //case for player + printf ("Player\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* player = malloc((row * col) * sizeof(char)); + // readPlayer(player); + break; + + case 'Z': + //case for zombie + printf ("Zombie\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* zombie = malloc((row * col) * sizeof(char)); + // readZombie(zombie); + break; + + case 'T': + //case for treasure + printf ("Treasure\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* treasure = malloc((row * col) * sizeof(char)); + // readTreasure(treasure); + break; + case '\n': + break; + default: + //printf ("Basic error\n"); + break; + }; + + // for(int i=0;i +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + WINDOW* W = 0; /* Curses Window handle */ + + /* check to see if argument */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + /* Initialize ncurses -- you will want to use the following settings */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, row, col); /* Query terminal dimensions */ + /* print out maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/7a/7ad16095f4a29b8551fef45ac4915a1ec05ae23c.svn-base b/CPE455/gameproject/.svn/pristine/7a/7ad16095f4a29b8551fef45ac4915a1ec05ae23c.svn-base new file mode 100644 index 0000000..a95bd3c --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/7a/7ad16095f4a29b8551fef45ac4915a1ec05ae23c.svn-base @@ -0,0 +1,432 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); +extern int noecho(void); + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to open the file so must use bad one bc we cannot create one ourselves as we are not wizards */ + mazeFile = fopen(argv[1], "r"); /* open file */ + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to get first char from file and we must use bad one as we cannot make one ourselves */ + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + /* parse maze file, + adding chars to array */ + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &row, &col); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to dynamially allocate memory cannot create own as we lack experience */ + maze = (char**)malloc(row * 8); /* allocate memory for rows of array, 8 reperesents size of char* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to dynamially allocate memory cannot create own as we lack experience */ + maze[i] = malloc(col * 8); /* allocate memory for collumns of array, 8 reperesents size of char* */ + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + /* start ncurses coloring of maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + /* parse maze */ + switch(maze[c1][c2]) + { + /* color wall green */ + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + /* place space at position specified in array */ + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + /* place player at position specified in file */ + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + /* place zombie at position specified in file */ + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + /* place treasure at position specified in file */ + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow = 0; + deltaCol = 0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + /* check current player position against maze bounds, + if over the bounds, don't move */ + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + /* check future player position to see if wall; + if it is, stay in the same spot, + else move to new postion */ + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + /* check curremt position for treasure, + make treasure position a space, + and increment score */ + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + /* check curremt position for zombie, + end game */ + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze); + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + endwin(); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to print score for project, so even though not great have to use bad one */ + printf("Score = %u\n", score); + return 0; + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Y was statically allocated no need to free it */ + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Maze has been freed already valgrind shows no leaks error must have ocurred in analysis */ +} + diff --git a/CPE455/gameproject/.svn/pristine/7c/7c3fee53676f1b653f28dae1914c500198216af4.svn-base b/CPE455/gameproject/.svn/pristine/7c/7c3fee53676f1b653f28dae1914c500198216af4.svn-base new file mode 100644 index 0000000..3aad3e8 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/7c/7c3fee53676f1b653f28dae1914c500198216af4.svn-base @@ -0,0 +1,18 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +T 8 15 +Z 8 10 +P 2 10 +Z 7 24 +T 2 20 diff --git a/CPE455/gameproject/.svn/pristine/80/806357297ee076027dfd366b261d24cb23eca808.svn-base b/CPE455/gameproject/.svn/pristine/80/806357297ee076027dfd366b261d24cb23eca808.svn-base new file mode 100644 index 0000000..d93c51a --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/80/806357297ee076027dfd366b261d24cb23eca808.svn-base @@ -0,0 +1,113 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/81/815539cf95fba3ca6ff177a893b163c73b0b78b3.svn-base b/CPE455/gameproject/.svn/pristine/81/815539cf95fba3ca6ff177a893b163c73b0b78b3.svn-base new file mode 100644 index 0000000..481fd74 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/81/815539cf95fba3ca6ff177a893b163c73b0b78b3.svn-base @@ -0,0 +1,253 @@ +#include +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + wmove(W, c1,c2); + waddch(W,'#'); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + wmove(W, c1,c2); + waddch(W,'Z'); + break; + case 'T': + wmove(W, c1,c2); + waddch(W,'T'); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + prow = prow + deltaRow; + pcol = pcol + deltaCol; + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/81/819a64ad5e27abeca5ba5d4495358cd2715b10fd.svn-base b/CPE455/gameproject/.svn/pristine/81/819a64ad5e27abeca5ba5d4495358cd2715b10fd.svn-base new file mode 100644 index 0000000..cafd5ff --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/81/819a64ad5e27abeca5ba5d4495358cd2715b10fd.svn-base @@ -0,0 +1,283 @@ +#include +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/83/83aaa46d61443165e3c881f99b86a049e5380c87.svn-base b/CPE455/gameproject/.svn/pristine/83/83aaa46d61443165e3c881f99b86a049e5380c87.svn-base new file mode 100644 index 0000000..9d95a9d --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/83/83aaa46d61443165e3c881f99b86a049e5380c87.svn-base @@ -0,0 +1,164 @@ +#include +#include +#include +#include + +void readPlayer(char *arr); +void readZombie(char *arr); +void readTreasure(char *arr); + +// global array for maze +char **maze; + +// read maze file in to the array +void readMaze(char *arr, int r, int c); + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + //printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + // printf("%d\n", row); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(char)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + //fscanf(mazeFile, "%c", &chold); + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + printf ("\n"); + fscanf(mazeFile, "%c", &maze[i][j]); + } + printf("%c", maze[i][j]); + } + + } + // printf("%c", maze[0 * col + 0]); + // for(i = 0; i < row; i++) + //{ + // free(maze[i]); + //} + // free(maze); + // int (*maze)[col] = malloc(sizeof(int[row][col])); + //readMaze(maze, row, col); + break; + case 'P': + //case for player + //printf ("Player\n"); + fscanf(mazeFile, "%d %d", &row, &col); + maze[row][col] = 'P'; + //printf("%c" , maze[row][col]); + //printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* player = malloc((row * col) * sizeof(char)); + // readPlayer(player); + break; + + case 'Z': + //case for zombie + printf ("Zombie\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* zombie = malloc((row * col) * sizeof(char)); + // readZombie(zombie); + break; + + case 'T': + //case for treasure + printf ("Treasure\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* treasure = malloc((row * col) * sizeof(char)); + // readTreasure(treasure); + break; + case '\n': + printf("\n"); + break; + default: + //printf ("Basic error\n"); + break; + }; + + // for(int i=0;i +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + unsigned int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/94/94bb5efa80c90ab41661bb05909edab0644b9303.svn-base b/CPE455/gameproject/.svn/pristine/94/94bb5efa80c90ab41661bb05909edab0644b9303.svn-base new file mode 100644 index 0000000..77d9e8c --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/94/94bb5efa80c90ab41661bb05909edab0644b9303.svn-base @@ -0,0 +1,417 @@ +#include +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); +extern int noecho(void); + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to open the file so must use bad one bc we cannot create one ourselves as we are not wizards */ + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to get first char from file and we must use bad one as we cannot make one ourselves */ + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &row, &col); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Have to use function to dynamically allocate memory even though all are named bad as no other ones exist */ + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Have to use function to dynamically allocate memory even though all are named bad as no other ones exist */ + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + endwin(); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to print score for project, so even though not great have to use bad one */ + printf("Score = %u\n", score); + return 0; + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Y was statically allocated no need to free it */ + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Maze has been freed already valgrind shows no leaks error must have ocurred in analysis */ +} + diff --git a/CPE455/gameproject/.svn/pristine/9a/9ab75e624d15e88ed0d743153bc886d43e06d9e5.svn-base b/CPE455/gameproject/.svn/pristine/9a/9ab75e624d15e88ed0d743153bc886d43e06d9e5.svn-base new file mode 100644 index 0000000..c30d620 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/9a/9ab75e624d15e88ed0d743153bc886d43e06d9e5.svn-base @@ -0,0 +1,118 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l; + int k; + int c1; + int c2; + + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + //printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + // printf("%d\n", row); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(char)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + //fscanf(mazeFile, "%c", &chold); + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + printf ("\n"); + fscanf(mazeFile, "%c", &maze[i][j]); + } + printf("%c", maze[i][j]); + } + } + break; + case 'P': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'P'; + printf("%c" , maze[k][l]); + break; + + case 'Z': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'Z'; + printf("%c" , maze[k][l]); + break; + + case 'T': + //case for treasure + printf ("Treasure\n"); + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'T'; + printf("%c" , maze[k][l]); + break; + case '\n': + printf("\n"); + break; + default: + //printf ("Basic error\n"); + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + printf("%c", maze[c1][c2]); + } + } + //printf ("So this line won't print without an argument.\n"); + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + free(maze[i]); + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/a7/a7e46494db01f659f4164aa77fba0104708c105b.svn-base b/CPE455/gameproject/.svn/pristine/a7/a7e46494db01f659f4164aa77fba0104708c105b.svn-base new file mode 100644 index 0000000..d1a5ed7 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/a7/a7e46494db01f659f4164aa77fba0104708c105b.svn-base @@ -0,0 +1,113 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + int row = 0; /* Holds number of rows for input from file */ + int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l = 0; + int k = 0; + int c1 = 0; + int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/aa/aa1c21d8690d0717f9f998d3ac91b21c2f6f0929.svn-base b/CPE455/gameproject/.svn/pristine/aa/aa1c21d8690d0717f9f998d3ac91b21c2f6f0929.svn-base new file mode 100644 index 0000000..9849d29 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/aa/aa1c21d8690d0717f9f998d3ac91b21c2f6f0929.svn-base @@ -0,0 +1,254 @@ +#include +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + wmove(W, c1,c2); + waddch(W,'#'); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + wmove(W, c1,c2); + waddch(W,'Z'); + break; + case 'T': + wmove(W, c1,c2); + waddch(W,'T'); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + prow = rowLimit/4; + pcol = colLimit/4; + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + prow = prow + deltaRow; + pcol = pcol + deltaCol; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/aa/aaaa9c84d0316f12195ba39fe935b3dfc1439476.svn-base b/CPE455/gameproject/.svn/pristine/aa/aaaa9c84d0316f12195ba39fe935b3dfc1439476.svn-base new file mode 100644 index 0000000..c550ef6 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/aa/aaaa9c84d0316f12195ba39fe935b3dfc1439476.svn-base @@ -0,0 +1,15 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +P 2 10 +Z 8 10 diff --git a/CPE455/gameproject/.svn/pristine/b0/b04c8291e1b519efd40b97b35960b9fe99a03e08.svn-base b/CPE455/gameproject/.svn/pristine/b0/b04c8291e1b519efd40b97b35960b9fe99a03e08.svn-base new file mode 100644 index 0000000..7ac8a28 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/b0/b04c8291e1b519efd40b97b35960b9fe99a03e08.svn-base @@ -0,0 +1,27 @@ +#include +#include +#include +#include + +int main(int argc, char const *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fclose(mazeFile); + printf ("So this line won't print without an argument.\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/bb/bb9fb7f1389939ebd7c061043b5120ade797367e.svn-base b/CPE455/gameproject/.svn/pristine/bb/bb9fb7f1389939ebd7c061043b5120ade797367e.svn-base new file mode 100644 index 0000000..f8a014e --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/bb/bb9fb7f1389939ebd7c061043b5120ade797367e.svn-base @@ -0,0 +1,150 @@ +#include +#include +#include +#include + +void readPlayer(char *arr); +void readZombie(char *arr); +void readTreasure(char *arr); + +// global array for maze +char **maze; + +// read maze file in to the array +void readMaze(char *arr, int r, int c); + +int main(int argc, char *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + maze[i] = malloc(col * sizeof(char)); + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + printf("%c", maze[i][j]); + } + + } + printf("%c", maze[0 * col + 0]); + for(i = 0; i < row; i++) + free(maze[i]); + free(maze); + //int (*maze)[col] = malloc(sizeof(int[row][col])); + //readMaze(maze, row, col); + break; + case 'P': + //case for player + printf ("Player\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* player = malloc((row * col) * sizeof(char)); + readPlayer(player); + break; + + case 'Z': + //case for zombie + printf ("Zombie\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* zombie = malloc((row * col) * sizeof(char)); + readZombie(zombie); + break; + + case 'T': + //case for treasure + printf ("Treasure\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + char* treasure = malloc((row * col) * sizeof(char)); + readTreasure(treasure); + break; + default: + //printf ("Basic error\n"); + break; + }; + + // for(int i=0;i +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + prow = prow + deltaRow; + pcol = pcol + deltaCol; + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/c2/c2fe754be079eb0b947e0b5d29a74fb579767b5c.svn-base b/CPE455/gameproject/.svn/pristine/c2/c2fe754be079eb0b947e0b5d29a74fb579767b5c.svn-base new file mode 100644 index 0000000..ef24ee2 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/c2/c2fe754be079eb0b947e0b5d29a74fb579767b5c.svn-base @@ -0,0 +1,114 @@ +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; // global array for maze + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + unsigned int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/c4/c4acfdf60a48bdc3f9fdeac051c47abad0a1b1bb.svn-base b/CPE455/gameproject/.svn/pristine/c4/c4acfdf60a48bdc3f9fdeac051c47abad0a1b1bb.svn-base new file mode 100644 index 0000000..819a5c5 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/c4/c4acfdf60a48bdc3f9fdeac051c47abad0a1b1bb.svn-base @@ -0,0 +1,3 @@ + +game: + gcc game.c -o game \ No newline at end of file diff --git a/CPE455/gameproject/.svn/pristine/c5/c5be78044fa882e0a63f94bd7e91907cbd98dbb6.svn-base b/CPE455/gameproject/.svn/pristine/c5/c5be78044fa882e0a63f94bd7e91907cbd98dbb6.svn-base new file mode 100644 index 0000000..111d389 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/c5/c5be78044fa882e0a63f94bd7e91907cbd98dbb6.svn-base @@ -0,0 +1,397 @@ +#include +#include +#include +#include +#include +#include + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/c8/c825065e56d9780cef34d996b4db8c563bd639ae.svn-base b/CPE455/gameproject/.svn/pristine/c8/c825065e56d9780cef34d996b4db8c563bd639ae.svn-base new file mode 100644 index 0000000..1641948 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/c8/c825065e56d9780cef34d996b4db8c563bd639ae.svn-base @@ -0,0 +1,124 @@ +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; // global array for maze + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + unsigned int i = 0, j = 0; + maze = (char**)malloc(row * sizeof(unsigned int *)); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = (char*)malloc(col * sizeof(unsigned int *)); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/c8/c8e59f9b6a795aa9f98e540bd9a8650f6233ad0f.svn-base b/CPE455/gameproject/.svn/pristine/c8/c8e59f9b6a795aa9f98e540bd9a8650f6233ad0f.svn-base new file mode 100644 index 0000000..20b1f9a --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/c8/c8e59f9b6a795aa9f98e540bd9a8650f6233ad0f.svn-base @@ -0,0 +1,128 @@ +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + + /* check to see if argument */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + unsigned int i = 0, j = 0; + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + /* print out maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + /* free the memory */ + unsigned int i = 0; + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/cc/ccdbc11d00d1044fe1f65d7dfc69a99cdc958cab.svn-base b/CPE455/gameproject/.svn/pristine/cc/ccdbc11d00d1044fe1f65d7dfc69a99cdc958cab.svn-base new file mode 100644 index 0000000..7af6f0c --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/cc/ccdbc11d00d1044fe1f65d7dfc69a99cdc958cab.svn-base @@ -0,0 +1,134 @@ +#include +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + WINDOW* W = 0; /* Curses Window handle */ + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + /*printw("%c", maze[c1][c2]);*/ + } + } + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/cd/cdb2e0867e9b53241a0f95803804be952659af50.svn-base b/CPE455/gameproject/.svn/pristine/cd/cdb2e0867e9b53241a0f95803804be952659af50.svn-base new file mode 100644 index 0000000..8b713f2 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/cd/cdb2e0867e9b53241a0f95803804be952659af50.svn-base @@ -0,0 +1,176 @@ +#include +#include +#include +#include + +void readPlayer(char *arr); +void readZombie(char *arr); +void readTreasure(char *arr); + +// global array for maze +char **maze; + +// read maze file in to the array +void readMaze(char *arr, int r, int c); + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l; + int k; + int c1; + int c2; + + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + //printf ("Maze encountered\n"); + fscanf(mazeFile, "%d %d", &row, &col); + // printf("%d\n", row); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(char)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + //fscanf(mazeFile, "%c", &chold); + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + printf ("\n"); + fscanf(mazeFile, "%c", &maze[i][j]); + } + printf("%c", maze[i][j]); + } + + } + // printf("%c", maze[0 * col + 0]); + // for(i = 0; i < row; i++) + //{ + // free(maze[i]); + //} + // free(maze); + // int (*maze)[col] = malloc(sizeof(int[row][col])); + //readMaze(maze, row, col); + break; + case 'P': + //case for player + //printf ("Player\n"); + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'P'; + printf("%c" , maze[k][l]); + //printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* player = malloc((row * col) * sizeof(char)); + // readPlayer(player); + break; + + case 'Z': + //case for zombie + printf ("Zombie\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* zombie = malloc((row * col) * sizeof(char)); + // readZombie(zombie); + break; + + case 'T': + //case for treasure + printf ("Treasure\n"); + fscanf(mazeFile, "%d %d", &row, &col); + printf("%d\n", row); + // possible dynamic allocation of 2D array + // char* treasure = malloc((row * col) * sizeof(char)); + // readTreasure(treasure); + break; + case '\n': + printf("\n"); + break; + default: + //printf ("Basic error\n"); + break; + }; + + // for(int i=0;i +#include +#include +#include + +int main(int argc, char const *argv[]) +{ + printf("Hello, World!\n"); + FILE* mazeFile; /* Maze Input File */ + char c; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + switch(shold[0]) + { + case 'M': + printf ("Maze encountered\n"); + break; + default: + printf ("Basic error\n"); + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + printf ("So this line won't print without an argument.\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/d2/d268bdc1953be5b38f37088ea477e89870f363fe.svn-base b/CPE455/gameproject/.svn/pristine/d2/d268bdc1953be5b38f37088ea477e89870f363fe.svn-base new file mode 100644 index 0000000..316ba77 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/d2/d268bdc1953be5b38f37088ea477e89870f363fe.svn-base @@ -0,0 +1,39 @@ +M 22 75 +########################################################################### +# # # +# # # +# # # +# ################## # # +# # # # +# # # # +# # ############ # # # +# # # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # +# # # # +# ######################### # +# # # +# # # +# # # +########################################################################### +P 10 30 +Z 19 5 +Z 19 10 +Z 19 15 +T 5 43 +T 10 43 +T 15 43 +Z 2 5 +Z 2 10 +Z 2 15 +T 5 55 +T 10 55 +T 15 55 +T 5 67 +T 10 67 +T 15 67 diff --git a/CPE455/gameproject/.svn/pristine/d4/d400ec16eba9622fe5a1a756c604f99f024df258.svn-base b/CPE455/gameproject/.svn/pristine/d4/d400ec16eba9622fe5a1a756c604f99f024df258.svn-base new file mode 100644 index 0000000..73df2d4 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/d4/d400ec16eba9622fe5a1a756c604f99f024df258.svn-base @@ -0,0 +1,151 @@ +#include +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + WINDOW* W = 0; /* Curses Window handle */ + + /* check to see if argument */ + if (argc != 2) + { + printw("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printw("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + /* Initialize ncurses -- you will want to use the following settings */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, row, col); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + printw ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printw("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + printw("\n"); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/d7/d78573182d078f24e5f32bc8465664d0cf8f424b.svn-base b/CPE455/gameproject/.svn/pristine/d7/d78573182d078f24e5f32bc8465664d0cf8f424b.svn-base new file mode 100644 index 0000000..dfb7593 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/d7/d78573182d078f24e5f32bc8465664d0cf8f424b.svn-base @@ -0,0 +1,294 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); +/*int attron(int attrs); +int COLOR_PAIR(int n); +int wmove(WINDOW *win, int y, int x); +nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/db/db75edfbeb0b72d9513f0ee57319d6936cd52f32.svn-base b/CPE455/gameproject/.svn/pristine/db/db75edfbeb0b72d9513f0ee57319d6936cd52f32.svn-base new file mode 100644 index 0000000..6b20b1b --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/db/db75edfbeb0b72d9513f0ee57319d6936cd52f32.svn-base @@ -0,0 +1,127 @@ +#include +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0, j = 0; + + /* check to see if argument */ + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + /* print out maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + /* free the memory */ + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/dc/dca3f0eb6d1b5a543d670d8b2499ed45a852e2c0.svn-base b/CPE455/gameproject/.svn/pristine/dc/dca3f0eb6d1b5a543d670d8b2499ed45a852e2c0.svn-base new file mode 100644 index 0000000..29747c8 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/dc/dca3f0eb6d1b5a543d670d8b2499ed45a852e2c0.svn-base @@ -0,0 +1,380 @@ +#include +#include +#include +#include +#include +#include + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/de/de10cfd31f2b11c7889d140f781ac2000667ad65.svn-base b/CPE455/gameproject/.svn/pristine/de/de10cfd31f2b11c7889d140f781ac2000667ad65.svn-base new file mode 100644 index 0000000..391c94e --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/de/de10cfd31f2b11c7889d140f781ac2000667ad65.svn-base @@ -0,0 +1,290 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); +/*int attron(int attrs); +int COLOR_PAIR(int n); +int wmove(WINDOW *win, int y, int x); +nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + deltaRow) > row) || ((pcol + deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/de/de1b20d73f68f82c2fda85caf70e24076cfdb1c0.svn-base b/CPE455/gameproject/.svn/pristine/de/de1b20d73f68f82c2fda85caf70e24076cfdb1c0.svn-base new file mode 100644 index 0000000..d1be330 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/de/de1b20d73f68f82c2fda85caf70e24076cfdb1c0.svn-base @@ -0,0 +1,108 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row; /* Holds number of rows for input from file */ + int col; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l; + int k; + int c1; + int c2; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%d %d", &row, &col); + // possible dynamic allocation of 2D array + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(char *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(char)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'Z'; + printf("%c" , maze[k][l]); + break; + + case 'T': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'T'; + break; + case '\n': + printf("\n"); + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + free(maze[i]); + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/de/de8431241c69d2c39f63d0eeebfe5e7861cae881.svn-base b/CPE455/gameproject/.svn/pristine/de/de8431241c69d2c39f63d0eeebfe5e7861cae881.svn-base new file mode 100644 index 0000000..595abf9 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/de/de8431241c69d2c39f63d0eeebfe5e7861cae881.svn-base @@ -0,0 +1,269 @@ +#include +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((prow + deltaRow > row) || (pcol + deltaCol > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/df/df743a21ea509dae23c3d1e5e5171f7e739169d9.svn-base b/CPE455/gameproject/.svn/pristine/df/df743a21ea509dae23c3d1e5e5171f7e739169d9.svn-base new file mode 100644 index 0000000..32011ec --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/df/df743a21ea509dae23c3d1e5e5171f7e739169d9.svn-base @@ -0,0 +1,110 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row = 0; /* Holds number of rows for input from file */ + int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l = 0; + int k = 0; + int c1 = 0; + int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'Z'; + printf("%c" , maze[k][l]); + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + maze[k][l] = 'T'; + break; + case '\n': + printf("\n"); + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/e0/e096549e64981f8e8dd5137f7123b3b88672c3f2.svn-base b/CPE455/gameproject/.svn/pristine/e0/e096549e64981f8e8dd5137f7123b3b88672c3f2.svn-base new file mode 100644 index 0000000..7915b8e --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/e0/e096549e64981f8e8dd5137f7123b3b88672c3f2.svn-base @@ -0,0 +1,110 @@ +#include +#include +#include +#include + + +// global array for maze +char **maze; + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold; /* Holds character for line input from file */ + int row = 0; /* Holds number of rows for input from file */ + int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + int l = 0; + int k = 0; + int c1 = 0; + int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( !feof(mazeFile) ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%d %d", &row, &col); + // + // dynamic allocation of array for maze + int i = 0, j = 0; + maze = malloc(row * sizeof(unsigned int *)); + for(i = 0; i < row; i++) + { + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = 0; i < row; i++) + { + for (j = 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'Z'; + printf("%c" , maze[k][l]); + break; + + case 'T': + fscanf(mazeFile, "%d %d", &k, &l); + maze[k][l] = 'T'; + break; + case '\n': + printf("\n"); + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile)) + { + break; + } + } + fclose(mazeFile); + for (c1 =0; c1 < row; c1++) + { + printf ("\n"); + for (c2=0; c2 < col; c2++) + { + printf("%c", maze[c1][c2]); + } + } + + //free the memory + int i = 0; + for(i = 0; i < row; i++) + { + free(maze[i]); + } + free(maze); + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/e2/e2b3c034eb755257cb8f41cf13543c997fcf89b2.svn-base b/CPE455/gameproject/.svn/pristine/e2/e2b3c034eb755257cb8f41cf13543c997fcf89b2.svn-base new file mode 100644 index 0000000..a9d5413 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/e2/e2b3c034eb755257cb8f41cf13543c997fcf89b2.svn-base @@ -0,0 +1,287 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((prow + deltaRow > row) || (pcol + deltaCol > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/ec/ec8863cd79ce860c2c555cbb79c665add208fd97.svn-base b/CPE455/gameproject/.svn/pristine/ec/ec8863cd79ce860c2c555cbb79c665add208fd97.svn-base new file mode 100644 index 0000000..b1e4156 --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/ec/ec8863cd79ce860c2c555cbb79c665add208fd97.svn-base @@ -0,0 +1,285 @@ +#include +#include +#include +#include +#include +#include + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- why */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/f6/f68b734635aa3ba8786542f587fa2ea3a19a451b.svn-base b/CPE455/gameproject/.svn/pristine/f6/f68b734635aa3ba8786542f587fa2ea3a19a451b.svn-base new file mode 100644 index 0000000..5392d9a --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/f6/f68b734635aa3ba8786542f587fa2ea3a19a451b.svn-base @@ -0,0 +1,254 @@ +#include +#include +#include +#include +#include +#include + + +/*nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + wmove(W, c1,c2); + waddch(W,'#'); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + wmove(W, c1,c2); + waddch(W,'Z'); + break; + case 'T': + wmove(W, c1,c2); + waddch(W,'T'); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + prow = rowLimit/4; + pcol = colLimit/4; + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + prow = prow + deltaRow; + pcol = pcol + deltaCol; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/f6/f6c516c156e60e7945b5f0aff408753dbc5067d4.svn-base b/CPE455/gameproject/.svn/pristine/f6/f6c516c156e60e7945b5f0aff408753dbc5067d4.svn-base new file mode 100644 index 0000000..be3bbdb --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/f6/f6c516c156e60e7945b5f0aff408753dbc5067d4.svn-base @@ -0,0 +1,124 @@ +#include +#include +#include +#include + + + + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + char chold = NULL; /* Holds character for line input from file */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; // global array for maze + unsigned int c1 = 0; + unsigned int c2 = 0; + + // check to see if + if (argc != 2) + { + printf("An error has ocurred no input file was given.\n"); + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + printf("Error in opening the file.\n"); + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + switch(shold[0]) + { + case 'M': + fscanf(mazeFile, "%3d %3d", &row, &col); + // dynamic allocation of array for maze + unsigned int i = 0, j = 0; + maze = (char**)malloc(row * sizeof(unsigned int *)); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * sizeof(unsigned int *)); + } + for (i = (unsigned) 0; i < row; i++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[i][j]); + if (maze[i][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[i][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3d %3d", &k, &l); + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + fclose(mazeFile); + for (c1 =(unsigned)0; c1 < row; c1++) + { + printf ("\n"); + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + printf("%c", maze[c1][c2]); + } + } + + //free the memory + unsigned int i = 0; + for(i = (unsigned)0; i < row; i++) + { + free(maze[i]); + maze[i] = NULL; + } + if(maze != NULL){ + free(maze); + } + printf("\n"); + + return 0; +} diff --git a/CPE455/gameproject/.svn/pristine/f8/f8f4e279838d991c603b0eedd19dfaeaef0a56a8.svn-base b/CPE455/gameproject/.svn/pristine/f8/f8f4e279838d991c603b0eedd19dfaeaef0a56a8.svn-base new file mode 100644 index 0000000..964efed --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/f8/f8f4e279838d991c603b0eedd19dfaeaef0a56a8.svn-base @@ -0,0 +1,290 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); +/*int attron(int attrs); +int COLOR_PAIR(int n); +int wmove(WINDOW *win, int y, int x); +nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/fc/fc6bc8cae0cfab930cf4b017b0925ef3bc071243.svn-base b/CPE455/gameproject/.svn/pristine/fc/fc6bc8cae0cfab930cf4b017b0925ef3bc071243.svn-base new file mode 100644 index 0000000..934aeec --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/fc/fc6bc8cae0cfab930cf4b017b0925ef3bc071243.svn-base @@ -0,0 +1,290 @@ +#include +#include +#include +#include +#include +#include + +WINDOW *initscr(void); +int start_color(void); +int cbreak(void); +int noecho(void); +int nodelay(WINDOW *win, bool bf); +int keypad(WINDOW *win, bool bf); +int curs_set(int visibility); +/*void getmaxyx(WINDOW *win, int y, int x); */ +int init_pair(short pair, short f, short b); +/*int attron(int attrs); +int COLOR_PAIR(int n); +int wmove(WINDOW *win, int y, int x); +nothing*/ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ +unsigned int pcol = 0; +unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + fclose(mazeFile); + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + /*printw("%c", maze[c1][c2]);*/ + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if((prow + deltaRow > row) || (pcol + deltaCol > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[prow + deltaRow][pcol + deltaCol] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + deltaRow; + pcol = pcol + deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/pristine/fe/fe8d4c143d050b50954b09e753da9f52225ec692.svn-base b/CPE455/gameproject/.svn/pristine/fe/fe8d4c143d050b50954b09e753da9f52225ec692.svn-base new file mode 100644 index 0000000..318011c --- /dev/null +++ b/CPE455/gameproject/.svn/pristine/fe/fe8d4c143d050b50954b09e753da9f52225ec692.svn-base @@ -0,0 +1,285 @@ +#include +#include +#include +#include +#include +#include + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + mazeFile = fopen(argv[1], "r"); + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + fscanf(mazeFile, "%3u %3u", &row, &col); + maze = (char**)malloc(row * 8); /* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + maze[i] = malloc(col * 8); + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- why */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + + for (c1 =(unsigned)0; c1 < row; c1++) + { + /*printw ("\n");*/ + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + switch(maze[c1][c2]) + { + case '#': + /* read array values, dynamically allocate array*/ + attron(COLOR_PAIR(2)); + wmove(W, c1,c2); + waddch(W,'#'); + attroff(COLOR_PAIR(2)); + break; + case ' ': + wmove(W, c1,c2); + waddch(W,' '); + break; + case 'P': + wmove(W, c1,c2); + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + case 'Z': + attron(COLOR_PAIR(4)); + wmove(W, c1,c2); + waddch(W,'Z'); + attroff(COLOR_PAIR(4)); + break; + case 'T': + attron(COLOR_PAIR(5)); + wmove(W, c1,c2); + waddch(W,'T'); + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow =0; + deltaCol =0; + + + + do{ + + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + attron(COLOR_PAIR(1)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + if(maze[prow][pcol] == 'Z') + { + break; + } + + attron(COLOR_PAIR(3)); + wmove(W,prow,pcol); + waddch(W, 'P'); + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + free(maze); + } + /*printw("\n");*/ + endwin(); + printf("Score = %u\n", score); + return 0; +} + diff --git a/CPE455/gameproject/.svn/wc.db b/CPE455/gameproject/.svn/wc.db new file mode 100644 index 0000000..3312f62 Binary files /dev/null and b/CPE455/gameproject/.svn/wc.db differ diff --git a/CPE455/gameproject/.vscode/configurationCache.log b/CPE455/gameproject/.vscode/configurationCache.log new file mode 100644 index 0000000..c1581d4 --- /dev/null +++ b/CPE455/gameproject/.vscode/configurationCache.log @@ -0,0 +1 @@ +{"buildTargets":["clean","game","make"],"launchTargets":["/home/student/anw0044/CPE455/gameproject>game()"],"customConfigurationProvider":{"workspaceBrowse":{"browsePath":["/home/student/anw0044/CPE455/gameproject"],"compilerArgs":["-g","-Wall","--pedantic","-ansi","--coverage","game.c","-o","game","-l","ncurses"],"compilerPath":"/usr/bin/gcc","windowsSdkVersion":""},"fileIndex":[["/home/student/anw0044/CPE455/gameproject/game.c",{"uri":{"$mid":1,"fsPath":"/home/student/anw0044/CPE455/gameproject/game.c","path":"/home/student/anw0044/CPE455/gameproject/game.c","scheme":"file"},"configuration":{"defines":[],"includePath":[],"forcedInclude":[],"compilerPath":"/usr/bin/gcc","compilerArgs":["-g","-Wall","--pedantic","-ansi","--coverage","game.c","-o","game","-l","ncurses"],"windowsSdkVersion":""},"compileCommand":{"command":"gcc -g -Wall --pedantic -ansi --coverage game.c -o game -l ncurses","directory":"/home/student/anw0044/CPE455/gameproject","file":"/home/student/anw0044/CPE455/gameproject/game.c"}}]]}} \ No newline at end of file diff --git a/CPE455/gameproject/.vscode/dryrun.log b/CPE455/gameproject/.vscode/dryrun.log new file mode 100644 index 0000000..be93bd0 --- /dev/null +++ b/CPE455/gameproject/.vscode/dryrun.log @@ -0,0 +1,5 @@ +make --dry-run --always-make --keep-going --print-directory +make: Entering directory `/home/student/anw0044/CPE455/gameproject' +gcc -g -Wall --pedantic -ansi --coverage game.c -o game -l ncurses +make: Leaving directory `/home/student/anw0044/CPE455/gameproject' + diff --git a/CPE455/gameproject/.vscode/launch.json b/CPE455/gameproject/.vscode/launch.json new file mode 100644 index 0000000..3e051d5 --- /dev/null +++ b/CPE455/gameproject/.vscode/launch.json @@ -0,0 +1,33 @@ +{ + // Use IntelliSense to learn about possible attributes. + // Hover to view descriptions of existing attributes. + // For more information, visit: https://go.microsoft.com/fwlink/?linkid=830387 + "version": "0.2.0", + "configurations": [ + { + "name": "(gdb) Launch", + "type": "cppdbg", + "request": "launch", + "program": "enter program name, for example ${workspaceFolder}/a.out", + "args": [], + "stopAtEntry": false, + "cwd": "${fileDirname}", + "environment": [], + "externalConsole": false, + "MIMode": "gdb", + "setupCommands": [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + }, + { + "description": "Set Disassembly Flavor to Intel", + "text": "-gdb-set disassembly-flavor intel", + "ignoreFailures": true + } + ] + } + + ] +} \ No newline at end of file diff --git a/CPE455/gameproject/.vscode/settings.json b/CPE455/gameproject/.vscode/settings.json new file mode 100644 index 0000000..393fb13 --- /dev/null +++ b/CPE455/gameproject/.vscode/settings.json @@ -0,0 +1,14 @@ +{ + "makefile.extensionOutputFolder": "./.vscode", + "C_Cpp.default.configurationProvider": "ms-vscode.makefile-tools", + "makefile.launchConfigurations": [ + { + "cwd": "/home/student/anw0044/CPE455/gameproject", + "binaryPath": "/home/student/anw0044/CPE455/gameproject/game", + "binaryArgs": [] + } + ], + "files.associations": { + "string.h": "c" + } +} \ No newline at end of file diff --git a/CPE455/gameproject/.vscode/targets.log b/CPE455/gameproject/.vscode/targets.log new file mode 100644 index 0000000..4beea99 --- /dev/null +++ b/CPE455/gameproject/.vscode/targets.log @@ -0,0 +1,309 @@ +make all --print-data-base --no-builtin-variables --no-builtin-rules --question +# GNU Make 3.82 +# Built for x86_64-redhat-linux-gnu +# Copyright (C) 2010 Free Software Foundation, Inc. +# License GPLv3+: GNU GPL version 3 or later +# This is free software: you are free to change and redistribute it. +# There is NO WARRANTY, to the extent permitted by law. + +# Make data base, printed on Thu Apr 28 08:13:06 2022 + +# Variables + +# automatic + +#include +#include +#include +#include +#include + +extern WINDOW* initscr(void); +extern int start_color(void); +extern int noecho(void); + + +/* LDRA_EXCLUDE RULE VIOLATION FALSE POSITIVE -- why */ + +int main(int argc, char *argv[]) +{ + FILE* mazeFile; /* Maze Input File */ + unsigned int row = 0; /* Holds number of rows for input from file */ + unsigned int col = 0; /* Holds number of cols for input from file */ + unsigned int pcol = 0; + unsigned int prow = 0; + char shold[2000]; /* Holds lines for input from file */ + unsigned int l = 0; + unsigned int k = 0; + char **maze; /* array for maze */ + unsigned int c1 = 0; + unsigned int c2 = 0; + unsigned int i = 0; + unsigned int j = 0; + unsigned int x = 0; + unsigned int y = 0; + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + unsigned int score = 0; + + + WINDOW* W = 0; /* Curses Window handle */ + unsigned int rowLimit,colLimit; /* Num of rows and columns in terminal window */ + /* Initialize ncurses -- you will want to use the following settings */ + + + + /* check to see if argument */ + if (argc != 2) + { + /*printw("An error has ocurred no input file was given.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to open the file so must use bad one bc we cannot create one ourselves as we are not wizards */ + mazeFile = fopen(argv[1], "r"); /* open file */ + if (mazeFile == NULL) + { + /*printw("Error in opening the file.\n");*/ + return 1; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to get first char from file and we must use bad one as we cannot make one ourselves */ + fgets(shold, 2, mazeFile); + while ( feof(mazeFile) == 0 ) + { + + /* parse maze file, + adding chars to array */ + switch(shold[0]) + { + case 'M': + /* read array values, dynamically allocate array */ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &row, &col); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to dynamially allocate memory cannot create own as we lack experience */ + maze = (char**)malloc(row * 8); /* allocate memory for rows of array, 8 reperesents size of char* */ + for(i = (unsigned) 0; i < row; i++) + { + if (maze==NULL) + { + break; + } + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to dynamially allocate memory cannot create own as we lack experience */ + maze[i] = malloc(col * 8); /* allocate memory for collumns of array, 8 reperesents size of char* */ + } + for (x = (unsigned) 0; x < row; x++) + { + for (j = (unsigned) 0; j < col; j++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + if (maze[x][j] == '\n') + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%c", &maze[x][j]); + } + } + } + break; + case 'P': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'P'; + break; + + case 'Z': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'Z'; + break; + + case 'T': + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fscanf(mazeFile, "%3u %3u", &k, &l); + /* check maze bounds */ + if(k>= row || l>= col){ + break; + } + maze[k][l] = 'T'; + break; + default: + break; + }; + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to scan content from file, have ensured we will not scan extra */ + fgets(shold, 2, mazeFile); + if (feof(mazeFile) != 0) + { + break; + } + } + + + + rowLimit = row; + colLimit = col; + fclose(mazeFile); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + start_color(); /* Enable use of color with ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + noecho(); /* Disable echo printing of chars type by user */ + if (W == NULL) + { + return 0; + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + keypad(W, true); /* Enable keypad and arrow keys */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + curs_set(0); /* Set cursor to be invisible - 0 */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(2, COLOR_GREEN, COLOR_GREEN); /* Red text on Black background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(3, COLOR_WHITE, COLOR_WHITE); /* White text on Blue background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(4, COLOR_RED, COLOR_RED); /* Yellow text on Yellow background */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + init_pair(5, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + /* start ncurses coloring of maze */ + for (c1 =(unsigned)0; c1 < row; c1++) + { + for (c2=(unsigned)0; c2 < col; c2++) + { + if (maze==NULL) + { + break; + } + /* parse maze */ + switch(maze[c1][c2]) + { + /* color wall green */ + case '#': + /* read array values, dynamically allocate array*/ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(2)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'#'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(2)); + break; + /* place space at position specified in array */ + case ' ': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,' '); + break; + /* place player at position specified in file */ + case 'P': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'P'); + prow=c1; + pcol=c2; + break; + /* place zombie at position specified in file */ + case 'Z': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(4)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'Z'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(4)); + break; + /* place treasure at position specified in file */ + case 'T': + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(5)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W, c1,c2); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W,'T'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(5)); + break; + + default: + break; + }; + } + } + + deltaRow = 0; + deltaCol = 0; + + + + do{ + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == (int)'a' || kb == (int)'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaRow =0; + deltaCol =-1; + } + else if (kb == (int)'d' || kb == (int)'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaRow =0; + deltaCol =1; + } + else if (kb == (int)'w' || kb == (int)'i' || kb == KEY_UP) + { + /* Move Up */ + deltaRow =-1; + deltaCol =0; + } + else if (kb == (int)'s' || kb == (int)'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaRow =1; + deltaCol =0; + } + else if (kb == (int)'q') + { + /* q to exit player movement loop */ + break; + }; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(1)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(1)); + /* check current player position against maze bounds, + if over the bounds, don't move */ + if(((prow + (unsigned int)deltaRow) > row) || ((pcol + (unsigned int)deltaCol) > col)){ + deltaRow = 0; + deltaCol = 0; + } + /* check future player position to see if wall; + if it is, stay in the same spot, + else move to new postion */ + if(maze[((int)prow + deltaRow)][((int)pcol + deltaCol)] == '#'){ + prow = prow; + pcol = pcol; + } + else{ + prow = prow + (unsigned int)deltaRow; + pcol = pcol + (unsigned int)deltaCol; + } + /* check curremt position for treasure, + make treasure position a space, + and increment score */ + if(maze[prow][pcol] == 'T') + { + maze[prow][pcol] = ' '; + score++; + } + /* check curremt position for zombie, + end game */ + if(maze[prow][pcol] == 'Z') + { + break; + } + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attron(COLOR_PAIR(3)); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wmove(W,prow,pcol); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + waddch(W, 'P'); + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + attroff(COLOR_PAIR(3)); + + deltaRow =0; + deltaCol =0; + + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + /* free the memory */ + for(y = (unsigned)0; y < row; y++) + { + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze[y]); + maze[y] = NULL; + } + if(maze != NULL){ + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to free allocated memory, cannot create one ourselves bc we are not wizards */ + free(maze); + } + /* LDRA_EXCLUDE 170 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 496 S FALSE POSITIVE -- needed for ncurses */ + /* LDRA_EXCLUDE 41 D FALSE POSITIVE -- needed for ncurses */ + endwin(); + /* LDRA_EXCLUDE 44 S FALSE POSITIVE -- Need function to print score for project, so even though not great have to use bad one */ + printf("Score = %u\n", score); + return 0; + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Y was statically allocated no need to free it */ + /* LDRA_EXCLUDE 50 D FALSE POSITIVE -- Maze has been freed already valgrind shows no leaks error must have ocurred in analysis */ +} + diff --git a/CPE455/gameproject/game.gcda b/CPE455/gameproject/game.gcda new file mode 100644 index 0000000..9581743 Binary files /dev/null and b/CPE455/gameproject/game.gcda differ diff --git a/CPE455/gameproject/game.gcno b/CPE455/gameproject/game.gcno new file mode 100644 index 0000000..c647113 Binary files /dev/null and b/CPE455/gameproject/game.gcno differ diff --git a/CPE455/gameproject/maze1.txt b/CPE455/gameproject/maze1.txt new file mode 100644 index 0000000..004bd88 --- /dev/null +++ b/CPE455/gameproject/maze1.txt @@ -0,0 +1,14 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +P 2 10 diff --git a/CPE455/gameproject/maze2.txt b/CPE455/gameproject/maze2.txt new file mode 100644 index 0000000..c550ef6 --- /dev/null +++ b/CPE455/gameproject/maze2.txt @@ -0,0 +1,15 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +P 2 10 +Z 8 10 diff --git a/CPE455/gameproject/maze3.txt b/CPE455/gameproject/maze3.txt new file mode 100644 index 0000000..030f32e --- /dev/null +++ b/CPE455/gameproject/maze3.txt @@ -0,0 +1,16 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +Z 8 10 +P 2 10 +T 8 15 diff --git a/CPE455/gameproject/maze4.txt b/CPE455/gameproject/maze4.txt new file mode 100644 index 0000000..383995d --- /dev/null +++ b/CPE455/gameproject/maze4.txt @@ -0,0 +1,16 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +T 8 15 +Z 8 10 +P 2 10 diff --git a/CPE455/gameproject/maze5.txt b/CPE455/gameproject/maze5.txt new file mode 100644 index 0000000..3aad3e8 --- /dev/null +++ b/CPE455/gameproject/maze5.txt @@ -0,0 +1,18 @@ +M 12 40 +######################################## +# # # # +# # # # +# # # # +# # ###### ############ ### # +# # # # # # +# # # # # # +# # ###### #### ########## # +# # # # +# # # # +# # # # +######################################## +T 8 15 +Z 8 10 +P 2 10 +Z 7 24 +T 2 20 diff --git a/CPE455/gameproject/maze6.txt b/CPE455/gameproject/maze6.txt new file mode 100644 index 0000000..6669601 --- /dev/null +++ b/CPE455/gameproject/maze6.txt @@ -0,0 +1,21 @@ +M 14 21 +##################### +# # # # +# # # # +# # # # +# ####### ####### +# # +# # +# ########### # +# # # +# # # +# ##### # +# # +# # +##################### +T 8 15 +Z 9 10 +Z 6 11 +T 2 8 +P 2 10 +T 2 12 diff --git a/CPE455/gameproject/maze7.txt b/CPE455/gameproject/maze7.txt new file mode 100644 index 0000000..316ba77 --- /dev/null +++ b/CPE455/gameproject/maze7.txt @@ -0,0 +1,39 @@ +M 22 75 +########################################################################### +# # # +# # # +# # # +# ################## # # +# # # # +# # # # +# # ############ # # # +# # # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # # # +# # # # +# # # # +# ######################### # +# # # +# # # +# # # +########################################################################### +P 10 30 +Z 19 5 +Z 19 10 +Z 19 15 +T 5 43 +T 10 43 +T 15 43 +Z 2 5 +Z 2 10 +Z 2 15 +T 5 55 +T 10 55 +T 15 55 +T 5 67 +T 10 67 +T 15 67 diff --git a/CPE455/lab01/driver.c b/CPE455/lab01/driver.c new file mode 100644 index 0000000..43c700d --- /dev/null +++ b/CPE455/lab01/driver.c @@ -0,0 +1,121 @@ +/* + * + * driver.c + * + * DO NOT MOFIFY OR SUBMIT THE CODE IN THIS FILE + * + */ + +#include +#include +#include +#include + +#include "stack.h" + + +/* bar( ) : writes bar of * to stdout */ +void bar(void) { printf("****************************************************************\n"); } + +/* main( ) : driver function to test */ +int main(int argc, char* argv[]) +{ + FILE* testFile; /* Input file */ + char c; /* First char of line input from file */ + int temp; /* Integer input from file */ + char s[2000]; /* Holds line input from file */ + + struct Node* headPtr = NULL; /* Pointer to top of stack */ + + if (argc != 2) + { + printf("Error: missing name of input file\n"); + return 1; + } + + testFile = fopen(argv[1], "r"); + + if ( testFile == NULL ) + { + printf("Error: unable to open file. Exiting now...\n"); + return 1; + } + + /* Echo print comment line in input file */ + fgets(s, 2000, testFile); + printf("Comment: %s\n",s); + + /* Priming read */ + fgets(s, 2, testFile); + + while ( !feof(testFile) ) + { + switch(s[0]) + { + case 'p': /* Print */ + printf("Print(): top [ "); + Print(headPtr); + printf("] bottom\n"); + break; + + case '+': /* Push */ + fscanf(testFile, "%c", &c); + fscanf(testFile, "%d", &temp); + printf("Push(%d)\n", temp); + Push(&headPtr, temp); + break; + + case '-': /* Pop */ + fscanf(testFile, "%c", &c); + temp = Pop(&headPtr); + printf("Pop(): %d\n", temp); + break; + + case 's': /* Size */ + printf("Size() = %d\n", Size(headPtr)); + break; + + case 'b': /* Bar */ + bar(); + break; + + case 'c': /* Clear */ + Clear(&headPtr); + printf("Clear()\n"); + break; + + case '\n': + break; + + default: /* Handle error */ + printf("Error: unknown command - %c\n", c); + break; + }; + + fgets(s, 2, testFile); + + if (feof(testFile)) + { + break; + } + } + + Clear(&headPtr); + fclose(testFile); + + return 0; +} + +/* Print(...) : outputs the current contents of the stack top to bottom separated by spaces */ +void Print(struct Node* headPtr) +{ + struct Node* tempPtr = headPtr; + + while (tempPtr != NULL) + { + printf("%d ", tempPtr->data); + tempPtr = tempPtr->nextPtr; + } +} + + diff --git a/CPE455/lab01/driver.o b/CPE455/lab01/driver.o new file mode 100644 index 0000000..6cec500 Binary files /dev/null and b/CPE455/lab01/driver.o differ diff --git a/CPE455/lab01/input1.txt b/CPE455/lab01/input1.txt new file mode 100644 index 0000000..9c55815 --- /dev/null +++ b/CPE455/lab01/input1.txt @@ -0,0 +1,30 @@ +# input1.txt - test push and clear operations +b +p +b ++ 5 +p +b ++ 10 +p +b ++ 15 +p +b ++ 20 +p +b +c +p +b ++ 1 ++ 2 ++ 3 ++ 4 ++ 5 +p +b +c +p +b + diff --git a/CPE455/lab01/input2.txt b/CPE455/lab01/input2.txt new file mode 100644 index 0000000..a3f9806 --- /dev/null +++ b/CPE455/lab01/input2.txt @@ -0,0 +1,29 @@ +# input2.txt - test pop operation +b +p +b ++ 1 ++ 2 ++ 3 ++ 4 ++ 5 +p +b +- +p +b +- +p +b +- +p +b +- +p +b +- +p +b +p +b + diff --git a/CPE455/lab01/input3.txt b/CPE455/lab01/input3.txt new file mode 100644 index 0000000..eb2ce83 --- /dev/null +++ b/CPE455/lab01/input3.txt @@ -0,0 +1,36 @@ +# input3.txt - test size operation +b +p +s +b ++ 1 ++ 2 ++ 3 ++ 4 ++ 5 +p +s +b +- +p +s +b +- +p +s +b +- +p +s +b +- +p +s +b +- +p +s +b +p +s +b diff --git a/CPE455/lab01/input4.txt b/CPE455/lab01/input4.txt new file mode 100644 index 0000000..04936c6 --- /dev/null +++ b/CPE455/lab01/input4.txt @@ -0,0 +1,36 @@ +# input4.txt - test clear operation +b +c +p +b ++ 99 +p +c +p +b ++ 5 +p +b ++ 10 +p +b ++ 15 +p +b ++ 20 +p +b +c +p +b ++ 1 ++ 2 ++ 3 ++ 4 ++ 5 +p +b +c +p +b + diff --git a/CPE455/lab01/lab01 b/CPE455/lab01/lab01 new file mode 100755 index 0000000..77228f7 Binary files /dev/null and b/CPE455/lab01/lab01 differ diff --git a/CPE455/lab01/makefile b/CPE455/lab01/makefile new file mode 100644 index 0000000..09bc0b6 --- /dev/null +++ b/CPE455/lab01/makefile @@ -0,0 +1,20 @@ +# +# lab01 makefile - DO NOT MODIFY THIS MAKEFILE +# + +CC = gcc +CFLAGS = -g -Wall -pedantic + +lab01: driver.o stack.o + $(CC) $(CFLAGS) driver.o stack.o -o lab01 + +driver.o: driver.c stack.h + $(CC) $(CFLAGS) -c driver.c + +stack.o: stack.c stack.h + $(CC) $(CFLAGS) -c stack.c + +clean: + rm lab01 driver.o stack.o + + diff --git a/CPE455/lab01/stack.c b/CPE455/lab01/stack.c new file mode 100644 index 0000000..8d9ee90 --- /dev/null +++ b/CPE455/lab01/stack.c @@ -0,0 +1,64 @@ +/* + * + * stack.c + * + * ADD ALL YOUR CODE HERE AND SUBMIT ONLY stack.c + * + * Be sure to test functionality with all input files + * + * and eliminate all memory leaks + * + */ + +#include +#include +#include +#include + +#include "stack.h" + + + +/* Push(...) : adds a new node containing value to the top of the stack assuming stack is not full */ +void Push(struct Node** headPtr, int value) +{ + struct Node * ptr = (struct Node*) malloc(sizeof(struct Node)); + ptr->data = value; + ptr->nextPtr = *headPtr; + *headPtr=ptr; +} + +/* Pop(...) : removes and frees node from top of stack, returning the data from the removed node, + * assuming the stack is not empty + */ +int Pop(struct Node** headPtr) +{ + struct Node * ptr = *headPtr; + int data = ptr->data; + ptr->nextPtr = *headPtr; + + ptr->nextPtr=NULL; + + + return data; +} + +/* Clear(...) : removes and frees all nodes from stack */ +void Clear(struct Node** headPtr) +{ + +} + +/* Size(...) : returns the number of nodes currently stored in the stack */ +uint32_t Size(struct Node* headPtr) +{ + struct Node * ptr = (struct Node*) malloc(sizeof(struct Node)); + + + return 0; /* Replace this statement with correct code */ +} + + + + + diff --git a/CPE455/lab01/stack.h b/CPE455/lab01/stack.h new file mode 100644 index 0000000..5bb9d93 --- /dev/null +++ b/CPE455/lab01/stack.h @@ -0,0 +1,45 @@ +/* + * + * stack.h + * + * DO NOT MOFIFY OR SUBMIT THE CODE IN THIS FILE + * + */ + +#ifndef STACK_H +#define STACK_H + + +struct Node +{ + int data; /* Data member of node structure */ + struct Node* nextPtr; /* Address of next node structure variable in the sequence */ +}; + +extern void Print(struct Node* headPtr); +/* Print(...) : outputs the current contents of the stack top to bottom separated by spaces */ + + +extern uint32_t Size(struct Node* headPtr); +/* Size(...) : returns the number of nodes currently stored in the stack */ + + +extern void Push(struct Node** headPtr, int value); +/* Push(...) : adds a new node containing value to the top of the stack assuming stack is not full */ + + +extern int Pop(struct Node** headPtr); +/* Pop(...) : removes and frees node from top of stack, returning the data from the removed node, + * assuming the stack is not empty + */ + + +extern void Clear(struct Node** headPtr); +/* Clear(...) : removes and frees all nodes from stack */ + + +#endif + + + + diff --git a/CPE455/lab01/stack.o b/CPE455/lab01/stack.o new file mode 100644 index 0000000..86106b4 Binary files /dev/null and b/CPE455/lab01/stack.o differ diff --git a/CPE455/lab02/.vscode/c_cpp_properties.json b/CPE455/lab02/.vscode/c_cpp_properties.json new file mode 100644 index 0000000..dab2f43 --- /dev/null +++ b/CPE455/lab02/.vscode/c_cpp_properties.json @@ -0,0 +1,16 @@ +{ + "configurations": [ + { + "name": "linux-gcc-x64", + "includePath": [ + "${workspaceFolder}/**" + ], + "compilerPath": "/usr/bin/gcc", + "cStandard": "${default}", + "cppStandard": "${default}", + "intelliSenseMode": "linux-gcc-x64", + "compilerArgs": [] + } + ], + "version": 4 +} \ No newline at end of file diff --git a/CPE455/lab02/.vscode/launch.json b/CPE455/lab02/.vscode/launch.json new file mode 100644 index 0000000..1c3b9fc --- /dev/null +++ b/CPE455/lab02/.vscode/launch.json @@ -0,0 +1,28 @@ +{ + "version": "0.2.0", + "configurations": [ + { + "name": "C/C++ Runner: Debug Session", + "type": "cppdbg", + "request": "launch", + "args": [ + "" + ], + "stopAtEntry": false, + "cwd": "/home/student/anw0044/CPE455/lab02", + "environment": [], + "program": "/home/student/anw0044/CPE455/lab02/build/Debug/outDebug", + "internalConsoleOptions": "openOnSessionStart", + "MIMode": "gdb", + "miDebuggerPath": "/usr/bin/gdb", + "externalConsole": false, + "setupCommands": [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + } + ] + } + ] +} \ No newline at end of file diff --git a/CPE455/lab02/.vscode/settings.json b/CPE455/lab02/.vscode/settings.json new file mode 100644 index 0000000..862cfc6 --- /dev/null +++ b/CPE455/lab02/.vscode/settings.json @@ -0,0 +1,30 @@ +{ + "C_Cpp_Runner.cCompilerPath": "/usr/bin/gcc", + "C_Cpp_Runner.cppCompilerPath": "/usr/bin/g++", + "C_Cpp_Runner.debuggerPath": "/usr/bin/gdb", + "C_Cpp_Runner.cStandard": "", + "C_Cpp_Runner.cppStandard": "", + "C_Cpp_Runner.msvcBatchPath": "", + "C_Cpp_Runner.warnings": [ + "-Wall", + "-Wextra", + "-Wpedantic" + ], + "C_Cpp_Runner.enableWarnings": true, + "C_Cpp_Runner.warningsAsError": false, + "C_Cpp_Runner.compilerArgs": [], + "C_Cpp_Runner.linkerArgs": [], + "C_Cpp_Runner.includePaths": [], + "C_Cpp_Runner.includeSearch": [ + "*", + "**/*" + ], + "C_Cpp_Runner.excludeSearch": [ + "**/build", + "**/build/**", + "**/.*", + "**/.*/**", + "**/.vscode", + "**/.vscode/**" + ] +} \ No newline at end of file diff --git a/CPE455/lab02/input.txt b/CPE455/lab02/input.txt new file mode 100644 index 0000000..a1db313 --- /dev/null +++ b/CPE455/lab02/input.txt @@ -0,0 +1 @@ +AAAABBBBCCCCDDDDEEEEFFFFGGGGHHHH diff --git a/CPE455/lab02/keyboardbo b/CPE455/lab02/keyboardbo new file mode 100755 index 0000000..c877ab6 Binary files /dev/null and b/CPE455/lab02/keyboardbo differ diff --git a/CPE455/lab02/keyboardbo.cpp b/CPE455/lab02/keyboardbo.cpp new file mode 100644 index 0000000..bbcd03d --- /dev/null +++ b/CPE455/lab02/keyboardbo.cpp @@ -0,0 +1,27 @@ + +#include + +using namespace std; + +int main( int argc, char* argv[ ] ) +{ + char a = 'A'; + char b = 'B'; + char buffer[4]; + char c = 'C'; + char d = 'D'; + + cout << "Enter up to 4 characters now: " << endl; + cin >> buffer; + cout << endl; + + cout << "buff = " << buffer << endl; + cout << "a = " << a << endl; + cout << "b = " << b << endl; + cout << "c = " << c << endl; + cout << "d = " << d << endl; + + return 0; +} + + diff --git a/CPE455/lab02/lab02.txt b/CPE455/lab02/lab02.txt new file mode 100644 index 0000000..27e7e9a --- /dev/null +++ b/CPE455/lab02/lab02.txt @@ -0,0 +1,2053 @@ +Script started on Thu 03 Mar 2022 09:47:42 AM CST +[?1034hbash-4.2$ gcc ++ -g mykeyboardbo.cpp -o keyboardbo.cpp  +bash-4.2$ file keyboardbo +keyboardbo: ELF 64-bit LSB executable, x86-64, version 1 (SYSV), dynamically linked (uses shared libs), for GNU/Linux 2.6.32, BuildID[sha1]=069e77de925d719c6954141d01129fb285470713, not stripped +bash-4.2$ objdump -d keyboardbo + +keyboardbo: file format elf64-x86-64 + + +Disassembly of section .init: + +0000000000400750 <_init>: + 400750: 48 83 ec 08 sub $0x8,%rsp + 400754: 48 8b 05 9d 08 20 00 mov 0x20089d(%rip),%rax # 600ff8 <__gmon_start__> + 40075b: 48 85 c0 test %rax,%rax + 40075e: 74 05 je 400765 <_init+0x15> + 400760: e8 1b 00 00 00 callq 400780 <__gmon_start__@plt> + 400765: 48 83 c4 08 add $0x8,%rsp + 400769: c3 retq + +Disassembly of section .plt: + +0000000000400770 <.plt>: + 400770: ff 35 92 08 20 00 pushq 0x200892(%rip) # 601008 <_GLOBAL_OFFSET_TABLE_+0x8> + 400776: ff 25 94 08 20 00 jmpq *0x200894(%rip) # 601010 <_GLOBAL_OFFSET_TABLE_+0x10> + 40077c: 0f 1f 40 00 nopl 0x0(%rax) + +0000000000400780 <__gmon_start__@plt>: + 400780: ff 25 92 08 20 00 jmpq *0x200892(%rip) # 601018 <__gmon_start__> + 400786: 68 00 00 00 00 pushq $0x0 + 40078b: e9 e0 ff ff ff jmpq 400770 <.plt> + +0000000000400790 <_ZNSt8ios_base4InitC1Ev@plt>: + 400790: ff 25 8a 08 20 00 jmpq *0x20088a(%rip) # 601020 <_ZNSt8ios_base4InitC1Ev@GLIBCXX_3.4> + 400796: 68 01 00 00 00 pushq $0x1 + 40079b: e9 d0 ff ff ff jmpq 400770 <.plt> + +00000000004007a0 <__libc_start_main@plt>: + 4007a0: ff 25 82 08 20 00 jmpq *0x200882(%rip) # 601028 <__libc_start_main@GLIBC_2.2.5> + 4007a6: 68 02 00 00 00 pushq $0x2 + 4007ab: e9 c0 ff ff ff jmpq 400770 <.plt> + +00000000004007b0 <__cxa_atexit@plt>: + 4007b0: ff 25 7a 08 20 00 jmpq *0x20087a(%rip) # 601030 <__cxa_atexit@GLIBC_2.2.5> + 4007b6: 68 03 00 00 00 pushq $0x3 + 4007bb: e9 b0 ff ff ff jmpq 400770 <.plt> + +00000000004007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt>: + 4007c0: ff 25 72 08 20 00 jmpq *0x200872(%rip) # 601038 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@GLIBCXX_3.4> + 4007c6: 68 04 00 00 00 pushq $0x4 + 4007cb: e9 a0 ff ff ff jmpq 400770 <.plt> + +00000000004007d0 <_ZNSt8ios_base4InitD1Ev@plt>: + 4007d0: ff 25 6a 08 20 00 jmpq *0x20086a(%rip) # 601040 <_ZNSt8ios_base4InitD1Ev@GLIBCXX_3.4> + 4007d6: 68 05 00 00 00 pushq $0x5 + 4007db: e9 90 ff ff ff jmpq 400770 <.plt> + +00000000004007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt>: + 4007e0: ff 25 62 08 20 00 jmpq *0x200862(%rip) # 601048 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@GLIBCXX_3.4> + 4007e6: 68 06 00 00 00 pushq $0x6 + 4007eb: e9 80 ff ff ff jmpq 400770 <.plt> + +00000000004007f0 <_ZStrsIcSt11char_traitsIcEERSt13basic_istreamIT_T0_ES6_PS3_@plt>: + 4007f0: ff 25 5a 08 20 00 jmpq *0x20085a(%rip) # 601050 <_ZStrsIcSt11char_traitsIcEERSt13basic_istreamIT_T0_ES6_PS3_@GLIBCXX_3.4> + 4007f6: 68 07 00 00 00 pushq $0x7 + 4007fb: e9 70 ff ff ff jmpq 400770 <.plt> + +0000000000400800 <_ZNSolsEPFRSoS_E@plt>: + 400800: ff 25 52 08 20 00 jmpq *0x200852(%rip) # 601058 <_ZNSolsEPFRSoS_E@GLIBCXX_3.4> + 400806: 68 08 00 00 00 pushq $0x8 + 40080b: e9 60 ff ff ff jmpq 400770 <.plt> + +0000000000400810 <_ZSt4endlIcSt11char_traitsIcEERSt13basic_ostreamIT_T0_ES6_@plt>: + 400810: ff 25 4a 08 20 00 jmpq *0x20084a(%rip) # 601060 <_ZSt4endlIcSt11char_traitsIcEERSt13basic_ostreamIT_T0_ES6_@GLIBCXX_3.4> + 400816: 68 09 00 00 00 pushq $0x9 + 40081b: e9 50 ff ff ff jmpq 400770 <.plt> + +Disassembly of section .text: + +0000000000400820 <_start>: + 400820: 31 ed xor %ebp,%ebp + 400822: 49 89 d1 mov %rdx,%r9 + 400825: 5e pop %rsi + 400826: 48 89 e2 mov %rsp,%rdx + 400829: 48 83 e4 f0 and $0xfffffffffffffff0,%rsp + 40082d: 50 push %rax + 40082e: 54 push %rsp + 40082f: 49 c7 c0 10 0b 40 00 mov $0x400b10,%r8 + 400836: 48 c7 c1 a0 0a 40 00 mov $0x400aa0,%rcx + 40083d: 48 c7 c7 0d 09 40 00 mov $0x40090d,%rdi + 400844: e8 57 ff ff ff callq 4007a0 <__libc_start_main@plt> + 400849: f4 hlt + 40084a: 66 0f 1f 44 00 00 nopw 0x0(%rax,%rax,1) + +0000000000400850 : + 400850: b8 77 10 60 00 mov $0x601077,%eax + 400855: 55 push %rbp + 400856: 48 2d 70 10 60 00 sub $0x601070,%rax + 40085c: 48 83 f8 0e cmp $0xe,%rax + 400860: 48 89 e5 mov %rsp,%rbp + 400863: 77 02 ja 400867 + 400865: 5d pop %rbp + 400866: c3 retq + 400867: b8 00 00 00 00 mov $0x0,%eax + 40086c: 48 85 c0 test %rax,%rax + 40086f: 74 f4 je 400865 + 400871: 5d pop %rbp + 400872: bf 70 10 60 00 mov $0x601070,%edi + 400877: ff e0 jmpq *%rax + 400879: 0f 1f 80 00 00 00 00 nopl 0x0(%rax) + +0000000000400880 : + 400880: b8 70 10 60 00 mov $0x601070,%eax + 400885: 55 push %rbp + 400886: 48 2d 70 10 60 00 sub $0x601070,%rax + 40088c: 48 c1 f8 03 sar $0x3,%rax + 400890: 48 89 e5 mov %rsp,%rbp + 400893: 48 89 c2 mov %rax,%rdx + 400896: 48 c1 ea 3f shr $0x3f,%rdx + 40089a: 48 01 d0 add %rdx,%rax + 40089d: 48 d1 f8 sar %rax + 4008a0: 75 02 jne 4008a4 + 4008a2: 5d pop %rbp + 4008a3: c3 retq + 4008a4: ba 00 00 00 00 mov $0x0,%edx + 4008a9: 48 85 d2 test %rdx,%rdx + 4008ac: 74 f4 je 4008a2 + 4008ae: 5d pop %rbp + 4008af: 48 89 c6 mov %rax,%rsi + 4008b2: bf 70 10 60 00 mov $0x601070,%edi + 4008b7: ff e2 jmpq *%rdx + 4008b9: 0f 1f 80 00 00 00 00 nopl 0x0(%rax) + +00000000004008c0 <__do_global_dtors_aux>: + 4008c0: 80 3d e9 09 20 00 00 cmpb $0x0,0x2009e9(%rip) # 6012b0 + 4008c7: 75 11 jne 4008da <__do_global_dtors_aux+0x1a> + 4008c9: 55 push %rbp + 4008ca: 48 89 e5 mov %rsp,%rbp + 4008cd: e8 7e ff ff ff callq 400850 + 4008d2: 5d pop %rbp + 4008d3: c6 05 d6 09 20 00 01 movb $0x1,0x2009d6(%rip) # 6012b0 + 4008da: f3 c3 repz retq + 4008dc: 0f 1f 40 00 nopl 0x0(%rax) + +00000000004008e0 : + 4008e0: 48 83 3d 08 05 20 00 cmpq $0x0,0x200508(%rip) # 600df0 <__JCR_END__> + 4008e7: 00 + 4008e8: 74 1e je 400908 + 4008ea: b8 00 00 00 00 mov $0x0,%eax + 4008ef: 48 85 c0 test %rax,%rax + 4008f2: 74 14 je 400908 + 4008f4: 55 push %rbp + 4008f5: bf f0 0d 60 00 mov $0x600df0,%edi + 4008fa: 48 89 e5 mov %rsp,%rbp + 4008fd: ff d0 callq *%rax + 4008ff: 5d pop %rbp + 400900: e9 7b ff ff ff jmpq 400880 + 400905: 0f 1f 00 nopl (%rax) + 400908: e9 73 ff ff ff jmpq 400880 + +000000000040090d
: + 40090d: 55 push %rbp + 40090e: 48 89 e5 mov %rsp,%rbp + 400911: 53 push %rbx + 400912: 48 83 ec 28 sub $0x28,%rsp + 400916: 89 7d dc mov %edi,-0x24(%rbp) + 400919: 48 89 75 d0 mov %rsi,-0x30(%rbp) + 40091d: c6 45 ef 41 movb $0x41,-0x11(%rbp) + 400921: c6 45 ee 42 movb $0x42,-0x12(%rbp) + 400925: c6 45 ed 43 movb $0x43,-0x13(%rbp) + 400929: c6 45 ec 44 movb $0x44,-0x14(%rbp) + 40092d: be 30 0b 40 00 mov $0x400b30,%esi + 400932: bf a0 11 60 00 mov $0x6011a0,%edi + 400937: e8 a4 fe ff ff callq 4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 40093c: be 10 08 40 00 mov $0x400810,%esi + 400941: 48 89 c7 mov %rax,%rdi + 400944: e8 b7 fe ff ff callq 400800 <_ZNSolsEPFRSoS_E@plt> + 400949: 48 8d 45 e0 lea -0x20(%rbp),%rax + 40094d: 48 89 c6 mov %rax,%rsi + 400950: bf 80 10 60 00 mov $0x601080,%edi + 400955: e8 96 fe ff ff callq 4007f0 <_ZStrsIcSt11char_traitsIcEERSt13basic_istreamIT_T0_ES6_PS3_@plt> + 40095a: be 10 08 40 00 mov $0x400810,%esi + 40095f: bf a0 11 60 00 mov $0x6011a0,%edi + 400964: e8 97 fe ff ff callq 400800 <_ZNSolsEPFRSoS_E@plt> + 400969: be 4f 0b 40 00 mov $0x400b4f,%esi + 40096e: bf a0 11 60 00 mov $0x6011a0,%edi + 400973: e8 68 fe ff ff callq 4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 400978: 48 8d 55 e0 lea -0x20(%rbp),%rdx + 40097c: 48 89 d6 mov %rdx,%rsi + 40097f: 48 89 c7 mov %rax,%rdi + 400982: e8 59 fe ff ff callq 4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 400987: be 10 08 40 00 mov $0x400810,%esi + 40098c: 48 89 c7 mov %rax,%rdi + 40098f: e8 6c fe ff ff callq 400800 <_ZNSolsEPFRSoS_E@plt> + 400994: 0f be 5d ef movsbl -0x11(%rbp),%ebx + 400998: be 57 0b 40 00 mov $0x400b57,%esi + 40099d: bf a0 11 60 00 mov $0x6011a0,%edi + 4009a2: e8 39 fe ff ff callq 4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 4009a7: 89 de mov %ebx,%esi + 4009a9: 48 89 c7 mov %rax,%rdi + 4009ac: e8 0f fe ff ff callq 4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 4009b1: be 10 08 40 00 mov $0x400810,%esi + 4009b6: 48 89 c7 mov %rax,%rdi + 4009b9: e8 42 fe ff ff callq 400800 <_ZNSolsEPFRSoS_E@plt> + 4009be: 0f be 5d ee movsbl -0x12(%rbp),%ebx + 4009c2: be 5c 0b 40 00 mov $0x400b5c,%esi + 4009c7: bf a0 11 60 00 mov $0x6011a0,%edi + 4009cc: e8 0f fe ff ff callq 4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 4009d1: 89 de mov %ebx,%esi + 4009d3: 48 89 c7 mov %rax,%rdi + 4009d6: e8 e5 fd ff ff callq 4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 4009db: be 10 08 40 00 mov $0x400810,%esi + 4009e0: 48 89 c7 mov %rax,%rdi + 4009e3: e8 18 fe ff ff callq 400800 <_ZNSolsEPFRSoS_E@plt> + 4009e8: 0f be 5d ed movsbl -0x13(%rbp),%ebx + 4009ec: be 61 0b 40 00 mov $0x400b61,%esi + 4009f1: bf a0 11 60 00 mov $0x6011a0,%edi + 4009f6: e8 e5 fd ff ff callq 4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 4009fb: 89 de mov %ebx,%esi + 4009fd: 48 89 c7 mov %rax,%rdi + 400a00: e8 bb fd ff ff callq 4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 400a05: be 10 08 40 00 mov $0x400810,%esi + 400a0a: 48 89 c7 mov %rax,%rdi + 400a0d: e8 ee fd ff ff callq 400800 <_ZNSolsEPFRSoS_E@plt> + 400a12: 0f be 5d ec movsbl -0x14(%rbp),%ebx + 400a16: be 66 0b 40 00 mov $0x400b66,%esi + 400a1b: bf a0 11 60 00 mov $0x6011a0,%edi + 400a20: e8 bb fd ff ff callq 4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 400a25: 89 de mov %ebx,%esi + 400a27: 48 89 c7 mov %rax,%rdi + 400a2a: e8 91 fd ff ff callq 4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 400a2f: be 10 08 40 00 mov $0x400810,%esi + 400a34: 48 89 c7 mov %rax,%rdi + 400a37: e8 c4 fd ff ff callq 400800 <_ZNSolsEPFRSoS_E@plt> + 400a3c: b8 00 00 00 00 mov $0x0,%eax + 400a41: 48 83 c4 28 add $0x28,%rsp + 400a45: 5b pop %rbx + 400a46: 5d pop %rbp + 400a47: c3 retq + +0000000000400a48 <_Z41__static_initialization_and_destruction_0ii>: + 400a48: 55 push %rbp + 400a49: 48 89 e5 mov %rsp,%rbp + 400a4c: 48 83 ec 10 sub $0x10,%rsp + 400a50: 89 7d fc mov %edi,-0x4(%rbp) + 400a53: 89 75 f8 mov %esi,-0x8(%rbp) + 400a56: 83 7d fc 01 cmpl $0x1,-0x4(%rbp) + 400a5a: 75 27 jne 400a83 <_Z41__static_initialization_and_destruction_0ii+0x3b> + 400a5c: 81 7d f8 ff ff 00 00 cmpl $0xffff,-0x8(%rbp) + 400a63: 75 1e jne 400a83 <_Z41__static_initialization_and_destruction_0ii+0x3b> + 400a65: bf b1 12 60 00 mov $0x6012b1,%edi + 400a6a: e8 21 fd ff ff callq 400790 <_ZNSt8ios_base4InitC1Ev@plt> + 400a6f: ba 28 0b 40 00 mov $0x400b28,%edx + 400a74: be b1 12 60 00 mov $0x6012b1,%esi + 400a79: bf d0 07 40 00 mov $0x4007d0,%edi + 400a7e: e8 2d fd ff ff callq 4007b0 <__cxa_atexit@plt> + 400a83: c9 leaveq + 400a84: c3 retq + +0000000000400a85 <_GLOBAL__sub_I_main>: + 400a85: 55 push %rbp + 400a86: 48 89 e5 mov %rsp,%rbp + 400a89: be ff ff 00 00 mov $0xffff,%esi + 400a8e: bf 01 00 00 00 mov $0x1,%edi + 400a93: e8 b0 ff ff ff callq 400a48 <_Z41__static_initialization_and_destruction_0ii> + 400a98: 5d pop %rbp + 400a99: c3 retq + 400a9a: 66 0f 1f 44 00 00 nopw 0x0(%rax,%rax,1) + +0000000000400aa0 <__libc_csu_init>: + 400aa0: 41 57 push %r15 + 400aa2: 41 89 ff mov %edi,%r15d + 400aa5: 41 56 push %r14 + 400aa7: 49 89 f6 mov %rsi,%r14 + 400aaa: 41 55 push %r13 + 400aac: 49 89 d5 mov %rdx,%r13 + 400aaf: 41 54 push %r12 + 400ab1: 4c 8d 25 20 03 20 00 lea 0x200320(%rip),%r12 # 600dd8 <__frame_dummy_init_array_entry> + 400ab8: 55 push %rbp + 400ab9: 48 8d 2d 28 03 20 00 lea 0x200328(%rip),%rbp # 600de8 <__init_array_end> + 400ac0: 53 push %rbx + 400ac1: 4c 29 e5 sub %r12,%rbp + 400ac4: 31 db xor %ebx,%ebx + 400ac6: 48 c1 fd 03 sar $0x3,%rbp + 400aca: 48 83 ec 08 sub $0x8,%rsp + 400ace: e8 7d fc ff ff callq 400750 <_init> + 400ad3: 48 85 ed test %rbp,%rbp + 400ad6: 74 1e je 400af6 <__libc_csu_init+0x56> + 400ad8: 0f 1f 84 00 00 00 00 nopl 0x0(%rax,%rax,1) + 400adf: 00 + 400ae0: 4c 89 ea mov %r13,%rdx + 400ae3: 4c 89 f6 mov %r14,%rsi + 400ae6: 44 89 ff mov %r15d,%edi + 400ae9: 41 ff 14 dc callq *(%r12,%rbx,8) + 400aed: 48 83 c3 01 add $0x1,%rbx + 400af1: 48 39 eb cmp %rbp,%rbx + 400af4: 75 ea jne 400ae0 <__libc_csu_init+0x40> + 400af6: 48 83 c4 08 add $0x8,%rsp + 400afa: 5b pop %rbx + 400afb: 5d pop %rbp + 400afc: 41 5c pop %r12 + 400afe: 41 5d pop %r13 + 400b00: 41 5e pop %r14 + 400b02: 41 5f pop %r15 + 400b04: c3 retq + 400b05: 90 nop + 400b06: 66 2e 0f 1f 84 00 00 nopw %cs:0x0(%rax,%rax,1) + 400b0d: 00 00 00 + +0000000000400b10 <__libc_csu_fini>: + 400b10: f3 c3 repz retq + +Disassembly of section .fini: + +0000000000400b14 <_fini>: + 400b14: 48 83 ec 08 sub $0x8,%rsp + 400b18: 48 83 c4 08 add $0x8,%rsp + 400b1c: c3 retq +bash-4.2$ hexdump -C keyboardbo +00000000 7f 45 4c 46 02 01 01 00 00 00 00 00 00 00 00 00 |.ELF............| +00000010 02 00 3e 00 01 00 00 00 20 08 40 00 00 00 00 00 |..>..... .@.....| +00000020 40 00 00 00 00 00 00 00 00 46 00 00 00 00 00 00 |@........F......| +00000030 00 00 00 00 40 00 38 00 09 00 40 00 23 00 22 00 |....@.8...@.#.".| +00000040 06 00 00 00 05 00 00 00 40 00 00 00 00 00 00 00 |........@.......| +00000050 40 00 40 00 00 00 00 00 40 00 40 00 00 00 00 00 |@.@.....@.@.....| +00000060 f8 01 00 00 00 00 00 00 f8 01 00 00 00 00 00 00 |................| +00000070 08 00 00 00 00 00 00 00 03 00 00 00 04 00 00 00 |................| +00000080 38 02 00 00 00 00 00 00 38 02 40 00 00 00 00 00 |8.......8.@.....| +00000090 38 02 40 00 00 00 00 00 1c 00 00 00 00 00 00 00 |8.@.............| +000000a0 1c 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 |................| +000000b0 01 00 00 00 05 00 00 00 00 00 00 00 00 00 00 00 |................| +000000c0 00 00 40 00 00 00 00 00 00 00 40 00 00 00 00 00 |..@.......@.....| +000000d0 ec 0c 00 00 00 00 00 00 ec 0c 00 00 00 00 00 00 |................| +000000e0 00 00 20 00 00 00 00 00 01 00 00 00 06 00 00 00 |.. .............| +000000f0 d8 0d 00 00 00 00 00 00 d8 0d 60 00 00 00 00 00 |..........`.....| +00000100 d8 0d 60 00 00 00 00 00 94 02 00 00 00 00 00 00 |..`.............| +00000110 e0 04 00 00 00 00 00 00 00 00 20 00 00 00 00 00 |.......... .....| +00000120 02 00 00 00 06 00 00 00 f8 0d 00 00 00 00 00 00 |................| +00000130 f8 0d 60 00 00 00 00 00 f8 0d 60 00 00 00 00 00 |..`.......`.....| +00000140 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 |................| +00000150 08 00 00 00 00 00 00 00 04 00 00 00 04 00 00 00 |................| +00000160 54 02 00 00 00 00 00 00 54 02 40 00 00 00 00 00 |T.......T.@.....| +00000170 54 02 40 00 00 00 00 00 44 00 00 00 00 00 00 00 |T.@.....D.......| +00000180 44 00 00 00 00 00 00 00 04 00 00 00 00 00 00 00 |D...............| +00000190 50 e5 74 64 04 00 00 00 6c 0b 00 00 00 00 00 00 |P.td....l.......| +000001a0 6c 0b 40 00 00 00 00 00 6c 0b 40 00 00 00 00 00 |l.@.....l.@.....| +000001b0 44 00 00 00 00 00 00 00 44 00 00 00 00 00 00 00 |D.......D.......| +000001c0 04 00 00 00 00 00 00 00 51 e5 74 64 06 00 00 00 |........Q.td....| +000001d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +* +000001f0 00 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 |................| +00000200 52 e5 74 64 04 00 00 00 d8 0d 00 00 00 00 00 00 |R.td............| +00000210 d8 0d 60 00 00 00 00 00 d8 0d 60 00 00 00 00 00 |..`.......`.....| +00000220 28 02 00 00 00 00 00 00 28 02 00 00 00 00 00 00 |(.......(.......| +00000230 01 00 00 00 00 00 00 00 2f 6c 69 62 36 34 2f 6c |......../lib64/l| +00000240 64 2d 6c 69 6e 75 78 2d 78 38 36 2d 36 34 2e 73 |d-linux-x86-64.s| +00000250 6f 2e 32 00 04 00 00 00 10 00 00 00 01 00 00 00 |o.2.............| +00000260 47 4e 55 00 00 00 00 00 02 00 00 00 06 00 00 00 |GNU.............| +00000270 20 00 00 00 04 00 00 00 14 00 00 00 03 00 00 00 | ...............| +00000280 47 4e 55 00 06 9e 77 de 92 5d 71 9c 69 54 14 1d |GNU...w..]q.iT..| +00000290 01 12 9f b2 85 47 07 13 03 00 00 00 09 00 00 00 |.....G..........| +000002a0 01 00 00 00 06 00 00 00 00 00 10 02 01 01 14 00 |................| +000002b0 09 00 00 00 0a 00 00 00 0b 00 00 00 73 96 07 02 |............s...| +000002c0 21 fd f4 09 28 45 d5 4c 15 98 0c 43 00 00 00 00 |!...(E.L...C....| +000002d0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000002e0 00 00 00 00 00 00 00 00 10 00 00 00 20 00 00 00 |............ ...| +000002f0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000300 40 01 00 00 12 00 00 00 00 00 00 00 00 00 00 00 |@...............| +00000310 00 00 00 00 00 00 00 00 87 01 00 00 12 00 00 00 |................| +00000320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000330 7a 01 00 00 12 00 00 00 00 00 00 00 00 00 00 00 |z...............| +00000340 00 00 00 00 00 00 00 00 f9 00 00 00 12 00 00 00 |................| +00000350 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000360 4a 00 00 00 12 00 00 00 00 00 00 00 00 00 00 00 |J...............| +00000370 00 00 00 00 00 00 00 00 bd 00 00 00 12 00 00 00 |................| +00000380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000390 2f 01 00 00 12 00 00 00 00 00 00 00 00 00 00 00 |/...............| +000003a0 00 00 00 00 00 00 00 00 37 00 00 00 11 00 19 00 |........7.......| +000003b0 80 10 60 00 00 00 00 00 18 01 00 00 00 00 00 00 |..`.............| +000003c0 82 00 00 00 12 00 00 00 10 08 40 00 00 00 00 00 |..........@.....| +000003d0 00 00 00 00 00 00 00 00 1f 00 00 00 12 00 00 00 |................| +000003e0 d0 07 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +000003f0 40 00 00 00 11 00 19 00 a0 11 60 00 00 00 00 00 |@.........`.....| +00000400 10 01 00 00 00 00 00 00 00 6c 69 62 73 74 64 63 |.........libstdc| +00000410 2b 2b 2e 73 6f 2e 36 00 5f 5f 67 6d 6f 6e 5f 73 |++.so.6.__gmon_s| +00000420 74 61 72 74 5f 5f 00 5f 5a 4e 53 74 38 69 6f 73 |tart__._ZNSt8ios| +00000430 5f 62 61 73 65 34 49 6e 69 74 44 31 45 76 00 5f |_base4InitD1Ev._| +00000440 5a 53 74 33 63 69 6e 00 5f 5a 53 74 34 63 6f 75 |ZSt3cin._ZSt4cou| +00000450 74 00 5f 5a 53 74 6c 73 49 53 74 31 31 63 68 61 |t._ZStlsISt11cha| +00000460 72 5f 74 72 61 69 74 73 49 63 45 45 52 53 74 31 |r_traitsIcEERSt1| +00000470 33 62 61 73 69 63 5f 6f 73 74 72 65 61 6d 49 63 |3basic_ostreamIc| +00000480 54 5f 45 53 35 5f 50 4b 63 00 5f 5a 53 74 34 65 |T_ES5_PKc._ZSt4e| +00000490 6e 64 6c 49 63 53 74 31 31 63 68 61 72 5f 74 72 |ndlIcSt11char_tr| +000004a0 61 69 74 73 49 63 45 45 52 53 74 31 33 62 61 73 |aitsIcEERSt13bas| +000004b0 69 63 5f 6f 73 74 72 65 61 6d 49 54 5f 54 30 5f |ic_ostreamIT_T0_| +000004c0 45 53 36 5f 00 5f 5a 53 74 72 73 49 63 53 74 31 |ES6_._ZStrsIcSt1| +000004d0 31 63 68 61 72 5f 74 72 61 69 74 73 49 63 45 45 |1char_traitsIcEE| +000004e0 52 53 74 31 33 62 61 73 69 63 5f 69 73 74 72 65 |RSt13basic_istre| +000004f0 61 6d 49 54 5f 54 30 5f 45 53 36 5f 50 53 33 5f |amIT_T0_ES6_PS3_| +00000500 00 5f 5a 53 74 6c 73 49 53 74 31 31 63 68 61 72 |._ZStlsISt11char| +00000510 5f 74 72 61 69 74 73 49 63 45 45 52 53 74 31 33 |_traitsIcEERSt13| +00000520 62 61 73 69 63 5f 6f 73 74 72 65 61 6d 49 63 54 |basic_ostreamIcT| +00000530 5f 45 53 35 5f 63 00 5f 5a 4e 53 6f 6c 73 45 50 |_ES5_c._ZNSolsEP| +00000540 46 52 53 6f 53 5f 45 00 5f 5a 4e 53 74 38 69 6f |FRSoS_E._ZNSt8io| +00000550 73 5f 62 61 73 65 34 49 6e 69 74 43 31 45 76 00 |s_base4InitC1Ev.| +00000560 6c 69 62 6d 2e 73 6f 2e 36 00 6c 69 62 67 63 63 |libm.so.6.libgcc| +00000570 5f 73 2e 73 6f 2e 31 00 6c 69 62 63 2e 73 6f 2e |_s.so.1.libc.so.| +00000580 36 00 5f 5f 63 78 61 5f 61 74 65 78 69 74 00 5f |6.__cxa_atexit._| +00000590 5f 6c 69 62 63 5f 73 74 61 72 74 5f 6d 61 69 6e |_libc_start_main| +000005a0 00 47 4c 49 42 43 5f 32 2e 32 2e 35 00 47 4c 49 |.GLIBC_2.2.5.GLI| +000005b0 42 43 58 58 5f 33 2e 34 00 00 00 00 00 00 02 00 |BCXX_3.4........| +000005c0 03 00 03 00 02 00 02 00 02 00 02 00 02 00 02 00 |................| +000005d0 02 00 02 00 00 00 00 00 01 00 01 00 70 01 00 00 |............p...| +000005e0 10 00 00 00 20 00 00 00 75 1a 69 09 00 00 03 00 |.... ...u.i.....| +000005f0 99 01 00 00 00 00 00 00 01 00 01 00 01 00 00 00 |................| +00000600 10 00 00 00 00 00 00 00 74 29 92 08 00 00 02 00 |........t)......| +00000610 a5 01 00 00 00 00 00 00 f8 0f 60 00 00 00 00 00 |..........`.....| +00000620 06 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |................| +00000630 80 10 60 00 00 00 00 00 05 00 00 00 09 00 00 00 |..`.............| +00000640 00 00 00 00 00 00 00 00 a0 11 60 00 00 00 00 00 |..........`.....| +00000650 05 00 00 00 0c 00 00 00 00 00 00 00 00 00 00 00 |................| +00000660 18 10 60 00 00 00 00 00 07 00 00 00 01 00 00 00 |..`.............| +00000670 00 00 00 00 00 00 00 00 20 10 60 00 00 00 00 00 |........ .`.....| +00000680 07 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 |................| +00000690 28 10 60 00 00 00 00 00 07 00 00 00 03 00 00 00 |(.`.............| +000006a0 00 00 00 00 00 00 00 00 30 10 60 00 00 00 00 00 |........0.`.....| +000006b0 07 00 00 00 04 00 00 00 00 00 00 00 00 00 00 00 |................| +000006c0 38 10 60 00 00 00 00 00 07 00 00 00 05 00 00 00 |8.`.............| +000006d0 00 00 00 00 00 00 00 00 40 10 60 00 00 00 00 00 |........@.`.....| +000006e0 07 00 00 00 0b 00 00 00 00 00 00 00 00 00 00 00 |................| +000006f0 48 10 60 00 00 00 00 00 07 00 00 00 06 00 00 00 |H.`.............| +00000700 00 00 00 00 00 00 00 00 50 10 60 00 00 00 00 00 |........P.`.....| +00000710 07 00 00 00 07 00 00 00 00 00 00 00 00 00 00 00 |................| +00000720 58 10 60 00 00 00 00 00 07 00 00 00 08 00 00 00 |X.`.............| +00000730 00 00 00 00 00 00 00 00 60 10 60 00 00 00 00 00 |........`.`.....| +00000740 07 00 00 00 0a 00 00 00 00 00 00 00 00 00 00 00 |................| +00000750 48 83 ec 08 48 8b 05 9d 08 20 00 48 85 c0 74 05 |H...H.... .H..t.| +00000760 e8 1b 00 00 00 48 83 c4 08 c3 00 00 00 00 00 00 |.....H..........| +00000770 ff 35 92 08 20 00 ff 25 94 08 20 00 0f 1f 40 00 |.5.. ..%.. ...@.| +00000780 ff 25 92 08 20 00 68 00 00 00 00 e9 e0 ff ff ff |.%.. .h.........| +00000790 ff 25 8a 08 20 00 68 01 00 00 00 e9 d0 ff ff ff |.%.. .h.........| +000007a0 ff 25 82 08 20 00 68 02 00 00 00 e9 c0 ff ff ff |.%.. .h.........| +000007b0 ff 25 7a 08 20 00 68 03 00 00 00 e9 b0 ff ff ff |.%z. .h.........| +000007c0 ff 25 72 08 20 00 68 04 00 00 00 e9 a0 ff ff ff |.%r. .h.........| +000007d0 ff 25 6a 08 20 00 68 05 00 00 00 e9 90 ff ff ff |.%j. .h.........| +000007e0 ff 25 62 08 20 00 68 06 00 00 00 e9 80 ff ff ff |.%b. .h.........| +000007f0 ff 25 5a 08 20 00 68 07 00 00 00 e9 70 ff ff ff |.%Z. .h.....p...| +00000800 ff 25 52 08 20 00 68 08 00 00 00 e9 60 ff ff ff |.%R. .h.....`...| +00000810 ff 25 4a 08 20 00 68 09 00 00 00 e9 50 ff ff ff |.%J. .h.....P...| +00000820 31 ed 49 89 d1 5e 48 89 e2 48 83 e4 f0 50 54 49 |1.I..^H..H...PTI| +00000830 c7 c0 10 0b 40 00 48 c7 c1 a0 0a 40 00 48 c7 c7 |....@.H....@.H..| +00000840 0d 09 40 00 e8 57 ff ff ff f4 66 0f 1f 44 00 00 |..@..W....f..D..| +00000850 b8 77 10 60 00 55 48 2d 70 10 60 00 48 83 f8 0e |.w.`.UH-p.`.H...| +00000860 48 89 e5 77 02 5d c3 b8 00 00 00 00 48 85 c0 74 |H..w.]......H..t| +00000870 f4 5d bf 70 10 60 00 ff e0 0f 1f 80 00 00 00 00 |.].p.`..........| +00000880 b8 70 10 60 00 55 48 2d 70 10 60 00 48 c1 f8 03 |.p.`.UH-p.`.H...| +00000890 48 89 e5 48 89 c2 48 c1 ea 3f 48 01 d0 48 d1 f8 |H..H..H..?H..H..| +000008a0 75 02 5d c3 ba 00 00 00 00 48 85 d2 74 f4 5d 48 |u.]......H..t.]H| +000008b0 89 c6 bf 70 10 60 00 ff e2 0f 1f 80 00 00 00 00 |...p.`..........| +000008c0 80 3d e9 09 20 00 00 75 11 55 48 89 e5 e8 7e ff |.=.. ..u.UH...~.| +000008d0 ff ff 5d c6 05 d6 09 20 00 01 f3 c3 0f 1f 40 00 |..].... ......@.| +000008e0 48 83 3d 08 05 20 00 00 74 1e b8 00 00 00 00 48 |H.=.. ..t......H| +000008f0 85 c0 74 14 55 bf f0 0d 60 00 48 89 e5 ff d0 5d |..t.U...`.H....]| +00000900 e9 7b ff ff ff 0f 1f 00 e9 73 ff ff ff 55 48 89 |.{.......s...UH.| +00000910 e5 53 48 83 ec 28 89 7d dc 48 89 75 d0 c6 45 ef |.SH..(.}.H.u..E.| +00000920 41 c6 45 ee 42 c6 45 ed 43 c6 45 ec 44 be 30 0b |A.E.B.E.C.E.D.0.| +00000930 40 00 bf a0 11 60 00 e8 a4 fe ff ff be 10 08 40 |@....`.........@| +00000940 00 48 89 c7 e8 b7 fe ff ff 48 8d 45 e0 48 89 c6 |.H.......H.E.H..| +00000950 bf 80 10 60 00 e8 96 fe ff ff be 10 08 40 00 bf |...`.........@..| +00000960 a0 11 60 00 e8 97 fe ff ff be 4f 0b 40 00 bf a0 |..`.......O.@...| +00000970 11 60 00 e8 68 fe ff ff 48 8d 55 e0 48 89 d6 48 |.`..h...H.U.H..H| +00000980 89 c7 e8 59 fe ff ff be 10 08 40 00 48 89 c7 e8 |...Y......@.H...| +00000990 6c fe ff ff 0f be 5d ef be 57 0b 40 00 bf a0 11 |l.....]..W.@....| +000009a0 60 00 e8 39 fe ff ff 89 de 48 89 c7 e8 0f fe ff |`..9.....H......| +000009b0 ff be 10 08 40 00 48 89 c7 e8 42 fe ff ff 0f be |....@.H...B.....| +000009c0 5d ee be 5c 0b 40 00 bf a0 11 60 00 e8 0f fe ff |]..\.@....`.....| +000009d0 ff 89 de 48 89 c7 e8 e5 fd ff ff be 10 08 40 00 |...H..........@.| +000009e0 48 89 c7 e8 18 fe ff ff 0f be 5d ed be 61 0b 40 |H.........]..a.@| +000009f0 00 bf a0 11 60 00 e8 e5 fd ff ff 89 de 48 89 c7 |....`........H..| +00000a00 e8 bb fd ff ff be 10 08 40 00 48 89 c7 e8 ee fd |........@.H.....| +00000a10 ff ff 0f be 5d ec be 66 0b 40 00 bf a0 11 60 00 |....]..f.@....`.| +00000a20 e8 bb fd ff ff 89 de 48 89 c7 e8 91 fd ff ff be |.......H........| +00000a30 10 08 40 00 48 89 c7 e8 c4 fd ff ff b8 00 00 00 |..@.H...........| +00000a40 00 48 83 c4 28 5b 5d c3 55 48 89 e5 48 83 ec 10 |.H..([].UH..H...| +00000a50 89 7d fc 89 75 f8 83 7d fc 01 75 27 81 7d f8 ff |.}..u..}..u'.}..| +00000a60 ff 00 00 75 1e bf b1 12 60 00 e8 21 fd ff ff ba |...u....`..!....| +00000a70 28 0b 40 00 be b1 12 60 00 bf d0 07 40 00 e8 2d |(.@....`....@..-| +00000a80 fd ff ff c9 c3 55 48 89 e5 be ff ff 00 00 bf 01 |.....UH.........| +00000a90 00 00 00 e8 b0 ff ff ff 5d c3 66 0f 1f 44 00 00 |........].f..D..| +00000aa0 41 57 41 89 ff 41 56 49 89 f6 41 55 49 89 d5 41 |AWA..AVI..AUI..A| +00000ab0 54 4c 8d 25 20 03 20 00 55 48 8d 2d 28 03 20 00 |TL.% . .UH.-(. .| +00000ac0 53 4c 29 e5 31 db 48 c1 fd 03 48 83 ec 08 e8 7d |SL).1.H...H....}| +00000ad0 fc ff ff 48 85 ed 74 1e 0f 1f 84 00 00 00 00 00 |...H..t.........| +00000ae0 4c 89 ea 4c 89 f6 44 89 ff 41 ff 14 dc 48 83 c3 |L..L..D..A...H..| +00000af0 01 48 39 eb 75 ea 48 83 c4 08 5b 5d 41 5c 41 5d |.H9.u.H...[]A\A]| +00000b00 41 5e 41 5f c3 90 66 2e 0f 1f 84 00 00 00 00 00 |A^A_..f.........| +00000b10 f3 c3 00 00 48 83 ec 08 48 83 c4 08 c3 00 00 00 |....H...H.......| +00000b20 01 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00000b30 45 6e 74 65 72 20 75 70 20 74 6f 20 34 20 63 68 |Enter up to 4 ch| +00000b40 61 72 61 63 74 65 72 73 20 6e 6f 77 3a 20 00 62 |aracters now: .b| +00000b50 75 66 66 20 3d 20 00 61 20 3d 20 00 62 20 3d 20 |uff = .a = .b = | +00000b60 00 63 20 3d 20 00 64 20 3d 20 00 00 01 1b 03 3b |.c = .d = .....;| +00000b70 40 00 00 00 07 00 00 00 04 fc ff ff 8c 00 00 00 |@...............| +00000b80 b4 fc ff ff 5c 00 00 00 a1 fd ff ff b4 00 00 00 |....\...........| +00000b90 dc fe ff ff dc 00 00 00 19 ff ff ff fc 00 00 00 |................| +00000ba0 34 ff ff ff 1c 01 00 00 a4 ff ff ff 64 01 00 00 |4...........d...| +00000bb0 14 00 00 00 00 00 00 00 01 7a 52 00 01 78 10 01 |.........zR..x..| +00000bc0 1b 0c 07 08 90 01 07 10 14 00 00 00 1c 00 00 00 |................| +00000bd0 50 fc ff ff 2a 00 00 00 00 00 00 00 00 00 00 00 |P...*...........| +00000be0 14 00 00 00 00 00 00 00 01 7a 52 00 01 78 10 01 |.........zR..x..| +00000bf0 1b 0c 07 08 90 01 00 00 24 00 00 00 1c 00 00 00 |........$.......| +00000c00 70 fb ff ff b0 00 00 00 00 0e 10 46 0e 18 4a 0f |p..........F..J.| +00000c10 0b 77 08 80 00 3f 1a 3b 2a 33 24 22 00 00 00 00 |.w...?.;*3$"....| +00000c20 24 00 00 00 44 00 00 00 e5 fc ff ff 3b 01 00 00 |$...D.......;...| +00000c30 00 41 0e 10 86 02 43 0d 06 45 83 03 03 31 01 0c |.A....C..E...1..| +00000c40 07 08 00 00 00 00 00 00 1c 00 00 00 6c 00 00 00 |............l...| +00000c50 f8 fd ff ff 3d 00 00 00 00 41 0e 10 86 02 43 0d |....=....A....C.| +00000c60 06 78 0c 07 08 00 00 00 1c 00 00 00 8c 00 00 00 |.x..............| +00000c70 15 fe ff ff 15 00 00 00 00 41 0e 10 86 02 43 0d |.........A....C.| +00000c80 06 50 0c 07 08 00 00 00 44 00 00 00 ac 00 00 00 |.P......D.......| +00000c90 10 fe ff ff 65 00 00 00 00 42 0e 10 8f 02 45 0e |....e....B....E.| +00000ca0 18 8e 03 45 0e 20 8d 04 45 0e 28 8c 05 48 0e 30 |...E. ..E.(..H.0| +00000cb0 86 06 48 0e 38 83 07 4d 0e 40 6c 0e 38 41 0e 30 |..H.8..M.@l.8A.0| +00000cc0 41 0e 28 42 0e 20 42 0e 18 42 0e 10 42 0e 08 00 |A.(B. B..B..B...| +00000cd0 14 00 00 00 f4 00 00 00 38 fe ff ff 02 00 00 00 |........8.......| +00000ce0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +* +00000dd0 00 00 00 00 00 00 00 00 e0 08 40 00 00 00 00 00 |..........@.....| +00000de0 85 0a 40 00 00 00 00 00 c0 08 40 00 00 00 00 00 |..@.......@.....| +00000df0 00 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 |................| +00000e00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 |................| +00000e10 58 01 00 00 00 00 00 00 01 00 00 00 00 00 00 00 |X...............| +00000e20 62 01 00 00 00 00 00 00 01 00 00 00 00 00 00 00 |b...............| +00000e30 70 01 00 00 00 00 00 00 0c 00 00 00 00 00 00 00 |p...............| +00000e40 50 07 40 00 00 00 00 00 0d 00 00 00 00 00 00 00 |P.@.............| +00000e50 14 0b 40 00 00 00 00 00 19 00 00 00 00 00 00 00 |..@.............| +00000e60 d8 0d 60 00 00 00 00 00 1b 00 00 00 00 00 00 00 |..`.............| +00000e70 10 00 00 00 00 00 00 00 1a 00 00 00 00 00 00 00 |................| +00000e80 e8 0d 60 00 00 00 00 00 1c 00 00 00 00 00 00 00 |..`.............| +00000e90 08 00 00 00 00 00 00 00 f5 fe ff 6f 00 00 00 00 |...........o....| +00000ea0 98 02 40 00 00 00 00 00 05 00 00 00 00 00 00 00 |..@.............| +00000eb0 08 04 40 00 00 00 00 00 06 00 00 00 00 00 00 00 |..@.............| +00000ec0 d0 02 40 00 00 00 00 00 0a 00 00 00 00 00 00 00 |..@.............| +00000ed0 b1 01 00 00 00 00 00 00 0b 00 00 00 00 00 00 00 |................| +00000ee0 18 00 00 00 00 00 00 00 15 00 00 00 00 00 00 00 |................| +00000ef0 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 |................| +00000f00 00 10 60 00 00 00 00 00 02 00 00 00 00 00 00 00 |..`.............| +00000f10 f0 00 00 00 00 00 00 00 14 00 00 00 00 00 00 00 |................| +00000f20 07 00 00 00 00 00 00 00 17 00 00 00 00 00 00 00 |................| +00000f30 60 06 40 00 00 00 00 00 07 00 00 00 00 00 00 00 |`.@.............| +00000f40 18 06 40 00 00 00 00 00 08 00 00 00 00 00 00 00 |..@.............| +00000f50 48 00 00 00 00 00 00 00 09 00 00 00 00 00 00 00 |H...............| +00000f60 18 00 00 00 00 00 00 00 fe ff ff 6f 00 00 00 00 |...........o....| +00000f70 d8 05 40 00 00 00 00 00 ff ff ff 6f 00 00 00 00 |..@........o....| +00000f80 02 00 00 00 00 00 00 00 f0 ff ff 6f 00 00 00 00 |...........o....| +00000f90 ba 05 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +00000fa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +* +00001000 f8 0d 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00001010 00 00 00 00 00 00 00 00 86 07 40 00 00 00 00 00 |..........@.....| +00001020 96 07 40 00 00 00 00 00 a6 07 40 00 00 00 00 00 |..@.......@.....| +00001030 b6 07 40 00 00 00 00 00 c6 07 40 00 00 00 00 00 |..@.......@.....| +00001040 d6 07 40 00 00 00 00 00 e6 07 40 00 00 00 00 00 |..@.......@.....| +00001050 f6 07 40 00 00 00 00 00 06 08 40 00 00 00 00 00 |..@.......@.....| +00001060 16 08 40 00 00 00 00 00 00 00 00 00 47 43 43 3a |..@.........GCC:| +00001070 20 28 47 4e 55 29 20 34 2e 38 2e 35 20 32 30 31 | (GNU) 4.8.5 201| +00001080 35 30 36 32 33 20 28 52 65 64 20 48 61 74 20 34 |50623 (Red Hat 4| +00001090 2e 38 2e 35 2d 34 34 29 00 2c 00 00 00 02 00 00 |.8.5-44).,......| +000010a0 00 00 00 08 00 00 00 00 00 0d 09 40 00 00 00 00 |...........@....| +000010b0 00 8d 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000010c0 00 00 00 00 00 00 00 00 00 a9 15 00 00 04 00 00 |................| +000010d0 00 00 00 08 01 eb 05 00 00 04 57 05 00 00 a4 00 |..........W.....| +000010e0 00 00 0d 09 40 00 00 00 00 00 8d 01 00 00 00 00 |....@...........| +000010f0 00 00 00 00 00 00 02 20 02 00 00 03 e7 09 00 00 |....... ........| +00001100 04 40 2d 00 00 00 04 08 07 22 00 00 00 05 80 0c |.@-......"......| +00001110 00 00 18 03 00 81 00 00 00 06 bd 06 00 00 03 00 |................| +00001120 81 00 00 00 00 06 b9 03 00 00 03 00 81 00 00 00 |................| +00001130 04 06 db 07 00 00 03 00 88 00 00 00 08 06 f4 08 |................| +00001140 00 00 03 00 88 00 00 00 10 00 04 04 07 e7 08 00 |................| +00001150 00 07 08 03 1b 00 00 00 05 d4 95 00 00 00 04 08 |................| +00001160 07 e2 08 00 00 08 00 0a 00 00 05 61 01 81 00 00 |...........a....| +00001170 00 09 08 06 53 d5 0a 00 00 ec 00 00 00 0a 04 06 |....S...........| +00001180 56 d3 00 00 00 0b 24 01 00 00 06 58 81 00 00 00 |V.....$....X....| +00001190 0b 86 08 00 00 06 5c ec 00 00 00 00 06 09 08 00 |......\.........| +000011a0 00 06 54 03 01 00 00 00 06 33 00 00 00 06 5d b4 |..T......3....].| +000011b0 00 00 00 04 00 0c fc 00 00 00 fc 00 00 00 0d 3d |...............=| +000011c0 00 00 00 03 00 04 01 06 88 02 00 00 0e 04 05 69 |...............i| +000011d0 6e 74 00 03 d7 0a 00 00 06 5e a8 00 00 00 03 d9 |nt.......^......| +000011e0 0a 00 00 06 6a 0a 01 00 00 04 02 07 ec 0b 00 00 |....j...........| +000011f0 0f 03 01 00 00 10 08 32 01 00 00 0f fc 00 00 00 |.......2........| +00001200 11 73 74 64 00 03 00 bc 08 00 00 12 07 40 15 01 |.std.........@..| +00001210 00 00 12 07 8b 9c 00 00 00 12 07 8d bc 08 00 00 |................| +00001220 12 07 8e d2 08 00 00 12 07 8f ee 08 00 00 12 07 |................| +00001230 90 1b 09 00 00 12 07 91 36 09 00 00 12 07 92 5c |........6......\| +00001240 09 00 00 12 07 93 77 09 00 00 12 07 94 93 09 00 |......w.........| +00001250 00 12 07 95 af 09 00 00 12 07 96 c5 09 00 00 12 |................| +00001260 07 97 d1 09 00 00 12 07 98 f7 09 00 00 12 07 99 |................| +00001270 1c 0a 00 00 12 07 9a 3d 0a 00 00 12 07 9b 68 0a |.......=......h.| +00001280 00 00 12 07 9c 83 0a 00 00 12 07 9e 99 0a 00 00 |................| +00001290 12 07 a0 ba 0a 00 00 12 07 a1 d6 0a 00 00 12 07 |................| +000012a0 a2 f1 0a 00 00 12 07 a4 17 0b 00 00 12 07 a7 37 |...............7| +000012b0 0b 00 00 12 07 aa 5c 0b 00 00 12 07 ac 7c 0b 00 |......\......|..| +000012c0 00 12 07 ae 97 0b 00 00 12 07 b0 b2 0b 00 00 12 |................| +000012d0 07 b1 d8 0b 00 00 12 07 b2 f2 0b 00 00 12 07 b3 |................| +000012e0 0c 0c 00 00 12 07 b4 26 0c 00 00 12 07 b5 40 0c |.......&......@.| +000012f0 00 00 12 07 b6 5a 0c 00 00 12 07 b7 1a 0d 00 00 |.....Z..........| +00001300 12 07 b8 30 0d 00 00 12 07 b9 4f 0d 00 00 12 07 |...0......O.....| +00001310 ba 6e 0d 00 00 12 07 bb 8d 0d 00 00 12 07 bc b8 |.n..............| +00001320 0d 00 00 12 07 bd d3 0d 00 00 12 07 bf fb 0d 00 |................| +00001330 00 12 07 c1 1d 0e 00 00 12 07 c2 3d 0e 00 00 12 |...........=....| +00001340 07 c3 64 0e 00 00 12 07 c4 84 0e 00 00 12 07 c5 |..d.............| +00001350 a3 0e 00 00 12 07 c6 b9 0e 00 00 12 07 c7 d9 0e |................| +00001360 00 00 12 07 c8 f9 0e 00 00 12 07 c9 19 0f 00 00 |................| +00001370 12 07 ca 39 0f 00 00 12 07 cb 50 0f 00 00 12 07 |...9......P.....| +00001380 cc 67 0f 00 00 12 07 cd 85 0f 00 00 12 07 ce a4 |.g..............| +00001390 0f 00 00 12 07 cf c2 0f 00 00 12 07 d0 e1 0f 00 |................| +000013a0 00 13 07 08 01 7c 11 00 00 13 07 09 01 9e 11 00 |.....|..........| +000013b0 00 13 07 0a 01 c5 11 00 00 14 38 0a 00 00 11 30 |..........8....0| +000013c0 05 5c 07 00 00 01 08 e9 be 04 00 00 03 2e 0a 00 |.\..............| +000013d0 00 08 eb fc 00 00 00 03 22 0a 00 00 08 ec 03 01 |........".......| +000013e0 00 00 15 41 0c 00 00 08 f2 a2 0a 00 00 33 03 00 |...A.........3..| +000013f0 00 16 14 12 00 00 16 1a 12 00 00 00 0f 03 03 00 |................| +00001400 00 17 65 71 00 08 f6 a2 09 00 00 20 12 00 00 55 |..eq....... ...U| +00001410 03 00 00 16 1a 12 00 00 16 1a 12 00 00 00 17 6c |...............l| +00001420 74 00 08 fa e8 04 00 00 20 12 00 00 72 03 00 00 |t....... ...r...| +00001430 16 1a 12 00 00 16 1a 12 00 00 00 18 4c 04 00 00 |............L...| +00001440 08 fe b7 08 00 00 03 01 00 00 95 03 00 00 16 27 |...............'| +00001450 12 00 00 16 27 12 00 00 16 be 04 00 00 00 19 30 |....'..........0| +00001460 09 00 00 08 02 01 64 0a 00 00 be 04 00 00 af 03 |......d.........| +00001470 00 00 16 27 12 00 00 00 19 5c 04 00 00 08 06 01 |...'.....\......| +00001480 4a 06 00 00 27 12 00 00 d3 03 00 00 16 27 12 00 |J...'........'..| +00001490 00 16 be 04 00 00 16 1a 12 00 00 00 19 61 03 00 |.............a..| +000014a0 00 08 0a 01 2a 01 00 00 2d 12 00 00 f7 03 00 00 |....*...-.......| +000014b0 16 2d 12 00 00 16 27 12 00 00 16 be 04 00 00 00 |.-....'.........| +000014c0 19 e4 01 00 00 08 0e 01 c6 04 00 00 2d 12 00 00 |............-...| +000014d0 1b 04 00 00 16 2d 12 00 00 16 27 12 00 00 16 be |.....-....'.....| +000014e0 04 00 00 00 19 41 0c 00 00 08 12 01 20 08 00 00 |.....A...... ...| +000014f0 2d 12 00 00 3f 04 00 00 16 2d 12 00 00 16 be 04 |-...?....-......| +00001500 00 00 16 03 03 00 00 00 19 2b 0a 00 00 08 16 01 |.........+......| +00001510 8c 05 00 00 03 03 00 00 59 04 00 00 16 33 12 00 |........Y....3..| +00001520 00 00 0f 0e 03 00 00 19 1f 0a 00 00 08 1c 01 59 |...............Y| +00001530 01 00 00 0e 03 00 00 78 04 00 00 16 1a 12 00 00 |.......x........| +00001540 00 19 6f 05 00 00 08 20 01 97 07 00 00 20 12 00 |..o.... ..... ..| +00001550 00 97 04 00 00 16 33 12 00 00 16 33 12 00 00 00 |......3....3....| +00001560 1a 65 6f 66 00 08 24 01 24 0b 00 00 0e 03 00 00 |.eof..$.$.......| +00001570 1b e0 02 00 00 08 28 01 48 0c 00 00 0e 03 00 00 |......(.H.......| +00001580 16 33 12 00 00 00 00 08 1b 00 00 00 09 41 07 95 |.3...........A..| +00001590 00 00 00 12 0a 35 39 12 00 00 12 0a 36 66 13 00 |.....59.....6f..| +000015a0 00 12 0a 37 80 13 00 00 08 c3 03 00 00 09 42 07 |...7..........B.| +000015b0 5d 0e 00 00 1c 3c 06 00 00 04 0b 33 7a 05 00 00 |]....<.....3z...| +000015c0 1d de 00 00 00 01 1d 35 06 00 00 02 1d 08 05 00 |.......5........| +000015d0 00 04 1d 1e 04 00 00 08 1d 40 04 00 00 10 1d 22 |.........@....."| +000015e0 07 00 00 20 1d 7f 08 00 00 c0 00 1d 76 02 00 00 |... ........v...| +000015f0 80 01 1d 6a 0c 00 00 80 02 1d 2f 05 00 00 80 04 |...j....../.....| +00001600 1d a6 06 00 00 80 08 1d d1 05 00 00 80 10 1d b3 |................| +00001610 06 00 00 80 20 1d 97 09 00 00 80 c0 00 1d c1 07 |.... ...........| +00001620 00 00 80 80 01 1d c7 06 00 00 b0 01 1d 4c 01 00 |.............L..| +00001630 00 ca 00 1d 71 08 00 00 84 02 1d ed 07 00 00 80 |....q...........| +00001640 80 04 00 1c ba 01 00 00 04 0b 67 b3 05 00 00 1d |..........g.....| +00001650 35 03 00 00 01 1d bf 04 00 00 02 1d d2 02 00 00 |5...............| +00001660 04 1d 3b 05 00 00 08 1d 2e 03 00 00 10 1d b9 05 |..;.............| +00001670 00 00 20 1d 7c 07 00 00 80 80 04 00 1c 46 09 00 |.. .|........F..| +00001680 00 04 0b 8f e0 05 00 00 1d 95 02 00 00 00 1d eb |................| +00001690 00 00 00 01 1d 0e 02 00 00 02 1d a5 0b 00 00 04 |................| +000016a0 1d 03 0b 00 00 80 80 04 00 1c 10 0c 00 00 04 0b |................| +000016b0 b5 07 06 00 00 1d 15 01 00 00 00 1d 9b 0a 00 00 |................| +000016c0 01 1d 14 00 00 00 02 1d 1d 09 00 00 80 80 04 00 |................| +000016d0 1e 07 0a 00 00 13 08 00 00 1f 96 0b 00 00 01 0b |................| +000016e0 15 02 01 66 06 00 00 20 80 05 00 00 0b 1d 02 9c |...f... ........| +000016f0 13 00 00 20 f2 01 00 00 0b 1e 02 20 12 00 00 21 |... ....... ...!| +00001700 96 0b 00 00 0b 19 02 01 47 06 00 00 4d 06 00 00 |........G...M...| +00001710 22 b7 13 00 00 00 23 95 0b 00 00 0b 1a 02 01 5a |".....#........Z| +00001720 06 00 00 22 b7 13 00 00 22 03 01 00 00 00 00 24 |..."...."......$| +00001730 1d 0c 00 00 0b ff eb 04 00 00 01 25 e1 00 00 00 |...........%....| +00001740 0b 02 01 80 06 00 00 01 01 0f 66 06 00 00 26 64 |..........f...&d| +00001750 65 63 00 0b 05 01 80 06 00 00 01 02 25 0b 05 00 |ec..........%...| +00001760 00 0b 08 01 80 06 00 00 01 04 26 68 65 78 00 0b |..........&hex..| +00001770 0b 01 80 06 00 00 01 08 25 43 04 00 00 0b 10 01 |........%C......| +00001780 80 06 00 00 01 10 25 25 07 00 00 0b 14 01 80 06 |......%%........| +00001790 00 00 01 20 26 6f 63 74 00 0b 17 01 80 06 00 00 |... &oct........| +000017a0 01 40 25 79 02 00 00 0b 1b 01 80 06 00 00 01 80 |.@%y............| +000017b0 27 6d 0c 00 00 0b 1e 01 80 06 00 00 01 00 01 27 |'m.............'| +000017c0 32 05 00 00 0b 22 01 80 06 00 00 01 00 02 27 a9 |2...."........'.| +000017d0 06 00 00 0b 26 01 80 06 00 00 01 00 04 27 d4 05 |....&........'..| +000017e0 00 00 0b 29 01 80 06 00 00 01 00 08 27 b6 06 00 |...)........'...| +000017f0 00 0b 2c 01 80 06 00 00 01 00 10 27 9a 09 00 00 |..,........'....| +00001800 0b 2f 01 80 06 00 00 01 00 20 27 c4 07 00 00 0b |./....... '.....| +00001810 33 01 80 06 00 00 01 00 40 25 ca 06 00 00 0b 36 |3.......@%.....6| +00001820 01 80 06 00 00 01 b0 25 4f 01 00 00 0b 39 01 80 |.......%O....9..| +00001830 06 00 00 01 4a 27 74 08 00 00 0b 3c 01 80 06 00 |....J't....<....| +00001840 00 01 04 01 28 17 0a 00 00 0b 4a 01 b3 05 00 00 |....(.....J.....| +00001850 01 25 ee 00 00 00 0b 4e 01 96 07 00 00 01 01 0f |.%.....N........| +00001860 7b 07 00 00 25 11 02 00 00 0b 51 01 96 07 00 00 |{...%.....Q.....| +00001870 01 02 25 a8 0b 00 00 0b 56 01 96 07 00 00 01 04 |..%.....V.......| +00001880 25 98 02 00 00 0b 59 01 96 07 00 00 01 00 28 3c |%.....Y.......(<| +00001890 03 00 00 0b 69 01 7a 05 00 00 01 26 69 6e 00 0b |....i.z....&in..| +000018a0 77 01 df 07 00 00 01 08 0f c5 07 00 00 26 6f 75 |w............&ou| +000018b0 74 00 0b 7a 01 df 07 00 00 01 10 28 88 04 00 00 |t..z.......(....| +000018c0 0b 89 01 e0 05 00 00 01 26 63 75 72 00 0b 8f 01 |........&cur....| +000018d0 0d 08 00 00 01 01 0f f2 07 00 00 00 12 0c 52 c8 |..............R.| +000018e0 13 00 00 12 0c 53 bd 13 00 00 12 0c 54 9c 00 00 |.....S......T...| +000018f0 00 12 0c 5c de 13 00 00 12 0c 65 f8 13 00 00 12 |...\......e.....| +00001900 0c 68 12 14 00 00 12 0c 69 27 14 00 00 1e 29 02 |.h......i'....).| +00001910 00 00 60 08 00 00 29 68 04 00 00 fc 00 00 00 29 |..`...)h.......)| +00001920 ff 0b 00 00 f7 02 00 00 00 1e 72 03 00 00 7c 08 |..........r...|.| +00001930 00 00 29 68 04 00 00 fc 00 00 00 29 ff 0b 00 00 |..)h.......)....| +00001940 f7 02 00 00 00 03 b0 0b 00 00 0d 85 60 08 00 00 |............`...| +00001950 2a 63 69 6e 00 02 3c c5 0a 00 00 7c 08 00 00 03 |*cin..<....|....| +00001960 01 08 00 00 0d 88 44 08 00 00 2b 16 07 00 00 02 |......D...+.....| +00001970 3d 11 07 00 00 96 08 00 00 2c e9 01 00 00 02 4a |=........,.....J| +00001980 10 06 00 00 00 2d 6c 03 00 00 06 61 01 9c 00 00 |.....-l....a....| +00001990 00 d2 08 00 00 16 03 01 00 00 00 2d 5f 02 00 00 |...........-_...| +000019a0 06 e9 02 9c 00 00 00 e8 08 00 00 16 e8 08 00 00 |................| +000019b0 00 10 08 32 00 00 00 2d 6f 02 00 00 06 06 03 0e |...2...-o.......| +000019c0 09 00 00 0e 09 00 00 16 0e 09 00 00 16 03 01 00 |................| +000019d0 00 16 e8 08 00 00 00 10 08 14 09 00 00 04 04 05 |................| +000019e0 78 0c 00 00 2d 87 0b 00 00 06 f7 02 9c 00 00 00 |x...-...........| +000019f0 36 09 00 00 16 14 09 00 00 16 e8 08 00 00 00 2d |6..............-| +00001a00 8e 0b 00 00 06 0d 03 03 01 00 00 51 09 00 00 16 |...........Q....| +00001a10 51 09 00 00 16 e8 08 00 00 00 10 08 57 09 00 00 |Q...........W...| +00001a20 0f 14 09 00 00 2d 90 04 00 00 06 4b 02 03 01 00 |.....-.....K....| +00001a30 00 77 09 00 00 16 e8 08 00 00 16 03 01 00 00 00 |.w..............| +00001a40 2d 4e 05 00 00 06 52 02 03 01 00 00 93 09 00 00 |-N....R.........| +00001a50 16 e8 08 00 00 16 51 09 00 00 2e 00 2d 08 0c 00 |......Q.....-...| +00001a60 00 06 7b 02 03 01 00 00 af 09 00 00 16 e8 08 00 |..{.............| +00001a70 00 16 51 09 00 00 2e 00 2d 60 02 00 00 06 ea 02 |..Q.....-`......| +00001a80 9c 00 00 00 c5 09 00 00 16 e8 08 00 00 00 2f 66 |............../f| +00001a90 02 00 00 06 f0 02 9c 00 00 00 2d 09 04 00 00 06 |..........-.....| +00001aa0 78 01 8a 00 00 00 f1 09 00 00 16 2c 01 00 00 16 |x..........,....| +00001ab0 8a 00 00 00 16 f1 09 00 00 00 10 08 15 01 00 00 |................| +00001ac0 2d f5 00 00 00 06 6d 01 8a 00 00 00 1c 0a 00 00 |-.....m.........| +00001ad0 16 0e 09 00 00 16 2c 01 00 00 16 8a 00 00 00 16 |......,.........| +00001ae0 f1 09 00 00 00 2d 30 0c 00 00 06 69 01 03 01 00 |.....-0....i....| +00001af0 00 32 0a 00 00 16 32 0a 00 00 00 10 08 38 0a 00 |.2....2......8..| +00001b00 00 0f 15 01 00 00 2d 9b 0b 00 00 06 98 01 8a 00 |......-.........| +00001b10 00 00 62 0a 00 00 16 0e 09 00 00 16 62 0a 00 00 |..b.........b...| +00001b20 16 8a 00 00 00 16 f1 09 00 00 00 10 08 2c 01 00 |.............,..| +00001b30 00 2d 88 0b 00 00 06 f8 02 9c 00 00 00 83 0a 00 |.-..............| +00001b40 00 16 14 09 00 00 16 e8 08 00 00 00 2d ae 04 00 |............-...| +00001b50 00 06 fe 02 9c 00 00 00 99 0a 00 00 16 14 09 00 |................| +00001b60 00 00 2d 70 04 00 00 06 5c 02 03 01 00 00 ba 0a |..-p....\.......| +00001b70 00 00 16 0e 09 00 00 16 8a 00 00 00 16 51 09 00 |.............Q..| +00001b80 00 2e 00 2d 67 05 00 00 06 85 02 03 01 00 00 d6 |...-g...........| +00001b90 0a 00 00 16 51 09 00 00 16 51 09 00 00 2e 00 2d |....Q....Q.....-| +00001ba0 b1 03 00 00 06 15 03 9c 00 00 00 f1 0a 00 00 16 |................| +00001bb0 9c 00 00 00 16 e8 08 00 00 00 2d 4d 05 00 00 06 |..........-M....| +00001bc0 64 02 03 01 00 00 11 0b 00 00 16 e8 08 00 00 16 |d...............| +00001bd0 51 09 00 00 16 11 0b 00 00 00 10 08 44 00 00 00 |Q...........D...| +00001be0 2d 07 0c 00 00 06 b1 02 03 01 00 00 37 0b 00 00 |-...........7...| +00001bf0 16 e8 08 00 00 16 51 09 00 00 16 11 0b 00 00 00 |......Q.........| +00001c00 2d 6f 04 00 00 06 71 02 03 01 00 00 5c 0b 00 00 |-o....q.....\...| +00001c10 16 0e 09 00 00 16 8a 00 00 00 16 51 09 00 00 16 |...........Q....| +00001c20 11 0b 00 00 00 2d 66 05 00 00 06 bd 02 03 01 00 |.....-f.........| +00001c30 00 7c 0b 00 00 16 51 09 00 00 16 51 09 00 00 16 |.|....Q....Q....| +00001c40 11 0b 00 00 00 2d b1 01 00 00 06 6c 02 03 01 00 |.....-.....l....| +00001c50 00 97 0b 00 00 16 51 09 00 00 16 11 0b 00 00 00 |......Q.........| +00001c60 2d fb 0a 00 00 06 b9 02 03 01 00 00 b2 0b 00 00 |-...............| +00001c70 16 51 09 00 00 16 11 0b 00 00 00 2d 16 0b 00 00 |.Q.........-....| +00001c80 06 72 01 8a 00 00 00 d2 0b 00 00 16 d2 0b 00 00 |.r..............| +00001c90 16 14 09 00 00 16 f1 09 00 00 00 10 08 fc 00 00 |................| +00001ca0 00 30 ce 0a 00 00 06 9b 0e 09 00 00 f2 0b 00 00 |.0..............| +00001cb0 16 0e 09 00 00 16 51 09 00 00 00 30 d9 02 00 00 |......Q....0....| +00001cc0 06 a3 03 01 00 00 0c 0c 00 00 16 51 09 00 00 16 |...........Q....| +00001cd0 51 09 00 00 00 30 1c 01 00 00 06 c0 03 01 00 00 |Q....0..........| +00001ce0 26 0c 00 00 16 51 09 00 00 16 51 09 00 00 00 30 |&....Q....Q....0| +00001cf0 61 04 00 00 06 93 0e 09 00 00 40 0c 00 00 16 0e |a.........@.....| +00001d00 09 00 00 16 51 09 00 00 00 30 91 00 00 00 06 fc |....Q....0......| +00001d10 8a 00 00 00 5a 0c 00 00 16 51 09 00 00 16 51 09 |....Z....Q....Q.| +00001d20 00 00 00 2d 85 0a 00 00 06 57 03 8a 00 00 00 7f |...-.....W......| +00001d30 0c 00 00 16 0e 09 00 00 16 8a 00 00 00 16 51 09 |..............Q.| +00001d40 00 00 16 7f 0c 00 00 00 10 08 85 0c 00 00 0f 8a |................| +00001d50 0c 00 00 31 74 6d 00 38 0e 85 1a 0d 00 00 06 96 |...1tm.8........| +00001d60 04 00 00 0e 87 03 01 00 00 00 06 1b 07 00 00 0e |................| +00001d70 88 03 01 00 00 04 06 2b 00 00 00 0e 89 03 01 00 |.......+........| +00001d80 00 08 06 54 04 00 00 0e 8a 03 01 00 00 0c 06 e4 |...T............| +00001d90 05 00 00 0e 8b 03 01 00 00 10 06 e4 0b 00 00 0e |................| +00001da0 8c 03 01 00 00 14 06 8f 09 00 00 0e 8d 03 01 00 |................| +00001db0 00 18 06 18 02 00 00 0e 8e 03 01 00 00 1c 06 9d |................| +00001dc0 04 00 00 0e 8f 03 01 00 00 20 06 40 0a 00 00 0e |......... .@....| +00001dd0 92 5d 0e 00 00 28 06 f3 0a 00 00 0e 93 2c 01 00 |.]...(.......,..| +00001de0 00 30 00 2d 10 0a 00 00 06 1f 01 8a 00 00 00 30 |.0.-...........0| +00001df0 0d 00 00 16 51 09 00 00 00 30 40 0b 00 00 06 9e |....Q....0@.....| +00001e00 0e 09 00 00 4f 0d 00 00 16 0e 09 00 00 16 51 09 |....O.........Q.| +00001e10 00 00 16 8a 00 00 00 00 30 45 03 00 00 06 a6 03 |........0E......| +00001e20 01 00 00 6e 0d 00 00 16 51 09 00 00 16 51 09 00 |...n....Q....Q..| +00001e30 00 16 8a 00 00 00 00 30 a6 04 00 00 06 96 0e 09 |.......0........| +00001e40 00 00 8d 0d 00 00 16 0e 09 00 00 16 51 09 00 00 |............Q...| +00001e50 16 8a 00 00 00 00 2d 85 09 00 00 06 9e 01 8a 00 |......-.........| +00001e60 00 00 b2 0d 00 00 16 d2 0b 00 00 16 b2 0d 00 00 |................| +00001e70 16 8a 00 00 00 16 f1 09 00 00 00 10 08 51 09 00 |.............Q..| +00001e80 00 2d 90 07 00 00 06 00 01 8a 00 00 00 d3 0d 00 |.-..............| +00001e90 00 16 51 09 00 00 16 51 09 00 00 00 2d cb 09 00 |..Q....Q....-...| +00001ea0 00 06 c2 01 ee 0d 00 00 ee 0d 00 00 16 51 09 00 |.............Q..| +00001eb0 00 16 f5 0d 00 00 00 04 08 04 47 08 00 00 10 08 |..........G.....| +00001ec0 0e 09 00 00 2d d2 09 00 00 06 c9 01 16 0e 00 00 |....-...........| +00001ed0 16 0e 00 00 16 51 09 00 00 16 f5 0d 00 00 00 04 |.....Q..........| +00001ee0 04 04 0b 07 00 00 2d d9 09 00 00 06 1a 01 0e 09 |......-.........| +00001ef0 00 00 3d 0e 00 00 16 0e 09 00 00 16 51 09 00 00 |..=.........Q...| +00001f00 16 f5 0d 00 00 00 2d e0 09 00 00 06 d4 01 5d 0e |......-.......].| +00001f10 00 00 5d 0e 00 00 16 51 09 00 00 16 f5 0d 00 00 |..]....Q........| +00001f20 16 03 01 00 00 00 04 08 05 7e 0b 00 00 2d a4 0c |.........~...-..| +00001f30 00 00 06 d9 01 95 00 00 00 84 0e 00 00 16 51 09 |..............Q.| +00001f40 00 00 16 f5 0d 00 00 16 03 01 00 00 00 30 fd 00 |.............0..| +00001f50 00 00 06 c4 8a 00 00 00 a3 0e 00 00 16 0e 09 00 |................| +00001f60 00 16 51 09 00 00 16 8a 00 00 00 00 2d a0 06 00 |..Q.........-...| +00001f70 00 06 65 01 03 01 00 00 b9 0e 00 00 16 9c 00 00 |..e.............| +00001f80 00 00 2d b7 04 00 00 06 45 01 03 01 00 00 d9 0e |..-.....E.......| +00001f90 00 00 16 51 09 00 00 16 51 09 00 00 16 8a 00 00 |...Q....Q.......| +00001fa0 00 00 2d dc 05 00 00 06 49 01 0e 09 00 00 f9 0e |..-.....I.......| +00001fb0 00 00 16 0e 09 00 00 16 51 09 00 00 16 8a 00 00 |........Q.......| +00001fc0 00 00 2d 5d 03 00 00 06 4e 01 0e 09 00 00 19 0f |..-]....N.......| +00001fd0 00 00 16 0e 09 00 00 16 51 09 00 00 16 8a 00 00 |........Q.......| +00001fe0 00 00 2d 80 04 00 00 06 52 01 0e 09 00 00 39 0f |..-.....R.....9.| +00001ff0 00 00 16 0e 09 00 00 16 14 09 00 00 16 8a 00 00 |................| +00002000 00 00 2d 4f 05 00 00 06 59 02 03 01 00 00 50 0f |..-O....Y.....P.| +00002010 00 00 16 51 09 00 00 2e 00 2d 09 0c 00 00 06 82 |...Q.....-......| +00002020 02 03 01 00 00 67 0f 00 00 16 51 09 00 00 2e 00 |.....g....Q.....| +00002030 18 d7 00 00 00 06 e0 d7 00 00 00 51 09 00 00 85 |...........Q....| +00002040 0f 00 00 16 51 09 00 00 16 14 09 00 00 00 19 e8 |....Q...........| +00002050 02 00 00 06 06 01 e8 02 00 00 51 09 00 00 a4 0f |..........Q.....| +00002060 00 00 16 51 09 00 00 16 51 09 00 00 00 18 f8 09 |...Q....Q.......| +00002070 00 00 06 ea f8 09 00 00 51 09 00 00 c2 0f 00 00 |........Q.......| +00002080 16 51 09 00 00 16 14 09 00 00 00 19 3f 09 00 00 |.Q..........?...| +00002090 06 11 01 3f 09 00 00 51 09 00 00 e1 0f 00 00 16 |...?...Q........| +000020a0 51 09 00 00 16 51 09 00 00 00 19 8d 02 00 00 06 |Q....Q..........| +000020b0 3c 01 8d 02 00 00 51 09 00 00 05 10 00 00 16 51 |<.....Q........Q| +000020c0 09 00 00 16 14 09 00 00 16 8a 00 00 00 00 32 11 |..............2.| +000020d0 08 00 00 07 f2 7c 11 00 00 12 07 f8 7c 11 00 00 |.....|......|...| +000020e0 13 07 01 01 9e 11 00 00 13 07 02 01 c5 11 00 00 |................| +000020f0 12 0f 2c be 04 00 00 12 0f 2d df 04 00 00 05 3b |..,......-.....;| +00002100 00 00 00 01 10 37 77 10 00 00 33 66 03 00 00 10 |.....7w...3f....| +00002110 3a 27 01 00 00 33 8b 00 00 00 10 3b 27 01 00 00 |:'...3.....;'...| +00002120 33 22 03 00 00 10 3f a7 13 00 00 33 c2 09 00 00 |3"....?....3....| +00002130 10 40 27 01 00 00 29 07 02 00 00 03 01 00 00 00 |.@'...).........| +00002140 05 b8 0b 00 00 01 10 37 b9 10 00 00 33 66 03 00 |.......7....3f..| +00002150 00 10 3a b2 13 00 00 33 8b 00 00 00 10 3b b2 13 |..:....3.....;..| +00002160 00 00 33 22 03 00 00 10 3f a7 13 00 00 33 c2 09 |..3"....?....3..| +00002170 00 00 10 40 27 01 00 00 29 07 02 00 00 95 00 00 |...@'...).......| +00002180 00 00 05 92 01 00 00 01 10 37 fb 10 00 00 33 66 |.........7....3f| +00002190 03 00 00 10 3a 32 01 00 00 33 8b 00 00 00 10 3b |....:2...3.....;| +000021a0 32 01 00 00 33 22 03 00 00 10 3f a7 13 00 00 33 |2...3"....?....3| +000021b0 c2 09 00 00 10 40 27 01 00 00 29 07 02 00 00 fc |.....@'...).....| +000021c0 00 00 00 00 05 48 0b 00 00 01 10 37 3d 11 00 00 |.....H.....7=...| +000021d0 33 66 03 00 00 10 3a 3c 14 00 00 33 8b 00 00 00 |3f....:<...3....| +000021e0 10 3b 3c 14 00 00 33 22 03 00 00 10 3f a7 13 00 |.;<...3"....?...| +000021f0 00 33 c2 09 00 00 10 40 27 01 00 00 29 07 02 00 |.3.....@'...)...| +00002200 00 fa 11 00 00 00 34 4e 08 00 00 01 10 37 33 66 |......4N.....73f| +00002210 03 00 00 10 3a 41 14 00 00 33 8b 00 00 00 10 3b |....:A...3.....;| +00002220 41 14 00 00 33 22 03 00 00 10 3f a7 13 00 00 33 |A...3"....?....3| +00002230 c2 09 00 00 10 40 27 01 00 00 29 07 02 00 00 5d |.....@'...)....]| +00002240 0e 00 00 00 00 2d 02 09 00 00 06 cb 01 97 11 00 |.....-..........| +00002250 00 97 11 00 00 16 51 09 00 00 16 f5 0d 00 00 00 |......Q.........| +00002260 04 10 04 42 08 00 00 2d 37 09 00 00 06 e3 01 be |...B...-7.......| +00002270 11 00 00 be 11 00 00 16 51 09 00 00 16 f5 0d 00 |........Q.......| +00002280 00 16 03 01 00 00 00 04 08 05 79 0b 00 00 2d 37 |..........y...-7| +00002290 04 00 00 06 ea 01 e5 11 00 00 e5 11 00 00 16 51 |...............Q| +000022a0 09 00 00 16 f5 0d 00 00 16 03 01 00 00 00 04 08 |................| +000022b0 07 dd 08 00 00 04 01 08 7f 02 00 00 04 01 06 81 |................| +000022c0 02 00 00 04 02 05 5a 0a 00 00 32 41 05 00 00 11 |......Z...2A....| +000022d0 37 14 12 00 00 35 11 38 f0 02 00 00 00 36 08 03 |7....5.8.....6..| +000022e0 03 00 00 36 08 33 03 00 00 04 01 02 1b 08 00 00 |...6.3..........| +000022f0 10 08 33 03 00 00 10 08 03 03 00 00 36 08 59 04 |..3.........6.Y.| +00002300 00 00 05 1e 0b 00 00 60 12 36 66 13 00 00 06 84 |.......`.6f.....| +00002310 01 00 00 12 3a d2 0b 00 00 00 06 a3 03 00 00 12 |....:...........| +00002320 3b d2 0b 00 00 08 06 92 0a 00 00 12 41 d2 0b 00 |;...........A...| +00002330 00 10 06 c7 00 00 00 12 47 d2 0b 00 00 18 06 4a |........G......J| +00002340 0a 00 00 12 48 d2 0b 00 00 20 06 80 01 00 00 12 |....H.... ......| +00002350 49 d2 0b 00 00 28 06 9f 03 00 00 12 4a d2 0b 00 |I....(......J...| +00002360 00 30 06 8e 0a 00 00 12 4b d2 0b 00 00 38 06 6e |.0......K....8.n| +00002370 07 00 00 12 4c d2 0b 00 00 40 06 10 04 00 00 12 |....L....@......| +00002380 4d d2 0b 00 00 48 06 05 01 00 00 12 4e fc 00 00 |M....H......N...| +00002390 00 50 06 09 01 00 00 12 4f fc 00 00 00 51 06 29 |.P......O....Q.)| +000023a0 04 00 00 12 51 fc 00 00 00 52 06 0e 09 00 00 12 |....Q....R......| +000023b0 53 fc 00 00 00 53 06 cc 01 00 00 12 55 fc 00 00 |S....S......U...| +000023c0 00 54 06 15 05 00 00 12 57 fc 00 00 00 55 06 e7 |.T......W....U..| +000023d0 0a 00 00 12 5e fc 00 00 00 56 06 51 03 00 00 12 |....^....V.Q....| +000023e0 5f fc 00 00 00 57 06 25 04 00 00 12 62 fc 00 00 |_....W.%....b...| +000023f0 00 58 06 0a 09 00 00 12 64 fc 00 00 00 59 06 c8 |.X......d....Y..| +00002400 01 00 00 12 66 fc 00 00 00 5a 06 11 05 00 00 12 |....f....Z......| +00002410 68 fc 00 00 00 5b 06 e3 0a 00 00 12 6f fc 00 00 |h....[......o...| +00002420 00 5c 06 4d 03 00 00 12 70 fc 00 00 00 5d 00 30 |.\.M....p....].0| +00002430 ee 09 00 00 12 7d d2 0b 00 00 80 13 00 00 16 03 |.....}..........| +00002440 01 00 00 16 2c 01 00 00 00 37 99 00 00 00 12 80 |....,....7......| +00002450 8b 13 00 00 10 08 39 12 00 00 03 26 0c 00 00 13 |......9....&....| +00002460 28 03 01 00 00 03 ce 07 00 00 14 20 03 01 00 00 |(.......... ....| +00002470 0f 20 12 00 00 10 08 d2 0b 00 00 0f 95 00 00 00 |. ..............| +00002480 10 08 10 06 00 00 03 56 02 00 00 15 34 95 00 00 |.......V....4...| +00002490 00 03 ff 03 00 00 15 ba d3 13 00 00 10 08 d9 13 |................| +000024a0 00 00 0f 91 13 00 00 30 38 0c 00 00 15 af 03 01 |.......08.......| +000024b0 00 00 f8 13 00 00 16 9c 00 00 00 16 bd 13 00 00 |................| +000024c0 00 30 da 01 00 00 15 dd 9c 00 00 00 12 14 00 00 |.0..............| +000024d0 16 9c 00 00 00 16 c8 13 00 00 00 30 dc 01 00 00 |...........0....| +000024e0 15 da c8 13 00 00 27 14 00 00 16 2c 01 00 00 00 |......'....,....| +000024f0 30 3a 0c 00 00 15 ab bd 13 00 00 3c 14 00 00 16 |0:.........<....| +00002500 2c 01 00 00 00 0f fa 11 00 00 0f 5d 0e 00 00 35 |,..........]...5| +00002510 01 04 37 01 00 00 38 0f 00 00 00 01 06 03 01 00 |..7...8.........| +00002520 00 0d 09 40 00 00 00 00 00 3b 01 00 00 00 00 00 |...@.....;......| +00002530 00 01 9c db 14 00 00 39 7b 05 00 00 01 06 03 01 |.......9{.......| +00002540 00 00 02 91 4c 39 b4 05 00 00 01 06 ac 13 00 00 |....L9..........| +00002550 02 91 40 3a 1d 09 40 00 00 00 00 00 24 01 00 00 |..@:..@.....$...| +00002560 00 00 00 00 3b 61 00 01 08 fc 00 00 00 02 91 5f |....;a........._| +00002570 3b 62 00 01 09 fc 00 00 00 02 91 5e 3c 79 04 00 |;b.........^...| +000025e0 00 85 0a 40 00 00 00 00 00 15 00 00 00 00 00 00 |...@............| +000025f0 00 01 9c 3f 6c 0b 00 00 88 00 00 00 40 b0 08 00 |...?l.......@...| +00002600 00 09 03 b1 12 60 00 00 00 00 00 41 41 10 00 00 |.....`.....AA...| +00002610 cd 03 00 00 80 80 80 80 78 42 4c 10 00 00 59 00 |........xBL...Y.| +00002620 00 00 ff ff ff 7f 43 a4 10 00 00 d6 06 00 00 40 |......C........@| +00002630 43 d0 10 00 00 53 09 00 00 7f 41 07 11 00 00 6e |C....S....A....n| +00002640 06 00 00 80 80 7e 44 12 11 00 00 a0 02 00 00 ff |.....~D.........| +00002650 7f 41 45 11 00 00 2a 07 00 00 80 80 80 80 80 80 |.AE...*.........| +00002660 80 80 80 7f 45 50 11 00 00 f0 02 00 00 ff ff ff |....EP..........| +00002670 ff ff ff ff 7f 00 01 11 01 25 0e 13 0b 03 0e 1b |.........%......| +00002680 0e 11 01 12 07 10 17 00 00 02 13 00 03 0e 3c 19 |..............<.| +00002690 00 00 03 16 00 03 0e 3a 0b 3b 0b 49 13 00 00 04 |.......:.;.I....| +000026a0 24 00 0b 0b 3e 0b 03 0e 00 00 05 13 01 03 0e 0b |$...>...........| +000026b0 0b 3a 0b 3b 0b 01 13 00 00 06 0d 00 03 0e 3a 0b |.:.;..........:.| +000026c0 3b 0b 49 13 38 0b 00 00 07 0f 00 0b 0b 00 00 08 |;.I.8...........| +000026d0 16 00 03 0e 3a 0b 3b 05 49 13 00 00 09 13 01 0b |....:.;.I.......| +000026e0 0b 3a 0b 3b 0b 6e 0e 01 13 00 00 0a 17 01 0b 0b |.:.;.n..........| +000026f0 3a 0b 3b 0b 01 13 00 00 0b 0d 00 03 0e 3a 0b 3b |:.;..........:.;| +00002700 0b 49 13 00 00 0c 01 01 49 13 01 13 00 00 0d 21 |.I......I......!| +00002710 00 49 13 2f 0b 00 00 0e 24 00 0b 0b 3e 0b 03 08 |.I./....$...>...| +00002720 00 00 0f 26 00 49 13 00 00 10 0f 00 0b 0b 49 13 |...&.I........I.| +00002730 00 00 11 39 01 03 08 3a 0b 3b 0b 01 13 00 00 12 |...9...:.;......| +00002740 08 00 3a 0b 3b 0b 18 13 00 00 13 08 00 3a 0b 3b |..:.;........:.;| +00002750 05 18 13 00 00 14 39 00 03 0e 3a 0b 3b 0b 00 00 |......9...:.;...| +00002760 15 2e 01 3f 19 03 0e 3a 0b 3b 0b 6e 0e 3c 19 01 |...?...:.;.n.<..| +00002770 13 00 00 16 05 00 49 13 00 00 17 2e 01 3f 19 03 |......I......?..| +00002780 08 3a 0b 3b 0b 6e 0e 49 13 3c 19 01 13 00 00 18 |.:.;.n.I.<......| +00002790 2e 01 3f 19 03 0e 3a 0b 3b 0b 6e 0e 49 13 3c 19 |..?...:.;.n.I.<.| +000027a0 01 13 00 00 19 2e 01 3f 19 03 0e 3a 0b 3b 05 6e |.......?...:.;.n| +000027b0 0e 49 13 3c 19 01 13 00 00 1a 2e 00 3f 19 03 08 |.I.<........?...| +000027c0 3a 0b 3b 05 6e 0e 49 13 3c 19 00 00 1b 2e 01 3f |:.;.n.I.<......?| +000027d0 19 03 0e 3a 0b 3b 05 6e 0e 49 13 3c 19 00 00 1c |...:.;.n.I.<....| +000027e0 04 01 03 0e 0b 0b 3a 0b 3b 0b 01 13 00 00 1d 28 |......:.;......(| +000027f0 00 03 0e 1c 0d 00 00 1e 02 01 03 0e 3c 19 01 13 |............<...| +00002800 00 00 1f 02 01 03 0e 0b 0b 3a 0b 3b 05 32 0b 01 |.........:.;.2..| +00002810 13 00 00 20 0d 00 03 0e 3a 0b 3b 05 49 13 3f 19 |... ....:.;.I.?.| +00002820 3c 19 00 00 21 2e 01 3f 19 03 0e 3a 0b 3b 05 32 |<...!..?...:.;.2| +00002830 0b 3c 19 64 13 01 13 00 00 22 05 00 49 13 34 19 |.<.d....."..I.4.| +00002840 00 00 23 2e 01 3f 19 03 0e 3a 0b 3b 05 32 0b 3c |..#..?...:.;.2.<| +00002850 19 64 13 00 00 24 16 00 03 0e 3a 0b 3b 0b 49 13 |.d...$....:.;.I.| +00002860 32 0b 00 00 25 0d 00 03 0e 3a 0b 3b 05 49 13 3f |2...%....:.;.I.?| +00002870 19 32 0b 3c 19 1c 0b 00 00 26 0d 00 03 08 3a 0b |.2.<.....&....:.| +00002880 3b 05 49 13 3f 19 32 0b 3c 19 1c 0b 00 00 27 0d |;.I.?.2.<.....'.| +00002890 00 03 0e 3a 0b 3b 05 49 13 3f 19 32 0b 3c 19 1c |...:.;.I.?.2.<..| +000028a0 05 00 00 28 16 00 03 0e 3a 0b 3b 05 49 13 32 0b |...(....:.;.I.2.| +000028b0 00 00 29 2f 00 03 0e 49 13 00 00 2a 34 00 03 08 |..)/...I...*4...| +000028c0 3a 0b 3b 0b 6e 0e 49 13 3f 19 3c 19 00 00 2b 34 |:.;.n.I.?.<...+4| +000028d0 00 03 0e 3a 0b 3b 0b 6e 0e 49 13 3f 19 3c 19 00 |...:.;.n.I.?.<..| +000028e0 00 2c 34 00 03 0e 3a 0b 3b 0b 49 13 3c 19 00 00 |.,4...:.;.I.<...| +000028f0 2d 2e 01 3f 19 03 0e 3a 0b 3b 05 49 13 3c 19 01 |-..?...:.;.I.<..| +00002900 13 00 00 2e 18 00 00 00 2f 2e 00 3f 19 03 0e 3a |......../..?...:| +00002910 0b 3b 05 49 13 3c 19 00 00 30 2e 01 3f 19 03 0e |.;.I.<...0..?...| +00002920 3a 0b 3b 0b 49 13 3c 19 01 13 00 00 31 13 01 03 |:.;.I.<.....1...| +00002930 08 0b 0b 3a 0b 3b 0b 01 13 00 00 32 39 01 03 0e |...:.;.....29...| +00002940 3a 0b 3b 0b 01 13 00 00 33 0d 00 03 0e 3a 0b 3b |:.;.....3....:.;| +00002950 0b 49 13 3f 19 3c 19 00 00 34 13 01 03 0e 0b 0b |.I.?.<...4......| +00002960 3a 0b 3b 0b 00 00 35 3a 00 3a 0b 3b 0b 18 13 00 |:.;...5:.:.;....| +00002970 00 36 10 00 0b 0b 49 13 00 00 37 2e 00 3f 19 03 |.6....I...7..?..| +00002980 0e 3a 0b 3b 0b 49 13 3c 19 00 00 38 2e 01 3f 19 |.:.;.I.<...8..?.| +00002990 03 0e 3a 0b 3b 0b 49 13 11 01 12 07 40 18 96 42 |..:.;.I.....@..B| +000029a0 19 01 13 00 00 39 05 00 03 0e 3a 0b 3b 0b 49 13 |.....9....:.;.I.| +000029b0 02 18 00 00 3a 0b 01 11 01 12 07 00 00 3b 34 00 |....:........;4.| +000029c0 03 08 3a 0b 3b 0b 49 13 02 18 00 00 3c 34 00 03 |..:.;.I.....<4..| +000029d0 0e 3a 0b 3b 0b 49 13 02 18 00 00 3d 2e 01 03 0e |.:.;.I.....=....| +000029e0 34 19 11 01 12 07 40 18 96 42 19 01 13 00 00 3e |4.....@..B.....>| +000029f0 2e 00 03 0e 34 19 11 01 12 07 40 18 96 42 19 00 |....4.....@..B..| +00002a00 00 3f 34 00 03 0e 49 13 3f 19 34 19 3c 19 00 00 |.?4...I.?.4.<...| +00002a10 40 34 00 47 13 02 18 00 00 41 34 00 47 13 6e 0e |@4.G.....A4.G.n.| +00002a20 1c 0d 00 00 42 34 00 47 13 6e 0e 1c 06 00 00 43 |....B4.G.n.....C| +00002a30 34 00 47 13 6e 0e 1c 0b 00 00 44 34 00 47 13 6e |4.G.n.....D4.G.n| +00002a40 0e 1c 05 00 00 45 34 00 47 13 6e 0e 1c 07 00 00 |.....E4.G.n.....| +00002a50 00 58 02 00 00 02 00 12 02 00 00 01 01 fb 0e 0d |.X..............| +00002a60 00 01 01 01 01 00 00 00 01 00 00 01 2f 75 73 72 |............/usr| +00002a70 2f 69 6e 63 6c 75 64 65 2f 63 2b 2b 2f 34 2e 38 |/include/c++/4.8| +00002a80 2e 32 00 2f 75 73 72 2f 69 6e 63 6c 75 64 65 00 |.2./usr/include.| +00002a90 2f 75 73 72 2f 6c 69 62 2f 67 63 63 2f 78 38 36 |/usr/lib/gcc/x86| +00002aa0 5f 36 34 2d 72 65 64 68 61 74 2d 6c 69 6e 75 78 |_64-redhat-linux| +00002ab0 2f 34 2e 38 2e 35 2f 69 6e 63 6c 75 64 65 00 2f |/4.8.5/include./| +00002ac0 75 73 72 2f 69 6e 63 6c 75 64 65 2f 63 2b 2b 2f |usr/include/c++/| +00002ad0 34 2e 38 2e 32 2f 62 69 74 73 00 2f 75 73 72 2f |4.8.2/bits./usr/| +00002ae0 69 6e 63 6c 75 64 65 2f 63 2b 2b 2f 34 2e 38 2e |include/c++/4.8.| +00002af0 32 2f 78 38 36 5f 36 34 2d 72 65 64 68 61 74 2d |2/x86_64-redhat-| +00002b00 6c 69 6e 75 78 2f 62 69 74 73 00 2f 75 73 72 2f |linux/bits./usr/| +00002b10 69 6e 63 6c 75 64 65 2f 63 2b 2b 2f 34 2e 38 2e |include/c++/4.8.| +00002b20 32 2f 65 78 74 00 2f 75 73 72 2f 69 6e 63 6c 75 |2/ext./usr/inclu| +00002b30 64 65 2f 63 2b 2b 2f 34 2e 38 2e 32 2f 64 65 62 |de/c++/4.8.2/deb| +00002b40 75 67 00 2f 75 73 72 2f 69 6e 63 6c 75 64 65 2f |ug./usr/include/| +00002b50 62 69 74 73 00 00 6b 65 79 62 6f 61 72 64 62 6f |bits..keyboardbo| +00002b60 2e 63 70 70 00 00 00 00 69 6f 73 74 72 65 61 6d |.cpp....iostream| +00002b70 00 01 00 00 3c 62 75 69 6c 74 2d 69 6e 3e 00 00 |......| +00002b80 00 00 73 74 64 69 6f 2e 68 00 02 00 00 73 74 64 |..stdio.h....std| +00002b90 64 65 66 2e 68 00 03 00 00 77 63 68 61 72 2e 68 |def.h....wchar.h| +00002ba0 00 02 00 00 63 77 63 68 61 72 00 01 00 00 63 68 |....cwchar....ch| +00002bb0 61 72 5f 74 72 61 69 74 73 2e 68 00 04 00 00 63 |ar_traits.h....c| +00002bc0 2b 2b 63 6f 6e 66 69 67 2e 68 00 05 00 00 63 6c |++config.h....cl| +00002bd0 6f 63 61 6c 65 00 01 00 00 69 6f 73 5f 62 61 73 |ocale....ios_bas| +00002be0 65 2e 68 00 04 00 00 63 77 63 74 79 70 65 00 01 |e.h....cwctype..| +00002bf0 00 00 69 6f 73 66 77 64 00 01 00 00 74 69 6d 65 |..iosfwd....time| +00002c00 2e 68 00 02 00 00 6e 65 77 5f 61 6c 6c 6f 63 61 |.h....new_alloca| +00002c10 74 6f 72 2e 68 00 06 00 00 6e 75 6d 65 72 69 63 |tor.h....numeric| +00002c20 5f 74 72 61 69 74 73 2e 68 00 06 00 00 64 65 62 |_traits.h....deb| +00002c30 75 67 2e 68 00 07 00 00 6c 6f 63 61 6c 65 2e 68 |ug.h....locale.h| +00002c40 00 02 00 00 74 79 70 65 73 2e 68 00 08 00 00 61 |....types.h....a| +00002c50 74 6f 6d 69 63 5f 77 6f 72 64 2e 68 00 05 00 00 |tomic_word.h....| +00002c60 77 63 74 79 70 65 2e 68 00 02 00 00 00 00 09 02 |wctype.h........| +00002c70 0d 09 40 00 00 00 00 00 18 f3 4b 4c 4b 4c 08 ad |..@.......KLKL..| +00002c80 08 13 e6 02 2b 13 02 2a 13 02 2a 13 02 2a 13 02 |....+..*..*..*..| +00002c90 2a 14 59 74 d6 00 02 04 01 06 66 04 02 06 03 31 |*.Yt......f....1| +00002ca0 90 04 01 03 4f 08 c8 2e 4a 08 00 01 01 5f 47 4c |....O...J...._GL| +00002cb0 4f 42 41 4c 5f 5f 73 75 62 5f 49 5f 6d 61 69 6e |OBAL__sub_I_main| +00002cc0 00 5f 53 5f 65 6e 64 00 73 69 7a 65 5f 74 00 73 |._S_end.size_t.s| +00002cd0 69 7a 65 74 79 70 65 00 74 6d 5f 68 6f 75 72 00 |izetype.tm_hour.| +00002ce0 5f 5f 76 61 6c 75 65 00 5f 5f 6e 75 6d 65 72 69 |__value.__numeri| +00002cf0 63 5f 74 72 61 69 74 73 5f 69 6e 74 65 67 65 72 |c_traits_integer| +00002d00 3c 69 6e 74 3e 00 5f 5a 4e 39 5f 5f 67 6e 75 5f |._ZN9__gnu_| +00002d10 63 78 78 32 34 5f 5f 6e 75 6d 65 72 69 63 5f 74 |cxx24__numeric_t| +00002d20 72 61 69 74 73 5f 69 6e 74 65 67 65 72 49 69 45 |raits_integerIiE| +00002d30 35 5f 5f 6d 61 78 45 00 5f 5f 6d 61 78 00 77 63 |5__maxE.__max.wc| +00002d40 73 63 73 70 6e 00 6c 6f 63 61 6c 65 63 6f 6e 76 |scspn.localeconv| +00002d50 00 2f 68 6f 6d 65 2f 73 74 75 64 65 6e 74 2f 61 |./home/student/a| +00002d60 6e 77 30 30 34 34 2f 43 50 45 34 35 35 2f 6c 61 |nw0044/CPE455/la| +00002d70 62 30 32 00 69 6e 74 5f 63 75 72 72 5f 73 79 6d |b02.int_curr_sym| +00002d80 62 6f 6c 00 77 63 73 63 68 72 00 5f 53 5f 62 6f |bol.wcschr._S_bo| +00002d90 6f 6c 61 6c 70 68 61 00 5f 53 5f 62 61 64 62 69 |olalpha._S_badbi| +00002da0 74 00 6d 62 72 74 6f 77 63 00 77 63 73 78 66 72 |t.mbrtowc.wcsxfr| +00002db0 6d 00 69 6e 74 5f 66 72 61 63 5f 64 69 67 69 74 |m.int_frac_digit| +00002dc0 73 00 5f 53 5f 62 65 67 00 77 63 73 63 6f 6c 6c |s._S_beg.wcscoll| +00002dd0 00 5f 5f 77 63 68 00 5f 5a 4e 53 74 31 31 63 68 |.__wch._ZNSt11ch| +00002de0 61 72 5f 74 72 61 69 74 73 49 63 45 34 6d 6f 76 |ar_traitsIcE4mov| +00002df0 65 45 50 63 50 4b 63 6d 00 5f 53 5f 62 61 73 65 |eEPcPKcm._S_base| +00002e00 66 69 65 6c 64 00 5f 5a 4e 53 74 31 31 63 68 61 |field._ZNSt11cha| +00002e10 72 5f 74 72 61 69 74 73 49 63 45 31 31 74 6f 5f |r_traitsIcE11to_| +00002e20 69 6e 74 5f 74 79 70 65 45 52 4b 63 00 6d 6f 6e |int_typeERKc.mon| +00002e30 5f 64 65 63 69 6d 61 6c 5f 70 6f 69 6e 74 00 5f |_decimal_point._| +00002e40 5f 6e 75 6d 65 72 69 63 5f 74 72 61 69 74 73 5f |_numeric_traits_| +00002e50 69 6e 74 65 67 65 72 3c 63 68 61 72 3e 00 76 77 |integer.vw| +00002e60 70 72 69 6e 74 66 00 5f 49 6f 73 5f 4f 70 65 6e |printf._Ios_Open| +00002e70 6d 6f 64 65 00 69 6e 74 5f 6e 5f 63 73 5f 70 72 |mode.int_n_cs_pr| +00002e80 65 63 65 64 65 73 00 74 6f 77 63 74 72 61 6e 73 |ecedes.towctrans| +00002e90 00 63 6f 70 79 00 5f 5f 69 6f 69 6e 69 74 00 5f |.copy.__ioinit._| +00002ea0 53 5f 73 79 6e 63 65 64 5f 77 69 74 68 5f 73 74 |S_synced_with_st| +00002eb0 64 69 6f 00 5f 56 61 6c 75 65 00 5f 53 5f 65 6f |dio._Value._S_eo| +00002ec0 66 62 69 74 00 74 6d 5f 79 64 61 79 00 5f 49 4f |fbit.tm_yday._IO| +00002ed0 5f 46 49 4c 45 00 62 61 73 69 63 5f 6f 73 74 72 |_FILE.basic_ostr| +00002ee0 65 61 6d 3c 63 68 61 72 2c 20 73 74 64 3a 3a 63 |eam| +00002f00 20 3e 00 77 63 74 79 70 65 5f 74 00 66 67 65 74 | >.wctype_t.fget| +00002f10 77 63 00 67 65 74 77 63 68 61 72 00 66 67 65 74 |wc.getwchar.fget| +00002f20 77 73 00 5f 53 5f 72 69 67 68 74 00 75 6e 73 69 |ws._S_right.unsi| +00002f30 67 6e 65 64 20 63 68 61 72 00 77 6d 65 6d 63 68 |gned char.wmemch| +00002f40 72 00 5f 53 5f 67 6f 6f 64 62 69 74 00 5f 5a 4e |r._S_goodbit._ZN| +00002f50 39 5f 5f 67 6e 75 5f 63 78 78 32 34 5f 5f 6e 75 |9__gnu_cxx24__nu| +00002f60 6d 65 72 69 63 5f 74 72 61 69 74 73 5f 69 6e 74 |meric_traits_int| +00002f70 65 67 65 72 49 73 45 35 5f 5f 6d 61 78 45 00 5f |egerIsE5__maxE._| +00002f80 53 5f 62 69 6e 00 77 63 73 63 6d 70 00 6e 6f 74 |S_bin.wcscmp.not| +00002f90 5f 65 6f 66 00 77 63 73 70 62 72 6b 00 5f 5a 4e |_eof.wcspbrk._ZN| +00002fa0 39 5f 5f 67 6e 75 5f 63 78 78 32 34 5f 5f 6e 75 |9__gnu_cxx24__nu| +00002fb0 6d 65 72 69 63 5f 74 72 61 69 74 73 5f 69 6e 74 |meric_traits_int| +00002fc0 65 67 65 72 49 6c 45 35 5f 5f 6d 61 78 45 00 5f |egerIlE5__maxE._| +00002fd0 5f 69 73 5f 73 69 67 6e 65 64 00 5f 53 5f 6f 75 |_is_signed._S_ou| +00002fe0 74 00 5f 53 5f 61 70 70 00 6f 70 65 6e 6d 6f 64 |t._S_app.openmod| +00002ff0 65 00 77 63 73 6e 63 6d 70 00 69 6e 74 5f 6e 5f |e.wcsncmp.int_n_| +00003000 73 69 67 6e 5f 70 6f 73 6e 00 77 6d 65 6d 6d 6f |sign_posn.wmemmo| +00003010 76 65 00 5f 5f 6d 69 6e 00 62 74 6f 77 63 00 62 |ve.__min.btowc.b| +00003020 61 73 69 63 5f 69 73 74 72 65 61 6d 3c 63 68 61 |asic_istream >.mon_| +00003050 74 68 6f 75 73 61 6e 64 73 5f 73 65 70 00 75 6e |thousands_sep.un| +00003060 67 65 74 77 63 00 66 70 5f 6f 66 66 73 65 74 00 |getwc.fp_offset.| +00003070 70 74 72 64 69 66 66 5f 74 00 5f 5a 4e 39 5f 5f |ptrdiff_t._ZN9__| +00003080 67 6e 75 5f 63 78 78 32 34 5f 5f 6e 75 6d 65 72 |gnu_cxx24__numer| +00003090 69 63 5f 74 72 61 69 74 73 5f 69 6e 74 65 67 65 |ic_traits_intege| +000030a0 72 49 69 45 35 5f 5f 6d 69 6e 45 00 77 63 74 72 |rIiE5__minE.wctr| +000030b0 61 6e 73 5f 74 00 6d 62 72 6c 65 6e 00 6e 65 67 |ans_t.mbrlen.neg| +000030c0 61 74 69 76 65 5f 73 69 67 6e 00 5f 53 5f 68 65 |ative_sign._S_he| +000030d0 78 00 69 6e 74 5f 70 5f 63 73 5f 70 72 65 63 65 |x.int_p_cs_prece| +000030e0 64 65 73 00 77 63 73 74 6f 75 6c 6c 00 5f 53 5f |des.wcstoull._S_| +000030f0 69 6e 74 65 72 6e 61 6c 00 63 6f 6d 70 61 72 65 |internal.compare| +00003100 00 74 6d 5f 6d 64 61 79 00 66 69 6e 64 00 77 63 |.tm_mday.find.wc| +00003110 73 63 70 79 00 5f 43 68 61 72 54 00 76 73 77 70 |scpy._CharT.vswp| +00003120 72 69 6e 74 66 00 62 75 66 66 65 72 00 77 6d 65 |rintf.buffer.wme| +00003130 6d 73 65 74 00 73 65 65 6b 64 69 72 00 66 77 69 |mset.seekdir.fwi| +00003140 64 65 00 74 6d 5f 73 65 63 00 74 6d 5f 69 73 64 |de.tm_sec.tm_isd| +00003150 73 74 00 77 63 73 6e 63 70 79 00 70 75 74 77 63 |st.wcsncpy.putwc| +00003160 68 61 72 00 77 6d 65 6d 63 6d 70 00 5f 53 5f 61 |har.wmemcmp._S_a| +00003170 74 65 00 5f 5a 4e 53 74 31 31 63 68 61 72 5f 74 |te._ZNSt11char_t| +00003180 72 61 69 74 73 49 63 45 34 63 6f 70 79 45 50 63 |raitsIcE4copyEPc| +00003190 50 4b 63 6d 00 5f 5a 4e 53 74 31 31 63 68 61 72 |PKcm._ZNSt11char| +000031a0 5f 74 72 61 69 74 73 49 63 45 32 6c 74 45 52 4b |_traitsIcE2ltERK| +000031b0 63 53 32 5f 00 5f 53 5f 66 69 78 65 64 00 69 6e |cS2_._S_fixed.in| +000031c0 74 5f 6e 5f 73 65 70 5f 62 79 5f 73 70 61 63 65 |t_n_sep_by_space| +000031d0 00 5f 5f 70 72 69 6f 72 69 74 79 00 5f 53 5f 73 |.__priority._S_s| +000031e0 68 6f 77 62 61 73 65 00 5f 53 5f 69 6e 00 5f 5f |howbase._S_in.__| +000031f0 67 6e 75 5f 64 65 62 75 67 00 76 66 77 70 72 69 |gnu_debug.vfwpri| +00003200 6e 74 66 00 6b 65 79 62 6f 61 72 64 62 6f 2e 63 |ntf.keyboardbo.c| +00003210 70 70 00 76 73 77 73 63 61 6e 66 00 65 71 5f 69 |pp.vswscanf.eq_i| +00003220 6e 74 5f 74 79 70 65 00 61 72 67 63 00 5f 53 5f |nt_type.argc._S_| +00003230 72 65 66 63 6f 75 6e 74 00 5f 5a 4e 53 74 31 31 |refcount._ZNSt11| +00003240 63 68 61 72 5f 74 72 61 69 74 73 49 63 45 31 32 |char_traitsIcE12| +00003250 74 6f 5f 63 68 61 72 5f 74 79 70 65 45 52 4b 69 |to_char_typeERKi| +00003260 00 61 72 67 76 00 5f 53 5f 74 72 75 6e 63 00 5f |.argv._S_trunc._| +00003270 5f 69 6e 69 74 69 61 6c 69 7a 65 5f 70 00 5f 53 |_initialize_p._S| +00003280 5f 73 68 6f 77 70 6f 73 00 77 6d 65 6d 63 70 79 |_showpos.wmemcpy| +00003290 00 74 6d 5f 6d 6f 6e 00 47 4e 55 20 43 2b 2b 20 |.tm_mon.GNU C++ | +000032a0 34 2e 38 2e 35 20 32 30 31 35 30 36 32 33 20 28 |4.8.5 20150623 (| +000032b0 52 65 64 20 48 61 74 20 34 2e 38 2e 35 2d 34 34 |Red Hat 4.8.5-44| +000032c0 29 20 2d 6d 74 75 6e 65 3d 67 65 6e 65 72 69 63 |) -mtune=generic| +000032d0 20 2d 6d 61 72 63 68 3d 78 38 36 2d 36 34 20 2d | -march=x86-64 -| +000032e0 67 00 5f 53 5f 64 65 63 00 5f 49 6f 73 5f 46 6d |g._S_dec._Ios_Fm| +000032f0 74 66 6c 61 67 73 00 5f 5a 4e 53 74 31 31 63 68 |tflags._ZNSt11ch| +00003300 61 72 5f 74 72 61 69 74 73 49 63 45 34 66 69 6e |ar_traitsIcE4fin| +00003310 64 45 50 4b 63 6d 52 53 31 5f 00 5f 5a 4e 39 5f |dEPKcmRS1_._ZN9_| +00003320 5f 67 6e 75 5f 63 78 78 32 34 5f 5f 6e 75 6d 65 |_gnu_cxx24__nume| +00003330 72 69 63 5f 74 72 61 69 74 73 5f 69 6e 74 65 67 |ric_traits_integ| +00003340 65 72 49 73 45 35 5f 5f 6d 69 6e 45 00 77 63 74 |erIsE5__minE.wct| +00003350 6f 62 00 5f 53 5f 73 68 6f 77 70 6f 69 6e 74 00 |ob._S_showpoint.| +00003360 5f 53 5f 73 6b 69 70 77 73 00 67 70 5f 6f 66 66 |_S_skipws.gp_off| +00003370 73 65 74 00 5f 53 5f 61 64 6a 75 73 74 66 69 65 |set._S_adjustfie| +00003380 6c 64 00 5f 5a 4e 39 5f 5f 67 6e 75 5f 63 78 78 |ld._ZN9__gnu_cxx| +00003390 32 34 5f 5f 6e 75 6d 65 72 69 63 5f 74 72 61 69 |24__numeric_trai| +000033a0 74 73 5f 69 6e 74 65 67 65 72 49 6d 45 38 5f 5f |ts_integerImE8__| +000033b0 64 69 67 69 74 73 45 00 66 6c 6f 61 74 00 5f 5a |digitsE.float._Z| +000033c0 53 74 34 63 6f 75 74 00 74 6d 5f 6d 69 6e 00 5f |St4cout.tm_min._| +000033d0 53 5f 6c 65 66 74 00 5f 5a 4e 39 5f 5f 67 6e 75 |S_left._ZN9__gnu| +000033e0 5f 63 78 78 32 34 5f 5f 6e 75 6d 65 72 69 63 5f |_cxx24__numeric_| +000033f0 74 72 61 69 74 73 5f 69 6e 74 65 67 65 72 49 6c |traits_integerIl| +00003400 45 35 5f 5f 6d 69 6e 45 00 63 68 61 72 5f 74 72 |E5__minE.char_tr| +00003410 61 69 74 73 3c 63 68 61 72 3e 00 70 6f 73 69 74 |aits.posit| +00003420 69 76 65 5f 73 69 67 6e 00 5f 53 5f 69 6f 73 5f |ive_sign._S_ios_| +00003430 6f 70 65 6e 6d 6f 64 65 5f 65 6e 64 00 77 63 73 |openmode_end.wcs| +00003440 73 70 6e 00 5f 5a 4e 53 74 31 31 63 68 61 72 5f |spn._ZNSt11char_| +00003450 74 72 61 69 74 73 49 63 45 31 31 65 71 5f 69 6e |traitsIcE11eq_in| +00003460 74 5f 74 79 70 65 45 52 4b 69 53 32 5f 00 5f 53 |t_typeERKiS2_._S| +00003470 5f 75 70 70 65 72 63 61 73 65 00 5f 41 74 6f 6d |_uppercase._Atom| +00003480 69 63 5f 77 6f 72 64 00 6f 76 65 72 66 6c 6f 77 |ic_word.overflow| +00003490 5f 61 72 67 5f 61 72 65 61 00 5f 53 5f 69 6f 73 |_arg_area._S_ios| +000034a0 5f 66 6d 74 66 6c 61 67 73 5f 65 6e 64 00 6f 73 |_fmtflags_end.os| +000034b0 74 72 65 61 6d 00 5f 5f 63 6f 75 6e 74 00 5f 5f |tream.__count.__| +000034c0 67 6e 75 5f 63 78 78 00 62 6f 6f 6c 00 5f 5a 4e |gnu_cxx.bool._ZN| +000034d0 53 74 31 31 63 68 61 72 5f 74 72 61 69 74 73 49 |St11char_traitsI| +000034e0 63 45 36 61 73 73 69 67 6e 45 50 63 6d 63 00 6c |cE6assignEPcmc.l| +000034f0 6f 6e 67 20 64 6f 75 62 6c 65 00 5f 5f 6e 75 6d |ong double.__num| +00003500 65 72 69 63 5f 74 72 61 69 74 73 5f 69 6e 74 65 |eric_traits_inte| +00003510 67 65 72 3c 6c 6f 6e 67 20 69 6e 74 3e 00 5f 53 |ger._S| +00003520 5f 66 6c 6f 61 74 66 69 65 6c 64 00 5f 53 5f 6f |_floatfield._S_o| +00003530 63 74 00 5f 5f 77 63 68 62 00 5f 5f 73 74 61 74 |ct.__wchb.__stat| +00003540 69 63 5f 69 6e 69 74 69 61 6c 69 7a 61 74 69 6f |ic_initializatio| +00003550 6e 5f 61 6e 64 5f 64 65 73 74 72 75 63 74 69 6f |n_and_destructio| +00003560 6e 5f 30 00 5f 5a 4e 53 74 31 31 63 68 61 72 5f |n_0._ZNSt11char_| +00003570 74 72 61 69 74 73 49 63 45 37 63 6f 6d 70 61 72 |traitsIcE7compar| +00003580 65 45 50 4b 63 53 32 5f 6d 00 6c 6f 6e 67 20 6c |eEPKcS2_m.long l| +00003590 6f 6e 67 20 75 6e 73 69 67 6e 65 64 20 69 6e 74 |ong unsigned int| +000035a0 00 72 65 67 5f 73 61 76 65 5f 61 72 65 61 00 77 |.reg_save_area.w| +000035b0 63 73 74 6f 6c 64 00 69 6e 74 5f 70 5f 73 65 70 |cstold.int_p_sep| +000035c0 5f 62 79 5f 73 70 61 63 65 00 5f 53 5f 69 6f 73 |_by_space._S_ios| +000035d0 5f 73 65 65 6b 64 69 72 5f 65 6e 64 00 6c 65 6e |_seekdir_end.len| +000035e0 67 74 68 00 77 63 73 74 6f 6c 6c 00 77 63 73 73 |gth.wcstoll.wcss| +000035f0 74 72 00 5f 49 6f 73 5f 49 6f 73 74 61 74 65 00 |tr._Ios_Iostate.| +00003600 5f 5a 4e 39 5f 5f 67 6e 75 5f 63 78 78 32 34 5f |_ZN9__gnu_cxx24_| +00003610 5f 6e 75 6d 65 72 69 63 5f 74 72 61 69 74 73 5f |_numeric_traits_| +00003620 69 6e 74 65 67 65 72 49 63 45 35 5f 5f 6d 61 78 |integerIcE5__max| +00003630 45 00 77 63 73 72 74 6f 6d 62 73 00 74 6d 5f 77 |E.wcsrtombs.tm_w| +00003640 64 61 79 00 5f 53 5f 75 6e 69 74 62 75 66 00 5f |day._S_unitbuf._| +00003650 5a 4e 53 74 31 31 63 68 61 72 5f 74 72 61 69 74 |ZNSt11char_trait| +00003660 73 49 63 45 32 65 71 45 52 4b 63 53 32 5f 00 5f |sIcE2eqERKcS2_._| +00003670 5f 64 69 67 69 74 73 00 77 63 73 74 6f 64 00 77 |_digits.wcstod.w| +00003680 63 73 74 6f 66 00 77 63 73 74 6f 6b 00 77 63 73 |cstof.wcstok.wcs| +00003690 74 6f 6c 00 5f 5f 46 49 4c 45 00 73 65 74 6c 6f |tol.__FILE.setlo| +000036a0 63 61 6c 65 00 77 63 73 72 63 68 72 00 77 69 6e |cale.wcsrchr.win| +000036b0 74 5f 74 00 69 6f 73 5f 62 61 73 65 00 77 63 73 |t_t.ios_base.wcs| +000036c0 6c 65 6e 00 69 6f 73 74 61 74 65 00 74 6f 5f 69 |len.iostate.to_i| +000036d0 6e 74 5f 74 79 70 65 00 74 6f 5f 63 68 61 72 5f |nt_type.to_char_| +000036e0 74 79 70 65 00 5f 5f 64 65 62 75 67 00 74 6d 5f |type.__debug.tm_| +000036f0 67 6d 74 6f 66 66 00 63 75 72 72 65 6e 63 79 5f |gmtoff.currency_| +00003700 73 79 6d 62 6f 6c 00 73 68 6f 72 74 20 69 6e 74 |symbol.short int| +00003710 00 5f 5a 4e 53 74 31 31 63 68 61 72 5f 74 72 61 |._ZNSt11char_tra| +00003720 69 74 73 49 63 45 36 6c 65 6e 67 74 68 45 50 4b |itsIcE6lengthEPK| +00003730 63 00 77 63 73 66 74 69 6d 65 00 6d 6f 6e 5f 67 |c.wcsftime.mon_g| +00003740 72 6f 75 70 69 6e 67 00 5f 53 5f 63 75 72 00 5f |rouping._S_cur._| +00003750 5a 4e 53 74 31 31 63 68 61 72 5f 74 72 61 69 74 |ZNSt11char_trait| +00003760 73 49 63 45 36 61 73 73 69 67 6e 45 52 63 52 4b |sIcE6assignERcRK| +00003770 63 00 5f 5a 53 74 33 63 69 6e 00 77 63 73 63 61 |c._ZSt3cin.wcsca| +00003780 74 00 31 31 5f 5f 6d 62 73 74 61 74 65 5f 74 00 |t.11__mbstate_t.| +00003790 69 6e 74 5f 70 5f 73 69 67 6e 5f 70 6f 73 6e 00 |int_p_sign_posn.| +000037a0 74 6d 5f 7a 6f 6e 65 00 76 77 73 63 61 6e 66 00 |tm_zone.vwscanf.| +000037b0 5f 53 5f 69 6f 73 5f 69 6f 73 74 61 74 65 5f 65 |_S_ios_iostate_e| +000037c0 6e 64 00 77 63 72 74 6f 6d 62 00 6c 63 6f 6e 76 |nd.wcrtomb.lconv| +000037d0 00 5f 5a 4e 53 74 31 31 63 68 61 72 5f 74 72 61 |._ZNSt11char_tra| +000037e0 69 74 73 49 63 45 33 65 6f 66 45 76 00 77 63 73 |itsIcE3eofEv.wcs| +000037f0 6e 63 61 74 00 5f 5f 6e 75 6d 65 72 69 63 5f 74 |ncat.__numeric_t| +00003800 72 61 69 74 73 5f 69 6e 74 65 67 65 72 3c 73 68 |raits_integer.__dso_h| +00003820 61 6e 64 6c 65 00 6c 6f 6e 67 20 6c 6f 6e 67 20 |andle.long long | +00003830 69 6e 74 00 66 70 75 74 77 63 00 66 70 75 74 77 |int.fputwc.fputw| +00003840 73 00 7e 49 6e 69 74 00 6d 62 73 72 74 6f 77 63 |s.~Init.mbsrtowc| +00003850 73 00 5f 53 5f 66 61 69 6c 62 69 74 00 69 73 74 |s._S_failbit.ist| +00003860 72 65 61 6d 00 5f 5f 6e 75 6d 65 72 69 63 5f 74 |ream.__numeric_t| +00003870 72 61 69 74 73 5f 69 6e 74 65 67 65 72 3c 6c 6f |raits_integer| +00003890 00 74 6d 5f 79 65 61 72 00 73 68 6f 72 74 20 75 |.tm_year.short u| +000038a0 6e 73 69 67 6e 65 64 20 69 6e 74 00 5f 54 72 61 |nsigned int._Tra| +000038b0 69 74 73 00 76 66 77 73 63 61 6e 66 00 5f 49 6f |its.vfwscanf._Io| +000038c0 73 5f 53 65 65 6b 64 69 72 00 66 6d 74 66 6c 61 |s_Seekdir.fmtfla| +000038d0 67 73 00 5f 5f 69 6e 74 33 32 5f 74 00 6d 62 73 |gs.__int32_t.mbs| +000038e0 69 6e 69 74 00 69 73 77 63 74 79 70 65 00 61 73 |init.iswctype.as| +000038f0 73 69 67 6e 00 5f 5a 4e 53 74 31 31 63 68 61 72 |sign._ZNSt11char| +00003900 5f 74 72 61 69 74 73 49 63 45 37 6e 6f 74 5f 65 |_traitsIcE7not_e| +00003910 6f 66 45 52 4b 69 00 5f 53 5f 73 63 69 65 6e 74 |ofERKi._S_scient| +00003920 69 66 69 63 00 77 63 68 61 72 5f 74 00 74 79 70 |ific.wchar_t.typ| +00003930 65 64 65 66 20 5f 5f 76 61 5f 6c 69 73 74 5f 74 |edef __va_list_t| +00003940 61 67 20 5f 5f 76 61 5f 6c 69 73 74 5f 74 61 67 |ag __va_list_tag| +00003950 00 77 63 73 74 6f 75 6c 00 00 00 00 00 00 00 00 |.wcstoul........| +00003960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003970 00 00 00 00 00 00 00 00 00 00 00 00 03 00 01 00 |................| +00003980 38 02 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |8.@.............| +00003990 00 00 00 00 03 00 02 00 54 02 40 00 00 00 00 00 |........T.@.....| +000039a0 00 00 00 00 00 00 00 00 00 00 00 00 03 00 03 00 |................| +000039b0 74 02 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |t.@.............| +000039c0 00 00 00 00 03 00 04 00 98 02 40 00 00 00 00 00 |..........@.....| +000039d0 00 00 00 00 00 00 00 00 00 00 00 00 03 00 05 00 |................| +000039e0 d0 02 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +000039f0 00 00 00 00 03 00 06 00 08 04 40 00 00 00 00 00 |..........@.....| +00003a00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 07 00 |................| +00003a10 ba 05 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +00003a20 00 00 00 00 03 00 08 00 d8 05 40 00 00 00 00 00 |..........@.....| +00003a30 00 00 00 00 00 00 00 00 00 00 00 00 03 00 09 00 |................| +00003a40 18 06 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +00003a50 00 00 00 00 03 00 0a 00 60 06 40 00 00 00 00 00 |........`.@.....| +00003a60 00 00 00 00 00 00 00 00 00 00 00 00 03 00 0b 00 |................| +00003a70 50 07 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |P.@.............| +00003a80 00 00 00 00 03 00 0c 00 70 07 40 00 00 00 00 00 |........p.@.....| +00003a90 00 00 00 00 00 00 00 00 00 00 00 00 03 00 0d 00 |................| +00003aa0 20 08 40 00 00 00 00 00 00 00 00 00 00 00 00 00 | .@.............| +00003ab0 00 00 00 00 03 00 0e 00 14 0b 40 00 00 00 00 00 |..........@.....| +00003ac0 00 00 00 00 00 00 00 00 00 00 00 00 03 00 0f 00 |................| +00003ad0 20 0b 40 00 00 00 00 00 00 00 00 00 00 00 00 00 | .@.............| +00003ae0 00 00 00 00 03 00 10 00 6c 0b 40 00 00 00 00 00 |........l.@.....| +00003af0 00 00 00 00 00 00 00 00 00 00 00 00 03 00 11 00 |................| +00003b00 b0 0b 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +00003b10 00 00 00 00 03 00 12 00 d8 0d 60 00 00 00 00 00 |..........`.....| +00003b20 00 00 00 00 00 00 00 00 00 00 00 00 03 00 13 00 |................| +00003b30 e8 0d 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003b40 00 00 00 00 03 00 14 00 f0 0d 60 00 00 00 00 00 |..........`.....| +00003b50 00 00 00 00 00 00 00 00 00 00 00 00 03 00 15 00 |................| +00003b60 f8 0d 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003b70 00 00 00 00 03 00 16 00 f8 0f 60 00 00 00 00 00 |..........`.....| +00003b80 00 00 00 00 00 00 00 00 00 00 00 00 03 00 17 00 |................| +00003b90 00 10 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003ba0 00 00 00 00 03 00 18 00 68 10 60 00 00 00 00 00 |........h.`.....| +00003bb0 00 00 00 00 00 00 00 00 00 00 00 00 03 00 19 00 |................| +00003bc0 80 10 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003bd0 00 00 00 00 03 00 1a 00 00 00 00 00 00 00 00 00 |................| +00003be0 00 00 00 00 00 00 00 00 00 00 00 00 03 00 1b 00 |................| +00003bf0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003c00 00 00 00 00 03 00 1c 00 00 00 00 00 00 00 00 00 |................| +00003c10 00 00 00 00 00 00 00 00 00 00 00 00 03 00 1d 00 |................| +00003c20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003c30 00 00 00 00 03 00 1e 00 00 00 00 00 00 00 00 00 |................| +00003c40 00 00 00 00 00 00 00 00 00 00 00 00 03 00 1f 00 |................| +00003c50 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003c60 01 00 00 00 04 00 f1 ff 00 00 00 00 00 00 00 00 |................| +00003c70 00 00 00 00 00 00 00 00 0c 00 00 00 01 00 14 00 |................| +00003c80 f0 0d 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003c90 19 00 00 00 02 00 0d 00 50 08 40 00 00 00 00 00 |........P.@.....| +00003ca0 00 00 00 00 00 00 00 00 1b 00 00 00 02 00 0d 00 |................| +00003cb0 80 08 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +00003cc0 2e 00 00 00 02 00 0d 00 c0 08 40 00 00 00 00 00 |..........@.....| +00003cd0 00 00 00 00 00 00 00 00 44 00 00 00 01 00 19 00 |........D.......| +00003ce0 b0 12 60 00 00 00 00 00 01 00 00 00 00 00 00 00 |..`.............| +00003cf0 53 00 00 00 01 00 13 00 e8 0d 60 00 00 00 00 00 |S.........`.....| +00003d00 00 00 00 00 00 00 00 00 7a 00 00 00 02 00 0d 00 |........z.......| +00003d10 e0 08 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +00003d20 86 00 00 00 01 00 12 00 d8 0d 60 00 00 00 00 00 |..........`.....| +00003d30 00 00 00 00 00 00 00 00 a5 00 00 00 04 00 f1 ff |................| +00003d40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003d50 b4 00 00 00 01 00 19 00 b1 12 60 00 00 00 00 00 |..........`.....| +00003d60 01 00 00 00 00 00 00 00 c3 00 00 00 02 00 0d 00 |................| +00003d70 48 0a 40 00 00 00 00 00 3d 00 00 00 00 00 00 00 |H.@.....=.......| +00003d80 f3 00 00 00 02 00 0d 00 85 0a 40 00 00 00 00 00 |..........@.....| +00003d90 15 00 00 00 00 00 00 00 01 00 00 00 04 00 f1 ff |................| +00003da0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003db0 07 01 00 00 01 00 11 00 e8 0c 40 00 00 00 00 00 |..........@.....| +00003dc0 00 00 00 00 00 00 00 00 15 01 00 00 01 00 14 00 |................| +00003dd0 f0 0d 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003de0 00 00 00 00 04 00 f1 ff 00 00 00 00 00 00 00 00 |................| +00003df0 00 00 00 00 00 00 00 00 21 01 00 00 00 00 10 00 |........!.......| +00003e00 6c 0b 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |l.@.............| +00003e10 34 01 00 00 01 00 17 00 00 10 60 00 00 00 00 00 |4.........`.....| +00003e20 00 00 00 00 00 00 00 00 4a 01 00 00 00 00 12 00 |........J.......| +00003e30 e8 0d 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003e40 5b 01 00 00 00 00 12 00 d8 0d 60 00 00 00 00 00 |[.........`.....| +00003e50 00 00 00 00 00 00 00 00 6e 01 00 00 01 00 15 00 |........n.......| +00003e60 f8 0d 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |..`.............| +00003e70 c8 02 00 00 20 00 18 00 68 10 60 00 00 00 00 00 |.... ...h.`.....| +00003e80 00 00 00 00 00 00 00 00 77 01 00 00 11 00 19 00 |........w.......| +00003e90 80 10 60 00 00 00 00 00 18 01 00 00 00 00 00 00 |..`.............| +00003ea0 8d 01 00 00 12 00 0d 00 10 0b 40 00 00 00 00 00 |..........@.....| +00003eb0 02 00 00 00 00 00 00 00 cc 02 00 00 12 00 0d 00 |................| +00003ec0 20 08 40 00 00 00 00 00 00 00 00 00 00 00 00 00 | .@.............| +00003ed0 9d 01 00 00 20 00 00 00 00 00 00 00 00 00 00 00 |.... ...........| +00003ee0 00 00 00 00 00 00 00 00 97 01 00 00 12 00 0e 00 |................| +00003ef0 14 0b 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |..@.............| +00003f00 ac 01 00 00 12 00 00 00 00 00 00 00 00 00 00 00 |................| +00003f10 00 00 00 00 00 00 00 00 d1 01 00 00 12 00 00 00 |................| +00003f20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003f30 f0 01 00 00 12 00 00 00 00 00 00 00 00 00 00 00 |................| +00003f40 00 00 00 00 00 00 00 00 0a 02 00 00 12 00 00 00 |................| +00003f50 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003f60 4d 02 00 00 12 00 00 00 d0 07 40 00 00 00 00 00 |M.........@.....| +00003f70 00 00 00 00 00 00 00 00 72 02 00 00 12 00 00 00 |........r.......| +00003f80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00003f90 b7 02 00 00 11 00 0f 00 20 0b 40 00 00 00 00 00 |........ .@.....| +00003fa0 04 00 00 00 00 00 00 00 c6 02 00 00 10 00 18 00 |................| +00003fb0 68 10 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |h.`.............| +00003fc0 d3 02 00 00 11 02 18 00 70 10 60 00 00 00 00 00 |........p.`.....| +00003fd0 00 00 00 00 00 00 00 00 df 02 00 00 11 00 19 00 |................| +00003fe0 a0 11 60 00 00 00 00 00 10 01 00 00 00 00 00 00 |..`.............| +00003ff0 f6 02 00 00 11 02 0f 00 28 0b 40 00 00 00 00 00 |........(.@.....| +00004000 00 00 00 00 00 00 00 00 03 03 00 00 12 00 0d 00 |................| +00004010 a0 0a 40 00 00 00 00 00 65 00 00 00 00 00 00 00 |..@.....e.......| +00004020 13 03 00 00 12 00 00 00 00 00 00 00 00 00 00 00 |................| +00004030 00 00 00 00 00 00 00 00 5c 03 00 00 10 00 19 00 |........\.......| +00004040 6c 10 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |l.`.............| +00004050 56 01 00 00 10 00 19 00 b8 12 60 00 00 00 00 00 |V.........`.....| +00004060 00 00 00 00 00 00 00 00 68 03 00 00 12 00 00 00 |........h.......| +00004070 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004080 86 03 00 00 12 00 00 00 10 08 40 00 00 00 00 00 |..........@.....| +00004090 00 00 00 00 00 00 00 00 ce 03 00 00 10 00 18 00 |................| +000040a0 6c 10 60 00 00 00 00 00 00 00 00 00 00 00 00 00 |l.`.............| +000040b0 02 01 00 00 12 00 0d 00 0d 09 40 00 00 00 00 00 |..........@.....| +000040c0 3b 01 00 00 00 00 00 00 0d 03 00 00 12 00 0b 00 |;...............| +000040d0 50 07 40 00 00 00 00 00 00 00 00 00 00 00 00 00 |P.@.............| +000040e0 00 63 72 74 73 74 75 66 66 2e 63 00 5f 5f 4a 43 |.crtstuff.c.__JC| +000040f0 52 5f 4c 49 53 54 5f 5f 00 64 65 72 65 67 69 73 |R_LIST__.deregis| +00004100 74 65 72 5f 74 6d 5f 63 6c 6f 6e 65 73 00 5f 5f |ter_tm_clones.__| +00004110 64 6f 5f 67 6c 6f 62 61 6c 5f 64 74 6f 72 73 5f |do_global_dtors_| +00004120 61 75 78 00 63 6f 6d 70 6c 65 74 65 64 2e 36 33 |aux.completed.63| +00004130 35 35 00 5f 5f 64 6f 5f 67 6c 6f 62 61 6c 5f 64 |55.__do_global_d| +00004140 74 6f 72 73 5f 61 75 78 5f 66 69 6e 69 5f 61 72 |tors_aux_fini_ar| +00004150 72 61 79 5f 65 6e 74 72 79 00 66 72 61 6d 65 5f |ray_entry.frame_| +00004160 64 75 6d 6d 79 00 5f 5f 66 72 61 6d 65 5f 64 75 |dummy.__frame_du| +00004170 6d 6d 79 5f 69 6e 69 74 5f 61 72 72 61 79 5f 65 |mmy_init_array_e| +00004180 6e 74 72 79 00 6b 65 79 62 6f 61 72 64 62 6f 2e |ntry.keyboardbo.| +00004190 63 70 70 00 5f 5a 53 74 4c 38 5f 5f 69 6f 69 6e |cpp._ZStL8__ioin| +000041a0 69 74 00 5f 5a 34 31 5f 5f 73 74 61 74 69 63 5f |it._Z41__static_| +000041b0 69 6e 69 74 69 61 6c 69 7a 61 74 69 6f 6e 5f 61 |initialization_a| +000041c0 6e 64 5f 64 65 73 74 72 75 63 74 69 6f 6e 5f 30 |nd_destruction_0| +000041d0 69 69 00 5f 47 4c 4f 42 41 4c 5f 5f 73 75 62 5f |ii._GLOBAL__sub_| +000041e0 49 5f 6d 61 69 6e 00 5f 5f 46 52 41 4d 45 5f 45 |I_main.__FRAME_E| +000041f0 4e 44 5f 5f 00 5f 5f 4a 43 52 5f 45 4e 44 5f 5f |ND__.__JCR_END__| +00004200 00 5f 5f 47 4e 55 5f 45 48 5f 46 52 41 4d 45 5f |.__GNU_EH_FRAME_| +00004210 48 44 52 00 5f 47 4c 4f 42 41 4c 5f 4f 46 46 53 |HDR._GLOBAL_OFFS| +00004220 45 54 5f 54 41 42 4c 45 5f 00 5f 5f 69 6e 69 74 |ET_TABLE_.__init| +00004230 5f 61 72 72 61 79 5f 65 6e 64 00 5f 5f 69 6e 69 |_array_end.__ini| +00004240 74 5f 61 72 72 61 79 5f 73 74 61 72 74 00 5f 44 |t_array_start._D| +00004250 59 4e 41 4d 49 43 00 5f 5a 53 74 33 63 69 6e 40 |YNAMIC._ZSt3cin@| +00004260 40 47 4c 49 42 43 58 58 5f 33 2e 34 00 5f 5f 6c |@GLIBCXX_3.4.__l| +00004270 69 62 63 5f 63 73 75 5f 66 69 6e 69 00 5f 5f 67 |ibc_csu_fini.__g| +00004280 6d 6f 6e 5f 73 74 61 72 74 5f 5f 00 5f 5a 4e 53 |mon_start__._ZNS| +00004290 74 38 69 6f 73 5f 62 61 73 65 34 49 6e 69 74 43 |t8ios_base4InitC| +000042a0 31 45 76 40 40 47 4c 49 42 43 58 58 5f 33 2e 34 |1Ev@@GLIBCXX_3.4| +000042b0 00 5f 5f 6c 69 62 63 5f 73 74 61 72 74 5f 6d 61 |.__libc_start_ma| +000042c0 69 6e 40 40 47 4c 49 42 43 5f 32 2e 32 2e 35 00 |in@@GLIBC_2.2.5.| +000042d0 5f 5f 63 78 61 5f 61 74 65 78 69 74 40 40 47 4c |__cxa_atexit@@GL| +000042e0 49 42 43 5f 32 2e 32 2e 35 00 5f 5a 53 74 6c 73 |IBC_2.2.5._ZStls| +000042f0 49 53 74 31 31 63 68 61 72 5f 74 72 61 69 74 73 |ISt11char_traits| +00004300 49 63 45 45 52 53 74 31 33 62 61 73 69 63 5f 6f |IcEERSt13basic_o| +00004310 73 74 72 65 61 6d 49 63 54 5f 45 53 35 5f 63 40 |streamIcT_ES5_c@| +00004320 40 47 4c 49 42 43 58 58 5f 33 2e 34 00 5f 5a 4e |@GLIBCXX_3.4._ZN| +00004330 53 74 38 69 6f 73 5f 62 61 73 65 34 49 6e 69 74 |St8ios_base4Init| +00004340 44 31 45 76 40 40 47 4c 49 42 43 58 58 5f 33 2e |D1Ev@@GLIBCXX_3.| +00004350 34 00 5f 5a 53 74 6c 73 49 53 74 31 31 63 68 61 |4._ZStlsISt11cha| +00004360 72 5f 74 72 61 69 74 73 49 63 45 45 52 53 74 31 |r_traitsIcEERSt1| +00004370 33 62 61 73 69 63 5f 6f 73 74 72 65 61 6d 49 63 |3basic_ostreamIc| +00004380 54 5f 45 53 35 5f 50 4b 63 40 40 47 4c 49 42 43 |T_ES5_PKc@@GLIBC| +00004390 58 58 5f 33 2e 34 00 5f 49 4f 5f 73 74 64 69 6e |XX_3.4._IO_stdin| +000043a0 5f 75 73 65 64 00 5f 5f 64 61 74 61 5f 73 74 61 |_used.__data_sta| +000043b0 72 74 00 5f 5f 54 4d 43 5f 45 4e 44 5f 5f 00 5f |rt.__TMC_END__._| +000043c0 5a 53 74 34 63 6f 75 74 40 40 47 4c 49 42 43 58 |ZSt4cout@@GLIBCX| +000043d0 58 5f 33 2e 34 00 5f 5f 64 73 6f 5f 68 61 6e 64 |X_3.4.__dso_hand| +000043e0 6c 65 00 5f 5f 6c 69 62 63 5f 63 73 75 5f 69 6e |le.__libc_csu_in| +000043f0 69 74 00 5f 5a 53 74 72 73 49 63 53 74 31 31 63 |it._ZStrsIcSt11c| +00004400 68 61 72 5f 74 72 61 69 74 73 49 63 45 45 52 53 |har_traitsIcEERS| +00004410 74 31 33 62 61 73 69 63 5f 69 73 74 72 65 61 6d |t13basic_istream| +00004420 49 54 5f 54 30 5f 45 53 36 5f 50 53 33 5f 40 40 |IT_T0_ES6_PS3_@@| +00004430 47 4c 49 42 43 58 58 5f 33 2e 34 00 5f 5f 62 73 |GLIBCXX_3.4.__bs| +00004440 73 5f 73 74 61 72 74 00 5f 5a 4e 53 6f 6c 73 45 |s_start._ZNSolsE| +00004450 50 46 52 53 6f 53 5f 45 40 40 47 4c 49 42 43 58 |PFRSoS_E@@GLIBCX| +00004460 58 5f 33 2e 34 00 5f 5a 53 74 34 65 6e 64 6c 49 |X_3.4._ZSt4endlI| +00004470 63 53 74 31 31 63 68 61 72 5f 74 72 61 69 74 73 |cSt11char_traits| +00004480 49 63 45 45 52 53 74 31 33 62 61 73 69 63 5f 6f |IcEERSt13basic_o| +00004490 73 74 72 65 61 6d 49 54 5f 54 30 5f 45 53 36 5f |streamIT_T0_ES6_| +000044a0 40 40 47 4c 49 42 43 58 58 5f 33 2e 34 00 5f 65 |@@GLIBCXX_3.4._e| +000044b0 64 61 74 61 00 00 2e 73 79 6d 74 61 62 00 2e 73 |data...symtab..s| +000044c0 74 72 74 61 62 00 2e 73 68 73 74 72 74 61 62 00 |trtab..shstrtab.| +000044d0 2e 69 6e 74 65 72 70 00 2e 6e 6f 74 65 2e 41 42 |.interp..note.AB| +000044e0 49 2d 74 61 67 00 2e 6e 6f 74 65 2e 67 6e 75 2e |I-tag..note.gnu.| +000044f0 62 75 69 6c 64 2d 69 64 00 2e 67 6e 75 2e 68 61 |build-id..gnu.ha| +00004500 73 68 00 2e 64 79 6e 73 79 6d 00 2e 64 79 6e 73 |sh..dynsym..dyns| +00004510 74 72 00 2e 67 6e 75 2e 76 65 72 73 69 6f 6e 00 |tr..gnu.version.| +00004520 2e 67 6e 75 2e 76 65 72 73 69 6f 6e 5f 72 00 2e |.gnu.version_r..| +00004530 72 65 6c 61 2e 64 79 6e 00 2e 72 65 6c 61 2e 70 |rela.dyn..rela.p| +00004540 6c 74 00 2e 69 6e 69 74 00 2e 74 65 78 74 00 2e |lt..init..text..| +00004550 66 69 6e 69 00 2e 72 6f 64 61 74 61 00 2e 65 68 |fini..rodata..eh| +00004560 5f 66 72 61 6d 65 5f 68 64 72 00 2e 65 68 5f 66 |_frame_hdr..eh_f| +00004570 72 61 6d 65 00 2e 69 6e 69 74 5f 61 72 72 61 79 |rame..init_array| +00004580 00 2e 66 69 6e 69 5f 61 72 72 61 79 00 2e 6a 63 |..fini_array..jc| +00004590 72 00 2e 64 79 6e 61 6d 69 63 00 2e 67 6f 74 00 |r..dynamic..got.| +000045a0 2e 67 6f 74 2e 70 6c 74 00 2e 64 61 74 61 00 2e |.got.plt..data..| +000045b0 62 73 73 00 2e 63 6f 6d 6d 65 6e 74 00 2e 64 65 |bss..comment..de| +000045c0 62 75 67 5f 61 72 61 6e 67 65 73 00 2e 64 65 62 |bug_aranges..deb| +000045d0 75 67 5f 69 6e 66 6f 00 2e 64 65 62 75 67 5f 61 |ug_info..debug_a| +000045e0 62 62 72 65 76 00 2e 64 65 62 75 67 5f 6c 69 6e |bbrev..debug_lin| +000045f0 65 00 2e 64 65 62 75 67 5f 73 74 72 00 00 00 00 |e..debug_str....| +00004600 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +* +00004640 1b 00 00 00 01 00 00 00 02 00 00 00 00 00 00 00 |................| +00004650 38 02 40 00 00 00 00 00 38 02 00 00 00 00 00 00 |8.@.....8.......| +00004660 1c 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004670 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004680 23 00 00 00 07 00 00 00 02 00 00 00 00 00 00 00 |#...............| +00004690 54 02 40 00 00 00 00 00 54 02 00 00 00 00 00 00 |T.@.....T.......| +000046a0 20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 | ...............| +000046b0 04 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000046c0 31 00 00 00 07 00 00 00 02 00 00 00 00 00 00 00 |1...............| +000046d0 74 02 40 00 00 00 00 00 74 02 00 00 00 00 00 00 |t.@.....t.......| +000046e0 24 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |$...............| +000046f0 04 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004700 44 00 00 00 f6 ff ff 6f 02 00 00 00 00 00 00 00 |D......o........| +00004710 98 02 40 00 00 00 00 00 98 02 00 00 00 00 00 00 |..@.............| +00004720 34 00 00 00 00 00 00 00 05 00 00 00 00 00 00 00 |4...............| +00004730 08 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004740 4e 00 00 00 0b 00 00 00 02 00 00 00 00 00 00 00 |N...............| +00004750 d0 02 40 00 00 00 00 00 d0 02 00 00 00 00 00 00 |..@.............| +00004760 38 01 00 00 00 00 00 00 06 00 00 00 01 00 00 00 |8...............| +00004770 08 00 00 00 00 00 00 00 18 00 00 00 00 00 00 00 |................| +00004780 56 00 00 00 03 00 00 00 02 00 00 00 00 00 00 00 |V...............| +00004790 08 04 40 00 00 00 00 00 08 04 00 00 00 00 00 00 |..@.............| +000047a0 b1 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000047b0 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000047c0 5e 00 00 00 ff ff ff 6f 02 00 00 00 00 00 00 00 |^......o........| +000047d0 ba 05 40 00 00 00 00 00 ba 05 00 00 00 00 00 00 |..@.............| +000047e0 1a 00 00 00 00 00 00 00 05 00 00 00 00 00 00 00 |................| +000047f0 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 |................| +00004800 6b 00 00 00 fe ff ff 6f 02 00 00 00 00 00 00 00 |k......o........| +00004810 d8 05 40 00 00 00 00 00 d8 05 00 00 00 00 00 00 |..@.............| +00004820 40 00 00 00 00 00 00 00 06 00 00 00 02 00 00 00 |@...............| +00004830 08 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004840 7a 00 00 00 04 00 00 00 02 00 00 00 00 00 00 00 |z...............| +00004850 18 06 40 00 00 00 00 00 18 06 00 00 00 00 00 00 |..@.............| +00004860 48 00 00 00 00 00 00 00 05 00 00 00 00 00 00 00 |H...............| +00004870 08 00 00 00 00 00 00 00 18 00 00 00 00 00 00 00 |................| +00004880 84 00 00 00 04 00 00 00 42 00 00 00 00 00 00 00 |........B.......| +00004890 60 06 40 00 00 00 00 00 60 06 00 00 00 00 00 00 |`.@.....`.......| +000048a0 f0 00 00 00 00 00 00 00 05 00 00 00 17 00 00 00 |................| +000048b0 08 00 00 00 00 00 00 00 18 00 00 00 00 00 00 00 |................| +000048c0 8e 00 00 00 01 00 00 00 06 00 00 00 00 00 00 00 |................| +000048d0 50 07 40 00 00 00 00 00 50 07 00 00 00 00 00 00 |P.@.....P.......| +000048e0 1a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000048f0 04 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004900 89 00 00 00 01 00 00 00 06 00 00 00 00 00 00 00 |................| +00004910 70 07 40 00 00 00 00 00 70 07 00 00 00 00 00 00 |p.@.....p.......| +00004920 b0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004930 10 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 |................| +00004940 94 00 00 00 01 00 00 00 06 00 00 00 00 00 00 00 |................| +00004950 20 08 40 00 00 00 00 00 20 08 00 00 00 00 00 00 | .@..... .......| +00004960 f2 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004970 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004980 9a 00 00 00 01 00 00 00 06 00 00 00 00 00 00 00 |................| +00004990 14 0b 40 00 00 00 00 00 14 0b 00 00 00 00 00 00 |..@.............| +000049a0 09 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000049b0 04 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +000049c0 a0 00 00 00 01 00 00 00 02 00 00 00 00 00 00 00 |................| +000049d0 20 0b 40 00 00 00 00 00 20 0b 00 00 00 00 00 00 | .@..... .......| +000049e0 4b 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |K...............| +000049f0 08 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004a00 a8 00 00 00 01 00 00 00 02 00 00 00 00 00 00 00 |................| +00004a10 6c 0b 40 00 00 00 00 00 6c 0b 00 00 00 00 00 00 |l.@.....l.......| +00004a20 44 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |D...............| +00004a30 04 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004a40 b6 00 00 00 01 00 00 00 02 00 00 00 00 00 00 00 |................| +00004a50 b0 0b 40 00 00 00 00 00 b0 0b 00 00 00 00 00 00 |..@.............| +00004a60 3c 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |<...............| +00004a70 08 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004a80 c0 00 00 00 0e 00 00 00 03 00 00 00 00 00 00 00 |................| +00004a90 d8 0d 60 00 00 00 00 00 d8 0d 00 00 00 00 00 00 |..`.............| +00004aa0 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004ab0 08 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 |................| +00004ac0 cc 00 00 00 0f 00 00 00 03 00 00 00 00 00 00 00 |................| +00004ad0 e8 0d 60 00 00 00 00 00 e8 0d 00 00 00 00 00 00 |..`.............| +00004ae0 08 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004af0 08 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 |................| +00004b00 d8 00 00 00 01 00 00 00 03 00 00 00 00 00 00 00 |................| +00004b10 f0 0d 60 00 00 00 00 00 f0 0d 00 00 00 00 00 00 |..`.............| +00004b20 08 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +* +00004b40 dd 00 00 00 06 00 00 00 03 00 00 00 00 00 00 00 |................| +00004b50 f8 0d 60 00 00 00 00 00 f8 0d 00 00 00 00 00 00 |..`.............| +00004b60 00 02 00 00 00 00 00 00 06 00 00 00 00 00 00 00 |................| +00004b70 08 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 |................| +00004b80 e6 00 00 00 01 00 00 00 03 00 00 00 00 00 00 00 |................| +00004b90 f8 0f 60 00 00 00 00 00 f8 0f 00 00 00 00 00 00 |..`.............| +00004ba0 08 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004bb0 08 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 |................| +00004bc0 eb 00 00 00 01 00 00 00 03 00 00 00 00 00 00 00 |................| +00004bd0 00 10 60 00 00 00 00 00 00 10 00 00 00 00 00 00 |..`.............| +00004be0 68 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |h...............| +00004bf0 08 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 |................| +00004c00 f4 00 00 00 01 00 00 00 03 00 00 00 00 00 00 00 |................| +00004c10 68 10 60 00 00 00 00 00 68 10 00 00 00 00 00 00 |h.`.....h.......| +00004c20 04 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004c30 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004c40 fa 00 00 00 08 00 00 00 03 00 00 00 00 00 00 00 |................| +00004c50 80 10 60 00 00 00 00 00 6c 10 00 00 00 00 00 00 |..`.....l.......| +00004c60 38 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |8...............| +00004c70 20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 | ...............| +00004c80 ff 00 00 00 01 00 00 00 30 00 00 00 00 00 00 00 |........0.......| +00004c90 00 00 00 00 00 00 00 00 6c 10 00 00 00 00 00 00 |........l.......| +00004ca0 2d 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |-...............| +00004cb0 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 |................| +00004cc0 08 01 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |................| +00004cd0 00 00 00 00 00 00 00 00 99 10 00 00 00 00 00 00 |................| +00004ce0 30 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |0...............| +00004cf0 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004d00 17 01 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |................| +00004d10 00 00 00 00 00 00 00 00 c9 10 00 00 00 00 00 00 |................| +00004d20 ad 15 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004d30 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004d40 23 01 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |#...............| +00004d50 00 00 00 00 00 00 00 00 76 26 00 00 00 00 00 00 |........v&......| +00004d60 db 03 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004d70 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004d80 31 01 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |1...............| +00004d90 00 00 00 00 00 00 00 00 51 2a 00 00 00 00 00 00 |........Q*......| +00004da0 5c 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |\...............| +00004db0 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004dc0 3d 01 00 00 01 00 00 00 30 00 00 00 00 00 00 00 |=.......0.......| +00004dd0 00 00 00 00 00 00 00 00 ad 2c 00 00 00 00 00 00 |.........,......| +00004de0 ac 0c 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004df0 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 |................| +00004e00 01 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 |................| +00004e10 00 00 00 00 00 00 00 00 60 39 00 00 00 00 00 00 |........`9......| +00004e20 80 07 00 00 00 00 00 00 21 00 00 00 36 00 00 00 |........!...6...| +00004e30 08 00 00 00 00 00 00 00 18 00 00 00 00 00 00 00 |................| +00004e40 09 00 00 00 03 00 00 00 00 00 00 00 00 00 00 00 |................| +00004e50 00 00 00 00 00 00 00 00 e0 40 00 00 00 00 00 00 |.........@......| +00004e60 d5 03 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004e70 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004e80 11 00 00 00 03 00 00 00 00 00 00 00 00 00 00 00 |................| +00004e90 00 00 00 00 00 00 00 00 b5 44 00 00 00 00 00 00 |.........D......| +00004ea0 48 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |H...............| +00004eb0 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |................| +00004ec0 +bash-4.2$ cat keyboardbo.cpp + +#include + +using namespace std; + +int main( int argc, char* argv[ ] ) +{ + char a = 'A'; + char b = 'B'; + char buffer[4]; + char c = 'C'; + char d = 'D'; + + cout << "Enter up to 4 characters now: " << endl; + cin >> buffer; + cout << endl; + + cout << "buff = " << buffer << endl; + cout << "a = " << a << endl; + cout << "b = " << b << endl; + cout << "c = " << c << endl; + cout << "d = " << d << endl; + + return 0; +} + + +bash-4.2$ cat input.txt +AAAABBBBCCCCDDDDEEEEFFFFGGGGHHHH +bash-4.2$ ./keyboardbo < input.txt +Enter up to 4 characters now: + +buff = AAAABBBBCCCCDDDDEEEEFFFFGGGGHHHH +a = D +b = D +c = D +d = D +bash-4.2$ gdb ./keyboardbo +[?1034hGNU gdb (GDB) Red Hat Enterprise Linux 7.6.1-120.el7 +Copyright (C) 2013 Free Software Foundation, Inc. +License GPLv3+: GNU GPL version 3 or later +This is free software: you are free to change and redistribute it. +There is NO WARRANTY, to the extent permitted by law. Type "show copying" +and "show warranty" for details. +This GDB was configured as "x86_64-redhat-linux-gnu". +For bug reporting instructions, please see: +... +Reading symbols from /home/student/anw0044/CPE455/lab02/keyboardbo...done. +(gdb) break maoin +Breakpoint 1 at 0x40091d: file keyboardbo.cpp, line 8. +(gdb) info breakpoints +Num Type Disp Enb Address What +1 breakpoint keep y 0x000000000040091d in main(int, char**) at keyboardbo.cpp:8 +(gdb) run < input.txt +Starting program: /home/student/anw0044/CPE455/lab02/./keyboardbo < input.txt + +Breakpoint 1, main (argc=1, argv=0x7fffffffe168) at keyboardbo.cpp:8 +8 char a = 'A'; +Missing separate debuginfos, use: debuginfo-install glibc-2.17-325.el7_9.x86_64 libgcc-4.8.5-44.el7.x86_64 libstdc++-4.8.5-44.el7.x86_64 +(gdb) info locals +a = 0 '\000' +buffer = "\240\n@" +c = 0 '\000' +b = 0 '\000' +d = 0 '\000' +(gdb) info args +argc = 1 +argv = 0x7fffffffe168 +(gdb) (gdb) (gdb) (gdb)  (gdb) (gdb) info registers +rax 0x40090d 4196621 +rbx 0x0 0 +rcx 0x60 96 +rdx 0x7fffffffe178 140737488347512 +rsi 0x7fffffffe168 140737488347496 +rdi 0x1 1 +rbp 0x7fffffffe080 0x7fffffffe080 +rsp 0x7fffffffe050 0x7fffffffe050 +r8 0x7ffff75b5e80 140737343348352 +r9 0x0 0 +r10 0x7fffffffda60 140737488345696 +r11 0x7ffff7226f30 140737339617072 +r12 0x400820 4196384 +r13 0x7fffffffe160 140737488347488 +r14 0x0 0 +r15 0x0 0 +rip 0x40091d 0x40091d +eflags 0x206 [ PF IF ] +---Type to continue, or q to quit--- +cs 0x33 51 +ss 0x2b 43 +ds 0x0 0 +es 0x0 0 +fs 0x0 0 +gs 0x0 0 +(gdb) info files +Symbols from "/home/student/anw0044/CPE455/lab02/keyboardbo". +Unix child process: + Using the running image of child process 14050. + While running this, GDB does not access memory from... +Local exec file: + `/home/student/anw0044/CPE455/lab02/keyboardbo', file type elf64-x86-64. + Entry point: 0x400820 + 0x0000000000400238 - 0x0000000000400254 is .interp + 0x0000000000400254 - 0x0000000000400274 is .note.ABI-tag + 0x0000000000400274 - 0x0000000000400298 is .note.gnu.build-id + 0x0000000000400298 - 0x00000000004002cc is .gnu.hash + 0x00000000004002d0 - 0x0000000000400408 is .dynsym + 0x0000000000400408 - 0x00000000004005b9 is .dynstr + 0x00000000004005ba - 0x00000000004005d4 is .gnu.version + 0x00000000004005d8 - 0x0000000000400618 is .gnu.version_r + 0x0000000000400618 - 0x0000000000400660 is .rela.dyn + 0x0000000000400660 - 0x0000000000400750 is .rela.plt + 0x0000000000400750 - 0x000000000040076a is .init + 0x0000000000400770 - 0x0000000000400820 is .plt + 0x0000000000400820 - 0x0000000000400b12 is .text + 0x0000000000400b14 - 0x0000000000400b1d is .fini + 0x0000000000400b20 - 0x0000000000400b6b is .rodata +---Type to continue, or q to quit--- + 0x0000000000400b6c - 0x0000000000400bb0 is .eh_frame_hdr + 0x0000000000400bb0 - 0x0000000000400cec is .eh_frame + 0x0000000000600dd8 - 0x0000000000600de8 is .init_array + 0x0000000000600de8 - 0x0000000000600df0 is .fini_array + 0x0000000000600df0 - 0x0000000000600df8 is .jcr + 0x0000000000600df8 - 0x0000000000600ff8 is .dynamic + 0x0000000000600ff8 - 0x0000000000601000 is .got + 0x0000000000601000 - 0x0000000000601068 is .got.plt + 0x0000000000601068 - 0x000000000060106c is .data + 0x0000000000601080 - 0x00000000006012b8 is .bss + 0x00007ffff7ddb1c8 - 0x00007ffff7ddb1ec is .note.gnu.build-id in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb1f0 - 0x00007ffff7ddb2ac is .hash in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb2b0 - 0x00007ffff7ddb390 is .gnu.hash in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb390 - 0x00007ffff7ddb630 is .dynsym in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb630 - 0x00007ffff7ddb7cf is .dynstr in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb7d0 - 0x00007ffff7ddb808 is .gnu.version in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb808 - 0x00007ffff7ddb8ac is .gnu.version_d in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb8b0 - 0x00007ffff7ddb9e8 is .rela.dyn in /lib64/ld-linux-x86-64.so.2 +---Type to continue, or q to quit--- + 0x00007ffff7ddb9e8 - 0x00007ffff7ddba78 is .rela.plt in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddba80 - 0x00007ffff7ddbaf0 is .plt in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddbaf0 - 0x00007ffff7df7060 is .text in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7df7060 - 0x00007ffff7df9da0 is .rodata in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7df9da0 - 0x00007ffff7df9da1 is .stapsdt.base in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7df9da4 - 0x00007ffff7dfa418 is .eh_frame_hdr in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7dfa418 - 0x00007ffff7dfc960 is .eh_frame in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffcb40 - 0x00007ffff7ffcdfc is .data.rel.ro in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffce00 - 0x00007ffff7ffcf90 is .dynamic in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffcf90 - 0x00007ffff7ffcff0 is .got in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffd000 - 0x00007ffff7ffdf78 is .data in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffdf80 - 0x00007ffff7ffe150 is .bss in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ad3200 - 0x00007ffff7ad3224 is .note.gnu.build-id in /lib64/libstdc++.so.6 + 0x00007ffff7ad3228 - 0x00007ffff7ad8bf4 is .gnu.hash in /lib64/libstdc++.so.6 + 0x00007ffff7ad8bf8 - 0x00007ffff7aef380 is .dynsym in /lib64/libstdc++.so.6 + 0x00007ffff7aef380 - 0x00007ffff7b16791 is .dynstr in /lib64/libstdc++.so.6 + 0x00007ffff7b16792 - 0x00007ffff7b18588 is .gnu.version in /lib64/libstdc++.so.6 + 0x00007ffff7b18588 - 0x00007ffff7b189a0 is .gnu.version_d in /lib64/libstdc++.so.6 +---Type to continue, or q to quit--- + 0x00007ffff7b189a0 - 0x00007ffff7b18a80 is .gnu.version_r in /lib64/libstdc++.so.6 + 0x00007ffff7b18a80 - 0x00007ffff7b27db0 is .rela.dyn in /lib64/libstdc++.so.6 + 0x00007ffff7b27db0 - 0x00007ffff7b2bb78 is .rela.plt in /lib64/libstdc++.so.6 + 0x00007ffff7b2bb78 - 0x00007ffff7b2bb92 is .init in /lib64/libstdc++.so.6 + 0x00007ffff7b2bba0 - 0x00007ffff7b2e4e0 is .plt in /lib64/libstdc++.so.6 + 0x00007ffff7b2e4e0 - 0x00007ffff7b9559a is .text in /lib64/libstdc++.so.6 + 0x00007ffff7b9559c - 0x00007ffff7b955a5 is .fini in /lib64/libstdc++.so.6 + 0x00007ffff7b955c0 - 0x00007ffff7b9bb90 is .rodata in /lib64/libstdc++.so.6 + 0x00007ffff7b9bb90 - 0x00007ffff7b9bb91 is .stapsdt.base in /lib64/libstdc++.so.6 + 0x00007ffff7b9bb94 - 0x00007ffff7ba0628 is .eh_frame_hdr in /lib64/libstdc++.so.6 + 0x00007ffff7ba0628 - 0x00007ffff7bb778c is .eh_frame in /lib64/libstdc++.so.6 + 0x00007ffff7bb778c - 0x00007ffff7bbbdeb is .gcc_except_table in /lib64/libstdc++.so.6 + 0x00007ffff7dbcd10 - 0x00007ffff7dbcd30 is .tbss in /lib64/libstdc++.so.6 + 0x00007ffff7dbcd10 - 0x00007ffff7dbcd50 is .init_array in /lib64/libstdc++.so.6 + 0x00007ffff7dbcd50 - 0x00007ffff7dbcd58 is .fini_array in /lib64/libstdc++.so.6 + 0x00007ffff7dbcd58 - 0x00007ffff7dbcd60 is .jcr in /lib64/libstdc++.so.6 + 0x00007ffff7dbcd60 - 0x00007ffff7dc34d8 is .data.rel.ro in /lib64/libstdc++.so.6 + 0x00007ffff7dc34d8 - 0x00007ffff7dc36f8 is .dynamic in /lib64/libstdc++.so.6 +---Type to continue, or q to quit--- + 0x00007ffff7dc36f8 - 0x00007ffff7dc3fe8 is .got in /lib64/libstdc++.so.6 + 0x00007ffff7dc4000 - 0x00007ffff7dc54b0 is .got.plt in /lib64/libstdc++.so.6 + 0x00007ffff7dc54c0 - 0x00007ffff7dc57b8 is .data in /lib64/libstdc++.so.6 + 0x00007ffff7dc57c0 - 0x00007ffff7dda420 is .bss in /lib64/libstdc++.so.6 + 0x00007ffff77d11c8 - 0x00007ffff77d11ec is .note.gnu.build-id in /lib64/libm.so.6 + 0x00007ffff77d11ec - 0x00007ffff77d120c is .note.ABI-tag in /lib64/libm.so.6 + 0x00007ffff77d1210 - 0x00007ffff77d2678 is .gnu.hash in /lib64/libm.so.6 + 0x00007ffff77d2678 - 0x00007ffff77d4d78 is .dynsym in /lib64/libm.so.6 + 0x00007ffff77d4d78 - 0x00007ffff77d5a18 is .dynstr in /lib64/libm.so.6 + 0x00007ffff77d5a18 - 0x00007ffff77d5d58 is .gnu.version in /lib64/libm.so.6 + 0x00007ffff77d5d58 - 0x00007ffff77d5dd8 is .gnu.version_d in /lib64/libm.so.6 + 0x00007ffff77d5dd8 - 0x00007ffff77d5e28 is .gnu.version_r in /lib64/libm.so.6 + 0x00007ffff77d5e28 - 0x00007ffff77d5f60 is .rela.dyn in /lib64/libm.so.6 + 0x00007ffff77d5f60 - 0x00007ffff77d61a0 is .rela.plt in /lib64/libm.so.6 + 0x00007ffff77d61a0 - 0x00007ffff77d61ba is .init in /lib64/libm.so.6 + 0x00007ffff77d61c0 - 0x00007ffff77d6350 is .plt in /lib64/libm.so.6 + 0x00007ffff77d6350 - 0x00007ffff7841336 is .text in /lib64/libm.so.6 + 0x00007ffff7841338 - 0x00007ffff7841341 is .fini in /lib64/libm.so.6 +---Type to continue, or q to quit--- + 0x00007ffff7841360 - 0x00007ffff78c96a4 is .rodata in /lib64/libm.so.6 + 0x00007ffff78c96a4 - 0x00007ffff78c96a5 is .stapsdt.base in /lib64/libm.so.6 + 0x00007ffff78c96a8 - 0x00007ffff78ca8d4 is .eh_frame_hdr in /lib64/libm.so.6 + 0x00007ffff78ca8d8 - 0x00007ffff78d04c4 is .eh_frame in /lib64/libm.so.6 + 0x00007ffff78d04c8 - 0x00007ffff78d1834 is .hash in /lib64/libm.so.6 + 0x00007ffff7ad1d60 - 0x00007ffff7ad1d68 is .init_array in /lib64/libm.so.6 + 0x00007ffff7ad1d68 - 0x00007ffff7ad1d70 is .fini_array in /lib64/libm.so.6 + 0x00007ffff7ad1d70 - 0x00007ffff7ad1d78 is .jcr in /lib64/libm.so.6 + 0x00007ffff7ad1d78 - 0x00007ffff7ad1d80 is .data.rel.ro in /lib64/libm.so.6 + 0x00007ffff7ad1d80 - 0x00007ffff7ad1fb0 is .dynamic in /lib64/libm.so.6 + 0x00007ffff7ad1fb0 - 0x00007ffff7ad2000 is .got in /lib64/libm.so.6 + 0x00007ffff7ad2000 - 0x00007ffff7ad20d8 is .got.plt in /lib64/libm.so.6 + 0x00007ffff7ad20d8 - 0x00007ffff7ad20e4 is .data in /lib64/libm.so.6 + 0x00007ffff7ad20f0 - 0x00007ffff7ad2138 is .bss in /lib64/libm.so.6 + 0x00007ffff75bb1c8 - 0x00007ffff75bb1ec is .note.gnu.build-id in /lib64/libgcc_s.so.1 + 0x00007ffff75bb1f0 - 0x00007ffff75bb6e0 is .gnu.hash in /lib64/libgcc_s.so.1 + 0x00007ffff75bb6e0 - 0x00007ffff75bc6e8 is .dynsym in /lib64/libgcc_s.so.1 + 0x00007ffff75bc6e8 - 0x00007ffff75bcf8e is .dynstr in /lib64/libgcc_s.so.1 +---Type to continue, or q to quit--- + 0x00007ffff75bcf8e - 0x00007ffff75bd0e4 is .gnu.version in /lib64/libgcc_s.so.1 + 0x00007ffff75bd0e8 - 0x00007ffff75bd288 is .gnu.version_d in /lib64/libgcc_s.so.1 + 0x00007ffff75bd288 - 0x00007ffff75bd2b8 is .gnu.version_r in /lib64/libgcc_s.so.1 + 0x00007ffff75bd2b8 - 0x00007ffff75bd3c0 is .rela.dyn in /lib64/libgcc_s.so.1 + 0x00007ffff75bd3c0 - 0x00007ffff75bd7e0 is .rela.plt in /lib64/libgcc_s.so.1 + 0x00007ffff75bd7e0 - 0x00007ffff75bd7fa is .init in /lib64/libgcc_s.so.1 + 0x00007ffff75bd800 - 0x00007ffff75bdad0 is .plt in /lib64/libgcc_s.so.1 + 0x00007ffff75bdad0 - 0x00007ffff75cd285 is .text in /lib64/libgcc_s.so.1 + 0x00007ffff75cd288 - 0x00007ffff75cd291 is .fini in /lib64/libgcc_s.so.1 + 0x00007ffff75cd2a0 - 0x00007ffff75cdd00 is .rodata in /lib64/libgcc_s.so.1 + 0x00007ffff75cdd00 - 0x00007ffff75cdd01 is .stapsdt.base in /lib64/libgcc_s.so.1 + 0x00007ffff75cdd04 - 0x00007ffff75ce248 is .eh_frame_hdr in /lib64/libgcc_s.so.1 + 0x00007ffff75ce248 - 0x00007ffff75cfc94 is .eh_frame in /lib64/libgcc_s.so.1 + 0x00007ffff77cfdb0 - 0x00007ffff77cfdc0 is .init_array in /lib64/libgcc_s.so.1 + 0x00007ffff77cfdc0 - 0x00007ffff77cfdc8 is .fini_array in /lib64/libgcc_s.so.1 + 0x00007ffff77cfdc8 - 0x00007ffff77cfdd0 is .jcr in /lib64/libgcc_s.so.1 + 0x00007ffff77cfdd0 - 0x00007ffff77cfdd8 is .data.rel.ro in /lib64/libgcc_s.so.1 + 0x00007ffff77cfdd8 - 0x00007ffff77cffc8 is .dynamic in /lib64/libgcc_s.so.1 +---Type to continue, or q to quit--- + 0x00007ffff77cffc8 - 0x00007ffff77d0000 is .got in /lib64/libgcc_s.so.1 + 0x00007ffff77d0000 - 0x00007ffff77d0178 is .got.plt in /lib64/libgcc_s.so.1 + 0x00007ffff77d0178 - 0x00007ffff77d0180 is .data in /lib64/libgcc_s.so.1 + 0x00007ffff77d0180 - 0x00007ffff77d0400 is .bss in /lib64/libgcc_s.so.1 + 0x00007ffff71ed270 - 0x00007ffff71ed294 is .note.gnu.build-id in /lib64/libc.so.6 + 0x00007ffff71ed294 - 0x00007ffff71ed2b4 is .note.ABI-tag in /lib64/libc.so.6 + 0x00007ffff71ed2b8 - 0x00007ffff71f0d84 is .gnu.hash in /lib64/libc.so.6 + 0x00007ffff71f0d88 - 0x00007ffff71fdfd0 is .dynsym in /lib64/libc.so.6 + 0x00007ffff71fdfd0 - 0x00007ffff7203acd is .dynstr in /lib64/libc.so.6 + 0x00007ffff7203ace - 0x00007ffff7204c54 is .gnu.version in /lib64/libc.so.6 + 0x00007ffff7204c58 - 0x00007ffff7204f60 is .gnu.version_d in /lib64/libc.so.6 + 0x00007ffff7204f60 - 0x00007ffff7204f90 is .gnu.version_r in /lib64/libc.so.6 + 0x00007ffff7204f90 - 0x00007ffff720c7d8 is .rela.dyn in /lib64/libc.so.6 + 0x00007ffff720c7d8 - 0x00007ffff720c910 is .rela.plt in /lib64/libc.so.6 + 0x00007ffff720c910 - 0x00007ffff720c9f0 is .plt in /lib64/libc.so.6 + 0x00007ffff720c9f0 - 0x00007ffff735cb6f is .text in /lib64/libc.so.6 + 0x00007ffff735cb70 - 0x00007ffff735e5d9 is __libc_freeres_fn in /lib64/libc.so.6 + 0x00007ffff735e5e0 - 0x00007ffff735e8e2 is __libc_thread_freeres_fn in /lib64/libc.so.6 +---Type to continue, or q to quit--- + 0x00007ffff735e900 - 0x00007ffff737bc50 is .rodata in /lib64/libc.so.6 + 0x00007ffff737bc50 - 0x00007ffff737bc51 is .stapsdt.base in /lib64/libc.so.6 + 0x00007ffff737bc60 - 0x00007ffff737bc7c is .interp in /lib64/libc.so.6 + 0x00007ffff737bc7c - 0x00007ffff7382778 is .eh_frame_hdr in /lib64/libc.so.6 + 0x00007ffff7382778 - 0x00007ffff73acc2c is .eh_frame in /lib64/libc.so.6 + 0x00007ffff73acc2c - 0x00007ffff73ad015 is .gcc_except_table in /lib64/libc.so.6 + 0x00007ffff73ad018 - 0x00007ffff73b0310 is .hash in /lib64/libc.so.6 + 0x00007ffff75b06f0 - 0x00007ffff75b0700 is .tdata in /lib64/libc.so.6 + 0x00007ffff75b0700 - 0x00007ffff75b0790 is .tbss in /lib64/libc.so.6 + 0x00007ffff75b0700 - 0x00007ffff75b0710 is .init_array in /lib64/libc.so.6 + 0x00007ffff75b0710 - 0x00007ffff75b0810 is __libc_subfreeres in /lib64/libc.so.6 + 0x00007ffff75b0810 - 0x00007ffff75b0818 is __libc_atexit in /lib64/libc.so.6 + 0x00007ffff75b0818 - 0x00007ffff75b0838 is __libc_thread_subfreeres in /lib64/libc.so.6 + 0x00007ffff75b0840 - 0x00007ffff75b15a8 is __libc_IO_vtables in /lib64/libc.so.6 + 0x00007ffff75b15c0 - 0x00007ffff75b3b60 is .data.rel.ro in /lib64/libc.so.6 + 0x00007ffff75b3b60 - 0x00007ffff75b3d50 is .dynamic in /lib64/libc.so.6 + 0x00007ffff75b3d50 - 0x00007ffff75b3ff0 is .got in /lib64/libc.so.6 + 0x00007ffff75b4000 - 0x00007ffff75b4080 is .got.plt in /lib64/libc.so.6 +---Type to continue, or q to quit--- + 0x00007ffff75b4080 - 0x00007ffff75b58a0 is .data in /lib64/libc.so.6 + 0x00007ffff75b58a0 - 0x00007ffff75ba200 is .bss in /lib64/libc.so.6 +(gdb) print &a +$1 = 0x7fffffffe06f "" +(gdb) priny t &b +$2 = 0x7fffffffe06e "" +(gdb) print &bc +$3 = 0x7fffffffe06d "" +(gdb) print &cdd +$4 = 0x7fffffffe06c "" +(gdb) print &dbuffp[0] +No symbol "buff" in current context. +(gdb) print &buff[0]e[0]r[0] +$5 = 0x7fffffffe060 "\240\n@" +(gdb) print &buffer[0]]1] +$6 = 0x7fffffffe061 "\n@" +(gdb) print &buffer[1]]2] +$7 = 0x7fffffffe062 "@" +(gdb) print &buffer[2]]3] +$8 = 0x7fffffffe063 "" +(gdb) print &buffer[3]]3]whatis a +type = char +(gdb) whatis abuffer +type = char [4] +(gdb) whatis arhhgc +type = int +(gdb) whatis argcv +type = char ** +(gdb) list +3 +4 using namespace std; +5 +6 int main( int argc, char* argv[ ] ) +7 { +8 char a = 'A'; +9 char b = 'B'; +10 char buffer[4]; +11 char c = 'C'; +12 char d = 'D'; +(gdb) dissassemble main +Dump of assembler code for function main(int, char**): + 0x000000000040090d <+0>: push %rbp + 0x000000000040090e <+1>: mov %rsp,%rbp + 0x0000000000400911 <+4>: push %rbx + 0x0000000000400912 <+5>: sub $0x28,%rsp + 0x0000000000400916 <+9>: mov %edi,-0x24(%rbp) + 0x0000000000400919 <+12>: mov %rsi,-0x30(%rbp) +=> 0x000000000040091d <+16>: movb $0x41,-0x11(%rbp) + 0x0000000000400921 <+20>: movb $0x42,-0x12(%rbp) + 0x0000000000400925 <+24>: movb $0x43,-0x13(%rbp) + 0x0000000000400929 <+28>: movb $0x44,-0x14(%rbp) + 0x000000000040092d <+32>: mov $0x400b30,%esi + 0x0000000000400932 <+37>: mov $0x6011a0,%edi + 0x0000000000400937 <+42>: callq 0x4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 0x000000000040093c <+47>: mov $0x400810,%esi + 0x0000000000400941 <+52>: mov %rax,%rdi + 0x0000000000400944 <+55>: callq 0x400800 <_ZNSolsEPFRSoS_E@plt> + 0x0000000000400949 <+60>: lea -0x20(%rbp),%rax +---Type to continue, or q to quit--- + 0x000000000040094d <+64>: mov %rax,%rsi + 0x0000000000400950 <+67>: mov $0x601080,%edi + 0x0000000000400955 <+72>: callq 0x4007f0 <_ZStrsIcSt11char_traitsIcEERSt13basic_istreamIT_T0_ES6_PS3_@plt> + 0x000000000040095a <+77>: mov $0x400810,%esi + 0x000000000040095f <+82>: mov $0x6011a0,%edi + 0x0000000000400964 <+87>: callq 0x400800 <_ZNSolsEPFRSoS_E@plt> + 0x0000000000400969 <+92>: mov $0x400b4f,%esi + 0x000000000040096e <+97>: mov $0x6011a0,%edi + 0x0000000000400973 <+102>: callq 0x4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 0x0000000000400978 <+107>: lea -0x20(%rbp),%rdx + 0x000000000040097c <+111>: mov %rdx,%rsi + 0x000000000040097f <+114>: mov %rax,%rdi + 0x0000000000400982 <+117>: callq 0x4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 0x0000000000400987 <+122>: mov $0x400810,%esi + 0x000000000040098c <+127>: mov %rax,%rdi + 0x000000000040098f <+130>: callq 0x400800 <_ZNSolsEPFRSoS_E@plt> + 0x0000000000400994 <+135>: movsbl -0x11(%rbp),%ebx + 0x0000000000400998 <+139>: mov $0x400b57,%esi +---Type to continue, or q to quit--- + 0x000000000040099d <+144>: mov $0x6011a0,%edi + 0x00000000004009a2 <+149>: callq 0x4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 0x00000000004009a7 <+154>: mov %ebx,%esi + 0x00000000004009a9 <+156>: mov %rax,%rdi + 0x00000000004009ac <+159>: callq 0x4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 0x00000000004009b1 <+164>: mov $0x400810,%esi + 0x00000000004009b6 <+169>: mov %rax,%rdi + 0x00000000004009b9 <+172>: callq 0x400800 <_ZNSolsEPFRSoS_E@plt> + 0x00000000004009be <+177>: movsbl -0x12(%rbp),%ebx + 0x00000000004009c2 <+181>: mov $0x400b5c,%esi + 0x00000000004009c7 <+186>: mov $0x6011a0,%edi + 0x00000000004009cc <+191>: callq 0x4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 0x00000000004009d1 <+196>: mov %ebx,%esi + 0x00000000004009d3 <+198>: mov %rax,%rdi + 0x00000000004009d6 <+201>: callq 0x4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 0x00000000004009db <+206>: mov $0x400810,%esi + 0x00000000004009e0 <+211>: mov %rax,%rdi + 0x00000000004009e3 <+214>: callq 0x400800 <_ZNSolsEPFRSoS_E@plt> +---Type to continue, or q to quit--- + 0x00000000004009e8 <+219>: movsbl -0x13(%rbp),%ebx + 0x00000000004009ec <+223>: mov $0x400b61,%esi + 0x00000000004009f1 <+228>: mov $0x6011a0,%edi + 0x00000000004009f6 <+233>: callq 0x4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 0x00000000004009fb <+238>: mov %ebx,%esi + 0x00000000004009fd <+240>: mov %rax,%rdi + 0x0000000000400a00 <+243>: callq 0x4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 0x0000000000400a05 <+248>: mov $0x400810,%esi + 0x0000000000400a0a <+253>: mov %rax,%rdi + 0x0000000000400a0d <+256>: callq 0x400800 <_ZNSolsEPFRSoS_E@plt> + 0x0000000000400a12 <+261>: movsbl -0x14(%rbp),%ebx + 0x0000000000400a16 <+265>: mov $0x400b66,%esi + 0x0000000000400a1b <+270>: mov $0x6011a0,%edi + 0x0000000000400a20 <+275>: callq 0x4007e0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_PKc@plt> + 0x0000000000400a25 <+280>: mov %ebx,%esi + 0x0000000000400a27 <+282>: mov %rax,%rdi + 0x0000000000400a2a <+285>: callq 0x4007c0 <_ZStlsISt11char_traitsIcEERSt13basic_ostreamIcT_ES5_c@plt> + 0x0000000000400a2f <+290>: mov $0x400810,%esi +---Type to continue, or q to quit--- + 0x0000000000400a34 <+295>: mov %rax,%rdi + 0x0000000000400a37 <+298>: callq 0x400800 <_ZNSolsEPFRSoS_E@plt> + 0x0000000000400a3c <+303>: mov $0x0,%eax + 0x0000000000400a41 <+308>: add $0x28,%rsp + 0x0000000000400a45 <+312>: pop %rbx + 0x0000000000400a46 <+313>: pop %rbp + 0x0000000000400a47 <+314>: retq +End of assembler dump. +(gdb) step +9 char b = 'B'; +(gdb) info locals +a = 65 'A' +buffer = "\240\n@" +c = 0 '\000' +b = 0 '\000' +d = 0 '\000' +(gdb) info locals step +11 char c = 'C'; +(gdb) stepinfo locals +a = 65 'A' +buffer = "\240\n@" +c = 0 '\000' +b = 66 'B' +d = 0 '\000' +(gdb) info locals step +12 char d = 'D'; +(gdb) stepinfo locals +a = 65 'A' +buffer = "\240\n@" +c = 67 'C' +b = 66 'B' +d = 0 '\000' +(gdb) info locals step +14 cout << "Enter up to 4 characters now: " << endl; +(gdb) stepinfo locals +a = 65 'A' +buffer = "\240\n@" +c = 67 'C' +b = 66 'B' +d = 68 'D' +(gdb) info locals step +Enter up to 4 characters now: +15 cin >> buffer; +(gdb) stepinfo locals +a = 65 'A' +buffer = "\240\n@" +c = 67 'C' +b = 66 'B' +d = 68 'D' +(gdb) info locals step +16 cout << endl; +(gdb) x/32xw &buffer +0x7fffffffe060: 0x41414141 0x42424242 0x43434343 0x44444444 +0x7fffffffe070: 0x45454545 0x46464646 0x47474747 0x48484848 +0x7fffffffe080: 0x00000000 0x00000000 0xf720f555 0x00007fff +0x7fffffffe090: 0x00000000 0x00000020 0xffffe168 0x00007fff +0x7fffffffe0a0: 0x00000000 0x00000001 0x0040090d 0x00000000 +0x7fffffffe0b0: 0x00000000 0x00000000 0x4c53bf81 0xec0ef21b +0x7fffffffe0c0: 0x00400820 0x00000000 0xffffe160 0x00007fff +0x7fffffffe0d0: 0x00000000 0x00000000 0x00000000 0x00000000 +(gdb) print buffer[0[] +$9 = 65 'A' +(gdb) \print buffer[0]&buffer[0] +$10 = 0x7fffffffe060 "AAAABBBBCCCCDDDDEEEEFFFFGGGGHHHH" +(gdb) print &buffer[0]]1] +$11 = 0x7fffffffe061 "AAABBBBCCCCDDDDEEEEFFFFGGGGHHHH" +(gdb) print &buffer[1]]2] +$12 = 0x7fffffffe062 "AABBBBCCCCDDDDEEEEFFFFGGGGHHHH" +(gdb) print &buffer[2]=]]]3] +$13 = 0x7fffffffe063 "ABBBBCCCCDDDDEEEEFFFFGGGGHHHH" +(gdb) print &buffer[3]=]]]4] +$14 = 0x7fffffffe064 "BBBBCCCCDDDDEEEEFFFFGGGGHHHH" +(gdb) print &buffer[4]3]2]1]0]buffer[0] x/32xw &buffer stepinfo locals stepx/32xw &buffer print buffer[0] +$15 = "AAAA" +(gdb) print buffer&buffer[4]3]2]1]0] = 'A' +$16 = 65 'A' +(gdb) print buffer[0] = 'A''B'[1@1 +$17 = 66 'B' +(gdb) print buffer[1] = 'B''3''D''C'[1@2 +$18 = 67 'C' +(gdb) print buffer[2] = 'C''D'[1@c[1@3 +$19 = 68 'D' +(gdb) print buffer[3] = 'D''D''E'[1@4 +$20 = 69 'E' +(gdb) x/32xw &buffer +0x7fffffffe060: 0x44434241 0x42424245 0x43434343 0x44444444 +0x7fffffffe070: 0x45454545 0x46464646 0x47474747 0x48484848 +0x7fffffffe080: 0x00000000 0x00000000 0xf720f555 0x00007fff +0x7fffffffe090: 0x00000000 0x00000020 0xffffe168 0x00007fff +0x7fffffffe0a0: 0x00000000 0x00000001 0x0040090d 0x00000000 +0x7fffffffe0b0: 0x00000000 0x00000000 0x4c53bf81 0xec0ef21b +0x7fffffffe0c0: 0x00400820 0x00000000 0xffffe160 0x00007fff +0x7fffffffe0d0: 0x00000000 0x00000000 0x00000000 0x00000000 +(gdb) (gdb) (gdb)  (gdb) (gdb) print &buffer[4] - &buffer[0] +$21 = 4 +(gdb) quit +A debugging session is active. + + Inferior 1 [process 14050] will be killed. + +Quit anyway? (y or n) y +bash-4.2$ exit +exit + +Script done on Thu 03 Mar 2022 10:06:31 AM CST diff --git a/CPE455/lab03/enigma b/CPE455/lab03/enigma new file mode 100755 index 0000000..fdf8774 Binary files /dev/null and b/CPE455/lab03/enigma differ diff --git a/CPE455/lab03/enigma.txt b/CPE455/lab03/enigma.txt new file mode 100644 index 0000000..f7b7f0b --- /dev/null +++ b/CPE455/lab03/enigma.txt @@ -0,0 +1,476 @@ +Script started on Thu 03 Mar 2022 10:33:44 AM CST +[?1034hbash-4.2$ chmod 755 ./enigma +bash-4.2$ lds =-l +total 16 +-rwxr-xr-x 1 anw0044 student 9888 Feb 28 2021 enigma +-rw------- 1 anw0044 student 0 Mar 3 10:33 enigma.txt +-rw------- 1 anw0044 student 3266 Mar 3 10:31 enigma.zip +bash-4.2$ file +file filefrag file-roller +bash-4.2$ file ./enigma +./enigma: ELF 64-bit LSB executable, x86-64, version 1 (SYSV), dynamically linked (uses shared libs), for GNU/Linux 2.6.32, BuildID[sha1]=c7a8237c624481a50e6b5d824e109b71838dd18b, not stripped +bash-4.2$ readelf -a ./enigma +ELF Header: + Magic: 7f 45 4c 46 02 01 01 00 00 00 00 00 00 00 00 00 + Class: ELF64 + Data: 2's complement, little endian + Version: 1 (current) + OS/ABI: UNIX - System V + ABI Version: 0 + Type: EXEC (Executable file) + Machine: Advanced Micro Devices X86-64 + Version: 0x1 + Entry point address: 0x400520 + Start of program headers: 64 (bytes into file) + Start of section headers: 7648 (bytes into file) + Flags: 0x0 + Size of this header: 64 (bytes) + Size of program headers: 56 (bytes) + Number of program headers: 9 + Size of section headers: 64 (bytes) + Number of section headers: 35 + Section header string table index: 34 + +Section Headers: + [Nr] Name Type Address Offset + Size EntSize Flags Link Info Align + [ 0] NULL 0000000000000000 00000000 + 0000000000000000 0000000000000000 0 0 0 + [ 1] .interp PROGBITS 0000000000400238 00000238 + 000000000000001c 0000000000000000 A 0 0 1 + [ 2] .note.ABI-tag NOTE 0000000000400254 00000254 + 0000000000000020 0000000000000000 A 0 0 4 + [ 3] .note.gnu.build-i NOTE 0000000000400274 00000274 + 0000000000000024 0000000000000000 A 0 0 4 + [ 4] .gnu.hash GNU_HASH 0000000000400298 00000298 + 000000000000001c 0000000000000000 A 5 0 8 + [ 5] .dynsym DYNSYM 00000000004002b8 000002b8 + 00000000000000a8 0000000000000018 A 6 1 8 + [ 6] .dynstr STRTAB 0000000000400360 00000360 + 0000000000000053 0000000000000000 A 0 0 1 + [ 7] .gnu.version VERSYM 00000000004003b4 000003b4 + 000000000000000e 0000000000000002 A 5 0 2 + [ 8] .gnu.version_r VERNEED 00000000004003c8 000003c8 + 0000000000000020 0000000000000000 A 6 1 8 + [ 9] .rela.dyn RELA 00000000004003e8 000003e8 + 0000000000000018 0000000000000018 A 5 0 8 + [10] .rela.plt RELA 0000000000400400 00000400 + 0000000000000090 0000000000000018 AI 5 23 8 + [11] .init PROGBITS 0000000000400490 00000490 + 000000000000001a 0000000000000000 AX 0 0 4 + [12] .plt PROGBITS 00000000004004b0 000004b0 + 0000000000000070 0000000000000010 AX 0 0 16 + [13] .text PROGBITS 0000000000400520 00000520 + 0000000000000212 0000000000000000 AX 0 0 16 + [14] .fini PROGBITS 0000000000400734 00000734 + 0000000000000009 0000000000000000 AX 0 0 4 + [15] .rodata PROGBITS 0000000000400740 00000740 + 0000000000000042 0000000000000000 A 0 0 8 + [16] .eh_frame_hdr PROGBITS 0000000000400784 00000784 + 0000000000000034 0000000000000000 A 0 0 4 + [17] .eh_frame PROGBITS 00000000004007b8 000007b8 + 00000000000000f4 0000000000000000 A 0 0 8 + [18] .init_array INIT_ARRAY 0000000000600e10 00000e10 + 0000000000000008 0000000000000008 WA 0 0 8 + [19] .fini_array FINI_ARRAY 0000000000600e18 00000e18 + 0000000000000008 0000000000000008 WA 0 0 8 + [20] .jcr PROGBITS 0000000000600e20 00000e20 + 0000000000000008 0000000000000000 WA 0 0 8 + [21] .dynamic DYNAMIC 0000000000600e28 00000e28 + 00000000000001d0 0000000000000010 WA 6 0 8 + [22] .got PROGBITS 0000000000600ff8 00000ff8 + 0000000000000008 0000000000000008 WA 0 0 8 + [23] .got.plt PROGBITS 0000000000601000 00001000 + 0000000000000048 0000000000000008 WA 0 0 8 + [24] .data PROGBITS 0000000000601048 00001048 + 0000000000000018 0000000000000000 WA 0 0 8 + [25] .bss NOBITS 0000000000601060 00001060 + 0000000000000008 0000000000000000 WA 0 0 1 + [26] .comment PROGBITS 0000000000000000 00001060 + 000000000000005a 0000000000000001 MS 0 0 1 + [27] .debug_aranges PROGBITS 0000000000000000 000010ba + 0000000000000030 0000000000000000 0 0 1 + [28] .debug_info PROGBITS 0000000000000000 000010ea + 00000000000000f4 0000000000000000 0 0 1 + [29] .debug_abbrev PROGBITS 0000000000000000 000011de + 000000000000007e 0000000000000000 0 0 1 + [30] .debug_line PROGBITS 0000000000000000 0000125c + 000000000000005e 0000000000000000 0 0 1 + [31] .debug_str PROGBITS 0000000000000000 000012ba + 00000000000000ec 0000000000000001 MS 0 0 1 + [32] .symtab SYMTAB 0000000000000000 000013a8 + 00000000000006d8 0000000000000018 33 51 8 + [33] .strtab STRTAB 0000000000000000 00001a80 + 0000000000000216 0000000000000000 0 0 1 + [34] .shstrtab STRTAB 0000000000000000 00001c96 + 0000000000000148 0000000000000000 0 0 1 +Key to Flags: + W (write), A (alloc), X (execute), M (merge), S (strings), I (info), + L (link order), O (extra OS processing required), G (group), T (TLS), + C (compressed), x (unknown), o (OS specific), E (exclude), + l (large), p (processor specific) + +There are no section groups in this file. + +Program Headers: + Type Offset VirtAddr PhysAddr + FileSiz MemSiz Flags Align + PHDR 0x0000000000000040 0x0000000000400040 0x0000000000400040 + 0x00000000000001f8 0x00000000000001f8 R E 8 + INTERP 0x0000000000000238 0x0000000000400238 0x0000000000400238 + 0x000000000000001c 0x000000000000001c R 1 + [Requesting program interpreter: /lib64/ld-linux-x86-64.so.2] + LOAD 0x0000000000000000 0x0000000000400000 0x0000000000400000 + 0x00000000000008ac 0x00000000000008ac R E 200000 + LOAD 0x0000000000000e10 0x0000000000600e10 0x0000000000600e10 + 0x0000000000000250 0x0000000000000258 RW 200000 + DYNAMIC 0x0000000000000e28 0x0000000000600e28 0x0000000000600e28 + 0x00000000000001d0 0x00000000000001d0 RW 8 + NOTE 0x0000000000000254 0x0000000000400254 0x0000000000400254 + 0x0000000000000044 0x0000000000000044 R 4 + GNU_EH_FRAME 0x0000000000000784 0x0000000000400784 0x0000000000400784 + 0x0000000000000034 0x0000000000000034 R 4 + GNU_STACK 0x0000000000000000 0x0000000000000000 0x0000000000000000 + 0x0000000000000000 0x0000000000000000 RW 10 + GNU_RELRO 0x0000000000000e10 0x0000000000600e10 0x0000000000600e10 + 0x00000000000001f0 0x00000000000001f0 R 1 + + Section to Segment mapping: + Segment Sections... + 00 + 01 .interp + 02 .interp .note.ABI-tag .note.gnu.build-id .gnu.hash .dynsym .dynstr .gnu.version .gnu.version_r .rela.dyn .rela.plt .init .plt .text .fini .rodata .eh_frame_hdr .eh_frame + 03 .init_array .fini_array .jcr .dynamic .got .got.plt .data .bss + 04 .dynamic + 05 .note.ABI-tag .note.gnu.build-id + 06 .eh_frame_hdr + 07 + 08 .init_array .fini_array .jcr .dynamic .got + +Dynamic section at offset 0xe28 contains 24 entries: + Tag Type Name/Value + 0x0000000000000001 (NEEDED) Shared library: [libc.so.6] + 0x000000000000000c (INIT) 0x400490 + 0x000000000000000d (FINI) 0x400734 + 0x0000000000000019 (INIT_ARRAY) 0x600e10 + 0x000000000000001b (INIT_ARRAYSZ) 8 (bytes) + 0x000000000000001a (FINI_ARRAY) 0x600e18 + 0x000000000000001c (FINI_ARRAYSZ) 8 (bytes) + 0x000000006ffffef5 (GNU_HASH) 0x400298 + 0x0000000000000005 (STRTAB) 0x400360 + 0x0000000000000006 (SYMTAB) 0x4002b8 + 0x000000000000000a (STRSZ) 83 (bytes) + 0x000000000000000b (SYMENT) 24 (bytes) + 0x0000000000000015 (DEBUG) 0x0 + 0x0000000000000003 (PLTGOT) 0x601000 + 0x0000000000000002 (PLTRELSZ) 144 (bytes) + 0x0000000000000014 (PLTREL) RELA + 0x0000000000000017 (JMPREL) 0x400400 + 0x0000000000000007 (RELA) 0x4003e8 + 0x0000000000000008 (RELASZ) 24 (bytes) + 0x0000000000000009 (RELAENT) 24 (bytes) + 0x000000006ffffffe (VERNEED) 0x4003c8 + 0x000000006fffffff (VERNEEDNUM) 1 + 0x000000006ffffff0 (VERSYM) 0x4003b4 + 0x0000000000000000 (NULL) 0x0 + +Relocation section '.rela.dyn' at offset 0x3e8 contains 1 entries: + Offset Info Type Sym. Value Sym. Name + Addend +000000600ff8 000600000006 R_X86_64_GLOB_DAT 0000000000000000 __gmon_start__ + 0 + +Relocation section '.rela.plt' at offset 0x400 contains 6 entries: + Offset Info Type Sym. Value Sym. Name + Addend +000000601018 000100000007 R_X86_64_JUMP_SLO 0000000000000000 putchar@GLIBC_2.2.5 + 0 +000000601020 000200000007 R_X86_64_JUMP_SLO 0000000000000000 puts@GLIBC_2.2.5 + 0 +000000601028 000300000007 R_X86_64_JUMP_SLO 0000000000000000 printf@GLIBC_2.2.5 + 0 +000000601030 000400000007 R_X86_64_JUMP_SLO 0000000000000000 __libc_start_main@GLIBC_2.2.5 + 0 +000000601038 000500000007 R_X86_64_JUMP_SLO 0000000000000000 strcmp@GLIBC_2.2.5 + 0 +000000601040 000600000007 R_X86_64_JUMP_SLO 0000000000000000 __gmon_start__ + 0 + +The decoding of unwind sections for machine type Advanced Micro Devices X86-64 is not currently supported. + +Symbol table '.dynsym' contains 7 entries: + Num: Value Size Type Bind Vis Ndx Name + 0: 0000000000000000 0 NOTYPE LOCAL DEFAULT UND + 1: 0000000000000000 0 FUNC GLOBAL DEFAULT UND putchar@GLIBC_2.2.5 (2) + 2: 0000000000000000 0 FUNC GLOBAL DEFAULT UND puts@GLIBC_2.2.5 (2) + 3: 0000000000000000 0 FUNC GLOBAL DEFAULT UND printf@GLIBC_2.2.5 (2) + 4: 0000000000000000 0 FUNC GLOBAL DEFAULT UND __libc_start_main@GLIBC_2.2.5 (2) + 5: 0000000000000000 0 FUNC GLOBAL DEFAULT UND strcmp@GLIBC_2.2.5 (2) + 6: 0000000000000000 0 NOTYPE WEAK DEFAULT UND __gmon_start__ + +Symbol table '.symtab' contains 73 entries: + Num: Value Size Type Bind Vis Ndx Name + 0: 0000000000000000 0 NOTYPE LOCAL DEFAULT UND + 1: 0000000000400238 0 SECTION LOCAL DEFAULT 1 + 2: 0000000000400254 0 SECTION LOCAL DEFAULT 2 + 3: 0000000000400274 0 SECTION LOCAL DEFAULT 3 + 4: 0000000000400298 0 SECTION LOCAL DEFAULT 4 + 5: 00000000004002b8 0 SECTION LOCAL DEFAULT 5 + 6: 0000000000400360 0 SECTION LOCAL DEFAULT 6 + 7: 00000000004003b4 0 SECTION LOCAL DEFAULT 7 + 8: 00000000004003c8 0 SECTION LOCAL DEFAULT 8 + 9: 00000000004003e8 0 SECTION LOCAL DEFAULT 9 + 10: 0000000000400400 0 SECTION LOCAL DEFAULT 10 + 11: 0000000000400490 0 SECTION LOCAL DEFAULT 11 + 12: 00000000004004b0 0 SECTION LOCAL DEFAULT 12 + 13: 0000000000400520 0 SECTION LOCAL DEFAULT 13 + 14: 0000000000400734 0 SECTION LOCAL DEFAULT 14 + 15: 0000000000400740 0 SECTION LOCAL DEFAULT 15 + 16: 0000000000400784 0 SECTION LOCAL DEFAULT 16 + 17: 00000000004007b8 0 SECTION LOCAL DEFAULT 17 + 18: 0000000000600e10 0 SECTION LOCAL DEFAULT 18 + 19: 0000000000600e18 0 SECTION LOCAL DEFAULT 19 + 20: 0000000000600e20 0 SECTION LOCAL DEFAULT 20 + 21: 0000000000600e28 0 SECTION LOCAL DEFAULT 21 + 22: 0000000000600ff8 0 SECTION LOCAL DEFAULT 22 + 23: 0000000000601000 0 SECTION LOCAL DEFAULT 23 + 24: 0000000000601048 0 SECTION LOCAL DEFAULT 24 + 25: 0000000000601060 0 SECTION LOCAL DEFAULT 25 + 26: 0000000000000000 0 SECTION LOCAL DEFAULT 26 + 27: 0000000000000000 0 SECTION LOCAL DEFAULT 27 + 28: 0000000000000000 0 SECTION LOCAL DEFAULT 28 + 29: 0000000000000000 0 SECTION LOCAL DEFAULT 29 + 30: 0000000000000000 0 SECTION LOCAL DEFAULT 30 + 31: 0000000000000000 0 SECTION LOCAL DEFAULT 31 + 32: 0000000000000000 0 FILE LOCAL DEFAULT ABS crtstuff.c + 33: 0000000000600e20 0 OBJECT LOCAL DEFAULT 20 __JCR_LIST__ + 34: 0000000000400550 0 FUNC LOCAL DEFAULT 13 deregister_tm_clones + 35: 0000000000400580 0 FUNC LOCAL DEFAULT 13 register_tm_clones + 36: 00000000004005c0 0 FUNC LOCAL DEFAULT 13 __do_global_dtors_aux + 37: 0000000000601060 1 OBJECT LOCAL DEFAULT 25 completed.6355 + 38: 0000000000600e18 0 OBJECT LOCAL DEFAULT 19 __do_global_dtors_aux_fin + 39: 00000000004005e0 0 FUNC LOCAL DEFAULT 13 frame_dummy + 40: 0000000000600e10 0 OBJECT LOCAL DEFAULT 18 __frame_dummy_init_array_ + 41: 0000000000000000 0 FILE LOCAL DEFAULT ABS enigma.c + 42: 0000000000000000 0 FILE LOCAL DEFAULT ABS crtstuff.c + 43: 00000000004008a8 0 OBJECT LOCAL DEFAULT 17 __FRAME_END__ + 44: 0000000000600e20 0 OBJECT LOCAL DEFAULT 20 __JCR_END__ + 45: 0000000000000000 0 FILE LOCAL DEFAULT ABS + 46: 0000000000600e18 0 NOTYPE LOCAL DEFAULT 18 __init_array_end + 47: 0000000000600e28 0 OBJECT LOCAL DEFAULT 21 _DYNAMIC + 48: 0000000000600e10 0 NOTYPE LOCAL DEFAULT 18 __init_array_start + 49: 0000000000400784 0 NOTYPE LOCAL DEFAULT 16 __GNU_EH_FRAME_HDR + 50: 0000000000601000 0 OBJECT LOCAL DEFAULT 23 _GLOBAL_OFFSET_TABLE_ + 51: 0000000000400730 2 FUNC GLOBAL DEFAULT 13 __libc_csu_fini + 52: 0000000000000000 0 FUNC GLOBAL DEFAULT UND putchar@@GLIBC_2.2.5 + 53: 0000000000601048 0 NOTYPE WEAK DEFAULT 24 data_start + 54: 0000000000000000 0 FUNC GLOBAL DEFAULT UND puts@@GLIBC_2.2.5 + 55: 0000000000601060 0 NOTYPE GLOBAL DEFAULT 24 _edata + 56: 0000000000400734 0 FUNC GLOBAL DEFAULT 14 _fini + 57: 0000000000000000 0 FUNC GLOBAL DEFAULT UND printf@@GLIBC_2.2.5 + 58: 0000000000000000 0 FUNC GLOBAL DEFAULT UND __libc_start_main@@GLIBC_ + 59: 0000000000601048 0 NOTYPE GLOBAL DEFAULT 24 __data_start + 60: 0000000000000000 0 FUNC GLOBAL DEFAULT UND strcmp@@GLIBC_2.2.5 + 61: 0000000000000000 0 NOTYPE WEAK DEFAULT UND __gmon_start__ + 62: 0000000000400748 0 OBJECT GLOBAL HIDDEN 15 __dso_handle + 63: 0000000000400740 4 OBJECT GLOBAL DEFAULT 15 _IO_stdin_used + 64: 00000000004006c0 101 FUNC GLOBAL DEFAULT 13 __libc_csu_init + 65: 0000000000601068 0 NOTYPE GLOBAL DEFAULT 25 _end + 66: 0000000000400520 0 FUNC GLOBAL DEFAULT 13 _start + 67: 0000000000601060 0 NOTYPE GLOBAL DEFAULT 25 __bss_start + 68: 000000000040060d 175 FUNC GLOBAL DEFAULT 13 main + 69: 0000000000601050 8 OBJECT GLOBAL DEFAULT 24 USER + 70: 0000000000601060 0 OBJECT GLOBAL HIDDEN 24 __TMC_END__ + 71: 0000000000400490 0 FUNC GLOBAL DEFAULT 11 _init + 72: 0000000000601058 8 OBJECT GLOBAL DEFAULT 24 PASSWORD + +Version symbols section '.gnu.version' contains 7 entries: + Addr: 00000000004003b4 Offset: 0x0003b4 Link: 5 (.dynsym) + 000: 0 (*local*) 2 (GLIBC_2.2.5) 2 (GLIBC_2.2.5) 2 (GLIBC_2.2.5) + 004: 2 (GLIBC_2.2.5) 2 (GLIBC_2.2.5) 0 (*local*) + +Version needs section '.gnu.version_r' contains 1 entries: + Addr: 0x00000000004003c8 Offset: 0x0003c8 Link: 6 (.dynstr) + 000000: Version: 1 File: libc.so.6 Cnt: 1 + 0x0010: Name: GLIBC_2.2.5 Flags: none Version: 2 + +Displaying notes found at file offset 0x00000254 with length 0x00000020: + Owner Data size Description + GNU 0x00000010 NT_GNU_ABI_TAG (ABI version tag) + OS: Linux, ABI: 2.6.32 + +Displaying notes found at file offset 0x00000274 with length 0x00000024: + Owner Data size Description + GNU 0x00000014 NT_GNU_BUILD_ID (unique build ID bitstring) + Build ID: c7a8237c624481a50e6b5d824e109b71838dd18b +bash-4.2$ bash-4.2$ bash-4.2$ nm ./enigma +0000000000601060 B __bss_start +0000000000601060 b completed.6355 +0000000000601048 D __data_start +0000000000601048 W data_start +0000000000400550 t deregister_tm_clones +00000000004005c0 t __do_global_dtors_aux +0000000000600e18 t __do_global_dtors_aux_fini_array_entry +0000000000400748 R __dso_handle +0000000000600e28 d _DYNAMIC +0000000000601060 D _edata +0000000000601068 B _end +0000000000400734 T _fini +00000000004005e0 t frame_dummy +0000000000600e10 t __frame_dummy_init_array_entry +00000000004008a8 r __FRAME_END__ +0000000000601000 d _GLOBAL_OFFSET_TABLE_ + w __gmon_start__ +0000000000400784 r __GNU_EH_FRAME_HDR +0000000000400490 T _init +0000000000600e18 t __init_array_end +0000000000600e10 t __init_array_start +0000000000400740 R _IO_stdin_used +0000000000600e20 d __JCR_END__ +0000000000600e20 d __JCR_LIST__ +0000000000400730 T __libc_csu_fini +00000000004006c0 T __libc_csu_init + U __libc_start_main@@GLIBC_2.2.5 +000000000040060d T main +0000000000601058 D PASSWORD + U printf@@GLIBC_2.2.5 + U putchar@@GLIBC_2.2.5 + U puts@@GLIBC_2.2.5 +0000000000400580 t register_tm_clones +0000000000400520 T _start + U strcmp@@GLIBC_2.2.5 +0000000000601060 D __TMC_END__ +0000000000601050 D USER +bash-4.2$ gdb ./enigma +[?1034hGNU gdb (GDB) Red Hat Enterprise Linux 7.6.1-120.el7 +Copyright (C) 2013 Free Software Foundation, Inc. +License GPLv3+: GNU GPL version 3 or later +This is free software: you are free to change and redistribute it. +There is NO WARRANTY, to the extent permitted by law. Type "show copying" +and "show warranty" for details. +This GDB was configured as "x86_64-redhat-linux-gnu". +For bug reporting instructions, please see: +... +Reading symbols from /home/student/anw0044/CPE455/lab03/enigma...done. +(gdb) break main +Breakpoint 1 at 0x40061c: file enigma.c, line 12. +(gdb) \set args hello world +(gdb) run +Starting program: /home/student/anw0044/CPE455/lab03/./enigma hello world + +Breakpoint 1, main (argc=3, argv=0x7fffffffe158) at enigma.c:12 +12 enigma.c: No such file or directory. +Missing separate debuginfos, use: debuginfo-install glibc-2.17-325.el7_9.x86_64 +(gdb) print argc +$1 = 3 +(gdb) print argcv[0] +$2 = 0x7fffffffe524 "/home/student/anw0044/CPE455/lab03/./enigma" +(gdb) print argv[0]]1] +$3 = 0x7fffffffe550 "hello" +(gdb) print argv[1]]2] +$4 = 0x7fffffffe556 "world" +(gdb) print argv[2]]3] +$5 = 0x0 +(gdb) print USER +$6 = 0x400750 "CPEX55" +(gdb) print USERPASSWORD +$7 = 0x400757 "qwerty" +(gdb) info files +Symbols from "/home/student/anw0044/CPE455/lab03/enigma". +Unix child process: + Using the running image of child process 19311. + While running this, GDB does not access memory from... +Local exec file: + `/home/student/anw0044/CPE455/lab03/enigma', file type elf64-x86-64. + Entry point: 0x400520 + 0x0000000000400238 - 0x0000000000400254 is .interp + 0x0000000000400254 - 0x0000000000400274 is .note.ABI-tag + 0x0000000000400274 - 0x0000000000400298 is .note.gnu.build-id + 0x0000000000400298 - 0x00000000004002b4 is .gnu.hash + 0x00000000004002b8 - 0x0000000000400360 is .dynsym + 0x0000000000400360 - 0x00000000004003b3 is .dynstr + 0x00000000004003b4 - 0x00000000004003c2 is .gnu.version + 0x00000000004003c8 - 0x00000000004003e8 is .gnu.version_r + 0x00000000004003e8 - 0x0000000000400400 is .rela.dyn + 0x0000000000400400 - 0x0000000000400490 is .rela.plt + 0x0000000000400490 - 0x00000000004004aa is .init + 0x00000000004004b0 - 0x0000000000400520 is .plt + 0x0000000000400520 - 0x0000000000400732 is .text + 0x0000000000400734 - 0x000000000040073d is .fini + 0x0000000000400740 - 0x0000000000400782 is .rodata + 0x0000000000400784 - 0x00000000004007b8 is .eh_frame_hdr + 0x00000000004007b8 - 0x00000000004008ac is .eh_frame + 0x0000000000600e10 - 0x0000000000600e18 is .init_array + 0x0000000000600e18 - 0x0000000000600e20 is .fini_array + 0x0000000000600e20 - 0x0000000000600e28 is .jcr + 0x0000000000600e28 - 0x0000000000600ff8 is .dynamic + 0x0000000000600ff8 - 0x0000000000601000 is .got + 0x0000000000601000 - 0x0000000000601048 is .got.plt + 0x0000000000601048 - 0x0000000000601060 is .data + 0x0000000000601060 - 0x0000000000601068 is .bss + 0x00007ffff7ddb1c8 - 0x00007ffff7ddb1ec is .note.gnu.build-id in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb1f0 - 0x00007ffff7ddb2ac is .hash in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb2b0 - 0x00007ffff7ddb390 is .gnu.hash in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb390 - 0x00007ffff7ddb630 is .dynsym in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb630 - 0x00007ffff7ddb7cf is .dynstr in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb7d0 - 0x00007ffff7ddb808 is .gnu.version in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb808 - 0x00007ffff7ddb8ac is .gnu.version_d in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb8b0 - 0x00007ffff7ddb9e8 is .rela.dyn in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddb9e8 - 0x00007ffff7ddba78 is .rela.plt in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddba80 - 0x00007ffff7ddbaf0 is .plt in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ddbaf0 - 0x00007ffff7df7060 is .text in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7df7060 - 0x00007ffff7df9da0 is .rodata in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7df9da0 - 0x00007ffff7df9da1 is .stapsdt.base in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7df9da4 - 0x00007ffff7dfa418 is .eh_frame_hdr in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7dfa418 - 0x00007ffff7dfc960 is .eh_frame in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffcb40 - 0x00007ffff7ffcdfc is .data.rel.ro in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffce00 - 0x00007ffff7ffcf90 is .dynamic in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffcf90 - 0x00007ffff7ffcff0 is .got in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffd000 - 0x00007ffff7ffdf78 is .data in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7ffdf80 - 0x00007ffff7ffe150 is .bss in /lib64/ld-linux-x86-64.so.2 + 0x00007ffff7a0d270 - 0x00007ffff7a0d294 is .note.gnu.build-id in /lib64/libc.so.6 + 0x00007ffff7a0d294 - 0x00007ffff7a0d2b4 is .note.ABI-tag in /lib64/libc.so.6 + 0x00007ffff7a0d2b8 - 0x00007ffff7a10d84 is .gnu.hash in /lib64/libc.so.6 + 0x00007ffff7a10d88 - 0x00007ffff7a1dfd0 is .dynsym in /lib64/libc.so.6 + 0x00007ffff7a1dfd0 - 0x00007ffff7a23acd is .dynstr in /lib64/libc.so.6 + 0x00007ffff7a23ace - 0x00007ffff7a24c54 is .gnu.version in /lib64/libc.so.6 + 0x00007ffff7a24c58 - 0x00007ffff7a24f60 is .gnu.version_d in /lib64/libc.so.6 + 0x00007ffff7a24f60 - 0x00007ffff7a24f90 is .gnu.version_r in /lib64/libc.so.6 + 0x00007ffff7a24f90 - 0x00007ffff7a2c7d8 is .rela.dyn in /lib64/libc.so.6 + 0x00007ffff7a2c7d8 - 0x00007ffff7a2c910 is .rela.plt in /lib64/libc.so.6 + 0x00007ffff7a2c910 - 0x00007ffff7a2c9f0 is .plt in /lib64/libc.so.6 +---Type to continue, or q to quit--- + 0x00007ffff7a2c9f0 - 0x00007ffff7b7cb6f is .text in /lib64/libc.so.6 + 0x00007ffff7b7cb70 - 0x00007ffff7b7e5d9 is __libc_freeres_fn in /lib64/libc.so.6 + 0x00007ffff7b7e5e0 - 0x00007ffff7b7e8e2 is __libc_thread_freeres_fn in /lib64/libc.so.6 + 0x00007ffff7b7e900 - 0x00007ffff7b9bc50 is .rodata in /lib64/libc.so.6 + 0x00007ffff7b9bc50 - 0x00007ffff7b9bc51 is .stapsdt.base in /lib64/libc.so.6 + 0x00007ffff7b9bc60 - 0x00007ffff7b9bc7c is .interp in /lib64/libc.so.6 + 0x00007ffff7b9bc7c - 0x00007ffff7ba2778 is .eh_frame_hdr in /lib64/libc.so.6 + 0x00007ffff7ba2778 - 0x00007ffff7bccc2c is .eh_frame in /lib64/libc.so.6 + 0x00007ffff7bccc2c - 0x00007ffff7bcd015 is .gcc_except_table in /lib64/libc.so.6 + 0x00007ffff7bcd018 - 0x00007ffff7bd0310 is .hash in /lib64/libc.so.6 + 0x00007ffff7dd06f0 - 0x00007ffff7dd0700 is .tdata in /lib64/libc.so.6 + 0x00007ffff7dd0700 - 0x00007ffff7dd0790 is .tbss in /lib64/libc.so.6 + 0x00007ffff7dd0700 - 0x00007ffff7dd0710 is .init_array in /lib64/libc.so.6 + 0x00007ffff7dd0710 - 0x00007ffff7dd0810 is __libc_subfreeres in /lib64/libc.so.6 + 0x00007ffff7dd0810 - 0x00007ffff7dd0818 is __libc_atexit in /lib64/libc.so.6 + 0x00007ffff7dd0818 - 0x00007ffff7dd0838 is __libc_thread_subfreeres in /lib64/libc.so.6 + 0x00007ffff7dd0840 - 0x00007ffff7dd15a8 is __libc_IO_vtables in /lib64/libc.so.6 + 0x00007ffff7dd15c0 - 0x00007ffff7dd3b60 is .data.rel.ro in /lib64/libc.so.6 + 0x00007ffff7dd3b60 - 0x00007ffff7dd3d50 is .dynamic in /lib64/libc.so.6 + 0x00007ffff7dd3d50 - 0x00007ffff7dd3ff0 is .got in /lib64/libc.so.6 + 0x00007ffff7dd4000 - 0x00007ffff7dd4080 is .got.plt in /lib64/libc.so.6 + 0x00007ffff7dd4080 - 0x00007ffff7dd58a0 is .data in /lib64/libc.so.6 + 0x00007ffff7dd58a0 - 0x00007ffff7dda200 is .bss in /lib64/libc.so.6 +(gdb) +(gdb) +(gdb) info args +argc = 3 +argv = 0x7fffffffe158 +(gdb) info locals +k = 0 +(gdb) imfnfo breakpoints  +Num Type Disp Enb Address What +1 breakpoint keep y 0x000000000040061c in main at enigma.c:12 + breakpoint already hit 1 time +(gdb) c +Continuing. +Authentication error +[Inferior 1 (process 19311) exited normally] +(gdb) quit +bash-4.2$ exit +exit + +Script done on Thu 03 Mar 2022 10:37:58 AM CST diff --git a/CPE455/lab03/enigma.zip b/CPE455/lab03/enigma.zip new file mode 100644 index 0000000..316b8b8 Binary files /dev/null and b/CPE455/lab03/enigma.zip differ diff --git a/CPE455/lab04/CPEX55-Lab04-SQL.pdf b/CPE455/lab04/CPEX55-Lab04-SQL.pdf new file mode 100644 index 0000000..224171a Binary files /dev/null and b/CPE455/lab04/CPEX55-Lab04-SQL.pdf differ diff --git a/CPE455/lab05/CPEX55-Lab05-Fuzzing.pdf b/CPE455/lab05/CPEX55-Lab05-Fuzzing.pdf new file mode 100644 index 0000000..8aedd97 Binary files /dev/null and b/CPE455/lab05/CPEX55-Lab05-Fuzzing.pdf differ diff --git a/CPE455/lab05/Makefile b/CPE455/lab05/Makefile new file mode 100644 index 0000000..13d5d9a --- /dev/null +++ b/CPE455/lab05/Makefile @@ -0,0 +1,8 @@ +CC := afl-gcc +CFLAGS := -Wno-unused-result + +all: crashy.c + $(CC) $(CFLAGS) -o crashy crashy.c + +clean: + rm crashy diff --git a/CPE455/lab05/crashy b/CPE455/lab05/crashy new file mode 100755 index 0000000..5af2c22 Binary files /dev/null and b/CPE455/lab05/crashy differ diff --git a/CPE455/lab05/crashy.c b/CPE455/lab05/crashy.c new file mode 100644 index 0000000..83b7fab --- /dev/null +++ b/CPE455/lab05/crashy.c @@ -0,0 +1,83 @@ +#include +#include +#include + +int main(int argc, char *argv[]) { + + FILE *fp; + unsigned int sz, len, ptr; + char *buffer; + char type; + char tmp_char; + int tmp_int; + char *tmp_string; + + if(argc != 2) { + fprintf(stderr, "Usage: %s \n", argv[0]); + return 1; + } + + fp = fopen(argv[1], "r"); + if(!fp) { + fprintf(stderr, "Failed to open '%s'\n", argv[1]); + return 1; + } + + fseek(fp, 0, SEEK_END); + sz = ftell(fp); + fseek(fp, 0, SEEK_SET); + + buffer = malloc(sz); + if(!buffer) { + fprintf(stderr, "Failed to allocate %d bytes of memory\n", sz); + return 1; + } + + fread(buffer, sizeof(char), sz, fp); + + ptr = 0; + while(ptr < sz) { + + type = buffer[ptr++]; + switch(type) { + + case '\x01': // integer + tmp_int = *(int *)(buffer + ptr); + ptr += sizeof(int); + printf("i: 0x%08x\n", tmp_int); + break; + + case '\x02': // char + tmp_char = buffer[ptr++]; + printf("c: 0x%02x\n", (unsigned char)tmp_char); + break; + + case '\x03': // string + len = *(int *)(buffer + ptr); + ptr += sizeof(int); + tmp_string = malloc(len); + if(!tmp_string) { + fprintf(stderr, "Failed to allocate %d bytes of memory\n", len); + return 1; + } + strcpy(tmp_string, (buffer + ptr)); + printf("s: %s\n", tmp_string); + ptr += len; + break; + + case '\x04': // comment + printf("#: %s\n", (buffer + ptr)); + while(*(buffer + ptr++) != '\x00'); + break; + + case '\xff': // END + return 0; + + default: + fprintf(stderr, "Unknown data type '%c'\n", type); + + } + + } + +} \ No newline at end of file diff --git a/CPE455/lab05/crashy.zip b/CPE455/lab05/crashy.zip new file mode 100644 index 0000000..53f136d Binary files /dev/null and b/CPE455/lab05/crashy.zip differ diff --git a/CPE455/lab05/inputFiles/example1 b/CPE455/lab05/inputFiles/example1 new file mode 100644 index 0000000..d3125c8 Binary files /dev/null and b/CPE455/lab05/inputFiles/example1 differ diff --git a/CPE455/lab05/inputFiles/example2 b/CPE455/lab05/inputFiles/example2 new file mode 100644 index 0000000..3ad05b2 Binary files /dev/null and b/CPE455/lab05/inputFiles/example2 differ diff --git a/CPE455/lab05/inputFiles/example3 b/CPE455/lab05/inputFiles/example3 new file mode 100644 index 0000000..151cde6 Binary files /dev/null and b/CPE455/lab05/inputFiles/example3 differ diff --git a/CPE455/lab05/inputFiles/example4 b/CPE455/lab05/inputFiles/example4 new file mode 100644 index 0000000..acd56ef --- /dev/null +++ b/CPE455/lab05/inputFiles/example4 @@ -0,0 +1 @@ +ABCÿ \ No newline at end of file diff --git a/CPE455/lab05/inputFiles/example5 b/CPE455/lab05/inputFiles/example5 new file mode 100644 index 0000000..8f022ba Binary files /dev/null and b/CPE455/lab05/inputFiles/example5 differ diff --git a/CPE455/lab06/.vscode/c_cpp_properties.json b/CPE455/lab06/.vscode/c_cpp_properties.json new file mode 100644 index 0000000..dab2f43 --- /dev/null +++ b/CPE455/lab06/.vscode/c_cpp_properties.json @@ -0,0 +1,16 @@ +{ + "configurations": [ + { + "name": "linux-gcc-x64", + "includePath": [ + "${workspaceFolder}/**" + ], + "compilerPath": "/usr/bin/gcc", + "cStandard": "${default}", + "cppStandard": "${default}", + "intelliSenseMode": "linux-gcc-x64", + "compilerArgs": [] + } + ], + "version": 4 +} \ No newline at end of file diff --git a/CPE455/lab06/.vscode/launch.json b/CPE455/lab06/.vscode/launch.json new file mode 100644 index 0000000..083420c --- /dev/null +++ b/CPE455/lab06/.vscode/launch.json @@ -0,0 +1,28 @@ +{ + "version": "0.2.0", + "configurations": [ + { + "name": "C/C++ Runner: Debug Session", + "type": "cppdbg", + "request": "launch", + "args": [ + "" + ], + "stopAtEntry": false, + "cwd": "/home/student/anw0044/CPE455/lab06", + "environment": [], + "program": "/home/student/anw0044/CPE455/lab06/build/Debug/outDebug", + "internalConsoleOptions": "openOnSessionStart", + "MIMode": "gdb", + "miDebuggerPath": "/usr/bin/gdb", + "externalConsole": false, + "setupCommands": [ + { + "description": "Enable pretty-printing for gdb", + "text": "-enable-pretty-printing", + "ignoreFailures": true + } + ] + } + ] +} \ No newline at end of file diff --git a/CPE455/lab06/.vscode/settings.json b/CPE455/lab06/.vscode/settings.json new file mode 100644 index 0000000..862cfc6 --- /dev/null +++ b/CPE455/lab06/.vscode/settings.json @@ -0,0 +1,30 @@ +{ + "C_Cpp_Runner.cCompilerPath": "/usr/bin/gcc", + "C_Cpp_Runner.cppCompilerPath": "/usr/bin/g++", + "C_Cpp_Runner.debuggerPath": "/usr/bin/gdb", + "C_Cpp_Runner.cStandard": "", + "C_Cpp_Runner.cppStandard": "", + "C_Cpp_Runner.msvcBatchPath": "", + "C_Cpp_Runner.warnings": [ + "-Wall", + "-Wextra", + "-Wpedantic" + ], + "C_Cpp_Runner.enableWarnings": true, + "C_Cpp_Runner.warningsAsError": false, + "C_Cpp_Runner.compilerArgs": [], + "C_Cpp_Runner.linkerArgs": [], + "C_Cpp_Runner.includePaths": [], + "C_Cpp_Runner.includeSearch": [ + "*", + "**/*" + ], + "C_Cpp_Runner.excludeSearch": [ + "**/build", + "**/build/**", + "**/.*", + "**/.*/**", + "**/.vscode", + "**/.vscode/**" + ] +} \ No newline at end of file diff --git a/CPE455/lab06/CPEX55-Lab06-StaticAnalysis.pdf b/CPE455/lab06/CPEX55-Lab06-StaticAnalysis.pdf new file mode 100644 index 0000000..8e864f1 Binary files /dev/null and b/CPE455/lab06/CPEX55-Lab06-StaticAnalysis.pdf differ diff --git a/CPE455/lab06/JSF-AV-rules.pdf b/CPE455/lab06/JSF-AV-rules.pdf new file mode 100644 index 0000000..b93008f Binary files /dev/null and b/CPE455/lab06/JSF-AV-rules.pdf differ diff --git a/CPE455/lab06/a.out b/CPE455/lab06/a.out new file mode 100755 index 0000000..6e4de42 Binary files /dev/null and b/CPE455/lab06/a.out differ diff --git a/CPE455/lab06/clang++.txt b/CPE455/lab06/clang++.txt new file mode 100644 index 0000000..e92431e --- /dev/null +++ b/CPE455/lab06/clang++.txt @@ -0,0 +1,10 @@ +ec3.cpp:11:5: warning: array index 10 is past the end of the array (which contains 10 elements) [-Warray-bounds] + a[10] = 0; + ^ ~~ +ec3.cpp:8:5: note: array 'a' declared here + char a[10]; + ^ +ec3.cpp:66:1: warning: control may reach end of non-void function [-Wreturn-type] +} +^ +2 warnings generated. diff --git a/CPE455/lab06/clang++all.txt b/CPE455/lab06/clang++all.txt new file mode 100644 index 0000000..3cb3758 --- /dev/null +++ b/CPE455/lab06/clang++all.txt @@ -0,0 +1,13 @@ +ec3.cpp:43:10: warning: unused variable 'q' [-Wunused-variable] + int* q = new int; + ^ +ec3.cpp:11:5: warning: array index 10 is past the end of the array (which contains 10 elements) [-Warray-bounds] + a[10] = 0; + ^ ~~ +ec3.cpp:8:5: note: array 'a' declared here + char a[10]; + ^ +ec3.cpp:66:1: warning: control may reach end of non-void function [-Wreturn-type] +} +^ +3 warnings generated. diff --git a/CPE455/lab06/cppcheck-all.txt b/CPE455/lab06/cppcheck-all.txt new file mode 100644 index 0000000..28e1d7f --- /dev/null +++ b/CPE455/lab06/cppcheck-all.txt @@ -0,0 +1,48 @@ +ec3.cpp:55:5: style: Assignment of function parameter has no effect outside the function. [uselessAssignmentArg] + a = a + 1; // No side effect outside function + ^ +ec3.cpp:11:6: error: Array 'a[10]' accessed at index 10, which is out of bounds. [arrayIndexOutOfBounds] + a[10] = 0; + ^ +ec3.cpp:16:10: error: Array 'a[10]' accessed at index 10, which is out of bounds. [arrayIndexOutOfBounds] + a[k] = k; + ^ +ec3.cpp:62:0: error: Found a exit path from function with non-void return type that has missing return statement [missingReturn] + return false; +^ +ec3.cpp:33:6: error: Null pointer dereference: x [nullPointer] + *x = 1; + ^ +ec3.cpp:32:14: note: Assignment 'x=0', assigned value is 0 + int* x = 0; + ^ +ec3.cpp:33:6: note: Null pointer dereference + *x = 1; + ^ +ec3.cpp:29:6: error: Uninitialized variable: p [uninitvar] + *p = 5; + ^ +ec3.cpp:47:12: error: Memory is allocated but not initialized: r [uninitdata] + delete r; + ^ +ec3.cpp:23:14: style: Variable 'a[m]' is assigned a value that is never used. [unreadVariable] + a[m] = m; + ^ +ec3.cpp:43:12: style: Variable 'q' is assigned a value that is never used. [unreadVariable] + int* q = new int; + ^ +ec3.cpp:28:10: style: Variable 'p' is not assigned a value. [unassignedVariable] + int* p; + ^ +ec3.cpp:43:10: style: Variable 'q' is allocated memory that is never used. [unusedAllocatedMemory] + int* q = new int; + ^ +ec3.cpp:46:10: style: Variable 'r' is allocated memory that is never used. [unusedAllocatedMemory] + int* r = new int[4]; + ^ +ec3.cpp:55:7: style: Variable 'a' is assigned a value that is never used. [unreadVariable] + a = a + 1; // No side effect outside function + ^ +ec3.cpp:53:0: style: The function 'f' is never used. [unusedFunction] + +^ diff --git a/CPE455/lab06/cppcheck.txt b/CPE455/lab06/cppcheck.txt new file mode 100644 index 0000000..f90702b --- /dev/null +++ b/CPE455/lab06/cppcheck.txt @@ -0,0 +1,24 @@ +ec3.cpp:11:6: error: Array 'a[10]' accessed at index 10, which is out of bounds. [arrayIndexOutOfBounds] + a[10] = 0; + ^ +ec3.cpp:16:10: error: Array 'a[10]' accessed at index 10, which is out of bounds. [arrayIndexOutOfBounds] + a[k] = k; + ^ +ec3.cpp:62:0: error: Found a exit path from function with non-void return type that has missing return statement [missingReturn] + return false; +^ +ec3.cpp:33:6: error: Null pointer dereference: x [nullPointer] + *x = 1; + ^ +ec3.cpp:32:14: note: Assignment 'x=0', assigned value is 0 + int* x = 0; + ^ +ec3.cpp:33:6: note: Null pointer dereference + *x = 1; + ^ +ec3.cpp:29:6: error: Uninitialized variable: p [uninitvar] + *p = 5; + ^ +ec3.cpp:47:12: error: Memory is allocated but not initialized: r [uninitdata] + delete r; + ^ diff --git a/CPE455/lab06/ec3.cpp b/CPE455/lab06/ec3.cpp new file mode 100644 index 0000000..2be2f48 --- /dev/null +++ b/CPE455/lab06/ec3.cpp @@ -0,0 +1,68 @@ + + +void f(int a); +bool g(int& b); + +int main(int argc, char* argv[]) +{ + char a[10]; + + // Array bounds error + a[10] = 0; + + // Array bounds error via loop + for(int k = 0; k <= 10; k++) + { + a[k] = k; + } + + // Array bounds error via loop + int m = 0; + while (true) + { + a[m] = m; + m++; + } + + // Pointer variable p uninitialized + int* p; + *p = 5; + + // Null pointer dereference + int* x = 0; + *x = 1; + + // Trigger path in function g that does not return Boolean + int c = -1; + if ( g(c) ) + c = 2; + else + c = 3; + + // Memory leak + int* q = new int; + + // Memory leak + int* r = new int[4]; + delete r; + + return 0; +} + +// Unused function f +void f(int a) +{ + a = a + 1; // No side effect outside function +} + +// Function g has one path that does not return a Boolean value +bool g(int& b) +{ + if (b > 0) + return false; + + b = 0; + // Exit without returning a Boolean +} + + diff --git a/CPE455/lab06/g++.txt b/CPE455/lab06/g++.txt new file mode 100644 index 0000000..e69de29 diff --git a/CPE455/lab06/g++all.txt b/CPE455/lab06/g++all.txt new file mode 100644 index 0000000..6017aca --- /dev/null +++ b/CPE455/lab06/g++all.txt @@ -0,0 +1,11 @@ +ec3.cpp: In function ‘int main(int, char**)’: +ec3.cpp:8:10: warning: variable ‘a’ set but not used [-Wunused-but-set-variable] + char a[10]; + ^ +ec3.cpp:43:10: warning: unused variable ‘q’ [-Wunused-variable] + int* q = new int; + ^ +ec3.cpp: In function ‘bool g(int&)’: +ec3.cpp:66:1: warning: control reaches end of non-void function [-Wreturn-type] + } + ^ diff --git a/CPE455/lab06/sei-cert-c-coding-standard-2016-v01.pdf b/CPE455/lab06/sei-cert-c-coding-standard-2016-v01.pdf new file mode 100644 index 0000000..739dc93 Binary files /dev/null and b/CPE455/lab06/sei-cert-c-coding-standard-2016-v01.pdf differ diff --git a/CPE455/lab07/Makefile b/CPE455/lab07/Makefile new file mode 100644 index 0000000..13d5d9a --- /dev/null +++ b/CPE455/lab07/Makefile @@ -0,0 +1,8 @@ +CC := afl-gcc +CFLAGS := -Wno-unused-result + +all: crashy.c + $(CC) $(CFLAGS) -o crashy crashy.c + +clean: + rm crashy diff --git a/CPE455/lab07/crashy b/CPE455/lab07/crashy new file mode 100755 index 0000000..a8b37a0 Binary files /dev/null and b/CPE455/lab07/crashy differ diff --git a/CPE455/lab07/crashy.c b/CPE455/lab07/crashy.c new file mode 100644 index 0000000..83b7fab --- /dev/null +++ b/CPE455/lab07/crashy.c @@ -0,0 +1,83 @@ +#include +#include +#include + +int main(int argc, char *argv[]) { + + FILE *fp; + unsigned int sz, len, ptr; + char *buffer; + char type; + char tmp_char; + int tmp_int; + char *tmp_string; + + if(argc != 2) { + fprintf(stderr, "Usage: %s \n", argv[0]); + return 1; + } + + fp = fopen(argv[1], "r"); + if(!fp) { + fprintf(stderr, "Failed to open '%s'\n", argv[1]); + return 1; + } + + fseek(fp, 0, SEEK_END); + sz = ftell(fp); + fseek(fp, 0, SEEK_SET); + + buffer = malloc(sz); + if(!buffer) { + fprintf(stderr, "Failed to allocate %d bytes of memory\n", sz); + return 1; + } + + fread(buffer, sizeof(char), sz, fp); + + ptr = 0; + while(ptr < sz) { + + type = buffer[ptr++]; + switch(type) { + + case '\x01': // integer + tmp_int = *(int *)(buffer + ptr); + ptr += sizeof(int); + printf("i: 0x%08x\n", tmp_int); + break; + + case '\x02': // char + tmp_char = buffer[ptr++]; + printf("c: 0x%02x\n", (unsigned char)tmp_char); + break; + + case '\x03': // string + len = *(int *)(buffer + ptr); + ptr += sizeof(int); + tmp_string = malloc(len); + if(!tmp_string) { + fprintf(stderr, "Failed to allocate %d bytes of memory\n", len); + return 1; + } + strcpy(tmp_string, (buffer + ptr)); + printf("s: %s\n", tmp_string); + ptr += len; + break; + + case '\x04': // comment + printf("#: %s\n", (buffer + ptr)); + while(*(buffer + ptr++) != '\x00'); + break; + + case '\xff': // END + return 0; + + default: + fprintf(stderr, "Unknown data type '%c'\n", type); + + } + + } + +} \ No newline at end of file diff --git a/CPE455/lab07/crashy.c.gcov b/CPE455/lab07/crashy.c.gcov new file mode 100644 index 0000000..8bd8a21 --- /dev/null +++ b/CPE455/lab07/crashy.c.gcov @@ -0,0 +1,88 @@ + -: 0:Source:crashy.c + -: 0:Graph:crashy.gcno + -: 0:Data:crashy.gcda + -: 0:Runs:9 + -: 0:Programs:1 + -: 1:#include + -: 2:#include + -: 3:#include + -: 4: + 9: 5:int main(int argc, char *argv[]) { + -: 6: + -: 7: FILE *fp; + -: 8: unsigned int sz, len, ptr; + -: 9: char *buffer; + -: 10: char type; + -: 11: char tmp_char; + -: 12: int tmp_int; + -: 13: char *tmp_string; + -: 14: + 9: 15: if(argc != 2) { + 1: 16: fprintf(stderr, "Usage: %s \n", argv[0]); + 1: 17: return 1; + -: 18: } + -: 19: + 8: 20: fp = fopen(argv[1], "r"); + 8: 21: if(!fp) { + 1: 22: fprintf(stderr, "Failed to open '%s'\n", argv[1]); + 1: 23: return 1; + -: 24: } + -: 25: + 7: 26: fseek(fp, 0, SEEK_END); + 7: 27: sz = ftell(fp); + 7: 28: fseek(fp, 0, SEEK_SET); + -: 29: + 7: 30: buffer = malloc(sz); + 7: 31: if(!buffer) { + 1: 32: fprintf(stderr, "Failed to allocate %d bytes of memory\n", sz); + 1: 33: return 1; + -: 34: } + -: 35: + 6: 36: fread(buffer, sizeof(char), sz, fp); + -: 37: + 6: 38: ptr = 0; + 31: 39: while(ptr < sz) { + -: 40: + 25: 41: type = buffer[ptr++]; + 25: 42: switch(type) { + -: 43: + -: 44: case '\x01': // integer + 8: 45: tmp_int = *(int *)(buffer + ptr); + 8: 46: ptr += sizeof(int); + 8: 47: printf("i: 0x%08x\n", tmp_int); + 8: 48: break; + -: 49: + -: 50: case '\x02': // char + 5: 51: tmp_char = buffer[ptr++]; + 5: 52: printf("c: 0x%02x\n", (unsigned char)tmp_char); + 5: 53: break; + -: 54: + -: 55: case '\x03': // string + 6: 56: len = *(int *)(buffer + ptr); + 6: 57: ptr += sizeof(int); + 6: 58: tmp_string = malloc(len); + 6: 59: if(!tmp_string) { + 1: 60: fprintf(stderr, "Failed to allocate %d bytes of memory\n", len); + 1: 61: return 1; + -: 62: } + 5: 63: strcpy(tmp_string, (buffer + ptr)); + 5: 64: printf("s: %s\n", tmp_string); + 5: 65: ptr += len; + 5: 66: break; + -: 67: + -: 68: case '\x04': // comment + 1: 69: printf("#: %s\n", (buffer + ptr)); + 1: 70: while(*(buffer + ptr++) != '\x00'); + 1: 71: break; + -: 72: + -: 73: case '\xff': // END + 5: 74: return 0; + -: 75: + -: 76: default: + #####: 77: fprintf(stderr, "Unknown data type '%c'\n", type); + -: 78: + -: 79: } + -: 80: + -: 81: } + -: 82: + #####: 83:} diff --git a/CPE455/lab07/crashy.gcda b/CPE455/lab07/crashy.gcda new file mode 100644 index 0000000..33a4fd8 Binary files /dev/null and b/CPE455/lab07/crashy.gcda differ diff --git a/CPE455/lab07/crashy.gcno b/CPE455/lab07/crashy.gcno new file mode 100644 index 0000000..8fb3fff Binary files /dev/null and b/CPE455/lab07/crashy.gcno differ diff --git a/CPE455/lab07/crashy.zip b/CPE455/lab07/crashy.zip new file mode 100644 index 0000000..53f136d Binary files /dev/null and b/CPE455/lab07/crashy.zip differ diff --git a/CPE455/lab07/example1 b/CPE455/lab07/example1 new file mode 100644 index 0000000..d3125c8 Binary files /dev/null and b/CPE455/lab07/example1 differ diff --git a/CPE455/lab07/example2 b/CPE455/lab07/example2 new file mode 100644 index 0000000..3ad05b2 Binary files /dev/null and b/CPE455/lab07/example2 differ diff --git a/CPE455/lab07/example3 b/CPE455/lab07/example3 new file mode 100644 index 0000000..151cde6 Binary files /dev/null and b/CPE455/lab07/example3 differ diff --git a/CPE455/lab07/example4 b/CPE455/lab07/example4 new file mode 100644 index 0000000..acd56ef --- /dev/null +++ b/CPE455/lab07/example4 @@ -0,0 +1 @@ +ABCÿ \ No newline at end of file diff --git a/CPE455/lab07/example5 b/CPE455/lab07/example5 new file mode 100644 index 0000000..8f022ba Binary files /dev/null and b/CPE455/lab07/example5 differ diff --git a/CPE455/lab07/lab07.txt b/CPE455/lab07/lab07.txt new file mode 100644 index 0000000..3f677cd --- /dev/null +++ b/CPE455/lab07/lab07.txt @@ -0,0 +1,409 @@ +Script started on Tue 29 Mar 2022 09:53:31 AM CDT +[?1034hbash-4.2$ gcc -g --coverage crashy.c -o crashy +bash-4.2$ ./vcrashy +Usage: ./crashy +bash-4.2$ gcov crashy.c +File 'crashy.c' +Lines executed:9.30% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ ./crashy example1 +i: 0x78563412 +c: 0xcc +s: hello +bash-4.2$ ./crashy example1 gcov crashy.c +File 'crashy.c' +Lines executed:74.42% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ gcov crashy.c  ./crashy example12 +i: 0x00000001 +i: 0x00000002 +i: 0x00000003 +i: 0x00000004 +i: 0x00000005 +bash-4.2$ ./crashy example2 gcov crashy.c +File 'crashy.c' +Lines executed:74.42% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ gcov crashy.c  ./crashy example23 +s: this +s: is +s: an +s: example +bash-4.2$ ./crashy example3 gcov crashy.c +File 'crashy.c' +Lines executed:74.42% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ gcov crashy.c  ./crashy example34 +c: 0x41 +c: 0x42 +c: 0x43 +bash-4.2$ ./crashy example4 gcov crashy.c +File 'crashy.c' +Lines executed:74.42% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ gcov crashy.c  ./crashy example45 +i: 0x00001337 +#: ELITE +bash-4.2$ ./crashy example5 gcov crashy.c +File 'crashy.c' +Lines executed:81.40% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ more crashy +crashy crashy.c crashy.c.gcov crashy.gcda crashy.gcno crashy.zip +bash-4.2$ more crashy.c.gcov + -: 0:Source:crashy.c + -: 0:Graph:crashy.gcno + -: 0:Data:crashy.gcda + -: 0:Runs:6 + -: 0:Programs:1 + -: 1:#include + -: 2:#include + -: 3:#include + -: 4: + 6: 5:int main(int argc, char *argv[]) { + -: 6: + -: 7: FILE *fp; + -: 8: unsigned int sz, len, ptr; + -: 9: char *buffer; + -: 10: char type; + -: 11: char tmp_char; + -: 12: int tmp_int; + -: 13: char *tmp_string; + -: 14: + 6: 15: if(argc != 2) { + 1: 16: fprintf(stderr, "Usage: %s \n", argv[0]); + 1: 17: return 1; + -: 18: } + -: 19: + 5: 20: fp = fopen(argv[1], "r"); + 5: 21: if(!fp) { + #####: 22: fprintf(stderr, "Failed to open '%s'\n", argv[1]); + #####: 23: return 1; + -: 24: } + -: 25: + 5: 26: fseek(fp, 0, SEEK_END); + 5: 27: sz = ftell(fp); + 5: 28: fseek(fp, 0, SEEK_SET); + -: 29: + 5: 30: buffer = malloc(sz); + 5: 31: if(!buffer) { + #####: 32: fprintf(stderr, "Failed to allocate %d bytes of memory\n", sz); + #####: 33: return 1; + -: 34: } + -: 35: + 5: 36: fread(buffer, sizeof(char), sz, fp); + -: 37: + 5: 38: ptr = 0; + 27: 39: while(ptr < sz) { + -: 40: + 22: 41: type = buffer[ptr++]; + 22: 42: switch(type) { + -: 43: + -: 44: case '\x01': // integer + 7: 45: tmp_int = *(int *)(buffer + ptr); + 7: 46: ptr += sizeof(int); +--More--(55%) 7: 47: printf("i: 0x%08x\n", tmp_int); +--More--(57%) 7: 48: break; +--More--(58%) -: 49: +--More--(59%) -: 50: case '\x02': // char +--More--(60%) 4: 51: tmp_char = buffer[ptr++]; +--More--(61%) 4: 52: printf("c: 0x%02x\n", (unsigned char)tmp_char); +--More--(64%) 4: 53: break; +--More--(65%) -: 54: +--More--(65%) -: 55: case '\x03': // string +--More--(67%) 5: 56: len = *(int *)(buffer + ptr); +--More--(68%) 5: 57: ptr += sizeof(int); +--More--(70%) 5: 58: tmp_string = malloc(len); +--More--(71%) 5: 59: if(!tmp_string) { +--More--(72%) #####: 60: fprintf(stderr, "Failed to allocate %d bytes of m +--More--(75%) emory\n", len); +--More--(75%) #####: 61: return 1; +--More--(76%) -: 62: } +--More--(77%) 5: 63: strcpy(tmp_string, (buffer + ptr)); +--More--(79%) 5: 64: printf("s: %s\n", tmp_string); +--More--(81%) 5: 65: ptr += len; +--More--(82%) 5: 66: break; +--More--(83%) -: 67: +--More--(83%) -: 68: case '\x04': // comment +--More--(85%) 1: 69: printf("#: %s\n", (buffer + ptr)); +--More--(86%) 1: 70: while(*(buffer + ptr++) != '\x00'); +--More--(88%) 1: 71: break; +--More--(89%) -: 72: +--More--(90%) -: 73: case '\xff': // END +--More--(91%) 5: 74: return 0; +--More--(92%) -: 75: +--More--(93%) -: 76: default: +--More--(94%) #####: 77: fprintf(stderr, "Unknown data type '%c'\n", type); +--More--(96%) bash-4.2$ more crashy.c.gcov + -: 0:Source:crashy.c + -: 0:Graph:crashy.gcno + -: 0:Data:crashy.gcda + -: 0:Runs:6 + -: 0:Programs:1 + -: 1:#include + -: 2:#include + -: 3:#include + -: 4: + 6: 5:int main(int argc, char *argv[]) { + -: 6: + -: 7: FILE *fp; + -: 8: unsigned int sz, len, ptr; + -: 9: char *buffer; + -: 10: char type; + -: 11: char tmp_char; + -: 12: int tmp_int; + -: 13: char *tmp_string; + -: 14: + 6: 15: if(argc != 2) { + 1: 16: fprintf(stderr, "Usage: %s \n", argv[0]); + 1: 17: return 1; + -: 18: } + -: 19: + 5: 20: fp = fopen(argv[1], "r"); + 5: 21: if(!fp) { + #####: 22: fprintf(stderr, "Failed to open '%s'\n", argv[1]); + #####: 23: return 1; + -: 24: } + -: 25: + 5: 26: fseek(fp, 0, SEEK_END); + 5: 27: sz = ftell(fp); + 5: 28: fseek(fp, 0, SEEK_SET); + -: 29: + 5: 30: buffer = malloc(sz); + 5: 31: if(!buffer) { + #####: 32: fprintf(stderr, "Failed to allocate %d bytes of memory\n", sz); + #####: 33: return 1; + -: 34: } + -: 35: + 5: 36: fread(buffer, sizeof(char), sz, fp); + -: 37: + 5: 38: ptr = 0; + 27: 39: while(ptr < sz) { + -: 40: + 22: 41: type = buffer[ptr++]; + 22: 42: switch(type) { + -: 43: + -: 44: case '\x01': // integer + 7: 45: tmp_int = *(int *)(buffer + ptr); + 7: 46: ptr += sizeof(int); +--More--(55%) 7: 47: printf("i: 0x%08x\n", tmp_int); +--More--(57%) 7: 48: break; +--More--(58%) -: 49: +--More--(59%) -: 50: case '\x02': // char +--More--(60%) 4: 51: tmp_char = buffer[ptr++]; +--More--(61%) 4: 52: printf("c: 0x%02x\n", (unsigned char)tmp_char); +--More--(64%) 4: 53: break; +--More--(65%) -: 54: +--More--(65%) -: 55: case '\x03': // string +--More--(67%) 5: 56: len = *(int *)(buffer + ptr); +--More--(68%) 5: 57: ptr += sizeof(int); +--More--(70%) 5: 58: tmp_string = malloc(len); +--More--(71%) 5: 59: if(!tmp_string) { +--More--(72%) #####: 60: fprintf(stderr, "Failed to allocate %d bytes of memory\n", len); +--More--(75%) #####: 61: return 1; +--More--(76%) -: 62: } +--More--(77%) 5: 63: strcpy(tmp_string, (buffer + ptr)); +--More--(79%) 5: 64: printf("s: %s\n", tmp_string); +--More--(81%) 5: 65: ptr += len; +--More--(82%) 5: 66: break; +--More--(83%) -: 67: +--More--(83%) -: 68: case '\x04': // comment +--More--(85%) 1: 69: printf("#: %s\n", (buffer + ptr)); +--More--(86%) 1: 70: while(*(buffer + ptr++) != '\x00'); +--More--(88%) 1: 71: break; +--More--(89%) -: 72: +--More--(90%) -: 73: case '\xff': // END +--More--(91%) 5: 74: return 0; +--More--(92%) -: 75: +--More--(93%) -: 76: default: +--More--(94%) #####: 77: fprintf(stderr, "Unknown data type '%c'\n", type); +--More--(96%) -: 78: +--More--(96%) -: 79: } +--More--(97%) -: 80: +--More--(98%) -: 81: } +--More--(98%) -: 82: +--More--(99%) #####: 83:} +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ +bash-4.2$ ./crashy example6 +Failed to open 'example6' +bash-4.2$ ./crashy example6 more crashy.c.gcov gcov crashy.c +File 'crashy.c' +Lines executed:86.05% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ gdb ./crashy +[?1034hGNU gdb (GDB) Red Hat Enterprise Linux 7.6.1-120.el7 +Copyright (C) 2013 Free Software Foundation, Inc. +License GPLv3+: GNU GPL version 3 or later +This is free software: you are free to change and redistribute it. +There is NO WARRANTY, to the extent permitted by law. Type "show copying" +and "show warranty" for details. +This GDB was configured as "x86_64-redhat-linux-gnu". +For bug reporting instructions, please see: +... +Reading symbols from /home/student/anw0044/CPE455/lab07/crashy...done. +(gdb) (gdb) break main +Breakpoint 1 at 0x400e4c: file crashy.c, line 15. +(gdb) break 31 +Breakpoint 2 at 0x400fa7: file crashy.c, line 31. +(gdb) break 3159 +Breakpoint 3 at 0x401153: file crashy.c, line 59. +(gdb) break 5931main3159 run example1 +Starting program: /home/student/anw0044/CPE455/lab07/./crashy example1 + +Breakpoint 1, main (argc=2, argv=0x7fffffffe438) at crashy.c:15 +15 if(argc != 2) { +Missing separate debuginfos, use: debuginfo-install glibc-2.17-325.el7_9.x86_64 +(gdb) continue +Continuing. + +Breakpoint 2, main (argc=2, argv=0x7fffffffe438) at crashy.c:31 +31 if(!buffer) { +(gdb) print buffer=0 +$1 = 0x0 +(gdb) conytinue +Continuing. +Failed to allocate 19 bytes of memory +[Inferior 1 (process 1861) exited with code 01] +(gdb) continue print buffer=0 continue run example1 +Starting program: /home/student/anw0044/CPE455/lab07/./crashy example1 + +Breakpoint 1, main (argc=2, argv=0x7fffffffe438) at crashy.c:15 +15 if(argc != 2) { +(gdb) print tmp_string=0 +$2 = 0x0 +(gdb) continue +Continuing. + +Breakpoint 2, main (argc=2, argv=0x7fffffffe438) at crashy.c:31 +31 if(!buffer) { +(gdb) continue +Continuing. +i: 0x78563412 +c: 0xcc + +Breakpoint 3, main (argc=2, argv=0x7fffffffe438) at crashy.c:59 +59 if(!tmp_string) { +(gdb) continue print tmp_string=0 +$3 = 0x0 +(gdb) continue +Continuing. +Failed to allocate 6 bytes of memory +[Inferior 1 (process 1910) exited with code 01] +(gdb) quit +bash-4.2$ gdb ./crashycov crashy.c ./crashy example6 gcov crashy.c +File 'crashy.c' +Lines executed:95.35% of 43 +Creating 'crashy.c.gcov' + +bash-4.2$ cat crashy.c.gcov + -: 0:Source:crashy.c + -: 0:Graph:crashy.gcno + -: 0:Data:crashy.gcda + -: 0:Runs:9 + -: 0:Programs:1 + -: 1:#include + -: 2:#include + -: 3:#include + -: 4: + 9: 5:int main(int argc, char *argv[]) { + -: 6: + -: 7: FILE *fp; + -: 8: unsigned int sz, len, ptr; + -: 9: char *buffer; + -: 10: char type; + -: 11: char tmp_char; + -: 12: int tmp_int; + -: 13: char *tmp_string; + -: 14: + 9: 15: if(argc != 2) { + 1: 16: fprintf(stderr, "Usage: %s \n", argv[0]); + 1: 17: return 1; + -: 18: } + -: 19: + 8: 20: fp = fopen(argv[1], "r"); + 8: 21: if(!fp) { + 1: 22: fprintf(stderr, "Failed to open '%s'\n", argv[1]); + 1: 23: return 1; + -: 24: } + -: 25: + 7: 26: fseek(fp, 0, SEEK_END); + 7: 27: sz = ftell(fp); + 7: 28: fseek(fp, 0, SEEK_SET); + -: 29: + 7: 30: buffer = malloc(sz); + 7: 31: if(!buffer) { + 1: 32: fprintf(stderr, "Failed to allocate %d bytes of memory\n", sz); + 1: 33: return 1; + -: 34: } + -: 35: + 6: 36: fread(buffer, sizeof(char), sz, fp); + -: 37: + 6: 38: ptr = 0; + 31: 39: while(ptr < sz) { + -: 40: + 25: 41: type = buffer[ptr++]; + 25: 42: switch(type) { + -: 43: + -: 44: case '\x01': // integer + 8: 45: tmp_int = *(int *)(buffer + ptr); + 8: 46: ptr += sizeof(int); + 8: 47: printf("i: 0x%08x\n", tmp_int); + 8: 48: break; + -: 49: + -: 50: case '\x02': // char + 5: 51: tmp_char = buffer[ptr++]; + 5: 52: printf("c: 0x%02x\n", (unsigned char)tmp_char); + 5: 53: break; + -: 54: + -: 55: case '\x03': // string + 6: 56: len = *(int *)(buffer + ptr); + 6: 57: ptr += sizeof(int); + 6: 58: tmp_string = malloc(len); + 6: 59: if(!tmp_string) { + 1: 60: fprintf(stderr, "Failed to allocate %d bytes of memory\n", len); + 1: 61: return 1; + -: 62: } + 5: 63: strcpy(tmp_string, (buffer + ptr)); + 5: 64: printf("s: %s\n", tmp_string); + 5: 65: ptr += len; + 5: 66: break; + -: 67: + -: 68: case '\x04': // comment + 1: 69: printf("#: %s\n", (buffer + ptr)); + 1: 70: while(*(buffer + ptr++) != '\x00'); + 1: 71: break; + -: 72: + -: 73: case '\xff': // END + 5: 74: return 0; + -: 75: + -: 76: default: + #####: 77: fprintf(stderr, "Unknown data type '%c'\n", type); + -: 78: + -: 79: } + -: 80: + -: 81: } + -: 82: + #####: 83:} +bash-4.2$ exit +exit + +Script done on Tue 29 Mar 2022 10:04:36 AM CDT diff --git a/CPE455/lab08/Screenshot from 2022-03-29 10-31-29.png b/CPE455/lab08/Screenshot from 2022-03-29 10-31-29.png new file mode 100644 index 0000000..d560bc9 Binary files /dev/null and b/CPE455/lab08/Screenshot from 2022-03-29 10-31-29.png differ diff --git a/CPE455/lab08/Screenshot from 2022-03-29 10-31-40.png b/CPE455/lab08/Screenshot from 2022-03-29 10-31-40.png new file mode 100644 index 0000000..459780e Binary files /dev/null and b/CPE455/lab08/Screenshot from 2022-03-29 10-31-40.png differ diff --git a/CPE455/lab08/Screenshot from 2022-03-29 10-32-02.png b/CPE455/lab08/Screenshot from 2022-03-29 10-32-02.png new file mode 100644 index 0000000..9da3b5e Binary files /dev/null and b/CPE455/lab08/Screenshot from 2022-03-29 10-32-02.png differ diff --git a/CPE455/lab08/Screenshot from 2022-03-29 10-33-02.png b/CPE455/lab08/Screenshot from 2022-03-29 10-33-02.png new file mode 100644 index 0000000..68aba69 Binary files /dev/null and b/CPE455/lab08/Screenshot from 2022-03-29 10-33-02.png differ diff --git a/CPE455/lab08/Screenshot from 2022-03-29 10-36-03.png b/CPE455/lab08/Screenshot from 2022-03-29 10-36-03.png new file mode 100644 index 0000000..8a5c925 Binary files /dev/null and b/CPE455/lab08/Screenshot from 2022-03-29 10-36-03.png differ diff --git a/CPE455/lab08/Screenshot from 2022-03-29 10-37-00.png b/CPE455/lab08/Screenshot from 2022-03-29 10-37-00.png new file mode 100644 index 0000000..138dab0 Binary files /dev/null and b/CPE455/lab08/Screenshot from 2022-03-29 10-37-00.png differ diff --git a/CPE455/lab08/calligraph.pdf b/CPE455/lab08/calligraph.pdf new file mode 100644 index 0000000..45c0237 Binary files /dev/null and b/CPE455/lab08/calligraph.pdf differ diff --git a/CPE455/lab08/flowgraph.pdf b/CPE455/lab08/flowgraph.pdf new file mode 100644 index 0000000..9c780a4 Binary files /dev/null and b/CPE455/lab08/flowgraph.pdf differ diff --git a/CPE455/lab08/kiviat.pdf b/CPE455/lab08/kiviat.pdf new file mode 100644 index 0000000..8703a43 Binary files /dev/null and b/CPE455/lab08/kiviat.pdf differ diff --git a/CPE455/lab08/mode-s.c b/CPE455/lab08/mode-s.c new file mode 100644 index 0000000..3b318ea --- /dev/null +++ b/CPE455/lab08/mode-s.c @@ -0,0 +1,740 @@ +#include "mode-s.h" + +#define MODE_S_PREAMBLE_US 8 // microseconds +#define MODE_S_LONG_MSG_BITS 112 +#define MODE_S_SHORT_MSG_BITS 56 +#define MODE_S_FULL_LEN (MODE_S_PREAMBLE_US+MODE_S_LONG_MSG_BITS) + +#define MODE_S_ICAO_CACHE_TTL 60 // Time to live of cached addresses. + +static uint16_t maglut[129*129*2]; +static int maglut_initialized = 0; + +// =============================== Initialization =========================== + +void mode_s_init(mode_s_t *self) { + int i, q; + + self->fix_errors = 1; + self->check_crc = 1; + self->aggressive = 0; + + // Allocate the ICAO address cache. We use two uint32_t for every entry + // because it's a addr / timestamp pair for every entry + memset(&self->icao_cache, 0, sizeof(self->icao_cache)); + + // Populate the I/Q -> Magnitude lookup table. It is used because sqrt or + // round may be expensive and may vary a lot depending on the libc used. + // + // We scale to 0-255 range multiplying by 1.4 in order to ensure that every + // different I/Q pair will result in a different magnitude value, not losing + // any resolution. + if (!maglut_initialized) { + for (i = 0; i <= 128; i++) { + for (q = 0; q <= 128; q++) { + maglut[i*129+q] = round(sqrt(i*i+q*q)*360); + } + } + maglut_initialized = 1; + } +} + +// ===================== Mode S detection and decoding ===================== + +// Parity table for MODE S Messages. +// +// The table contains 112 elements, every element corresponds to a bit set in +// the message, starting from the first bit of actual data after the preamble. +// +// For messages of 112 bit, the whole table is used. For messages of 56 bits +// only the last 56 elements are used. +// +// The algorithm is as simple as xoring all the elements in this table for +// which the corresponding bit on the message is set to 1. +// +// The latest 24 elements in this table are set to 0 as the checksum at the end +// of the message should not affect the computation. +// +// Note: this function can be used with DF11 and DF17, other modes have the CRC +// xored with the sender address as they are reply to interrogations, but a +// casual listener can't split the address from the checksum. +uint32_t mode_s_checksum_table[] = { + 0x3935ea, 0x1c9af5, 0xf1b77e, 0x78dbbf, 0xc397db, 0x9e31e9, 0xb0e2f0, 0x587178, + 0x2c38bc, 0x161c5e, 0x0b0e2f, 0xfa7d13, 0x82c48d, 0xbe9842, 0x5f4c21, 0xd05c14, + 0x682e0a, 0x341705, 0xe5f186, 0x72f8c3, 0xc68665, 0x9cb936, 0x4e5c9b, 0xd8d449, + 0x939020, 0x49c810, 0x24e408, 0x127204, 0x093902, 0x049c81, 0xfdb444, 0x7eda22, + 0x3f6d11, 0xe04c8c, 0x702646, 0x381323, 0xe3f395, 0x8e03ce, 0x4701e7, 0xdc7af7, + 0x91c77f, 0xb719bb, 0xa476d9, 0xadc168, 0x56e0b4, 0x2b705a, 0x15b82d, 0xf52612, + 0x7a9309, 0xc2b380, 0x6159c0, 0x30ace0, 0x185670, 0x0c2b38, 0x06159c, 0x030ace, + 0x018567, 0xff38b7, 0x80665f, 0xbfc92b, 0xa01e91, 0xaff54c, 0x57faa6, 0x2bfd53, + 0xea04ad, 0x8af852, 0x457c29, 0xdd4410, 0x6ea208, 0x375104, 0x1ba882, 0x0dd441, + 0xf91024, 0x7c8812, 0x3e4409, 0xe0d800, 0x706c00, 0x383600, 0x1c1b00, 0x0e0d80, + 0x0706c0, 0x038360, 0x01c1b0, 0x00e0d8, 0x00706c, 0x003836, 0x001c1b, 0xfff409, + 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, + 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, + 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000, 0x000000 +}; + +uint32_t mode_s_checksum(unsigned char *msg, int bits) { + uint32_t crc = 0; + int offset = (bits == 112) ? 0 : (112-56); + int j; + + for(j = 0; j < bits; j++) { + int byte = j/8; + int bit = j%8; + int bitmask = 1 << (7-bit); + + // If bit is set, xor with corresponding table entry. + if (msg[byte] & bitmask) + crc ^= mode_s_checksum_table[j+offset]; + } + return crc; // 24 bit checksum. +} + +// Given the Downlink Format (DF) of the message, return the message length in +// bits. +int mode_s_msg_len_by_type(int type) { + if (type == 16 || type == 17 || + type == 19 || type == 20 || + type == 21) + return MODE_S_LONG_MSG_BITS; + else + return MODE_S_SHORT_MSG_BITS; +} + +// Try to fix single bit errors using the checksum. On success modifies the +// original buffer with the fixed version, and returns the position of the +// error bit. Otherwise if fixing failed -1 is returned. +int fix_single_bit_errors(unsigned char *msg, int bits) { + int j; + unsigned char aux[MODE_S_LONG_MSG_BITS/8]; + + for (j = 0; j < bits; j++) { + int byte = j/8; + int bitmask = 1 << (7-(j%8)); + uint32_t crc1, crc2; + + memcpy(aux, msg, bits/8); + aux[byte] ^= bitmask; // Flip j-th bit. + + crc1 = ((uint32_t)aux[(bits/8)-3] << 16) | + ((uint32_t)aux[(bits/8)-2] << 8) | + (uint32_t)aux[(bits/8)-1]; + crc2 = mode_s_checksum(aux, bits); + + if (crc1 == crc2) { + // The error is fixed. Overwrite the original buffer with the + // corrected sequence, and returns the error bit position. + memcpy(msg, aux, bits/8); + return j; + } + } + return -1; +} + +// Similar to fix_single_bit_errors() but try every possible two bit +// combination. This is very slow and should be tried only against DF17 +// messages that don't pass the checksum, and only in Aggressive Mode. +int fix_two_bits_errors(unsigned char *msg, int bits) { + int j, i; + unsigned char aux[MODE_S_LONG_MSG_BITS/8]; + + for (j = 0; j < bits; j++) { + int byte1 = j/8; + int bitmask1 = 1 << (7-(j%8)); + + // Don't check the same pairs multiple times, so i starts from j+1 + for (i = j+1; i < bits; i++) { + int byte2 = i/8; + int bitmask2 = 1 << (7-(i%8)); + uint32_t crc1, crc2; + + memcpy(aux, msg, bits/8); + + aux[byte1] ^= bitmask1; // Flip j-th bit. + aux[byte2] ^= bitmask2; // Flip i-th bit. + + crc1 = ((uint32_t)aux[(bits/8)-3] << 16) | + ((uint32_t)aux[(bits/8)-2] << 8) | + (uint32_t)aux[(bits/8)-1]; + crc2 = mode_s_checksum(aux, bits); + + if (crc1 == crc2) { + // The error is fixed. Overwrite the original buffer with the + // corrected sequence, and returns the error bit position. + memcpy(msg, aux, bits/8); + // We return the two bits as a 16 bit integer by shifting 'i' + // on the left. This is possible since 'i' will always be + // non-zero because i starts from j+1. + return j | (i<<8); + } + } + } + return -1; +} + +// Hash the ICAO address to index our cache of MODE_S_ICAO_CACHE_LEN elements, +// that is assumed to be a power of two. +uint32_t icao_cache_has_addr(uint32_t a) { + // The following three rounds wil make sure that every bit affects every + // output bit with ~ 50% of probability. + a = ((a >> 16) ^ a) * 0x45d9f3b; + a = ((a >> 16) ^ a) * 0x45d9f3b; + a = ((a >> 16) ^ a); + return a & (MODE_S_ICAO_CACHE_LEN-1); +} + +// Add the specified entry to the cache of recently seen ICAO addresses. Note +// that we also add a timestamp so that we can make sure that the entry is only +// valid for MODE_S_ICAO_CACHE_TTL seconds. +void add_recently_seen_icao_addr(mode_s_t *self, uint32_t addr) { + uint32_t h = icao_cache_has_addr(addr); + self->icao_cache[h*2] = addr; + self->icao_cache[h*2+1] = (uint32_t) time(NULL); +} + +// Returns 1 if the specified ICAO address was seen in a DF format with proper +// checksum (not xored with address) no more than * MODE_S_ICAO_CACHE_TTL +// seconds ago. Otherwise returns 0. +int icao_addr_was_recently_seen(mode_s_t *self, uint32_t addr) { + uint32_t h = icao_cache_has_addr(addr); + uint32_t a = self->icao_cache[h*2]; + int32_t t = self->icao_cache[h*2+1]; + + return a && a == addr && time(NULL)-t <= MODE_S_ICAO_CACHE_TTL; +} + +// If the message type has the checksum xored with the ICAO address, try to +// brute force it using a list of recently seen ICAO addresses. +// +// Do this in a brute-force fashion by xoring the predicted CRC with the +// address XOR checksum field in the message. This will recover the address: if +// we found it in our cache, we can assume the message is ok. +// +// This function expects mm->msgtype and mm->msgbits to be correctly populated +// by the caller. +// +// On success the correct ICAO address is stored in the mode_s_msg structure in +// the aa3, aa2, and aa1 fiedls. +// +// If the function successfully recovers a message with a correct checksum it +// returns 1. Otherwise 0 is returned. +int brute_force_ap(mode_s_t *self, unsigned char *msg, struct mode_s_msg *mm) { + unsigned char aux[MODE_S_LONG_MSG_BYTES]; + int msgtype = mm->msgtype; + int msgbits = mm->msgbits; + + if (msgtype == 0 || // Short air surveillance + msgtype == 4 || // Surveillance, altitude reply + msgtype == 5 || // Surveillance, identity reply + msgtype == 16 || // Long Air-Air survillance + msgtype == 20 || // Comm-A, altitude request + msgtype == 21 || // Comm-A, identity request + msgtype == 24) // Comm-C ELM + { + uint32_t addr; + uint32_t crc; + int lastbyte = (msgbits/8)-1; + + // Work on a copy. + memcpy(aux, msg, msgbits/8); + + // Compute the CRC of the message and XOR it with the AP field so that + // we recover the address, because: + // + // (ADDR xor CRC) xor CRC = ADDR. + crc = mode_s_checksum(aux, msgbits); + aux[lastbyte] ^= crc & 0xff; + aux[lastbyte-1] ^= (crc >> 8) & 0xff; + aux[lastbyte-2] ^= (crc >> 16) & 0xff; + + // If the obtained address exists in our cache we consider the message + // valid. + addr = aux[lastbyte] | (aux[lastbyte-1] << 8) | (aux[lastbyte-2] << 16); + if (icao_addr_was_recently_seen(self, addr)) { + mm->aa1 = aux[lastbyte-2]; + mm->aa2 = aux[lastbyte-1]; + mm->aa3 = aux[lastbyte]; + return 1; + } + } + return 0; +} + +// Decode the 13 bit AC altitude field (in DF 20 and others). Returns the +// altitude, and set 'unit' to either MODE_S_UNIT_METERS or MDOES_UNIT_FEETS. +int decode_ac13_field(unsigned char *msg, int *unit) { + int m_bit = msg[3] & (1<<6); + int q_bit = msg[3] & (1<<4); + + if (!m_bit) { + *unit = MODE_S_UNIT_FEET; + if (q_bit) { + // N is the 11 bit integer resulting from the removal of bit Q and M + int n = ((msg[2]&31)<<6) | + ((msg[3]&0x80)>>2) | + ((msg[3]&0x20)>>1) | + (msg[3]&15); + // The final altitude is due to the resulting number multiplied by + // 25, minus 1000. + return n*25-1000; + } else { + // TODO: Implement altitude where Q=0 and M=0 + } + } else { + *unit = MODE_S_UNIT_METERS; + // TODO: Implement altitude when meter unit is selected. + } + return 0; +} + +// Decode the 12 bit AC altitude field (in DF 17 and others). Returns the +// altitude or 0 if it can't be decoded. +int decode_ac12_field(unsigned char *msg, int *unit) { + int q_bit = msg[5] & 1; + + if (q_bit) { + // N is the 11 bit integer resulting from the removal of bit Q + *unit = MODE_S_UNIT_FEET; + int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + // The final altitude is due to the resulting number multiplied by 25, + // minus 1000. + return n*25-1000; + } else { + return 0; + } +} + +static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789??????"; + +// Decode a raw Mode S message demodulated as a stream of bytes by +// mode_s_detect(), and split it into fields populating a mode_s_msg structure. +void mode_s_decode(mode_s_t *self, struct mode_s_msg *mm, unsigned char *msg) { + uint32_t crc2; // Computed CRC, used to verify the message CRC. + + // Work on our local copy + memcpy(mm->msg, msg, MODE_S_LONG_MSG_BYTES); + msg = mm->msg; + + // Get the message type ASAP as other operations depend on this + mm->msgtype = msg[0]>>3; // Downlink Format + mm->msgbits = mode_s_msg_len_by_type(mm->msgtype); + + // CRC is always the last three bytes. + mm->crc = ((uint32_t)msg[(mm->msgbits/8)-3] << 16) | + ((uint32_t)msg[(mm->msgbits/8)-2] << 8) | + (uint32_t)msg[(mm->msgbits/8)-1]; + crc2 = mode_s_checksum(msg, mm->msgbits); + + // Check CRC and fix single bit errors using the CRC when possible (DF 11 and 17). + mm->errorbit = -1; // No error + mm->crcok = (mm->crc == crc2); + + if (!mm->crcok && self->fix_errors && (mm->msgtype == 11 || mm->msgtype == 17)) { + if ((mm->errorbit = fix_single_bit_errors(msg, mm->msgbits)) != -1) { + mm->crc = mode_s_checksum(msg, mm->msgbits); + mm->crcok = 1; + } else if (self->aggressive && mm->msgtype == 17 && + (mm->errorbit = fix_two_bits_errors(msg, mm->msgbits)) != -1) { + mm->crc = mode_s_checksum(msg, mm->msgbits); + mm->crcok = 1; + } + } + + // Note that most of the other computation happens *after* we fix the + // single bit errors, otherwise we would need to recompute the fields + // again. + mm->ca = msg[0] & 7; // Responder capabilities. + + // ICAO address + mm->aa1 = msg[1]; + mm->aa2 = msg[2]; + mm->aa3 = msg[3]; + + // DF 17 type (assuming this is a DF17, otherwise not used) + mm->metype = msg[4] >> 3; // Extended squitter message type. + mm->mesub = msg[4] & 7; // Extended squitter message subtype. + + // Fields for DF4,5,20,21 + mm->fs = msg[0] & 7; // Flight status for DF4,5,20,21 + mm->dr = msg[1] >> 3 & 31; // Request extraction of downlink request. + mm->um = ((msg[1] & 7)<<3)| // Request extraction of downlink request. + msg[2]>>5; + + // In the squawk (identity) field bits are interleaved like that (message + // bit 20 to bit 32): + // + // C1-A1-C2-A2-C4-A4-ZERO-B1-D1-B2-D2-B4-D4 + // + // So every group of three bits A, B, C, D represent an integer from 0 to + // 7. + // + // The actual meaning is just 4 octal numbers, but we convert it into a + // base ten number tha happens to represent the four octal numbers. + // + // For more info: http://en.wikipedia.org/wiki/Gillham_code + { + int a, b, c, d; + + a = ((msg[3] & 0x80) >> 5) | + ((msg[2] & 0x02) >> 0) | + ((msg[2] & 0x08) >> 3); + b = ((msg[3] & 0x02) << 1) | + ((msg[3] & 0x08) >> 2) | + ((msg[3] & 0x20) >> 5); + c = ((msg[2] & 0x01) << 2) | + ((msg[2] & 0x04) >> 1) | + ((msg[2] & 0x10) >> 4); + d = ((msg[3] & 0x01) << 2) | + ((msg[3] & 0x04) >> 1) | + ((msg[3] & 0x10) >> 4); + mm->identity = a*1000 + b*100 + c*10 + d; + } + + // DF 11 & 17: try to populate our ICAO addresses whitelist. DFs with an AP + // field (xored addr and crc), try to decode it. + if (mm->msgtype != 11 && mm->msgtype != 17) { + // Check if we can check the checksum for the Downlink Formats where + // the checksum is xored with the aircraft ICAO address. We try to + // brute force it using a list of recently seen aircraft addresses. + if (brute_force_ap(self, msg, mm)) { + // We recovered the message, mark the checksum as valid. + mm->crcok = 1; + } else { + mm->crcok = 0; + } + } else { + // If this is DF 11 or DF 17 and the checksum was ok, we can add this + // address to the list of recently seen addresses. + if (mm->crcok && mm->errorbit == -1) { + uint32_t addr = (mm->aa1 << 16) | (mm->aa2 << 8) | mm->aa3; + add_recently_seen_icao_addr(self, addr); + } + } + + // Decode 13 bit altitude for DF0, DF4, DF16, DF20 + if (mm->msgtype == 0 || mm->msgtype == 4 || + mm->msgtype == 16 || mm->msgtype == 20) { + mm->altitude = decode_ac13_field(msg, &mm->unit); + } + + // Decode extended squitter specific stuff. + if (mm->msgtype == 17) { + // Decode the extended squitter message. + + if (mm->metype >= 1 && mm->metype <= 4) { + // Aircraft Identification and Category + mm->aircraft_type = mm->metype-1; + mm->flight[0] = (ais_charset)[msg[5]>>2]; + mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + mm->flight[3] = ais_charset[msg[7]&63]; + mm->flight[4] = ais_charset[msg[8]>>2]; + mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + mm->flight[7] = ais_charset[msg[10]&63]; + mm->flight[8] = '\0'; + } else if (mm->metype >= 9 && mm->metype <= 18) { + // Airborne position Message + mm->fflag = msg[6] & (1<<2); + mm->tflag = msg[6] & (1<<3); + mm->altitude = decode_ac12_field(msg, &mm->unit); + mm->raw_latitude = ((msg[6] & 3) << 15) | + (msg[7] << 7) | + (msg[8] >> 1); + mm->raw_longitude = ((msg[8]&1) << 16) | + (msg[9] << 8) | + msg[10]; + } else if (mm->metype == 19 && mm->mesub >= 1 && mm->mesub <= 4) { + // Airborne Velocity Message + if (mm->mesub == 1 || mm->mesub == 2) { + mm->ew_dir = (msg[5]&4) >> 2; + mm->ew_velocity = ((msg[5]&3) << 8) | msg[6]; + mm->ns_dir = (msg[7]&0x80) >> 7; + mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + mm->vert_rate_source = (msg[8]&0x10) >> 4; + mm->vert_rate_sign = (msg[8]&0x8) >> 3; + mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + // Compute velocity and angle from the two speed components + mm->velocity = sqrt(mm->ns_velocity*mm->ns_velocity+ + mm->ew_velocity*mm->ew_velocity); + if (mm->velocity) { + int ewv = mm->ew_velocity; + int nsv = mm->ns_velocity; + double heading; + + if (mm->ew_dir) ewv *= -1; + if (mm->ns_dir) nsv *= -1; + heading = atan2(ewv, nsv); + + // Convert to degrees. + mm->heading = heading * 360 / (M_PI*2); + // We don't want negative values but a 0-360 scale. + if (mm->heading < 0) mm->heading += 360; + } else { + mm->heading = 0; + } + } else if (mm->mesub == 3 || mm->mesub == 4) { + mm->heading_is_valid = msg[5] & (1<<2); + mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + (msg[6] >> 3)); + } + } + } + mm->phase_corrected = 0; // Set to 1 by the caller if needed. +} + +// Turn I/Q samples pointed by `data` into the magnitude vector pointed by `mag` +void mode_s_compute_magnitude_vector(unsigned char *data, uint16_t *mag, uint32_t size) { + uint32_t j; + + // Compute the magnitude vector. It's just SQRT(I^2 + Q^2), but we rescale + // to the 0-255 range to exploit the full resolution. + for (j = 0; j < size; j += 2) { + int i = data[j]-127; + int q = data[j+1]-127; + + if (i < 0) i = -i; + if (q < 0) q = -q; + mag[j/2] = maglut[i*129+q]; + } +} + +// Return -1 if the message is out of fase left-side +// Return 1 if the message is out of fase right-size +// Return 0 if the message is not particularly out of phase. +// +// Note: this function will access mag[-1], so the caller should make sure to +// call it only if we are not at the start of the current buffer. +int detect_out_of_phase(uint16_t *mag) { + if (mag[3] > mag[2]/3) return 1; + if (mag[10] > mag[9]/3) return 1; + if (mag[6] > mag[7]/3) return -1; + if (mag[-1] > mag[1]/3) return -1; + return 0; +} + +// This function does not really correct the phase of the message, it just +// applies a transformation to the first sample representing a given bit: +// +// If the previous bit was one, we amplify it a bit. +// If the previous bit was zero, we decrease it a bit. +// +// This simple transformation makes the message a bit more likely to be +// correctly decoded for out of phase messages: +// +// When messages are out of phase there is more uncertainty in sequences of the +// same bit multiple times, since 11111 will be transmitted as continuously +// altering magnitude (high, low, high, low...) +// +// However because the message is out of phase some part of the high is mixed +// in the low part, so that it is hard to distinguish if it is a zero or a one. +// +// However when the message is out of phase passing from 0 to 1 or from 1 to 0 +// happens in a very recognizable way, for instance in the 0 -> 1 transition, +// magnitude goes low, high, high, low, and one of of the two middle samples +// the high will be *very* high as part of the previous or next high signal +// will be mixed there. +// +// Applying our simple transformation we make more likely if the current bit is +// a zero, to detect another zero. Symmetrically if it is a one it will be more +// likely to detect a one because of the transformation. In this way similar +// levels will be interpreted more likely in the correct way. +void apply_phase_correction(uint16_t *mag) { + int j; + + mag += 16; // Skip preamble. + for (j = 0; j < (MODE_S_LONG_MSG_BITS-1)*2; j += 2) { + if (mag[j] > mag[j+1]) { + // One + mag[j+2] = (mag[j+2] * 5) / 4; + } else { + // Zero + mag[j+2] = (mag[j+2] * 4) / 5; + } + } +} + +// Detect a Mode S messages inside the magnitude buffer pointed by 'mag' and of +// size 'maglen' bytes. Every detected Mode S message is convert it into a +// stream of bits and passed to the function to display it. +void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + unsigned char bits[MODE_S_LONG_MSG_BITS]; + unsigned char msg[MODE_S_LONG_MSG_BITS/2]; + uint16_t aux[MODE_S_LONG_MSG_BITS*2]; + uint32_t j; + int use_correction = 0; + + // The Mode S preamble is made of impulses of 0.5 microseconds at the + // following time offsets: + // + // 0 - 0.5 usec: first impulse. + // 1.0 - 1.5 usec: second impulse. + // 3.5 - 4 usec: third impulse. + // 4.5 - 5 usec: last impulse. + // + // Since we are sampling at 2 Mhz every sample in our magnitude vector is + // 0.5 usec, so the preamble will look like this, assuming there is an + // impulse at offset 0 in the array: + // + // 0 ----------------- + // 1 - + // 2 ------------------ + // 3 -- + // 4 - + // 5 -- + // 6 - + // 7 ------------------ + // 8 -- + // 9 ------------------- + for (j = 0; j < maglen - MODE_S_FULL_LEN*2; j++) { + int low, high, delta, i, errors; + int good_message = 0; + + if (use_correction) goto good_preamble; // We already checked it. + + // First check of relations between the first 10 samples representing a + // valid preamble. We don't even investigate further if this simple + // test is not passed. + if (!(mag[j] > mag[j+1] && + mag[j+1] < mag[j+2] && + mag[j+2] > mag[j+3] && + mag[j+3] < mag[j] && + mag[j+4] < mag[j] && + mag[j+5] < mag[j] && + mag[j+6] < mag[j] && + mag[j+7] > mag[j+8] && + mag[j+8] < mag[j+9] && + mag[j+9] > mag[j+6])) + { + continue; + } + + // The samples between the two spikes must be < than the average of the + // high spikes level. We don't test bits too near to the high levels as + // signals can be out of phase so part of the energy can be in the near + // samples. + high = (mag[j]+mag[j+2]+mag[j+7]+mag[j+9])/6; + if (mag[j+4] >= high || + mag[j+5] >= high) + { + continue; + } + + // Similarly samples in the range 11-14 must be low, as it is the space + // between the preamble and real data. Again we don't test bits too + // near to high levels, see above. + if (mag[j+11] >= high || + mag[j+12] >= high || + mag[j+13] >= high || + mag[j+14] >= high) + { + continue; + } + +good_preamble: + // If the previous attempt with this message failed, retry using + // magnitude correction. + if (use_correction) { + memcpy(aux, mag+j+MODE_S_PREAMBLE_US*2, sizeof(aux)); + if (j && detect_out_of_phase(mag+j)) { + apply_phase_correction(mag+j); + } + // TODO ... apply other kind of corrections. + } + + // Decode all the next 112 bits, regardless of the actual message size. + // We'll check the actual message type later. + errors = 0; + for (i = 0; i < MODE_S_LONG_MSG_BITS*2; i += 2) { + low = mag[j+i+MODE_S_PREAMBLE_US*2]; + high = mag[j+i+MODE_S_PREAMBLE_US*2+1]; + delta = low-high; + if (delta < 0) delta = -delta; + + if (i > 0 && delta < 256) { + bits[i/2] = bits[i/2-1]; + } else if (low == high) { + // Checking if two adiacent samples have the same magnitude is + // an effective way to detect if it's just random noise that + // was detected as a valid preamble. + bits[i/2] = 2; // error + if (i < MODE_S_SHORT_MSG_BITS*2) errors++; + } else if (low > high) { + bits[i/2] = 1; + } else { + // (low < high) for exclusion + bits[i/2] = 0; + } + } + + // Restore the original message if we used magnitude correction. + if (use_correction) + memcpy(mag+j+MODE_S_PREAMBLE_US*2, aux, sizeof(aux)); + + // Pack bits into bytes + for (i = 0; i < MODE_S_LONG_MSG_BITS; i += 8) { + msg[i/8] = + bits[i]<<7 | + bits[i+1]<<6 | + bits[i+2]<<5 | + bits[i+3]<<4 | + bits[i+4]<<3 | + bits[i+5]<<2 | + bits[i+6]<<1 | + bits[i+7]; + } + + int msgtype = msg[0]>>3; + int msglen = mode_s_msg_len_by_type(msgtype)/8; + + // Last check, high and low bits are different enough in magnitude to + // mark this as real message and not just noise? + delta = 0; + for (i = 0; i < msglen*8*2; i += 2) { + delta += abs(mag[j+i+MODE_S_PREAMBLE_US*2]- + mag[j+i+MODE_S_PREAMBLE_US*2+1]); + } + delta /= msglen*4; + + // Filter for an average delta of three is small enough to let almost + // every kind of message to pass, but high enough to filter some random + // noise. + if (delta < 10*255) { + use_correction = 0; + continue; + } + + // If we reached this point, and error is zero, we are very likely with + // a Mode S message in our hands, but it may still be broken and CRC + // may not be correct. This is handled by the next layer. + if (errors == 0 || (self->aggressive && errors < 3)) { + struct mode_s_msg mm; + + // Decode the received message + mode_s_decode(self, &mm, msg); + + // Skip this message if we are sure it's fine. + if (mm.crcok) { + j += (MODE_S_PREAMBLE_US+(msglen*8))*2; + good_message = 1; + if (use_correction) + mm.phase_corrected = 1; + } + + // Pass data to the next layer + if (self->check_crc == 0 || mm.crcok) { + cb(self, &mm); + } + } + + // Retry with phase correction if possible. + if (!good_message && !use_correction) { + j--; + use_correction = 1; + } else { + use_correction = 0; + } + } +} diff --git a/CPE455/lab08/mode-s_15.met b/CPE455/lab08/mode-s_15.met new file mode 100644 index 0000000..6890836 --- /dev/null +++ b/CPE455/lab08/mode-s_15.met @@ -0,0 +1,511 @@ + + ******************************************************************** + ****** ****** + ****** LDRA Testbed (R) Quality Review Report ****** + ****** ****** + ****** File : /home/student/anw0044/CPE455/lab08/mode-s.c ****** + ****** ****** + ******************************************************************** + + + Report Production + ----------------- + * C/C++ LDRA Testbed Version: 9.8.2 + * Report Produced On: Tue Mar 29 2022 at 10:19:30 + * Metrics Data File: /apps/ldra2019/ldra_toolsuite/metpen.dat + + Report Configuration + -------------------- + * Report Format: By Metric + * Procedures Reported: All Procedures + * Show Metric Passes: Yes + * Show Metric Fails: Yes + * Reporting Scope: Source file and associated header + + Analysis Phases Completed + ------------------------- + * Static: Yes + * Complexity: Yes + * Dataflow: Yes + + + + + -------------------------------------------------------------------------------- + + + Contents + -------- + + Metrics + * List of File and Procedure Metrics + + Procedure Table + + Results + * Results for each Metric + + + + List of Metrics to be Displayed + ------------------------------- + Reformatted Code Information for File + ------------------------------------- + * Total reformatted Lines, Total comments in ref. Code, Executable ref. Lines, Non-executable ref. Lines + Number of Procedures, Total source Lines, Expansion Factor. + + Procedure Information + --------------------- + * Executable reformatted Lines, Number of Basic Blocks, Average Length of Basic Blocks, Procedure Entry Points + Procedure Exit Points. + + Comments Associated with Procedures (% of total) + ------------------------------------------------ + * Total Comments, Comments in Headers, Comments in Declarations, Comments in Executable Code + Blank Lines. + + Ratio of Comments to Executable lines (%) + ----------------------------------------- + * Executable reformatted Lines, Total Comments/Exe. Lines, Header Comments/Exe. Lines, Declaration Comments/Exe. Lines + Code Comments/Exe. Lines. + + Complexity Metrics + ------------------ + * Knots, Cyclomatic Complexity, Essential Knots, Essential Cyclomatic Complexity + Procedure Structured (SPV). + + Halsteads Metrics + ----------------- + * Total Operators, Total Operands, Unique Operators, Unique Operands + Vocabulary, Length, Volume. + + Loop/Interval Analysis + ---------------------- + * Number of Loops, Depth of Loop Nesting, Number of Order 1 Intervals, Maximum Interval Nesting + Reducible (Intervals). + + LCSAJ and Unreachability + ------------------------ + * Total LCSAJs, Reachable LCSAJs, Unreachable LCSAJs, Maximum LCSAJ Density + Unreachable Lines, Unreachable Branches. + + Dataflow Information + -------------------- + * Globals in Procedure, File Fan in, Fan Out. + + + + + -------------------------------------------------------------------------------- + + + ************** + * * + * mode-s.c * + * * + ************** + + ***************************************************** + * * + * Overall Results - Percentage of metrics passing * + * * + ***************************************************** + + Total Metrics: 48 + Clarity Metrics: 14 (of which 4 are whole file only) + Maintainability Metrics: 11 (of which 7 are whole file only) + Testability Metrics: 15 (of which 7 are whole file only) + + + =============================================================================================== + PROCEDURE ALL METRICS CLARITY MAINTAINABILITY TESTABILITY + =============================================================================================== + + mode_s_init 85 ######### 70 ######## 75 ######## 88 ######### + mode_s_checksum 96 ########## 90 ########## 100 ########### 100 ########### + mode_s_msg_len_by_type 74 ######## 40 ##### 100 ########### 88 ######### + fix_single_bit_errors 74 ######## 70 ######## 50 ###### 75 ######## + fix_two_bits_errors 74 ######## 80 ######### 25 ### 75 ######## + icao_cache_has_addr 81 ######### 50 ###### 100 ########### 100 ########### + add_recently_seen_icao_addr + 78 ######## 40 ##### 100 ########### 100 ########### + icao_addr_was_recently_seen + 81 ######### 50 ###### 100 ########### 100 ########### + brute_force_ap 89 ######### 90 ########## 100 ########### 88 ######### + decode_ac13_field 78 ######## 70 ######## 75 ######## 88 ######### + + decode_ac12_field 85 ######### 70 ######## 100 ########### 88 ######### + mode_s_decode 78 ######## 90 ########## 50 ###### 38 #### + mode_s_compute_magnitude_vector + 85 ######### 60 ####### 100 ########### 100 ########### + detect_out_of_phase 81 ######### 60 ####### 100 ########### 88 ######### + apply_phase_correction 96 ########## 90 ########## 100 ########### 100 ########### + mode_s_detect 63 ####### 90 ########## 0 38 #### + + Total for mode-s.c 89 ######### 100 ########### 82 ######### 80 ######### + + + + -------------------------------------------------------------------------------- + + + ************************************************** + * * + * File and Procedure Results, Metric by Metric * + * * + ************************************************** + + + + Reformatted Code Information for File (mode-s.c) + ------------------------------------------------ + + ============================================================================================================================== + FILE TOTAL REF. TOTAL EXECUTABLE NON-EXECUTABLE NUMBER OF TOTAL EXPANSION + LINES COMMENTS REF. LINES REF. LINES PROCEDURES SRC. LINES FACTOR + ============================================================================================================================== + + Total for mode-s.c 1407 (P) 242 (P) (17%) 918 (P) (65%) 247 (P) (18%) 16 (P) 740 (P) 1.90 (P) + + + + -------------------------------------------------------------------------------- + + Procedure Information (mode-s.c) + -------------------------------- + + ================================================================================= + PROCEDURE EXECUTABLE BASIC AVE. BLOCK PROCEDURE PROCEDURE + LINES BLOCKS LENGTH ENTRIES EXITS + ================================================================================= + + mode_s_init 35 (P) 11 (P) 3.18 (P) 1 (P) 1 (P) + mode_s_checksum 27 (P) 10 (P) 2.70 (P) 1 (P) 1 (P) + mode_s_msg_len_by_type 23 (P) 11 (P) 2.09 (P) 1 (P) 3 (F) + fix_single_bit_errors 38 (P) 8 (P) 4.75 (P) 1 (P) 2 (F) + fix_two_bits_errors 49 (P) 12 (P) 4.08 (P) 1 (P) 2 (F) + icao_cache_has_addr 9 (P) 1 (P) 9.00 (F) 1 (P) 1 (P) + add_recently_seen_icao_addr + 7 (P) 1 (P) 7.00 (F) 1 (P) 1 (P) + icao_addr_was_recently_seen + 14 (P) 4 (P) 3.50 (P) 1 (P) 1 (P) + brute_force_ap 42 (P) 13 (P) 3.23 (P) 1 (P) 2 (F) + decode_ac13_field 25 (P) 8 (P) 3.12 (P) 1 (P) 2 (F) + + decode_ac12_field 16 (P) 6 (P) 2.67 (P) 1 (P) 3 (F) + mode_s_decode 285 (F) 69 (F) 4.13 (P) 1 (P) 1 (P) + mode_s_compute_magnitude_vector + 28 (P) 9 (P) 3.11 (P) 1 (P) 1 (P) + detect_out_of_phase 39 (P) 13 (P) 3.00 (P) 1 (P) 5 (F) + apply_phase_correction 26 (P) 8 (P) 3.25 (P) 1 (P) 1 (P) + mode_s_detect 255 (F) 90 (F) 2.83 (P) 1 (P) 1 (P) + + Total for mode-s.c 918 (P) 274 (P) 3.35 (P) 1 (P) 13 (F) + + + + -------------------------------------------------------------------------------- + + Comments Associated with Procedures (% of total) (mode-s.c) + ----------------------------------------------------------- + + ====================================================================================================== + PROCEDURE TOTAL IN IN IN BLANK + COMMENTS HEADERS DECLARATIONS EXECUTABLE CODE COMMENTS + ====================================================================================================== + + mode_s_init 9 (F) 1 (F) (11%) 0 (P) (0%) 8 (P) (89%) 1 (P) + mode_s_checksum 20 (P) 18 (P) (90%) 0 (P) (0%) 2 (P) (10%) 5 (P) + mode_s_msg_len_by_type 2 (F) 2 (F) (100%) 0 (P) (0%) 0 (F) (0%) 0 (P) + fix_single_bit_errors 6 (F) 3 (F) (50%) 0 (P) (0%) 3 (P) (50%) 0 (P) + fix_two_bits_errors 11 (P) 3 (F) (27%) 0 (P) (0%) 8 (P) (73%) 0 (P) + icao_cache_has_addr 4 (F) 2 (F) (50%) 2 (P) (50%) 0 (F) (0%) 0 (P) + add_recently_seen_icao_addr + 3 (F) 3 (F) (100%) 0 (P) (0%) 0 (F) (0%) 0 (P) + icao_addr_was_recently_seen + 3 (F) 3 (F) (100%) 0 (P) (0%) 0 (F) (0%) 0 (P) + brute_force_ap 23 (P) 15 (P) (65%) 0 (P) (0%) 8 (P) (35%) 5 (P) + decode_ac13_field 7 (F) 2 (F) (29%) 0 (P) (0%) 5 (P) (71%) 0 (P) + + decode_ac12_field 5 (F) 2 (F) (40%) 0 (P) (0%) 3 (P) (60%) 0 (P) + mode_s_decode 51 (P) 2 (F) (4%) 2 (P) (4%) 47 (P) (92%) 4 (P) + mode_s_compute_magnitude_vector + 3 (F) 1 (F) (33%) 2 (P) (67%) 0 (F) (0%) 0 (P) + detect_out_of_phase 6 (F) 6 (P) (100%) 0 (P) (0%) 0 (F) (0%) 1 (P) + apply_phase_correction 29 (P) 26 (P) (90%) 0 (P) (0%) 3 (P) (10%) 6 (P) + mode_s_detect 60 (P) 3 (F) (5%) 22 (P) (37%) 35 (P) (58%) 3 (P) + + Total for mode-s.c 242 (P) 92 (P) (38%) 28 (P) (12%) 122 (P) (50%) 25 (P) + + + + -------------------------------------------------------------------------------- + + Ratio of Comments to Executable lines (%) (mode-s.c) + ---------------------------------------------------- + + =================================================================================== + PROCEDURE EXECUTABLE TOTAL IN IN IN + LINES COMMENTS(%) HEADERS(%) DECL.(%) CODE(%) + =================================================================================== + + mode_s_init 35 (P) 26 (P) 3 (P) 0 (F) 23 (P) + mode_s_checksum 27 (P) 74 (P) 67 (P) 0 (F) 7 (P) + mode_s_msg_len_by_type 23 (P) 9 (F) 9 (P) 0 (F) 0 (F) + fix_single_bit_errors 38 (P) 16 (P) 8 (P) 0 (F) 8 (P) + fix_two_bits_errors 49 (P) 22 (P) 6 (P) 0 (F) 16 (P) + icao_cache_has_addr 9 (P) 44 (P) 22 (P) 22 (P) 0 (F) + add_recently_seen_icao_addr + 7 (P) 43 (P) 43 (P) 0 (F) 0 (F) + icao_addr_was_recently_seen + 14 (P) 21 (P) 21 (P) 0 (F) 0 (F) + brute_force_ap 42 (P) 55 (P) 36 (P) 0 (F) 19 (P) + decode_ac13_field 25 (P) 28 (P) 8 (P) 0 (F) 20 (P) + + decode_ac12_field 16 (P) 31 (P) 13 (P) 0 (F) 19 (P) + mode_s_decode 285 (F) 18 (P) 1 (P) 1 (P) 16 (P) + mode_s_compute_magnitude_vector + 28 (P) 11 (P) 4 (P) 7 (P) 0 (F) + detect_out_of_phase 39 (P) 15 (P) 15 (P) 0 (F) 0 (F) + apply_phase_correction 26 (P) 112 (P) 100 (P) 0 (F) 12 (P) + mode_s_detect 255 (F) 24 (P) 1 (P) 9 (P) 14 (P) + + Total for mode-s.c 918 (P) 26 (P) 10 3 (P) 13 (P) + + + + -------------------------------------------------------------------------------- + + Complexity Metrics (mode-s.c) + ----------------------------- + + ====================================================================================== + PROCEDURE CYCLOMATIC ESSENTIAL ESS. CYCL. STRUCTURED + KNOTS COMPLEXITY KNOTS COMPLEXITY PROC (SPV) + ====================================================================================== + + mode_s_init 8 (F) 4 (P) 0 (P) 1 (P) Yes (P) + mode_s_checksum 5 (P) 4 (P) 0 (P) 1 (P) Yes (P) + mode_s_msg_len_by_type 3 (P) 6 (P) 0 (P) 1 (P) Yes (P) + fix_single_bit_errors 7 (F) 3 (P) 4 (F) 3 (P) No (F) + fix_two_bits_errors 13 (F) 4 (P) 7 (F) 4 (F) No (F) + icao_cache_has_addr 0 (P) 1 (P) 0 (P) 1 (P) Yes (P) + add_recently_seen_icao_addr + 0 (P) 1 (P) 0 (P) 1 (P) Yes (P) + icao_addr_was_recently_seen + 0 (P) 3 (P) 0 (P) 1 (P) Yes (P) + brute_force_ap 2 (P) 9 (P) 2 (P) 3 (P) No (F) + decode_ac13_field 4 (P) 3 (P) 3 (F) 3 (P) No (F) + + decode_ac12_field 3 (P) 2 (P) 0 (P) 1 (P) Yes (P) + mode_s_decode 7 (F) 34 (F) 0 (P) 1 (P) Yes (P) + mode_s_compute_magnitude_vector + 4 (P) 4 (P) 0 (P) 1 (P) Yes (P) + detect_out_of_phase 4 (P) 5 (P) 0 (P) 1 (P) Yes (P) + apply_phase_correction 5 (P) 3 (P) 0 (P) 1 (P) Yes (P) + mode_s_detect 36 (F) 42 (F) 13 (F) 6 (F) No (F) + + Total for mode-s.c 101 (F) 113 (P) 29 (P) 15 (P) No (F) + + + + -------------------------------------------------------------------------------- + + Halsteads Metrics (mode-s.c) + ---------------------------- + + ============================================================================================================ + FILE TOTAL TOTAL UNIQUE UNIQUE + OPERATORS OPERANDS OPERATORS OPERANDS VOCABULARY LENGTH VOLUME + ============================================================================================================ + + Total for mode-s.c 952 (F) 908 (P) 33 (P) 174 (P) 207 (P) 1860 (P) 14309 (P) + + + + -------------------------------------------------------------------------------- + + Loop/Interval Analysis (mode-s.c) + --------------------------------- + + ======================================================================================= + PROCEDURE NUMBER OF NESTING ORDER 1 MAX. INT. REDUCIBLE + LOOPS DEPTH INTERVALS NESTING (INTERVALS) + ======================================================================================= + + mode_s_init 2 (P) 2 (P) 4 (P) 3 (P) Yes (P) + mode_s_checksum 1 (P) 1 (P) 2 (P) 2 (P) Yes (P) + mode_s_msg_len_by_type 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + fix_single_bit_errors 1 (P) 1 (P) 2 (P) 2 (P) Yes (P) + fix_two_bits_errors 2 (P) 2 (P) 4 (P) 3 (P) Yes (P) + icao_cache_has_addr 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + add_recently_seen_icao_addr + 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + icao_addr_was_recently_seen + 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + brute_force_ap 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + decode_ac13_field 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + + decode_ac12_field 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + mode_s_decode 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + mode_s_compute_magnitude_vector + 1 (P) 1 (P) 2 (P) 2 (P) Yes (P) + detect_out_of_phase 0 (P) 0 (P) 1 (P) 1 (P) Yes (P) + apply_phase_correction 1 (P) 1 (P) 2 (P) 2 (P) Yes (P) + mode_s_detect 4 (P) 2 (P) 6 (F) 3 (P) Yes (P) + + Total for mode-s.c 12 (P) 2 (P) 16 (P) 3 (P) Yes (P) + + + + -------------------------------------------------------------------------------- + + LCSAJ and Unreachability (mode-s.c) + ----------------------------------- + + ================================================================================================= + FILE TOTAL REACHABLE UNREACHABLE MAX. LCSAJ UNREACHABLE UNREACHABLE + LCSAJS LCSAJS LCSAJS DENSITY LINES BRANCHES + ================================================================================================= + + Total for mode-s.c 387 (P) 376 (P) 11 (F) 18 (P) 0 (P) 6 (P) + + + + -------------------------------------------------------------------------------- + + Dataflow Information (mode-s.c) + ------------------------------- + + ===================================================== + PROCEDURE GLOBALS IN FILE FAN + PROCEDURE FAN IN OUT + ===================================================== + + mode_s_init 0 (P) 0 (P) 3 (P) + mode_s_checksum 1 (P) 4 (P) 0 (P) + mode_s_msg_len_by_type 0 (P) 2 (P) 0 (P) + fix_single_bit_errors 1 (P) 1 (P) 2 (P) + fix_two_bits_errors 1 (P) 1 (P) 2 (P) + icao_cache_has_addr 0 (P) 2 (P) 0 (P) + add_recently_seen_icao_addr + 0 (P) 1 (P) 2 (P) + icao_addr_was_recently_seen + 0 (P) 1 (P) 2 (P) + brute_force_ap 1 (P) 1 (P) 3 (P) + decode_ac13_field 0 (P) 1 (P) 0 (P) + + decode_ac12_field 0 (P) 1 (P) 0 (P) + mode_s_decode 1 (P) 1 (P) 11 (F) + mode_s_compute_magnitude_vector + 0 (P) 0 (P) 0 (P) + detect_out_of_phase 0 (P) 1 (P) 0 (P) + apply_phase_correction 0 (P) 1 (P) 0 (P) + mode_s_detect 1 (P) 0 (P) 7 (F) + + Total for mode-s.c 6 (P) 18 (P) 32 (P) + + + + -------------------------------------------------------------------------------- + + + ****************** + * * + * Key to Terms * + * * + ****************** + + + Clarity + ------- + Metrics that indicate how easy it is likely to be + to read and understand the purpose of a source code module or procedure: + + * Executable ref. Lines + * Total Comments + * Comments in Headers + * Comments in Declarations + * Comments in Executable Code + * Blank Lines + * Total Comments/Exe. Lines + * Declaration Comments/Exe. Lines + * Code Comments/Exe. Lines + * Average Length of Basic Blocks + * Unique Operands + * Total LCSAJs + * Depth of Loop Nesting + * Expansion Factor + + + Testability + ----------- + Metrics that indicate the amount testing that will be required, + particularly with respect to achieving high Dynamic Coverage : + + * Knots + * Cyclomatic Complexity + * Executable reformatted Lines + * Number of Basic Blocks + * Total Operands + * Number of Loops + * Procedure Exit Points + * Number of Procedures + * Total LCSAJs + * Unreachable LCSAJs + * Maximum LCSAJ Density + * Unreachable Lines + * Unreachable Branches + * File Fan in + * Fan Out + + + Maintainability + --------------- + Metrics that indicate the level of consideration that must be given + to modifying a source code module or procedure: + + * Essential Knots + * Essential Cyclomatic Complexity + * Knots + * Cyclomatic Complexity + * Vocabulary + * Number of Procedures + * Total LCSAJs + * Unreachable LCSAJs + * Maximum LCSAJ Density + * Unreachable Lines + * Unreachable Branches + + + Metric results Pass/Fail indicators. + ------------------------------------ + The display of Pass and Fail indicators is controlled by two environment variables: + + ===================================================================== + RESULT ENVIRONMENT FLAG INDICATOR + ===================================================================== + Pass SHOW_METRIC_PASS (P) + Fail SHOW_METRIC_FAIL (F) + + + + Upper and lower limits for a "Total for " metric can be derived from the + upper and lower limits for a procedure where appropriate. + This is achieved using the 'c' marker in the Metrics Data File. + + The base for this calculation is currently variable between files: + (Number of procedures in each source file) + + + + -------------------------------------------------------------------------------- + =========================================================== + * * + * End of Quality Review Report * + * * + * Copyright (C) 2019 Liverpool Data Research Associates * + * * + =========================================================== + + diff --git a/CPE455/lab08/mode-s_15.ovr b/CPE455/lab08/mode-s_15.ovr new file mode 100644 index 0000000..2c21875 --- /dev/null +++ b/CPE455/lab08/mode-s_15.ovr @@ -0,0 +1,104 @@ + + ******************************************************************** + ****** ****** + ****** LDRA Testbed (R) Test Manager Report ****** + ****** ****** + ****** File : /home/student/anw0044/CPE455/lab08/mode-s.c ****** + ****** ****** + ******************************************************************** + + + Report Production + ----------------- + * C/C++ LDRA Testbed Version: 9.8.2 + * Report Produced On: Tue Mar 29 2022 at 10:18:34 + + Report Configuration + -------------------- + * Report Format: Procedure Listing * Reporting Scope: Source file and associated header + + + + ------------------------------------------------------------ + + + ************************ + * * + * Code Review Report * + * * + ************************ + + =========================================================================================== + QUALITY RESULT ------ NUMBER OF UNIQUE VIOLATIONS ------ + % IN FUNCTION # IN FUNCTION BREAKDOWN + =========================================================================================== + mode_s_detect Fail 14 ## Number - 27 4-M 18-C 5-O + apply_phase_correction Fail 4 # Number - 8 5-C 3-O + detect_out_of_phase Fail 5 # Number - 9 6-C 3-O + mode_s_compute_magnitude_vector + Fail 6 # Number - 12 9-C 3-O + mode_s_decode Fail 14 ## Number - 27 1-M 22-C 4-O + decode_ac12_field Fail 7 # Number - 13 9-C 4-O + decode_ac13_field Fail 7 # Number - 14 9-C 5-O + brute_force_ap Fail 10 ## Number - 19 1-M 13-C 5-O + icao_addr_was_recently_seen + Fail 5 # Number - 9 6-C 3-O + add_recently_seen_icao_addr + Fail 1 # Number - 2 2-C + icao_cache_has_addr Fail 2 # Number - 4 2-C 2-O + fix_two_bits_errors Fail 5 # Number - 10 1-M 6-C 3-O + fix_single_bit_errors Fail 5 # Number - 10 1-M 6-C 3-O + mode_s_msg_len_by_type Fail 3 # Number - 5 2-C 3-O + mode_s_checksum Fail 6 # Number - 12 9-C 3-O + mode_s_init Fail 4 # Number - 8 6-C 2-O + (189 out of 375 checked) + + + + ------------------------------------------------------------ + + + *************************** + * * + * Quality Review Report * + * * + *************************** + + =============================================================================================== + TESTABILITY MAINTAINABILITY CLARITY ALL METRICS + =============================================================================================== + + mode_s_detect 38 #### 0 90 ########## 63 ####### + apply_phase_correction 100 ########### 100 ########### 90 ########## 96 ########## + detect_out_of_phase 88 ######### 100 ########### 60 ####### 81 ######### + mode_s_compute_magnitude_vector + 100 ########### 100 ########### 60 ####### 85 ######### + mode_s_decode 38 #### 50 ###### 90 ########## 78 ######## + decode_ac12_field 88 ######### 100 ########### 70 ######## 85 ######### + decode_ac13_field 88 ######### 75 ######## 70 ######## 78 ######## + brute_force_ap 88 ######### 100 ########### 90 ########## 89 ######### + icao_addr_was_recently_seen + 100 ########### 100 ########### 50 ###### 81 ######### + add_recently_seen_icao_addr + 100 ########### 100 ########### 40 ##### 78 ######## + + icao_cache_has_addr 100 ########### 100 ########### 50 ###### 81 ######### + fix_two_bits_errors 75 ######## 25 ### 80 ######### 74 ######## + fix_single_bit_errors 75 ######## 50 ###### 70 ######## 74 ######## + mode_s_msg_len_by_type 88 ######### 100 ########### 40 ##### 74 ######## + mode_s_checksum 100 ########### 100 ########### 90 ########## 96 ########## + mode_s_init 88 ######### 75 ######## 70 ######## 85 ######### + + + + ------------------------------------------------------------ + + =========================================================== + * * + * End of Test Manager Report * + * * + * Copyright (C) 2019 Liverpool Data Research Associates * + * * + =========================================================== + + diff --git a/CPE455/lab08/mode-s_15.rpf b/CPE455/lab08/mode-s_15.rpf new file mode 100644 index 0000000..6ba80a3 --- /dev/null +++ b/CPE455/lab08/mode-s_15.rpf @@ -0,0 +1,3453 @@ + + ******************************************************************** + ****** ****** + ****** LDRA Testbed (R) Code Review Report ****** + ****** ****** + ****** File : /home/student/anw0044/CPE455/lab08/mode-s.c ****** + ****** ****** + ******************************************************************** + + + + ---------------------------------------------------------------------------------------------------- + *************************** + * * + * Overall Result: FAIL * + * * + *************************** + ---------------------------------------------------------------------------------------------------- + + + Report Production + ----------------- + * C/C++ LDRA Testbed Version: 9.8.2 * Config. File: /apps/ldra2019/ldra_toolsuite/c/creport.dat + * Produced On: Tue Mar 29 2022 at 10:19:09 * Penalty File: /apps/ldra2019/ldra_toolsuite/c/cpen.dat + + + Report Configuration + -------------------- + * Report Level: Detailed Report * Procedures Reported: Fails Only + * Programming Standards Model: MISRA-C:2012(Ed 3 Rev 1) + * Line Numbers refer to: Original Source File + * Violation Details: Violations and Associated Source + * Reporting Scope: Source file and associated header + + + Analysis Phases Run + ------------------- + * Static: Yes * Complexity: Yes + * Static Data Flow: Yes * Information Flow: No + * Cross Reference: Yes + + + + + + + -------------------------------------------------------------------------------- + + + Contents + -------- + Overall Code Review Summary + * Totals of Violations for each Standard + * Table of Procedure Results + * Table of Global Basic Information + + Report on Program Components + * Code Review Results Global Program and Selected Procedures + + Key to Terms + + + + + ********************************* + * * + * Overall Code Review Summary * + * * + ********************************* + + + Totals of Violations for Selected Code Review Standards + ------------------------------------------------------- + '-' indicates required Analysis Phase results are not yet available. + 'Off' indicates that the standard is switched off in the Penalty File (pen.dat). + '*' indicates standard has been violated. + + ============================================================================================================== + NUMBER OF + VIOLATIONS LDRA CODE MANDATORY STANDARDS MISRA-C:2012(ED 3 REV 1) CODE + ============================================================================================================== + 0 36 S Function has no return statement. MISRA-C:2012(Ed 3 Rev 1) R.17.4 + 0 54 S Sizeof operator with side effects. MISRA-C:2012(Ed 3 Rev 1) R.13.6 + 0 66 S Function with empty return expression. MISRA-C:2012(Ed 3 Rev 1) R.17.4 + 2 401 S * Use of sizeof on an array parameter. MISRA-C:2012(Ed 3 Rev 1) R.12.5 + 0 407 S free used on string. MISRA-C:2012(Ed 3 Rev 1) R.22.2 + 0 480 S String function params access same variable. MISRA-C:2012(Ed 3 Rev 1) R.19.1 + 0 483 S Freed parameter is not heap item. MISRA-C:2012(Ed 3 Rev 1) R.22.2 + 0 484 S Attempt to use already freed object. MISRA-C:2012(Ed 3 Rev 1) R.22.2 + 0 489 S Insufficient space for operation. MISRA-C:2012(Ed 3 Rev 1) R.1.3,R.21.17,R.21.18 + 1 496 S * Function call with no prior declaration. MISRA-C:2012(Ed 3 Rev 1) R.17.3 + 0 545 S Assignment of overlapping storage. MISRA-C:2012(Ed 3 Rev 1) R.19.1 + 0 591 S Inappropriate use of file pointer. MISRA-C:2012(Ed 3 Rev 1) R.22.5 + 0 600 S Argument of strlen is unterminated. MISRA-C:2012(Ed 3 Rev 1) R.21.17 + 0 614 S Use of static keyword in array parameter. MISRA-C:2012(Ed 3 Rev 1) R.17.6 + 0 631 S Declaration not reachable. MISRA-C:2012(Ed 3 Rev 1) R.9.1 + 0 644 S realloc ptr does not originate from allocation function. + MISRA-C:2012(Ed 3 Rev 1) R.22.2 + 0 647 S Overlapping data items in memcpy. MISRA-C:2012(Ed 3 Rev 1) R.19.1 + 0 652 S Object created by malloc used before initialisation. + MISRA-C:2012(Ed 3 Rev 1) R.9.1 + 0 663 S Invalid value may be passed to function in . + MISRA-C:2012(Ed 3 Rev 1) R.21.13 + 0 2 D Function does not return a value on all paths. MISRA-C:2012(Ed 3 Rev 1) R.17.4 + 0 48 D Attempt to write to unopened file. MISRA-C:2012(Ed 3 Rev 1) R.22.6 + 0 51 D Attempt to read from freed memory. MISRA-C:2012(Ed 3 Rev 1) R.22.2 + 0 53 D Attempt to use uninitialised pointer. MISRA-C:2012(Ed 3 Rev 1) R.9.1 + 3 69 D * Procedure contains UR data flow anomalies. MISRA-C:2012(Ed 3 Rev 1) R.9.1 + 0 98 D Attempt to write to file opened read only. MISRA-C:2012(Ed 3 Rev 1) R.22.4 + 0 107 D Attempt to change system call capture string. MISRA-C:2012(Ed 3 Rev 1) R.21.19 + 0 113 D File closed more than once. MISRA-C:2012(Ed 3 Rev 1) R.22.6 + 0 125 D free called on variable with no allocated space. MISRA-C:2012(Ed 3 Rev 1) R.22.2 + 0 133 D Pointer from system function used after subsequent call. + MISRA-C:2012(Ed 3 Rev 1) R.21.20 + 7 140 D * Copy source parameter not checked before use. MISRA-C:2012(Ed 3 Rev 1) R.21.17 + 0 66 X Insufficient array space at call. MISRA-C:2012(Ed 3 Rev 1) R.1.3,R.21.17,R.21.18 + 0 70 X Array has insufficient space. MISRA-C:2012(Ed 3 Rev 1) R.1.3,R.21.17,R.21.18 + 0 71 X Insufficient space for copy. MISRA-C:2012(Ed 3 Rev 1) R.1.3,R.21.17,R.21.18 + 0 79 X Size mismatch in memcpy/memset. MISRA-C:2012(Ed 3 Rev 1) R.1.3,R.21.18 + + + + + ============================================================================================================== + NUMBER OF + VIOLATIONS LDRA CODE REQUIRED STANDARDS MISRA-C:2012(ED 3 REV 1) CODE + ============================================================================================================== + 0 1 S Procedure name reused. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 2 9 S * Assignment operation in expression. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2,R.13.4 + 0 11 S No brackets to loop body. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + 17 12 S * No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + 0 20 S Parameter not declared explicitly. MISRA-C:2012(Ed 3 Rev 1) R.8.1 + 0 21 S Number of parameters does not match. MISRA-C:2012(Ed 3 Rev 1) R.1.1,R.1.3 + 0 30 S Deprecated usage of ++ or -- operators found. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2,R.13.3 + 0 35 S Static procedure is not explicitly called in code analysed. + MISRA-C:2012(Ed 3 Rev 1) R.2.1 + 0 37 S Procedure parameter has a type but no identifier. MISRA-C:2012(Ed 3 Rev 1) R.8.2 + 0 39 S Unsuitable type for loop variable. MISRA-C:2012(Ed 3 Rev 1) R.14.1 + 0 43 S Use of setjmp/longjmp. MISRA-C:2012(Ed 3 Rev 1) R.21.4 + 0 44 S Use of banned function, type or variable. MISRA-C:2012(Ed 3 Rev 1) D.4.12,R.1.3,R.17.1,R.21.3,R.21.6,R.21.7,R.21.8,R.21.9,R.21.10,R.21.12 + 0 47 S Array bound exceeded. MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 0 48 S No default case in switch statement. MISRA-C:2012(Ed 3 Rev 1) R.16.4 + 57 50 S * Use of shift operator on signed type. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 1 51 S * Shifting value too far. MISRA-C:2012(Ed 3 Rev 1) R.12.2 + 0 52 S Unsigned expression negated. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 0 57 S Statement with no side effect. MISRA-C:2012(Ed 3 Rev 1) R.2.2 + 3 59 S * Else alternative missing in if. MISRA-C:2012(Ed 3 Rev 1) R.15.7 + 0 60 S Empty switch statement. MISRA-C:2012(Ed 3 Rev 1) R.16.6 + 0 61 S Switch contains default only. MISRA-C:2012(Ed 3 Rev 1) R.16.6 + 0 62 S Switch case not terminated with break. MISRA-C:2012(Ed 3 Rev 1) R.16.3 + 0 63 S Empty parameter list to procedure/function. MISRA-C:2012(Ed 3 Rev 1) R.8.2 + 0 64 S Void procedure used in expression. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 65 S Void variable passed as parameter. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 71 S Pointer assignment to wider scope. MISRA-C:2012(Ed 3 Rev 1) R.18.6 + 0 72 S Signed bit field less than 2 bits wide. MISRA-C:2012(Ed 3 Rev 1) R.6.2 + 0 73 S Bit field not signed or unsigned int. MISRA-C:2012(Ed 3 Rev 1) R.6.1 + 0 78 S Macro parameter not in brackets. MISRA-C:2012(Ed 3 Rev 1) R.20.7 + 0 83 S Octal number found. MISRA-C:2012(Ed 3 Rev 1) R.7.1 + 0 86 S Attempt to define reserved word. MISRA-C:2012(Ed 3 Rev 1) R.20.4,R.21.1 + 0 88 S Procedure is not pure assembler. MISRA-C:2012(Ed 3 Rev 1) D.4.3 + 0 92 S Duplicate use of a name in an enumeration. MISRA-C:2012(Ed 3 Rev 1) R.5.3 + 13 93 S * Value is not of appropriate type. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + 0 94 S Casting operation on a pointer. MISRA-C:2012(Ed 3 Rev 1) R.11.1,R.11.2,R.11.3,R.11.7 + 0 95 S Casting operation to a pointer. MISRA-C:2012(Ed 3 Rev 1) R.11.1,R.11.2,R.11.3,R.11.5,R.11.7 + 11 96 S * Use of mixed mode arithmetic. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + 0 100 S #include filename is non conformant. MISRA-C:2012(Ed 3 Rev 1) R.20.2 + 0 101 S Function return type inconsistent. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 102 S Function and prototype return inconsistent (MR). MISRA-C:2012(Ed 3 Rev 1) R.8.4 + 0 103 S Function and prototype param inconsistent (MR). MISRA-C:2012(Ed 3 Rev 1) R.8.4 + 0 104 S Struct field initialisation incorrect. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 105 S Initialisation brace { } fault. MISRA-C:2012(Ed 3 Rev 1) R.9.2 + 0 107 S Type mismatch in ternary expression. MISRA-C:2012(Ed 3 Rev 1) R.10.4 + 0 109 S Array subscript is not integral. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 0 112 S Typedef name redeclared. MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 0 113 S Non standard character in source. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 30 114 S * Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + 0 118 S main must be int (void) or int (int,char*[]). MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 119 S Nested comment found. MISRA-C:2012(Ed 3 Rev 1) R.3.1 + 135 120 S * Use of bit operator on signed type. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 0 121 S Use of boolean expression in switch. MISRA-C:2012(Ed 3 Rev 1) R.16.7 + 0 122 S Use of abort, exit, etc. MISRA-C:2012(Ed 3 Rev 1) R.21.8 + 0 123 S Use of underlying enum representation value. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4 + 0 125 S Use of ## or # in a macro. MISRA-C:2012(Ed 3 Rev 1) R.20.10,R.20.12 + 0 126 S A #if has no #endif in the same file. MISRA-C:2012(Ed 3 Rev 1) R.20.14 + 0 127 S Array has no bounds specified. MISRA-C:2012(Ed 3 Rev 1) R.8.11,R.9.5 + 0 128 S Parameter has same name as global variable. MISRA-C:2012(Ed 3 Rev 1) R.5.3 + 0 130 S Included file is not permitted. MISRA-C:2012(Ed 3 Rev 1) R.21.5,R.21.6,R.21.10,R.21.11 + 0 131 S Name reused in inner scope. MISRA-C:2012(Ed 3 Rev 1) R.5.3 + 2 132 S * Assignment operator in boolean expression. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.4 + 0 134 S Volatile variable in complex expression. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2 + 0 135 S Parameter list is KR. MISRA-C:2012(Ed 3 Rev 1) R.8.1,R.8.2 + 0 136 S Bit operator with boolean operand. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 0 139 S Construct leads to infeasible code. MISRA-C:2012(Ed 3 Rev 1) R.14.3 + 0 140 S Infeasible loop condition found. MISRA-C:2012(Ed 3 Rev 1) R.14.3 + 0 145 S #if has invalid expression. MISRA-C:2012(Ed 3 Rev 1) R.1.1 + 0 147 S Spurious characters after preprocessor directive. MISRA-C:2012(Ed 3 Rev 1) R.20.13 + 0 156 S Use of 'defined' keyword in macro body. MISRA-C:2012(Ed 3 Rev 1) R.21.1 + 0 157 S Modification of string literal. MISRA-C:2012(Ed 3 Rev 1) R.7.4 + 0 172 S Variable declared multiply. MISRA-C:2012(Ed 3 Rev 1) R.8.5 + 0 176 S Non standard escape sequence in source. MISRA-C:2012(Ed 3 Rev 1) R.1.3,R.4.1 + 0 203 S Cast on a constant value. MISRA-C:2012(Ed 3 Rev 1) R.11.8 + 0 218 S Name is used in standard libraries. MISRA-C:2012(Ed 3 Rev 1) R.21.2 + 0 219 S User name starts with underscore. MISRA-C:2012(Ed 3 Rev 1) R.21.1,R.21.2 + 0 243 S Included file not protected with #define. MISRA-C:2012(Ed 3 Rev 1) D.4.10 + 0 245 S Case statement in nested block. MISRA-C:2012(Ed 3 Rev 1) R.16.2 + 0 248 S Divide by zero in preprocessor directive. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 0 249 S Operation not appropriate to boolean type. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 0 252 S Lower case suffix to literal number. MISRA-C:2012(Ed 3 Rev 1) R.7.3 + 0 270 S For loop initialisation is not simple. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + 5 271 S * For loop incrementation is not simple. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + 0 276 S Case is not part of switch enumeration. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 296 S Function declared at block scope. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 322 S Default is not last case of switch. MISRA-C:2012(Ed 3 Rev 1) R.16.5 + 0 323 S Switch has more than one default case. MISRA-C:2012(Ed 3 Rev 1) R.1.1 + 0 324 S Macro call has wrong number of parameters. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 325 S Inconsistent use of tag. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 326 S Declaration is missing type. MISRA-C:2012(Ed 3 Rev 1) R.8.1 + 0 329 S Operation not appropriate to plain char. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2 + 5 330 S * Implicit conversion of underlying type (MR). MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + 21 331 S * Literal value requires a U suffix. MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + 0 332 S Widening cast on complex integer expression (MR). MISRA-C:2012(Ed 3 Rev 1) R.10.8 + 0 333 S Widening cast on complex float expression (MR). MISRA-C:2012(Ed 3 Rev 1) R.10.8 + 0 335 S Operator defined contains illegal items. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 336 S #if expansion contains define operator. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 337 S Undefined macro variable in #if. MISRA-C:2012(Ed 3 Rev 1) R.20.9 + 0 341 S Preprocessor construct as macro parameter. MISRA-C:2012(Ed 3 Rev 1) R.20.6 + 0 342 S Extra chars after preprocessor directive. MISRA-C:2012(Ed 3 Rev 1) R.20.13 + 0 343 S #else has no #if, etc in the same file. MISRA-C:2012(Ed 3 Rev 1) R.20.14 + 0 344 S Cast on volatile value. MISRA-C:2012(Ed 3 Rev 1) R.11.8 + 2 345 S * Bit operator with floating point operand. MISRA-C:2012(Ed 3 Rev 1) R.1.1,R.10.1 + 29 361 S * Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + 0 374 S Name conflict with typedef. MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 9 382 S * (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + 0 383 S Identifier name matches macro name. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 384 S Identifier matches macro name in 31 chars. MISRA-C:2012(Ed 3 Rev 1) R.5.4,R.5.5 + 0 385 S MISRA switch statement syntax violation. MISRA-C:2012(Ed 3 Rev 1) R.16.1 + 0 387 S Enum init not integer-constant-expression. MISRA-C:2012(Ed 3 Rev 1) R.1.1 + 0 389 S Bool value incremented/decremented. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 0 397 S Array initialisation has insufficient items. MISRA-C:2012(Ed 3 Rev 1) R.9.3 + 4 403 S * Negative (or potentially negative) shift. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.12.2 + 0 404 S Array initialisation has too many items. MISRA-C:2012(Ed 3 Rev 1) R.1.1 + 0 406 S Use of ++ or -- on RHS of && or || operator. MISRA-C:2012(Ed 3 Rev 1) R.13.5 + 0 408 S Volatile variable accessed on RHS of && or ||. MISRA-C:2012(Ed 3 Rev 1) R.13.5 + 0 410 S Switch empty default has no comment (MR). MISRA-C:2012(Ed 3 Rev 1) R.16.4 + 0 411 S Inappropriate value assigned to enum. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 412 S Undefined behaviour, \ before E-O-F. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 427 S Filename in #include not in < > or " ". MISRA-C:2012(Ed 3 Rev 1) R.20.3 + 0 428 S No {} for switch. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + 0 429 S Empty middle expression in for loop. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + 0 430 S Inconsistent usage of loop control variable. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + 0 431 S Char used instead of (un)signed char. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 432 S Inappropriate type - should be plain char. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 433 S Type conversion without cast. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.11.5 + 28 434 S * Signed/unsigned conversion without cast. MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + 4 435 S * Float/integer conversion without cast. MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + 50 436 S * Declaration does not specify an array. MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 0 437 S < > <= >= used on different object pointers. MISRA-C:2012(Ed 3 Rev 1) R.18.3 + 0 438 S Pointer subtraction not addressing one array. MISRA-C:2012(Ed 3 Rev 1) R.18.2 + 0 439 S Cast from pointer to integral type. MISRA-C:2012(Ed 3 Rev 1) R.11.2,R.11.4,R.11.6,R.11.7 + 0 440 S Cast from integral type to pointer. MISRA-C:2012(Ed 3 Rev 1) R.11.1,R.11.2,R.11.4,R.11.6,R.11.7 + 0 441 S Float cast to non-float. MISRA-C:2012(Ed 3 Rev 1) R.10.8 + 0 442 S Signed integral type cast to unsigned. MISRA-C:2012(Ed 3 Rev 1) R.10.8 + 0 443 S Unsigned integral type cast to signed. MISRA-C:2012(Ed 3 Rev 1) R.10.8 + 0 444 S Integral type cast to non-integral. MISRA-C:2012(Ed 3 Rev 1) R.10.8 + 0 445 S Narrower float conversion without cast. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 4 446 S * Narrower int conversion without cast. MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 450 S Wide string and string concatenated. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 451 S No cast for widening complex float expression (MR). + MISRA-C:2012(Ed 3 Rev 1) R.10.6,R.10.7 + 1 452 S * No cast for widening complex int expression (MR). MISRA-C:2012(Ed 3 Rev 1) R.10.6,R.10.7 + 3 458 S * Implicit conversion: actual to formal param (MR). MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 0 461 S Identifier with ambiguous linkage. MISRA-C:2012(Ed 3 Rev 1) R.8.8 + 0 465 S Struct/union not completely specified. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 477 S Empty else clause following else if. MISRA-C:2012(Ed 3 Rev 1) R.15.7 + 0 481 S Array with no bounds in struct. MISRA-C:2012(Ed 3 Rev 1) R.1.1,R.18.7 + 0 482 S Incomplete structure referenced. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 486 S Incorrect number of formats in output function. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 487 S Insufficient space allocated. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 488 S Value outside range of underlying type. MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + 0 493 S Numeric overflow. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14,R.12.4 + 0 494 S Numeric underflow. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14,R.12.4 + 1 497 S * Type is incomplete in translation unit. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 506 S Use of boolean with relational operator. MISRA-C:2012(Ed 3 Rev 1) R.10.1 + 0 509 S goto label is backwards. MISRA-C:2012(Ed 3 Rev 1) R.15.2 + 1 511 S * Jump into nested block. MISRA-C:2012(Ed 3 Rev 1) R.15.3 + 0 520 S Bit field is not bool or explicit integral. MISRA-C:2012(Ed 3 Rev 1) R.6.1 + 0 531 S Literal zero used in pointer context. MISRA-C:2012(Ed 3 Rev 1) R.11.9 + 2 550 S * Unsuffixed hex or octal is unsigned, add U. MISRA-C:2012(Ed 3 Rev 1) R.7.2 + 0 553 S Function and proto should both be static. MISRA-C:2012(Ed 3 Rev 1) R.8.8 + 0 554 S Cast to an unrelated type. MISRA-C:2012(Ed 3 Rev 1) R.11.2,R.11.3 + 0 565 S Assignment to wider scope. MISRA-C:2012(Ed 3 Rev 1) R.18.6 + 4 567 S * Pointer arithmetic is not on array. MISRA-C:2012(Ed 3 Rev 1) R.18.1,R.18.4 + 0 573 S Macro concatenation of uni char names. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 575 S Linkage differs from previous declaration. MISRA-C:2012(Ed 3 Rev 1) R.8.8 + 0 576 S Function pointer is of wrong type. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 580 S Macro redefinition without using #undef. MISRA-C:2012(Ed 3 Rev 1) R.1.1,R.20.4 + 0 581 S Loop conditions are independent. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + 0 582 S const object reassigned. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 587 S Const local variable not immediately initialised. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 589 S Format is not appropriate type. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 590 S Mode fault in fopen. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 606 S Cast involving function pointer. MISRA-C:2012(Ed 3 Rev 1) R.11.1 + 0 608 S Use of explicitly undefined language feature. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 611 S Line splice used in // comment. MISRA-C:2012(Ed 3 Rev 1) R.3.2 + 0 612 S inline function should be declared static. MISRA-C:2012(Ed 3 Rev 1) R.8.10 + 0 613 S Use of restrict keyword. MISRA-C:2012(Ed 3 Rev 1) R.8.14 + 0 615 S Conditional operator has incompatible types. MISRA-C:2012(Ed 3 Rev 1) R.1.1 + 0 616 S Preprocessor result not 0 or 1. MISRA-C:2012(Ed 3 Rev 1) R.20.8 + 0 618 S Use of memcmp between structures. MISRA-C:2012(Ed 3 Rev 1) R.21.16 + 0 620 S Initialisation designator duplicated. MISRA-C:2012(Ed 3 Rev 1) R.9.4 + 1 621 S * Variable-length array declared. MISRA-C:2012(Ed 3 Rev 1) R.18.8 + 0 622 S Macro parameters are not unique within limits. MISRA-C:2012(Ed 3 Rev 1) R.5.4 + 0 623 S String assigned to non const object. MISRA-C:2012(Ed 3 Rev 1) R.7.4 + 0 626 S #define of keyword. MISRA-C:2012(Ed 3 Rev 1) R.20.4 + 0 627 S Initialiser both positional and designational. MISRA-C:2012(Ed 3 Rev 1) R.9.4 + 0 629 S Divide by zero found. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 0 630 S Duplicated enumeration value. MISRA-C:2012(Ed 3 Rev 1) R.8.12 + 0 635 S Cast from pointer to float type. MISRA-C:2012(Ed 3 Rev 1) R.11.6,R.11.7 + 0 636 S Cast from float type to pointer. MISRA-C:2012(Ed 3 Rev 1) R.11.6,R.11.7 + 0 637 S # operand followed by ##. MISRA-C:2012(Ed 3 Rev 1) R.20.11 + 0 642 S Function return type with array field. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 645 S realloc ptr type does not match target type. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 646 S Struct initialisation has too many items. MISRA-C:2012(Ed 3 Rev 1) R.1.1 + 0 649 S Use of unallocated flexible array. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 650 S Flexible array copy ignores last member. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 655 S Standard library copy/compare objects have different types. + MISRA-C:2012(Ed 3 Rev 1) R.21.15 + 0 661 S memcmp used to compare null terminated strings. MISRA-C:2012(Ed 3 Rev 1) R.21.14 + 0 662 S EOF compared with char. MISRA-C:2012(Ed 3 Rev 1) R.22.7 + 2 692 S * Array index is negative. MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 0 6 D Recursion in procedure calls found. MISRA-C:2012(Ed 3 Rev 1) R.17.2 + 2 8 D * DD data flow anomalies found. MISRA-C:2012(Ed 3 Rev 1) R.2.2 + 0 17 D Identifier not unique within 31 characters. MISRA-C:2012(Ed 3 Rev 1) R.5.1,R.5.2,R.5.3 + 0 18 D Identifier name reused. MISRA-C:2012(Ed 3 Rev 1) R.5.3 + 0 26 D Variable should be defined once in only one file. MISRA-C:2012(Ed 3 Rev 1) R.8.6 + 0 27 D Variable should be declared static. MISRA-C:2012(Ed 3 Rev 1) R.8.7,R.8.8 + 0 28 D Potentially infinite loop found. MISRA-C:2012(Ed 3 Rev 1) R.2.1 + 0 33 D No real declaration for external variable. MISRA-C:2012(Ed 3 Rev 1) R.8.6 + 0 34 D Procedure name re-used in different files. MISRA-C:2012(Ed 3 Rev 1) R.8.6 + 0 35 D Expression has side effects. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2,R.13.5 + 0 36 D Prototype and definition name mismatch. MISRA-C:2012(Ed 3 Rev 1) R.8.3,R.8.4 + 0 42 D Local pointer returned in function result. MISRA-C:2012(Ed 3 Rev 1) R.18.6 + 0 43 D Divide by zero found. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 0 45 D Pointer not checked for null before use. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 0 49 D File pointer not closed on exit. MISRA-C:2012(Ed 3 Rev 1) R.22.1 + 0 50 D Memory not freed after last reference. MISRA-C:2012(Ed 3 Rev 1) R.22.1 + 1 55 D * Modification of loop counter in loop body. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + 0 60 D External object should be declared only once. MISRA-C:2012(Ed 3 Rev 1) R.8.5 + 0 61 D Procedure should be declared static. MISRA-C:2012(Ed 3 Rev 1) R.8.7,R.8.8 + 0 63 D No definition in system for prototyped procedure. MISRA-C:2012(Ed 3 Rev 1) R.8.6 + 0 65 D Void function has no side effects. MISRA-C:2012(Ed 3 Rev 1) R.2.2 + 0 72 D Potential side effect problem in expression. MISRA-C:2012(Ed 3 Rev 1) R.13.2 + 0 75 D Attempt to open file pointer more than once. MISRA-C:2012(Ed 3 Rev 1) R.22.1 + 0 76 D Procedure is not called or referenced in code analysed. + MISRA-C:2012(Ed 3 Rev 1) R.2.1 + 0 77 D Local structure returned in function result. MISRA-C:2012(Ed 3 Rev 1) R.18.6 + 0 82 D fsetpos values not generated by fgetpos. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 83 D Potentially repeated call to ungetc. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 84 D No fseek or flush before I/O. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 85 D Filename not verified before fopen. MISRA-C:2012(Ed 3 Rev 1) D.4.14 + 0 86 D User input not checked before use. MISRA-C:2012(Ed 3 Rev 1) D.4.14 + 0 87 D Illegal shared object in signal handler. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 89 D Illegal use of raise in signal handler. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 91 D Function return value potentially unused. MISRA-C:2012(Ed 3 Rev 1) D.4.7,R.17.7 + 0 103 D File opened both read and write. MISRA-C:2012(Ed 3 Rev 1) R.22.3 + 0 105 D DU anomaly dead code, var value is unused on all paths. + MISRA-C:2012(Ed 3 Rev 1) R.2.2 + 16 106 D * No prototype for non-static function. MISRA-C:2012(Ed 3 Rev 1) R.8.4 + 0 110 D More than one prototype for same function. MISRA-C:2012(Ed 3 Rev 1) R.8.5 + 0 111 D errno checked without having been set for errno setting fn. + MISRA-C:2012(Ed 3 Rev 1) R.22.8 + 2 115 D * Copy length parameter not checked before use. MISRA-C:2012(Ed 3 Rev 1) D.4.1 + 0 121 D errno neither set nor checked for errno setting function. + MISRA-C:2012(Ed 3 Rev 1) R.22.8,R.22.9 + 0 122 D errno not checked after being set for errno setting fn. + MISRA-C:2012(Ed 3 Rev 1) R.22.9 + 0 123 D File pointer not checked for null before use. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 1 124 D * Var set by std lib func return not checked before use. + MISRA-C:2012(Ed 3 Rev 1) D.4.7 + 0 127 D Local or member denominator not checked before use. + MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 0 128 D Global pointer not checked within this procedure. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 0 129 D Global file pointer not checked within this procedure. + MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 1 130 D * Global set by std lib func return not checked before use. + MISRA-C:2012(Ed 3 Rev 1) D.4.7 + 1 131 D * Global denominator not checked within this procedure. + MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 0 132 D errno checked after call to non-errno setting function. + MISRA-C:2012(Ed 3 Rev 1) R.22.10 + 0 134 D errno not checked before subsequent function call. + MISRA-C:2012(Ed 3 Rev 1) R.22.9 + 0 135 D Pointer assigned to NULL may be dereferenced. MISRA-C:2012(Ed 3 Rev 1) D.4.1 + 0 136 D Global pointer assigned to NULL may be dereferenced. + MISRA-C:2012(Ed 3 Rev 1) D.4.1 + 0 137 D Parameter used as denominator not checked before use. + MISRA-C:2012(Ed 3 Rev 1) D.4.1 + 0 1 X Declaration types do not match across a system. MISRA-C:2012(Ed 3 Rev 1) R.8.4 + 0 4 X Identifier reuse: struct/union tag repeated. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 5 X Identifier reuse: struct vs union. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 6 X Identifier reuse: struct/union tag vs enum tag. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 7 X Identifier reuse: tag vs procedure. MISRA-C:2012(Ed 3 Rev 1) R.5.7,R.5.8,R.5.9 + 0 8 X Identifier reuse: tag vs procedure parameter. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 9 X Identifier reuse: tag vs variable. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 10 X Identifier reuse: tag vs label (MR). MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 11 X Identifier reuse: tag vs typedef. MISRA-C:2012(Ed 3 Rev 1) R.5.6,R.5.7 + 0 12 X Identifier reuse: tag vs macro. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 13 X Identifier reuse: tag vs component. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 14 X Identifier reuse: tag vs enum constant. MISRA-C:2012(Ed 3 Rev 1) R.5.7 + 0 15 X Identifier reuse: persistent var vs tag. MISRA-C:2012(Ed 3 Rev 1) R.5.7,R.5.8,R.5.9 + 0 16 X Identifier reuse: typedef vs variable. MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 0 17 X Identifier reuse: typedef vs label (MR). MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 0 18 X Identifier reuse: typedef vs typedef. MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 0 19 X Identifier reuse: typedef vs procedure parameter. MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 0 20 X Identifier reuse: persistent var vs typedef. MISRA-C:2012(Ed 3 Rev 1) R.5.6,R.5.8,R.5.9 + 0 21 X Identifier reuse: typedef vs macro. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 22 X Identifier reuse: typedef vs component. MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 0 23 X Identifier reuse: typedef vs enum constant. MISRA-C:2012(Ed 3 Rev 1) R.5.6 + 0 24 X Identifier reuse: typedef vs procedure. MISRA-C:2012(Ed 3 Rev 1) R.5.6,R.5.8,R.5.9 + 0 25 X Identifier reuse: procedure vs procedure param. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 26 X Identifier reuse: persistent var vs label (MR). MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 27 X Identifier reuse: persist var vs persist var. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 28 X Identifier reuse: persistent var vs var. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 29 X Identifier reuse: persistent var vs procedure. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 30 X Identifier reuse: persistent var vs proc param. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 31 X Identifier reuse: procedure vs procedure. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 32 X Identifier reuse: procedure vs var. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 33 X Identifier reuse: procedure vs label (MR). MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 34 X Identifier reuse: proc vs macro. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 35 X Identifier reuse: proc vs component. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 36 X Identifier reuse: proc vs enum constant. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 37 X Identifier reuse: persistent var vs macro. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 38 X Identifier reuse: persistent var vs component. MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 39 X Identifier reuse: persistent var vs enum constant. + MISRA-C:2012(Ed 3 Rev 1) R.5.8,R.5.9 + 0 47 X Identifier reuse: component vs macro. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 48 X Identifier reuse: label vs macro (MR). MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 50 X Identifier reuse: var vs macro. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 53 X Identifier reuse: proc param vs macro. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 57 X Identifier reuse: macro vs enum constant. MISRA-C:2012(Ed 3 Rev 1) R.5.5 + 0 61 X Identifier match in 31 chars. MISRA-C:2012(Ed 3 Rev 1) R.5.1,R.5.2,R.5.3,R.5.4,R.5.5 + 0 62 X Function prototype/defn return type mismatch (MR). + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + 0 63 X Function prototype/defn param type mismatch (MR). MISRA-C:2012(Ed 3 Rev 1) R.8.3,R.8.4 + 0 64 X Array bound exceeded at call. MISRA-C:2012(Ed 3 Rev 1) R.17.5,R.18.1 + 0 68 X Parameter indexing array too big at call. MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 0 69 X Global array bound exceeded at use. MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 0 72 X Parameter indexing array too small at call. MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 0 80 X Divide by zero found. MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + 1 1 Q * Call has execution order dependant side effects. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2,R.13.5 + 0 5 Q File does not end with new line. MISRA-C:2012(Ed 3 Rev 1) R.1.3 + 0 1 U Inter-file recursion found. MISRA-C:2012(Ed 3 Rev 1) R.17.2 + 0 1 J Unreachable Code found. MISRA-C:2012(Ed 3 Rev 1) R.2.1 + 0 3 J All internal linkage calls unreachable. MISRA-C:2012(Ed 3 Rev 1) R.2.1 + + + + ============================================================================================================== + NUMBER OF + VIOLATIONS LDRA CODE ADVISORY STANDARDS MISRA-C:2012(ED 3 REV 1) CODE + ============================================================================================================== + 1 13 S * goto detected. MISRA-C:2012(Ed 3 Rev 1) R.15.1 + 61 49 S * Logical conjunctions need brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1 + 0 53 S Use of comma operator. MISRA-C:2012(Ed 3 Rev 1) R.12.3 + 0 68 S #undef used. MISRA-C:2012(Ed 3 Rev 1) R.20.5 + 0 74 S Union declared. MISRA-C:2012(Ed 3 Rev 1) R.19.2 + 0 75 S Executable code before an included file. MISRA-C:2012(Ed 3 Rev 1) R.20.1 + 0 80 S Pointer indirection exceeds 2 levels. MISRA-C:2012(Ed 3 Rev 1) R.18.5 + 0 81 S Use of trigraph. MISRA-C:2012(Ed 3 Rev 1) R.4.2 + 4 87 S * Use of pointer arithmetic. MISRA-C:2012(Ed 3 Rev 1) R.18.4 + 62 90 S * Basic type declaration used. MISRA-C:2012(Ed 3 Rev 1) D.4.6 + 13 110 S * Use of single line comment(s) //. MISRA-C:2012(Ed 3 Rev 1) R.1.2 + 0 143 S Curly brackets used in expression. MISRA-C:2012(Ed 3 Rev 1) R.1.2 + 2 149 S * Reference parameter to procedure is reassigned. MISRA-C:2012(Ed 3 Rev 1) R.17.8 + 0 217 S Names only differ by case. MISRA-C:2012(Ed 3 Rev 1) D.4.5 + 0 293 S Non ANSI/ISO construct used. MISRA-C:2012(Ed 3 Rev 1) R.1.2 + 0 302 S Comment possibly contains code. MISRA-C:2012(Ed 3 Rev 1) D.4.4 + 0 338 S #include preceded by non preproc directives. MISRA-C:2012(Ed 3 Rev 1) R.20.1 + 0 340 S Use of function like macro. MISRA-C:2012(Ed 3 Rev 1) D.4.9 + 0 409 S More than one break or goto statement in loop. MISRA-C:2012(Ed 3 Rev 1) R.15.4 + 0 413 S User type declared but not used in code analysed. MISRA-C:2012(Ed 3 Rev 1) R.2.3,R.2.4 + 0 426 S #undef used in a block. MISRA-C:2012(Ed 3 Rev 1) R.20.5 + 0 495 S Typedef name has no size indication. MISRA-C:2012(Ed 3 Rev 1) D.4.6 + 0 610 S Label is unused. MISRA-C:2012(Ed 3 Rev 1) R.2.6 + 0 628 S Macro not used in translation unit. MISRA-C:2012(Ed 3 Rev 1) R.2.5 + 2 632 S * Use of // comment in pre-processor directive or macro defn. + MISRA-C:2012(Ed 3 Rev 1) R.1.2 + 7 7 C * Procedure has more than one exit point. MISRA-C:2012(Ed 3 Rev 1) R.15.5 + 0 1 D Unused procedure parameter. MISRA-C:2012(Ed 3 Rev 1) R.2.7 + 1 14 D * Attempt to change parameter passed by value. MISRA-C:2012(Ed 3 Rev 1) R.17.8 + 0 15 D Unused procedural parameter. MISRA-C:2012(Ed 3 Rev 1) R.2.7 + 3 25 D * Scope of variable could be reduced. MISRA-C:2012(Ed 3 Rev 1) R.8.9 + 0 104 D Structure implementation not hidden. MISRA-C:2012(Ed 3 Rev 1) D.4.8 + 8 120 D * Pointer param should be declared pointer to const. + MISRA-C:2012(Ed 3 Rev 1) R.8.13 + 1 67 X * Identifier is typographically ambiguous. MISRA-C:2012(Ed 3 Rev 1) D.4.5 + + + + Number of Mandatory Standards checked 34 + Number of Required Standards checked 308 + Number of Advisory Standards checked 33 + Number of Document Standards checked 3 + Total Standards checked 375 + Total Standards checked including Document 378 + + + + + + + ---------------------------------------------------------------------------------------------------- + =============================== + ** OVERALL RESULT: FAIL ** + =============================== + ---------------------------------------------------------------------------------------------------- + + + Out of 17 program components, 1 (5.88 %) passes ( of which 1 conditionally passes ) + and 16 fail ( of which 0 fail only because of insufficient comments ) + + + Procedure Results + ----------------- + ============================================================================================= + CODE REVIEW RESULT PROCEDURE SOURCE FILE UNIQUE VIOLATIONS FAILURE DENSITY + (VIOLS/R.LINE %) + ============================================================================================= + Conditional Pass Global Program + FAIL mode_s_init mode-s.c 4 # 26 ### + FAIL mode_s_checksum mode-s.c 6 # 67 ####### + FAIL mode_s_msg_len_by_type + mode-s.c 3 # 48 ##### + FAIL fix_single_bit_errors + mode-s.c 5 # 47 ##### + FAIL fix_two_bits_errors mode-s.c 5 # 55 ###### + FAIL icao_cache_has_addr mode-s.c 2 # 56 ###### + FAIL add_recently_seen_icao_addr + mode-s.c 1 # 29 ### + FAIL icao_addr_was_recently_seen + mode-s.c 5 # 86 ######### + FAIL brute_force_ap mode-s.c 10 ## 98 ########## + FAIL decode_ac13_field mode-s.c 7 # 168 ########### + FAIL decode_ac12_field mode-s.c 7 # 138 ########### + FAIL mode_s_decode mode-s.c 14 ## 87 ######### + FAIL mode_s_compute_magnitude_vector + mode-s.c 6 # 75 ######## + FAIL detect_out_of_phase mode-s.c 5 # 54 ###### + FAIL apply_phase_correction + mode-s.c 4 # 50 ###### + FAIL mode_s_detect mode-s.c 14 ## 53 ###### + + + + Global Information + ------------------ + + Global Basic Information + ------------------------ + Number of procedures: 16 + Number of locally uncalled procedures: 0 + Maximum loop depth: 2 + Total Cyclomatic Complexity: 113 + Number of reformatted executable lines: 918 + Number of lines of comments: 242 + + + + + + *********************************************** + * * + * Detailed Report on all Program Components * + * * + *********************************************** + + + ********************************************************** + * * + * Globals / code outside procedures - Conditional Pass * + * * + ********************************************************** + + Standards Violation Summary + --------------------------- + =========================================================================== + CODE SRC LINE VIOLATION STANDARD + =========================================================================== + O 3 Use of // comment in pre-processor directive or macro defn. + MISRA-C:2012(Ed 3 Rev 1) R.1.2 + Source : #define MODE_S_PREAMBLE_US 8 // microseconds + + O 8 Use of // comment in pre-processor directive or macro defn. + MISRA-C:2012(Ed 3 Rev 1) R.1.2 + Source : #define MODE_S_ICAO_CACHE_TTL 60 // Time to live of cached addresses. + + O 11 Basic type declaration used. : int maglut_initialized + MISRA-C:2012(Ed 3 Rev 1) D.4.6 + Source : static int maglut_initialized = 0; + + O Use of single line comment(s) //. MISRA-C:2012(Ed 3 Rev 1) R.1.2 + O 11 Scope of variable could be reduced. : maglut_initialized + MISRA-C:2012(Ed 3 Rev 1) R.8.9 + Source : static int maglut_initialized = 0; + + O 61 Scope of variable could be reduced. : mode_s_checksum_table + MISRA-C:2012(Ed 3 Rev 1) R.8.9 + Source : uint32_t mode_s_checksum_table[] = { + + O 309 Scope of variable could be reduced. : ais_charset MISRA-C:2012(Ed 3 Rev 1) R.8.9 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + + + + + -------------------------------------------------------------------------------- + + + ************************* + * * + * mode_s_init * + * (15 to 40 mode-s.c) * + * FAIL * + * * + ************************* + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : mode_s_init + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 24 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memset(&self->icao_cache, 0, sizeof(self->icao_cache)); + + C 32 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (!maglut_initialized) { + + C 35 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : maglut[i*129+q] = round(sqrt(i*i+q*q)*360); + + C 35 Float/integer conversion without cast. : (unsigned short and double): maglut [ i * 129 + q ] = round + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : maglut[i*129+q] = round(sqrt(i*i+q*q)*360); + + C 35 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : maglut[i*129+q] = round(sqrt(i*i+q*q)*360); + + C 35 Value is not of appropriate type. : (double and short): sqrt ( i * i + q * q ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : maglut[i*129+q] = round(sqrt(i*i+q*q)*360); + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 35 + Maximum loop depth: 2 + Cyclomatic Complexity: 4 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 0 + Number of procedures which this calls: 3 + Number of comments associated with procedure: 9 + Number of comments in procedure header: 1 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 1 (self)->icao_cache + * + 1 (self)->aggressive + * + 1 (self)->check_crc + * + 1 (self)->fix_errors + * + 1 self * + + + + -------------------------------------------------------------------------------- + + + ************************* + * * + * mode_s_checksum * + * (78 to 93 mode-s.c) * + * FAIL * + * * + ************************* + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : mode_s_checksum + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 86 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int bitmask = 1 << (7-bit); + + C 86 Implicit conversion of underlying type (MR). : (signed char and int): 1 << ( 7 - bit + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : int bitmask = 1 << (7-bit); + + C 86 Use of mixed mode arithmetic. : (signed char and int): 1 << ( 7 - bit + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : int bitmask = 1 << (7-bit); + + C 86 Negative (or potentially negative) shift. : int : ( 7 - bit ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.12.2 + Source : int bitmask = 1 << (7-bit); + + C 89 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 78 + Source : uint32_t mode_s_checksum(unsigned char *msg, int bits) { + Source : if (msg[byte] & bitmask) + + C 89 Use of bit operator on signed type. : & used with int: bitmask + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : if (msg[byte] & bitmask) + + C 89 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (msg[byte] & bitmask) + + C 89 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (msg[byte] & bitmask) + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 27 + Maximum loop depth: 1 + Cyclomatic Complexity: 4 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 4 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 20 + Number of comments in procedure header: 18 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 2 bits * + 1 msg * * + + + + -------------------------------------------------------------------------------- + + + **************************** + * * + * mode_s_msg_len_by_type * + * (97 to 104 mode-s.c) * + * FAIL * + * * + **************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : mode_s_msg_len_by_type + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 100 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : type == 21) + + C 102 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : else + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 23 + Maximum loop depth: 0 + Cyclomatic Complexity: 6 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 2 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 2 + Number of comments in procedure header: 2 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 1 type * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * fix_single_bit_errors * + * (109 to 134 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : fix_single_bit_errors + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 115 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int bitmask = 1 << (7-(j%8)); + + C 115 Negative (or potentially negative) shift. : int : ( 7 - ( j % 8 ) ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.12.2 + Source : int bitmask = 1 << (7-(j%8)); + + C 118 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(aux, msg, bits/8); + + C 119 Use of bit operator on signed type. : ^= used with int: bitmask + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : aux[byte] ^= bitmask; // Flip j-th bit. + + C 119 Signed/unsigned conversion without cast. : (unsigned char and int): aux [ byte ] ^= bitmask + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : aux[byte] ^= bitmask; // Flip j-th bit. + + C 129 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(msg, aux, bits/8); + + M 118 Copy source parameter not checked before use. : msg + MISRA-C:2012(Ed 3 Rev 1) R.21.17 + Source : memcpy(aux, msg, bits/8); + + M 129 Copy source parameter not checked before use. : aux + MISRA-C:2012(Ed 3 Rev 1) R.21.17 + Source : memcpy(msg, aux, bits/8); + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 38 + Maximum loop depth: 1 + Cyclomatic Complexity: 3 + Essential Cyclomatic Complexity: 3 + Number of procedures which call this one: 1 + Number of procedures which this calls: 2 + Number of comments associated with procedure: 6 + Number of comments in procedure header: 3 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 2 bits * + 1 msg * * * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * fix_two_bits_errors * + * (139 to 175 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : fix_two_bits_errors + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 145 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int bitmask1 = 1 << (7-(j%8)); + + C 145 Negative (or potentially negative) shift. : int : ( 7 - ( j % 8 ) ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.12.2 + Source : int bitmask1 = 1 << (7-(j%8)); + + C 150 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int bitmask2 = 1 << (7-(i%8)); + + C 150 Negative (or potentially negative) shift. : int : ( 7 - ( i % 8 ) ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.12.2 + Source : int bitmask2 = 1 << (7-(i%8)); + + C 153 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(aux, msg, bits/8); + + C 155 Use of bit operator on signed type. : ^= used with int: bitmask1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : aux[byte1] ^= bitmask1; // Flip j-th bit. + + C 155 Signed/unsigned conversion without cast. : (unsigned char and int): aux [ byte1 ] ^= bitmask1 + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : aux[byte1] ^= bitmask1; // Flip j-th bit. + + C 156 Use of bit operator on signed type. : ^= used with int: bitmask2 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : aux[byte2] ^= bitmask2; // Flip i-th bit. + + C 156 Signed/unsigned conversion without cast. : (unsigned char and int): aux [ byte2 ] ^= bitmask2 + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : aux[byte2] ^= bitmask2; // Flip i-th bit. + + C 166 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(msg, aux, bits/8); + + C 170 Use of bit operator on signed type. : | used with int: j + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : return j | (i<<8); + + C 170 Use of shift operator on signed type. : << used with int: i + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : return j | (i<<8); + + C 170 Use of bit operator on signed type. : | used with int: ( i << 8 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : return j | (i<<8); + + M 153 Copy source parameter not checked before use. : msg + MISRA-C:2012(Ed 3 Rev 1) R.21.17 + Source : memcpy(aux, msg, bits/8); + + M 166 Copy source parameter not checked before use. : aux + MISRA-C:2012(Ed 3 Rev 1) R.21.17 + Source : memcpy(msg, aux, bits/8); + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 49 + Maximum loop depth: 2 + Cyclomatic Complexity: 4 + Essential Cyclomatic Complexity: 4 + Number of procedures which call this one: 1 + Number of procedures which this calls: 2 + Number of comments associated with procedure: 11 + Number of comments in procedure header: 3 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 2 bits * + 1 msg * * * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * icao_cache_has_addr * + * (179 to 186 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : icao_cache_has_addr + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 182 Literal value requires a U suffix. : 0x45d9f3b + MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : a = ((a >> 16) ^ a) * 0x45d9f3b; + + C 183 Literal value requires a U suffix. : 0x45d9f3b + MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : a = ((a >> 16) ^ a) * 0x45d9f3b; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 9 + Maximum loop depth: 0 + Cyclomatic Complexity: 1 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 2 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 4 + Number of comments in procedure header: 2 + Number of comments in declarations: 2 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 1 a * * + + + + -------------------------------------------------------------------------------- + + + ********************************* + * * + * add_recently_seen_icao_addr * + * (191 to 195 mode-s.c) * + * FAIL * + * * + ********************************* + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : add_recently_seen_icao_addr + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 194 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : self->icao_cache[h*2+1] = (uint32_t) time(NULL); + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 7 + Maximum loop depth: 0 + Cyclomatic Complexity: 1 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 1 + Number of procedures which this calls: 2 + Number of comments associated with procedure: 3 + Number of comments in procedure header: 3 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 2 addr * + 1 (self)->icao_cache + * + 1 self * + + + + -------------------------------------------------------------------------------- + + + ********************************* + * * + * icao_addr_was_recently_seen * + * (200 to 206 mode-s.c) * + * FAIL * + * * + ********************************* + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : icao_addr_was_recently_seen + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 203 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : int32_t t = self->icao_cache[h*2+1]; + + C 205 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : return a && a == addr && time(NULL)-t <= MODE_S_ICAO_CACHE_TTL; + + C 205 Signed/unsigned conversion without cast. : (unsigned int and int): ) - t + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : return a && a == addr && time(NULL)-t <= MODE_S_ICAO_CACHE_TTL; + + C 205 Use of mixed mode arithmetic. : (unsigned int and int): ) - t + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : return a && a == addr && time(NULL)-t <= MODE_S_ICAO_CACHE_TTL; + + C 205 Literal value requires a U suffix. : 60 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : return a && a == addr && time(NULL)-t <= MODE_S_ICAO_CACHE_TTL; + + C 205 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : return a && a == addr && time(NULL)-t <= MODE_S_ICAO_CACHE_TTL; + + C 205 Use of mixed mode arithmetic. : (unsigned int and signed char): ) - t <= 60 + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : return a && a == addr && time(NULL)-t <= MODE_S_ICAO_CACHE_TTL; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 14 + Maximum loop depth: 0 + Cyclomatic Complexity: 3 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 1 + Number of procedures which this calls: 2 + Number of comments associated with procedure: 3 + Number of comments in procedure header: 3 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 2 addr * + 1 (self)->icao_cache * + 1 self * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * brute_force_ap * + * (223 to 263 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : brute_force_ap + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 224 Variable-length array declared. : aux[*] + MISRA-C:2012(Ed 3 Rev 1) R.18.8 + Source : unsigned char aux[MODE_S_LONG_MSG_BYTES]; + + C 241 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(aux, msg, msgbits/8); + + C 248 Implicit conversion of underlying type (MR). : (unsigned char and unsigned int): aux [ lastbyte ] ^= crc + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : aux[lastbyte] ^= crc & 0xff; + + C 248 Narrower int conversion without cast. : (unsigned char and unsigned int): aux [ lastbyte ] ^= crc + MISRA-C:2012(Ed 3 Rev 1) R.10.3 + Source : aux[lastbyte] ^= crc & 0xff; + + C 248 Literal value requires a U suffix. : 0xff + MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : aux[lastbyte] ^= crc & 0xff; + + C 248 Use of bit operator on signed type. : & used with int: 0xff + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : aux[lastbyte] ^= crc & 0xff; + + C 249 Implicit conversion of underlying type (MR). : (unsigned char and unsigned int): aux [ lastbyte - 1 ] ^= ( crc >> 8 + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : aux[lastbyte-1] ^= (crc >> 8) & 0xff; + + C 249 Narrower int conversion without cast. : (unsigned char and unsigned int): aux [ lastbyte - 1 ] ^= ( crc >> 8 + MISRA-C:2012(Ed 3 Rev 1) R.10.3 + Source : aux[lastbyte-1] ^= (crc >> 8) & 0xff; + + C 249 Literal value requires a U suffix. : 0xff + MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : aux[lastbyte-1] ^= (crc >> 8) & 0xff; + + C 249 Use of bit operator on signed type. : & used with int: 0xff + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : aux[lastbyte-1] ^= (crc >> 8) & 0xff; + + C 250 Implicit conversion of underlying type (MR). : (unsigned char and unsigned int): aux [ lastbyte - 2 ] ^= ( crc >> 16 + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : aux[lastbyte-2] ^= (crc >> 16) & 0xff; + + C 250 Narrower int conversion without cast. : (unsigned char and unsigned int): aux [ lastbyte - 2 ] ^= ( crc >> 16 + MISRA-C:2012(Ed 3 Rev 1) R.10.3 + Source : aux[lastbyte-2] ^= (crc >> 16) & 0xff; + + C 250 Literal value requires a U suffix. : 0xff + MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : aux[lastbyte-2] ^= (crc >> 16) & 0xff; + + C 250 Use of bit operator on signed type. : & used with int: 0xff + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : aux[lastbyte-2] ^= (crc >> 16) & 0xff; + + C 254 No cast for widening complex int expression (MR). : (unsigned int and unsigned char): addr = aux + MISRA-C:2012(Ed 3 Rev 1) R.10.6,R.10.7 + Source : addr = aux[lastbyte] | (aux[lastbyte-1] << 8) | (aux[lastbyte-2] << 16); + + C 254 Shifting value too far. MISRA-C:2012(Ed 3 Rev 1) R.12.2 + Source : addr = aux[lastbyte] | (aux[lastbyte-1] << 8) | (aux[lastbyte-2] << 16); + + C 255 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (icao_addr_was_recently_seen(self, addr)) { + + C 256 Signed/unsigned conversion without cast. : (int and unsigned char): mm -> aa1 = aux + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->aa1 = aux[lastbyte-2]; + + C 257 Signed/unsigned conversion without cast. : (int and unsigned char): mm -> aa2 = aux + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->aa2 = aux[lastbyte-1]; + + C 258 Signed/unsigned conversion without cast. : (int and unsigned char): mm -> aa3 = aux + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->aa3 = aux[lastbyte]; + + C 223 Type is incomplete in translation unit. : mode_s_msg + MISRA-C:2012(Ed 3 Rev 1) R.1.3 + Source : int brute_force_ap(mode_s_t *self, unsigned char *msg, struct mode_s_msg *mm) { + + M 241 Copy source parameter not checked before use. : msg + MISRA-C:2012(Ed 3 Rev 1) R.21.17 + Source : memcpy(aux, msg, msgbits/8); + + C 241 Copy length parameter not checked before use. : msgbits + MISRA-C:2012(Ed 3 Rev 1) D.4.1 + Source : memcpy(aux, msg, msgbits/8); + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 42 + Maximum loop depth: 0 + Cyclomatic Complexity: 9 + Essential Cyclomatic Complexity: 3 + Number of procedures which call this one: 1 + Number of procedures which this calls: 3 + Number of comments associated with procedure: 23 + Number of comments in procedure header: 15 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 3 (mm)->aa3 * * + 3 (mm)->aa2 * * + 3 (mm)->aa1 * * + 3 (mm)->msgbits * + 3 (mm)->msgtype * + 3 mm * + 2 msg * * + 1 (self)->icao_cache * * + 1 self * * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * decode_ac13_field * + * (267 to 290 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : decode_ac13_field + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 268 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 267 + Source : int decode_ac13_field(unsigned char *msg, int *unit) { + Source : int m_bit = msg[3] & (1<<6); + + C 268 Signed/unsigned conversion without cast. : (int and unsigned char): msg + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : int m_bit = msg[3] & (1<<6); + + C 268 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int m_bit = msg[3] & (1<<6); + + C 268 Use of bit operator on signed type. : & used with signed char: ( 1 << 6 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int m_bit = msg[3] & (1<<6); + + C 269 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 267 + Source : int decode_ac13_field(unsigned char *msg, int *unit) { + Source : int q_bit = msg[3] & (1<<4); + + C 269 Signed/unsigned conversion without cast. : (int and unsigned char): msg + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : int q_bit = msg[3] & (1<<4); + + C 269 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int q_bit = msg[3] & (1<<4); + + C 269 Use of bit operator on signed type. : & used with signed char: ( 1 << 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int q_bit = msg[3] & (1<<4); + + C 271 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (!m_bit) { + + C 273 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (q_bit) { + + C 275 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 267 + Source : int decode_ac13_field(unsigned char *msg, int *unit) { + Source : int n = ((msg[2]&31)<<6) | + + C 275 Value is not of appropriate type. : (unsigned char and signed char): ( msg [ 2 ] & + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : int n = ((msg[2]&31)<<6) | + + C 275 Use of bit operator on signed type. : & used with int: 31 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int n = ((msg[2]&31)<<6) | + + C 275 Use of shift operator on signed type. : << used with signed char: ( msg [ 2 ] & 31 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int n = ((msg[2]&31)<<6) | + + C 275 Use of bit operator on signed type. : | used with signed char: ( ( msg [ 2 ] & 31 ) << 6 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int n = ((msg[2]&31)<<6) | + + C 276 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 267 + Source : int decode_ac13_field(unsigned char *msg, int *unit) { + Source : ((msg[3]&0x80)>>2) | + + C 276 Unsuffixed hex or octal is unsigned, add U. : 0x80 + MISRA-C:2012(Ed 3 Rev 1) R.7.2 + Source : ((msg[3]&0x80)>>2) | + + C 276 Value is not of appropriate type. : (unsigned char and signed char): ( msg [ 3 ] & + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : ((msg[3]&0x80)>>2) | + + C 276 Use of bit operator on signed type. : & used with int: 0x80 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3]&0x80)>>2) | + + C 276 Use of shift operator on signed type. : >> used with signed char: ( msg [ 3 ] & 0x80 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3]&0x80)>>2) | + + C 276 Use of bit operator on signed type. : | used with signed char: ( ( msg [ 3 ] & 0x80 ) >> 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3]&0x80)>>2) | + + C 278 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 267 + Source : int decode_ac13_field(unsigned char *msg, int *unit) { + Source : (msg[3]&15); + + C 278 Value is not of appropriate type. : (unsigned char and signed char): ( msg [ 3 ] & + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : (msg[3]&15); + + C 278 Use of bit operator on signed type. : & used with int: 0x20 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : (msg[3]&15); + + C 278 Use of shift operator on signed type. : >> used with signed char: ( msg [ 3 ] & 0x20 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : (msg[3]&15); + + C 278 Use of bit operator on signed type. : | used with signed char: ( ( msg [ 3 ] & 0x20 ) >> 1 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : (msg[3]&15); + + C 278 Signed/unsigned conversion without cast. : (signed char and unsigned char): ( msg [ 3 ] & 0x20 ) >> 1 ) | ( msg + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : (msg[3]&15); + + C 278 Value is not of appropriate type. : (unsigned char and signed char): ( msg [ 3 ] & 0x20 ) >> 1 ) | ( msg [ 3 ] & + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : (msg[3]&15); + + C 278 Use of bit operator on signed type. : & used with int: 15 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : (msg[3]&15); + + C 278 Use of bit operator on signed type. : | used with signed char: ( msg [ 3 ] & 15 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : (msg[3]&15); + + C 281 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : return n*25-1000; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 25 + Maximum loop depth: 0 + Cyclomatic Complexity: 3 + Essential Cyclomatic Complexity: 3 + Number of procedures which call this one: 1 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 7 + Number of comments in procedure header: 2 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 2 *(unit) * + 2 unit * + 1 msg * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * decode_ac12_field * + * (294 to 307 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : decode_ac12_field + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 295 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 294 + Source : int decode_ac12_field(unsigned char *msg, int *unit) { + Source : int q_bit = msg[5] & 1; + + C 295 Signed/unsigned conversion without cast. : (int and unsigned char): msg + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : int q_bit = msg[5] & 1; + + C 295 Value is not of appropriate type. : (unsigned char and signed char): msg [ 5 ] & + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : int q_bit = msg[5] & 1; + + C 295 Use of bit operator on signed type. : & used with int: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int q_bit = msg[5] & 1; + + C 297 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (q_bit) { + + C 300 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 294 + Source : int decode_ac12_field(unsigned char *msg, int *unit) { + Source : int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + + C 300 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 294 + Source : int decode_ac12_field(unsigned char *msg, int *unit) { + Source : int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + + C 300 Unsuffixed hex or octal is unsigned, add U. : 0xF0 + MISRA-C:2012(Ed 3 Rev 1) R.7.2 + Source : int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + + C 300 Value is not of appropriate type. : (unsigned char and signed char): ( msg [ 6 ] & + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + + C 300 Use of bit operator on signed type. : & used with int: 0xF0 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + + C 300 Use of shift operator on signed type. : >> used with signed char: ( msg [ 6 ] & 0xF0 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + + C 300 Use of bit operator on signed type. : | used with signed char: ( ( msg [ 6 ] & 0xF0 ) >> 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : int n = ((msg[5]>>1)<<4) | ((msg[6]&0xF0) >> 4); + + C 303 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : return n*25-1000; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 16 + Maximum loop depth: 0 + Cyclomatic Complexity: 2 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 1 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 5 + Number of comments in procedure header: 2 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 2 *(unit) * * + 2 unit * * + 1 msg * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * mode_s_decode * + * (313 to 486 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : mode_s_decode + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + C DD data flow anomalies found. MISRA-C:2012(Ed 3 Rev 1) R.2.2 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 317 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(mm->msg, msg, MODE_S_LONG_MSG_BYTES); + + C 321 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 313 + Source : void mode_s_decode(mode_s_t *self, struct mode_s_msg *mm, unsigned char *msg) { + Source : mm->msgtype = msg[0]>>3; // Downlink Format + + C 321 Signed/unsigned conversion without cast. : (int and unsigned char): mm -> msgtype = msg + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->msgtype = msg[0]>>3; // Downlink Format + + C 325 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 313 + Source : void mode_s_decode(mode_s_t *self, struct mode_s_msg *mm, unsigned char *msg) { + Source : mm->crc = ((uint32_t)msg[(mm->msgbits/8)-3] << 16) | + + C 326 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 313 + Source : void mode_s_decode(mode_s_t *self, struct mode_s_msg *mm, unsigned char *msg) { + Source : ((uint32_t)msg[(mm->msgbits/8)-2] << 8) | + + C 326 Declaration does not specify an array. : msg + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 313 + Source : void mode_s_decode(mode_s_t *self, struct mode_s_msg *mm, unsigned char *msg) { + Source : ((uint32_t)msg[(mm->msgbits/8)-2] << 8) | + + C 334 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (!mm->crcok && self->fix_errors && (mm->msgtype == 11 || mm->msgtype == 17)) { + + C 334 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (!mm->crcok && self->fix_errors && (mm->msgtype == 11 || mm->msgtype == 17)) { + + C 335 Assignment operation in expression. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2,R.13.4 + Source : if ((mm->errorbit = fix_single_bit_errors(msg, mm->msgbits)) != -1) { + + C 335 Assignment operator in boolean expression. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.4 + Source : if ((mm->errorbit = fix_single_bit_errors(msg, mm->msgbits)) != -1) { + + C 336 Signed/unsigned conversion without cast. : (int and unsigned int): mode_s_checksum + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->crc = mode_s_checksum(msg, mm->msgbits); + + C 338 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : } else if (self->aggressive && mm->msgtype == 17 && + + C 339 Assignment operation in expression. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2,R.13.4 + Source : (mm->errorbit = fix_two_bits_errors(msg, mm->msgbits)) != -1) { + + C 339 Assignment operator in boolean expression. MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.4 + Source : (mm->errorbit = fix_two_bits_errors(msg, mm->msgbits)) != -1) { + + C 340 Signed/unsigned conversion without cast. : (int and unsigned int): mode_s_checksum + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->crc = mode_s_checksum(msg, mm->msgbits); + + C 342 Else alternative missing in if. MISRA-C:2012(Ed 3 Rev 1) R.15.7 + Source : } + + C 348 Use of bit operator on signed type. : & used with int: msg [ 0 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ca = msg[0] & 7; // Responder capabilities. + + C 348 Use of bit operator on signed type. : & used with int: 7 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ca = msg[0] & 7; // Responder capabilities. + + C 356 Use of shift operator on signed type. : >> used with int: msg [ 4 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->metype = msg[4] >> 3; // Extended squitter message type. + + C 357 Use of bit operator on signed type. : & used with int: msg [ 4 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->mesub = msg[4] & 7; // Extended squitter message subtype. + + C 357 Use of bit operator on signed type. : & used with int: 7 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->mesub = msg[4] & 7; // Extended squitter message subtype. + + C 360 Use of bit operator on signed type. : & used with int: msg [ 0 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->fs = msg[0] & 7; // Flight status for DF4,5,20,21 + + C 360 Use of bit operator on signed type. : & used with int: 7 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->fs = msg[0] & 7; // Flight status for DF4,5,20,21 + + C 361 Use of shift operator on signed type. : >> used with int: msg [ 1 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->dr = msg[1] >> 3 & 31; // Request extraction of downlink request. + + C 361 Use of bit operator on signed type. : & used with int: msg [ 1 ] >> 3 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->dr = msg[1] >> 3 & 31; // Request extraction of downlink request. + + C 361 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : mm->dr = msg[1] >> 3 & 31; // Request extraction of downlink request. + + C 361 Use of bit operator on signed type. : & used with int: 31 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->dr = msg[1] >> 3 & 31; // Request extraction of downlink request. + + C 362 Use of bit operator on signed type. : & used with int: msg [ 1 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->um = ((msg[1] & 7)<<3)| // Request extraction of downlink request. + + C 362 Use of bit operator on signed type. : & used with int: 7 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->um = ((msg[1] & 7)<<3)| // Request extraction of downlink request. + + C 362 Use of shift operator on signed type. : << used with int: ( msg [ 1 ] & 7 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->um = ((msg[1] & 7)<<3)| // Request extraction of downlink request. + + C 362 Use of bit operator on signed type. : | used with int: ( ( msg [ 1 ] & 7 ) << 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->um = ((msg[1] & 7)<<3)| // Request extraction of downlink request. + + C 363 Use of bit operator on signed type. : | used with int: msg [ 2 ] >> 5 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : msg[2]>>5; + + C 363 Use of shift operator on signed type. : >> used with int: msg [ 2 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : msg[2]>>5; + + C 363 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : msg[2]>>5; + + C 380 Use of bit operator on signed type. : & used with int: msg [ 3 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : a = ((msg[3] & 0x80) >> 5) | + + C 380 Use of bit operator on signed type. : & used with int: 0x80 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : a = ((msg[3] & 0x80) >> 5) | + + C 380 Use of shift operator on signed type. : >> used with int: ( msg [ 3 ] & 0x80 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : a = ((msg[3] & 0x80) >> 5) | + + C 380 Use of bit operator on signed type. : | used with int: ( ( msg [ 3 ] & 0x80 ) >> 5 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : a = ((msg[3] & 0x80) >> 5) | + + C 381 Use of bit operator on signed type. : & used with int: msg [ 2 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x02) >> 0) | + + C 381 Use of bit operator on signed type. : & used with int: 0x02 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x02) >> 0) | + + C 381 Use of shift operator on signed type. : >> used with int: ( msg [ 2 ] & 0x02 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x02) >> 0) | + + C 381 Use of bit operator on signed type. : | used with int: ( ( msg [ 2 ] & 0x02 ) >> 0 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x02) >> 0) | + + C 382 Use of bit operator on signed type. : & used with int: msg [ 2 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x08) >> 3); + + C 382 Use of bit operator on signed type. : & used with int: 0x08 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x08) >> 3); + + C 382 Use of shift operator on signed type. : >> used with int: ( msg [ 2 ] & 0x08 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x08) >> 3); + + C 382 Use of bit operator on signed type. : | used with int: ( ( msg [ 2 ] & 0x08 ) >> 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x08) >> 3); + + C 383 Use of bit operator on signed type. : & used with int: msg [ 3 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : b = ((msg[3] & 0x02) << 1) | + + C 383 Use of bit operator on signed type. : & used with int: 0x02 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : b = ((msg[3] & 0x02) << 1) | + + C 383 Use of shift operator on signed type. : << used with int: ( msg [ 3 ] & 0x02 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : b = ((msg[3] & 0x02) << 1) | + + C 383 Use of bit operator on signed type. : | used with int: ( ( msg [ 3 ] & 0x02 ) << 1 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : b = ((msg[3] & 0x02) << 1) | + + C 384 Use of bit operator on signed type. : & used with int: msg [ 3 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x08) >> 2) | + + C 384 Use of bit operator on signed type. : & used with int: 0x08 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x08) >> 2) | + + C 384 Use of shift operator on signed type. : >> used with int: ( msg [ 3 ] & 0x08 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x08) >> 2) | + + C 384 Use of bit operator on signed type. : | used with int: ( ( msg [ 3 ] & 0x08 ) >> 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x08) >> 2) | + + C 385 Use of bit operator on signed type. : & used with int: msg [ 3 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x20) >> 5); + + C 385 Use of bit operator on signed type. : & used with int: 0x20 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x20) >> 5); + + C 385 Use of shift operator on signed type. : >> used with int: ( msg [ 3 ] & 0x20 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x20) >> 5); + + C 385 Use of bit operator on signed type. : | used with int: ( ( msg [ 3 ] & 0x20 ) >> 5 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x20) >> 5); + + C 386 Use of bit operator on signed type. : & used with int: msg [ 2 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : c = ((msg[2] & 0x01) << 2) | + + C 386 Use of bit operator on signed type. : & used with int: 0x01 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : c = ((msg[2] & 0x01) << 2) | + + C 386 Use of shift operator on signed type. : << used with int: ( msg [ 2 ] & 0x01 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : c = ((msg[2] & 0x01) << 2) | + + C 386 Use of bit operator on signed type. : | used with int: ( ( msg [ 2 ] & 0x01 ) << 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : c = ((msg[2] & 0x01) << 2) | + + C 387 Use of bit operator on signed type. : & used with int: msg [ 2 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x04) >> 1) | + + C 387 Use of bit operator on signed type. : & used with int: 0x04 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x04) >> 1) | + + C 387 Use of shift operator on signed type. : >> used with int: ( msg [ 2 ] & 0x04 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x04) >> 1) | + + C 387 Use of bit operator on signed type. : | used with int: ( ( msg [ 2 ] & 0x04 ) >> 1 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x04) >> 1) | + + C 388 Use of bit operator on signed type. : & used with int: msg [ 2 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x10) >> 4); + + C 388 Use of bit operator on signed type. : & used with int: 0x10 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x10) >> 4); + + C 388 Use of shift operator on signed type. : >> used with int: ( msg [ 2 ] & 0x10 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x10) >> 4); + + C 388 Use of bit operator on signed type. : | used with int: ( ( msg [ 2 ] & 0x10 ) >> 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[2] & 0x10) >> 4); + + C 389 Use of bit operator on signed type. : & used with int: msg [ 3 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : d = ((msg[3] & 0x01) << 2) | + + C 389 Use of bit operator on signed type. : & used with int: 0x01 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : d = ((msg[3] & 0x01) << 2) | + + C 389 Use of shift operator on signed type. : << used with int: ( msg [ 3 ] & 0x01 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : d = ((msg[3] & 0x01) << 2) | + + C 389 Use of bit operator on signed type. : | used with int: ( ( msg [ 3 ] & 0x01 ) << 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : d = ((msg[3] & 0x01) << 2) | + + C 390 Use of bit operator on signed type. : & used with int: msg [ 3 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x04) >> 1) | + + C 390 Use of bit operator on signed type. : & used with int: 0x04 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x04) >> 1) | + + C 390 Use of shift operator on signed type. : >> used with int: ( msg [ 3 ] & 0x04 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x04) >> 1) | + + C 390 Use of bit operator on signed type. : | used with int: ( ( msg [ 3 ] & 0x04 ) >> 1 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x04) >> 1) | + + C 391 Use of bit operator on signed type. : & used with int: msg [ 3 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x10) >> 4); + + C 391 Use of bit operator on signed type. : & used with int: 0x10 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x10) >> 4); + + C 391 Use of shift operator on signed type. : >> used with int: ( msg [ 3 ] & 0x10 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x10) >> 4); + + C 391 Use of bit operator on signed type. : | used with int: ( ( msg [ 3 ] & 0x10 ) >> 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : ((msg[3] & 0x10) >> 4); + + C 392 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : mm->identity = a*1000 + b*100 + c*10 + d; + + C 401 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (brute_force_ap(self, msg, mm)) { + + C 410 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (mm->crcok && mm->errorbit == -1) { + + C 411 Use of shift operator on signed type. : << used with int: mm -> aa1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : uint32_t addr = (mm->aa1 << 16) | (mm->aa2 << 8) | mm->aa3; + + C 411 Use of bit operator on signed type. : | used with int: ( mm -> aa1 << 16 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : uint32_t addr = (mm->aa1 << 16) | (mm->aa2 << 8) | mm->aa3; + + C 411 Use of shift operator on signed type. : << used with int: mm -> aa2 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : uint32_t addr = (mm->aa1 << 16) | (mm->aa2 << 8) | mm->aa3; + + C 411 Use of bit operator on signed type. : | used with int: ( mm -> aa2 << 8 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : uint32_t addr = (mm->aa1 << 16) | (mm->aa2 << 8) | mm->aa3; + + C 429 Use of shift operator on signed type. : >> used with int: msg [ 5 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[0] = (ais_charset)[msg[5]>>2]; + + C 430 Declaration does not specify an array. : ais_charset + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 309 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + Source : mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + + C 430 Use of bit operator on signed type. : & used with int: msg [ 5 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + + C 430 Use of bit operator on signed type. : & used with int: 3 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + + C 430 Use of shift operator on signed type. : << used with int: ( msg [ 5 ] & 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + + C 430 Use of bit operator on signed type. : | used with int: ( ( msg [ 5 ] & 3 ) << 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + + C 430 Use of shift operator on signed type. : >> used with int: msg [ 6 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + + C 430 Use of bit operator on signed type. : | used with int: ( msg [ 6 ] >> 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[1] = ais_charset[((msg[5]&3)<<4)|(msg[6]>>4)]; + + C 431 Declaration does not specify an array. : ais_charset + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 309 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + Source : mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + + C 431 Use of bit operator on signed type. : & used with int: msg [ 6 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + + C 431 Use of bit operator on signed type. : & used with int: 15 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + + C 431 Use of shift operator on signed type. : << used with int: ( msg [ 6 ] & 15 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + + C 431 Use of bit operator on signed type. : | used with int: ( ( msg [ 6 ] & 15 ) << 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + + C 431 Use of shift operator on signed type. : >> used with int: msg [ 7 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + + C 431 Use of bit operator on signed type. : | used with int: ( msg [ 7 ] >> 6 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[2] = ais_charset[((msg[6]&15)<<2)|(msg[7]>>6)]; + + C 432 Declaration does not specify an array. : ais_charset + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 309 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + Source : mm->flight[3] = ais_charset[msg[7]&63]; + + C 432 Use of bit operator on signed type. : & used with int: msg [ 7 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[3] = ais_charset[msg[7]&63]; + + C 432 Use of bit operator on signed type. : & used with int: 63 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[3] = ais_charset[msg[7]&63]; + + C 433 Declaration does not specify an array. : ais_charset + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 309 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + Source : mm->flight[4] = ais_charset[msg[8]>>2]; + + C 433 Use of shift operator on signed type. : >> used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[4] = ais_charset[msg[8]>>2]; + + C 434 Declaration does not specify an array. : ais_charset + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 309 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + Source : mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + + C 434 Use of bit operator on signed type. : & used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + + C 434 Use of bit operator on signed type. : & used with int: 3 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + + C 434 Use of shift operator on signed type. : << used with int: ( msg [ 8 ] & 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + + C 434 Use of bit operator on signed type. : | used with int: ( ( msg [ 8 ] & 3 ) << 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + + C 434 Use of shift operator on signed type. : >> used with int: msg [ 9 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + + C 434 Use of bit operator on signed type. : | used with int: ( msg [ 9 ] >> 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[5] = ais_charset[((msg[8]&3)<<4)|(msg[9]>>4)]; + + C 435 Declaration does not specify an array. : ais_charset + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 309 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + Source : mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + + C 435 Use of bit operator on signed type. : & used with int: msg [ 9 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + + C 435 Use of bit operator on signed type. : & used with int: 15 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + + C 435 Use of shift operator on signed type. : << used with int: ( msg [ 9 ] & 15 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + + C 435 Use of bit operator on signed type. : | used with int: ( ( msg [ 9 ] & 15 ) << 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + + C 435 Use of shift operator on signed type. : >> used with int: msg [ 10 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + + C 435 Use of bit operator on signed type. : | used with int: ( msg [ 10 ] >> 6 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[6] = ais_charset[((msg[9]&15)<<2)|(msg[10]>>6)]; + + C 436 Declaration does not specify an array. : ais_charset + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 309 + Source : static const char *ais_charset = "?ABCDEFGHIJKLMNOPQRSTUVWXYZ????? ???????????????0123456789?????? + Source : mm->flight[7] = ais_charset[msg[10]&63]; + + C 436 Use of bit operator on signed type. : & used with int: msg [ 10 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[7] = ais_charset[msg[10]&63]; + + C 436 Use of bit operator on signed type. : & used with int: 63 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->flight[7] = ais_charset[msg[10]&63]; + + C 440 Use of bit operator on signed type. : & used with int: msg [ 6 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->fflag = msg[6] & (1<<2); + + C 440 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->fflag = msg[6] & (1<<2); + + C 440 Use of bit operator on signed type. : & used with int: ( 1 << 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->fflag = msg[6] & (1<<2); + + C 441 Use of bit operator on signed type. : & used with int: msg [ 6 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->tflag = msg[6] & (1<<3); + + C 441 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->tflag = msg[6] & (1<<3); + + C 441 Use of bit operator on signed type. : & used with int: ( 1 << 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->tflag = msg[6] & (1<<3); + + C 443 Use of bit operator on signed type. : & used with int: msg [ 6 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 443 Use of bit operator on signed type. : & used with int: 3 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 443 Use of shift operator on signed type. : << used with int: ( msg [ 6 ] & 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 443 Use of bit operator on signed type. : | used with int: ( ( msg [ 6 ] & 3 ) << 15 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 443 Use of shift operator on signed type. : << used with int: msg [ 7 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 443 Use of bit operator on signed type. : | used with int: ( msg [ 7 ] << 7 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 443 Use of shift operator on signed type. : >> used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 443 Use of bit operator on signed type. : | used with int: ( msg [ 8 ] >> 1 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_latitude = ((msg[6] & 3) << 15) | + + C 446 Use of bit operator on signed type. : & used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_longitude = ((msg[8]&1) << 16) | + + C 446 Use of bit operator on signed type. : & used with int: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_longitude = ((msg[8]&1) << 16) | + + C 446 Use of shift operator on signed type. : << used with int: ( msg [ 8 ] & 1 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_longitude = ((msg[8]&1) << 16) | + + C 446 Use of bit operator on signed type. : | used with int: ( ( msg [ 8 ] & 1 ) << 16 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_longitude = ((msg[8]&1) << 16) | + + C 446 Use of shift operator on signed type. : << used with int: msg [ 9 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_longitude = ((msg[8]&1) << 16) | + + C 446 Use of bit operator on signed type. : | used with int: ( msg [ 9 ] << 8 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_longitude = ((msg[8]&1) << 16) | + + C 446 Use of bit operator on signed type. : | used with int: msg [ 10 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->raw_longitude = ((msg[8]&1) << 16) | + + C 452 Use of bit operator on signed type. : & used with int: msg [ 5 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_dir = (msg[5]&4) >> 2; + + C 452 Use of bit operator on signed type. : & used with int: 4 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_dir = (msg[5]&4) >> 2; + + C 452 Use of shift operator on signed type. : >> used with int: ( msg [ 5 ] & 4 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_dir = (msg[5]&4) >> 2; + + C 453 Use of bit operator on signed type. : & used with int: msg [ 5 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_velocity = ((msg[5]&3) << 8) | msg[6]; + + C 453 Use of bit operator on signed type. : & used with int: 3 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_velocity = ((msg[5]&3) << 8) | msg[6]; + + C 453 Use of shift operator on signed type. : << used with int: ( msg [ 5 ] & 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_velocity = ((msg[5]&3) << 8) | msg[6]; + + C 453 Use of bit operator on signed type. : | used with int: ( ( msg [ 5 ] & 3 ) << 8 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_velocity = ((msg[5]&3) << 8) | msg[6]; + + C 453 Use of bit operator on signed type. : | used with int: msg [ 6 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ew_velocity = ((msg[5]&3) << 8) | msg[6]; + + C 454 Use of bit operator on signed type. : & used with int: msg [ 7 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_dir = (msg[7]&0x80) >> 7; + + C 454 Use of bit operator on signed type. : & used with int: 0x80 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_dir = (msg[7]&0x80) >> 7; + + C 454 Use of shift operator on signed type. : >> used with int: ( msg [ 7 ] & 0x80 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_dir = (msg[7]&0x80) >> 7; + + C 455 Use of bit operator on signed type. : & used with int: msg [ 7 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 455 Use of bit operator on signed type. : & used with int: 0x7f + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 455 Use of shift operator on signed type. : << used with int: ( msg [ 7 ] & 0x7f ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 455 Use of bit operator on signed type. : | used with int: ( ( msg [ 7 ] & 0x7f ) << 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 455 Use of bit operator on signed type. : & used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 455 Use of bit operator on signed type. : & used with int: 0xe0 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 455 Use of shift operator on signed type. : >> used with int: ( msg [ 8 ] & 0xe0 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 455 Use of bit operator on signed type. : | used with int: ( ( msg [ 8 ] & 0xe0 ) >> 5 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->ns_velocity = ((msg[7]&0x7f) << 3) | ((msg[8]&0xe0) >> 5); + + C 456 Use of bit operator on signed type. : & used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate_source = (msg[8]&0x10) >> 4; + + C 456 Use of bit operator on signed type. : & used with int: 0x10 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate_source = (msg[8]&0x10) >> 4; + + C 456 Use of shift operator on signed type. : >> used with int: ( msg [ 8 ] & 0x10 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate_source = (msg[8]&0x10) >> 4; + + C 457 Use of bit operator on signed type. : & used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate_sign = (msg[8]&0x8) >> 3; + + C 457 Use of bit operator on signed type. : & used with int: 0x8 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate_sign = (msg[8]&0x8) >> 3; + + C 457 Use of shift operator on signed type. : >> used with int: ( msg [ 8 ] & 0x8 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate_sign = (msg[8]&0x8) >> 3; + + C 458 Use of bit operator on signed type. : & used with int: msg [ 8 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 458 Use of bit operator on signed type. : & used with int: 7 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 458 Use of shift operator on signed type. : << used with int: ( msg [ 8 ] & 7 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 458 Use of bit operator on signed type. : | used with int: ( ( msg [ 8 ] & 7 ) << 6 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 458 Use of bit operator on signed type. : & used with int: msg [ 9 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 458 Use of bit operator on signed type. : & used with int: 0xfc + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 458 Use of shift operator on signed type. : >> used with int: ( msg [ 9 ] & 0xfc ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 458 Use of bit operator on signed type. : | used with int: ( ( msg [ 9 ] & 0xfc ) >> 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->vert_rate = ((msg[8]&7) << 6) | ((msg[9]&0xfc) >> 2); + + C 460 Float/integer conversion without cast. : (int and double): mm -> velocity = sqrt + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->velocity = sqrt(mm->ns_velocity*mm->ns_velocity+ + + C 460 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : mm->velocity = sqrt(mm->ns_velocity*mm->ns_velocity+ + + C 462 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (mm->velocity) { + + C 467 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (mm->ew_dir) ewv *= -1; + + C 467 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (mm->ew_dir) ewv *= -1; + + C 468 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (mm->ns_dir) nsv *= -1; + + C 468 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (mm->ns_dir) nsv *= -1; + + C 472 Float/integer conversion without cast. : (int and double): mm -> heading = heading + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->heading = heading * 360 / (M_PI*2); + + C 472 Value is not of appropriate type. : (double and short): mm -> heading = heading + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : mm->heading = heading * 360 / (M_PI*2); + + C 472 Value is not of appropriate type. : (double and signed char): ( M_PI + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : mm->heading = heading * 360 / (M_PI*2); + + C 474 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (mm->heading < 0) mm->heading += 360; + + C 479 Use of bit operator on signed type. : & used with int: msg [ 5 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->heading_is_valid = msg[5] & (1<<2); + + C 479 Use of shift operator on signed type. : << used with signed char: 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->heading_is_valid = msg[5] & (1<<2); + + C 479 Use of bit operator on signed type. : & used with int: ( 1 << 2 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->heading_is_valid = msg[5] & (1<<2); + + C 480 Value is not of appropriate type. : (int and double): mm -> heading = ( 360.0 / + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Value is not of appropriate type. : (double and short): mm -> heading = ( 360.0 + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Float/integer conversion without cast. : (int and double): 128 + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Use of bit operator on signed type. : & used with int: msg [ 5 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Use of bit operator on signed type. : & used with int: 3 + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Use of mixed mode arithmetic. : (double and int): ( msg [ 5 ] & 3 + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Bit operator with floating point operand. : << used with double: ( msg [ 5 ] & 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.1.1,R.10.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Bit operator with floating point operand. : | used with double: ( ( msg [ 5 ] & 3 ) << 5 ) + MISRA-C:2012(Ed 3 Rev 1) R.1.1,R.10.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Use of shift operator on signed type. : >> used with int: msg [ 6 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 480 Use of bit operator on signed type. : | used with int: ( msg [ 6 ] >> 3 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.1 + Source : mm->heading = (360.0/128) * (((msg[5] & 3) << 5) | + + C 482 Else alternative missing in if. MISRA-C:2012(Ed 3 Rev 1) R.15.7 + Source : } + + C 483 Else alternative missing in if. MISRA-C:2012(Ed 3 Rev 1) R.15.7 + Source : } + + C 401 Implicit conversion: actual to formal param (MR). : (int and unsigned char): param 2 + MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 223 + Source : int brute_force_ap(mode_s_t *self, unsigned char *msg, struct mode_s_msg *mm) { + Source : if (brute_force_ap(self, msg, mm)) { + + C 419 Implicit conversion: actual to formal param (MR). : (int and unsigned char): param 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 267 + Source : int decode_ac13_field(unsigned char *msg, int *unit) { + Source : mm->altitude = decode_ac13_field(msg, &mm->unit); + + C 442 Implicit conversion: actual to formal param (MR). : (int and unsigned char): param 1 + MISRA-C:2012(Ed 3 Rev 1) R.10.3 + 294 + Source : int decode_ac12_field(unsigned char *msg, int *unit) { + Source : mm->altitude = decode_ac12_field(msg, &mm->unit); + + C 339 Call has execution order dependant side effects. : fix_two_bits_errors + MISRA-C:2012(Ed 3 Rev 1) R.13.1,R.13.2,R.13.5 + Source : (mm->errorbit = fix_two_bits_errors(msg, mm->msgbits)) != -1) { + + M 317 Copy source parameter not checked before use. : msg + MISRA-C:2012(Ed 3 Rev 1) R.21.17 + Source : memcpy(mm->msg, msg, MODE_S_LONG_MSG_BYTES); + + C 317 Copy length parameter not checked before use. : MODE_S_LONG_MSG_BYTES + MISRA-C:2012(Ed 3 Rev 1) D.4.1 + Source : memcpy(mm->msg, msg, MODE_S_LONG_MSG_BYTES); + + C 472 Var set by std lib func return not checked before use. : heading + MISRA-C:2012(Ed 3 Rev 1) D.4.7 + 469 + Source : heading = atan2(ewv, nsv); + 465 + Source : double heading; + Source : mm->heading = heading * 360 / (M_PI*2); + + C 472 Global denominator not checked within this procedure. : M_PI + MISRA-C:2012(Ed 3 Rev 1) D.4.1,D.4.14 + Source : mm->heading = heading * 360 / (M_PI*2); + + + + + Calls to functions with side effects in unsuitable locations + ------------------------------------------------------------ + ======================================= + LINE SIDE EFFECT FUNCTION + ======================================= + 339 Parameter fix_two_bits_errors + Source: (mm->errorbit = fix_two_bits_errors(msg, mm->msgbits)) != -1) { + + + Basic Information + ----------------- + Number of executable reformatted lines: 285 + Maximum loop depth: 0 + Cyclomatic Complexity: 34 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 1 + Number of procedures which this calls: 11 + Number of comments associated with procedure: 51 + Number of comments in procedure header: 2 + Number of comments in declarations: 2 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 3 msg * * + 2 (mm)->phase_corrected + * + 2 (mm)->heading_is_valid + * * + 2 (mm)->heading * * + 2 (mm)->velocity * * + 2 (mm)->vert_rate + * * + 2 (mm)->vert_rate_sign + * * + 2 (mm)->vert_rate_source + * * + 2 (mm)->ns_velocity + * * + 2 (mm)->ns_dir * * + 2 (mm)->ew_velocity + * * + 2 (mm)->ew_dir * * + 2 (mm)->raw_longitude + * * + 2 (mm)->raw_latitude + * * + 2 (mm)->tflag * * + 2 (mm)->fflag * * + 2 (mm)->flight * * + 2 (mm)->aircraft_type + * * + 2 (mm)->unit * * + 2 (mm)->altitude * * + 2 (mm)->identity * + 2 (mm)->um * + 2 (mm)->dr * + 2 (mm)->fs * + 2 (mm)->mesub * + 2 (mm)->metype * + 2 (mm)->aa3 * + 2 (mm)->aa2 * + 2 (mm)->aa1 * + 2 (mm)->ca * + 2 (mm)->crcok * + 2 (mm)->errorbit * + 2 (mm)->crc * + 2 (mm)->msgbits * + 2 (mm)->msgtype * + 2 (mm)->msg * * + 2 mm * + 1 (self)->icao_cache + * * * + 1 (self)->aggressive * * + 1 (self)->fix_errors * * + 1 self * * + + + + -------------------------------------------------------------------------------- + + + ************************************* + * * + * mode_s_compute_magnitude_vector * + * (489 to 502 mode-s.c) * + * FAIL * + * * + ************************************* + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : mode_s_compute_magnitude_vector + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 494 Literal value requires a U suffix. : 0 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : for (j = 0; j < size; j += 2) { + + C 494 Signed/unsigned conversion without cast. : (unsigned int and int): j + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : for (j = 0; j < size; j += 2) { + + C 494 For loop incrementation is not simple. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + Source : for (j = 0; j < size; j += 2) { + + C 494 Literal value requires a U suffix. : 2 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : for (j = 0; j < size; j += 2) { + + C 495 Declaration does not specify an array. : data + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 489 + Source : void mode_s_compute_magnitude_vector(unsigned char *data, uint16_t *mag, uint32_t size) { + Source : int i = data[j]-127; + + C 495 Signed/unsigned conversion without cast. : (int and unsigned char): data + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : int i = data[j]-127; + + C 495 Use of mixed mode arithmetic. : (unsigned char and signed char): data [ j ] - 127 + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : int i = data[j]-127; + + C 496 Declaration does not specify an array. : data + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 489 + Source : void mode_s_compute_magnitude_vector(unsigned char *data, uint16_t *mag, uint32_t size) { + Source : int q = data[j+1]-127; + + C 496 Signed/unsigned conversion without cast. : (int and unsigned char): data + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : int q = data[j+1]-127; + + C 496 Use of mixed mode arithmetic. : (unsigned char and signed char): data [ j + 1 ] - 127 + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : int q = data[j+1]-127; + + C 498 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (i < 0) i = -i; + + C 499 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (q < 0) q = -q; + + C 500 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 489 + Source : void mode_s_compute_magnitude_vector(unsigned char *data, uint16_t *mag, uint32_t size) { + Source : mag[j/2] = maglut[i*129+q]; + + C 500 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : mag[j/2] = maglut[i*129+q]; + + C 500 Global set by std lib func return not checked before use. : maglut + MISRA-C:2012(Ed 3 Rev 1) D.4.7 + 10 + Source : static uint16_t maglut[129*129*2]; + Source : mag[j/2] = maglut[i*129+q]; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 28 + Maximum loop depth: 1 + Cyclomatic Complexity: 4 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 0 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 3 + Number of comments in procedure header: 1 + Number of comments in declarations: 2 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 3 size * + 2 mag * * + 1 data * * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * detect_out_of_phase * + * (510 to 516 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : detect_out_of_phase + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 511 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 510 + Source : int detect_out_of_phase(uint16_t *mag) { + Source : if (mag[3] > mag[2]/3) return 1; + + C 511 Literal value requires a U suffix. : 3 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : if (mag[3] > mag[2]/3) return 1; + + C 511 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : if (mag[3] > mag[2]/3) return 1; + + C 511 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (mag[3] > mag[2]/3) return 1; + + C 512 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 510 + Source : int detect_out_of_phase(uint16_t *mag) { + Source : if (mag[10] > mag[9]/3) return 1; + + C 512 Literal value requires a U suffix. : 3 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : if (mag[10] > mag[9]/3) return 1; + + C 512 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : if (mag[10] > mag[9]/3) return 1; + + C 512 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (mag[10] > mag[9]/3) return 1; + + C 513 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 510 + Source : int detect_out_of_phase(uint16_t *mag) { + Source : if (mag[6] > mag[7]/3) return -1; + + C 513 Literal value requires a U suffix. : 3 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : if (mag[6] > mag[7]/3) return -1; + + C 513 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : if (mag[6] > mag[7]/3) return -1; + + C 513 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (mag[6] > mag[7]/3) return -1; + + C 514 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 510 + Source : int detect_out_of_phase(uint16_t *mag) { + Source : if (mag[-1] > mag[1]/3) return -1; + + C 514 Array index is negative. : mag[*]; accessed=-1 + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + Source : if (mag[-1] > mag[1]/3) return -1; + + C 514 Literal value requires a U suffix. : 3 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : if (mag[-1] > mag[1]/3) return -1; + + C 514 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : if (mag[-1] > mag[1]/3) return -1; + + C 514 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (mag[-1] > mag[1]/3) return -1; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 39 + Maximum loop depth: 0 + Cyclomatic Complexity: 5 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 1 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 6 + Number of comments in procedure header: 6 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 1 mag * + + + + -------------------------------------------------------------------------------- + + + **************************** + * * + * apply_phase_correction * + * (544 to 557 mode-s.c) * + * FAIL * + * * + **************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : apply_phase_correction + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + C 548 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : for (j = 0; j < (MODE_S_LONG_MSG_BITS-1)*2; j += 2) { + + C 548 For loop incrementation is not simple. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + Source : for (j = 0; j < (MODE_S_LONG_MSG_BITS-1)*2; j += 2) { + + C 549 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 544 + Source : void apply_phase_correction(uint16_t *mag) { + Source : if (mag[j] > mag[j+1]) { + + C 551 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 544 + Source : void apply_phase_correction(uint16_t *mag) { + Source : mag[j+2] = (mag[j+2] * 5) / 4; + + C 551 Literal value requires a U suffix. : 5 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : mag[j+2] = (mag[j+2] * 5) / 4; + + C 551 Literal value requires a U suffix. : 4 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : mag[j+2] = (mag[j+2] * 5) / 4; + + C 554 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 544 + Source : void apply_phase_correction(uint16_t *mag) { + Source : mag[j+2] = (mag[j+2] * 4) / 5; + + C 554 Literal value requires a U suffix. : 4 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : mag[j+2] = (mag[j+2] * 4) / 5; + + C 554 Literal value requires a U suffix. : 5 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : mag[j+2] = (mag[j+2] * 4) / 5; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 26 + Maximum loop depth: 1 + Cyclomatic Complexity: 3 + Essential Cyclomatic Complexity: 1 + Number of procedures which call this one: 1 + Number of procedures which this calls: 0 + Number of comments associated with procedure: 29 + Number of comments in procedure header: 26 + Number of comments in declarations: 0 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 1 mag * * + + + + -------------------------------------------------------------------------------- + + + *************************** + * * + * mode_s_detect * + * (562 to 740 mode-s.c) * + * FAIL * + * * + *************************** + + Standards Violation Summary + --------------------------- + ================================================================= + CODE VIOLATION STANDARD + ================================================================= + C No prototype for non-static function. : mode_s_detect + MISRA-C:2012(Ed 3 Rev 1) R.8.4 + C DD data flow anomalies found. MISRA-C:2012(Ed 3 Rev 1) R.2.2 + + + =========================================================================== + CODE LINE VIOLATION STANDARD + =========================================================================== + M 728 Function call with no prior declaration. : cb MISRA-C:2012(Ed 3 Rev 1) R.17.3 + Source : cb(self, &mm); + + C 591 Literal value requires a U suffix. : 0 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : for (j = 0; j < maglen - MODE_S_FULL_LEN*2; j++) { + + C 591 Signed/unsigned conversion without cast. : (unsigned int and int): j + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : for (j = 0; j < maglen - MODE_S_FULL_LEN*2; j++) { + + C 591 Literal value requires a U suffix. : 8 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : for (j = 0; j < maglen - MODE_S_FULL_LEN*2; j++) { + + C 591 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : for (j = 0; j < maglen - MODE_S_FULL_LEN*2; j++) { + + C 591 Value is not of appropriate type. : (unsigned int and signed char): j < maglen - ( 8 + + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : for (j = 0; j < maglen - MODE_S_FULL_LEN*2; j++) { + + C 591 Use of mixed mode arithmetic. : (unsigned int and signed char): j < maglen - ( 8 + 112 + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : for (j = 0; j < maglen - MODE_S_FULL_LEN*2; j++) { + + C 595 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (use_correction) goto good_preamble; // We already checked it. + + C 600 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : if (!(mag[j] > mag[j+1] && + + C 600 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (!(mag[j] > mag[j+1] && + + C 601 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+1] < mag[j+2] && + + C 601 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+1] < mag[j+2] && + + C 602 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+2] > mag[j+3] && + + C 602 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+2] > mag[j+3] && + + C 603 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+3] < mag[j] && + + C 603 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+3] < mag[j] && + + C 604 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+4] < mag[j] && + + C 604 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+4] < mag[j] && + + C 605 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+5] < mag[j] && + + C 605 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+5] < mag[j] && + + C 606 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+6] < mag[j] && + + C 606 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+6] < mag[j] && + + C 607 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+7] > mag[j+8] && + + C 607 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+7] > mag[j+8] && + + C 608 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+8] < mag[j+9] && + + C 608 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+8] < mag[j+9] && + + C 609 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+9] > mag[j+6])) + + C 609 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : mag[j+9] > mag[j+6])) + + C 618 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : high = (mag[j]+mag[j+2]+mag[j+7]+mag[j+9])/6; + + C 618 Signed/unsigned conversion without cast. : (int and unsigned short): high = ( mag [ j ] + mag [ j + 2 ] + mag [ j + 7 ] + mag [ j + 9 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : high = (mag[j]+mag[j+2]+mag[j+7]+mag[j+9])/6; + + C 618 Literal value requires a U suffix. : 6 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : high = (mag[j]+mag[j+2]+mag[j+7]+mag[j+9])/6; + + C 619 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : if (mag[j+4] >= high || + + C 620 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+5] >= high) + + C 628 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : if (mag[j+11] >= high || + + C 629 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+12] >= high || + + C 630 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+13] >= high || + + C 631 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : mag[j+14] >= high) + + C 640 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(aux, mag+j+MODE_S_PREAMBLE_US*2, sizeof(aux)); + + C 640 Pointer arithmetic is not on array. MISRA-C:2012(Ed 3 Rev 1) R.18.1,R.18.4 + Source : memcpy(aux, mag+j+MODE_S_PREAMBLE_US*2, sizeof(aux)); + + C 640 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : memcpy(aux, mag+j+MODE_S_PREAMBLE_US*2, sizeof(aux)); + + M 640 Use of sizeof on an array parameter. MISRA-C:2012(Ed 3 Rev 1) R.12.5 + Source : memcpy(aux, mag+j+MODE_S_PREAMBLE_US*2, sizeof(aux)); + + C 641 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (j && detect_out_of_phase(mag+j)) { + + C 641 Pointer arithmetic is not on array. MISRA-C:2012(Ed 3 Rev 1) R.18.1,R.18.4 + Source : if (j && detect_out_of_phase(mag+j)) { + + C 641 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (j && detect_out_of_phase(mag+j)) { + + C 642 Pointer arithmetic is not on array. MISRA-C:2012(Ed 3 Rev 1) R.18.1,R.18.4 + Source : apply_phase_correction(mag+j); + + C 650 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : for (i = 0; i < MODE_S_LONG_MSG_BITS*2; i += 2) { + + C 650 For loop incrementation is not simple. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + Source : for (i = 0; i < MODE_S_LONG_MSG_BITS*2; i += 2) { + + C 651 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : low = mag[j+i+MODE_S_PREAMBLE_US*2]; + + C 651 Signed/unsigned conversion without cast. : (int and unsigned short): low = mag + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : low = mag[j+i+MODE_S_PREAMBLE_US*2]; + + C 651 Signed/unsigned conversion without cast. : (unsigned int and int): low = mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : low = mag[j+i+MODE_S_PREAMBLE_US*2]; + + C 651 Use of mixed mode arithmetic. : (unsigned int and int): low = mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : low = mag[j+i+MODE_S_PREAMBLE_US*2]; + + C 651 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : low = mag[j+i+MODE_S_PREAMBLE_US*2]; + + C 652 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : high = mag[j+i+MODE_S_PREAMBLE_US*2+1]; + + C 652 Signed/unsigned conversion without cast. : (int and unsigned short): high = mag + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : high = mag[j+i+MODE_S_PREAMBLE_US*2+1]; + + C 652 Signed/unsigned conversion without cast. : (unsigned int and int): high = mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : high = mag[j+i+MODE_S_PREAMBLE_US*2+1]; + + C 652 Use of mixed mode arithmetic. : (unsigned int and int): high = mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : high = mag[j+i+MODE_S_PREAMBLE_US*2+1]; + + C 652 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : high = mag[j+i+MODE_S_PREAMBLE_US*2+1]; + + C 654 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (delta < 0) delta = -delta; + + C 657 Array index is negative. : bits[*]; accessed=-1 + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + Source : bits[i/2] = bits[i/2-1]; + + C 657 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : bits[i/2] = bits[i/2-1]; + + C 663 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : if (i < MODE_S_SHORT_MSG_BITS*2) errors++; + + C 663 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (i < MODE_S_SHORT_MSG_BITS*2) errors++; + + C 668 Literal value requires a U suffix. : 0 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : bits[i/2] = 0; + + C 668 Signed/unsigned conversion without cast. : (unsigned char and int): 2 ] + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : bits[i/2] = 0; + + C 673 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (use_correction) + + C 674 (void) missing for discarded return value. MISRA-C:2012(Ed 3 Rev 1) R.17.7 + Source : memcpy(mag+j+MODE_S_PREAMBLE_US*2, aux, sizeof(aux)); + + C 674 Pointer arithmetic is not on array. MISRA-C:2012(Ed 3 Rev 1) R.18.1,R.18.4 + Source : memcpy(mag+j+MODE_S_PREAMBLE_US*2, aux, sizeof(aux)); + + C 674 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : memcpy(mag+j+MODE_S_PREAMBLE_US*2, aux, sizeof(aux)); + + M 674 Use of sizeof on an array parameter. MISRA-C:2012(Ed 3 Rev 1) R.12.5 + Source : memcpy(mag+j+MODE_S_PREAMBLE_US*2, aux, sizeof(aux)); + + C 677 For loop incrementation is not simple. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + Source : for (i = 0; i < MODE_S_LONG_MSG_BITS; i += 8) { + + C 678 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : msg[i/8] = + + C 689 Signed/unsigned conversion without cast. : (int and unsigned char): msg + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : int msgtype = msg[0]>>3; + + C 695 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : for (i = 0; i < msglen*8*2; i += 2) { + + C 695 For loop incrementation is not simple. MISRA-C:2012(Ed 3 Rev 1) R.14.2 + Source : for (i = 0; i < msglen*8*2; i += 2) { + + C 696 Declaration does not specify an array. : mag + MISRA-C:2012(Ed 3 Rev 1) R.18.1 + 562 + Source : void mode_s_detect(mode_s_t *self, uint16_t *mag, uint32_t maglen, mode_s_callback_t cb) { + Source : delta += abs(mag[j+i+MODE_S_PREAMBLE_US*2]- + + C 696 Signed/unsigned conversion without cast. : (unsigned int and int): delta += abs ( mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : delta += abs(mag[j+i+MODE_S_PREAMBLE_US*2]- + + C 696 Use of mixed mode arithmetic. : (unsigned int and int): delta += abs ( mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : delta += abs(mag[j+i+MODE_S_PREAMBLE_US*2]- + + C 696 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : delta += abs(mag[j+i+MODE_S_PREAMBLE_US*2]- + + C 696 Signed/unsigned conversion without cast. : (unsigned int and int): delta += abs ( mag [ j + i + 8 * 2 ] - mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : delta += abs(mag[j+i+MODE_S_PREAMBLE_US*2]- + + C 696 Use of mixed mode arithmetic. : (unsigned int and int): delta += abs ( mag [ j + i + 8 * 2 ] - mag [ j + i + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.2,R.10.3,R.10.4 + Source : delta += abs(mag[j+i+MODE_S_PREAMBLE_US*2]- + + C 704 Expression needs brackets. MISRA-C:2012(Ed 3 Rev 1) R.12.1,R.20.7 + Source : if (delta < 10*255) { + + C 712 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (errors == 0 || (self->aggressive && errors < 3)) { + + C 719 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (mm.crcok) { + + C 720 Literal value requires a U suffix. : 8 MISRA-C:2012(Ed 3 Rev 1) R.7.2,R.10.3,R.10.4 + Source : j += (MODE_S_PREAMBLE_US+(msglen*8))*2; + + C 720 Value is not of appropriate type. : (unsigned int and signed char): j += ( 8 + + MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.10.3,R.10.4,R.10.5,R.11.1 + Source : j += (MODE_S_PREAMBLE_US+(msglen*8))*2; + + C 720 Implicit conversion of underlying type (MR). : (signed char and int): j += ( 8 + ( + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : j += (MODE_S_PREAMBLE_US+(msglen*8))*2; + + C 720 Narrower int conversion without cast. : (signed char and int): j += ( 8 + ( + MISRA-C:2012(Ed 3 Rev 1) R.10.3 + Source : j += (MODE_S_PREAMBLE_US+(msglen*8))*2; + + C 720 Signed/unsigned conversion without cast. : (unsigned int and int): j += ( 8 + ( msglen * 8 ) + MISRA-C:2012(Ed 3 Rev 1) R.10.3,R.10.4 + Source : j += (MODE_S_PREAMBLE_US+(msglen*8))*2; + + C 722 No brackets to then/else. MISRA-C:2012(Ed 3 Rev 1) R.15.6 + Source : if (use_correction) + + C 733 Expression is not Boolean. MISRA-C:2012(Ed 3 Rev 1) R.10.1,R.14.4 + Source : if (!good_message && !use_correction) { + + C 595 Jump into nested block. MISRA-C:2012(Ed 3 Rev 1) R.15.3 + Source : if (use_correction) goto good_preamble; // We already checked it. + + M 674 Copy source parameter not checked before use. : aux + MISRA-C:2012(Ed 3 Rev 1) R.21.17 + Source : memcpy(mag+j+MODE_S_PREAMBLE_US*2, aux, sizeof(aux)); + + M 565 Procedure contains UR data flow anomalies. : aux MISRA-C:2012(Ed 3 Rev 1) R.9.1 + 674 + Source : memcpy(mag+j+MODE_S_PREAMBLE_US*2, aux, sizeof(aux)); + Source : uint16_t aux[MODE_S_LONG_MSG_BITS*2]; + + M 563 Procedure contains UR data flow anomalies. : bits MISRA-C:2012(Ed 3 Rev 1) R.9.1 + 657 + Source : bits[i/2] = bits[i/2-1]; + Source : unsigned char bits[MODE_S_LONG_MSG_BITS]; + + M 564 Procedure contains UR data flow anomalies. : msg MISRA-C:2012(Ed 3 Rev 1) R.9.1 + 716 + Source : mode_s_decode(self, &mm, msg); + Source : unsigned char msg[MODE_S_LONG_MSG_BITS/2]; + + C 734 Modification of loop counter in loop body. : j MISRA-C:2012(Ed 3 Rev 1) R.14.2 + Source : j--; + + + + + Basic Information + ----------------- + Number of executable reformatted lines: 255 + Maximum loop depth: 2 + Cyclomatic Complexity: 42 + Essential Cyclomatic Complexity: 6 + Number of procedures which call this one: 0 + Number of procedures which this calls: 7 + Number of comments associated with procedure: 60 + Number of comments in procedure header: 3 + Number of comments in declarations: 22 + The procedure is reducible + + + Parameter Analysis + ------------------ + ======================================================== + NUMBER NAME DEFINED REFERENCED CLEAR PATH + ======================================================== + 4 cb * + 3 maglen * + 2 mag * * * + 1 (self)->icao_cache + * * * + 1 (self)->fix_errors * * + 1 (self)->check_crc * * + 1 (self)->aggressive * * + 1 self * * + + + + -------------------------------------------------------------------------------- + + + ****************** + * * + * Key to Terms * + * * + ****************** + + Standards violations for procedures are printed in tables with code markers as follows: + --------------------------------------------------------------------------------------- + + ==================================================================== + CODE (LINE) VIOLATION STANDARD + ==================================================================== + M (Source line number) [Text of Mandatory standard] [Appropriate rule reference] + C [Text of Required standard] + O [Text of Advisory standard] + [Source: Text of line from Source file] + + + + Required standards are considered mandatory but individual instances may be overridden + by adding annotations to the source code + + Advisory standards provide additional quality criteria but may be violated + + For some standards violations, additional information is provided in results tables + Note that source lines do not show macro expansions + + "Off" in Summary tables indicates that a standard is switched off + in the pen.dat file + "MR" in Summary tables indicates a MISRA Restricted standard + which cannot be checked without the MISRA licence option. + + + A summary is given of the pass/fail result of each program component as follows: + -------------------------------------------------------------------------------- + + Components which pass all standards are marked: Pass + Components which fail only Advisory standards are marked: Conditional Pass + Components which fail on insufficient comments only are marked: + Comment FAIL + Components which violate Mandatory standards are marked: FAIL + + + + + Procedure Results table breakdown (Example Only) + ------------------------------------------------ + + ======================================= + UNIQUE VIOLATIONS FAILURE DENSITY + (VIOLS/R.LINE %) + ======================================= + 65 ####### 150 ########### + 35 #### 39 #### + + + + + The Violation density for a function is the number of violations per reformatted line, expressed as a percentage. + It is an indication of code quality. + + Functions with a high violation density are likely to be smaller functions with a large number of violations. + + Lower density may indicate larger functions with fewer violations. + + (nViols / nRefLines) x 100 + + where: + + nViols = number of standard violations in a function. + + and: + + nRefLines = number of reformatted lines in a function. + + The unique standards failure ratio is an indication of variety of standards violations in a module. + + Thus a function containing one instance of several different standards will have a high ratio, + while a function that has violated only one standard will have a low ratio. + + + -------------------------------------------------------------------------------- + =========================================================== + * * + * End of Code Review Report * + * * + * Copyright (C) 2019 Liverpool Data Research Associates * + * * + =========================================================== + + diff --git a/CPE455/ncursesdemo/.vscode/configurationCache.log b/CPE455/ncursesdemo/.vscode/configurationCache.log new file mode 100644 index 0000000..79e027f --- /dev/null +++ b/CPE455/ncursesdemo/.vscode/configurationCache.log @@ -0,0 +1 @@ +{"buildTargets":["clean","make","ncursesdemo"],"launchTargets":["/home/student/anw0044/CPE455/ncursesdemo>ncursesdemo()"],"customConfigurationProvider":{"workspaceBrowse":{"browsePath":["/home/student/anw0044/CPE455/ncursesdemo"],"compilerArgs":["-g","-Wall","--pedantic","-ansi","--coverage","ncursesdemo.c","-o","ncursesdemo","-l","ncurses"],"compilerPath":"/usr/bin/gcc","windowsSdkVersion":""},"fileIndex":[["/home/student/anw0044/CPE455/ncursesdemo/ncursesdemo.c",{"uri":{"$mid":1,"fsPath":"/home/student/anw0044/CPE455/ncursesdemo/ncursesdemo.c","path":"/home/student/anw0044/CPE455/ncursesdemo/ncursesdemo.c","scheme":"file"},"configuration":{"defines":[],"includePath":[],"forcedInclude":[],"compilerPath":"/usr/bin/gcc","compilerArgs":["-g","-Wall","--pedantic","-ansi","--coverage","ncursesdemo.c","-o","ncursesdemo","-l","ncurses"],"windowsSdkVersion":""},"compileCommand":{"command":"gcc -g -Wall --pedantic -ansi --coverage ncursesdemo.c -o ncursesdemo -l ncurses","directory":"/home/student/anw0044/CPE455/ncursesdemo","file":"/home/student/anw0044/CPE455/ncursesdemo/ncursesdemo.c"}}]]}} \ No newline at end of file diff --git a/CPE455/ncursesdemo/.vscode/dryrun.log b/CPE455/ncursesdemo/.vscode/dryrun.log new file mode 100644 index 0000000..812dc9f --- /dev/null +++ b/CPE455/ncursesdemo/.vscode/dryrun.log @@ -0,0 +1,5 @@ +make --dry-run --always-make --keep-going --print-directory +make: Entering directory `/home/student/anw0044/CPE455/ncursesdemo' +gcc -g -Wall --pedantic -ansi --coverage ncursesdemo.c -o ncursesdemo -l ncurses +make: Leaving directory `/home/student/anw0044/CPE455/ncursesdemo' + diff --git a/CPE455/ncursesdemo/.vscode/settings.json b/CPE455/ncursesdemo/.vscode/settings.json new file mode 100644 index 0000000..65e1ec0 --- /dev/null +++ b/CPE455/ncursesdemo/.vscode/settings.json @@ -0,0 +1,3 @@ +{ + "makefile.extensionOutputFolder": "./.vscode" +} \ No newline at end of file diff --git a/CPE455/ncursesdemo/.vscode/targets.log b/CPE455/ncursesdemo/.vscode/targets.log new file mode 100644 index 0000000..72c79e1 --- /dev/null +++ b/CPE455/ncursesdemo/.vscode/targets.log @@ -0,0 +1,329 @@ +make all --print-data-base --no-builtin-variables --no-builtin-rules --question +# GNU Make 3.82 +# Built for x86_64-redhat-linux-gnu +# Copyright (C) 2010 Free Software Foundation, Inc. +# License GPLv3+: GNU GPL version 3 or later +# This is free software: you are free to change and redistribute it. +# There is NO WARRANTY, to the extent permitted by law. + +# Make data base, printed on Thu Apr 7 10:06:16 2022 + +# Variables + +# automatic + +#include +#include +#include + + + +int main(int argc, char* argv[ ]) +{ + WINDOW* W = 0; /* Curses Window handle */ + int rowLimit, colLimit; /* Num of rows and columns in terminal window */ + int playerRow, playerCol; /* Player row-column position */ + int deltaRow, deltaCol; /* Change in row-column position based on key input */ + + + /* Initialize ncurses -- you will want to use the following settings */ + W = initscr(); /* Determine terminal type and initialize curses data structures */ + start_color(); /* Enable use of color with ncurses */ + cbreak(); /* Disable line buffering and make char inputs immediately accessible to program */ + noecho(); /* Disable echo printing of chars type by user */ + nodelay(W, true); /* Make getch( ) a non-blocking call */ + keypad(W, true); /* Enable keypad and arrow keys */ + curs_set(0); /* Set cursor to be invisible - 0 */ + getmaxyx(W, rowLimit, colLimit); /* Query terminal dimensions */ + + /* Define color pallete foreground-background color pairs */ + /* PairID#, Foreground Color, Background Color */ + /* Foreground color == Background color ==> solid color block */ + init_pair(1, COLOR_BLACK, COLOR_BLACK); /* Black text on Black background */ + init_pair(2, COLOR_RED, COLOR_BLACK); /* Red text on Black background */ + init_pair(3, COLOR_WHITE, COLOR_BLUE); /* White text on Blue background */ + init_pair(4, COLOR_YELLOW, COLOR_YELLOW); /* Yellow text on Yellow background */ + + /* Draw some obstacle */ + attron(COLOR_PAIR(2)); /* Turn on color attribute #2 */ + wmove(W, rowLimit/2, colLimit/2); /* Position cursor in middle of terminal window */ + waddch(W, '#'); /* Draw # symbol */ + attroff(COLOR_PAIR(2)); /* Turn off color attribute #2 */ + + /* Initialize player movement variables */ + playerRow = rowLimit/4; + playerCol = colLimit/4; + deltaCol = 0; + deltaRow = 0; + + /* Player movement loop */ + do + { + int kb = wgetch(W); /* Grab keypress */ + + /* Support player movement via WASD, IJKL, and arrow keys */ + if (kb == 'a' || kb == 'j' || kb == KEY_LEFT) + { + /* Move Left */ + deltaCol = -1; + deltaRow = 0; + } + else if (kb == 'd' || kb == 'l' || kb == KEY_RIGHT) + { + /* Move Right */ + deltaCol = 1; + deltaRow = 0; + } + else if (kb == 'w' || kb == 'i' || kb == KEY_UP) + { + /* Move Up */ + deltaCol = 0; + deltaRow = -1; + } + else if (kb == 's' || kb == 'k' || kb == KEY_DOWN) + { + /* Move Down */ + deltaCol = 0; + deltaRow = 1; + } + else if (kb == 'q') + { + /* q to exit player movement loop */ + break; + }; + + /* Erase player from current position */ + /* An alternate option is to invoke clear() */ + /* which erases everything on the screen */ + /* If you comment out this code, the player will leave an onscreen trail */ + /* */ + /* Algorithm: */ + /* - Turn on color attribute #1 (solid block of background color) */ + /* - Position cursor */ + /* - Draw player symbol */ + /* - Turn off color attribute #1 */ + attron(COLOR_PAIR(1)); + wmove(W, playerRow, playerCol); + waddch(W, 'P'); + attroff(COLOR_PAIR(1)); + + /* Compute new player position without checking to see if new position is valid */ + playerRow = playerRow + deltaRow; + playerCol = playerCol + deltaCol; + + + /* Redraw player in new position */ + attron(COLOR_PAIR(3)); /* Turn on color attribute #3 */ + wmove(W, playerRow, playerCol); /* Position cursor */ + waddch(W, 'P'); /* Draw player symbol */ + attroff(COLOR_PAIR(3)); /* Turn off color attribute #3 */ + + /* Zero offsets prior to next key press */ + deltaRow = 0; + deltaCol = 0; + + wrefresh(W); /* Refresh ncurses window */ + + } while (true); /* Repeat until user selects q to quit */ + + endwin(); /* Terminate ncurses window -- very important */ + + return 0; +} + + + + diff --git a/CPE455/ncursesdemo/ncursesdemo.gcda b/CPE455/ncursesdemo/ncursesdemo.gcda new file mode 100644 index 0000000..64cee7f Binary files /dev/null and b/CPE455/ncursesdemo/ncursesdemo.gcda differ diff --git a/CPE455/ncursesdemo/ncursesdemo.gcno b/CPE455/ncursesdemo/ncursesdemo.gcno new file mode 100644 index 0000000..ba8a8fb Binary files /dev/null and b/CPE455/ncursesdemo/ncursesdemo.gcno differ diff --git a/EE203/Noah Woodlee/BasicGates/Waveform.vwf b/EE203/Noah Woodlee/BasicGates/Waveform.vwf new file mode 100644 index 0000000..3d1b8ff --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/Waveform.vwf @@ -0,0 +1,178 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off class1-21-21 -c class1-21-21 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/Waveform1.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off class1-21-21 -c class1-21-21 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/Waveform1.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/" class1-21-21 -c class1-21-21 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/" class1-21-21 -c class1-21-21 +onerror {exit -code 1} +vlib work +vlog -work work class1-21-21.vo +vlog -work work Waveform1.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.class1-21-21_vlg_vec_tst +vcd file -direction class1-21-21.msim.vcd +vcd add -internal class1-21-21_vlg_vec_tst/* +vcd add -internal class1-21-21_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work class1-21-21.vo +vlog -work work Waveform1.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.class1-21-21_vlg_vec_tst +vcd file -direction class1-21-21.msim.vcd +vcd add -internal class1-21-21_vlg_vec_tst/* +vcd add -internal class1-21-21_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("in1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("in2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("out") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("in1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 220.0; + LEVEL 0 FOR 440.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 220.0; + } +} + +TRANSITION_LIST("in2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 130.0; + LEVEL 0 FOR 380.0; + } +} + +TRANSITION_LIST("out") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "in1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "out"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/BasicGates/Waveform1.vwf b/EE203/Noah Woodlee/BasicGates/Waveform1.vwf new file mode 100644 index 0000000..3d1b8ff --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/Waveform1.vwf @@ -0,0 +1,178 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off class1-21-21 -c class1-21-21 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/Waveform1.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off class1-21-21 -c class1-21-21 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/Waveform1.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/" class1-21-21 -c class1-21-21 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/" class1-21-21 -c class1-21-21 +onerror {exit -code 1} +vlib work +vlog -work work class1-21-21.vo +vlog -work work Waveform1.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.class1-21-21_vlg_vec_tst +vcd file -direction class1-21-21.msim.vcd +vcd add -internal class1-21-21_vlg_vec_tst/* +vcd add -internal class1-21-21_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work class1-21-21.vo +vlog -work work Waveform1.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.class1-21-21_vlg_vec_tst +vcd file -direction class1-21-21.msim.vcd +vcd add -internal class1-21-21_vlg_vec_tst/* +vcd add -internal class1-21-21_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("in1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("in2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("out") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("in1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 220.0; + LEVEL 0 FOR 440.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 220.0; + } +} + +TRANSITION_LIST("in2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 130.0; + LEVEL 0 FOR 380.0; + } +} + +TRANSITION_LIST("out") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "in1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "out"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/BasicGates/class1-21-21.bdf b/EE203/Noah Woodlee/BasicGates/class1-21-21.bdf new file mode 100644 index 0000000..42d4f9c --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/class1-21-21.bdf @@ -0,0 +1,102 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 104 264 272 280) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in1" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 104 280 272 296) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in2" (rect 5 0 20 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 336 272 512 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out" (rect 90 0 105 17)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 272 256 336 304) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) diff --git a/EE203/Noah Woodlee/BasicGates/class1-21-21.qsf b/EE203/Noah Woodlee/BasicGates/class1-21-21.qsf new file mode 100644 index 0000000..daa93ef --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/class1-21-21.qsf @@ -0,0 +1,63 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:23:33 January 21, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# class1-21-21_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY class1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:23:33 JANUARY 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name BDF_FILE "class1-21-21.bdf" +set_location_assignment PIN_C10 -to in1 +set_location_assignment PIN_C11 -to in2 +set_location_assignment PIN_A8 -to out +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform1.vwf +set_global_assignment -name BDF_FILE class1.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name BDF_FILE decoder.bdf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/BasicGates/class1-21-21.qws b/EE203/Noah Woodlee/BasicGates/class1-21-21.qws new file mode 100644 index 0000000..4ff1343 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/class1-21-21.qws differ diff --git a/EE203/Noah Woodlee/BasicGates/class1-21-21_description.txt b/EE203/Noah Woodlee/BasicGates/class1-21-21_description.txt new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/BasicGates/class1.bdf b/EE203/Noah Woodlee/BasicGates/class1.bdf new file mode 100644 index 0000000..42d4f9c --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/class1.bdf @@ -0,0 +1,102 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 104 264 272 280) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in1" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 104 280 272 296) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in2" (rect 5 0 20 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 336 272 512 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out" (rect 90 0 105 17)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 272 256 336 304) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) diff --git a/EE203/Noah Woodlee/BasicGates/class1.qpf b/EE203/Noah Woodlee/BasicGates/class1.qpf new file mode 100644 index 0000000..622ef61 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/class1.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:23:33 January 21, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "18:23:33 January 21, 2021" + +# Revisions + +PROJECT_REVISION = "class1-21-21" diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(0).cnf.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(0).cnf.cdb new file mode 100644 index 0000000..6edb417 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(0).cnf.hdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(0).cnf.hdb new file mode 100644 index 0000000..e1dd42b Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(1).cnf.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(1).cnf.cdb new file mode 100644 index 0000000..6edb417 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(1).cnf.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(1).cnf.hdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(1).cnf.hdb new file mode 100644 index 0000000..f6e91e6 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.(1).cnf.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm.qmsg b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm.qmsg new file mode 100644 index 0000000..ea58630 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611278980764 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278980768 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:29:40 2021 " "Processing started: Thu Jan 21 19:29:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278980768 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1611278980768 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off class1 -c class1-21-21 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off class1 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1611278980768 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1611278980964 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1611278982209 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1611278982309 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4683 " "Peak virtual memory: 4683 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278983009 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:29:43 2021 " "Processing ended: Thu Jan 21 19:29:43 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278983009 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278983009 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278983009 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1611278983009 ""} diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm.rdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm.rdb new file mode 100644 index 0000000..03b7257 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm.rdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm_labs.ddb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm_labs.ddb new file mode 100644 index 0000000..3604a1e Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cbx.xml b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cbx.xml new file mode 100644 index 0000000..9590c50 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.bpm b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.bpm new file mode 100644 index 0000000..00df495 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.bpm differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.cdb new file mode 100644 index 0000000..c1ea79f Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.hdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.hdb new file mode 100644 index 0000000..e453bb9 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.idb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.idb new file mode 100644 index 0000000..a1c3a6b Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.idb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.logdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.logdb new file mode 100644 index 0000000..66ec905 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.logdb @@ -0,0 +1,45 @@ +v1 +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,3;0;3;0;0;3;3;0;3;3;0;1;0;0;2;0;1;2;0;0;0;1;0;0;0;0;0;3;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,0;3;0;3;3;0;0;3;0;0;3;2;3;3;1;3;2;1;3;3;3;2;3;3;3;3;3;0;3;3, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,out,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,in1,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,in2,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.rdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.rdb new file mode 100644 index 0000000..ffa546c Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp.rdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp_merge.kpt b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp_merge.kpt new file mode 100644 index 0000000..b42cbc6 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.db_info b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.db_info new file mode 100644 index 0000000..64bfad1 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Thu Jan 21 19:27:37 2021 diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.eda.qmsg b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.eda.qmsg new file mode 100644 index 0000000..f7c8a91 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.eda.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611278361946 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2016 Intel Corporation. All rights reserved. " "Copyright (C) 2016 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and its AMPP partner logic " "and other software and tools, and its AMPP partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel MegaCore Function License Agreement, or other " "the Intel MegaCore Function License Agreement, or other " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "applicable license agreement, including, without limitation, " "applicable license agreement, including, without limitation, " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "that your use is for the sole purpose of programming logic " "that your use is for the sole purpose of programming logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "devices manufactured by Intel and sold by Intel or its " "devices manufactured by Intel and sold by Intel or its " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "authorized distributors. Please refer to the applicable " "authorized distributors. Please refer to the applicable " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement for further details. " "agreement for further details." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:19:21 2021 " "Processing started: Thu Jan 21 19:19:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278361946 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1611278361946 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/\" class1-21-21 -c class1-21-21 " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/\" class1-21-21 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1611278361946 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1611278362136 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "class1-21-21.vo C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim// simulation " "Generated file class1-21-21.vo in folder \"C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1611278362176 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4641 " "Peak virtual memory: 4641 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278362206 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:19:22 2021 " "Processing ended: Thu Jan 21 19:19:22 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278362206 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278362206 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278362206 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1611278362206 ""} diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.fit.qmsg b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.fit.qmsg new file mode 100644 index 0000000..1ad0847 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.fit.qmsg @@ -0,0 +1,52 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1611278975898 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1611278975898 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "class1-21-21 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"class1-21-21\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1611278975908 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611278975938 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611278975938 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1611278976078 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1611278976088 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1611278976158 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 15 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 17 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 19 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 21 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 23 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 25 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 27 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 29 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278976158 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1611278976158 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278976158 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278976158 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278976158 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278976158 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1611278976158 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "class1-21-21.sdc " "Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1611278976448 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1611278976458 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1611278976458 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1611278976458 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278976458 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1611278976458 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1611278977279 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278977309 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1611278977329 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1611278977449 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278977449 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1611278977739 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1611278978657 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1611278978657 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1611278978728 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1611278978728 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1611278978728 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278978731 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1611278978886 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611278978891 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1611278978892 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611278979047 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611278979047 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1611278979047 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611278979203 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278979428 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1611278979608 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 7 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5905 " "Peak virtual memory: 5905 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278979908 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:29:39 2021 " "Processing ended: Thu Jan 21 19:29:39 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278979908 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278979908 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278979908 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1611278979908 ""} diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.hier_info b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.hier_info new file mode 100644 index 0000000..4e5f4c7 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.hier_info @@ -0,0 +1,6 @@ +|class1 +out <= inst.DB_MAX_OUTPUT_PORT_TYPE +in1 => inst.IN0 +in2 => inst.IN1 + + diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.hif b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.hif new file mode 100644 index 0000000..f142244 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.hif differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.html b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.html new file mode 100644 index 0000000..7d68592 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.rdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.rdb new file mode 100644 index 0000000..c179f4d Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.rdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.txt b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.txt new file mode 100644 index 0000000..dbfe520 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.ammdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.ammdb new file mode 100644 index 0000000..a4afc79 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.ammdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.bpm b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.bpm new file mode 100644 index 0000000..59f1655 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.bpm differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.cdb new file mode 100644 index 0000000..7760609 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.hdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.hdb new file mode 100644 index 0000000..f09e560 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.kpt b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.kpt new file mode 100644 index 0000000..62dc377 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.kpt differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.logdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.qmsg b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.qmsg new file mode 100644 index 0000000..0460761 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.qmsg @@ -0,0 +1,13 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611278967888 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278967898 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:29:27 2021 " "Processing started: Thu Jan 21 19:29:27 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278967898 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278967898 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off class1 -c class1-21-21 " "Command: quartus_map --read_settings_files=on --write_settings_files=off class1 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278967898 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1611278968138 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1611278968138 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "class1-21-21.bdf 1 1 " "Found 1 design units, including 1 entities, in source file class1-21-21.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 class1-21-21 " "Found entity 1: class1-21-21" { } { { "class1-21-21.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/class1-21-21.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611278974188 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278974188 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "class1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file class1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 class1 " "Found entity 1: class1" { } { { "class1.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/class1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611278974188 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278974188 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "decoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 decoder " "Found entity 1: decoder" { } { { "decoder.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/decoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611278974188 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278974188 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "class1 " "Elaborating entity \"class1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1611278974208 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1611278974478 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1611278974748 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1611278974748 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "4 " "Implemented 4 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1611278974778 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1611278974778 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1 " "Implemented 1 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1611278974778 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1611278974778 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4779 " "Peak virtual memory: 4779 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278974808 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:29:34 2021 " "Processing ended: Thu Jan 21 19:29:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278974808 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278974808 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278974808 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278974808 ""} diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.rdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.rdb new file mode 100644 index 0000000..51275b6 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map.rdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.cdb new file mode 100644 index 0000000..5127e8b Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.hdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.hdb new file mode 100644 index 0000000..fdd97e3 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.logdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.pre_map.hdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.pre_map.hdb new file mode 100644 index 0000000..048dd56 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..7e5fc7f Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.routing.rdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.routing.rdb new file mode 100644 index 0000000..1f1750a Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.routing.rdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv.hdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv.hdb new file mode 100644 index 0000000..c6b5ac7 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv_sg.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv_sg.cdb new file mode 100644 index 0000000..ecc6461 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv_sg_swap.cdb new file mode 100644 index 0000000..0be030c Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sld_design_entry.sci b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sld_design_entry.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sld_design_entry_dsc.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.smart_action.txt b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.smart_action.txt new file mode 100644 index 0000000..437a63e --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta.qmsg b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta.qmsg new file mode 100644 index 0000000..2275170 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta.qmsg @@ -0,0 +1,51 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611278983959 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278983959 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:29:43 2021 " "Processing started: Thu Jan 21 19:29:43 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278983959 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278983959 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta class1 -c class1-21-21 " "Command: quartus_sta class1 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278983959 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278984029 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984139 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984139 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984159 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984159 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "class1-21-21.sdc " "Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984339 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984339 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984349 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984349 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984349 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984349 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278984349 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984349 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278984349 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984349 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1611278984349 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984349 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984359 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984359 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984359 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984359 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278984369 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984379 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984379 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984549 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984579 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984589 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984589 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278984589 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278984679 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278985249 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278985249 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 6 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4867 " "Peak virtual memory: 4867 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278985279 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:29:45 2021 " "Processing ended: Thu Jan 21 19:29:45 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278985279 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278985279 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278985279 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278985279 ""} diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta.rdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta.rdb new file mode 100644 index 0000000..680819c Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta.rdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..1ef720f Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tis_db_list.ddb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tis_db_list.ddb new file mode 100644 index 0000000..ecc3004 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..e0c85e7 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..aa59522 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..5420905 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tmw_info b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tmw_info new file mode 100644 index 0000000..3d0e97c --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:18 +start_analysis_synthesis:s:00:00:08-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:05-start_full_compilation +start_assembler:s:00:00:03-start_full_compilation +start_timing_analyzer:s:00:00:02-start_full_compilation diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.vpr.ammdb b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.vpr.ammdb new file mode 100644 index 0000000..0b126b6 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..d86640f Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..a7cdb95 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..11019ef Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/db/class1-21-21.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/BasicGates/db/class1-21-21_partition_pins.json b/EE203/Noah Woodlee/BasicGates/db/class1-21-21_partition_pins.json new file mode 100644 index 0000000..c752463 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1-21-21_partition_pins.json @@ -0,0 +1,21 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "out", + "strict" : false + }, + { + "name" : "in1", + "strict" : false + }, + { + "name" : "in2", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/BasicGates/db/class1.map_bb.logdb b/EE203/Noah Woodlee/BasicGates/db/class1.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/class1.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/BasicGates/db/prev_cmp_class1-21-21.qmsg b/EE203/Noah Woodlee/BasicGates/db/prev_cmp_class1-21-21.qmsg new file mode 100644 index 0000000..6fa5308 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/prev_cmp_class1-21-21.qmsg @@ -0,0 +1,132 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611275653285 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611275653285 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 18:34:13 2021 " "Processing started: Thu Jan 21 18:34:13 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611275653285 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611275653285 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off class1-21-21 -c class1-21-21 " "Command: quartus_map --read_settings_files=on --write_settings_files=off class1-21-21 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611275653285 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1611275653565 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1611275653565 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "class1-21-21.bdf 1 1 " "Found 1 design units, including 1 entities, in source file class1-21-21.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 class1-21-21 " "Found entity 1: class1-21-21" { } { { "class1-21-21.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/class1-21-21.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611275659683 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611275659683 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "class1-21-21 " "Elaborating entity \"class1-21-21\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1611275659721 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1611275660131 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1611275660525 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1611275660525 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "4 " "Implemented 4 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1611275660665 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1611275660665 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1 " "Implemented 1 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1611275660665 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1611275660665 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4788 " "Peak virtual memory: 4788 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611275660695 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 18:34:20 2021 " "Processing ended: Thu Jan 21 18:34:20 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611275660695 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611275660695 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:15 " "Total CPU time (on all processors): 00:00:15" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611275660695 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1611275660695 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1611275661982 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611275661986 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 18:34:21 2021 " "Processing started: Thu Jan 21 18:34:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611275661986 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1611275661986 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off class1-21-21 -c class1-21-21 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off class1-21-21 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1611275661986 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1611275662087 ""} +{ "Info" "0" "" "Project = class1-21-21" { } { } 0 0 "Project = class1-21-21" 0 0 "Fitter" 0 0 1611275662088 ""} +{ "Info" "0" "" "Revision = class1-21-21" { } { } 0 0 "Revision = class1-21-21" 0 0 "Fitter" 0 0 1611275662088 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1611275662161 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1611275662161 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "class1-21-21 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"class1-21-21\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1611275662165 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611275662194 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611275662194 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1611275662430 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1611275662448 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1611275662596 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 15 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 17 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 19 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 21 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 23 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 25 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 27 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 29 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611275662615 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1611275662615 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611275662616 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611275662616 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611275662616 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611275662616 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1611275662620 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "3 3 " "No exact pin location assignment(s) for 3 pins of 3 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1611275662825 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "class1-21-21.sdc " "Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1611275663036 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1611275663037 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1611275663037 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1611275663038 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1611275663038 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1611275663038 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1611275663038 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1611275663044 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611275663045 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611275663045 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611275663045 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611275663046 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1611275663046 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1611275663046 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1611275663046 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1611275663046 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1611275663046 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1611275663046 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "3 unused 2.5V 2 1 0 " "Number of I/O pins in group: 3 (unused VREF, 2.5V VCCIO, 2 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1611275663049 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1611275663049 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1611275663049 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1A does not use undetermined 0 16 " "I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1B does not use undetermined 4 20 " "I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 36 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 48 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 48 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 40 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 0 60 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 52 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 4 32 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611275663050 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1611275663050 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1611275663050 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611275663058 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1611275663073 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1611275663909 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611275663960 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1611275663985 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1611275664190 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611275664191 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1611275665857 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X33_Y44 X44_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X33_Y44 to location X44_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X33_Y44 to location X44_Y54"} { { 12 { 0 ""} 33 44 12 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1611275666788 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1611275666788 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1611275666850 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1611275666850 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1611275666850 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611275666852 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1611275667012 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611275667018 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1611275667018 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611275667184 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611275667184 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1611275667184 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611275667342 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611275667569 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1611275667769 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 8 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 8 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5900 " "Peak virtual memory: 5900 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611275668080 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 18:34:28 2021 " "Processing ended: Thu Jan 21 18:34:28 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611275668080 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611275668080 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611275668080 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1611275668080 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1611275669115 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611275669115 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 18:34:29 2021 " "Processing started: Thu Jan 21 18:34:29 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611275669115 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1611275669115 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off class1-21-21 -c class1-21-21 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off class1-21-21 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1611275669115 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1611275669315 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1611275670584 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1611275670684 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4685 " "Peak virtual memory: 4685 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611275671471 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 18:34:31 2021 " "Processing ended: Thu Jan 21 18:34:31 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611275671471 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611275671471 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611275671471 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1611275671471 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1611275672073 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1611275672554 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611275672564 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 18:34:32 2021 " "Processing started: Thu Jan 21 18:34:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611275672564 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672564 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta class1-21-21 -c class1-21-21 " "Command: quartus_sta class1-21-21 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672564 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1611275672644 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672774 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672774 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672802 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672803 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "class1-21-21.sdc " "Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1611275672998 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611275672998 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275672998 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1611275673008 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673008 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673018 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673018 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673018 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673028 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611275673028 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673048 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673048 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673939 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673969 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673969 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673969 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673969 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673970 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673977 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673981 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673985 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673989 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275673992 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611275673997 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674083 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674083 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674083 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674083 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674088 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674092 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674097 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674101 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674106 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674665 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674665 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 6 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4868 " "Peak virtual memory: 4868 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611275674705 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 18:34:34 2021 " "Processing ended: Thu Jan 21 18:34:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611275674705 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611275674705 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611275674705 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275674705 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 16 s " "Quartus Prime Full Compilation was successful. 0 errors, 16 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611275675348 ""} diff --git a/EE203/Noah Woodlee/BasicGates/db/prev_cmp_class1.qmsg b/EE203/Noah Woodlee/BasicGates/db/prev_cmp_class1.qmsg new file mode 100644 index 0000000..b99bcb4 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/db/prev_cmp_class1.qmsg @@ -0,0 +1,131 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611278883750 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278883750 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:28:03 2021 " "Processing started: Thu Jan 21 19:28:03 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278883750 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278883750 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off class1 -c class1-21-21 " "Command: quartus_map --read_settings_files=on --write_settings_files=off class1 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278883750 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1611278883990 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1611278883990 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "class1-21-21.bdf 1 1 " "Found 1 design units, including 1 entities, in source file class1-21-21.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 class1-21-21 " "Found entity 1: class1-21-21" { } { { "class1-21-21.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/class1-21-21.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611278890027 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278890027 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "class1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file class1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 class1 " "Found entity 1: class1" { } { { "class1.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/class1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611278890027 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278890027 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "decoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 decoder " "Found entity 1: decoder" { } { { "decoder.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/decoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611278890027 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278890027 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "class1 " "Elaborating entity \"class1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1611278890047 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1611278890322 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1611278890594 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1611278890594 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "4 " "Implemented 4 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1611278890627 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1611278890627 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1 " "Implemented 1 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1611278890627 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1611278890627 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4779 " "Peak virtual memory: 4779 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278890652 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:28:10 2021 " "Processing ended: Thu Jan 21 19:28:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278890652 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278890652 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278890652 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1611278890652 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1611278891607 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278891607 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:28:11 2021 " "Processing started: Thu Jan 21 19:28:11 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278891607 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1611278891607 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off class1 -c class1-21-21 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off class1 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1611278891607 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1611278891677 ""} +{ "Info" "0" "" "Project = class1" { } { } 0 0 "Project = class1" 0 0 "Fitter" 0 0 1611278891677 ""} +{ "Info" "0" "" "Revision = class1-21-21" { } { } 0 0 "Revision = class1-21-21" 0 0 "Fitter" 0 0 1611278891677 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1611278891737 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1611278891737 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "class1-21-21 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"class1-21-21\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1611278891747 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611278891767 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611278891767 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1611278891927 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1611278891937 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1611278892007 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 15 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 17 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 19 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 21 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 23 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 25 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 27 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 0 { 0 ""} 0 29 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611278892007 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1611278892007 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278892007 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278892007 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278892007 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611278892007 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1611278892007 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "class1-21-21.sdc " "Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1611278892297 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1611278892297 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278892307 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1611278892307 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1611278893129 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278893169 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1611278893179 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1611278893299 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278893299 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1611278893589 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1611278894529 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1611278894529 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1611278894589 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1611278894589 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1611278894589 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278894599 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1611278894749 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611278894759 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1611278894759 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611278894909 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611278894909 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1611278894909 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611278895059 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611278895289 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1611278895469 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 7 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5906 " "Peak virtual memory: 5906 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278895749 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:28:15 2021 " "Processing ended: Thu Jan 21 19:28:15 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278895749 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278895749 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278895749 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1611278895749 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1611278896599 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278896609 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:28:16 2021 " "Processing started: Thu Jan 21 19:28:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278896609 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1611278896609 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off class1 -c class1-21-21 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off class1 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1611278896609 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1611278896799 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1611278897999 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1611278898089 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4683 " "Peak virtual memory: 4683 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278898799 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:28:18 2021 " "Processing ended: Thu Jan 21 19:28:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278898799 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278898799 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278898799 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1611278898799 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1611278899489 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1611278899869 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611278899869 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 19:28:19 2021 " "Processing started: Thu Jan 21 19:28:19 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611278899869 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278899869 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta class1 -c class1-21-21 " "Command: quartus_sta class1 -c class1-21-21" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278899869 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278899939 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900059 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900059 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900089 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900089 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "class1-21-21.sdc " "Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278900269 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278900269 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900269 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1611278900279 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900279 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900279 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900289 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900289 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900289 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278900289 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900309 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900309 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900479 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900509 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900519 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900519 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611278900519 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900609 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900609 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900609 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900609 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900609 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900609 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900619 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900619 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278900619 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278901179 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278901179 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 6 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4867 " "Peak virtual memory: 4867 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611278901209 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 19:28:21 2021 " "Processing ended: Thu Jan 21 19:28:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611278901209 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611278901209 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611278901209 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278901209 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 15 s " "Quartus Prime Full Compilation was successful. 0 errors, 15 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611278901809 ""} diff --git a/EE203/Noah Woodlee/BasicGates/decoder.bdf b/EE203/Noah Woodlee/BasicGates/decoder.bdf new file mode 100644 index 0000000..39f3977 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/decoder.bdf @@ -0,0 +1,102 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 200 232 368 248) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in1" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 200 248 368 264) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "in2" (rect 5 0 19 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 432 240 608 256) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "out" (rect 90 0 105 17)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 368 224 432 272) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) diff --git a/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..d89a873 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.0 :| George Totolos :| 08/22/2016:| Initial Revision +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a2885da --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +DE10_LITE_Golden_Top.v +platform_setup.tcl +filelist.txt diff --git a/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..d9cea11 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,435 @@ +proc ::setup_project {} { +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "15.1.0" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name SDC_FILE DE10_LITE_Golden_Top.SDC + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 + +#============================================================ +# SDRAM +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N + +#============================================================ +# SEG7 +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] + +#============================================================ +# VGA +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS + +#============================================================ +# Accelerometer +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO + +#============================================================ +# Arduino +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..1c1dfa3 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/home/gtotolos/Desktop/max10_de10_lite/Golden_Top/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/BasicGates/devkits/readme.txt b/EE203/Noah Woodlee/BasicGates/devkits/readme.txt new file mode 100644 index 0000000..f6ba267 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/README b/EE203/Noah Woodlee/BasicGates/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.db_info b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.db_info new file mode 100644 index 0000000..613df57 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Thu Jan 21 18:34:19 2021 diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.ammdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.ammdb new file mode 100644 index 0000000..25cc824 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.cdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.cdb new file mode 100644 index 0000000..da34c13 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.dfp b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.hdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.hdb new file mode 100644 index 0000000..9465c7c Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.logdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.rcfdb new file mode 100644 index 0000000..21b4914 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.cdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.cdb new file mode 100644 index 0000000..a8c85f7 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.dpi b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.dpi new file mode 100644 index 0000000..f296e66 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..4c92d9c Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..9ccf80a Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hdb new file mode 100644 index 0000000..5c45e9a Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.kpt b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.kpt new file mode 100644 index 0000000..942f410 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.rrp.hdb b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.rrp.hdb new file mode 100644 index 0000000..d220ef7 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/incremental_db/compiled_partitions/class1-21-21.rrp.hdb differ diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.asm.rpt b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.asm.rpt new file mode 100644 index 0000000..ee671f8 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.asm.rpt @@ -0,0 +1,93 @@ +Assembler report for class1-21-21 +Thu Jan 21 19:29:42 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Jan 21 19:29:42 2021 ; +; Revision Name ; class1-21-21 ; +; Top-level Entity Name ; class1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++--------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++--------------------------------------------------------------------------------+ +; File Name ; ++--------------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.sof ; ++--------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.sof ; ++----------------+-----------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+-----------------------------------------------------------------------------------------+ +; Device ; 10M50DAF484C6GES ; +; JTAG usercode ; 0x0026FD9A ; +; Checksum ; 0x0026FD9A ; ++----------------+-----------------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Jan 21 19:29:40 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off class1 -c class1-21-21 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4683 megabytes + Info: Processing ended: Thu Jan 21 19:29:43 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.done b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.done new file mode 100644 index 0000000..37dacf0 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.done @@ -0,0 +1 @@ +Thu Jan 21 19:29:45 2021 diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.eda.rpt b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.eda.rpt new file mode 100644 index 0000000..94f0227 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.eda.rpt @@ -0,0 +1,108 @@ +EDA Netlist Writer report for class1-21-21 +Thu Jan 21 19:19:22 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Jan 21 19:19:22 2021 ; +; Revision Name ; class1-21-21 ; +; Top-level Entity Name ; class1-21-21 ; +; Family ; MAX 10 ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++-----------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++-----------------------------------------------------------------------------------+ +; Generated Files ; ++-----------------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim//class1-21-21.vo ; ++-----------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Jan 21 19:19:21 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim/" class1-21-21 -c class1-21-21 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file class1-21-21.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Jan 21 19:19:22 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.rpt b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.rpt new file mode 100644 index 0000000..70280db --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.rpt @@ -0,0 +1,1163 @@ +Fitter report for class1-21-21 +Thu Jan 21 19:29:39 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Thu Jan 21 19:29:39 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; class1-21-21 ; +; Top-level Entity Name ; class1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 2 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 2 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 3 / 360 ( < 1 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.2% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 27 ) ; 0.00 % ( 0 / 27 ) ; 0.00 % ( 0 / 27 ) ; +; -- Achieved ; 0.00 % ( 0 / 27 ) ; 0.00 % ( 0 / 27 ) ; 0.00 % ( 0 / 27 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 11 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 2 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 2 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 2 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 2 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 3 / 360 ( < 1 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.1% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 17 ; +; Average fan-out ; 0.63 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 2 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 2 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 2 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 2 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 3 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 23 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 2 ; 0 ; +; -- Output Ports ; 1 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; in1 ; C10 ; 7 ; 51 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; in2 ; C11 ; 7 ; 51 ; 54 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; out ; A8 ; 7 ; 46 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 0 / 60 ( 0 % ) ; 2.5V ; -- ; +; 7 ; 3 / 52 ( 6 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; out ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A14 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; in1 ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; in2 ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 391 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; 388 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; out ; Incomplete set of assignments ; +; in1 ; Incomplete set of assignments ; +; in2 ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |class1 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 3 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |class1 ; class1 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++--------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; out ; Output ; -- ; -- ; -- ; -- ; -- ; +; in1 ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; in2 ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+------+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; in1 ; ; ; +; - inst ; 0 ; 6 ; +; in2 ; ; ; +; - inst ; 0 ; 6 ; ++---------------------+-------------------+---------+ + + ++-----------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+-----------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+-----------------------+ +; Block interconnects ; 7 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 0 / 5,382 ( 0 % ) ; +; C4 interconnects ; 6 / 106,704 ( < 1 % ) ; +; Direct links ; 0 / 148,641 ( 0 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 0 / 5,406 ( 0 % ) ; +; R4 interconnects ; 3 / 147,764 ( < 1 % ) ; ++-----------------------+-----------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 1.00) ; Number of LABs (Total = 2) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 2 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 1.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 1.00) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 1.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 3 ; 0 ; 3 ; 0 ; 0 ; 3 ; 3 ; 0 ; 3 ; 3 ; 0 ; 1 ; 0 ; 0 ; 2 ; 0 ; 1 ; 2 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 0 ; 3 ; 0 ; 3 ; 3 ; 0 ; 0 ; 3 ; 0 ; 0 ; 3 ; 2 ; 3 ; 3 ; 1 ; 3 ; 2 ; 1 ; 3 ; 3 ; 3 ; 2 ; 3 ; 3 ; 3 ; 3 ; 3 ; 0 ; 3 ; 3 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; out ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; in1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; in2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (119006): Selected device 10M50DAF484C6GES for design "class1-21-21" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.02 seconds. +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (144001): Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 7 warnings + Info: Peak virtual memory: 5905 megabytes + Info: Processing ended: Thu Jan 21 19:29:39 2021 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:07 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg. + + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg new file mode 100644 index 0000000..558ea95 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.summary b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.summary new file mode 100644 index 0000000..a284d87 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Thu Jan 21 19:29:39 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : class1-21-21 +Top-level Entity Name : class1 +Family : MAX 10 +Device : 10M50DAF484C6GES +Timing Models : Preliminary +Total logic elements : 2 / 49,760 ( < 1 % ) + Total combinational functions : 2 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 3 / 360 ( < 1 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.flow.rpt b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.flow.rpt new file mode 100644 index 0000000..8c98d26 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.flow.rpt @@ -0,0 +1,128 @@ +Flow report for class1-21-21 +Thu Jan 21 19:29:45 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Thu Jan 21 19:29:42 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; class1-21-21 ; +; Top-level Entity Name ; class1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 2 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 2 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 3 / 360 ( < 1 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 01/21/2021 19:29:28 ; +; Main task ; Compilation ; +; Revision Name ; class1-21-21 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 189559947077153.161127896806632 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; class1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; class1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; class1 ; Top ; +; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ; +; POWER_PRESET_COOLING_SOLUTION ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; TOP_LEVEL_ENTITY ; class1 ; class1-21-21 ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:07 ; 1.0 ; 4779 MB ; 00:00:16 ; +; Fitter ; 00:00:04 ; 1.0 ; 5905 MB ; 00:00:06 ; +; Assembler ; 00:00:02 ; 1.0 ; 4682 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 4867 MB ; 00:00:01 ; +; Total ; 00:00:15 ; -- ; -- ; 00:00:25 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; TimeQuest Timing Analyzer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; ++---------------------------+------------------+------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off class1 -c class1-21-21 +quartus_fit --read_settings_files=off --write_settings_files=off class1 -c class1-21-21 +quartus_asm --read_settings_files=off --write_settings_files=off class1 -c class1-21-21 +quartus_sta class1 -c class1-21-21 + + + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.jdi b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.jdi new file mode 100644 index 0000000..40d1edd --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.map.rpt b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.map.rpt new file mode 100644 index 0000000..8ab380e --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.map.rpt @@ -0,0 +1,287 @@ +Analysis & Synthesis report for class1-21-21 +Thu Jan 21 19:29:34 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Jan 21 19:29:34 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; class1-21-21 ; +; Top-level Entity Name ; class1 ; +; Family ; MAX 10 ; +; Total logic elements ; 1 ; +; Total combinational functions ; 1 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 3 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Top-level entity name ; class1 ; class1-21-21 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; OpenCore Plus hardware evaluation ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------+---------+ +; class1.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/class1.bdf ; ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------+---------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------+ +; Resource ; Usage ; ++---------------------------------------------+-------+ +; Estimated Total logic elements ; 1 ; +; ; ; +; Total combinational functions ; 1 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 1 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 3 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; inst ; +; Maximum fan-out ; 1 ; +; Total fan-out ; 6 ; +; Average fan-out ; 0.86 ; ++---------------------------------------------+-------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |class1 ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 ; 0 ; 0 ; |class1 ; class1 ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 3 ; +; cycloneiii_lcell_comb ; 1 ; +; normal ; 1 ; +; 2 data inputs ; 1 ; +; ; ; +; Max LUT depth ; 1.00 ; +; Average LUT depth ; 1.00 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Jan 21 19:29:27 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off class1 -c class1-21-21 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (12021): Found 1 design units, including 1 entities, in source file class1-21-21.bdf + Info (12023): Found entity 1: class1-21-21 +Info (12021): Found 1 design units, including 1 entities, in source file class1.bdf + Info (12023): Found entity 1: class1 +Info (12021): Found 1 design units, including 1 entities, in source file decoder.bdf + Info (12023): Found entity 1: decoder +Info (12127): Elaborating entity "class1" for the top level hierarchy +Info (286030): Timing-Driven Synthesis is running +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 4 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 2 input pins + Info (21059): Implemented 1 output pins + Info (21061): Implemented 1 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4779 megabytes + Info: Processing ended: Thu Jan 21 19:29:34 2021 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:16 + + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.map.summary b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.map.summary new file mode 100644 index 0000000..8efd95a --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Thu Jan 21 19:29:34 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : class1-21-21 +Top-level Entity Name : class1 +Family : MAX 10 +Total logic elements : 1 + Total combinational functions : 1 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 3 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.pin b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.pin new file mode 100644 index 0000000..06f5592 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2016 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel MegaCore Function License Agreement, or other + -- applicable license agreement, including, without limitation, + -- that your use is for the sole purpose of programming logic + -- devices manufactured by Intel and sold by Intel or its + -- authorized distributors. Please refer to the applicable + -- agreement for further details. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +CHIP "class1-21-21" ASSIGNED TO AN: 10M50DAF484C6GES + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +out : A8 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7 : +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +in1 : C10 : input : 2.5 V : : 7 : Y +in2 : C11 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.pof b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.pof new file mode 100644 index 0000000..dec6081 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.pof differ diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sld b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sld new file mode 100644 index 0000000..41a6030 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sof b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sof new file mode 100644 index 0000000..398f578 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sof differ diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sta.rpt b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sta.rpt new file mode 100644 index 0000000..87e9270 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sta.rpt @@ -0,0 +1,426 @@ +TimeQuest Timing Analyzer report for class1-21-21 +Thu Jan 21 19:29:45 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Timing Analyzer ; TimeQuest ; +; Revision Name ; class1-21-21 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.02 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.3% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; out ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; in1 ; 2.5 V ; 2000 ps ; 2000 ps ; +; in2 ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; out ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; out ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0108 V ; 0.13 V ; 0.066 V ; 6.32e-10 s ; 6.45e-10 s ; No ; Yes ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0108 V ; 0.13 V ; 0.066 V ; 6.32e-10 s ; 6.45e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; out ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 2 ; 2 ; +; Unconstrained Input Port Paths ; 2 ; 2 ; +; Unconstrained Output Ports ; 1 ; 1 ; +; Unconstrained Output Port Paths ; 2 ; 2 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; in1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; in2 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; out ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; in1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; in2 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; out ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime TimeQuest Timing Analyzer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Jan 21 19:29:43 2021 +Info: Command: quartus_sta class1 -c class1-21-21 +Info: qsta_default_script.tcl version: #1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'class1-21-21.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 4867 megabytes + Info: Processing ended: Thu Jan 21 19:29:45 2021 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sta.summary b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sta.summary new file mode 100644 index 0000000..6640100 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/output_files/class1-21-21.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/Waveform.vwf.vt b/EE203/Noah Woodlee/BasicGates/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..f72728d --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,78 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "01/21/2021 19:08:58" + +// Verilog Test Bench (with test vectors) for design : class1-21-21 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module class1-21-21_vlg_vec_tst(); +// constants +// general purpose registers +reg in1; +reg in2; +// wires +wire out; + +// assign statements (if any) +class1-21-21 i1 ( +// port map - connection between master ports and signals/registers + .in1(in1), + .in2(in2), + .out(out) +); +initial +begin +#200000 $finish; +end + +// in1 +initial +begin + in1 = 1'b0; + in1 = #10000 1'b1; + in1 = #10000 1'b0; + in1 = #10000 1'b1; + in1 = #10000 1'b0; + in1 = #30000 1'b1; + in1 = #20000 1'b0; + in1 = #80000 1'b1; + in1 = #10000 1'b0; +end + +// in2 +initial +begin + in2 = 1'b0; + in2 = #30000 1'b1; + in2 = #10000 1'b0; + in2 = #20000 1'b1; + in2 = #10000 1'b0; + in2 = #50000 1'b1; + in2 = #10000 1'b0; + in2 = #20000 1'b1; + in2 = #10000 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/Waveform1.vwf.vt b/EE203/Noah Woodlee/BasicGates/simulation/qsim/Waveform1.vwf.vt new file mode 100644 index 0000000..49a340a --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/Waveform1.vwf.vt @@ -0,0 +1,72 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "01/21/2021 19:19:21" + +// Verilog Test Bench (with test vectors) for design : class1-21-21 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module class1-21-21_vlg_vec_tst(); +// constants +// general purpose registers +reg in1; +reg in2; +// wires +wire out; + +// assign statements (if any) +class1-21-21 i1 ( +// port map - connection between master ports and signals/registers + .in1(in1), + .in2(in2), + .out(out) +); +initial +begin +#1000000 $finish; +end + +// in1 +initial +begin + in1 = 1'b0; + in1 = #80000 1'b1; + in1 = #220000 1'b0; + in1 = #440000 1'b1; + in1 = #40000 1'b0; +end + +// in2 +initial +begin + in2 = 1'b0; + in2 = #260000 1'b1; + in2 = #40000 1'b0; + in2 = #40000 1'b1; + in2 = #50000 1'b0; + in2 = #100000 1'b1; + in2 = #130000 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.do b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.do new file mode 100644 index 0000000..1d463c4 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vlog -work work class1-21-21.vo +vlog -work work Waveform1.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.class1-21-21_vlg_vec_tst +vcd file -direction class1-21-21.msim.vcd +vcd add -internal class1-21-21_vlg_vec_tst/* +vcd add -internal class1-21-21_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.sft b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.sft new file mode 100644 index 0000000..f324fea --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.vo b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.vo new file mode 100644 index 0000000..774d4b9 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21.vo @@ -0,0 +1,272 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" + +// DATE "01/21/2021 19:19:22" + +// +// Device: Altera 10M50DAF484C6GES Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module \class1-21-21 ( + out, + in1, + in2); +output out; +input in1; +input in2; + +// Design Ports Information +// out => Location: PIN_W8, I/O Standard: 2.5 V, Current Strength: Default +// in1 => Location: PIN_AB4, I/O Standard: 2.5 V, Current Strength: Default +// in2 => Location: PIN_AA3, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \~QUARTUS_CREATED_UNVM~~busy ; +wire \~QUARTUS_CREATED_ADC1~~eoc ; +wire \~QUARTUS_CREATED_ADC2~~eoc ; +wire \out~output_o ; +wire \in1~input_o ; +wire \in2~input_o ; +wire \inst~combout ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: LCCOMB_X44_Y52_N8 +fiftyfivenm_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .cout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 16'h0000; +defparam \~QUARTUS_CREATED_GND~I .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X24_Y0_N2 +fiftyfivenm_io_obuf \out~output ( + .i(\inst~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\out~output_o ), + .obar()); +// synopsys translate_off +defparam \out~output .bus_hold = "false"; +defparam \out~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X26_Y0_N22 +fiftyfivenm_io_ibuf \in1~input ( + .i(in1), + .ibar(gnd), + .nsleep(vcc), + .o(\in1~input_o )); +// synopsys translate_off +defparam \in1~input .bus_hold = "false"; +defparam \in1~input .listen_to_nsleep_signal = "false"; +defparam \in1~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X26_Y0_N29 +fiftyfivenm_io_ibuf \in2~input ( + .i(in2), + .ibar(gnd), + .nsleep(vcc), + .o(\in2~input_o )); +// synopsys translate_off +defparam \in2~input .bus_hold = "false"; +defparam \in2~input .listen_to_nsleep_signal = "false"; +defparam \in2~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y1_N0 +fiftyfivenm_lcell_comb inst( +// Equation(s): +// \inst~combout = (\in1~input_o & \in2~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\in1~input_o ), + .datad(\in2~input_o ), + .cin(gnd), + .combout(\inst~combout ), + .cout()); +// synopsys translate_off +defparam inst.lut_mask = 16'hF000; +defparam inst.sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: UNVM_X0_Y40_N40 +fiftyfivenm_unvm \~QUARTUS_CREATED_UNVM~ ( + .arclk(vcc), + .arshft(vcc), + .drclk(vcc), + .drshft(vcc), + .drdin(vcc), + .nprogram(vcc), + .nerase(vcc), + .nosc_ena(\~QUARTUS_CREATED_GND~I_combout ), + .par_en(vcc), + .xe_ye(\~QUARTUS_CREATED_GND~I_combout ), + .se(\~QUARTUS_CREATED_GND~I_combout ), + .ardin(23'b11111111111111111111111), + .busy(\~QUARTUS_CREATED_UNVM~~busy ), + .osc(), + .bgpbusy(), + .sp_pass(), + .se_pass(), + .drdout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .is_compressed_image = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_dual_boot = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_eram_skip = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .max_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .max_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .part_name = "quartus_created_unvm"; +defparam \~QUARTUS_CREATED_UNVM~ .reserve_block = "true"; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y52_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC1~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC1~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC1~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC1~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC1~ .is_this_first_or_second_adc = 1; +defparam \~QUARTUS_CREATED_ADC1~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC1~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC1~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC1~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC1~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC1~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .tsclksel = 0; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y51_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC2~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC2~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC2~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC2~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC2~ .is_this_first_or_second_adc = 2; +defparam \~QUARTUS_CREATED_ADC2~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC2~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC2~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC2~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC2~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC2~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .tsclksel = 0; +// synopsys translate_on + +assign out = \out~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_TMS~ => Location: PIN_H2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TCK~ => Location: PIN_G2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDI~ => Location: PIN_L4, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDO~ => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_CONFIG_SEL~ => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCONFIG~ => Location: PIN_H9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_nSTATUS~ => Location: PIN_G9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_CONF_DONE~ => Location: PIN_F8, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_TMS~~padout ; +wire \~ALTERA_TCK~~padout ; +wire \~ALTERA_TDI~~padout ; +wire \~ALTERA_CONFIG_SEL~~padout ; +wire \~ALTERA_nCONFIG~~padout ; +wire \~ALTERA_nSTATUS~~padout ; +wire \~ALTERA_CONF_DONE~~padout ; +wire \~ALTERA_TMS~~ibuf_o ; +wire \~ALTERA_TCK~~ibuf_o ; +wire \~ALTERA_TDI~~ibuf_o ; +wire \~ALTERA_CONFIG_SEL~~ibuf_o ; +wire \~ALTERA_nCONFIG~~ibuf_o ; +wire \~ALTERA_nSTATUS~~ibuf_o ; +wire \~ALTERA_CONF_DONE~~ibuf_o ; + + +endmodule diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21_modelsim.xrf b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21_modelsim.xrf new file mode 100644 index 0000000..dd827fa --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/class1-21-21_modelsim.xrf @@ -0,0 +1,20 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/class1-21-21.bdf +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/Waveform.vwf +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/db/class1-21-21.cbx.xml +design_name = \class1-21-21 +instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, \class1-21-21 , 1 +instance = comp, \out~output , out~output, \class1-21-21 , 1 +instance = comp, \in1~input , in1~input, \class1-21-21 , 1 +instance = comp, \in2~input , in2~input, \class1-21-21 , 1 +instance = comp, \~QUARTUS_CREATED_UNVM~ , ~QUARTUS_CREATED_UNVM~, \class1-21-21 , 1 +instance = comp, \~QUARTUS_CREATED_ADC1~ , ~QUARTUS_CREATED_ADC1~, \class1-21-21 , 1 +instance = comp, \~QUARTUS_CREATED_ADC2~ , ~QUARTUS_CREATED_ADC2~, \class1-21-21 , 1 +design_name = hard_block +instance = comp, \~ALTERA_TMS~~ibuf , ~ALTERA_TMS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TCK~~ibuf , ~ALTERA_TCK~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TDI~~ibuf , ~ALTERA_TDI~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONFIG_SEL~~ibuf , ~ALTERA_CONFIG_SEL~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nCONFIG~~ibuf , ~ALTERA_nCONFIG~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nSTATUS~~ibuf , ~ALTERA_nSTATUS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONF_DONE~~ibuf , ~ALTERA_CONF_DONE~~ibuf, hard_block, 1 diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/transcript b/EE203/Noah Woodlee/BasicGates/simulation/qsim/transcript new file mode 100644 index 0000000..ba7acb2 --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/transcript @@ -0,0 +1,20 @@ +# do class1-21-21.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 19:19:22 on Jan 21,2021 +# vlog -work work class1-21-21.vo +# -- Compiling module \class1-21-21 +# -- Compiling module hard_block +# +# Top level modules: +# \class1-21-21 +# End time: 19:19:22 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 19:19:22 on Jan 21,2021 +# vlog -work work Waveform1.vwf.vt +# ** Error: (vlog-13069) Waveform1.vwf.vt(30): near "-": syntax error, unexpected '-', expecting "SystemVerilog keyword 'import'" or ';' or '#' or '('. +# End time: 19:19:22 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 1, Warnings: 0 +# ** Error: c:/intelfpga_lite/16.1/modelsim_ase/win32aloem/vlog failed. +# Executing ONERROR command at macro ./class1-21-21.do line 4 diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_info b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_info new file mode 100644 index 0000000..18655ac --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_info @@ -0,0 +1,53 @@ +m255 +K4 +z2 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 dC:/Users/anw0044/Desktop/Noah Woodlee/BasicGates/simulation/qsim +v\class1-21-21 +Z1 !s110 1611278362 +!i10b 1 +!s100 jJQkb4bY@EOnS7>4kW[N?3 +I22ORzOonmkC=^2kBCmCMe0 +Z2 VDg1SIo80bB@j0V0VzS_@n1 +R0 +Z3 w1611278362 +Z4 8class1-21-21.vo +Z5 Fclass1-21-21.vo +L0 32 +Z6 OV;L;10.5b;63 +r1 +!s85 0 +31 +Z7 !s108 1611278362.000000 +Z8 !s107 class1-21-21.vo| +Z9 !s90 -work|work|class1-21-21.vo| +!i113 1 +Z10 o-work work +Z11 tCvgOpt 0 +n@134class1-21-21@040 +vhard_block +R1 +!i10b 1 +!s100 M`VFZU>cQ=@9Z4Cck8KGo1 +IK8Ki:eNcRfd6F_3a<8Ff^0 +R2 +R0 +R3 +R4 +R5 +L0 228 +R6 +r1 +!s85 0 +31 +R7 +R8 +R9 +!i113 1 +R10 +R11 diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib.qdb b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000..74c0202 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib.qdb differ diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qdb b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000..5639958 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qdb differ diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qpg b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000..da46133 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qpg differ diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qtl b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000..7facc40 Binary files /dev/null and b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_lib1_0.qtl differ diff --git a/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_vmake b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/EE203/Noah Woodlee/BasicGates/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology diff --git a/EE203/Noah Woodlee/Decoder/Waveform.vwf b/EE203/Noah Woodlee/Decoder/Waveform.vwf new file mode 100644 index 0000000..0c2c986 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/Waveform.vwf @@ -0,0 +1,264 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +onerror {exit -code 1} +vlib work +vlog -work work decoder.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +vcd file -direction decoder.msim.vcd +vcd add -internal decoder_vlg_vec_tst/* +vcd add -internal decoder_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work decoder.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +vcd file -direction decoder.msim.vcd +vcd add -internal decoder_vlg_vec_tst/* +vcd add -internal decoder_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 200.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Q0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("A") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("B") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("Q0") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +TRANSITION_LIST("Q1") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +TRANSITION_LIST("Q2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +TRANSITION_LIST("Q3") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.(0).cnf.cdb b/EE203/Noah Woodlee/Decoder/db/decoder.(0).cnf.cdb new file mode 100644 index 0000000..2c9c009 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.(0).cnf.hdb b/EE203/Noah Woodlee/Decoder/db/decoder.(0).cnf.hdb new file mode 100644 index 0000000..4d18a79 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.asm.qmsg b/EE203/Noah Woodlee/Decoder/db/decoder.asm.qmsg new file mode 100644 index 0000000..ebb8d5b --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611280915611 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611280915615 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 20:01:55 2021 " "Processing started: Thu Jan 21 20:01:55 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611280915615 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1611280915615 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1611280915615 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1611280915826 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1611280917012 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1611280917103 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4685 " "Peak virtual memory: 4685 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611280917813 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 20:01:57 2021 " "Processing ended: Thu Jan 21 20:01:57 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611280917813 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611280917813 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611280917813 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1611280917813 ""} diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.asm.rdb b/EE203/Noah Woodlee/Decoder/db/decoder.asm.rdb new file mode 100644 index 0000000..302f0e2 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.asm.rdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.asm_labs.ddb b/EE203/Noah Woodlee/Decoder/db/decoder.asm_labs.ddb new file mode 100644 index 0000000..9e8f3e7 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cbx.xml b/EE203/Noah Woodlee/Decoder/db/decoder.cbx.xml new file mode 100644 index 0000000..2ab7055 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cmp.bpm b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.bpm new file mode 100644 index 0000000..2d8072f Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.bpm differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cmp.cdb b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.cdb new file mode 100644 index 0000000..75cc98b Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cmp.hdb b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.hdb new file mode 100644 index 0000000..8cf0cc7 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cmp.idb b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.idb new file mode 100644 index 0000000..c9e6185 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.idb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cmp.logdb b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.logdb new file mode 100644 index 0000000..32f4068 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.logdb @@ -0,0 +1,48 @@ +v1 +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;0;0;0;0;6;0;0;6;6;0;4;0;0;2;0;4;2;0;0;0;4;0;0;0;0;0;6;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,6;6;6;6;6;0;6;6;0;0;6;2;6;6;4;6;2;4;6;6;6;2;6;6;6;6;6;0;6;6, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Q0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Q1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Q2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Q3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,B,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,A,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,9, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cmp.rdb b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.rdb new file mode 100644 index 0000000..0e9a19b Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.cmp.rdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.cmp_merge.kpt b/EE203/Noah Woodlee/Decoder/db/decoder.cmp_merge.kpt new file mode 100644 index 0000000..2715191 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.db_info b/EE203/Noah Woodlee/Decoder/db/decoder.db_info new file mode 100644 index 0000000..f5fd108 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Thu Jan 21 19:32:30 2021 diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.eda.qmsg b/EE203/Noah Woodlee/Decoder/db/decoder.eda.qmsg new file mode 100644 index 0000000..1d26e17 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.eda.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611281531256 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2016 Intel Corporation. All rights reserved. " "Copyright (C) 2016 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and its AMPP partner logic " "and other software and tools, and its AMPP partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel MegaCore Function License Agreement, or other " "the Intel MegaCore Function License Agreement, or other " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "applicable license agreement, including, without limitation, " "applicable license agreement, including, without limitation, " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "that your use is for the sole purpose of programming logic " "that your use is for the sole purpose of programming logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "devices manufactured by Intel and sold by Intel or its " "devices manufactured by Intel and sold by Intel or its " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "authorized distributors. Please refer to the applicable " "authorized distributors. Please refer to the applicable " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement for further details. " "agreement for further details." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 20:12:11 2021 " "Processing started: Thu Jan 21 20:12:11 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1611281531260 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/\" decoder -c decoder " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/\" decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1611281531261 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1611281531461 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "decoder.vo C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim// simulation " "Generated file decoder.vo in folder \"C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1611281531492 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4641 " "Peak virtual memory: 4641 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611281531521 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 20:12:11 2021 " "Processing ended: Thu Jan 21 20:12:11 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611281531521 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611281531521 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611281531521 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1611281531521 ""} diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.fit.qmsg b/EE203/Noah Woodlee/Decoder/db/decoder.fit.qmsg new file mode 100644 index 0000000..ad0012d --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.fit.qmsg @@ -0,0 +1,53 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1611280909024 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1611280909025 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "decoder 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"decoder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1611280909028 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611280909057 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611280909057 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1611280909212 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1611280909217 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1611280909289 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1611280909289 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 26 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 28 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 30 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 32 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 34 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 36 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 38 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 40 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1611280909290 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1611280909290 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611280909290 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611280909290 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611280909290 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1611280909290 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1611280909291 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "6 6 " "No exact pin location assignment(s) for 6 pins of 6 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1611280909442 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "decoder.sdc " "Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1611280909603 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "6 unused 2.5V 2 4 0 " "Number of I/O pins in group: 6 (unused VREF, 2.5V VCCIO, 2 input, 4 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1611280909603 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1611280909603 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1A does not use undetermined 0 16 " "I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1B does not use undetermined 4 20 " "I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 36 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 48 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 48 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 40 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 0 60 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 52 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 4 32 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1611280909603 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1611280909603 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1611280909603 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611280909613 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1611280909613 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1611280910413 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611280910449 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1611280910463 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1611280910737 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611280910737 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1611280912422 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X33_Y44 X44_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X33_Y44 to location X44_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X33_Y44 to location X44_Y54"} { { 12 { 0 ""} 33 44 12 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1611280913340 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1611280913340 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1611280913399 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1611280913399 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1611280913399 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611280913402 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1611280913546 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611280913551 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611280913709 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1611280913709 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1611280913928 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611280914229 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1611280914419 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5902 " "Peak virtual memory: 5902 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611280914763 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 20:01:54 2021 " "Processing ended: Thu Jan 21 20:01:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611280914763 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611280914763 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611280914763 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1611280914763 ""} diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.hier_info b/EE203/Noah Woodlee/Decoder/db/decoder.hier_info new file mode 100644 index 0000000..8b8da8e --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.hier_info @@ -0,0 +1,13 @@ +|decoder +Q0 <= inst.DB_MAX_OUTPUT_PORT_TYPE +B => inst7.IN0 +B => inst1.IN1 +B => inst3.IN1 +A => inst5.IN0 +A => inst2.IN0 +A => inst3.IN0 +Q1 <= inst1.DB_MAX_OUTPUT_PORT_TYPE +Q2 <= inst2.DB_MAX_OUTPUT_PORT_TYPE +Q3 <= inst3.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.hif b/EE203/Noah Woodlee/Decoder/db/decoder.hif new file mode 100644 index 0000000..10e6590 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.hif differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.lpc.html b/EE203/Noah Woodlee/Decoder/db/decoder.lpc.html new file mode 100644 index 0000000..7d68592 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.lpc.rdb b/EE203/Noah Woodlee/Decoder/db/decoder.lpc.rdb new file mode 100644 index 0000000..c179f4d Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.lpc.rdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.lpc.txt b/EE203/Noah Woodlee/Decoder/db/decoder.lpc.txt new file mode 100644 index 0000000..dbfe520 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.ammdb b/EE203/Noah Woodlee/Decoder/db/decoder.map.ammdb new file mode 100644 index 0000000..a4afc79 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map.ammdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.bpm b/EE203/Noah Woodlee/Decoder/db/decoder.map.bpm new file mode 100644 index 0000000..66a808b Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map.bpm differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.cdb b/EE203/Noah Woodlee/Decoder/db/decoder.map.cdb new file mode 100644 index 0000000..be9112f Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.hdb b/EE203/Noah Woodlee/Decoder/db/decoder.map.hdb new file mode 100644 index 0000000..c60f3ec Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.kpt b/EE203/Noah Woodlee/Decoder/db/decoder.map.kpt new file mode 100644 index 0000000..db3ed27 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map.kpt differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.logdb b/EE203/Noah Woodlee/Decoder/db/decoder.map.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.qmsg b/EE203/Noah Woodlee/Decoder/db/decoder.map.qmsg new file mode 100644 index 0000000..3291be9 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.map.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611280900987 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611280900991 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 20:01:40 2021 " "Processing started: Thu Jan 21 20:01:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611280900991 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611280900991 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder " "Command: quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611280900991 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1611280901215 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1611280901215 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "decoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 decoder " "Found entity 1: decoder" { } { { "decoder.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/decoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1611280907273 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1611280907273 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "decoder " "Elaborating entity \"decoder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1611280907290 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1611280907580 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1611280907858 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1611280907858 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "10 " "Implemented 10 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1611280907897 ""} { "Info" "ICUT_CUT_TM_OPINS" "4 " "Implemented 4 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1611280907897 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1611280907897 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1611280907897 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4788 " "Peak virtual memory: 4788 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611280907912 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 20:01:47 2021 " "Processing ended: Thu Jan 21 20:01:47 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611280907912 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611280907912 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611280907912 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1611280907912 ""} diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map.rdb b/EE203/Noah Woodlee/Decoder/db/decoder.map.rdb new file mode 100644 index 0000000..989e4fe Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map.rdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.cdb b/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.cdb new file mode 100644 index 0000000..e2e020d Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.hdb b/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.hdb new file mode 100644 index 0000000..9176267 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.logdb b/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.pre_map.hdb b/EE203/Noah Woodlee/Decoder/db/decoder.pre_map.hdb new file mode 100644 index 0000000..3f9e93f Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/Decoder/db/decoder.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..9d09873 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.routing.rdb b/EE203/Noah Woodlee/Decoder/db/decoder.routing.rdb new file mode 100644 index 0000000..dc01f86 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.routing.rdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.rtlv.hdb b/EE203/Noah Woodlee/Decoder/db/decoder.rtlv.hdb new file mode 100644 index 0000000..5f74350 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.rtlv_sg.cdb b/EE203/Noah Woodlee/Decoder/db/decoder.rtlv_sg.cdb new file mode 100644 index 0000000..1df38b5 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/Decoder/db/decoder.rtlv_sg_swap.cdb new file mode 100644 index 0000000..0be030c Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.sld_design_entry.sci b/EE203/Noah Woodlee/Decoder/db/decoder.sld_design_entry.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/Decoder/db/decoder.sld_design_entry_dsc.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.smart_action.txt b/EE203/Noah Woodlee/Decoder/db/decoder.smart_action.txt new file mode 100644 index 0000000..f1e1649 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.sta.qmsg b/EE203/Noah Woodlee/Decoder/db/decoder.sta.qmsg new file mode 100644 index 0000000..b9244ce --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.sta.qmsg @@ -0,0 +1,50 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611280918793 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611280918797 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 20:01:58 2021 " "Processing started: Thu Jan 21 20:01:58 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611280918797 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280918797 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta decoder -c decoder " "Command: quartus_sta decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280918797 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1611280918863 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280918987 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280918987 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919013 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919013 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "decoder.sdc " "Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919199 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919199 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919199 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919200 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919200 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919200 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1611280919200 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919202 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611280919202 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919202 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1611280919202 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919215 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919219 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919223 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919227 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919230 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611280919236 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280919255 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920275 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920322 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920322 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920322 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920322 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920322 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920333 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920337 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920341 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920343 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920343 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1611280920353 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920476 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920476 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920477 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920477 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920481 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920485 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920489 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920493 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280920497 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280921050 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280921050 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4872 " "Peak virtual memory: 4872 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611280921083 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 20:02:01 2021 " "Processing ended: Thu Jan 21 20:02:01 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611280921083 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611280921083 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611280921083 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1611280921083 ""} diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.sta.rdb b/EE203/Noah Woodlee/Decoder/db/decoder.sta.rdb new file mode 100644 index 0000000..c556dca Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.sta.rdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.sta_cmp.7_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/Decoder/db/decoder.sta_cmp.7_slow_1200mv_85c.tdb new file mode 100644 index 0000000..044c530 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.sta_cmp.7_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.tis_db_list.ddb b/EE203/Noah Woodlee/Decoder/db/decoder.tis_db_list.ddb new file mode 100644 index 0000000..eda1a82 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..185dc31 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_0c.ddb new file mode 100644 index 0000000..d614d52 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_85c.ddb new file mode 100644 index 0000000..ae44f6b Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..312c391 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..3473cfe Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.tmw_info b/EE203/Noah Woodlee/Decoder/db/decoder.tmw_info new file mode 100644 index 0000000..e2678c2 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder.tmw_info @@ -0,0 +1,2 @@ +start_analysis_synthesis:s:00:00:08-start_full_compilation +start_analysis_elaboration:s-start_full_compilation diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.vpr.ammdb b/EE203/Noah Woodlee/Decoder/db/decoder.vpr.ammdb new file mode 100644 index 0000000..8a7c927 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..db68b29 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ii_0c_slow.hsd b/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ii_0c_slow.hsd new file mode 100644 index 0000000..d223770 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ii_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ii_85c_slow.hsd b/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ii_85c_slow.hsd new file mode 100644 index 0000000..68203ec Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/db/decoder.zippleback_io_sim_cache.ii_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Decoder/db/decoder_partition_pins.json b/EE203/Noah Woodlee/Decoder/db/decoder_partition_pins.json new file mode 100644 index 0000000..14c75c7 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/db/decoder_partition_pins.json @@ -0,0 +1,33 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "Q0", + "strict" : false + }, + { + "name" : "Q1", + "strict" : false + }, + { + "name" : "Q2", + "strict" : false + }, + { + "name" : "Q3", + "strict" : false + }, + { + "name" : "B", + "strict" : false + }, + { + "name" : "A", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Decoder/decoder.bdf b/EE203/Noah Woodlee/Decoder/decoder.bdf new file mode 100644 index 0000000..2f0f752 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/decoder.bdf @@ -0,0 +1,409 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 136 272 304 288) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "A" (rect 5 0 14 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 136 400 304 416) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "B" (rect 5 0 13 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 632 232 808 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q0" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 632 320 808 336) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q1" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 632 416 808 432) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q2" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 632 496 808 512) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q3" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 568 216 632 264) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 568 304 632 352) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 568 400 632 448) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 568 480 632 528) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 384 288 432 320) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 25 38)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 384 392 432 424) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 21 25 38)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(connector + (pt 568 248) + (pt 560 248) +) +(connector + (pt 560 248) + (pt 560 304) +) +(connector + (pt 304 280) + (pt 336 280) +) +(connector + (pt 336 304) + (pt 384 304) +) +(connector + (pt 568 232) + (pt 480 232) +) +(connector + (pt 480 232) + (pt 480 408) +) +(connector + (pt 360 512) + (pt 568 512) +) +(connector + (pt 304 408) + (pt 360 408) +) +(connector + (pt 360 408) + (pt 384 408) +) +(connector + (pt 336 280) + (pt 336 304) +) +(connector + (pt 336 304) + (pt 336 464) +) +(connector + (pt 568 464) + (pt 568 496) +) +(connector + (pt 488 464) + (pt 488 416) +) +(connector + (pt 488 416) + (pt 568 416) +) +(connector + (pt 336 464) + (pt 488 464) +) +(connector + (pt 488 464) + (pt 568 464) +) +(connector + (pt 568 432) + (pt 456 432) +) +(connector + (pt 456 432) + (pt 456 408) +) +(connector + (pt 480 408) + (pt 456 408) +) +(connector + (pt 456 408) + (pt 432 408) +) +(connector + (pt 568 320) + (pt 528 320) +) +(connector + (pt 528 320) + (pt 528 304) +) +(connector + (pt 560 304) + (pt 528 304) +) +(connector + (pt 528 304) + (pt 432 304) +) +(connector + (pt 360 336) + (pt 568 336) +) +(connector + (pt 360 336) + (pt 360 408) +) +(connector + (pt 360 408) + (pt 360 512) +) +(junction (pt 360 408)) +(junction (pt 336 304)) +(junction (pt 488 464)) +(junction (pt 456 408)) +(junction (pt 528 304)) diff --git a/EE203/Noah Woodlee/Decoder/decoder.qpf b/EE203/Noah Woodlee/Decoder/decoder.qpf new file mode 100644 index 0000000..bbf2d22 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/decoder.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 19:32:30 January 21, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "19:32:30 January 21, 2021" + +# Revisions + +PROJECT_REVISION = "decoder" diff --git a/EE203/Noah Woodlee/Decoder/decoder.qsf b/EE203/Noah Woodlee/Decoder/decoder.qsf new file mode 100644 index 0000000..c6fc31c --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/decoder.qsf @@ -0,0 +1,61 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 19:32:30 January 21, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# decoder_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY decoder +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:32:30 JANUARY 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name BDF_FILE decoder.bdf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_location_assignment PIN_C10 -to A +set_location_assignment PIN_C11 -to B +set_location_assignment PIN_A8 -to Q0 +set_location_assignment PIN_A9 -to Q1 +set_location_assignment PIN_A10 -to Q2 +set_location_assignment PIN_B10 -to Q3 +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf \ No newline at end of file diff --git a/EE203/Noah Woodlee/Decoder/decoder.qws b/EE203/Noah Woodlee/Decoder/decoder.qws new file mode 100644 index 0000000..4feb9cf Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/decoder.qws differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/README b/EE203/Noah Woodlee/Decoder/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.db_info b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.db_info new file mode 100644 index 0000000..bec5092 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Thu Jan 21 20:01:47 2021 diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.ammdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.ammdb new file mode 100644 index 0000000..d9ee6c7 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.cdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.cdb new file mode 100644 index 0000000..c68e967 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.dfp b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.hdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.hdb new file mode 100644 index 0000000..d2c6fdd Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.logdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.rcfdb new file mode 100644 index 0000000..29c7d68 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.cdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.cdb new file mode 100644 index 0000000..fb72e48 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.dpi b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.dpi new file mode 100644 index 0000000..4d6717b Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..d454b85 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..212f4b7 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hdb new file mode 100644 index 0000000..0f5753f Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.kpt b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.kpt new file mode 100644 index 0000000..91bacec Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.rrp.hdb b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.rrp.hdb new file mode 100644 index 0000000..b5208b8 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/incremental_db/compiled_partitions/decoder.rrp.hdb differ diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.asm.rpt b/EE203/Noah Woodlee/Decoder/output_files/decoder.asm.rpt new file mode 100644 index 0000000..272fd0f --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.asm.rpt @@ -0,0 +1,93 @@ +Assembler report for decoder +Thu Jan 21 20:01:57 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Jan 21 20:01:57 2021 ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++------------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.sof ; ++------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.sof ; ++----------------+---------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------------------------------+ +; Device ; 10M50DAF484C7G ; +; JTAG usercode ; 0x0026FF7D ; +; Checksum ; 0x0026FF7D ; ++----------------+---------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Jan 21 20:01:55 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4685 megabytes + Info: Processing ended: Thu Jan 21 20:01:57 2021 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.done b/EE203/Noah Woodlee/Decoder/output_files/decoder.done new file mode 100644 index 0000000..dec96e3 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.done @@ -0,0 +1 @@ +Thu Jan 21 20:02:01 2021 diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.eda.rpt b/EE203/Noah Woodlee/Decoder/output_files/decoder.eda.rpt new file mode 100644 index 0000000..87cf935 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.eda.rpt @@ -0,0 +1,108 @@ +EDA Netlist Writer report for decoder +Thu Jan 21 20:12:11 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Jan 21 20:12:11 2021 ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++---------------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//decoder.vo ; ++---------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Jan 21 20:12:11 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file decoder.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Jan 21 20:12:11 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.rpt b/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.rpt new file mode 100644 index 0000000..8704ec1 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.rpt @@ -0,0 +1,1215 @@ +Fitter report for decoder +Thu Jan 21 20:01:54 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Thu Jan 21 20:01:54 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 5 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 6 / 360 ( 2 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C7G ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.1% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; +; -- Achieved ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 20 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 5 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 5 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 5 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 6 / 360 ( 2 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.1% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 29 ; +; Average fan-out ; 0.81 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 5 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 5 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 5 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 5 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 6 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 35 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 2 ; 0 ; +; -- Output Ports ; 4 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; A ; W4 ; 3 ; 18 ; 0 ; 14 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; B ; W3 ; 3 ; 18 ; 0 ; 7 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Q0 ; Y2 ; 3 ; 16 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; Q1 ; AA1 ; 3 ; 18 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; Q2 ; AA2 ; 3 ; 18 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; Q3 ; U7 ; 3 ; 16 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 6 / 48 ( 13 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 0 / 60 ( 0 % ) ; 2.5V ; -- ; +; 7 ; 0 / 52 ( 0 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A14 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; Q1 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; AA2 ; 135 ; 3 ; Q2 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C11 ; 440 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C12 ; 436 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 391 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; 388 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; Q3 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; B ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; W4 ; 132 ; 3 ; A ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; Q0 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; Q0 ; Incomplete set of assignments ; +; Q1 ; Incomplete set of assignments ; +; Q2 ; Incomplete set of assignments ; +; Q3 ; Incomplete set of assignments ; +; B ; Incomplete set of assignments ; +; A ; Incomplete set of assignments ; +; Q0 ; Missing location assignment ; +; Q1 ; Missing location assignment ; +; Q2 ; Missing location assignment ; +; Q3 ; Missing location assignment ; +; B ; Missing location assignment ; +; A ; Missing location assignment ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |decoder ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 6 ; 0 ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; |decoder ; decoder ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++--------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Q0 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Q1 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Q2 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Q3 ; Output ; -- ; -- ; -- ; -- ; -- ; +; B ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; A ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+------+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; B ; ; ; +; - inst ; 1 ; 6 ; +; - inst2~0 ; 1 ; 6 ; +; - inst2~1 ; 1 ; 6 ; +; - inst2~2 ; 1 ; 6 ; +; A ; ; ; +; - inst ; 1 ; 6 ; +; - inst2~0 ; 1 ; 6 ; +; - inst2~1 ; 1 ; 6 ; +; - inst2~2 ; 1 ; 6 ; ++---------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 10 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 0 / 5,382 ( 0 % ) ; +; C4 interconnects ; 11 / 106,704 ( < 1 % ) ; +; Direct links ; 0 / 148,641 ( 0 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 0 / 5,406 ( 0 % ) ; +; R4 interconnects ; 1 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 2.50) ; Number of LABs (Total = 2) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 2.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 2.50) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 1.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 9 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 21 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 6 ; 6 ; 0 ; 4 ; 0 ; 0 ; 2 ; 0 ; 4 ; 2 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 6 ; 6 ; 6 ; 6 ; 6 ; 0 ; 6 ; 6 ; 0 ; 0 ; 6 ; 2 ; 6 ; 6 ; 4 ; 6 ; 2 ; 4 ; 6 ; 6 ; 6 ; 2 ; 6 ; 6 ; 6 ; 6 ; 6 ; 0 ; 6 ; 6 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Q0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Q1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Q2 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Q3 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; B ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; A ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (119006): Selected device 10M50DAF484C7G for design "decoder" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device 10M08DAF484I7G is compatible + Info (176445): Device 10M16DAF484C7G is compatible + Info (176445): Device 10M16DAF484I7G is compatible + Info (176445): Device 10M25DAF484C7G is compatible + Info (176445): Device 10M25DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7P is compatible + Info (176445): Device 10M40DAF484C7G is compatible + Info (176445): Device 10M40DAF484I7G is compatible +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (169085): No exact pin location assignment(s) for 6 pins of 6 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. +Critical Warning (332012): Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 6 (unused VREF, 2.5V VCCIO, 2 input, 4 output, 0 bidirectional) + Info (176212): I/O standards used: 2.5 V. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available + Info (176213): I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X33_Y44 to location X44_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.02 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (144001): Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 5902 megabytes + Info: Processing ended: Thu Jan 21 20:01:54 2021 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:08 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg. + + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.smsg b/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.smsg new file mode 100644 index 0000000..558ea95 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.summary b/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.summary new file mode 100644 index 0000000..dda2637 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Thu Jan 21 20:01:54 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : decoder +Top-level Entity Name : decoder +Family : MAX 10 +Device : 10M50DAF484C7G +Timing Models : Final +Total logic elements : 5 / 49,760 ( < 1 % ) + Total combinational functions : 5 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 6 / 360 ( 2 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.flow.rpt b/EE203/Noah Woodlee/Decoder/output_files/decoder.flow.rpt new file mode 100644 index 0000000..6b74b48 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.flow.rpt @@ -0,0 +1,137 @@ +Flow report for decoder +Thu Jan 21 20:12:11 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Thu Jan 21 20:12:11 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 5 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 6 / 360 ( 2 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 01/21/2021 20:01:41 ; +; Main task ; Compilation ; +; Revision Name ; decoder ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 189559947077153.161128090107632 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:07 ; 1.0 ; 4788 MB ; 00:00:16 ; +; Fitter ; 00:00:06 ; 1.0 ; 5902 MB ; 00:00:08 ; +; Assembler ; 00:00:02 ; 1.0 ; 4685 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 4872 MB ; 00:00:02 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4632 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4641 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4632 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4641 MB ; 00:00:00 ; +; Total ; 00:00:18 ; -- ; -- ; 00:00:28 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; TimeQuest Timing Analyzer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; ++---------------------------+------------------+------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder +quartus_fit --read_settings_files=off --write_settings_files=off decoder -c decoder +quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder +quartus_sta decoder -c decoder +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder + + + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.jdi b/EE203/Noah Woodlee/Decoder/output_files/decoder.jdi new file mode 100644 index 0000000..bb6ca07 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.map.rpt b/EE203/Noah Woodlee/Decoder/output_files/decoder.map.rpt new file mode 100644 index 0000000..ce5ece4 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.map.rpt @@ -0,0 +1,284 @@ +Analysis & Synthesis report for decoder +Thu Jan 21 20:01:47 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Jan 21 20:01:47 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Total logic elements ; 4 ; +; Total combinational functions ; 4 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 6 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C7G ; ; +; Top-level entity name ; decoder ; decoder ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; OpenCore Plus hardware evaluation ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------+---------+ +; decoder.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/decoder.bdf ; ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------+ +; Resource ; Usage ; ++---------------------------------------------+---------+ +; Estimated Total logic elements ; 4 ; +; ; ; +; Total combinational functions ; 4 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 4 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 4 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 6 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; B~input ; +; Maximum fan-out ; 4 ; +; Total fan-out ; 18 ; +; Average fan-out ; 1.13 ; ++---------------------------------------------+---------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |decoder ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; |decoder ; decoder ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 6 ; +; cycloneiii_lcell_comb ; 5 ; +; normal ; 5 ; +; 1 data inputs ; 1 ; +; 2 data inputs ; 4 ; +; ; ; +; Max LUT depth ; 2.00 ; +; Average LUT depth ; 1.57 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Jan 21 20:01:40 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (12021): Found 1 design units, including 1 entities, in source file decoder.bdf + Info (12023): Found entity 1: decoder +Info (12127): Elaborating entity "decoder" for the top level hierarchy +Info (286030): Timing-Driven Synthesis is running +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 10 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 2 input pins + Info (21059): Implemented 4 output pins + Info (21061): Implemented 4 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4788 megabytes + Info: Processing ended: Thu Jan 21 20:01:47 2021 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:16 + + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.map.summary b/EE203/Noah Woodlee/Decoder/output_files/decoder.map.summary new file mode 100644 index 0000000..4fdb692 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Thu Jan 21 20:01:47 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : decoder +Top-level Entity Name : decoder +Family : MAX 10 +Total logic elements : 4 + Total combinational functions : 4 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 6 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.pin b/EE203/Noah Woodlee/Decoder/output_files/decoder.pin new file mode 100644 index 0000000..4e3679d --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2016 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel MegaCore Function License Agreement, or other + -- applicable license agreement, including, without limitation, + -- that your use is for the sole purpose of programming logic + -- devices manufactured by Intel and sold by Intel or its + -- authorized distributors. Please refer to the applicable + -- agreement for further details. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +CHIP "decoder" ASSIGNED TO AN: 10M50DAF484C7G + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +Q1 : AA1 : output : 2.5 V : : 3 : N +Q2 : AA2 : output : 2.5 V : : 3 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7 : +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +Q3 : U7 : output : 2.5 V : : 3 : N +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +B : W3 : input : 2.5 V : : 3 : N +A : W4 : input : 2.5 V : : 3 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +Q0 : Y2 : output : 2.5 V : : 3 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.pof b/EE203/Noah Woodlee/Decoder/output_files/decoder.pof new file mode 100644 index 0000000..cfc032e Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/output_files/decoder.pof differ diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.sld b/EE203/Noah Woodlee/Decoder/output_files/decoder.sld new file mode 100644 index 0000000..41a6030 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.sof b/EE203/Noah Woodlee/Decoder/output_files/decoder.sof new file mode 100644 index 0000000..ff35735 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/output_files/decoder.sof differ diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.sta.rpt b/EE203/Noah Woodlee/Decoder/output_files/decoder.sta.rpt new file mode 100644 index 0000000..4fe7c04 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.sta.rpt @@ -0,0 +1,443 @@ +TimeQuest Timing Analyzer report for decoder +Thu Jan 21 20:02:01 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Timing Analyzer ; TimeQuest ; +; Revision Name ; decoder ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.2% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Q0 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Q1 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Q2 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Q3 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; B ; 2.5 V ; 2000 ps ; 2000 ps ; +; A ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Q0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; Q1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; Q2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; Q3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Q0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; Q1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; Q2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; Q3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Q0 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; Q1 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; Q2 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; Q3 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 2 ; 2 ; +; Unconstrained Input Port Paths ; 8 ; 8 ; +; Unconstrained Output Ports ; 4 ; 4 ; +; Unconstrained Output Port Paths ; 8 ; 8 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; A ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; B ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; Q0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; A ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; B ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; Q0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime TimeQuest Timing Analyzer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Jan 21 20:01:58 2021 +Info: Command: quartus_sta decoder -c decoder +Info: qsta_default_script.tcl version: #1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 4872 megabytes + Info: Processing ended: Thu Jan 21 20:02:01 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/EE203/Noah Woodlee/Decoder/output_files/decoder.sta.summary b/EE203/Noah Woodlee/Decoder/output_files/decoder.sta.summary new file mode 100644 index 0000000..6640100 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/output_files/decoder.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt b/EE203/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..ace2249 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,80 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "01/21/2021 20:12:10" + +// Verilog Test Bench (with test vectors) for design : decoder +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module decoder_vlg_vec_tst(); +// constants +// general purpose registers +reg A; +reg B; +// wires +wire Q0; +wire Q1; +wire Q2; +wire Q3; + +// assign statements (if any) +decoder i1 ( +// port map - connection between master ports and signals/registers + .A(A), + .B(B), + .Q0(Q0), + .Q1(Q1), + .Q2(Q2), + .Q3(Q3) +); +initial +begin +#200000 $finish; +end + +// A +initial +begin + A = 1'b0; + A = #10000 1'b1; + A = #20000 1'b0; + A = #30000 1'b1; + A = #30000 1'b0; + A = #60000 1'b1; + A = #10000 1'b0; +end + +// B +initial +begin + B = 1'b0; + B = #60000 1'b1; + B = #30000 1'b0; + B = #20000 1'b1; + B = #10000 1'b0; + B = #30000 1'b1; + B = #10000 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.do b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.do new file mode 100644 index 0000000..ca3c9f7 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vlog -work work decoder.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +vcd file -direction decoder.msim.vcd +vcd add -internal decoder_vlg_vec_tst/* +vcd add -internal decoder_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.msim.vcd b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.msim.vcd new file mode 100644 index 0000000..6e01868 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.msim.vcd @@ -0,0 +1,156 @@ +$comment + File created using the following command: + vcd file decoder.msim.vcd -direction +$end +$date + Thu Jan 21 20:12:12 2021 +$end +$version + ModelSim Version 10.5b +$end +$timescale + 1ps +$end + +$scope module decoder_vlg_vec_tst $end +$var reg 1 ! A $end +$var reg 1 " B $end +$var wire 1 # Q0 $end +$var wire 1 $ Q1 $end +$var wire 1 % Q2 $end +$var wire 1 & Q3 $end + +$scope module i1 $end +$var wire 1 ' gnd $end +$var wire 1 ( vcc $end +$var wire 1 ) unknown $end +$var tri1 1 * devclrn $end +$var tri1 1 + devpor $end +$var tri1 1 , devoe $end +$var wire 1 - ~QUARTUS_CREATED_GND~I_combout $end +$var wire 1 . ~QUARTUS_CREATED_UNVM~~busy $end +$var wire 1 / ~QUARTUS_CREATED_ADC1~~eoc $end +$var wire 1 0 ~QUARTUS_CREATED_ADC2~~eoc $end +$var wire 1 1 Q0~output_o $end +$var wire 1 2 Q1~output_o $end +$var wire 1 3 Q2~output_o $end +$var wire 1 4 Q3~output_o $end +$var wire 1 5 B~input_o $end +$var wire 1 6 A~input_o $end +$var wire 1 7 inst~combout $end +$var wire 1 8 inst2~0_combout $end +$var wire 1 9 inst2~1_combout $end +$var wire 1 : inst2~2_combout $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0! +0" +1# +0$ +0% +0& +0' +1( +x) +1* +1+ +1, +0- +z. +z/ +z0 +11 +02 +03 +04 +05 +06 +07 +08 +09 +0: +$end +#10000 +1! +16 +17 +19 +13 +01 +0# +1% +#30000 +0! +06 +07 +09 +03 +11 +1# +0% +#60000 +1" +1! +16 +15 +17 +1: +14 +01 +0# +1& +#90000 +0" +0! +06 +05 +07 +0: +04 +11 +1# +0& +#110000 +1" +15 +17 +18 +12 +01 +0# +1$ +#120000 +0" +05 +07 +08 +02 +11 +1# +0$ +#150000 +1! +1" +15 +16 +17 +1: +14 +01 +0# +1& +#160000 +0! +0" +05 +06 +07 +0: +04 +11 +1# +0& +#200000 diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.sft b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.sft new file mode 100644 index 0000000..f324fea --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.vo b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.vo new file mode 100644 index 0000000..8eb8440 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder.vo @@ -0,0 +1,383 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" + +// DATE "01/21/2021 20:12:11" + +// +// Device: Altera 10M50DAF484C7G Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module decoder ( + Q0, + B, + A, + Q1, + Q2, + Q3); +output Q0; +input B; +input A; +output Q1; +output Q2; +output Q3; + +// Design Ports Information +// Q0 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default +// Q1 => Location: PIN_AA1, I/O Standard: 2.5 V, Current Strength: Default +// Q2 => Location: PIN_AA2, I/O Standard: 2.5 V, Current Strength: Default +// Q3 => Location: PIN_U7, I/O Standard: 2.5 V, Current Strength: Default +// B => Location: PIN_W3, I/O Standard: 2.5 V, Current Strength: Default +// A => Location: PIN_W4, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \~QUARTUS_CREATED_UNVM~~busy ; +wire \~QUARTUS_CREATED_ADC1~~eoc ; +wire \~QUARTUS_CREATED_ADC2~~eoc ; +wire \Q0~output_o ; +wire \Q1~output_o ; +wire \Q2~output_o ; +wire \Q3~output_o ; +wire \B~input_o ; +wire \A~input_o ; +wire \inst~combout ; +wire \inst2~0_combout ; +wire \inst2~1_combout ; +wire \inst2~2_combout ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: LCCOMB_X44_Y42_N8 +fiftyfivenm_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .cout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 16'h0000; +defparam \~QUARTUS_CREATED_GND~I .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X16_Y0_N16 +fiftyfivenm_io_obuf \Q0~output ( + .i(!\inst~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q0~output_o ), + .obar()); +// synopsys translate_off +defparam \Q0~output .bus_hold = "false"; +defparam \Q0~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X18_Y0_N30 +fiftyfivenm_io_obuf \Q1~output ( + .i(\inst2~0_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q1~output_o ), + .obar()); +// synopsys translate_off +defparam \Q1~output .bus_hold = "false"; +defparam \Q1~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X18_Y0_N23 +fiftyfivenm_io_obuf \Q2~output ( + .i(\inst2~1_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q2~output_o ), + .obar()); +// synopsys translate_off +defparam \Q2~output .bus_hold = "false"; +defparam \Q2~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X16_Y0_N2 +fiftyfivenm_io_obuf \Q3~output ( + .i(\inst2~2_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q3~output_o ), + .obar()); +// synopsys translate_off +defparam \Q3~output .bus_hold = "false"; +defparam \Q3~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X18_Y0_N8 +fiftyfivenm_io_ibuf \B~input ( + .i(B), + .ibar(gnd), + .nsleep(vcc), + .o(\B~input_o )); +// synopsys translate_off +defparam \B~input .bus_hold = "false"; +defparam \B~input .listen_to_nsleep_signal = "false"; +defparam \B~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X18_Y0_N15 +fiftyfivenm_io_ibuf \A~input ( + .i(A), + .ibar(gnd), + .nsleep(vcc), + .o(\A~input_o )); +// synopsys translate_off +defparam \A~input .bus_hold = "false"; +defparam \A~input .listen_to_nsleep_signal = "false"; +defparam \A~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N0 +fiftyfivenm_lcell_comb inst( +// Equation(s): +// \inst~combout = (\B~input_o ) # (\A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst~combout ), + .cout()); +// synopsys translate_off +defparam inst.lut_mask = 16'hFFF0; +defparam inst.sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N2 +fiftyfivenm_lcell_comb \inst2~0 ( +// Equation(s): +// \inst2~0_combout = (\B~input_o & !\A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst2~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst2~0 .lut_mask = 16'h00F0; +defparam \inst2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N4 +fiftyfivenm_lcell_comb \inst2~1 ( +// Equation(s): +// \inst2~1_combout = (!\B~input_o & \A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst2~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst2~1 .lut_mask = 16'h0F00; +defparam \inst2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N6 +fiftyfivenm_lcell_comb \inst2~2 ( +// Equation(s): +// \inst2~2_combout = (\B~input_o & \A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst2~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst2~2 .lut_mask = 16'hF000; +defparam \inst2~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: UNVM_X0_Y40_N40 +fiftyfivenm_unvm \~QUARTUS_CREATED_UNVM~ ( + .arclk(vcc), + .arshft(vcc), + .drclk(vcc), + .drshft(vcc), + .drdin(vcc), + .nprogram(vcc), + .nerase(vcc), + .nosc_ena(\~QUARTUS_CREATED_GND~I_combout ), + .par_en(vcc), + .xe_ye(\~QUARTUS_CREATED_GND~I_combout ), + .se(\~QUARTUS_CREATED_GND~I_combout ), + .ardin(23'b11111111111111111111111), + .busy(\~QUARTUS_CREATED_UNVM~~busy ), + .osc(), + .bgpbusy(), + .sp_pass(), + .se_pass(), + .drdout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .is_compressed_image = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_dual_boot = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_eram_skip = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .max_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .max_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .part_name = "quartus_created_unvm"; +defparam \~QUARTUS_CREATED_UNVM~ .reserve_block = "true"; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y52_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC1~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC1~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC1~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC1~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC1~ .is_this_first_or_second_adc = 1; +defparam \~QUARTUS_CREATED_ADC1~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC1~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC1~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC1~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC1~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC1~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .tsclksel = 0; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y51_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC2~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC2~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC2~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC2~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC2~ .is_this_first_or_second_adc = 2; +defparam \~QUARTUS_CREATED_ADC2~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC2~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC2~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC2~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC2~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC2~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .tsclksel = 0; +// synopsys translate_on + +assign Q0 = \Q0~output_o ; + +assign Q1 = \Q1~output_o ; + +assign Q2 = \Q2~output_o ; + +assign Q3 = \Q3~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_TMS~ => Location: PIN_H2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TCK~ => Location: PIN_G2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDI~ => Location: PIN_L4, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDO~ => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_CONFIG_SEL~ => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCONFIG~ => Location: PIN_H9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_nSTATUS~ => Location: PIN_G9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_CONF_DONE~ => Location: PIN_F8, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_TMS~~padout ; +wire \~ALTERA_TCK~~padout ; +wire \~ALTERA_TDI~~padout ; +wire \~ALTERA_CONFIG_SEL~~padout ; +wire \~ALTERA_nCONFIG~~padout ; +wire \~ALTERA_nSTATUS~~padout ; +wire \~ALTERA_CONF_DONE~~padout ; +wire \~ALTERA_TMS~~ibuf_o ; +wire \~ALTERA_TCK~~ibuf_o ; +wire \~ALTERA_TDI~~ibuf_o ; +wire \~ALTERA_CONFIG_SEL~~ibuf_o ; +wire \~ALTERA_nCONFIG~~ibuf_o ; +wire \~ALTERA_nSTATUS~~ibuf_o ; +wire \~ALTERA_CONF_DONE~~ibuf_o ; + + +endmodule diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201117.sim.vwf b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201117.sim.vwf new file mode 100644 index 0000000..c6ea50f --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201117.sim.vwf @@ -0,0 +1,255 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 200.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Q0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("Q2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 170.0; + } + } +} + +TRANSITION_LIST("Q3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf new file mode 100644 index 0000000..c0a5d1e --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf @@ -0,0 +1,261 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 200.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Q0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 80.0; + } + } +} + +TRANSITION_LIST("Q2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 170.0; + } + } +} + +TRANSITION_LIST("Q3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_modelsim.xrf b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_modelsim.xrf new file mode 100644 index 0000000..b726119 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/decoder_modelsim.xrf @@ -0,0 +1,25 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/decoder.bdf +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/db/decoder.cbx.xml +design_name = decoder +instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, decoder, 1 +instance = comp, \Q0~output , Q0~output, decoder, 1 +instance = comp, \Q1~output , Q1~output, decoder, 1 +instance = comp, \Q2~output , Q2~output, decoder, 1 +instance = comp, \Q3~output , Q3~output, decoder, 1 +instance = comp, \B~input , B~input, decoder, 1 +instance = comp, \A~input , A~input, decoder, 1 +instance = comp, \inst2~0 , inst2~0, decoder, 1 +instance = comp, \inst2~1 , inst2~1, decoder, 1 +instance = comp, \inst2~2 , inst2~2, decoder, 1 +instance = comp, \~QUARTUS_CREATED_UNVM~ , ~QUARTUS_CREATED_UNVM~, decoder, 1 +instance = comp, \~QUARTUS_CREATED_ADC1~ , ~QUARTUS_CREATED_ADC1~, decoder, 1 +instance = comp, \~QUARTUS_CREATED_ADC2~ , ~QUARTUS_CREATED_ADC2~, decoder, 1 +design_name = hard_block +instance = comp, \~ALTERA_TMS~~ibuf , ~ALTERA_TMS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TCK~~ibuf , ~ALTERA_TCK~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TDI~~ibuf , ~ALTERA_TDI~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONFIG_SEL~~ibuf , ~ALTERA_CONFIG_SEL~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nCONFIG~~ibuf , ~ALTERA_nCONFIG~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nSTATUS~~ibuf , ~ALTERA_nSTATUS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONF_DONE~~ibuf , ~ALTERA_CONF_DONE~~ibuf, hard_block, 1 diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/transcript b/EE203/Noah Woodlee/Decoder/simulation/qsim/transcript new file mode 100644 index 0000000..3ffa8fc --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/transcript @@ -0,0 +1,37 @@ +# do decoder.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:11 on Jan 21,2021 +# vlog -work work decoder.vo +# -- Compiling module decoder +# -- Compiling module hard_block +# +# Top level modules: +# decoder +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:12 on Jan 21,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module decoder_vlg_vec_tst +# +# Top level modules: +# decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +# Start time: 20:12:12 on Jan 21,2021 +# Loading work.decoder_vlg_vec_tst +# Loading work.decoder +# Loading work.hard_block +# ** Warning: (vsim-3017) decoder.vo(284): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(284): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) decoder.vo(307): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(307): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(53) +# Time: 200 ns Iteration: 0 Instance: /decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/vwf_sim_transcript b/EE203/Noah Woodlee/Decoder/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..1ee67bd --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,141 @@ +Determining the location of the ModelSim executable... + +Using: c:/intelfpga_lite/16.1/modelsim_ase/win32aloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Jan 21 20:12:10 2021 +Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. + +Completed successfully. + +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Jan 21 20:12:11 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file decoder.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Jan 21 20:12:11 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + +Completed successfully. + +**** Generating the ModelSim .do script **** + +C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/decoder.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +c:/intelfpga_lite/16.1/modelsim_ase/win32aloem//vsim -c -do decoder.do + +Reading C:/intelFPGA_lite/16.1/modelsim_ase/tcl/vsim/pref.tcl + + +# 10.5b + +# do decoder.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:11 on Jan 21,2021 +# vlog -work work decoder.vo +# -- Compiling module decoder +# -- Compiling module hard_block +# +# Top level modules: +# decoder + +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:12 on Jan 21,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module decoder_vlg_vec_tst +# +# Top level modules: +# decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +# Start time: 20:12:12 on Jan 21,2021 +# Loading work.decoder_vlg_vec_tst +# Loading work.decoder +# Loading work.hard_block +# ** Warning: (vsim-3017) decoder.vo(284): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(284): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) decoder.vo(307): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(307): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(53) +# Time: 200 ns Iteration: 0 Instance: /decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 + +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf... + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/decoder.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_info b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_info new file mode 100644 index 0000000..8e21126 --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_info @@ -0,0 +1,73 @@ +m255 +K4 +z2 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 dC:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim +vdecoder +Z1 !s110 1611281532 +!i10b 1 +!s100 UIL90zBZg0Y19`leS`6h<2 +I?kJ^Dj<_UL0j=K>IoSLA43 +Z2 VDg1SIo80bB@j0V0VzS_@n1 +R0 +Z3 w1611281531 +Z4 8decoder.vo +Z5 Fdecoder.vo +L0 32 +Z6 OV;L;10.5b;63 +r1 +!s85 0 +31 +Z7 !s108 1611281531.000000 +Z8 !s107 decoder.vo| +Z9 !s90 -work|work|decoder.vo| +!i113 1 +Z10 o-work work +Z11 tCvgOpt 0 +vdecoder_vlg_vec_tst +R1 +!i10b 1 +!s100 ]Ca;=HzRX6Lo`OmRLo6W[1 +IK[F>kI[RnW?^K1G37[MAK1 +R2 +R0 +w1611281530 +8Waveform.vwf.vt +FWaveform.vwf.vt +L0 30 +R6 +r1 +!s85 0 +31 +!s108 1611281532.000000 +!s107 Waveform.vwf.vt| +!s90 -work|work|Waveform.vwf.vt| +!i113 1 +R10 +R11 +vhard_block +R1 +!i10b 1 +!s100 =YQAZHYcKj^4X8AX_30Gh2 +I`Y@_E2FIMAN_fQ3cHX1n?2 +R2 +R0 +R3 +R4 +R5 +L0 339 +R6 +r1 +!s85 0 +31 +R7 +R8 +R9 +!i113 1 +R10 +R11 diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib.qdb b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000..d9b590a Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib.qdb differ diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qdb b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000..2c2a282 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qdb differ diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qpg b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000..c61b8b0 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qpg differ diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qtl b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000..a3fb234 Binary files /dev/null and b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_lib1_0.qtl differ diff --git a/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_vmake b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/EE203/Noah Woodlee/Decoder/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology diff --git a/EE203/Noah Woodlee/LAB1/Decoder/Waveform.vwf b/EE203/Noah Woodlee/LAB1/Decoder/Waveform.vwf new file mode 100644 index 0000000..0c2c986 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/Waveform.vwf @@ -0,0 +1,264 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +onerror {exit -code 1} +vlib work +vlog -work work decoder.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +vcd file -direction decoder.msim.vcd +vcd add -internal decoder_vlg_vec_tst/* +vcd add -internal decoder_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work decoder.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +vcd file -direction decoder.msim.vcd +vcd add -internal decoder_vlg_vec_tst/* +vcd add -internal decoder_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 200.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Q0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("A") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("B") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("Q0") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +TRANSITION_LIST("Q1") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +TRANSITION_LIST("Q2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +TRANSITION_LIST("Q3") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 200.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Decoder/atom_netlists/decoder.qsf b/EE203/Noah Woodlee/LAB1/Decoder/atom_netlists/decoder.qsf new file mode 100644 index 0000000..5e7f941 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/atom_netlists/decoder.qsf @@ -0,0 +1,22 @@ +set_location_assignment PIN_C10 -to A +set_location_assignment PIN_C11 -to B +set_location_assignment PIN_A8 -to Q0 +set_location_assignment PIN_A9 -to Q1 +set_location_assignment PIN_A10 -to Q2 +set_location_assignment PIN_B10 -to Q3 +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY decoder +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:32:30 JANUARY 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name BDF_FILE decoder.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.(0).cnf.cdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.(0).cnf.cdb new file mode 100644 index 0000000..2c9c009 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.(0).cnf.hdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.(0).cnf.hdb new file mode 100644 index 0000000..4d18a79 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm.qmsg b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm.qmsg new file mode 100644 index 0000000..839e59e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614299275561 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614299275571 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 18:27:55 2021 " "Processing started: Thu Feb 25 18:27:55 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614299275571 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1614299275571 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1614299275571 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1614299275861 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1614299277691 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1614299277841 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4685 " "Peak virtual memory: 4685 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614299278941 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 18:27:58 2021 " "Processing ended: Thu Feb 25 18:27:58 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614299278941 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614299278941 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614299278941 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1614299278941 ""} diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm.rdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm.rdb new file mode 100644 index 0000000..6cab62e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm_labs.ddb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm_labs.ddb new file mode 100644 index 0000000..0bb698e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cbx.xml b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cbx.xml new file mode 100644 index 0000000..2ab7055 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.bpm b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.bpm new file mode 100644 index 0000000..2d8072f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.cdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.cdb new file mode 100644 index 0000000..a18a332 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.hdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.hdb new file mode 100644 index 0000000..ced6d96 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.idb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.idb new file mode 100644 index 0000000..a2c8fc8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.idb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.logdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.logdb new file mode 100644 index 0000000..dbed552 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.logdb @@ -0,0 +1,48 @@ +v1 +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,6;0;6;0;0;6;6;0;6;6;0;4;0;0;2;0;4;2;0;0;0;4;0;0;0;0;0;6;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,0;6;0;6;6;0;0;6;0;0;6;2;6;6;4;6;2;4;6;6;6;2;6;6;6;6;6;0;6;6, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Q0,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Q1,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Q2,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Q3,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,B,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,A,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.rdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.rdb new file mode 100644 index 0000000..e2e8c30 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp_merge.kpt b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp_merge.kpt new file mode 100644 index 0000000..2715191 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.db_info b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.db_info new file mode 100644 index 0000000..ebda375 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Thu Apr 22 15:44:18 2021 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.eda.qmsg b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.eda.qmsg new file mode 100644 index 0000000..1d26e17 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.eda.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1611281531256 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2016 Intel Corporation. All rights reserved. " "Copyright (C) 2016 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and its AMPP partner logic " "and other software and tools, and its AMPP partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel MegaCore Function License Agreement, or other " "the Intel MegaCore Function License Agreement, or other " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "applicable license agreement, including, without limitation, " "applicable license agreement, including, without limitation, " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "that your use is for the sole purpose of programming logic " "that your use is for the sole purpose of programming logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "devices manufactured by Intel and sold by Intel or its " "devices manufactured by Intel and sold by Intel or its " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "authorized distributors. Please refer to the applicable " "authorized distributors. Please refer to the applicable " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement for further details. " "agreement for further details." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 21 20:12:11 2021 " "Processing started: Thu Jan 21 20:12:11 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1611281531260 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1611281531260 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/\" decoder -c decoder " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/\" decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1611281531261 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1611281531461 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "decoder.vo C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim// simulation " "Generated file decoder.vo in folder \"C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1611281531492 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4641 " "Peak virtual memory: 4641 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1611281531521 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 21 20:12:11 2021 " "Processing ended: Thu Jan 21 20:12:11 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1611281531521 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1611281531521 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1611281531521 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1611281531521 ""} diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.fit.qmsg b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.fit.qmsg new file mode 100644 index 0000000..46d8709 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.fit.qmsg @@ -0,0 +1,50 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1614299267691 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1614299267691 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "decoder 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"decoder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1614299267701 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1614299267731 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1614299267731 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1614299268071 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1614299268101 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614299268301 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1614299268301 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 26 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 28 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 30 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 32 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 34 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 36 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 38 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 0 { 0 ""} 0 40 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614299268321 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1614299268321 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614299268321 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614299268321 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614299268321 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614299268321 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1614299268331 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "decoder.sdc " "Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1614299268901 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1614299268901 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1614299268901 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1614299268911 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1614299268911 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1614299268911 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1614299268911 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1614299268911 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1614299268911 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1614299268911 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614299268931 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1614299268951 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1614299270111 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614299270181 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1614299270221 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1614299270401 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614299270401 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1614299270841 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1614299272191 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1614299272191 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1614299272301 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1614299272301 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1614299272301 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614299272311 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1614299272521 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1614299272531 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1614299272771 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1614299272771 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1614299273101 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614299273551 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1614299273841 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5904 " "Peak virtual memory: 5904 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614299274401 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 18:27:54 2021 " "Processing ended: Thu Feb 25 18:27:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614299274401 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614299274401 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614299274401 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1614299274401 ""} diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.hier_info b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.hier_info new file mode 100644 index 0000000..8b8da8e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.hier_info @@ -0,0 +1,13 @@ +|decoder +Q0 <= inst.DB_MAX_OUTPUT_PORT_TYPE +B => inst7.IN0 +B => inst1.IN1 +B => inst3.IN1 +A => inst5.IN0 +A => inst2.IN0 +A => inst3.IN0 +Q1 <= inst1.DB_MAX_OUTPUT_PORT_TYPE +Q2 <= inst2.DB_MAX_OUTPUT_PORT_TYPE +Q3 <= inst3.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.hif b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.hif new file mode 100644 index 0000000..10e6590 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.hif differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.html b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.html new file mode 100644 index 0000000..7d68592 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.rdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.rdb new file mode 100644 index 0000000..c179f4d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.txt b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.txt new file mode 100644 index 0000000..dbfe520 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.ammdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.ammdb new file mode 100644 index 0000000..a4afc79 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.bpm b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.bpm new file mode 100644 index 0000000..66a808b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.cdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.cdb new file mode 100644 index 0000000..a5e67ad Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.hdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.hdb new file mode 100644 index 0000000..3c2ed6e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.kpt b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.kpt new file mode 100644 index 0000000..db3ed27 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.logdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.qmsg b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.qmsg new file mode 100644 index 0000000..a6c630b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614299254641 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614299254641 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 18:27:34 2021 " "Processing started: Thu Feb 25 18:27:34 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614299254641 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614299254641 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder " "Command: quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614299254641 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1614299255111 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1614299255111 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "decoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 decoder " "Found entity 1: decoder" { } { { "decoder.bdf" "" { Schematic "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/decoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1614299263471 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614299263471 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "decoder " "Elaborating entity \"decoder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1614299263531 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1614299264081 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1614299264661 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1614299264661 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "10 " "Implemented 10 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1614299264841 ""} { "Info" "ICUT_CUT_TM_OPINS" "4 " "Implemented 4 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1614299264841 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1614299264841 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1614299264841 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4781 " "Peak virtual memory: 4781 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614299264881 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 18:27:44 2021 " "Processing ended: Thu Feb 25 18:27:44 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614299264881 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614299264881 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614299264881 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1614299264881 ""} diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.rdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.rdb new file mode 100644 index 0000000..724c9dc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.cdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.cdb new file mode 100644 index 0000000..69b90da Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.hdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.hdb new file mode 100644 index 0000000..f94dea6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.logdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.pre_map.hdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.pre_map.hdb new file mode 100644 index 0000000..9a26b6e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.quiproj.14331.rdr.flock b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.quiproj.14331.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..9d09873 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.routing.rdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.routing.rdb new file mode 100644 index 0000000..100db32 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.routing.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv.hdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv.hdb new file mode 100644 index 0000000..d5379b4 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv_sg.cdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv_sg.cdb new file mode 100644 index 0000000..ee1b5e5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv_sg_swap.cdb new file mode 100644 index 0000000..0be030c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sld_design_entry.sci b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sld_design_entry_dsc.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.smart_action.txt b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.smart_action.txt new file mode 100644 index 0000000..437a63e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta.qmsg b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta.qmsg new file mode 100644 index 0000000..0b1a520 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta.qmsg @@ -0,0 +1,50 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614299280231 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614299280241 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 18:27:59 2021 " "Processing started: Thu Feb 25 18:27:59 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614299280241 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280241 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta decoder -c decoder " "Command: quartus_sta decoder -c decoder" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280241 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1614299280371 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280531 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280531 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280561 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280561 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "decoder.sdc " "Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280851 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280851 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280851 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280851 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280851 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280851 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1614299280861 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280871 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1614299280881 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280881 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1614299280881 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280891 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280901 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280911 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280911 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280921 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1614299280931 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299280961 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281341 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281411 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281411 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281411 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281411 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281421 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281431 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281441 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281441 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281451 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281461 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1614299281461 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281651 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281651 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281651 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281651 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281651 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281661 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281661 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281671 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299281681 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299282471 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299282471 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4867 " "Peak virtual memory: 4867 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614299282531 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 18:28:02 2021 " "Processing ended: Thu Feb 25 18:28:02 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614299282531 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614299282531 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614299282531 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614299282531 ""} diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta.rdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta.rdb new file mode 100644 index 0000000..726571d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta_cmp.7_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta_cmp.7_slow_1200mv_85c.tdb new file mode 100644 index 0000000..b4b5705 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.sta_cmp.7_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tis_db_list.ddb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tis_db_list.ddb new file mode 100644 index 0000000..eda1a82 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..9bda339 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_0c.ddb new file mode 100644 index 0000000..1de3a7a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_85c.ddb new file mode 100644 index 0000000..835b8a5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.fastest_slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..481c945 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..d0ef5c1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tmw_info b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tmw_info new file mode 100644 index 0000000..ba6a589 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.tmw_info @@ -0,0 +1,3 @@ +start_full_compilation:s +start_assembler:s-start_full_compilation +start_timing_analyzer:s-start_full_compilation diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.vpr.ammdb b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.vpr.ammdb new file mode 100644 index 0000000..329b371 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..d86640f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ii_0c_slow.hsd b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ii_0c_slow.hsd new file mode 100644 index 0000000..d223770 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ii_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ii_85c_slow.hsd b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ii_85c_slow.hsd new file mode 100644 index 0000000..859bd44 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder.zippleback_io_sim_cache.ii_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/db/decoder_partition_pins.json b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder_partition_pins.json new file mode 100644 index 0000000..14c75c7 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/db/decoder_partition_pins.json @@ -0,0 +1,33 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "Q0", + "strict" : false + }, + { + "name" : "Q1", + "strict" : false + }, + { + "name" : "Q2", + "strict" : false + }, + { + "name" : "Q3", + "strict" : false + }, + { + "name" : "B", + "strict" : false + }, + { + "name" : "A", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Decoder/decoder.bdf b/EE203/Noah Woodlee/LAB1/Decoder/decoder.bdf new file mode 100644 index 0000000..2f0f752 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/decoder.bdf @@ -0,0 +1,409 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 136 272 304 288) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "A" (rect 5 0 14 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 136 400 304 416) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "B" (rect 5 0 13 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 632 232 808 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q0" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 632 320 808 336) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q1" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 632 416 808 432) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q2" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 632 496 808 512) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Q3" (rect 90 0 104 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 568 216 632 264) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 568 304 632 352) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 568 400 632 448) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 568 480 632 528) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 384 288 432 320) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 25 38)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 384 392 432 424) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 21 25 38)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(connector + (pt 568 248) + (pt 560 248) +) +(connector + (pt 560 248) + (pt 560 304) +) +(connector + (pt 304 280) + (pt 336 280) +) +(connector + (pt 336 304) + (pt 384 304) +) +(connector + (pt 568 232) + (pt 480 232) +) +(connector + (pt 480 232) + (pt 480 408) +) +(connector + (pt 360 512) + (pt 568 512) +) +(connector + (pt 304 408) + (pt 360 408) +) +(connector + (pt 360 408) + (pt 384 408) +) +(connector + (pt 336 280) + (pt 336 304) +) +(connector + (pt 336 304) + (pt 336 464) +) +(connector + (pt 568 464) + (pt 568 496) +) +(connector + (pt 488 464) + (pt 488 416) +) +(connector + (pt 488 416) + (pt 568 416) +) +(connector + (pt 336 464) + (pt 488 464) +) +(connector + (pt 488 464) + (pt 568 464) +) +(connector + (pt 568 432) + (pt 456 432) +) +(connector + (pt 456 432) + (pt 456 408) +) +(connector + (pt 480 408) + (pt 456 408) +) +(connector + (pt 456 408) + (pt 432 408) +) +(connector + (pt 568 320) + (pt 528 320) +) +(connector + (pt 528 320) + (pt 528 304) +) +(connector + (pt 560 304) + (pt 528 304) +) +(connector + (pt 528 304) + (pt 432 304) +) +(connector + (pt 360 336) + (pt 568 336) +) +(connector + (pt 360 336) + (pt 360 408) +) +(connector + (pt 360 408) + (pt 360 512) +) +(junction (pt 360 408)) +(junction (pt 336 304)) +(junction (pt 488 464)) +(junction (pt 456 408)) +(junction (pt 528 304)) diff --git a/EE203/Noah Woodlee/LAB1/Decoder/decoder.qpf b/EE203/Noah Woodlee/LAB1/Decoder/decoder.qpf new file mode 100644 index 0000000..bbf2d22 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/decoder.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 19:32:30 January 21, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "19:32:30 January 21, 2021" + +# Revisions + +PROJECT_REVISION = "decoder" diff --git a/EE203/Noah Woodlee/LAB1/Decoder/decoder.qsf b/EE203/Noah Woodlee/LAB1/Decoder/decoder.qsf new file mode 100644 index 0000000..19c00ca --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/decoder.qsf @@ -0,0 +1,61 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 19:32:30 January 21, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# decoder_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY decoder +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:32:30 JANUARY 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name BDF_FILE decoder.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_location_assignment PIN_C10 -to A +set_location_assignment PIN_C11 -to B +set_location_assignment PIN_A8 -to Q0 +set_location_assignment PIN_A9 -to Q1 +set_location_assignment PIN_A10 -to Q2 +set_location_assignment PIN_B10 -to Q3 +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Decoder/decoder.qws b/EE203/Noah Woodlee/LAB1/Decoder/decoder.qws new file mode 100644 index 0000000..26186f6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/decoder.qws differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/decoder_assignment_defaults.qdf b/EE203/Noah Woodlee/LAB1/Decoder/decoder_assignment_defaults.qdf new file mode 100644 index 0000000..bb43b61 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/decoder_assignment_defaults.qdf @@ -0,0 +1,808 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 14:08:42 April 22, 2021 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value ENABLE +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/README b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.db_info b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.db_info new file mode 100644 index 0000000..17bf08f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Thu Apr 22 14:08:42 2021 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.ammdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.ammdb new file mode 100644 index 0000000..ede7651 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.cdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.cdb new file mode 100644 index 0000000..fa03d9c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.dfp b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.hdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.hdb new file mode 100644 index 0000000..2535633 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.logdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.rcfdb new file mode 100644 index 0000000..cc6f0bc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.cdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.cdb new file mode 100644 index 0000000..3e321a5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.dpi b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.dpi new file mode 100644 index 0000000..4d6717b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..e02d7c2 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..98831c1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hdb new file mode 100644 index 0000000..2241cff Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.kpt b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.kpt new file mode 100644 index 0000000..91bacec Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.rrp.hdb b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.rrp.hdb new file mode 100644 index 0000000..c2e28dc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/incremental_db/compiled_partitions/decoder.rrp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.asm.rpt b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.asm.rpt new file mode 100644 index 0000000..14a42e2 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.asm.rpt @@ -0,0 +1,93 @@ +Assembler report for decoder +Thu Feb 25 18:27:58 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Feb 25 18:27:58 2021 ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++------------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.sof ; ++------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.sof ; ++----------------+---------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------------------------------+ +; Device ; 10M50DAF484C7G ; +; JTAG usercode ; 0x002708D4 ; +; Checksum ; 0x002708D4 ; ++----------------+---------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Feb 25 18:27:55 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4685 megabytes + Info: Processing ended: Thu Feb 25 18:27:58 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.done b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.done new file mode 100644 index 0000000..d184ac5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.done @@ -0,0 +1 @@ +Thu Feb 25 18:28:03 2021 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.eda.rpt b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.eda.rpt new file mode 100644 index 0000000..87cf935 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.eda.rpt @@ -0,0 +1,108 @@ +EDA Netlist Writer report for decoder +Thu Jan 21 20:12:11 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Jan 21 20:12:11 2021 ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++---------------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//decoder.vo ; ++---------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Jan 21 20:12:11 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file decoder.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Jan 21 20:12:11 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.rpt b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.rpt new file mode 100644 index 0000000..f4ce3c8 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.rpt @@ -0,0 +1,1194 @@ +Fitter report for decoder +Thu Feb 25 18:27:53 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Thu Feb 25 18:27:53 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 5 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 6 / 360 ( 2 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C7G ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.2% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; +; -- Achieved ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; 0.00 % ( 0 / 36 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 20 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 5 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 5 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 5 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 6 / 360 ( 2 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.1% / 0.1% / 0.1% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 29 ; +; Average fan-out ; 0.81 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 5 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 5 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 5 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 5 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 6 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 35 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 2 ; 0 ; +; -- Output Ports ; 4 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; A ; C10 ; 7 ; 51 ; 54 ; 28 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; B ; C11 ; 7 ; 51 ; 54 ; 21 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Q0 ; A8 ; 7 ; 46 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; Q1 ; A9 ; 7 ; 46 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; Q2 ; A10 ; 7 ; 51 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; Q3 ; B10 ; 7 ; 46 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 0 / 60 ( 0 % ) ; 2.5V ; -- ; +; 7 ; 6 / 52 ( 12 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; Q0 ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A9 ; 449 ; 7 ; Q1 ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A10 ; 439 ; 7 ; Q2 ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A14 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; Q3 ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; A ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; B ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 391 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; 388 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; Q0 ; Incomplete set of assignments ; +; Q1 ; Incomplete set of assignments ; +; Q2 ; Incomplete set of assignments ; +; Q3 ; Incomplete set of assignments ; +; B ; Incomplete set of assignments ; +; A ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |decoder ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 6 ; 0 ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; |decoder ; decoder ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++--------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Q0 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Q1 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Q2 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Q3 ; Output ; -- ; -- ; -- ; -- ; -- ; +; B ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; A ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+------+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; B ; ; ; +; - inst ; 0 ; 6 ; +; - inst2~0 ; 0 ; 6 ; +; - inst2~1 ; 0 ; 6 ; +; - inst2~2 ; 0 ; 6 ; +; A ; ; ; +; - inst ; 0 ; 6 ; +; - inst2~0 ; 0 ; 6 ; +; - inst2~1 ; 0 ; 6 ; +; - inst2~2 ; 0 ; 6 ; ++---------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 10 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 0 / 5,382 ( 0 % ) ; +; C4 interconnects ; 11 / 106,704 ( < 1 % ) ; +; Direct links ; 0 / 148,641 ( 0 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 0 / 5,406 ( 0 % ) ; +; R4 interconnects ; 3 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 2.50) ; Number of LABs (Total = 2) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 2.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 2.50) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 1.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 6 ; 0 ; 6 ; 0 ; 0 ; 6 ; 6 ; 0 ; 6 ; 6 ; 0 ; 4 ; 0 ; 0 ; 2 ; 0 ; 4 ; 2 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 0 ; 6 ; 0 ; 6 ; 6 ; 0 ; 0 ; 6 ; 0 ; 0 ; 6 ; 2 ; 6 ; 6 ; 4 ; 6 ; 2 ; 4 ; 6 ; 6 ; 6 ; 2 ; 6 ; 6 ; 6 ; 6 ; 6 ; 0 ; 6 ; 6 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Q0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Q1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Q2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Q3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; B ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; A ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (119006): Selected device 10M50DAF484C7G for design "decoder" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device 10M08DAF484I7G is compatible + Info (176445): Device 10M16DAF484C7G is compatible + Info (176445): Device 10M16DAF484I7G is compatible + Info (176445): Device 10M25DAF484C7G is compatible + Info (176445): Device 10M25DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7P is compatible + Info (176445): Device 10M40DAF484C7G is compatible + Info (176445): Device 10M40DAF484I7G is compatible +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (144001): Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 5904 megabytes + Info: Processing ended: Thu Feb 25 18:27:54 2021 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:10 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/output_files/decoder.fit.smsg. + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.smsg b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.smsg new file mode 100644 index 0000000..558ea95 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.summary b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.summary new file mode 100644 index 0000000..9040add --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Thu Feb 25 18:27:53 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : decoder +Top-level Entity Name : decoder +Family : MAX 10 +Device : 10M50DAF484C7G +Timing Models : Final +Total logic elements : 5 / 49,760 ( < 1 % ) + Total combinational functions : 5 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 6 / 360 ( 2 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.flow.rpt b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.flow.rpt new file mode 100644 index 0000000..d5c6fb5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.flow.rpt @@ -0,0 +1,125 @@ +Flow report for decoder +Thu Feb 25 18:28:02 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Thu Feb 25 18:27:58 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 5 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 6 / 360 ( 2 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 02/25/2021 18:27:35 ; +; Main task ; Compilation ; +; Revision Name ; decoder ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 189559947077153.161429925407232 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:10 ; 1.0 ; 4781 MB ; 00:00:22 ; +; Fitter ; 00:00:08 ; 1.0 ; 5904 MB ; 00:00:09 ; +; Assembler ; 00:00:03 ; 1.0 ; 4684 MB ; 00:00:03 ; +; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 4867 MB ; 00:00:02 ; +; Total ; 00:00:24 ; -- ; -- ; 00:00:36 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; TimeQuest Timing Analyzer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; ++---------------------------+------------------+------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder +quartus_fit --read_settings_files=off --write_settings_files=off decoder -c decoder +quartus_asm --read_settings_files=off --write_settings_files=off decoder -c decoder +quartus_sta decoder -c decoder + + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.jdi b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.jdi new file mode 100644 index 0000000..bb6ca07 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.map.rpt b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.map.rpt new file mode 100644 index 0000000..dba689a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.map.rpt @@ -0,0 +1,284 @@ +Analysis & Synthesis report for decoder +Thu Feb 25 18:27:44 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Feb 25 18:27:44 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; decoder ; +; Top-level Entity Name ; decoder ; +; Family ; MAX 10 ; +; Total logic elements ; 4 ; +; Total combinational functions ; 4 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 6 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C7G ; ; +; Top-level entity name ; decoder ; decoder ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; OpenCore Plus hardware evaluation ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------+---------+ +; decoder.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/decoder.bdf ; ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------+ +; Resource ; Usage ; ++---------------------------------------------+---------+ +; Estimated Total logic elements ; 4 ; +; ; ; +; Total combinational functions ; 4 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 4 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 4 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 6 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; B~input ; +; Maximum fan-out ; 4 ; +; Total fan-out ; 18 ; +; Average fan-out ; 1.13 ; ++---------------------------------------------+---------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |decoder ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; |decoder ; decoder ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 6 ; +; cycloneiii_lcell_comb ; 5 ; +; normal ; 5 ; +; 1 data inputs ; 1 ; +; 2 data inputs ; 4 ; +; ; ; +; Max LUT depth ; 2.00 ; +; Average LUT depth ; 1.57 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Feb 25 18:27:34 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (12021): Found 1 design units, including 1 entities, in source file decoder.bdf + Info (12023): Found entity 1: decoder +Info (12127): Elaborating entity "decoder" for the top level hierarchy +Info (286030): Timing-Driven Synthesis is running +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 10 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 2 input pins + Info (21059): Implemented 4 output pins + Info (21061): Implemented 4 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4781 megabytes + Info: Processing ended: Thu Feb 25 18:27:44 2021 + Info: Elapsed time: 00:00:10 + Info: Total CPU time (on all processors): 00:00:22 + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.map.summary b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.map.summary new file mode 100644 index 0000000..a218c78 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Thu Feb 25 18:27:44 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : decoder +Top-level Entity Name : decoder +Family : MAX 10 +Total logic elements : 4 + Total combinational functions : 4 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 6 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.pin b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.pin new file mode 100644 index 0000000..47b55d2 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2016 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel MegaCore Function License Agreement, or other + -- applicable license agreement, including, without limitation, + -- that your use is for the sole purpose of programming logic + -- devices manufactured by Intel and sold by Intel or its + -- authorized distributors. Please refer to the applicable + -- agreement for further details. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +CHIP "decoder" ASSIGNED TO AN: 10M50DAF484C7G + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +Q0 : A8 : output : 2.5 V : : 7 : Y +Q1 : A9 : output : 2.5 V : : 7 : Y +Q2 : A10 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +Q3 : B10 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7 : +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +A : C10 : input : 2.5 V : : 7 : Y +B : C11 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.pof b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.pof new file mode 100644 index 0000000..3ecac45 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.pof differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sld b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sld new file mode 100644 index 0000000..41a6030 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sof b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sof new file mode 100644 index 0000000..3f793d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sof differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sta.rpt b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sta.rpt new file mode 100644 index 0000000..d45168e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sta.rpt @@ -0,0 +1,443 @@ +TimeQuest Timing Analyzer report for decoder +Thu Feb 25 18:28:02 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Timing Analyzer ; TimeQuest ; +; Revision Name ; decoder ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.02 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.3% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Q0 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Q1 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Q2 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Q3 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; B ; 2.5 V ; 2000 ps ; 2000 ps ; +; A ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Q0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; Q1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; Q2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; Q3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Q0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; Q1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; Q2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; Q3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Q0 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; Q1 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; Q2 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; Q3 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 2 ; 2 ; +; Unconstrained Input Port Paths ; 8 ; 8 ; +; Unconstrained Output Ports ; 4 ; 4 ; +; Unconstrained Output Port Paths ; 8 ; 8 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; A ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; B ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; Q0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; A ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; B ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; Q0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Q3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime TimeQuest Timing Analyzer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Feb 25 18:27:59 2021 +Info: Command: quartus_sta decoder -c decoder +Info: qsta_default_script.tcl version: #1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 4867 megabytes + Info: Processing ended: Thu Feb 25 18:28:02 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sta.summary b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sta.summary new file mode 100644 index 0000000..6640100 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/output_files/decoder.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/Waveform.vwf.vt b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..ace2249 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,80 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "01/21/2021 20:12:10" + +// Verilog Test Bench (with test vectors) for design : decoder +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module decoder_vlg_vec_tst(); +// constants +// general purpose registers +reg A; +reg B; +// wires +wire Q0; +wire Q1; +wire Q2; +wire Q3; + +// assign statements (if any) +decoder i1 ( +// port map - connection between master ports and signals/registers + .A(A), + .B(B), + .Q0(Q0), + .Q1(Q1), + .Q2(Q2), + .Q3(Q3) +); +initial +begin +#200000 $finish; +end + +// A +initial +begin + A = 1'b0; + A = #10000 1'b1; + A = #20000 1'b0; + A = #30000 1'b1; + A = #30000 1'b0; + A = #60000 1'b1; + A = #10000 1'b0; +end + +// B +initial +begin + B = 1'b0; + B = #60000 1'b1; + B = #30000 1'b0; + B = #20000 1'b1; + B = #10000 1'b0; + B = #30000 1'b1; + B = #10000 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.do b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.do new file mode 100644 index 0000000..ca3c9f7 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vlog -work work decoder.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +vcd file -direction decoder.msim.vcd +vcd add -internal decoder_vlg_vec_tst/* +vcd add -internal decoder_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.msim.vcd b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.msim.vcd new file mode 100644 index 0000000..6e01868 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.msim.vcd @@ -0,0 +1,156 @@ +$comment + File created using the following command: + vcd file decoder.msim.vcd -direction +$end +$date + Thu Jan 21 20:12:12 2021 +$end +$version + ModelSim Version 10.5b +$end +$timescale + 1ps +$end + +$scope module decoder_vlg_vec_tst $end +$var reg 1 ! A $end +$var reg 1 " B $end +$var wire 1 # Q0 $end +$var wire 1 $ Q1 $end +$var wire 1 % Q2 $end +$var wire 1 & Q3 $end + +$scope module i1 $end +$var wire 1 ' gnd $end +$var wire 1 ( vcc $end +$var wire 1 ) unknown $end +$var tri1 1 * devclrn $end +$var tri1 1 + devpor $end +$var tri1 1 , devoe $end +$var wire 1 - ~QUARTUS_CREATED_GND~I_combout $end +$var wire 1 . ~QUARTUS_CREATED_UNVM~~busy $end +$var wire 1 / ~QUARTUS_CREATED_ADC1~~eoc $end +$var wire 1 0 ~QUARTUS_CREATED_ADC2~~eoc $end +$var wire 1 1 Q0~output_o $end +$var wire 1 2 Q1~output_o $end +$var wire 1 3 Q2~output_o $end +$var wire 1 4 Q3~output_o $end +$var wire 1 5 B~input_o $end +$var wire 1 6 A~input_o $end +$var wire 1 7 inst~combout $end +$var wire 1 8 inst2~0_combout $end +$var wire 1 9 inst2~1_combout $end +$var wire 1 : inst2~2_combout $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0! +0" +1# +0$ +0% +0& +0' +1( +x) +1* +1+ +1, +0- +z. +z/ +z0 +11 +02 +03 +04 +05 +06 +07 +08 +09 +0: +$end +#10000 +1! +16 +17 +19 +13 +01 +0# +1% +#30000 +0! +06 +07 +09 +03 +11 +1# +0% +#60000 +1" +1! +16 +15 +17 +1: +14 +01 +0# +1& +#90000 +0" +0! +06 +05 +07 +0: +04 +11 +1# +0& +#110000 +1" +15 +17 +18 +12 +01 +0# +1$ +#120000 +0" +05 +07 +08 +02 +11 +1# +0$ +#150000 +1! +1" +15 +16 +17 +1: +14 +01 +0# +1& +#160000 +0! +0" +05 +06 +07 +0: +04 +11 +1# +0& +#200000 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.sft b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.sft new file mode 100644 index 0000000..f324fea --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.vo b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.vo new file mode 100644 index 0000000..8eb8440 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder.vo @@ -0,0 +1,383 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" + +// DATE "01/21/2021 20:12:11" + +// +// Device: Altera 10M50DAF484C7G Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module decoder ( + Q0, + B, + A, + Q1, + Q2, + Q3); +output Q0; +input B; +input A; +output Q1; +output Q2; +output Q3; + +// Design Ports Information +// Q0 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default +// Q1 => Location: PIN_AA1, I/O Standard: 2.5 V, Current Strength: Default +// Q2 => Location: PIN_AA2, I/O Standard: 2.5 V, Current Strength: Default +// Q3 => Location: PIN_U7, I/O Standard: 2.5 V, Current Strength: Default +// B => Location: PIN_W3, I/O Standard: 2.5 V, Current Strength: Default +// A => Location: PIN_W4, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \~QUARTUS_CREATED_UNVM~~busy ; +wire \~QUARTUS_CREATED_ADC1~~eoc ; +wire \~QUARTUS_CREATED_ADC2~~eoc ; +wire \Q0~output_o ; +wire \Q1~output_o ; +wire \Q2~output_o ; +wire \Q3~output_o ; +wire \B~input_o ; +wire \A~input_o ; +wire \inst~combout ; +wire \inst2~0_combout ; +wire \inst2~1_combout ; +wire \inst2~2_combout ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: LCCOMB_X44_Y42_N8 +fiftyfivenm_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .cout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 16'h0000; +defparam \~QUARTUS_CREATED_GND~I .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X16_Y0_N16 +fiftyfivenm_io_obuf \Q0~output ( + .i(!\inst~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q0~output_o ), + .obar()); +// synopsys translate_off +defparam \Q0~output .bus_hold = "false"; +defparam \Q0~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X18_Y0_N30 +fiftyfivenm_io_obuf \Q1~output ( + .i(\inst2~0_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q1~output_o ), + .obar()); +// synopsys translate_off +defparam \Q1~output .bus_hold = "false"; +defparam \Q1~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X18_Y0_N23 +fiftyfivenm_io_obuf \Q2~output ( + .i(\inst2~1_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q2~output_o ), + .obar()); +// synopsys translate_off +defparam \Q2~output .bus_hold = "false"; +defparam \Q2~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X16_Y0_N2 +fiftyfivenm_io_obuf \Q3~output ( + .i(\inst2~2_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Q3~output_o ), + .obar()); +// synopsys translate_off +defparam \Q3~output .bus_hold = "false"; +defparam \Q3~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X18_Y0_N8 +fiftyfivenm_io_ibuf \B~input ( + .i(B), + .ibar(gnd), + .nsleep(vcc), + .o(\B~input_o )); +// synopsys translate_off +defparam \B~input .bus_hold = "false"; +defparam \B~input .listen_to_nsleep_signal = "false"; +defparam \B~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X18_Y0_N15 +fiftyfivenm_io_ibuf \A~input ( + .i(A), + .ibar(gnd), + .nsleep(vcc), + .o(\A~input_o )); +// synopsys translate_off +defparam \A~input .bus_hold = "false"; +defparam \A~input .listen_to_nsleep_signal = "false"; +defparam \A~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N0 +fiftyfivenm_lcell_comb inst( +// Equation(s): +// \inst~combout = (\B~input_o ) # (\A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst~combout ), + .cout()); +// synopsys translate_off +defparam inst.lut_mask = 16'hFFF0; +defparam inst.sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N2 +fiftyfivenm_lcell_comb \inst2~0 ( +// Equation(s): +// \inst2~0_combout = (\B~input_o & !\A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst2~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst2~0 .lut_mask = 16'h00F0; +defparam \inst2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N4 +fiftyfivenm_lcell_comb \inst2~1 ( +// Equation(s): +// \inst2~1_combout = (!\B~input_o & \A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst2~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst2~1 .lut_mask = 16'h0F00; +defparam \inst2~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y1_N6 +fiftyfivenm_lcell_comb \inst2~2 ( +// Equation(s): +// \inst2~2_combout = (\B~input_o & \A~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\B~input_o ), + .datad(\A~input_o ), + .cin(gnd), + .combout(\inst2~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst2~2 .lut_mask = 16'hF000; +defparam \inst2~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: UNVM_X0_Y40_N40 +fiftyfivenm_unvm \~QUARTUS_CREATED_UNVM~ ( + .arclk(vcc), + .arshft(vcc), + .drclk(vcc), + .drshft(vcc), + .drdin(vcc), + .nprogram(vcc), + .nerase(vcc), + .nosc_ena(\~QUARTUS_CREATED_GND~I_combout ), + .par_en(vcc), + .xe_ye(\~QUARTUS_CREATED_GND~I_combout ), + .se(\~QUARTUS_CREATED_GND~I_combout ), + .ardin(23'b11111111111111111111111), + .busy(\~QUARTUS_CREATED_UNVM~~busy ), + .osc(), + .bgpbusy(), + .sp_pass(), + .se_pass(), + .drdout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .is_compressed_image = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_dual_boot = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_eram_skip = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .max_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .max_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .part_name = "quartus_created_unvm"; +defparam \~QUARTUS_CREATED_UNVM~ .reserve_block = "true"; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y52_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC1~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC1~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC1~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC1~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC1~ .is_this_first_or_second_adc = 1; +defparam \~QUARTUS_CREATED_ADC1~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC1~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC1~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC1~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC1~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC1~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .tsclksel = 0; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y51_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC2~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC2~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC2~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC2~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC2~ .is_this_first_or_second_adc = 2; +defparam \~QUARTUS_CREATED_ADC2~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC2~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC2~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC2~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC2~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC2~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .tsclksel = 0; +// synopsys translate_on + +assign Q0 = \Q0~output_o ; + +assign Q1 = \Q1~output_o ; + +assign Q2 = \Q2~output_o ; + +assign Q3 = \Q3~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_TMS~ => Location: PIN_H2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TCK~ => Location: PIN_G2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDI~ => Location: PIN_L4, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDO~ => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_CONFIG_SEL~ => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCONFIG~ => Location: PIN_H9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_nSTATUS~ => Location: PIN_G9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_CONF_DONE~ => Location: PIN_F8, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_TMS~~padout ; +wire \~ALTERA_TCK~~padout ; +wire \~ALTERA_TDI~~padout ; +wire \~ALTERA_CONFIG_SEL~~padout ; +wire \~ALTERA_nCONFIG~~padout ; +wire \~ALTERA_nSTATUS~~padout ; +wire \~ALTERA_CONF_DONE~~padout ; +wire \~ALTERA_TMS~~ibuf_o ; +wire \~ALTERA_TCK~~ibuf_o ; +wire \~ALTERA_TDI~~ibuf_o ; +wire \~ALTERA_CONFIG_SEL~~ibuf_o ; +wire \~ALTERA_nCONFIG~~ibuf_o ; +wire \~ALTERA_nSTATUS~~ibuf_o ; +wire \~ALTERA_CONF_DONE~~ibuf_o ; + + +endmodule diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_20210121201117.sim.vwf b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_20210121201117.sim.vwf new file mode 100644 index 0000000..c6ea50f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_20210121201117.sim.vwf @@ -0,0 +1,255 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 200.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Q0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("Q2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 170.0; + } + } +} + +TRANSITION_LIST("Q3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf new file mode 100644 index 0000000..c0a5d1e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf @@ -0,0 +1,261 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 200.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Q0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Q3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("Q1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 80.0; + } + } +} + +TRANSITION_LIST("Q2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 170.0; + } + } +} + +TRANSITION_LIST("Q3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 40.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Q3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_modelsim.xrf b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_modelsim.xrf new file mode 100644 index 0000000..b726119 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/decoder_modelsim.xrf @@ -0,0 +1,25 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/decoder.bdf +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/db/decoder.cbx.xml +design_name = decoder +instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, decoder, 1 +instance = comp, \Q0~output , Q0~output, decoder, 1 +instance = comp, \Q1~output , Q1~output, decoder, 1 +instance = comp, \Q2~output , Q2~output, decoder, 1 +instance = comp, \Q3~output , Q3~output, decoder, 1 +instance = comp, \B~input , B~input, decoder, 1 +instance = comp, \A~input , A~input, decoder, 1 +instance = comp, \inst2~0 , inst2~0, decoder, 1 +instance = comp, \inst2~1 , inst2~1, decoder, 1 +instance = comp, \inst2~2 , inst2~2, decoder, 1 +instance = comp, \~QUARTUS_CREATED_UNVM~ , ~QUARTUS_CREATED_UNVM~, decoder, 1 +instance = comp, \~QUARTUS_CREATED_ADC1~ , ~QUARTUS_CREATED_ADC1~, decoder, 1 +instance = comp, \~QUARTUS_CREATED_ADC2~ , ~QUARTUS_CREATED_ADC2~, decoder, 1 +design_name = hard_block +instance = comp, \~ALTERA_TMS~~ibuf , ~ALTERA_TMS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TCK~~ibuf , ~ALTERA_TCK~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TDI~~ibuf , ~ALTERA_TDI~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONFIG_SEL~~ibuf , ~ALTERA_CONFIG_SEL~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nCONFIG~~ibuf , ~ALTERA_nCONFIG~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nSTATUS~~ibuf , ~ALTERA_nSTATUS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONF_DONE~~ibuf , ~ALTERA_CONF_DONE~~ibuf, hard_block, 1 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/transcript b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/transcript new file mode 100644 index 0000000..3ffa8fc --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/transcript @@ -0,0 +1,37 @@ +# do decoder.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:11 on Jan 21,2021 +# vlog -work work decoder.vo +# -- Compiling module decoder +# -- Compiling module hard_block +# +# Top level modules: +# decoder +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:12 on Jan 21,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module decoder_vlg_vec_tst +# +# Top level modules: +# decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +# Start time: 20:12:12 on Jan 21,2021 +# Loading work.decoder_vlg_vec_tst +# Loading work.decoder +# Loading work.hard_block +# ** Warning: (vsim-3017) decoder.vo(284): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(284): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) decoder.vo(307): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(307): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(53) +# Time: 200 ns Iteration: 0 Instance: /decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/vwf_sim_transcript b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..1ee67bd --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,141 @@ +Determining the location of the ModelSim executable... + +Using: c:/intelfpga_lite/16.1/modelsim_ase/win32aloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Jan 21 20:12:10 2021 +Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off decoder -c decoder --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/Waveform.vwf.vt" +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. + +Completed successfully. + +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Jan 21 20:12:11 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/" decoder -c decoder +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file decoder.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Jan 21 20:12:11 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + +Completed successfully. + +**** Generating the ModelSim .do script **** + +C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/decoder.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +c:/intelfpga_lite/16.1/modelsim_ase/win32aloem//vsim -c -do decoder.do + +Reading C:/intelFPGA_lite/16.1/modelsim_ase/tcl/vsim/pref.tcl + + +# 10.5b + +# do decoder.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:11 on Jan 21,2021 +# vlog -work work decoder.vo +# -- Compiling module decoder +# -- Compiling module hard_block +# +# Top level modules: +# decoder + +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:12:12 on Jan 21,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module decoder_vlg_vec_tst +# +# Top level modules: +# decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.decoder_vlg_vec_tst +# Start time: 20:12:12 on Jan 21,2021 +# Loading work.decoder_vlg_vec_tst +# Loading work.decoder +# Loading work.hard_block +# ** Warning: (vsim-3017) decoder.vo(284): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(284): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) decoder.vo(307): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /decoder_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) decoder.vo(307): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(53) +# Time: 200 ns Iteration: 0 Instance: /decoder_vlg_vec_tst +# End time: 20:12:12 on Jan 21,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 + +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/Waveform.vwf... + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/decoder.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to C:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim/decoder_20210121201212.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_info b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_info new file mode 100644 index 0000000..8e21126 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_info @@ -0,0 +1,73 @@ +m255 +K4 +z2 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 dC:/Users/anw0044/Desktop/Noah Woodlee/Decoder/simulation/qsim +vdecoder +Z1 !s110 1611281532 +!i10b 1 +!s100 UIL90zBZg0Y19`leS`6h<2 +I?kJ^Dj<_UL0j=K>IoSLA43 +Z2 VDg1SIo80bB@j0V0VzS_@n1 +R0 +Z3 w1611281531 +Z4 8decoder.vo +Z5 Fdecoder.vo +L0 32 +Z6 OV;L;10.5b;63 +r1 +!s85 0 +31 +Z7 !s108 1611281531.000000 +Z8 !s107 decoder.vo| +Z9 !s90 -work|work|decoder.vo| +!i113 1 +Z10 o-work work +Z11 tCvgOpt 0 +vdecoder_vlg_vec_tst +R1 +!i10b 1 +!s100 ]Ca;=HzRX6Lo`OmRLo6W[1 +IK[F>kI[RnW?^K1G37[MAK1 +R2 +R0 +w1611281530 +8Waveform.vwf.vt +FWaveform.vwf.vt +L0 30 +R6 +r1 +!s85 0 +31 +!s108 1611281532.000000 +!s107 Waveform.vwf.vt| +!s90 -work|work|Waveform.vwf.vt| +!i113 1 +R10 +R11 +vhard_block +R1 +!i10b 1 +!s100 =YQAZHYcKj^4X8AX_30Gh2 +I`Y@_E2FIMAN_fQ3cHX1n?2 +R2 +R0 +R3 +R4 +R5 +L0 339 +R6 +r1 +!s85 0 +31 +R7 +R8 +R9 +!i113 1 +R10 +R11 diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib.qdb b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000..d9b590a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qdb b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000..2c2a282 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qpg b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000..c61b8b0 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qpg differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qtl b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000..a3fb234 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_lib1_0.qtl differ diff --git a/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_vmake b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Decoder/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qpf b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qpf new file mode 100644 index 0000000..4182cd3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 19:34:44 February 25, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "19:34:44 February 25, 2021" + +# Revisions + +PROJECT_REVISION = "Lab1Pt1" diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qsf b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qsf new file mode 100644 index 0000000..6da5ed9 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qsf @@ -0,0 +1,75 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 19:34:44 February 25, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# Lab1Pt1_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY Lab1Pt1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:34:44 FEBRUARY 25, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name VERILOG_FILE Lab1Pt1.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qws b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qws new file mode 100644 index 0000000..63563b7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.qws differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v new file mode 100644 index 0000000..5153409 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v @@ -0,0 +1,5 @@ +module Lab1Pt1 (SW,LEDR); + input [9:0]SW; + output [9:0]LEDR; + assign LEDR=SW; + endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v.bak b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v.bak new file mode 100644 index 0000000..26b8e4a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v.bak @@ -0,0 +1,5 @@ +module part1 (SW,LEDR); + input [9:0]SW; + output [9:0]LEDR; + assign LEDR=SW; + endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1_assignment_defaults.qdf b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1_assignment_defaults.qdf new file mode 100644 index 0000000..c78e727 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1_assignment_defaults.qdf @@ -0,0 +1,808 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 14:09:45 April 22, 2021 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value ENABLE +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf b/EE203/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf new file mode 100644 index 0000000..a24845c --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf @@ -0,0 +1,748 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Pt1 -c Lab1Pt1 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Pt1 -c Lab1Pt1 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/" Lab1Pt1 -c Lab1Pt1 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/" Lab1Pt1 -c Lab1Pt1 +onerror {exit -code 1} +vlib work +vlog -work work Lab1Pt1.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Pt1_vlg_vec_tst +vcd file -direction Lab1Pt1.msim.vcd +vcd add -internal Lab1Pt1_vlg_vec_tst/* +vcd add -internal Lab1Pt1_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work Lab1Pt1.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Pt1_vlg_vec_tst +vcd file -direction Lab1Pt1.msim.vcd +vcd add -internal Lab1Pt1_vlg_vec_tst/* +vcd add -internal Lab1Pt1_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 740.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 220.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 700.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 660.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 310.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 630.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 610.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 350.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 590.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 370.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 570.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 390.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 550.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 410.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 530.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 510.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/atom_netlists/Lab1Pt1.qsf b/EE203/Noah Woodlee/LAB1/Lab1Part1/atom_netlists/Lab1Pt1.qsf new file mode 100644 index 0000000..c6b079b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/atom_netlists/Lab1Pt1.qsf @@ -0,0 +1,36 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY Lab1Pt1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:34:44 FEBRUARY 25, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name VERILOG_FILE Lab1Pt1.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.(0).cnf.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.(0).cnf.cdb new file mode 100644 index 0000000..1c9e4c8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.(0).cnf.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.(0).cnf.hdb new file mode 100644 index 0000000..5810f6b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm.qmsg new file mode 100644 index 0000000..d624969 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614303379961 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614303379971 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 19:36:19 2021 " "Processing started: Thu Feb 25 19:36:19 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614303379971 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1614303379971 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Lab1Pt1 -c Lab1Pt1 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Lab1Pt1 -c Lab1Pt1" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1614303379971 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1614303380251 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1614303382001 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1614303382141 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4686 " "Peak virtual memory: 4686 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614303383191 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 19:36:23 2021 " "Processing ended: Thu Feb 25 19:36:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614303383191 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614303383191 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614303383191 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1614303383191 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm.rdb new file mode 100644 index 0000000..9ff94aa Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm_labs.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm_labs.ddb new file mode 100644 index 0000000..806dd89 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cbx.xml b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cbx.xml new file mode 100644 index 0000000..c0760b2 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.bpm b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.bpm new file mode 100644 index 0000000..8f4e6a7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.cdb new file mode 100644 index 0000000..b1d0b3b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.hdb new file mode 100644 index 0000000..155aa5d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.idb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.idb new file mode 100644 index 0000000..19a45d1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.idb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.logdb new file mode 100644 index 0000000..62890cb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.logdb @@ -0,0 +1,62 @@ +v1 +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;0;0;0;0;20;0;0;20;20;0;10;0;0;10;0;10;10;0;0;0;10;0;0;0;0;0;20;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,20;20;20;20;20;0;20;20;0;0;20;10;20;20;10;20;10;10;20;20;20;10;20;20;20;20;20;0;20;20, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,LEDR[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,9, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.rdb new file mode 100644 index 0000000..0654ab4 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp_merge.kpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp_merge.kpt new file mode 100644 index 0000000..7efc93b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.db_info b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.db_info new file mode 100644 index 0000000..b000342 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Thu Apr 22 15:34:31 2021 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.eda.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.eda.qmsg new file mode 100644 index 0000000..24c0b69 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.eda.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614304930664 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2016 Intel Corporation. All rights reserved. " "Copyright (C) 2016 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and its AMPP partner logic " "and other software and tools, and its AMPP partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel MegaCore Function License Agreement, or other " "the Intel MegaCore Function License Agreement, or other " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "applicable license agreement, including, without limitation, " "applicable license agreement, including, without limitation, " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "that your use is for the sole purpose of programming logic " "that your use is for the sole purpose of programming logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "devices manufactured by Intel and sold by Intel or its " "devices manufactured by Intel and sold by Intel or its " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "authorized distributors. Please refer to the applicable " "authorized distributors. Please refer to the applicable " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement for further details. " "agreement for further details." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 20:02:10 2021 " "Processing started: Thu Feb 25 20:02:10 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614304930664 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1614304930664 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/\" Lab1Pt1 -c Lab1Pt1 " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/\" Lab1Pt1 -c Lab1Pt1" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1614304930664 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1614304930954 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "Lab1Pt1.vo C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim// simulation " "Generated file Lab1Pt1.vo in folder \"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1614304931064 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4641 " "Peak virtual memory: 4641 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614304931124 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 20:02:11 2021 " "Processing ended: Thu Feb 25 20:02:11 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614304931124 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614304931124 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614304931124 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1614304931124 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.fit.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.fit.qmsg new file mode 100644 index 0000000..d17a2df --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.fit.qmsg @@ -0,0 +1,53 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1614303370451 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1614303370451 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "Lab1Pt1 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"Lab1Pt1\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1614303370451 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1614303370491 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1614303370491 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1614303370711 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1614303370721 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1614303370831 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1614303370831 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 50 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 52 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 54 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 56 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 58 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 60 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 62 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 0 { 0 ""} 0 64 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1614303370831 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1614303370831 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614303370831 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614303370831 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614303370831 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1614303370831 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1614303370831 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "20 20 " "No exact pin location assignment(s) for 20 pins of 20 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1614303371061 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Lab1Pt1.sdc " "Synopsys Design Constraints File file not found: 'Lab1Pt1.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1614303371281 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1614303371281 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1614303371281 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1614303371281 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1614303371281 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1614303371281 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1614303371281 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1614303371291 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1614303371291 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1614303371291 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "20 unused 2.5V 10 10 0 " "Number of I/O pins in group: 20 (unused VREF, 2.5V VCCIO, 10 input, 10 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1614303371291 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1614303371291 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1614303371291 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1A does not use undetermined 0 16 " "I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1B does not use undetermined 4 20 " "I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 36 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 48 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 48 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 40 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 0 60 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 52 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 4 32 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1614303371291 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1614303371291 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1614303371291 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614303371321 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1614303371331 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1614303372451 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614303372501 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1614303372531 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1614303372951 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614303372951 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1614303375481 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X22_Y33 X32_Y43 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X22_Y33 to location X32_Y43" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X22_Y33 to location X32_Y43"} { { 12 { 0 ""} 22 33 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1614303376811 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1614303376811 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1614303376891 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1614303376891 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1614303376891 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614303376901 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1614303377101 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1614303377111 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1614303377351 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1614303377351 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1614303377691 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1614303378171 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1614303378451 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5901 " "Peak virtual memory: 5901 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614303378951 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 19:36:18 2021 " "Processing ended: Thu Feb 25 19:36:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614303378951 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614303378951 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614303378951 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1614303378951 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.hier_info b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.hier_info new file mode 100644 index 0000000..479b683 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.hier_info @@ -0,0 +1,23 @@ +|Lab1Pt1 +SW[0] => LEDR[0].DATAIN +SW[1] => LEDR[1].DATAIN +SW[2] => LEDR[2].DATAIN +SW[3] => LEDR[3].DATAIN +SW[4] => LEDR[4].DATAIN +SW[5] => LEDR[5].DATAIN +SW[6] => LEDR[6].DATAIN +SW[7] => LEDR[7].DATAIN +SW[8] => LEDR[8].DATAIN +SW[9] => LEDR[9].DATAIN +LEDR[0] <= SW[0].DB_MAX_OUTPUT_PORT_TYPE +LEDR[1] <= SW[1].DB_MAX_OUTPUT_PORT_TYPE +LEDR[2] <= SW[2].DB_MAX_OUTPUT_PORT_TYPE +LEDR[3] <= SW[3].DB_MAX_OUTPUT_PORT_TYPE +LEDR[4] <= SW[4].DB_MAX_OUTPUT_PORT_TYPE +LEDR[5] <= SW[5].DB_MAX_OUTPUT_PORT_TYPE +LEDR[6] <= SW[6].DB_MAX_OUTPUT_PORT_TYPE +LEDR[7] <= SW[7].DB_MAX_OUTPUT_PORT_TYPE +LEDR[8] <= SW[8].DB_MAX_OUTPUT_PORT_TYPE +LEDR[9] <= SW[9].DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.hif b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.hif new file mode 100644 index 0000000..ae015f9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.hif differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.html b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.html new file mode 100644 index 0000000..7d68592 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.rdb new file mode 100644 index 0000000..c179f4d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.txt b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.txt new file mode 100644 index 0000000..dbfe520 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.ammdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.ammdb new file mode 100644 index 0000000..a4afc79 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.bpm b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.bpm new file mode 100644 index 0000000..e710cd7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.cdb new file mode 100644 index 0000000..1748e5b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.hdb new file mode 100644 index 0000000..940d2ee Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.kpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.kpt new file mode 100644 index 0000000..8524d5c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.qmsg new file mode 100644 index 0000000..d1ffded --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.qmsg @@ -0,0 +1,10 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614303360062 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614303360066 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 19:35:59 2021 " "Processing started: Thu Feb 25 19:35:59 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614303360066 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303360066 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Lab1Pt1 -c Lab1Pt1 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Lab1Pt1 -c Lab1Pt1" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303360070 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1614303360421 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1614303360421 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lab1pt1.v 1 1 " "Found 1 design units, including 1 entities, in source file lab1pt1.v" { { "Info" "ISGN_ENTITY_NAME" "1 Lab1Pt1 " "Found entity 1: Lab1Pt1" { } { { "Lab1Pt1.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1614303368481 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303368481 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Lab1Pt1 " "Elaborating entity \"Lab1Pt1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1614303368511 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1614303368991 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1614303368991 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "20 " "Implemented 20 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1614303369051 ""} { "Info" "ICUT_CUT_TM_OPINS" "10 " "Implemented 10 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1614303369051 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1614303369051 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4749 " "Peak virtual memory: 4749 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614303369081 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 19:36:09 2021 " "Processing ended: Thu Feb 25 19:36:09 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614303369081 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614303369081 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:20 " "Total CPU time (on all processors): 00:00:20" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614303369081 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303369081 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.rdb new file mode 100644 index 0000000..fa047f8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.cdb new file mode 100644 index 0000000..e78d428 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.hdb new file mode 100644 index 0000000..15dc265 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.pre_map.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.pre_map.hdb new file mode 100644 index 0000000..62e3fdc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.quiproj.11537.rdr.flock b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.quiproj.11537.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..4b74ddb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.routing.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.routing.rdb new file mode 100644 index 0000000..a1487a7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.routing.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv.hdb new file mode 100644 index 0000000..ca03eb5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv_sg.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv_sg.cdb new file mode 100644 index 0000000..18319fe Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv_sg_swap.cdb new file mode 100644 index 0000000..0be030c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sld_design_entry.sci b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sld_design_entry_dsc.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.smart_action.txt b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.smart_action.txt new file mode 100644 index 0000000..f1e1649 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta.qmsg new file mode 100644 index 0000000..5469568 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta.qmsg @@ -0,0 +1,50 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614303384351 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614303384361 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 19:36:24 2021 " "Processing started: Thu Feb 25 19:36:24 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614303384361 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384361 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta Lab1Pt1 -c Lab1Pt1 " "Command: quartus_sta Lab1Pt1 -c Lab1Pt1" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384361 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1614303384461 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384621 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384621 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384651 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384651 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Lab1Pt1.sdc " "Synopsys Design Constraints File file not found: 'Lab1Pt1.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384931 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384931 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384931 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384931 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384931 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384931 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1614303384931 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384941 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1614303384941 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384941 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1614303384951 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384961 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384971 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384971 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384981 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303384991 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1614303385001 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303385021 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386551 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386631 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386631 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386631 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386631 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386631 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386641 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386651 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386651 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386661 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386661 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1614303386671 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386851 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386851 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386851 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386851 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386861 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386861 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386871 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386871 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303386881 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303387711 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303387711 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4867 " "Peak virtual memory: 4867 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614303387821 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 25 19:36:27 2021 " "Processing ended: Thu Feb 25 19:36:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614303387821 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614303387821 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614303387821 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1614303387821 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta.rdb new file mode 100644 index 0000000..9459a21 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta_cmp.7_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta_cmp.7_slow_1200mv_85c.tdb new file mode 100644 index 0000000..4983aff Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.sta_cmp.7_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tis_db_list.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tis_db_list.ddb new file mode 100644 index 0000000..eda1a82 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..6674911 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fastest_slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fastest_slow_1200mv_0c.ddb new file mode 100644 index 0000000..dc74e53 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fastest_slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fastest_slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fastest_slow_1200mv_85c.ddb new file mode 100644 index 0000000..68626b8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.fastest_slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..28ee79a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..e5e6b11 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.vpr.ammdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.vpr.ammdb new file mode 100644 index 0000000..b4049f0 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..db68b29 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ii_0c_slow.hsd b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ii_0c_slow.hsd new file mode 100644 index 0000000..d223770 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ii_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ii_85c_slow.hsd b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ii_85c_slow.hsd new file mode 100644 index 0000000..68203ec Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.zippleback_io_sim_cache.ii_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1_partition_pins.json b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1_partition_pins.json new file mode 100644 index 0000000..0425bf6 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1_partition_pins.json @@ -0,0 +1,89 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "LEDR[0]", + "strict" : false + }, + { + "name" : "LEDR[1]", + "strict" : false + }, + { + "name" : "LEDR[2]", + "strict" : false + }, + { + "name" : "LEDR[3]", + "strict" : false + }, + { + "name" : "LEDR[4]", + "strict" : false + }, + { + "name" : "LEDR[5]", + "strict" : false + }, + { + "name" : "LEDR[6]", + "strict" : false + }, + { + "name" : "LEDR[7]", + "strict" : false + }, + { + "name" : "LEDR[8]", + "strict" : false + }, + { + "name" : "LEDR[9]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + }, + { + "name" : "SW[8]", + "strict" : false + }, + { + "name" : "SW[9]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/db/prev_cmp_Lab1Pt1.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/prev_cmp_Lab1Pt1.qmsg new file mode 100644 index 0000000..86bf30a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/db/prev_cmp_Lab1Pt1.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1614303317098 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1614303317098 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 25 19:35:16 2021 " "Processing started: Thu Feb 25 19:35:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1614303317098 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303317098 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Lab1Pt1 -c Lab1Pt1 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Lab1Pt1 -c Lab1Pt1" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303317098 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1614303317438 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1614303317438 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lab1pt1.v 1 1 " "Found 1 design units, including 1 entities, in source file lab1pt1.v" { { "Info" "ISGN_ENTITY_NAME" "1 part1 " "Found entity 1: part1" { } { { "Lab1Pt1.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1614303325528 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303325528 ""} +{ "Error" "ESGN_TOP_ENTITY_IS_MISSING" "Lab1Pt1 " "Top-level design entity \"Lab1Pt1\" is undefined" { } { } 0 12007 "Top-level design entity \"%1!s!\" is undefined" 0 0 "Analysis & Synthesis" 0 -1 1614303325558 ""} +{ "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 1 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was unsuccessful. 1 error, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "4712 " "Peak virtual memory: 4712 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1614303325658 ""} { "Error" "EQEXE_END_BANNER_TIME" "Thu Feb 25 19:35:25 2021 " "Processing ended: Thu Feb 25 19:35:25 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1614303325658 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1614303325658 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:21 " "Total CPU time (on all processors): 00:00:21" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1614303325658 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303325658 ""} +{ "Error" "EFLOW_ERROR_COUNT" "Full Compilation 3 s 1 " "Quartus Prime Full Compilation was unsuccessful. 3 errors, 1 warning" { } { } 0 293001 "Quartus Prime %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1614303326288 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/README b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.db_info b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.db_info new file mode 100644 index 0000000..85e6deb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Thu Apr 22 14:09:45 2021 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.ammdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.ammdb new file mode 100644 index 0000000..0b3b4a5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.cdb new file mode 100644 index 0000000..906b66d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.dfp b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.hdb new file mode 100644 index 0000000..155ebb4 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.rcfdb new file mode 100644 index 0000000..d4261d9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.cdb new file mode 100644 index 0000000..f003aa6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.dpi b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.dpi new file mode 100644 index 0000000..ac95ae2 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..ff1f87d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..e07ce70 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hdb new file mode 100644 index 0000000..59b39a9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.kpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.kpt new file mode 100644 index 0000000..1bc92e7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.rrp.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.rrp.hdb new file mode 100644 index 0000000..6ca12d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/incremental_db/compiled_partitions/Lab1Pt1.rrp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.asm.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.asm.rpt new file mode 100644 index 0000000..8720ba5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.asm.rpt @@ -0,0 +1,93 @@ +Assembler report for Lab1Pt1 +Thu Feb 25 19:36:23 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Feb 25 19:36:23 2021 ; +; Revision Name ; Lab1Pt1 ; +; Top-level Entity Name ; Lab1Pt1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++-------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-------------------------------------------------------------------------------+ +; File Name ; ++-------------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sof ; ++-------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sof ; ++----------------+----------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+----------------------------------------------------------------------------------------+ +; Device ; 10M50DAF484C7G ; +; JTAG usercode ; 0x002703A1 ; +; Checksum ; 0x002703A1 ; ++----------------+----------------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Feb 25 19:36:19 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off Lab1Pt1 -c Lab1Pt1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4686 megabytes + Info: Processing ended: Thu Feb 25 19:36:23 2021 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.done b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.done new file mode 100644 index 0000000..c531af0 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.done @@ -0,0 +1 @@ +Thu Feb 25 19:36:28 2021 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.eda.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.eda.rpt new file mode 100644 index 0000000..d6e3462 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.eda.rpt @@ -0,0 +1,108 @@ +EDA Netlist Writer report for Lab1Pt1 +Thu Feb 25 20:02:11 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Feb 25 20:02:11 2021 ; +; Revision Name ; Lab1Pt1 ; +; Top-level Entity Name ; Lab1Pt1 ; +; Family ; MAX 10 ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++----------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++----------------------------------------------------------------------------------+ +; Generated Files ; ++----------------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim//Lab1Pt1.vo ; ++----------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Feb 25 20:02:10 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/" Lab1Pt1 -c Lab1Pt1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file Lab1Pt1.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Feb 25 20:02:11 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.rpt new file mode 100644 index 0000000..0c7c7a4 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.rpt @@ -0,0 +1,1277 @@ +Fitter report for Lab1Pt1 +Thu Feb 25 19:36:18 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. I/O Rules Summary + 25. I/O Rules Details + 26. I/O Rules Matrix + 27. Fitter Device Options + 28. Operating Settings and Conditions + 29. Fitter Messages + 30. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Thu Feb 25 19:36:18 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Lab1Pt1 ; +; Top-level Entity Name ; Lab1Pt1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 1 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 1 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 20 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C7G ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.1% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; +; -- Achieved ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 44 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 1 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 1 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 1 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 1 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 20 / 360 ( 6 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.1% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 41 ; +; Average fan-out ; 0.68 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 1 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 1 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 1 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 20 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 47 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 10 ; 0 ; +; -- Output Ports ; 10 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; J9 ; 1A ; 0 ; 36 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[1] ; H20 ; 6 ; 78 ; 45 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[2] ; E1 ; 1B ; 0 ; 27 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[3] ; R22 ; 5 ; 78 ; 21 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[4] ; W14 ; 4 ; 49 ; 0 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[5] ; L19 ; 6 ; 78 ; 37 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[6] ; R1 ; 2 ; 0 ; 3 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[7] ; B2 ; 8 ; 22 ; 39 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[8] ; Y16 ; 4 ; 54 ; 0 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[9] ; AA9 ; 3 ; 34 ; 0 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; LEDR[0] ; J8 ; 1A ; 0 ; 36 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[1] ; J20 ; 6 ; 78 ; 45 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[2] ; L9 ; 1B ; 0 ; 27 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[3] ; U22 ; 5 ; 78 ; 21 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[4] ; R13 ; 4 ; 49 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[5] ; M18 ; 6 ; 78 ; 37 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[6] ; T5 ; 2 ; 0 ; 3 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[7] ; D6 ; 8 ; 22 ; 39 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[8] ; W15 ; 4 ; 54 ; 0 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[9] ; AB9 ; 3 ; 34 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1A ; 2 / 16 ( 13 % ) ; 2.5V ; -- ; +; 1B ; 6 / 24 ( 25 % ) ; 2.5V ; -- ; +; 2 ; 2 / 36 ( 6 % ) ; 2.5V ; -- ; +; 3 ; 2 / 48 ( 4 % ) ; 2.5V ; -- ; +; 4 ; 4 / 48 ( 8 % ) ; 2.5V ; -- ; +; 5 ; 2 / 40 ( 5 % ) ; 2.5V ; -- ; +; 6 ; 4 / 60 ( 7 % ) ; 2.5V ; -- ; +; 7 ; 0 / 52 ( 0 % ) ; 2.5V ; -- ; +; 8 ; 6 / 36 ( 17 % ) ; 2.5V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A14 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; SW[9] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; LEDR[9] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C11 ; 440 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C12 ; 436 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 391 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; LEDR[7] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; SW[2] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; 388 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; SW[1] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; LEDR[0] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; J9 ; 6 ; 1A ; SW[0] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; LEDR[1] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; LEDR[2] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; SW[5] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; LEDR[5] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; SW[6] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; LEDR[4] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; SW[3] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; LEDR[6] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; LEDR[3] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; W15 ; 206 ; 4 ; LEDR[8] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; LEDR[0] ; Incomplete set of assignments ; +; LEDR[1] ; Incomplete set of assignments ; +; LEDR[2] ; Incomplete set of assignments ; +; LEDR[3] ; Incomplete set of assignments ; +; LEDR[4] ; Incomplete set of assignments ; +; LEDR[5] ; Incomplete set of assignments ; +; LEDR[6] ; Incomplete set of assignments ; +; LEDR[7] ; Incomplete set of assignments ; +; LEDR[8] ; Incomplete set of assignments ; +; LEDR[9] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; +; SW[8] ; Incomplete set of assignments ; +; SW[9] ; Incomplete set of assignments ; +; LEDR[0] ; Missing location assignment ; +; LEDR[1] ; Missing location assignment ; +; LEDR[2] ; Missing location assignment ; +; LEDR[3] ; Missing location assignment ; +; LEDR[4] ; Missing location assignment ; +; LEDR[5] ; Missing location assignment ; +; LEDR[6] ; Missing location assignment ; +; LEDR[7] ; Missing location assignment ; +; LEDR[8] ; Missing location assignment ; +; LEDR[9] ; Missing location assignment ; +; SW[0] ; Missing location assignment ; +; SW[1] ; Missing location assignment ; +; SW[2] ; Missing location assignment ; +; SW[3] ; Missing location assignment ; +; SW[4] ; Missing location assignment ; +; SW[5] ; Missing location assignment ; +; SW[6] ; Missing location assignment ; +; SW[7] ; Missing location assignment ; +; SW[8] ; Missing location assignment ; +; SW[9] ; Missing location assignment ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |Lab1Pt1 ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 20 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; |Lab1Pt1 ; Lab1Pt1 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; LEDR[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[8] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[9] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 873 ps ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; -- ; (6) 873 ps ; -- ; -- ; -- ; +; SW[2] ; Input ; -- ; (6) 873 ps ; -- ; -- ; -- ; +; SW[3] ; Input ; (6) 873 ps ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 873 ps ; -- ; -- ; -- ; -- ; +; SW[6] ; Input ; -- ; (6) 873 ps ; -- ; -- ; -- ; +; SW[7] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[8] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[9] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++-----------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------+-------------------+---------+ +; SW[0] ; ; ; +; - LEDR[0]~output ; 0 ; 6 ; +; SW[1] ; ; ; +; - LEDR[1]~output ; 1 ; 6 ; +; SW[2] ; ; ; +; - LEDR[2]~output ; 1 ; 6 ; +; SW[3] ; ; ; +; - LEDR[3]~output ; 0 ; 6 ; +; SW[4] ; ; ; +; - LEDR[4]~output ; 0 ; 6 ; +; SW[5] ; ; ; +; - LEDR[5]~output ; 0 ; 6 ; +; SW[6] ; ; ; +; - LEDR[6]~output ; 1 ; 6 ; +; SW[7] ; ; ; +; - LEDR[7]~output ; 0 ; 6 ; +; SW[8] ; ; ; +; - LEDR[8]~output ; 0 ; 6 ; +; SW[9] ; ; ; +; - LEDR[9]~output ; 0 ; 6 ; ++-----------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 14 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 4 / 5,382 ( < 1 % ) ; +; C4 interconnects ; 8 / 106,704 ( < 1 % ) ; +; Direct links ; 1 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 0 / 5,406 ( 0 % ) ; +; R4 interconnects ; 8 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 1.00) ; Number of LABs (Total = 1) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 1.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 1.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 9 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 21 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; 20 ; 20 ; 0 ; 10 ; 0 ; 0 ; 10 ; 0 ; 10 ; 10 ; 0 ; 0 ; 0 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 20 ; 20 ; 20 ; 20 ; 20 ; 0 ; 20 ; 20 ; 0 ; 0 ; 20 ; 10 ; 20 ; 20 ; 10 ; 20 ; 10 ; 10 ; 20 ; 20 ; 20 ; 10 ; 20 ; 20 ; 20 ; 20 ; 20 ; 0 ; 20 ; 20 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; LEDR[0] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[1] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[2] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[3] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[4] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[5] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[6] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[7] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[8] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[9] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[8] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[9] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (119006): Selected device 10M50DAF484C7G for design "Lab1Pt1" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device 10M08DAF484I7G is compatible + Info (176445): Device 10M16DAF484C7G is compatible + Info (176445): Device 10M16DAF484I7G is compatible + Info (176445): Device 10M25DAF484C7G is compatible + Info (176445): Device 10M25DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7P is compatible + Info (176445): Device 10M40DAF484C7G is compatible + Info (176445): Device 10M40DAF484I7G is compatible +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (169085): No exact pin location assignment(s) for 20 pins of 20 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. +Critical Warning (332012): Synopsys Design Constraints File file not found: 'Lab1Pt1.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 20 (unused VREF, 2.5V VCCIO, 10 input, 10 output, 0 bidirectional) + Info (176212): I/O standards used: 2.5 V. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available + Info (176213): I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X22_Y33 to location X32_Y43 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (144001): Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 5901 megabytes + Info: Processing ended: Thu Feb 25 19:36:18 2021 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:13 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.smsg. + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.smsg b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.smsg new file mode 100644 index 0000000..558ea95 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.summary b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.summary new file mode 100644 index 0000000..77d7827 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Thu Feb 25 19:36:18 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : Lab1Pt1 +Top-level Entity Name : Lab1Pt1 +Family : MAX 10 +Device : 10M50DAF484C7G +Timing Models : Final +Total logic elements : 1 / 49,760 ( < 1 % ) + Total combinational functions : 1 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 20 / 360 ( 6 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.flow.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.flow.rpt new file mode 100644 index 0000000..4f38452 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.flow.rpt @@ -0,0 +1,131 @@ +Flow report for Lab1Pt1 +Thu Feb 25 20:02:11 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Thu Feb 25 20:02:11 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Lab1Pt1 ; +; Top-level Entity Name ; Lab1Pt1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 1 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 1 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 20 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 02/25/2021 19:36:00 ; +; Main task ; Compilation ; +; Revision Name ; Lab1Pt1 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 189559947077153.161430336002828 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 4734 MB ; 00:00:20 ; +; Fitter ; 00:00:09 ; 1.0 ; 5901 MB ; 00:00:12 ; +; Assembler ; 00:00:04 ; 1.0 ; 4685 MB ; 00:00:03 ; +; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 4867 MB ; 00:00:04 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4632 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4641 MB ; 00:00:01 ; +; Total ; 00:00:27 ; -- ; -- ; 00:00:41 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; TimeQuest Timing Analyzer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; ++---------------------------+------------------+------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off Lab1Pt1 -c Lab1Pt1 +quartus_fit --read_settings_files=off --write_settings_files=off Lab1Pt1 -c Lab1Pt1 +quartus_asm --read_settings_files=off --write_settings_files=off Lab1Pt1 -c Lab1Pt1 +quartus_sta Lab1Pt1 -c Lab1Pt1 +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Pt1 -c Lab1Pt1 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/" Lab1Pt1 -c Lab1Pt1 + + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.jdi b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.jdi new file mode 100644 index 0000000..1ab2d9b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.map.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.map.rpt new file mode 100644 index 0000000..e09183e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.map.rpt @@ -0,0 +1,277 @@ +Analysis & Synthesis report for Lab1Pt1 +Thu Feb 25 19:36:09 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Feb 25 19:36:09 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Lab1Pt1 ; +; Top-level Entity Name ; Lab1Pt1 ; +; Family ; MAX 10 ; +; Total logic elements ; 0 ; +; Total combinational functions ; 0 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 20 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C7G ; ; +; Top-level entity name ; Lab1Pt1 ; Lab1Pt1 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; OpenCore Plus hardware evaluation ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------------------+---------+ +; Lab1Pt1.v ; yes ; User Verilog HDL File ; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v ; ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------------------+---------+ + + ++--------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+----------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------+ +; ; ; +; Total combinational functions ; 0 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 0 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 20 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; LEDR[0]~output ; +; Maximum fan-out ; 1 ; +; Total fan-out ; 30 ; +; Average fan-out ; 0.75 ; ++---------------------------------------------+----------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |Lab1Pt1 ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; |Lab1Pt1 ; Lab1Pt1 ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-------------------+---------------------------------+ +; Type ; Count ; ++-------------------+---------------------------------+ +; boundary_port ; 20 ; +; ; ; +; Max LUT depth ; 0.00 ; +; Average LUT depth ; 0.00 ; ++-------------------+---------------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Feb 25 19:35:59 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Lab1Pt1 -c Lab1Pt1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (12021): Found 1 design units, including 1 entities, in source file lab1pt1.v + Info (12023): Found entity 1: Lab1Pt1 File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v Line: 1 +Info (12127): Elaborating entity "Lab1Pt1" for the top level hierarchy +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 20 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 10 input pins + Info (21059): Implemented 10 output pins +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4749 megabytes + Info: Processing ended: Thu Feb 25 19:36:09 2021 + Info: Elapsed time: 00:00:10 + Info: Total CPU time (on all processors): 00:00:20 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.map.summary b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.map.summary new file mode 100644 index 0000000..aed5ba8 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Thu Feb 25 19:36:09 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : Lab1Pt1 +Top-level Entity Name : Lab1Pt1 +Family : MAX 10 +Total logic elements : 0 + Total combinational functions : 0 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 20 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.pin b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.pin new file mode 100644 index 0000000..bca194b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2016 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel MegaCore Function License Agreement, or other + -- applicable license agreement, including, without limitation, + -- that your use is for the sole purpose of programming logic + -- devices manufactured by Intel and sold by Intel or its + -- authorized distributors. Please refer to the applicable + -- agreement for further details. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +CHIP "Lab1Pt1" ASSIGNED TO AN: 10M50DAF484C7G + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +SW[9] : AA9 : input : 2.5 V : : 3 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +LEDR[9] : AB9 : output : 2.5 V : : 3 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +SW[7] : B2 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7 : +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +LEDR[7] : D6 : output : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +SW[2] : E1 : input : 2.5 V : : 1B : N +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +SW[1] : H20 : input : 2.5 V : : 6 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +LEDR[0] : J8 : output : 2.5 V : : 1A : N +SW[0] : J9 : input : 2.5 V : : 1A : N +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +LEDR[1] : J20 : output : 2.5 V : : 6 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +LEDR[2] : L9 : output : 2.5 V : : 1B : N +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +SW[5] : L19 : input : 2.5 V : : 6 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +LEDR[5] : M18 : output : 2.5 V : : 6 : N +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +SW[6] : R1 : input : 2.5 V : : 2 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +LEDR[4] : R13 : output : 2.5 V : : 4 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +SW[3] : R22 : input : 2.5 V : : 5 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +LEDR[6] : T5 : output : 2.5 V : : 2 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +LEDR[3] : U22 : output : 2.5 V : : 5 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +SW[4] : W14 : input : 2.5 V : : 4 : N +LEDR[8] : W15 : output : 2.5 V : : 4 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +SW[8] : Y16 : input : 2.5 V : : 4 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.pof b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.pof new file mode 100644 index 0000000..8929318 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.pof differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sld b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sld new file mode 100644 index 0000000..41a6030 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sof b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sof new file mode 100644 index 0000000..a9314b9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sof differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sta.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sta.rpt new file mode 100644 index 0000000..cf041e6 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sta.rpt @@ -0,0 +1,503 @@ +TimeQuest Timing Analyzer report for Lab1Pt1 +Thu Feb 25 19:36:27 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Timing Analyzer ; TimeQuest ; +; Revision Name ; Lab1Pt1 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.2% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; LEDR[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[9] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0783 V ; 0.157 V ; 0.167 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0783 V ; 0.157 V ; 0.167 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0459 V ; 0.201 V ; 0.093 V ; 4.9e-10 s ; 5.83e-10 s ; Yes ; No ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0459 V ; 0.201 V ; 0.093 V ; 4.9e-10 s ; 5.83e-10 s ; Yes ; No ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.77 V ; -0.0485 V ; 0.289 V ; 0.058 V ; 2.81e-10 s ; 3.04e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.77 V ; -0.0485 V ; 0.289 V ; 0.058 V ; 2.81e-10 s ; 3.04e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 10 ; 10 ; +; Unconstrained Input Port Paths ; 10 ; 10 ; +; Unconstrained Output Ports ; 10 ; 10 ; +; Unconstrained Output Port Paths ; 10 ; 10 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime TimeQuest Timing Analyzer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Feb 25 19:36:24 2021 +Info: Command: quartus_sta Lab1Pt1 -c Lab1Pt1 +Info: qsta_default_script.tcl version: #1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'Lab1Pt1.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 4867 megabytes + Info: Processing ended: Thu Feb 25 19:36:27 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:04 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sta.summary b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sta.summary new file mode 100644 index 0000000..6640100 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/output_files/Lab1Pt1.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.do b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.do new file mode 100644 index 0000000..a14bfa7 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vlog -work work Lab1Pt1.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Pt1_vlg_vec_tst +vcd file -direction Lab1Pt1.msim.vcd +vcd add -internal Lab1Pt1_vlg_vec_tst/* +vcd add -internal Lab1Pt1_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.msim.vcd b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.msim.vcd new file mode 100644 index 0000000..b152709 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.msim.vcd @@ -0,0 +1,300 @@ +$comment + File created using the following command: + vcd file Lab1Pt1.msim.vcd -direction +$end +$date + Thu Feb 25 20:02:14 2021 +$end +$version + ModelSim Version 10.5b +$end +$timescale + 1ps +$end + +$scope module Lab1Pt1_vlg_vec_tst $end +$var reg 10 ! SW [9:0] $end +$var wire 1 " LEDR [9] $end +$var wire 1 # LEDR [8] $end +$var wire 1 $ LEDR [7] $end +$var wire 1 % LEDR [6] $end +$var wire 1 & LEDR [5] $end +$var wire 1 ' LEDR [4] $end +$var wire 1 ( LEDR [3] $end +$var wire 1 ) LEDR [2] $end +$var wire 1 * LEDR [1] $end +$var wire 1 + LEDR [0] $end + +$scope module i1 $end +$var wire 1 , gnd $end +$var wire 1 - vcc $end +$var wire 1 . unknown $end +$var tri1 1 / devclrn $end +$var tri1 1 0 devpor $end +$var tri1 1 1 devoe $end +$var wire 1 2 ~QUARTUS_CREATED_GND~I_combout $end +$var wire 1 3 ~QUARTUS_CREATED_UNVM~~busy $end +$var wire 1 4 ~QUARTUS_CREATED_ADC1~~eoc $end +$var wire 1 5 ~QUARTUS_CREATED_ADC2~~eoc $end +$var wire 1 6 LEDR[0]~output_o $end +$var wire 1 7 LEDR[1]~output_o $end +$var wire 1 8 LEDR[2]~output_o $end +$var wire 1 9 LEDR[3]~output_o $end +$var wire 1 : LEDR[4]~output_o $end +$var wire 1 ; LEDR[5]~output_o $end +$var wire 1 < LEDR[6]~output_o $end +$var wire 1 = LEDR[7]~output_o $end +$var wire 1 > LEDR[8]~output_o $end +$var wire 1 ? LEDR[9]~output_o $end +$var wire 1 @ SW[0]~input_o $end +$var wire 1 A SW[1]~input_o $end +$var wire 1 B SW[2]~input_o $end +$var wire 1 C SW[3]~input_o $end +$var wire 1 D SW[4]~input_o $end +$var wire 1 E SW[5]~input_o $end +$var wire 1 F SW[6]~input_o $end +$var wire 1 G SW[7]~input_o $end +$var wire 1 H SW[8]~input_o $end +$var wire 1 I SW[9]~input_o $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +b0 ! +0+ +0* +0) +0( +0' +0& +0% +0$ +0# +0" +0, +1- +x. +1/ +10 +11 +02 +z3 +z4 +z5 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +0A +0B +0C +0D +0E +0F +0G +0H +0I +$end +#10000 +b1000000000 ! +1I +1? +1" +#20000 +b0 ! +0I +0? +0" +#30000 +b100000000 ! +b110000000 ! +b111000000 ! +b111100000 ! +b111110000 ! +b111111000 ! +b111111100 ! +b111111110 ! +b111111111 ! +b1111111111 ! +1I +1H +1G +1F +1E +1D +1C +1B +1A +1@ +16 +17 +18 +19 +1: +1; +1< +1= +1> +1? +1" +1# +1$ +1% +1& +1' +1( +1) +1* +1+ +#40000 +b1011111111 ! +b1001111111 ! +b1000111111 ! +b1000011111 ! +b1000001111 ! +b1000000111 ! +b1000000011 ! +b1000000001 ! +b1000000000 ! +b0 ! +0I +0H +0G +0F +0E +0D +0C +0B +0A +0@ +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0" +0# +0$ +0% +0& +0' +0( +0) +0* +0+ +#60000 +b100000 ! +1E +1; +1& +#130000 +b0 ! +0E +0; +0& +#200000 +b1000000000 ! +1I +1? +1" +#260000 +b1100000000 ! +b100000000 ! +0I +1H +1> +0? +0" +1# +#300000 +b110000000 ! +b10000000 ! +0H +1G +1= +0> +0# +1$ +#340000 +b0 ! +0G +0= +0$ +#350000 +b1000000 ! +1F +1< +1% +#370000 +b1100000 ! +b100000 ! +0F +1E +1; +0< +0% +1& +#390000 +b110000 ! +b10000 ! +0E +1D +1: +0; +0& +1' +#410000 +b11000 ! +b1000 ! +0D +1C +19 +0: +0' +1( +#430000 +b1100 ! +b100 ! +0C +1B +18 +09 +0( +1) +#450000 +b110 ! +b10 ! +0B +1A +17 +08 +0) +1* +#470000 +b11 ! +b1 ! +0A +1@ +16 +07 +0* +1+ +#490000 +b0 ! +0@ +06 +0+ +#1000000 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.sft b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.sft new file mode 100644 index 0000000..f324fea --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.vo b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.vo new file mode 100644 index 0000000..5feeb51 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.vo @@ -0,0 +1,517 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" + +// DATE "02/25/2021 20:02:11" + +// +// Device: Altera 10M50DAF484C7G Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module Lab1Pt1 ( + SW, + LEDR); +input [9:0] SW; +output [9:0] LEDR; + +// Design Ports Information +// LEDR[0] => Location: PIN_J8, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[1] => Location: PIN_J20, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[2] => Location: PIN_L9, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[3] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[4] => Location: PIN_R13, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[5] => Location: PIN_M18, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[6] => Location: PIN_T5, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[7] => Location: PIN_D6, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[8] => Location: PIN_W15, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[9] => Location: PIN_AB9, I/O Standard: 2.5 V, Current Strength: Default +// SW[0] => Location: PIN_J9, I/O Standard: 2.5 V, Current Strength: Default +// SW[1] => Location: PIN_H20, I/O Standard: 2.5 V, Current Strength: Default +// SW[2] => Location: PIN_E1, I/O Standard: 2.5 V, Current Strength: Default +// SW[3] => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default +// SW[4] => Location: PIN_W14, I/O Standard: 2.5 V, Current Strength: Default +// SW[5] => Location: PIN_L19, I/O Standard: 2.5 V, Current Strength: Default +// SW[6] => Location: PIN_R1, I/O Standard: 2.5 V, Current Strength: Default +// SW[7] => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default +// SW[8] => Location: PIN_Y16, I/O Standard: 2.5 V, Current Strength: Default +// SW[9] => Location: PIN_AA9, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \~QUARTUS_CREATED_UNVM~~busy ; +wire \~QUARTUS_CREATED_ADC1~~eoc ; +wire \~QUARTUS_CREATED_ADC2~~eoc ; +wire \LEDR[0]~output_o ; +wire \LEDR[1]~output_o ; +wire \LEDR[2]~output_o ; +wire \LEDR[3]~output_o ; +wire \LEDR[4]~output_o ; +wire \LEDR[5]~output_o ; +wire \LEDR[6]~output_o ; +wire \LEDR[7]~output_o ; +wire \LEDR[8]~output_o ; +wire \LEDR[9]~output_o ; +wire \SW[0]~input_o ; +wire \SW[1]~input_o ; +wire \SW[2]~input_o ; +wire \SW[3]~input_o ; +wire \SW[4]~input_o ; +wire \SW[5]~input_o ; +wire \SW[6]~input_o ; +wire \SW[7]~input_o ; +wire \SW[8]~input_o ; +wire \SW[9]~input_o ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: LCCOMB_X44_Y51_N16 +fiftyfivenm_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .cout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 16'h0000; +defparam \~QUARTUS_CREATED_GND~I .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y36_N16 +fiftyfivenm_io_obuf \LEDR[0]~output ( + .i(\SW[0]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[0]~output .bus_hold = "false"; +defparam \LEDR[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y45_N9 +fiftyfivenm_io_obuf \LEDR[1]~output ( + .i(\SW[1]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[1]~output .bus_hold = "false"; +defparam \LEDR[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y27_N23 +fiftyfivenm_io_obuf \LEDR[2]~output ( + .i(\SW[2]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[2]~output .bus_hold = "false"; +defparam \LEDR[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y21_N16 +fiftyfivenm_io_obuf \LEDR[3]~output ( + .i(\SW[3]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[3]~output .bus_hold = "false"; +defparam \LEDR[3]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X49_Y0_N2 +fiftyfivenm_io_obuf \LEDR[4]~output ( + .i(\SW[4]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[4]~output .bus_hold = "false"; +defparam \LEDR[4]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y37_N23 +fiftyfivenm_io_obuf \LEDR[5]~output ( + .i(\SW[5]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[5]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[5]~output .bus_hold = "false"; +defparam \LEDR[5]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y3_N16 +fiftyfivenm_io_obuf \LEDR[6]~output ( + .i(\SW[6]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[6]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[6]~output .bus_hold = "false"; +defparam \LEDR[6]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X22_Y39_N30 +fiftyfivenm_io_obuf \LEDR[7]~output ( + .i(\SW[7]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[7]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[7]~output .bus_hold = "false"; +defparam \LEDR[7]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X54_Y0_N9 +fiftyfivenm_io_obuf \LEDR[8]~output ( + .i(\SW[8]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[8]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[8]~output .bus_hold = "false"; +defparam \LEDR[8]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X34_Y0_N16 +fiftyfivenm_io_obuf \LEDR[9]~output ( + .i(\SW[9]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[9]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[9]~output .bus_hold = "false"; +defparam \LEDR[9]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y36_N22 +fiftyfivenm_io_ibuf \SW[0]~input ( + .i(SW[0]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[0]~input_o )); +// synopsys translate_off +defparam \SW[0]~input .bus_hold = "false"; +defparam \SW[0]~input .listen_to_nsleep_signal = "false"; +defparam \SW[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X78_Y45_N1 +fiftyfivenm_io_ibuf \SW[1]~input ( + .i(SW[1]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[1]~input_o )); +// synopsys translate_off +defparam \SW[1]~input .bus_hold = "false"; +defparam \SW[1]~input .listen_to_nsleep_signal = "false"; +defparam \SW[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y27_N1 +fiftyfivenm_io_ibuf \SW[2]~input ( + .i(SW[2]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[2]~input_o )); +// synopsys translate_off +defparam \SW[2]~input .bus_hold = "false"; +defparam \SW[2]~input .listen_to_nsleep_signal = "false"; +defparam \SW[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X78_Y21_N8 +fiftyfivenm_io_ibuf \SW[3]~input ( + .i(SW[3]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[3]~input_o )); +// synopsys translate_off +defparam \SW[3]~input .bus_hold = "false"; +defparam \SW[3]~input .listen_to_nsleep_signal = "false"; +defparam \SW[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X49_Y0_N22 +fiftyfivenm_io_ibuf \SW[4]~input ( + .i(SW[4]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[4]~input_o )); +// synopsys translate_off +defparam \SW[4]~input .bus_hold = "false"; +defparam \SW[4]~input .listen_to_nsleep_signal = "false"; +defparam \SW[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X78_Y37_N8 +fiftyfivenm_io_ibuf \SW[5]~input ( + .i(SW[5]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[5]~input_o )); +// synopsys translate_off +defparam \SW[5]~input .bus_hold = "false"; +defparam \SW[5]~input .listen_to_nsleep_signal = "false"; +defparam \SW[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y3_N1 +fiftyfivenm_io_ibuf \SW[6]~input ( + .i(SW[6]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[6]~input_o )); +// synopsys translate_off +defparam \SW[6]~input .bus_hold = "false"; +defparam \SW[6]~input .listen_to_nsleep_signal = "false"; +defparam \SW[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X22_Y39_N15 +fiftyfivenm_io_ibuf \SW[7]~input ( + .i(SW[7]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[7]~input_o )); +// synopsys translate_off +defparam \SW[7]~input .bus_hold = "false"; +defparam \SW[7]~input .listen_to_nsleep_signal = "false"; +defparam \SW[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y0_N22 +fiftyfivenm_io_ibuf \SW[8]~input ( + .i(SW[8]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[8]~input_o )); +// synopsys translate_off +defparam \SW[8]~input .bus_hold = "false"; +defparam \SW[8]~input .listen_to_nsleep_signal = "false"; +defparam \SW[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X34_Y0_N22 +fiftyfivenm_io_ibuf \SW[9]~input ( + .i(SW[9]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[9]~input_o )); +// synopsys translate_off +defparam \SW[9]~input .bus_hold = "false"; +defparam \SW[9]~input .listen_to_nsleep_signal = "false"; +defparam \SW[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: UNVM_X0_Y40_N40 +fiftyfivenm_unvm \~QUARTUS_CREATED_UNVM~ ( + .arclk(vcc), + .arshft(vcc), + .drclk(vcc), + .drshft(vcc), + .drdin(vcc), + .nprogram(vcc), + .nerase(vcc), + .nosc_ena(\~QUARTUS_CREATED_GND~I_combout ), + .par_en(vcc), + .xe_ye(\~QUARTUS_CREATED_GND~I_combout ), + .se(\~QUARTUS_CREATED_GND~I_combout ), + .ardin(23'b11111111111111111111111), + .busy(\~QUARTUS_CREATED_UNVM~~busy ), + .osc(), + .bgpbusy(), + .sp_pass(), + .se_pass(), + .drdout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .is_compressed_image = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_dual_boot = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_eram_skip = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .max_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .max_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .part_name = "quartus_created_unvm"; +defparam \~QUARTUS_CREATED_UNVM~ .reserve_block = "true"; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y52_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC1~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC1~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC1~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC1~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC1~ .is_this_first_or_second_adc = 1; +defparam \~QUARTUS_CREATED_ADC1~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC1~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC1~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC1~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC1~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC1~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .tsclksel = 0; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y51_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC2~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC2~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC2~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC2~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC2~ .is_this_first_or_second_adc = 2; +defparam \~QUARTUS_CREATED_ADC2~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC2~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC2~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC2~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC2~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC2~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .tsclksel = 0; +// synopsys translate_on + +assign LEDR[0] = \LEDR[0]~output_o ; + +assign LEDR[1] = \LEDR[1]~output_o ; + +assign LEDR[2] = \LEDR[2]~output_o ; + +assign LEDR[3] = \LEDR[3]~output_o ; + +assign LEDR[4] = \LEDR[4]~output_o ; + +assign LEDR[5] = \LEDR[5]~output_o ; + +assign LEDR[6] = \LEDR[6]~output_o ; + +assign LEDR[7] = \LEDR[7]~output_o ; + +assign LEDR[8] = \LEDR[8]~output_o ; + +assign LEDR[9] = \LEDR[9]~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_TMS~ => Location: PIN_H2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TCK~ => Location: PIN_G2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDI~ => Location: PIN_L4, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDO~ => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_CONFIG_SEL~ => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCONFIG~ => Location: PIN_H9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_nSTATUS~ => Location: PIN_G9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_CONF_DONE~ => Location: PIN_F8, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_TMS~~padout ; +wire \~ALTERA_TCK~~padout ; +wire \~ALTERA_TDI~~padout ; +wire \~ALTERA_CONFIG_SEL~~padout ; +wire \~ALTERA_nCONFIG~~padout ; +wire \~ALTERA_nSTATUS~~padout ; +wire \~ALTERA_CONF_DONE~~padout ; +wire \~ALTERA_TMS~~ibuf_o ; +wire \~ALTERA_TCK~~ibuf_o ; +wire \~ALTERA_TDI~~ibuf_o ; +wire \~ALTERA_CONFIG_SEL~~ibuf_o ; +wire \~ALTERA_nCONFIG~~ibuf_o ; +wire \~ALTERA_nSTATUS~~ibuf_o ; +wire \~ALTERA_CONF_DONE~~ibuf_o ; + + +endmodule diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1_20210225200214.sim.vwf b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1_20210225200214.sim.vwf new file mode 100644 index 0000000..80171a4 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1_20210225200214.sim.vwf @@ -0,0 +1,829 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 740.0; + } + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 220.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 700.0; + } + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 660.0; + } + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 310.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 630.0; + } + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 610.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 350.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 590.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 370.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 570.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 390.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 550.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 410.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 530.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 510.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 740.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 220.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 700.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 660.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 310.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 630.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 610.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 350.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 590.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 370.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 390.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 550.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 410.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 530.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 510.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1_modelsim.xrf b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1_modelsim.xrf new file mode 100644 index 0000000..1339c5a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1_modelsim.xrf @@ -0,0 +1,36 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Lab1Pt1.v +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/db/Lab1Pt1.cbx.xml +design_name = Lab1Pt1 +instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, Lab1Pt1, 1 +instance = comp, \LEDR[0]~output , LEDR[0]~output, Lab1Pt1, 1 +instance = comp, \LEDR[1]~output , LEDR[1]~output, Lab1Pt1, 1 +instance = comp, \LEDR[2]~output , LEDR[2]~output, Lab1Pt1, 1 +instance = comp, \LEDR[3]~output , LEDR[3]~output, Lab1Pt1, 1 +instance = comp, \LEDR[4]~output , LEDR[4]~output, Lab1Pt1, 1 +instance = comp, \LEDR[5]~output , LEDR[5]~output, Lab1Pt1, 1 +instance = comp, \LEDR[6]~output , LEDR[6]~output, Lab1Pt1, 1 +instance = comp, \LEDR[7]~output , LEDR[7]~output, Lab1Pt1, 1 +instance = comp, \LEDR[8]~output , LEDR[8]~output, Lab1Pt1, 1 +instance = comp, \LEDR[9]~output , LEDR[9]~output, Lab1Pt1, 1 +instance = comp, \SW[0]~input , SW[0]~input, Lab1Pt1, 1 +instance = comp, \SW[1]~input , SW[1]~input, Lab1Pt1, 1 +instance = comp, \SW[2]~input , SW[2]~input, Lab1Pt1, 1 +instance = comp, \SW[3]~input , SW[3]~input, Lab1Pt1, 1 +instance = comp, \SW[4]~input , SW[4]~input, Lab1Pt1, 1 +instance = comp, \SW[5]~input , SW[5]~input, Lab1Pt1, 1 +instance = comp, \SW[6]~input , SW[6]~input, Lab1Pt1, 1 +instance = comp, \SW[7]~input , SW[7]~input, Lab1Pt1, 1 +instance = comp, \SW[8]~input , SW[8]~input, Lab1Pt1, 1 +instance = comp, \SW[9]~input , SW[9]~input, Lab1Pt1, 1 +instance = comp, \~QUARTUS_CREATED_UNVM~ , ~QUARTUS_CREATED_UNVM~, Lab1Pt1, 1 +instance = comp, \~QUARTUS_CREATED_ADC1~ , ~QUARTUS_CREATED_ADC1~, Lab1Pt1, 1 +instance = comp, \~QUARTUS_CREATED_ADC2~ , ~QUARTUS_CREATED_ADC2~, Lab1Pt1, 1 +design_name = hard_block +instance = comp, \~ALTERA_TMS~~ibuf , ~ALTERA_TMS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TCK~~ibuf , ~ALTERA_TCK~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TDI~~ibuf , ~ALTERA_TDI~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONFIG_SEL~~ibuf , ~ALTERA_CONFIG_SEL~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nCONFIG~~ibuf , ~ALTERA_nCONFIG~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nSTATUS~~ibuf , ~ALTERA_nSTATUS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONF_DONE~~ibuf , ~ALTERA_CONF_DONE~~ibuf, hard_block, 1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..c97f67a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,142 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "02/25/2021 20:02:10" + +// Verilog Test Bench (with test vectors) for design : Lab1Pt1 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module Lab1Pt1_vlg_vec_tst(); +// constants +// general purpose registers +reg [9:0] SW; +// wires +wire [9:0] LEDR; + +// assign statements (if any) +Lab1Pt1 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 9 ] +initial +begin + SW[9] = 1'b0; + SW[9] = #10000 1'b1; + SW[9] = #10000 1'b0; + SW[9] = #10000 1'b1; + SW[9] = #10000 1'b0; + SW[9] = #160000 1'b1; + SW[9] = #60000 1'b0; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b0; + SW[8] = #30000 1'b1; + SW[8] = #10000 1'b0; + SW[8] = #220000 1'b1; + SW[8] = #40000 1'b0; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'b0; + SW[7] = #30000 1'b1; + SW[7] = #10000 1'b0; + SW[7] = #260000 1'b1; + SW[7] = #40000 1'b0; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'b0; + SW[6] = #30000 1'b1; + SW[6] = #10000 1'b0; + SW[6] = #310000 1'b1; + SW[6] = #20000 1'b0; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'b0; + SW[5] = #30000 1'b1; + SW[5] = #10000 1'b0; + SW[5] = #20000 1'b1; + SW[5] = #70000 1'b0; + SW[5] = #240000 1'b1; + SW[5] = #20000 1'b0; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'b0; + SW[4] = #30000 1'b1; + SW[4] = #10000 1'b0; + SW[4] = #350000 1'b1; + SW[4] = #20000 1'b0; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'b0; + SW[3] = #30000 1'b1; + SW[3] = #10000 1'b0; + SW[3] = #370000 1'b1; + SW[3] = #20000 1'b0; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'b0; + SW[2] = #30000 1'b1; + SW[2] = #10000 1'b0; + SW[2] = #390000 1'b1; + SW[2] = #20000 1'b0; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; + SW[1] = #30000 1'b1; + SW[1] = #10000 1'b0; + SW[1] = #410000 1'b1; + SW[1] = #20000 1'b0; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; + SW[0] = #30000 1'b1; + SW[0] = #10000 1'b0; + SW[0] = #430000 1'b1; + SW[0] = #20000 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/transcript b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/transcript new file mode 100644 index 0000000..e0ae487 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/transcript @@ -0,0 +1,36 @@ +# do Lab1Pt1.do +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:02:13 on Feb 25,2021 +# vlog -work work Lab1Pt1.vo +# -- Compiling module Lab1Pt1 +# -- Compiling module hard_block +# +# Top level modules: +# Lab1Pt1 +# End time: 20:02:13 on Feb 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:02:13 on Feb 25,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module Lab1Pt1_vlg_vec_tst +# +# Top level modules: +# Lab1Pt1_vlg_vec_tst +# End time: 20:02:13 on Feb 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Pt1_vlg_vec_tst +# Start time: 20:02:14 on Feb 25,2021 +# Loading work.Lab1Pt1_vlg_vec_tst +# Loading work.Lab1Pt1 +# Loading work.hard_block +# ** Warning: (vsim-3017) Lab1Pt1.vo(406): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Pt1_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) Lab1Pt1.vo(406): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) Lab1Pt1.vo(429): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Pt1_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) Lab1Pt1.vo(429): [TFMPC] - Missing connection for port 'clk_dft'. +# after#24 +# ** Note: $finish : Waveform.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /Lab1Pt1_vlg_vec_tst +# End time: 20:02:14 on Feb 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/vwf_sim_transcript b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..9526fda --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,142 @@ +Determining the location of the ModelSim executable... + +Using: c:/intelfpga_lite/16.1/modelsim_ase/win32aloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Pt1 -c Lab1Pt1 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt" + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Feb 25 20:02:09 2021 +Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Pt1 -c Lab1Pt1 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Waveform.vwf.vt" +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. + +Completed successfully. + +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/" Lab1Pt1 -c Lab1Pt1 + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Feb 25 20:02:10 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/" Lab1Pt1 -c Lab1Pt1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file Lab1Pt1.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Feb 25 20:02:11 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + +Completed successfully. + +**** Generating the ModelSim .do script **** + +C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +c:/intelfpga_lite/16.1/modelsim_ase/win32aloem//vsim -c -do Lab1Pt1.do + +Reading C:/intelFPGA_lite/16.1/modelsim_ase/tcl/vsim/pref.tcl + + +# 10.5b + +# do Lab1Pt1.do + +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:02:13 on Feb 25,2021 +# vlog -work work Lab1Pt1.vo + +# -- Compiling module Lab1Pt1 +# -- Compiling module hard_block +# +# Top level modules: +# Lab1Pt1 +# End time: 20:02:13 on Feb 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:02:13 on Feb 25,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module Lab1Pt1_vlg_vec_tst +# +# Top level modules: +# Lab1Pt1_vlg_vec_tst +# End time: 20:02:13 on Feb 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Pt1_vlg_vec_tst +# Start time: 20:02:14 on Feb 25,2021 +# Loading work.Lab1Pt1_vlg_vec_tst +# Loading work.Lab1Pt1 +# Loading work.hard_block +# ** Warning: (vsim-3017) Lab1Pt1.vo(406): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Pt1_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) Lab1Pt1.vo(406): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) Lab1Pt1.vo(429): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Pt1_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) Lab1Pt1.vo(429): [TFMPC] - Missing connection for port 'clk_dft'. +# after#24 +# ** Note: $finish : Waveform.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /Lab1Pt1_vlg_vec_tst +# End time: 20:02:14 on Feb 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 + +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/Waveform.vwf... + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/Lab1Pt1_20210225200214.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_info b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_info new file mode 100644 index 0000000..a5492fd --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_info @@ -0,0 +1,75 @@ +m255 +K4 +z2 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 dC:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim +vhard_block +Z1 !s110 1614304933 +!i10b 1 +!s100 DcO78?h1mRCVlg_3oDgmk0 +IoZd:WG^U6F_U:64AXFcTmd653 +R2 +R0 +R3 +R4 +R5 +L0 32 +R6 +r1 +!s85 0 +31 +R7 +R8 +R9 +!i113 1 +R10 +R11 +n@lab1@pt1 +vLab1Pt1_vlg_vec_tst +R1 +!i10b 1 +!s100 7k9eW>3G`@cPjj;45<5M71 +I6K3e5S;j5kiP]F0?jlZ612 +R2 +R0 +w1614304930 +8Waveform.vwf.vt +FWaveform.vwf.vt +L0 30 +R6 +r1 +!s85 0 +31 +R7 +!s107 Waveform.vwf.vt| +!s90 -work|work|Waveform.vwf.vt| +!i113 1 +R10 +R11 +n@lab1@pt1_vlg_vec_tst diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib.qdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000..dcc515d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qdb b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000..81bc430 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qpg b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000..23b5a5b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qpg differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qtl b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000..d403149 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_lib1_0.qtl differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_vmake b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part1/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qpf b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qpf new file mode 100644 index 0000000..faebb31 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:45:00 March 11, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "18:45:00 March 11, 2021" + +# Revisions + +PROJECT_REVISION = "Lab1Part2" diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qsf b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qsf new file mode 100644 index 0000000..092b712 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qsf @@ -0,0 +1,81 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:45:00 March 11, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# Lab1Part2_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY Lab1Part2 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:45:00 MARCH 11, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name VERILOG_FILE Lab1Part2.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Lab1Part2.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE output_files/Waveform.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qsf.bak b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qsf.bak new file mode 100644 index 0000000..465cb18 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qsf.bak @@ -0,0 +1,58 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:45:00 March 11, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# Lab1Part2_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY Lab1Part2 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:45:00 MARCH 11, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name VERILOG_FILE Lab1Part2.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qws b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qws new file mode 100644 index 0000000..953e287 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.qws differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v new file mode 100644 index 0000000..9698bf0 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v @@ -0,0 +1,16 @@ +module Lab1Part2 (SW,LEDR); + input [9:0]SW; + output [9:0]LEDR; + wire sel; + wire [3:0]X,Y,M; + assign X=SW[3:0]; + assign Y=SW[7:4]; + assign sel=SW[9]; + assign M[0]=(~sel &X[0])|(sel &Y[0]); + assign M[1]=(~sel &X[1])|(sel &Y[1]); + assign M[2]=(~sel &X[2])|(sel &Y[2]); + assign M[3]=(~sel &X[3])|(sel &Y[3]); + assign LEDR[9]=sel; + assign LEDR[3:0]=M; + assign LEDR[8:4]=5`b0; + endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v.bak b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v.bak new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2_assignment_defaults.qdf b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2_assignment_defaults.qdf new file mode 100644 index 0000000..f46954a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2_assignment_defaults.qdf @@ -0,0 +1,808 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 14:11:10 April 22, 2021 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value ENABLE +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf b/EE203/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf new file mode 100644 index 0000000..116469d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf @@ -0,0 +1,724 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Part2 -c Lab1Part2 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Waveform.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Part2 -c Lab1Part2 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/" Lab1Part2 -c Lab1Part2 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/" Lab1Part2 -c Lab1Part2 +onerror {exit -code 1} +vlib work +vlog -work work Lab1Part2.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Part2_vlg_vec_tst +vcd file -direction Lab1Part2.msim.vcd +vcd add -internal Lab1Part2_vlg_vec_tst/* +vcd add -internal Lab1Part2_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work Lab1Part2.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Part2_vlg_vec_tst +vcd file -direction Lab1Part2.msim.vcd +vcd add -internal Lab1Part2_vlg_vec_tst/* +vcd add -internal Lab1Part2_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 560.0; + LEVEL 0 FOR 430.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 880.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 130.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 750.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 670.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 330.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 550.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 460.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 490.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 510.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 420.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 580.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 360.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 640.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 310.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 700.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 240.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.(0).cnf.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.(0).cnf.cdb new file mode 100644 index 0000000..3e531c9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.(0).cnf.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.(0).cnf.hdb new file mode 100644 index 0000000..7454303 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm.qmsg new file mode 100644 index 0000000..dd1802b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615514320419 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615514320419 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:58:40 2021 " "Processing started: Thu Mar 11 19:58:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615514320419 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1615514320419 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1615514320419 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1615514320719 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1615514322469 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1615514322609 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4684 " "Peak virtual memory: 4684 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615514323679 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:58:43 2021 " "Processing ended: Thu Mar 11 19:58:43 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615514323679 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615514323679 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615514323679 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1615514323679 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm.rdb new file mode 100644 index 0000000..440be6b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm_labs.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm_labs.ddb new file mode 100644 index 0000000..51ff11d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cbx.xml b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cbx.xml new file mode 100644 index 0000000..7b7e934 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.bpm b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.bpm new file mode 100644 index 0000000..1f8265a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.cdb new file mode 100644 index 0000000..95a499e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.hdb new file mode 100644 index 0000000..90c10f9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.idb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.idb new file mode 100644 index 0000000..c4c0956 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.idb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.logdb new file mode 100644 index 0000000..36e4fb9 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.logdb @@ -0,0 +1,62 @@ +v1 +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,20;0;20;0;0;20;20;0;20;20;0;10;0;0;10;0;10;10;0;0;0;10;0;0;0;0;0;20;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,0;20;0;20;20;0;0;20;0;0;20;10;20;20;10;20;10;10;20;20;20;10;20;20;20;20;20;0;20;20, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,SW[8],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[7],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[8],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[9],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[9],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.rdb new file mode 100644 index 0000000..1e2d8e0 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp_merge.kpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp_merge.kpt new file mode 100644 index 0000000..f9cbaa8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.db_info b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.db_info new file mode 100644 index 0000000..bfcf5d4 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Thu Apr 22 15:36:14 2021 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.eda.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.eda.qmsg new file mode 100644 index 0000000..4ee8542 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.eda.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615513952489 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2016 Intel Corporation. All rights reserved. " "Copyright (C) 2016 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and its AMPP partner logic " "and other software and tools, and its AMPP partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel MegaCore Function License Agreement, or other " "the Intel MegaCore Function License Agreement, or other " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "applicable license agreement, including, without limitation, " "applicable license agreement, including, without limitation, " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "that your use is for the sole purpose of programming logic " "that your use is for the sole purpose of programming logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "devices manufactured by Intel and sold by Intel or its " "devices manufactured by Intel and sold by Intel or its " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "authorized distributors. Please refer to the applicable " "authorized distributors. Please refer to the applicable " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement for further details. " "agreement for further details." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:52:32 2021 " "Processing started: Thu Mar 11 19:52:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615513952489 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1615513952489 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/\" Lab1Part2 -c Lab1Part2 " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/\" Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1615513952489 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615513952679 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "EDA Netlist Writer" 0 -1 1615513952679 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1615513952779 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "Lab1Part2.vo C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim// simulation " "Generated file Lab1Part2.vo in folder \"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1615513952829 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 26 s Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 26 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4641 " "Peak virtual memory: 4641 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615513952889 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:52:32 2021 " "Processing ended: Thu Mar 11 19:52:32 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615513952889 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615513952889 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615513952889 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1615513952889 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.fit.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.fit.qmsg new file mode 100644 index 0000000..962c875 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.fit.qmsg @@ -0,0 +1,50 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1615514313129 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1615514313129 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "Lab1Part2 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"Lab1Part2\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1615514313129 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615514313169 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615514313169 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1615514313389 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1615514313399 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615514313509 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1615514313509 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 57 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 59 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 61 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 63 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 65 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 67 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 69 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 71 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615514313509 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1615514313509 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615514313509 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615514313509 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615514313509 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615514313509 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1615514313509 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Lab1Part2.sdc " "Synopsys Design Constraints File file not found: 'Lab1Part2.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1615514313969 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1615514313969 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1615514313969 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1615514313979 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1615514313979 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1615514313979 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1615514313979 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1615514313979 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1615514313979 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1615514313979 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615514314009 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1615514314009 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1615514315139 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615514315199 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1615514315219 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1615514315459 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615514315459 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1615514315909 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1615514317249 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1615514317249 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1615514317359 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1615514317359 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1615514317359 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615514317359 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1615514317569 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615514317569 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615514317819 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615514317819 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615514318159 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615514318629 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1615514318909 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5904 " "Peak virtual memory: 5904 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615514319419 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:58:39 2021 " "Processing ended: Thu Mar 11 19:58:39 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615514319419 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615514319419 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615514319419 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1615514319419 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.hier_info b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.hier_info new file mode 100644 index 0000000..b8696d0 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.hier_info @@ -0,0 +1,31 @@ +|Lab1Part2 +SW[0] => M.IN0 +SW[1] => M.IN0 +SW[2] => M.IN0 +SW[3] => M.IN0 +SW[4] => M.IN0 +SW[5] => M.IN0 +SW[6] => M.IN0 +SW[7] => M.IN0 +SW[8] => ~NO_FANOUT~ +SW[9] => M.IN1 +SW[9] => M.IN1 +SW[9] => M.IN1 +SW[9] => M.IN1 +SW[9] => LEDR[9].DATAIN +SW[9] => M.IN1 +SW[9] => M.IN1 +SW[9] => M.IN1 +SW[9] => M.IN1 +LEDR[0] << M.DB_MAX_OUTPUT_PORT_TYPE +LEDR[1] << M.DB_MAX_OUTPUT_PORT_TYPE +LEDR[2] << M.DB_MAX_OUTPUT_PORT_TYPE +LEDR[3] << M.DB_MAX_OUTPUT_PORT_TYPE +LEDR[4] << +LEDR[5] << +LEDR[6] << +LEDR[7] << +LEDR[8] << +LEDR[9] << SW[9].DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.hif b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.hif new file mode 100644 index 0000000..9bf4e25 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.hif differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.html b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.html new file mode 100644 index 0000000..7d68592 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.rdb new file mode 100644 index 0000000..c179f4d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.txt b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.txt new file mode 100644 index 0000000..dbfe520 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.ammdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.ammdb new file mode 100644 index 0000000..a4afc79 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.bpm b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.bpm new file mode 100644 index 0000000..dae8356 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.cdb new file mode 100644 index 0000000..33ec2b4 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.hdb new file mode 100644 index 0000000..120d9e3 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.kpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.kpt new file mode 100644 index 0000000..5915896 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.qmsg new file mode 100644 index 0000000..4b7bcd2 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.qmsg @@ -0,0 +1,15 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615514302220 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615514302230 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:58:22 2021 " "Processing started: Thu Mar 11 19:58:22 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615514302230 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615514302230 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Lab1Part2 -c Lab1Part2 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615514302230 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1615514302580 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1615514302580 ""} +{ "Critical Warning" "WVRFX_VERI_UNDEFINED_MACRO" "b0 Lab1Part2.v(15) " "Verilog HDL Compiler Directive warning at Lab1Part2.v(15): text macro \"b0\" is undefined" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 15 0 0 } } } 1 10191 "Verilog HDL Compiler Directive warning at %2!s!: text macro \"%1!s!\" is undefined" 0 0 "Analysis & Synthesis" 0 -1 1615514310839 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lab1part2.v 1 1 " "Found 1 design units, including 1 entities, in source file lab1part2.v" { { "Info" "ISGN_ENTITY_NAME" "1 Lab1Part2 " "Found entity 1: Lab1Part2" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1615514310839 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615514310839 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Lab1Part2 " "Elaborating entity \"Lab1Part2\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1615514310879 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[4\] VCC " "Pin \"LEDR\[4\]\" is stuck at VCC" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615514311209 "|Lab1Part2|LEDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[5\] GND " "Pin \"LEDR\[5\]\" is stuck at GND" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615514311209 "|Lab1Part2|LEDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[6\] VCC " "Pin \"LEDR\[6\]\" is stuck at VCC" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615514311209 "|Lab1Part2|LEDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[7\] GND " "Pin \"LEDR\[7\]\" is stuck at GND" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615514311209 "|Lab1Part2|LEDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[8\] GND " "Pin \"LEDR\[8\]\" is stuck at GND" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615514311209 "|Lab1Part2|LEDR[8]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1615514311209 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1615514311269 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514311539 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1615514311539 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1615514311669 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1615514311669 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "1 " "Design contains 1 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[8\] " "No output dependent on input pin \"SW\[8\]\"" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 2 0 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1615514311719 "|Lab1Part2|SW[8]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1615514311719 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "24 " "Implemented 24 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1615514311719 ""} { "Info" "ICUT_CUT_TM_OPINS" "10 " "Implemented 10 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1615514311719 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1615514311719 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1615514311719 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 35 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 35 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4781 " "Peak virtual memory: 4781 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615514311769 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:58:31 2021 " "Processing ended: Thu Mar 11 19:58:31 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615514311769 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615514311769 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:23 " "Total CPU time (on all processors): 00:00:23" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615514311769 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1615514311769 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.rdb new file mode 100644 index 0000000..a6d77e3 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.cdb new file mode 100644 index 0000000..9bc4d2c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.hdb new file mode 100644 index 0000000..0d8113d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.pre_map.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.pre_map.hdb new file mode 100644 index 0000000..c560bd0 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.quiproj.12507.rdr.flock b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.quiproj.12507.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.quiproj.24936.rdr.flock b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.quiproj.24936.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..f55cd15 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.routing.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.routing.rdb new file mode 100644 index 0000000..6868a0d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.routing.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv.hdb new file mode 100644 index 0000000..6dd1eb7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv_sg.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv_sg.cdb new file mode 100644 index 0000000..110439c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv_sg_swap.cdb new file mode 100644 index 0000000..0be030c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sld_design_entry.sci b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sld_design_entry_dsc.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.smart_action.txt b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.smart_action.txt new file mode 100644 index 0000000..437a63e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta.qmsg new file mode 100644 index 0000000..d4774bf --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta.qmsg @@ -0,0 +1,51 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615514324969 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615514324979 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:58:44 2021 " "Processing started: Thu Mar 11 19:58:44 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615514324979 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514324979 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta Lab1Part2 -c Lab1Part2 " "Command: quartus_sta Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514324979 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1615514325079 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615514325109 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325109 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325249 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325249 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325279 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325279 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Lab1Part2.sdc " "Synopsys Design Constraints File file not found: 'Lab1Part2.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325549 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325549 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325549 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325549 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325549 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325549 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1615514325549 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325559 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615514325559 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325559 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1615514325559 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325569 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325569 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325569 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325579 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325589 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615514325599 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325619 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514325989 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326059 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326059 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326059 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326059 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326059 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326069 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326069 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326069 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326079 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326079 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615514326079 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326269 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326269 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326269 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326269 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326269 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326269 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326269 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326279 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514326279 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514327099 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514327099 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 30 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 30 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4865 " "Peak virtual memory: 4865 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615514327149 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:58:47 2021 " "Processing ended: Thu Mar 11 19:58:47 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615514327149 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615514327149 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615514327149 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615514327149 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta.rdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta.rdb new file mode 100644 index 0000000..d785843 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta_cmp.7_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta_cmp.7_slow_1200mv_85c.tdb new file mode 100644 index 0000000..04b3424 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.sta_cmp.7_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tis_db_list.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tis_db_list.ddb new file mode 100644 index 0000000..eda1a82 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..72f85c3 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fastest_slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fastest_slow_1200mv_0c.ddb new file mode 100644 index 0000000..775afa7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fastest_slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fastest_slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fastest_slow_1200mv_85c.ddb new file mode 100644 index 0000000..9b766bb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.fastest_slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..6e07aab Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..9d8b767 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tmw_info b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tmw_info new file mode 100644 index 0000000..93bfb4b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:26 +start_analysis_synthesis:s:00:00:11-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:08-start_full_compilation +start_assembler:s:00:00:04-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.vpr.ammdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.vpr.ammdb new file mode 100644 index 0000000..364ba7f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..d86640f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ii_0c_slow.hsd b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ii_0c_slow.hsd new file mode 100644 index 0000000..d223770 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ii_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ii_85c_slow.hsd b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ii_85c_slow.hsd new file mode 100644 index 0000000..859bd44 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.zippleback_io_sim_cache.ii_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2_partition_pins.json b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2_partition_pins.json new file mode 100644 index 0000000..4a2d90f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2_partition_pins.json @@ -0,0 +1,65 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "LEDR[0]", + "strict" : false + }, + { + "name" : "LEDR[1]", + "strict" : false + }, + { + "name" : "LEDR[2]", + "strict" : false + }, + { + "name" : "LEDR[3]", + "strict" : false + }, + { + "name" : "LEDR[9]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[9]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/db/prev_cmp_Lab1Part2.qmsg b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/prev_cmp_Lab1Part2.qmsg new file mode 100644 index 0000000..53ba7f1 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/db/prev_cmp_Lab1Part2.qmsg @@ -0,0 +1,131 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615512692606 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615512692616 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:31:32 2021 " "Processing started: Thu Mar 11 19:31:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615512692616 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615512692616 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Lab1Part2 -c Lab1Part2 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615512692616 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1615512692976 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1615512692976 ""} +{ "Critical Warning" "WVRFX_VERI_UNDEFINED_MACRO" "b0 Lab1Part2.v(15) " "Verilog HDL Compiler Directive warning at Lab1Part2.v(15): text macro \"b0\" is undefined" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 15 0 0 } } } 1 10191 "Verilog HDL Compiler Directive warning at %2!s!: text macro \"%1!s!\" is undefined" 0 0 "Analysis & Synthesis" 0 -1 1615512701103 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lab1part2.v 1 1 " "Found 1 design units, including 1 entities, in source file lab1part2.v" { { "Info" "ISGN_ENTITY_NAME" "1 Lab1Part2 " "Found entity 1: Lab1Part2" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1615512701103 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615512701103 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Lab1Part2 " "Elaborating entity \"Lab1Part2\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1615512701133 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[4\] VCC " "Pin \"LEDR\[4\]\" is stuck at VCC" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615512701443 "|Lab1Part2|LEDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[5\] GND " "Pin \"LEDR\[5\]\" is stuck at GND" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615512701443 "|Lab1Part2|LEDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[6\] VCC " "Pin \"LEDR\[6\]\" is stuck at VCC" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615512701443 "|Lab1Part2|LEDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[7\] GND " "Pin \"LEDR\[7\]\" is stuck at GND" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615512701443 "|Lab1Part2|LEDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[8\] GND " "Pin \"LEDR\[8\]\" is stuck at GND" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615512701443 "|Lab1Part2|LEDR[8]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1615512701443 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1615512701503 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512701773 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1615512701773 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1615512701913 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1615512701913 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "1 " "Design contains 1 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[8\] " "No output dependent on input pin \"SW\[8\]\"" { } { { "Lab1Part2.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v" 2 0 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1615512701963 "|Lab1Part2|SW[8]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1615512701963 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "24 " "Implemented 24 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1615512701963 ""} { "Info" "ICUT_CUT_TM_OPINS" "10 " "Implemented 10 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1615512701963 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1615512701963 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1615512701963 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 35 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 35 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4780 " "Peak virtual memory: 4780 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615512702003 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:31:42 2021 " "Processing ended: Thu Mar 11 19:31:42 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615512702003 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615512702003 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615512702003 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1615512702003 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1615512703173 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615512703183 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:31:42 2021 " "Processing started: Thu Mar 11 19:31:42 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615512703183 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1615512703183 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1615512703183 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1615512703283 ""} +{ "Info" "0" "" "Project = Lab1Part2" { } { } 0 0 "Project = Lab1Part2" 0 0 "Fitter" 0 0 1615512703283 ""} +{ "Info" "0" "" "Revision = Lab1Part2" { } { } 0 0 "Revision = Lab1Part2" 0 0 "Fitter" 0 0 1615512703283 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1615512703373 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1615512703373 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "Lab1Part2 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"Lab1Part2\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1615512703373 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615512703413 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615512703413 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1615512703643 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1615512703643 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615512703753 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1615512703753 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 57 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 59 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 61 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 63 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 65 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 67 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 69 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 0 { 0 ""} 0 71 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615512703753 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1615512703753 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615512703753 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615512703753 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615512703753 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615512703753 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1615512703753 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Lab1Part2.sdc " "Synopsys Design Constraints File file not found: 'Lab1Part2.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1615512704213 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615512704213 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615512704213 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615512704213 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615512704213 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1615512704223 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1615512704223 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1615512704223 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1615512704223 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1615512704223 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1615512704223 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615512704243 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1615512704253 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1615512705373 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615512705423 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1615512705453 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1615512705683 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615512705683 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1615512706133 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1615512707473 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1615512707473 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1615512707573 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1615512707573 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1615512707573 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615512707573 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1615512707783 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615512707793 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615512708033 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615512708033 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615512708373 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615512708843 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1615512709123 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5905 " "Peak virtual memory: 5905 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615512709623 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:31:49 2021 " "Processing ended: Thu Mar 11 19:31:49 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615512709623 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615512709623 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615512709623 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1615512709623 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1615512710623 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615512710633 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:31:50 2021 " "Processing started: Thu Mar 11 19:31:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615512710633 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1615512710633 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1615512710633 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1615512710913 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1615512712673 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1615512712803 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4684 " "Peak virtual memory: 4684 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615512713873 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:31:53 2021 " "Processing ended: Thu Mar 11 19:31:53 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615512713873 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615512713873 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615512713873 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1615512713873 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1615512714613 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1615512715173 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615512715183 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 19:31:54 2021 " "Processing started: Thu Mar 11 19:31:54 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615512715183 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715183 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta Lab1Part2 -c Lab1Part2 " "Command: quartus_sta Lab1Part2 -c Lab1Part2" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715183 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1615512715283 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1615512715313 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715313 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715443 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715443 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715483 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715483 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Lab1Part2.sdc " "Synopsys Design Constraints File file not found: 'Lab1Part2.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715763 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715763 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715763 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715763 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715763 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715763 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1615512715763 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715773 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615512715773 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715773 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1615512715773 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715783 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715783 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715793 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715793 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715793 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615512715803 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512715823 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716193 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716263 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716263 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716263 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716263 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716263 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716263 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716263 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716273 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716273 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716273 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615512716273 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716463 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716463 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716463 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716463 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716463 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716463 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716473 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716473 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512716473 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512717283 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512717283 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 30 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 30 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4865 " "Peak virtual memory: 4865 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615512717343 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 19:31:57 2021 " "Processing ended: Thu Mar 11 19:31:57 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615512717343 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615512717343 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615512717343 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512717343 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 71 s " "Quartus Prime Full Compilation was successful. 0 errors, 71 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615512717983 ""} diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/README b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.db_info b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.db_info new file mode 100644 index 0000000..711f5cb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Thu Apr 22 14:11:10 2021 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.ammdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.ammdb new file mode 100644 index 0000000..d1bec11 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.cdb new file mode 100644 index 0000000..697e8db Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.dfp b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.hdb new file mode 100644 index 0000000..0fd4ab9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.logdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.rcfdb new file mode 100644 index 0000000..ab9c317 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.cdb new file mode 100644 index 0000000..09c22fc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.dpi b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.dpi new file mode 100644 index 0000000..f22bd75 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..6f9deab Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..b4c5546 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hdb new file mode 100644 index 0000000..a4c7d4a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.kpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.kpt new file mode 100644 index 0000000..7930ac1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.rrp.hdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.rrp.hdb new file mode 100644 index 0000000..66755a2 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/incremental_db/compiled_partitions/Lab1Part2.rrp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.asm.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.asm.rpt new file mode 100644 index 0000000..99d4429 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.asm.rpt @@ -0,0 +1,93 @@ +Assembler report for Lab1Part2 +Thu Mar 11 19:58:43 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Mar 11 19:58:43 2021 ; +; Revision Name ; Lab1Part2 ; +; Top-level Entity Name ; Lab1Part2 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++---------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++---------------------------------------------------------------------------------+ +; File Name ; ++---------------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sof ; ++---------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sof ; ++----------------+------------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+------------------------------------------------------------------------------------------+ +; Device ; 10M50DAF484C7G ; +; JTAG usercode ; 0x00270F49 ; +; Checksum ; 0x00270F49 ; ++----------------+------------------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Mar 11 19:58:40 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4684 megabytes + Info: Processing ended: Thu Mar 11 19:58:43 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.done b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.done new file mode 100644 index 0000000..4ff9e18 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.done @@ -0,0 +1 @@ +Thu Mar 11 19:58:47 2021 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.eda.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.eda.rpt new file mode 100644 index 0000000..1e985bb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.eda.rpt @@ -0,0 +1,133 @@ +EDA Netlist Writer report for Lab1Part2 +Thu Mar 11 19:52:32 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Mar 11 19:52:32 2021 ; +; Revision Name ; Lab1Part2 ; +; Top-level Entity Name ; Lab1Part2 ; +; Family ; MAX 10 ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++------------------------------------------------------------------------------------+ +; Generated Files ; ++------------------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim//Lab1Part2.vo ; ++------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Mar 11 19:52:32 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/" Lab1Part2 -c Lab1Part2 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file Lab1Part2.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 26 warnings + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Mar 11 19:52:32 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.rpt new file mode 100644 index 0000000..dd5e736 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.rpt @@ -0,0 +1,1262 @@ +Fitter report for Lab1Part2 +Thu Mar 11 19:58:38 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Thu Mar 11 19:58:38 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Lab1Part2 ; +; Top-level Entity Name ; Lab1Part2 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 5 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 20 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C7G ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.2% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; +; -- Achieved ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 48 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 5 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 4 ; +; -- <=2 input functions ; 1 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 5 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 4 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 20 / 360 ( 6 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.2% / 0.2% / 0.3% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 48 ; +; Average fan-out ; 0.75 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 5 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 5 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 4 ; 0 ; +; -- <=2 input functions ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 5 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 4 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 20 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 54 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 10 ; 0 ; +; -- Output Ports ; 10 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[2] ; D12 ; 7 ; 51 ; 54 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[3] ; C12 ; 7 ; 54 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[4] ; A12 ; 7 ; 54 ; 54 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[5] ; B12 ; 7 ; 49 ; 54 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[6] ; A13 ; 7 ; 54 ; 54 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[7] ; A14 ; 7 ; 58 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[8] ; B14 ; 7 ; 56 ; 54 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[9] ; F15 ; 7 ; 69 ; 54 ; 0 ; 5 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; LEDR[0] ; A8 ; 7 ; 46 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[1] ; A9 ; 7 ; 46 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[2] ; A10 ; 7 ; 51 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[3] ; B10 ; 7 ; 46 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[4] ; D13 ; 7 ; 56 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[5] ; C13 ; 7 ; 58 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[6] ; E14 ; 7 ; 66 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[7] ; D14 ; 7 ; 56 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[8] ; A11 ; 7 ; 51 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[9] ; B11 ; 7 ; 49 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 0 / 60 ( 0 % ) ; 2.5V ; -- ; +; 7 ; 20 / 52 ( 38 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; LEDR[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A9 ; 449 ; 7 ; LEDR[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A10 ; 439 ; 7 ; LEDR[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A11 ; 437 ; 7 ; LEDR[8] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A12 ; 435 ; 7 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A13 ; 433 ; 7 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A14 ; 425 ; 7 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; LEDR[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B11 ; 443 ; 7 ; LEDR[9] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B12 ; 441 ; 7 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C13 ; 426 ; 7 ; LEDR[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C14 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 391 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D13 ; 431 ; 7 ; LEDR[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D14 ; 428 ; 7 ; LEDR[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; LEDR[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E15 ; 390 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; 388 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; SW[9] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; SW[8] ; Incomplete set of assignments ; +; LEDR[0] ; Incomplete set of assignments ; +; LEDR[1] ; Incomplete set of assignments ; +; LEDR[2] ; Incomplete set of assignments ; +; LEDR[3] ; Incomplete set of assignments ; +; LEDR[4] ; Incomplete set of assignments ; +; LEDR[5] ; Incomplete set of assignments ; +; LEDR[6] ; Incomplete set of assignments ; +; LEDR[7] ; Incomplete set of assignments ; +; LEDR[8] ; Incomplete set of assignments ; +; LEDR[9] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[9] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |Lab1Part2 ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 20 ; 0 ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; |Lab1Part2 ; Lab1Part2 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; SW[8] ; Input ; -- ; -- ; -- ; -- ; -- ; +; LEDR[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[8] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[9] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[9] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[6] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[2] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[7] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[3] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++-----------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------+-------------------+---------+ +; SW[8] ; ; ; +; SW[4] ; ; ; +; - M~0 ; 0 ; 6 ; +; SW[0] ; ; ; +; - M~0 ; 0 ; 6 ; +; SW[9] ; ; ; +; - M~0 ; 0 ; 6 ; +; - M~1 ; 0 ; 6 ; +; - M~2 ; 0 ; 6 ; +; - M~3 ; 0 ; 6 ; +; - LEDR[9]~output ; 0 ; 6 ; +; SW[5] ; ; ; +; - M~1 ; 0 ; 6 ; +; SW[1] ; ; ; +; - M~1 ; 0 ; 6 ; +; SW[6] ; ; ; +; - M~2 ; 0 ; 6 ; +; SW[2] ; ; ; +; - M~2 ; 0 ; 6 ; +; SW[7] ; ; ; +; - M~3 ; 1 ; 6 ; +; SW[3] ; ; ; +; - M~3 ; 0 ; 6 ; ++-----------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 20 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 1 / 5,382 ( < 1 % ) ; +; C4 interconnects ; 19 / 106,704 ( < 1 % ) ; +; Direct links ; 1 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 1 / 5,406 ( < 1 % ) ; +; R4 interconnects ; 7 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 1.25) ; Number of LABs (Total = 4) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 3 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 1.25) ; Number of LABs (Total = 4) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 1.25) ; Number of LABs (Total = 4) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 2.75) ; Number of LABs (Total = 4) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 2 ; +; 4 ; 0 ; +; 5 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 20 ; 0 ; 20 ; 0 ; 0 ; 20 ; 20 ; 0 ; 20 ; 20 ; 0 ; 10 ; 0 ; 0 ; 10 ; 0 ; 10 ; 10 ; 0 ; 0 ; 0 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 0 ; 20 ; 0 ; 20 ; 20 ; 0 ; 0 ; 20 ; 0 ; 0 ; 20 ; 10 ; 20 ; 20 ; 10 ; 20 ; 10 ; 10 ; 20 ; 20 ; 20 ; 10 ; 20 ; 20 ; 20 ; 20 ; 20 ; 0 ; 20 ; 20 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; SW[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (119006): Selected device 10M50DAF484C7G for design "Lab1Part2" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device 10M08DAF484I7G is compatible + Info (176445): Device 10M16DAF484C7G is compatible + Info (176445): Device 10M16DAF484I7G is compatible + Info (176445): Device 10M25DAF484C7G is compatible + Info (176445): Device 10M25DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7P is compatible + Info (176445): Device 10M40DAF484C7G is compatible + Info (176445): Device 10M40DAF484I7G is compatible +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'Lab1Part2.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (144001): Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 5904 megabytes + Info: Processing ended: Thu Mar 11 19:58:39 2021 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:10 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg. + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg new file mode 100644 index 0000000..558ea95 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.summary b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.summary new file mode 100644 index 0000000..2cbb5fd --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Thu Mar 11 19:58:38 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : Lab1Part2 +Top-level Entity Name : Lab1Part2 +Family : MAX 10 +Device : 10M50DAF484C7G +Timing Models : Final +Total logic elements : 5 / 49,760 ( < 1 % ) + Total combinational functions : 5 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 20 / 360 ( 6 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.flow.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.flow.rpt new file mode 100644 index 0000000..801ad1a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.flow.rpt @@ -0,0 +1,128 @@ +Flow report for Lab1Part2 +Thu Mar 11 19:58:47 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Thu Mar 11 19:58:43 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Lab1Part2 ; +; Top-level Entity Name ; Lab1Part2 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 5 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 5 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 20 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/11/2021 19:58:22 ; +; Main task ; Compilation ; +; Revision Name ; Lab1Part2 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 189559947077153.161551430207988 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 4781 MB ; 00:00:23 ; +; Fitter ; 00:00:06 ; 1.0 ; 5904 MB ; 00:00:09 ; +; Assembler ; 00:00:03 ; 1.0 ; 4684 MB ; 00:00:03 ; +; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 4865 MB ; 00:00:02 ; +; Total ; 00:00:21 ; -- ; -- ; 00:00:37 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; TimeQuest Timing Analyzer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; ++---------------------------+------------------+------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off Lab1Part2 -c Lab1Part2 +quartus_fit --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2 +quartus_asm --read_settings_files=off --write_settings_files=off Lab1Part2 -c Lab1Part2 +quartus_sta Lab1Part2 -c Lab1Part2 + + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.jdi b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.jdi new file mode 100644 index 0000000..6ae5de1 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.map.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.map.rpt new file mode 100644 index 0000000..c27c81f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.map.rpt @@ -0,0 +1,318 @@ +Analysis & Synthesis report for Lab1Part2 +Thu Mar 11 19:58:31 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Mar 11 19:58:31 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Lab1Part2 ; +; Top-level Entity Name ; Lab1Part2 ; +; Family ; MAX 10 ; +; Total logic elements ; 4 ; +; Total combinational functions ; 4 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 20 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C7G ; ; +; Top-level entity name ; Lab1Part2 ; Lab1Part2 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; OpenCore Plus hardware evaluation ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+------------------------------------------------------------------+---------+ +; Lab1Part2.v ; yes ; User Verilog HDL File ; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v ; ; ++----------------------------------+-----------------+------------------------+------------------------------------------------------------------+---------+ + + ++-----------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------+ +; Estimated Total logic elements ; 4 ; +; ; ; +; Total combinational functions ; 4 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 4 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 4 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 20 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; SW[9]~input ; +; Maximum fan-out ; 5 ; +; Total fan-out ; 37 ; +; Average fan-out ; 0.84 ; ++---------------------------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |Lab1Part2 ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; |Lab1Part2 ; Lab1Part2 ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 20 ; +; cycloneiii_lcell_comb ; 6 ; +; normal ; 6 ; +; 0 data inputs ; 2 ; +; 3 data inputs ; 4 ; +; ; ; +; Max LUT depth ; 1.00 ; +; Average LUT depth ; 0.87 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Mar 11 19:58:22 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Lab1Part2 -c Lab1Part2 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Critical Warning (10191): Verilog HDL Compiler Directive warning at Lab1Part2.v(15): text macro "b0" is undefined File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 15 +Info (12021): Found 1 design units, including 1 entities, in source file lab1part2.v + Info (12023): Found entity 1: Lab1Part2 File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 1 +Info (12127): Elaborating entity "Lab1Part2" for the top level hierarchy +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "LEDR[4]" is stuck at VCC File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 3 + Warning (13410): Pin "LEDR[5]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 3 + Warning (13410): Pin "LEDR[6]" is stuck at VCC File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 3 + Warning (13410): Pin "LEDR[7]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 3 + Warning (13410): Pin "LEDR[8]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 3 +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Warning (21074): Design contains 1 input pin(s) that do not drive logic + Warning (15610): No output dependent on input pin "SW[8]" File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v Line: 2 +Info (21057): Implemented 24 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 10 input pins + Info (21059): Implemented 10 output pins + Info (21061): Implemented 4 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 35 warnings + Info: Peak virtual memory: 4781 megabytes + Info: Processing ended: Thu Mar 11 19:58:31 2021 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:23 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.map.summary b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.map.summary new file mode 100644 index 0000000..bf3c329 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Thu Mar 11 19:58:31 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : Lab1Part2 +Top-level Entity Name : Lab1Part2 +Family : MAX 10 +Total logic elements : 4 + Total combinational functions : 4 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 20 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.pin b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.pin new file mode 100644 index 0000000..5b38c2f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2016 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel MegaCore Function License Agreement, or other + -- applicable license agreement, including, without limitation, + -- that your use is for the sole purpose of programming logic + -- devices manufactured by Intel and sold by Intel or its + -- authorized distributors. Please refer to the applicable + -- agreement for further details. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +CHIP "Lab1Part2" ASSIGNED TO AN: 10M50DAF484C7G + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +LEDR[0] : A8 : output : 2.5 V : : 7 : Y +LEDR[1] : A9 : output : 2.5 V : : 7 : Y +LEDR[2] : A10 : output : 2.5 V : : 7 : Y +LEDR[8] : A11 : output : 2.5 V : : 7 : Y +SW[4] : A12 : input : 2.5 V : : 7 : Y +SW[6] : A13 : input : 2.5 V : : 7 : Y +SW[7] : A14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +LEDR[3] : B10 : output : 2.5 V : : 7 : Y +LEDR[9] : B11 : output : 2.5 V : : 7 : Y +SW[5] : B12 : input : 2.5 V : : 7 : Y +GND : B13 : gnd : : : : +SW[8] : B14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +SW[3] : C12 : input : 2.5 V : : 7 : Y +LEDR[5] : C13 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[2] : D12 : input : 2.5 V : : 7 : Y +LEDR[4] : D13 : output : 2.5 V : : 7 : Y +LEDR[7] : D14 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +LEDR[6] : E14 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +SW[9] : F15 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.pof b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.pof new file mode 100644 index 0000000..73d7d3a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.pof differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sld b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sld new file mode 100644 index 0000000..41a6030 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sof b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sof new file mode 100644 index 0000000..f2d8f02 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sof differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sta.rpt b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sta.rpt new file mode 100644 index 0000000..4d8d27c --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sta.rpt @@ -0,0 +1,516 @@ +TimeQuest Timing Analyzer report for Lab1Part2 +Thu Mar 11 19:58:47 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Timing Analyzer ; TimeQuest ; +; Revision Name ; Lab1Part2 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.03 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.4% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; LEDR[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[9] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 9 ; 9 ; +; Unconstrained Input Port Paths ; 13 ; 13 ; +; Unconstrained Output Ports ; 5 ; 5 ; +; Unconstrained Output Port Paths ; 13 ; 13 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime TimeQuest Timing Analyzer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Mar 11 19:58:44 2021 +Info: Command: quartus_sta Lab1Part2 -c Lab1Part2 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'Lab1Part2.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 30 warnings + Info: Peak virtual memory: 4865 megabytes + Info: Processing ended: Thu Mar 11 19:58:47 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sta.summary b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sta.summary new file mode 100644 index 0000000..6640100 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/output_files/Lab1Part2.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.do b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.do new file mode 100644 index 0000000..afb7a31 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vlog -work work Lab1Part2.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Part2_vlg_vec_tst +vcd file -direction Lab1Part2.msim.vcd +vcd add -internal Lab1Part2_vlg_vec_tst/* +vcd add -internal Lab1Part2_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.msim.vcd b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.msim.vcd new file mode 100644 index 0000000..e207964 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.msim.vcd @@ -0,0 +1,222 @@ +$comment + File created using the following command: + vcd file Lab1Part2.msim.vcd -direction +$end +$date + Thu Mar 11 19:52:33 2021 +$end +$version + ModelSim Version 10.5b +$end +$timescale + 1ps +$end + +$scope module Lab1Part2_vlg_vec_tst $end +$var reg 10 ! SW [9:0] $end +$var wire 1 " LEDR [9] $end +$var wire 1 # LEDR [8] $end +$var wire 1 $ LEDR [7] $end +$var wire 1 % LEDR [6] $end +$var wire 1 & LEDR [5] $end +$var wire 1 ' LEDR [4] $end +$var wire 1 ( LEDR [3] $end +$var wire 1 ) LEDR [2] $end +$var wire 1 * LEDR [1] $end +$var wire 1 + LEDR [0] $end + +$scope module i1 $end +$var wire 1 , gnd $end +$var wire 1 - vcc $end +$var wire 1 . unknown $end +$var tri1 1 / devclrn $end +$var tri1 1 0 devpor $end +$var tri1 1 1 devoe $end +$var wire 1 2 SW[8]~input_o $end +$var wire 1 3 ~QUARTUS_CREATED_GND~I_combout $end +$var wire 1 4 ~QUARTUS_CREATED_UNVM~~busy $end +$var wire 1 5 ~QUARTUS_CREATED_ADC1~~eoc $end +$var wire 1 6 ~QUARTUS_CREATED_ADC2~~eoc $end +$var wire 1 7 LEDR[0]~output_o $end +$var wire 1 8 LEDR[1]~output_o $end +$var wire 1 9 LEDR[2]~output_o $end +$var wire 1 : LEDR[3]~output_o $end +$var wire 1 ; LEDR[4]~output_o $end +$var wire 1 < LEDR[5]~output_o $end +$var wire 1 = LEDR[6]~output_o $end +$var wire 1 > LEDR[7]~output_o $end +$var wire 1 ? LEDR[8]~output_o $end +$var wire 1 @ LEDR[9]~output_o $end +$var wire 1 A SW[4]~input_o $end +$var wire 1 B SW[0]~input_o $end +$var wire 1 C SW[9]~input_o $end +$var wire 1 D M~0_combout $end +$var wire 1 E SW[5]~input_o $end +$var wire 1 F SW[1]~input_o $end +$var wire 1 G M~1_combout $end +$var wire 1 H SW[6]~input_o $end +$var wire 1 I SW[2]~input_o $end +$var wire 1 J M~2_combout $end +$var wire 1 K SW[3]~input_o $end +$var wire 1 L SW[7]~input_o $end +$var wire 1 M M~3_combout $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +b0 ! +0+ +0* +0) +0( +1' +0& +1% +0$ +0# +0" +0, +1- +x. +1/ +10 +11 +02 +03 +z4 +z5 +z6 +07 +08 +09 +0: +1; +0< +1= +0> +0? +0@ +0A +0B +0C +0D +0E +0F +0G +0H +0I +0J +0K +0L +0M +$end +#10000 +b1000000000 ! +1C +1@ +1" +#30000 +b1100000000 ! +12 +#120000 +b1000000000 ! +02 +#130000 +b1010000000 ! +1L +1M +1: +1( +#250000 +b1000000000 ! +0L +0M +0: +0( +#260000 +b1001000000 ! +1H +1J +19 +1) +#330000 +b1001100000 ! +b1000100000 ! +0H +1E +0J +1G +18 +09 +0) +1* +#450000 +b1000000000 ! +0E +0G +08 +0* +#460000 +b1000010000 ! +1A +1D +17 +1+ +#510000 +b1000011000 ! +b1000001000 ! +0A +1K +0D +07 +0+ +#570000 +b1000 ! +0C +0@ +0" +1M +1: +1( +#580000 +b1100 ! +b100 ! +0K +1I +0M +1J +19 +0: +0( +1) +#640000 +b110 ! +b10 ! +0I +1F +0J +1G +18 +09 +0) +1* +#690000 +b0 ! +0F +0G +08 +0* +#700000 +b1 ! +1B +1D +17 +1+ +#760000 +b0 ! +0B +0D +07 +0+ +#1000000 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.sft b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.sft new file mode 100644 index 0000000..f324fea --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.vo b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.vo new file mode 100644 index 0000000..6f82d05 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.vo @@ -0,0 +1,589 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" + +// DATE "03/11/2021 19:52:32" + +// +// Device: Altera 10M50DAF484C7G Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module Lab1Part2 ( + SW, + LEDR); +input [9:0] SW; +output [9:0] LEDR; + +// Design Ports Information +// SW[8] => Location: PIN_B14, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[0] => Location: PIN_A8, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[1] => Location: PIN_A9, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[2] => Location: PIN_A10, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[3] => Location: PIN_B10, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[4] => Location: PIN_D13, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[5] => Location: PIN_C13, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[6] => Location: PIN_E14, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[7] => Location: PIN_D14, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[8] => Location: PIN_A11, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[9] => Location: PIN_B11, I/O Standard: 2.5 V, Current Strength: Default +// SW[4] => Location: PIN_A12, I/O Standard: 2.5 V, Current Strength: Default +// SW[0] => Location: PIN_C10, I/O Standard: 2.5 V, Current Strength: Default +// SW[9] => Location: PIN_F15, I/O Standard: 2.5 V, Current Strength: Default +// SW[5] => Location: PIN_B12, I/O Standard: 2.5 V, Current Strength: Default +// SW[1] => Location: PIN_C11, I/O Standard: 2.5 V, Current Strength: Default +// SW[6] => Location: PIN_A13, I/O Standard: 2.5 V, Current Strength: Default +// SW[2] => Location: PIN_D12, I/O Standard: 2.5 V, Current Strength: Default +// SW[7] => Location: PIN_A14, I/O Standard: 2.5 V, Current Strength: Default +// SW[3] => Location: PIN_C12, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \SW[8]~input_o ; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \~QUARTUS_CREATED_UNVM~~busy ; +wire \~QUARTUS_CREATED_ADC1~~eoc ; +wire \~QUARTUS_CREATED_ADC2~~eoc ; +wire \LEDR[0]~output_o ; +wire \LEDR[1]~output_o ; +wire \LEDR[2]~output_o ; +wire \LEDR[3]~output_o ; +wire \LEDR[4]~output_o ; +wire \LEDR[5]~output_o ; +wire \LEDR[6]~output_o ; +wire \LEDR[7]~output_o ; +wire \LEDR[8]~output_o ; +wire \LEDR[9]~output_o ; +wire \SW[4]~input_o ; +wire \SW[0]~input_o ; +wire \SW[9]~input_o ; +wire \M~0_combout ; +wire \SW[5]~input_o ; +wire \SW[1]~input_o ; +wire \M~1_combout ; +wire \SW[6]~input_o ; +wire \SW[2]~input_o ; +wire \M~2_combout ; +wire \SW[3]~input_o ; +wire \SW[7]~input_o ; +wire \M~3_combout ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: LCCOMB_X44_Y41_N8 +fiftyfivenm_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .cout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 16'h0000; +defparam \~QUARTUS_CREATED_GND~I .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X46_Y54_N2 +fiftyfivenm_io_obuf \LEDR[0]~output ( + .i(\M~0_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[0]~output .bus_hold = "false"; +defparam \LEDR[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X46_Y54_N23 +fiftyfivenm_io_obuf \LEDR[1]~output ( + .i(\M~1_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[1]~output .bus_hold = "false"; +defparam \LEDR[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X51_Y54_N16 +fiftyfivenm_io_obuf \LEDR[2]~output ( + .i(\M~2_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[2]~output .bus_hold = "false"; +defparam \LEDR[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X46_Y54_N9 +fiftyfivenm_io_obuf \LEDR[3]~output ( + .i(\M~3_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[3]~output .bus_hold = "false"; +defparam \LEDR[3]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X56_Y54_N30 +fiftyfivenm_io_obuf \LEDR[4]~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[4]~output .bus_hold = "false"; +defparam \LEDR[4]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X58_Y54_N23 +fiftyfivenm_io_obuf \LEDR[5]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[5]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[5]~output .bus_hold = "false"; +defparam \LEDR[5]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X66_Y54_N23 +fiftyfivenm_io_obuf \LEDR[6]~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[6]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[6]~output .bus_hold = "false"; +defparam \LEDR[6]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X56_Y54_N9 +fiftyfivenm_io_obuf \LEDR[7]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[7]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[7]~output .bus_hold = "false"; +defparam \LEDR[7]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X51_Y54_N9 +fiftyfivenm_io_obuf \LEDR[8]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[8]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[8]~output .bus_hold = "false"; +defparam \LEDR[8]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X49_Y54_N9 +fiftyfivenm_io_obuf \LEDR[9]~output ( + .i(\SW[9]~input_o ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[9]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[9]~output .bus_hold = "false"; +defparam \LEDR[9]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y54_N22 +fiftyfivenm_io_ibuf \SW[4]~input ( + .i(SW[4]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[4]~input_o )); +// synopsys translate_off +defparam \SW[4]~input .bus_hold = "false"; +defparam \SW[4]~input .listen_to_nsleep_signal = "false"; +defparam \SW[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N29 +fiftyfivenm_io_ibuf \SW[0]~input ( + .i(SW[0]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[0]~input_o )); +// synopsys translate_off +defparam \SW[0]~input .bus_hold = "false"; +defparam \SW[0]~input .listen_to_nsleep_signal = "false"; +defparam \SW[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X69_Y54_N1 +fiftyfivenm_io_ibuf \SW[9]~input ( + .i(SW[9]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[9]~input_o )); +// synopsys translate_off +defparam \SW[9]~input .bus_hold = "false"; +defparam \SW[9]~input .listen_to_nsleep_signal = "false"; +defparam \SW[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N24 +fiftyfivenm_lcell_comb \M~0 ( +// Equation(s): +// \M~0_combout = (\SW[9]~input_o & (\SW[4]~input_o )) # (!\SW[9]~input_o & ((\SW[0]~input_o ))) + + .dataa(\SW[4]~input_o ), + .datab(\SW[0]~input_o ), + .datac(gnd), + .datad(\SW[9]~input_o ), + .cin(gnd), + .combout(\M~0_combout ), + .cout()); +// synopsys translate_off +defparam \M~0 .lut_mask = 16'hAACC; +defparam \M~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X49_Y54_N1 +fiftyfivenm_io_ibuf \SW[5]~input ( + .i(SW[5]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[5]~input_o )); +// synopsys translate_off +defparam \SW[5]~input .bus_hold = "false"; +defparam \SW[5]~input .listen_to_nsleep_signal = "false"; +defparam \SW[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N22 +fiftyfivenm_io_ibuf \SW[1]~input ( + .i(SW[1]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[1]~input_o )); +// synopsys translate_off +defparam \SW[1]~input .bus_hold = "false"; +defparam \SW[1]~input .listen_to_nsleep_signal = "false"; +defparam \SW[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X50_Y53_N16 +fiftyfivenm_lcell_comb \M~1 ( +// Equation(s): +// \M~1_combout = (\SW[9]~input_o & (\SW[5]~input_o )) # (!\SW[9]~input_o & ((\SW[1]~input_o ))) + + .dataa(\SW[5]~input_o ), + .datab(gnd), + .datac(\SW[9]~input_o ), + .datad(\SW[1]~input_o ), + .cin(gnd), + .combout(\M~1_combout ), + .cout()); +// synopsys translate_off +defparam \M~1 .lut_mask = 16'hAFA0; +defparam \M~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y54_N15 +fiftyfivenm_io_ibuf \SW[6]~input ( + .i(SW[6]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[6]~input_o )); +// synopsys translate_off +defparam \SW[6]~input .bus_hold = "false"; +defparam \SW[6]~input .listen_to_nsleep_signal = "false"; +defparam \SW[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N1 +fiftyfivenm_io_ibuf \SW[2]~input ( + .i(SW[2]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[2]~input_o )); +// synopsys translate_off +defparam \SW[2]~input .bus_hold = "false"; +defparam \SW[2]~input .listen_to_nsleep_signal = "false"; +defparam \SW[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N26 +fiftyfivenm_lcell_comb \M~2 ( +// Equation(s): +// \M~2_combout = (\SW[9]~input_o & (\SW[6]~input_o )) # (!\SW[9]~input_o & ((\SW[2]~input_o ))) + + .dataa(gnd), + .datab(\SW[6]~input_o ), + .datac(\SW[2]~input_o ), + .datad(\SW[9]~input_o ), + .cin(gnd), + .combout(\M~2_combout ), + .cout()); +// synopsys translate_off +defparam \M~2 .lut_mask = 16'hCCF0; +defparam \M~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y54_N29 +fiftyfivenm_io_ibuf \SW[3]~input ( + .i(SW[3]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[3]~input_o )); +// synopsys translate_off +defparam \SW[3]~input .bus_hold = "false"; +defparam \SW[3]~input .listen_to_nsleep_signal = "false"; +defparam \SW[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X58_Y54_N29 +fiftyfivenm_io_ibuf \SW[7]~input ( + .i(SW[7]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[7]~input_o )); +// synopsys translate_off +defparam \SW[7]~input .bus_hold = "false"; +defparam \SW[7]~input .listen_to_nsleep_signal = "false"; +defparam \SW[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X54_Y53_N8 +fiftyfivenm_lcell_comb \M~3 ( +// Equation(s): +// \M~3_combout = (\SW[9]~input_o & ((\SW[7]~input_o ))) # (!\SW[9]~input_o & (\SW[3]~input_o )) + + .dataa(gnd), + .datab(\SW[3]~input_o ), + .datac(\SW[9]~input_o ), + .datad(\SW[7]~input_o ), + .cin(gnd), + .combout(\M~3_combout ), + .cout()); +// synopsys translate_off +defparam \M~3 .lut_mask = 16'hFC0C; +defparam \M~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X56_Y54_N1 +fiftyfivenm_io_ibuf \SW[8]~input ( + .i(SW[8]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[8]~input_o )); +// synopsys translate_off +defparam \SW[8]~input .bus_hold = "false"; +defparam \SW[8]~input .listen_to_nsleep_signal = "false"; +defparam \SW[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: UNVM_X0_Y40_N40 +fiftyfivenm_unvm \~QUARTUS_CREATED_UNVM~ ( + .arclk(vcc), + .arshft(vcc), + .drclk(vcc), + .drshft(vcc), + .drdin(vcc), + .nprogram(vcc), + .nerase(vcc), + .nosc_ena(\~QUARTUS_CREATED_GND~I_combout ), + .par_en(vcc), + .xe_ye(\~QUARTUS_CREATED_GND~I_combout ), + .se(\~QUARTUS_CREATED_GND~I_combout ), + .ardin(23'b11111111111111111111111), + .busy(\~QUARTUS_CREATED_UNVM~~busy ), + .osc(), + .bgpbusy(), + .sp_pass(), + .se_pass(), + .drdout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .is_compressed_image = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_dual_boot = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_eram_skip = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .max_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .max_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .part_name = "quartus_created_unvm"; +defparam \~QUARTUS_CREATED_UNVM~ .reserve_block = "true"; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y52_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC1~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC1~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC1~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC1~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC1~ .is_this_first_or_second_adc = 1; +defparam \~QUARTUS_CREATED_ADC1~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC1~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC1~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC1~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC1~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC1~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .tsclksel = 0; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y51_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC2~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC2~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC2~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC2~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC2~ .is_this_first_or_second_adc = 2; +defparam \~QUARTUS_CREATED_ADC2~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC2~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC2~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC2~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC2~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC2~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .tsclksel = 0; +// synopsys translate_on + +assign LEDR[0] = \LEDR[0]~output_o ; + +assign LEDR[1] = \LEDR[1]~output_o ; + +assign LEDR[2] = \LEDR[2]~output_o ; + +assign LEDR[3] = \LEDR[3]~output_o ; + +assign LEDR[4] = \LEDR[4]~output_o ; + +assign LEDR[5] = \LEDR[5]~output_o ; + +assign LEDR[6] = \LEDR[6]~output_o ; + +assign LEDR[7] = \LEDR[7]~output_o ; + +assign LEDR[8] = \LEDR[8]~output_o ; + +assign LEDR[9] = \LEDR[9]~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_TMS~ => Location: PIN_H2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TCK~ => Location: PIN_G2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDI~ => Location: PIN_L4, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDO~ => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_CONFIG_SEL~ => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCONFIG~ => Location: PIN_H9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_nSTATUS~ => Location: PIN_G9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_CONF_DONE~ => Location: PIN_F8, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_TMS~~padout ; +wire \~ALTERA_TCK~~padout ; +wire \~ALTERA_TDI~~padout ; +wire \~ALTERA_CONFIG_SEL~~padout ; +wire \~ALTERA_nCONFIG~~padout ; +wire \~ALTERA_nSTATUS~~padout ; +wire \~ALTERA_CONF_DONE~~padout ; +wire \~ALTERA_TMS~~ibuf_o ; +wire \~ALTERA_TCK~~ibuf_o ; +wire \~ALTERA_TDI~~ibuf_o ; +wire \~ALTERA_CONFIG_SEL~~ibuf_o ; +wire \~ALTERA_nCONFIG~~ibuf_o ; +wire \~ALTERA_nSTATUS~~ibuf_o ; +wire \~ALTERA_CONF_DONE~~ibuf_o ; + + +endmodule diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_20210311193454.sim.vwf b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_20210311193454.sim.vwf new file mode 100644 index 0000000..a336b23 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_20210311193454.sim.vwf @@ -0,0 +1,771 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 920.0; + } + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 490.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 510.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 420.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 590.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 350.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 660.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 290.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 920.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 850.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 780.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 220.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 690.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 320.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 620.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 390.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 490.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 510.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 420.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 590.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 350.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 660.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 290.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_20210311195234.sim.vwf b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_20210311195234.sim.vwf new file mode 100644 index 0000000..1992dd8 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_20210311195234.sim.vwf @@ -0,0 +1,779 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 560.0; + LEVEL 0 FOR 430.0; + } + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 130.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 320.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 420.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 250.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 360.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 330.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 190.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 310.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 460.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 190.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 240.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 560.0; + LEVEL 0 FOR 430.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 880.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 130.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 750.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 670.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 330.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 550.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 460.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 490.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 510.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 420.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 580.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 360.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 640.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 310.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 700.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 240.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_modelsim.xrf b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_modelsim.xrf new file mode 100644 index 0000000..f279693 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_modelsim.xrf @@ -0,0 +1,43 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Lab1Part2.v +source_file = 1, Lab1Part2.vwf +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf +source_file = 1, output_files/Waveform.vwf +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/db/Lab1Part2.cbx.xml +design_name = Lab1Part2 +instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, Lab1Part2, 1 +instance = comp, \LEDR[0]~output , LEDR[0]~output, Lab1Part2, 1 +instance = comp, \LEDR[1]~output , LEDR[1]~output, Lab1Part2, 1 +instance = comp, \LEDR[2]~output , LEDR[2]~output, Lab1Part2, 1 +instance = comp, \LEDR[3]~output , LEDR[3]~output, Lab1Part2, 1 +instance = comp, \LEDR[4]~output , LEDR[4]~output, Lab1Part2, 1 +instance = comp, \LEDR[5]~output , LEDR[5]~output, Lab1Part2, 1 +instance = comp, \LEDR[6]~output , LEDR[6]~output, Lab1Part2, 1 +instance = comp, \LEDR[7]~output , LEDR[7]~output, Lab1Part2, 1 +instance = comp, \LEDR[8]~output , LEDR[8]~output, Lab1Part2, 1 +instance = comp, \LEDR[9]~output , LEDR[9]~output, Lab1Part2, 1 +instance = comp, \SW[4]~input , SW[4]~input, Lab1Part2, 1 +instance = comp, \SW[0]~input , SW[0]~input, Lab1Part2, 1 +instance = comp, \SW[9]~input , SW[9]~input, Lab1Part2, 1 +instance = comp, \M~0 , M~0, Lab1Part2, 1 +instance = comp, \SW[5]~input , SW[5]~input, Lab1Part2, 1 +instance = comp, \SW[1]~input , SW[1]~input, Lab1Part2, 1 +instance = comp, \M~1 , M~1, Lab1Part2, 1 +instance = comp, \SW[6]~input , SW[6]~input, Lab1Part2, 1 +instance = comp, \SW[2]~input , SW[2]~input, Lab1Part2, 1 +instance = comp, \M~2 , M~2, Lab1Part2, 1 +instance = comp, \SW[3]~input , SW[3]~input, Lab1Part2, 1 +instance = comp, \SW[7]~input , SW[7]~input, Lab1Part2, 1 +instance = comp, \M~3 , M~3, Lab1Part2, 1 +instance = comp, \SW[8]~input , SW[8]~input, Lab1Part2, 1 +instance = comp, \~QUARTUS_CREATED_UNVM~ , ~QUARTUS_CREATED_UNVM~, Lab1Part2, 1 +instance = comp, \~QUARTUS_CREATED_ADC1~ , ~QUARTUS_CREATED_ADC1~, Lab1Part2, 1 +instance = comp, \~QUARTUS_CREATED_ADC2~ , ~QUARTUS_CREATED_ADC2~, Lab1Part2, 1 +design_name = hard_block +instance = comp, \~ALTERA_TMS~~ibuf , ~ALTERA_TMS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TCK~~ibuf , ~ALTERA_TCK~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TDI~~ibuf , ~ALTERA_TDI~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONFIG_SEL~~ibuf , ~ALTERA_CONFIG_SEL~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nCONFIG~~ibuf , ~ALTERA_nCONFIG~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nSTATUS~~ibuf , ~ALTERA_nSTATUS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONF_DONE~~ibuf , ~ALTERA_CONF_DONE~~ibuf, hard_block, 1 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Waveform.vwf.vt b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..4fe6f88 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,118 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "03/11/2021 19:52:31" + +// Verilog Test Bench (with test vectors) for design : Lab1Part2 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module Lab1Part2_vlg_vec_tst(); +// constants +// general purpose registers +reg [9:0] SW; +// wires +wire [9:0] LEDR; + +// assign statements (if any) +Lab1Part2 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 9 ] +initial +begin + SW[9] = 1'b0; + SW[9] = #10000 1'b1; + SW[9] = #560000 1'b0; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b0; + SW[8] = #30000 1'b1; + SW[8] = #90000 1'b0; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'b0; + SW[7] = #130000 1'b1; + SW[7] = #120000 1'b0; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'b0; + SW[6] = #260000 1'b1; + SW[6] = #70000 1'b0; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'b0; + SW[5] = #330000 1'b1; + SW[5] = #120000 1'b0; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'b0; + SW[4] = #460000 1'b1; + SW[4] = #50000 1'b0; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'b0; + SW[3] = #510000 1'b1; + SW[3] = #70000 1'b0; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'b0; + SW[2] = #580000 1'b1; + SW[2] = #60000 1'b0; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; + SW[1] = #640000 1'b1; + SW[1] = #50000 1'b0; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; + SW[0] = #700000 1'b1; + SW[0] = #60000 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/transcript b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/transcript new file mode 100644 index 0000000..ca98828 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/transcript @@ -0,0 +1,37 @@ +# do Lab1Part2.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 19:52:33 on Mar 11,2021 +# vlog -work work Lab1Part2.vo +# -- Compiling module Lab1Part2 +# -- Compiling module hard_block +# +# Top level modules: +# Lab1Part2 +# End time: 19:52:33 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 19:52:33 on Mar 11,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module Lab1Part2_vlg_vec_tst +# +# Top level modules: +# Lab1Part2_vlg_vec_tst +# End time: 19:52:33 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Part2_vlg_vec_tst +# Start time: 19:52:33 on Mar 11,2021 +# Loading work.Lab1Part2_vlg_vec_tst +# Loading work.Lab1Part2 +# Loading work.hard_block +# ** Warning: (vsim-3017) Lab1Part2.vo(478): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Part2_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) Lab1Part2.vo(478): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) Lab1Part2.vo(501): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Part2_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) Lab1Part2.vo(501): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /Lab1Part2_vlg_vec_tst +# End time: 19:52:34 on Mar 11,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 4 diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/vwf_sim_transcript b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..1085c54 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,193 @@ +Determining the location of the ModelSim executable... + +Using: c:/intelfpga_lite/16.1/modelsim_ase/win32aloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Part2 -c Lab1Part2 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Waveform.vwf.vt" + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Mar 11 19:52:31 2021 +Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Lab1Part2 -c Lab1Part2 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Waveform.vwf.vt" +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignm +ent for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. + +Completed successfully. + +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/" Lab1Part2 -c Lab1Part2 + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Mar 11 19:52:32 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/" Lab1Part2 -c Lab1Part2 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANT +S_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file Lab1Part2.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 26 warnings + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Mar 11 19:52:32 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + +Completed successfully. + +**** Generating the ModelSim .do script **** + +C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +c:/intelfpga_lite/16.1/modelsim_ase/win32aloem//vsim -c -do Lab1Part2.do + +Reading C:/intelFPGA_lite/16.1/modelsim_ase/tcl/vsim/pref.tcl + +# 10.5b + + +# do Lab1Part2.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 19:52:33 on Mar 11,2021 +# vlog -work work Lab1Part2.vo +# -- Compiling module Lab1Part2 +# -- Compiling module hard_block +# +# Top level modules: +# Lab1Part2 + +# End time: 19:52:33 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 19:52:33 on Mar 11,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module Lab1Part2_vlg_vec_tst +# +# Top level modules: +# Lab1Part2_vlg_vec_tst +# End time: 19:52:33 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Lab1Part2_vlg_vec_tst +# Start time: 19:52:33 on Mar 11,2021 +# Loading work.Lab1Part2_vlg_vec_tst +# Loading work.Lab1Part2 +# Loading work.hard_block +# ** Warning: (vsim-3017) Lab1Part2.vo(478): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Part2_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) Lab1Part2.vo(478): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) Lab1Part2.vo(501): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Lab1Part2_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) Lab1Part2.vo(501): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /Lab1Part2_vlg_vec_tst +# End time: 19:52:34 on Mar 11,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 4 + +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/Waveform.vwf... + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/Lab1Part2_20210311195234.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_info b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_info new file mode 100644 index 0000000..b8dfa3a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_info @@ -0,0 +1,75 @@ +m255 +K4 +z2 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 dC:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim +vhard_block +Z1 !s110 1615513953 +!i10b 1 +!s100 `I[^S=]:E30g]kVK?:Wed2 +IzC13RVdQF6GNhaHk4ie@U1 +Z2 VDg1SIo80bB@j0V0VzS_@n1 +R0 +Z3 w1615513952 +Z4 8Lab1Part2.vo +Z5 FLab1Part2.vo +L0 545 +Z6 OV;L;10.5b;63 +r1 +!s85 0 +31 +Z7 !s108 1615513953.000000 +Z8 !s107 Lab1Part2.vo| +Z9 !s90 -work|work|Lab1Part2.vo| +!i113 1 +Z10 o-work work +Z11 tCvgOpt 0 +vLab1Part2 +R1 +!i10b 1 +!s100 LAgT30]T[89E2af=Bh04DG3 +IP3I8MRaL:QICAAF?T[PoW3 +R2 +R0 +R3 +8Waveform.vwf.vt +FWaveform.vwf.vt +L0 30 +R6 +r1 +!s85 0 +31 +R7 +!s107 Waveform.vwf.vt| +!s90 -work|work|Waveform.vwf.vt| +!i113 1 +R10 +R11 +n@lab1@part2_vlg_vec_tst diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib.qdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000..7cbbfd2 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qdb b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000..f48851b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qpg b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000..eea303e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qpg differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qtl b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000..668682b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_lib1_0.qtl differ diff --git a/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_vmake b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Lab1Part2/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology diff --git a/EE203/Noah Woodlee/LAB1/Part 4/atom_netlists/part4.qsf b/EE203/Noah Woodlee/LAB1/Part 4/atom_netlists/part4.qsf new file mode 100644 index 0000000..8597799 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/atom_netlists/part4.qsf @@ -0,0 +1,46 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part4 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:21:59 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part4.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.(0).cnf.cdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.(0).cnf.cdb new file mode 100644 index 0000000..1d793fc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.(0).cnf.hdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.(0).cnf.hdb new file mode 100644 index 0000000..21b4ca0 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm.qmsg b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm.qmsg new file mode 100644 index 0000000..2276a57 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1617927284535 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617927284535 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 08 19:14:44 2021 " "Processing started: Thu Apr 08 19:14:44 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617927284535 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1617927284535 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1617927284535 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1617927284815 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1617927286555 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1617927286705 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4682 " "Peak virtual memory: 4682 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617927287765 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 19:14:47 2021 " "Processing ended: Thu Apr 08 19:14:47 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617927287765 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617927287765 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617927287765 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1617927287765 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm.rdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm.rdb new file mode 100644 index 0000000..14a16f4 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm_labs.ddb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm_labs.ddb new file mode 100644 index 0000000..c8c85e7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cbx.xml b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cbx.xml new file mode 100644 index 0000000..d130db6 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.bpm b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.bpm new file mode 100644 index 0000000..8ce3623 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.cdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.cdb new file mode 100644 index 0000000..7c521be Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.hdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.hdb new file mode 100644 index 0000000..c0f1c20 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.idb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.idb new file mode 100644 index 0000000..7ba9aa9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.idb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.logdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.logdb new file mode 100644 index 0000000..674383a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.logdb @@ -0,0 +1,61 @@ +v1 +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,19;0;19;0;0;19;19;0;19;19;0;17;0;0;2;0;17;2;0;0;0;17;0;0;0;0;0;19;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,0;19;0;19;19;0;0;19;0;0;19;2;19;19;17;19;2;17;19;19;19;2;19;19;19;19;19;0;19;19, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,LEDR[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[7],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[8],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[9],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.rdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.rdb new file mode 100644 index 0000000..d55d30c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp_merge.kpt b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp_merge.kpt new file mode 100644 index 0000000..c96e62f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.db_info b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.db_info new file mode 100644 index 0000000..6198f6c --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Fri Apr 16 19:44:32 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.fit.qmsg b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.fit.qmsg new file mode 100644 index 0000000..35aae95 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.fit.qmsg @@ -0,0 +1,54 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1617927277435 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1617927277435 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part4 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part4\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1617927277445 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1617927277475 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1617927277475 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1617927277695 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1617927277705 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1617927277815 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 61 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 63 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 65 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 67 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 69 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 71 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 73 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 75 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617927277815 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1617927277815 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617927277815 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617927277815 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617927277815 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617927277815 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1617927277815 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part4.sdc " "Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1617927278275 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1617927278275 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1617927278275 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1617927278275 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1617927278285 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1617927278285 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1617927278285 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1617927278285 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1617927278285 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1617927278285 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[2\] " "Node \"SW\[2\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[3\] " "Node \"SW\[3\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[4\] " "Node \"SW\[4\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[5\] " "Node \"SW\[5\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[6\] " "Node \"SW\[6\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[7\] " "Node \"SW\[7\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[8\] " "Node \"SW\[8\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617927278315 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1617927278315 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617927278315 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1617927278325 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1617927279445 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617927279495 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1617927279515 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1617927279735 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617927279735 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1617927280225 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X67_Y44 X78_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X67_Y44 to location X78_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X67_Y44 to location X78_Y54"} { { 12 { 0 ""} 67 44 12 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1617927281545 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1617927281545 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1617927281645 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1617927281645 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1617927281645 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617927281645 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1617927281875 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1617927281875 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1617927281875 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1617927282135 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1617927282135 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1617927282135 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1617927282395 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617927282785 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1617927283015 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1617927283065 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 17 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5905 " "Peak virtual memory: 5905 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617927283495 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 19:14:43 2021 " "Processing ended: Thu Apr 08 19:14:43 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617927283495 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617927283495 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617927283495 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1617927283495 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.hier_info b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.hier_info new file mode 100644 index 0000000..63f0dd4 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.hier_info @@ -0,0 +1,33 @@ +|part4 +SW[0] => HEX0.IN0 +SW[0] => HEX0.IN0 +SW[0] => LEDR[0].DATAIN +SW[0] => HEX0.IN0 +SW[0] => HEX0.IN0 +SW[0] => HEX0[0].DATAIN +SW[0] => HEX0[5].DATAIN +SW[1] => HEX0.IN1 +SW[1] => HEX0.IN1 +SW[1] => LEDR[1].DATAIN +SW[1] => HEX0[6].DATAIN +SW[1] => HEX0.IN1 +SW[1] => HEX0.IN1 +LEDR[0] << SW[0].DB_MAX_OUTPUT_PORT_TYPE +LEDR[1] << SW[1].DB_MAX_OUTPUT_PORT_TYPE +LEDR[2] << +LEDR[3] << +LEDR[4] << +LEDR[5] << +LEDR[6] << +LEDR[7] << +LEDR[8] << +LEDR[9] << +HEX0[6] << SW[1].DB_MAX_OUTPUT_PORT_TYPE +HEX0[5] << SW[0].DB_MAX_OUTPUT_PORT_TYPE +HEX0[4] << HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[3] << HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[2] << HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[1] << HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[0] << SW[0].DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.hif b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.hif new file mode 100644 index 0000000..a523cca Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.hif differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.html b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.html new file mode 100644 index 0000000..7d68592 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.rdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.rdb new file mode 100644 index 0000000..c179f4d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.txt b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.txt new file mode 100644 index 0000000..dbfe520 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.ammdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.ammdb new file mode 100644 index 0000000..a4afc79 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.bpm b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.bpm new file mode 100644 index 0000000..ce63aec Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.cdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.cdb new file mode 100644 index 0000000..5fefa26 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.hdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.hdb new file mode 100644 index 0000000..4f2d129 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.kpt b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.kpt new file mode 100644 index 0000000..e7f8f93 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.logdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.qmsg b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.qmsg new file mode 100644 index 0000000..17a878a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.qmsg @@ -0,0 +1,13 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1617927266644 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617927266644 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 08 19:14:26 2021 " "Processing started: Thu Apr 08 19:14:26 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617927266644 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617927266644 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617927266644 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1617927267004 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1617927267004 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part4.v 1 1 " "Found 1 design units, including 1 entities, in source file part4.v" { { "Info" "ISGN_ENTITY_NAME" "1 part4 " "Found entity 1: part4" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617927275215 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617927275215 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part4 " "Elaborating entity \"part4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1617927275245 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[2\] GND " "Pin \"LEDR\[2\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[3\] GND " "Pin \"LEDR\[3\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[4\] GND " "Pin \"LEDR\[4\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[5\] GND " "Pin \"LEDR\[5\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[6\] GND " "Pin \"LEDR\[6\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[7\] GND " "Pin \"LEDR\[7\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[8\] GND " "Pin \"LEDR\[8\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[9\] GND " "Pin \"LEDR\[9\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617927275565 "|part4|LEDR[9]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1617927275565 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1617927275615 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927275875 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1617927275875 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1617927276005 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617927276005 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "21 " "Implemented 21 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1617927276055 ""} { "Info" "ICUT_CUT_TM_OPINS" "17 " "Implemented 17 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1617927276055 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2 " "Implemented 2 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1617927276055 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1617927276055 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 35 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 35 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4781 " "Peak virtual memory: 4781 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617927276085 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 19:14:36 2021 " "Processing ended: Thu Apr 08 19:14:36 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617927276085 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617927276085 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617927276085 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1617927276085 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.rdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.rdb new file mode 100644 index 0000000..5b6735f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.cdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.cdb new file mode 100644 index 0000000..f69cc01 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.hdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.hdb new file mode 100644 index 0000000..9cd052b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.logdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.pplq.rdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.pplq.rdb new file mode 100644 index 0000000..0d2f962 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.pplq.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.pre_map.hdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.pre_map.hdb new file mode 100644 index 0000000..1acc1ef Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..69e4ca9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.routing.rdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.routing.rdb new file mode 100644 index 0000000..316f15d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.routing.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv.hdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv.hdb new file mode 100644 index 0000000..9ae8acb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv_sg.cdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv_sg.cdb new file mode 100644 index 0000000..47c511f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv_sg_swap.cdb new file mode 100644 index 0000000..0be030c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sld_design_entry.sci b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sld_design_entry.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sld_design_entry_dsc.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.smart_action.txt b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.smart_action.txt new file mode 100644 index 0000000..437a63e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta.qmsg b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta.qmsg new file mode 100644 index 0000000..8f0920e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta.qmsg @@ -0,0 +1,52 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1617927288945 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617927288945 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 08 19:14:48 2021 " "Processing started: Thu Apr 08 19:14:48 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617927288945 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927288945 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part4 -c part4 " "Command: quartus_sta part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927288945 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1617927289055 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617927289085 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289085 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289215 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289215 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289255 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289255 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part4.sdc " "Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289525 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289525 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289525 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289525 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289525 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289525 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1617927289525 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289535 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1617927289535 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289535 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1617927289535 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289545 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289545 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289545 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289545 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289555 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1617927289565 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289585 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289585 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289865 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289895 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289895 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289895 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289895 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289895 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289905 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289905 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289905 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289905 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927289905 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1617927289915 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290035 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290035 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290035 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290035 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290035 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290045 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290045 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290055 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290055 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290955 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927290955 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 31 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 31 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4869 " "Peak virtual memory: 4869 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617927291015 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 19:14:51 2021 " "Processing ended: Thu Apr 08 19:14:51 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617927291015 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617927291015 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617927291015 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617927291015 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta.rdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta.rdb new file mode 100644 index 0000000..6ba88dc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..c2dd792 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tis_db_list.ddb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tis_db_list.ddb new file mode 100644 index 0000000..ecc3004 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..b90f513 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..6ebaacd Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..4600992 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tmw_info b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tmw_info new file mode 100644 index 0000000..ba6a589 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.tmw_info @@ -0,0 +1,3 @@ +start_full_compilation:s +start_assembler:s-start_full_compilation +start_timing_analyzer:s-start_full_compilation diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.vpr.ammdb b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.vpr.ammdb new file mode 100644 index 0000000..a2a8e83 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..db68b29 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..a7cdb95 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..82f5601 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/db/part4.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/part4_partition_pins.json b/EE203/Noah Woodlee/LAB1/Part 4/db/part4_partition_pins.json new file mode 100644 index 0000000..f13b40a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/part4_partition_pins.json @@ -0,0 +1,53 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "LEDR[0]", + "strict" : false + }, + { + "name" : "LEDR[1]", + "strict" : false + }, + { + "name" : "HEX0[6]", + "strict" : false + }, + { + "name" : "HEX0[5]", + "strict" : false + }, + { + "name" : "HEX0[4]", + "strict" : false + }, + { + "name" : "HEX0[3]", + "strict" : false + }, + { + "name" : "HEX0[2]", + "strict" : false + }, + { + "name" : "HEX0[1]", + "strict" : false + }, + { + "name" : "HEX0[0]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 4/db/prev_cmp_part4.qmsg b/EE203/Noah Woodlee/LAB1/Part 4/db/prev_cmp_part4.qmsg new file mode 100644 index 0000000..5685451 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/db/prev_cmp_part4.qmsg @@ -0,0 +1,137 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1617926007721 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617926007721 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 08 18:53:27 2021 " "Processing started: Thu Apr 08 18:53:27 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617926007721 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617926007721 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617926007721 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1617926008071 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1617926008071 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part4.v 1 1 " "Found 1 design units, including 1 entities, in source file part4.v" { { "Info" "ISGN_ENTITY_NAME" "1 part4 " "Found entity 1: part4" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617926016271 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617926016271 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part4 " "Elaborating entity \"part4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1617926016301 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[2\] GND " "Pin \"LEDR\[2\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[3\] GND " "Pin \"LEDR\[3\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[4\] GND " "Pin \"LEDR\[4\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[5\] GND " "Pin \"LEDR\[5\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[6\] GND " "Pin \"LEDR\[6\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[7\] GND " "Pin \"LEDR\[7\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[8\] GND " "Pin \"LEDR\[8\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[9\] GND " "Pin \"LEDR\[9\]\" is stuck at GND" { } { { "part4.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1617926016631 "|part4|LEDR[9]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1617926016631 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1617926016681 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926016951 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1617926016951 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1617926017071 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617926017071 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "21 " "Implemented 21 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1617926017111 ""} { "Info" "ICUT_CUT_TM_OPINS" "17 " "Implemented 17 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1617926017111 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2 " "Implemented 2 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1617926017111 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1617926017111 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 35 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 35 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4779 " "Peak virtual memory: 4779 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617926017141 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 18:53:37 2021 " "Processing ended: Thu Apr 08 18:53:37 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617926017141 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617926017141 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617926017141 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1617926017141 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1617926018321 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617926018331 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 08 18:53:37 2021 " "Processing started: Thu Apr 08 18:53:37 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617926018331 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1617926018331 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off part4 -c part4 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1617926018331 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1617926018431 ""} +{ "Info" "0" "" "Project = part4" { } { } 0 0 "Project = part4" 0 0 "Fitter" 0 0 1617926018431 ""} +{ "Info" "0" "" "Revision = part4" { } { } 0 0 "Revision = part4" 0 0 "Fitter" 0 0 1617926018431 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1617926018531 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1617926018531 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part4 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part4\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1617926018531 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1617926018571 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1617926018571 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1617926018791 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1617926018801 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1617926018911 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 61 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 63 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 65 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 67 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 69 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 71 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 73 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 0 { 0 ""} 0 75 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1617926018911 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1617926018911 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617926018911 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617926018911 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617926018911 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1617926018911 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1617926018911 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "7 19 " "No exact pin location assignment(s) for 7 pins of 19 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1617926019151 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part4.sdc " "Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1617926019381 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "7 unused 2.5V 0 7 0 " "Number of I/O pins in group: 7 (unused VREF, 2.5V VCCIO, 0 input, 7 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1617926019381 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1617926019381 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1617926019381 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1A does not use undetermined 0 16 " "I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1B does not use undetermined 4 20 " "I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 36 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 48 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 48 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 40 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 0 60 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use 2.5V 12 40 " "I/O bank number 7 does not use VREF pins and has 2.5V VCCIO pins. 12 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 4 32 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1617926019391 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1617926019391 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1617926019391 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[2\] " "Node \"SW\[2\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[3\] " "Node \"SW\[3\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[4\] " "Node \"SW\[4\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[5\] " "Node \"SW\[5\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[6\] " "Node \"SW\[6\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[7\] " "Node \"SW\[7\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[8\] " "Node \"SW\[8\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/16.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1617926019411 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1617926019411 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617926019411 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1617926019411 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1617926020531 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617926020581 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1617926020611 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1617926021221 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617926021221 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1617926021711 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1617926023051 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1617926023051 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1617926023151 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1617926023151 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1617926023151 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617926023151 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1617926023371 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1617926023381 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1617926023381 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1617926023631 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1617926023631 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1617926023631 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1617926023891 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1617926024281 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1617926024511 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1617926024561 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 18 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 18 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5903 " "Peak virtual memory: 5903 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617926024991 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 18:53:44 2021 " "Processing ended: Thu Apr 08 18:53:44 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617926024991 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617926024991 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617926024991 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1617926024991 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1617926026011 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617926026011 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 08 18:53:45 2021 " "Processing started: Thu Apr 08 18:53:45 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617926026011 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1617926026011 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1617926026011 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1617926026311 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1617926028061 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1617926028201 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4682 " "Peak virtual memory: 4682 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617926029251 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 18:53:49 2021 " "Processing ended: Thu Apr 08 18:53:49 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617926029251 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617926029251 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617926029251 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1617926029251 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1617926029851 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1617926030411 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617926030411 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 08 18:53:50 2021 " "Processing started: Thu Apr 08 18:53:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617926030411 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030411 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part4 -c part4 " "Command: quartus_sta part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030411 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1617926030521 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1617926030541 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030541 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030681 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030681 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030721 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030721 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part4.sdc " "Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030981 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030981 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030981 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030981 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030981 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030981 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1617926030981 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030991 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1617926030991 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926030991 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1617926031001 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031001 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031001 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031001 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031011 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031011 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1617926031021 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031041 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031041 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031321 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031351 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031351 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031351 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031351 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031351 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031351 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031361 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031361 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031371 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031371 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1617926031371 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031501 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031501 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031501 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031501 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031501 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031501 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031501 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031511 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926031511 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926032391 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926032391 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 31 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 31 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4864 " "Peak virtual memory: 4864 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617926032451 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 08 18:53:52 2021 " "Processing ended: Thu Apr 08 18:53:52 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617926032451 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617926032451 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617926032451 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926032451 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 85 s " "Quartus Prime Full Compilation was successful. 0 errors, 85 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1617926033081 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..d89a873 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.0 :| George Totolos :| 08/22/2016:| Initial Revision +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a2885da --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +DE10_LITE_Golden_Top.v +platform_setup.tcl +filelist.txt diff --git a/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..d9cea11 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,435 @@ +proc ::setup_project {} { +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "15.1.0" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name SDC_FILE DE10_LITE_Golden_Top.SDC + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 + +#============================================================ +# SDRAM +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N + +#============================================================ +# SEG7 +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] + +#============================================================ +# VGA +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS + +#============================================================ +# Accelerometer +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO + +#============================================================ +# Arduino +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..1c1dfa3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/home/gtotolos/Desktop/max10_de10_lite/Golden_Top/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 4/devkits/readme.txt b/EE203/Noah Woodlee/LAB1/Part 4/devkits/readme.txt new file mode 100644 index 0000000..f6ba267 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/README b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.db_info b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.db_info new file mode 100644 index 0000000..3b1dcca --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Thu Apr 08 18:40:40 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.ammdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.ammdb new file mode 100644 index 0000000..faf251e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.cdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.cdb new file mode 100644 index 0000000..ea01336 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.dfp b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.hdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.hdb new file mode 100644 index 0000000..a0b4754 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.logdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.rcfdb new file mode 100644 index 0000000..f735b36 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.cdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.cdb new file mode 100644 index 0000000..f264182 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.dpi b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.dpi new file mode 100644 index 0000000..714ced4 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..196a2fd Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..905402d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hdb new file mode 100644 index 0000000..7f01fab Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.kpt b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.kpt new file mode 100644 index 0000000..a6689e5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.rrp.hdb b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.rrp.hdb new file mode 100644 index 0000000..c705369 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/incremental_db/compiled_partitions/part4.rrp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.asm.rpt b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.asm.rpt new file mode 100644 index 0000000..8ef8789 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.asm.rpt @@ -0,0 +1,93 @@ +Assembler report for part4 +Thu Apr 08 19:14:47 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Apr 08 19:14:47 2021 ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++--------------------------------------------------------------------------+ +; Assembler Generated Files ; ++--------------------------------------------------------------------------+ +; File Name ; ++--------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.sof ; ++--------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.sof ; ++----------------+-----------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+-----------------------------------------------------------------------------------+ +; Device ; 10M50DAF484C6GES ; +; JTAG usercode ; 0x00270A1C ; +; Checksum ; 0x00270A1C ; ++----------------+-----------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Apr 08 19:14:44 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4682 megabytes + Info: Processing ended: Thu Apr 08 19:14:47 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.done b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.done new file mode 100644 index 0000000..b1f3928 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.done @@ -0,0 +1 @@ +Thu Apr 08 19:14:51 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.rpt b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.rpt new file mode 100644 index 0000000..0d8bd51 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.rpt @@ -0,0 +1,1263 @@ +Fitter report for part4 +Thu Apr 08 19:14:43 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Ignored Assignments + 6. Incremental Compilation Preservation Summary + 7. Incremental Compilation Partition Settings + 8. Incremental Compilation Placement Preservation + 9. Pin-Out File + 10. Fitter Resource Usage Summary + 11. Fitter Partition Statistics + 12. Input Pins + 13. Output Pins + 14. Dual Purpose and Dedicated Pins + 15. I/O Bank Usage + 16. All Package Pins + 17. I/O Assignment Warnings + 18. Fitter Resource Utilization by Entity + 19. Delay Chain Summary + 20. Pad To Core Delay Chain Fanout + 21. Routing Usage Summary + 22. LAB Logic Elements + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. I/O Rules Summary + 27. I/O Rules Details + 28. I/O Rules Matrix + 29. Fitter Device Options + 30. Operating Settings and Conditions + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Thu Apr 08 19:14:43 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 3 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 3 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 19 / 360 ( 5 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.2% ; ++----------------------------+-------------+ + + ++----------------------------------------------------------------------------------------+ +; Ignored Assignments ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Location ; ; ; SW[2] ; PIN_D12 ; QSF Assignment ; +; Location ; ; ; SW[3] ; PIN_C12 ; QSF Assignment ; +; Location ; ; ; SW[4] ; PIN_A12 ; QSF Assignment ; +; Location ; ; ; SW[5] ; PIN_B12 ; QSF Assignment ; +; Location ; ; ; SW[6] ; PIN_A13 ; QSF Assignment ; +; Location ; ; ; SW[7] ; PIN_A14 ; QSF Assignment ; +; Location ; ; ; SW[8] ; PIN_B14 ; QSF Assignment ; +; Location ; ; ; SW[9] ; PIN_F15 ; QSF Assignment ; ++----------+----------------+--------------+------------+---------------+----------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; +; -- Achieved ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; 0.00 % ( 0 / 60 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 44 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 3 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 3 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 3 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 3 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 19 / 360 ( 5 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.1% / 0.2% / 0.1% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 43 ; +; Average fan-out ; 0.72 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 3 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 3 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 3 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 3 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 19 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 49 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 2 ; 0 ; +; -- Output Ports ; 17 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 5 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; HEX0[0] ; C14 ; 7 ; 58 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[1] ; E15 ; 7 ; 74 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[2] ; C15 ; 7 ; 60 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[3] ; C16 ; 7 ; 62 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[4] ; E16 ; 7 ; 74 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[5] ; D17 ; 7 ; 74 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[6] ; C17 ; 7 ; 74 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[0] ; A8 ; 7 ; 46 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[1] ; A9 ; 7 ; 46 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[2] ; A10 ; 7 ; 51 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[3] ; B10 ; 7 ; 46 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[4] ; D13 ; 7 ; 56 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[5] ; C13 ; 7 ; 58 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[6] ; E14 ; 7 ; 66 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[7] ; D14 ; 7 ; 56 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[8] ; A11 ; 7 ; 51 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[9] ; B11 ; 7 ; 49 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 0 / 60 ( 0 % ) ; 2.5V ; -- ; +; 7 ; 19 / 52 ( 37 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; LEDR[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A9 ; 449 ; 7 ; LEDR[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A10 ; 439 ; 7 ; LEDR[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A11 ; 437 ; 7 ; LEDR[8] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A12 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A14 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; LEDR[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B11 ; 443 ; 7 ; LEDR[9] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B12 ; 441 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C13 ; 426 ; 7 ; LEDR[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C14 ; 424 ; 7 ; HEX0[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C15 ; 418 ; 7 ; HEX0[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C16 ; 416 ; 7 ; HEX0[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C17 ; 391 ; 7 ; HEX0[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D13 ; 431 ; 7 ; LEDR[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D14 ; 428 ; 7 ; LEDR[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; HEX0[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; LEDR[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E15 ; 390 ; 7 ; HEX0[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E16 ; 388 ; 7 ; HEX0[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; LEDR[0] ; Incomplete set of assignments ; +; LEDR[1] ; Incomplete set of assignments ; +; LEDR[2] ; Incomplete set of assignments ; +; LEDR[3] ; Incomplete set of assignments ; +; LEDR[4] ; Incomplete set of assignments ; +; LEDR[5] ; Incomplete set of assignments ; +; LEDR[6] ; Incomplete set of assignments ; +; LEDR[7] ; Incomplete set of assignments ; +; LEDR[8] ; Incomplete set of assignments ; +; LEDR[9] ; Incomplete set of assignments ; +; HEX0[6] ; Incomplete set of assignments ; +; HEX0[5] ; Incomplete set of assignments ; +; HEX0[4] ; Incomplete set of assignments ; +; HEX0[3] ; Incomplete set of assignments ; +; HEX0[2] ; Incomplete set of assignments ; +; HEX0[1] ; Incomplete set of assignments ; +; HEX0[0] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |part4 ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 19 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; |part4 ; part4 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; LEDR[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[8] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[9] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++-----------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------+-------------------+---------+ +; SW[0] ; ; ; +; - HEX0~0 ; 0 ; 6 ; +; - HEX0~1 ; 0 ; 6 ; +; - LEDR[0]~output ; 0 ; 6 ; +; - HEX0[0]~output ; 0 ; 6 ; +; - HEX0[5]~output ; 0 ; 6 ; +; SW[1] ; ; ; +; - HEX0~0 ; 0 ; 6 ; +; - HEX0~1 ; 0 ; 6 ; +; - LEDR[1]~output ; 0 ; 6 ; +; - HEX0[6]~output ; 0 ; 6 ; ++-----------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 15 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 2 / 5,382 ( < 1 % ) ; +; C4 interconnects ; 16 / 106,704 ( < 1 % ) ; +; Direct links ; 1 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 2 / 5,406 ( < 1 % ) ; +; R4 interconnects ; 12 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 1.50) ; Number of LABs (Total = 2) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 1.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 1.50) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 1.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 19 ; 0 ; 19 ; 0 ; 0 ; 19 ; 19 ; 0 ; 19 ; 19 ; 0 ; 17 ; 0 ; 0 ; 2 ; 0 ; 17 ; 2 ; 0 ; 0 ; 0 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 0 ; 19 ; 0 ; 19 ; 19 ; 0 ; 0 ; 19 ; 0 ; 0 ; 19 ; 2 ; 19 ; 19 ; 17 ; 19 ; 2 ; 17 ; 19 ; 19 ; 19 ; 2 ; 19 ; 19 ; 19 ; 19 ; 19 ; 0 ; 19 ; 19 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; LEDR[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (119006): Selected device 10M50DAF484C6GES for design "part4" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Warning (15705): Ignored locations or region assignments to the following nodes + Warning (15706): Node "SW[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X67_Y44 to location X78_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (144001): Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 17 warnings + Info: Peak virtual memory: 5905 megabytes + Info: Processing ended: Thu Apr 08 19:14:43 2021 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:09 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg. + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg new file mode 100644 index 0000000..558ea95 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.summary b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.summary new file mode 100644 index 0000000..f754bc0 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Thu Apr 08 19:14:43 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : part4 +Top-level Entity Name : part4 +Family : MAX 10 +Device : 10M50DAF484C6GES +Timing Models : Preliminary +Total logic elements : 3 / 49,760 ( < 1 % ) + Total combinational functions : 3 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 19 / 360 ( 5 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.flow.rpt b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.flow.rpt new file mode 100644 index 0000000..3765265 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.flow.rpt @@ -0,0 +1,128 @@ +Flow report for part4 +Thu Apr 08 19:14:50 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Thu Apr 08 19:14:47 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 3 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 3 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 19 / 360 ( 5 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/08/2021 19:14:26 ; +; Main task ; Compilation ; +; Revision Name ; part4 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 189559947077153.161792726611824 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 4781 MB ; 00:00:22 ; +; Fitter ; 00:00:07 ; 1.0 ; 5905 MB ; 00:00:09 ; +; Assembler ; 00:00:03 ; 1.0 ; 4681 MB ; 00:00:03 ; +; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 4869 MB ; 00:00:02 ; +; Total ; 00:00:21 ; -- ; -- ; 00:00:36 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; TimeQuest Timing Analyzer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; ++---------------------------+------------------+------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 +quartus_fit --read_settings_files=off --write_settings_files=off part4 -c part4 +quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 +quartus_sta part4 -c part4 + + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.jdi b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.jdi new file mode 100644 index 0000000..459d00c --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.map.rpt b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.map.rpt new file mode 100644 index 0000000..1c9dd35 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.map.rpt @@ -0,0 +1,319 @@ +Analysis & Synthesis report for part4 +Thu Apr 08 19:14:36 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Apr 08 19:14:36 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Total logic elements ; 2 ; +; Total combinational functions ; 2 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 19 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Top-level entity name ; part4 ; part4 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; OpenCore Plus hardware evaluation ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+-----------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+-----------------------------------------------------------+---------+ +; part4.v ; yes ; User Verilog HDL File ; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v ; ; ++----------------------------------+-----------------+------------------------+-----------------------------------------------------------+---------+ + + ++-----------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------+ +; Estimated Total logic elements ; 2 ; +; ; ; +; Total combinational functions ; 2 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 2 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 2 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 19 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; SW[0]~input ; +; Maximum fan-out ; 5 ; +; Total fan-out ; 32 ; +; Average fan-out ; 0.80 ; ++---------------------------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |part4 ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 ; 0 ; 0 ; |part4 ; part4 ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 19 ; +; cycloneiii_lcell_comb ; 4 ; +; normal ; 4 ; +; 0 data inputs ; 1 ; +; 1 data inputs ; 1 ; +; 2 data inputs ; 2 ; +; ; ; +; Max LUT depth ; 1.00 ; +; Average LUT depth ; 0.83 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Apr 08 19:14:26 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (12021): Found 1 design units, including 1 entities, in source file part4.v + Info (12023): Found entity 1: part4 File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 1 +Info (12127): Elaborating entity "part4" for the top level hierarchy +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "LEDR[2]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 + Warning (13410): Pin "LEDR[3]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 + Warning (13410): Pin "LEDR[4]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 + Warning (13410): Pin "LEDR[5]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 + Warning (13410): Pin "LEDR[6]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 + Warning (13410): Pin "LEDR[7]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 + Warning (13410): Pin "LEDR[8]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 + Warning (13410): Pin "LEDR[9]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part 4/part4.v Line: 3 +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 21 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 2 input pins + Info (21059): Implemented 17 output pins + Info (21061): Implemented 2 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 35 warnings + Info: Peak virtual memory: 4781 megabytes + Info: Processing ended: Thu Apr 08 19:14:36 2021 + Info: Elapsed time: 00:00:10 + Info: Total CPU time (on all processors): 00:00:22 + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.map.summary b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.map.summary new file mode 100644 index 0000000..ff2ed3a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Thu Apr 08 19:14:36 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : part4 +Top-level Entity Name : part4 +Family : MAX 10 +Total logic elements : 2 + Total combinational functions : 2 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 19 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.pin b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.pin new file mode 100644 index 0000000..897b7bd --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2016 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel MegaCore Function License Agreement, or other + -- applicable license agreement, including, without limitation, + -- that your use is for the sole purpose of programming logic + -- devices manufactured by Intel and sold by Intel or its + -- authorized distributors. Please refer to the applicable + -- agreement for further details. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +CHIP "part4" ASSIGNED TO AN: 10M50DAF484C6GES + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +LEDR[0] : A8 : output : 2.5 V : : 7 : Y +LEDR[1] : A9 : output : 2.5 V : : 7 : Y +LEDR[2] : A10 : output : 2.5 V : : 7 : Y +LEDR[8] : A11 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +LEDR[3] : B10 : output : 2.5 V : : 7 : Y +LEDR[9] : B11 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7 : +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C12 : : : : 7 : +LEDR[5] : C13 : output : 2.5 V : : 7 : Y +HEX0[0] : C14 : output : 2.5 V : : 7 : Y +HEX0[2] : C15 : output : 2.5 V : : 7 : Y +HEX0[3] : C16 : output : 2.5 V : : 7 : Y +HEX0[6] : C17 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 7 : +LEDR[4] : D13 : output : 2.5 V : : 7 : Y +LEDR[7] : D14 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +HEX0[5] : D17 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +LEDR[6] : E14 : output : 2.5 V : : 7 : Y +HEX0[1] : E15 : output : 2.5 V : : 7 : Y +HEX0[4] : E16 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.pof b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.pof new file mode 100644 index 0000000..aaa417e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.pof differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sld b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sld new file mode 100644 index 0000000..41a6030 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sof b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sof new file mode 100644 index 0000000..b3820f4 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sof differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sta.rpt b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sta.rpt new file mode 100644 index 0000000..51b1244 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sta.rpt @@ -0,0 +1,531 @@ +TimeQuest Timing Analyzer report for part4 +Thu Apr 08 19:14:51 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Timing Analyzer ; TimeQuest ; +; Revision Name ; part4 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.02 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.3% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; LEDR[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0108 V ; 0.13 V ; 0.066 V ; 6.32e-10 s ; 6.45e-10 s ; No ; Yes ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0108 V ; 0.13 V ; 0.066 V ; 6.32e-10 s ; 6.45e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 2 ; 2 ; +; Unconstrained Input Port Paths ; 13 ; 13 ; +; Unconstrained Output Ports ; 9 ; 9 ; +; Unconstrained Output Port Paths ; 13 ; 13 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime TimeQuest Timing Analyzer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Apr 08 19:14:48 2021 +Info: Command: quartus_sta part4 -c part4 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 31 warnings + Info: Peak virtual memory: 4869 megabytes + Info: Processing ended: Thu Apr 08 19:14:51 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sta.summary b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sta.summary new file mode 100644 index 0000000..6640100 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/part4.qpf b/EE203/Noah Woodlee/LAB1/Part 4/part4.qpf new file mode 100644 index 0000000..5224208 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/part4.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:21:59 April 08, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "18:21:59 April 08, 2021" + +# Revisions + +PROJECT_REVISION = "part4" diff --git a/EE203/Noah Woodlee/LAB1/Part 4/part4.qsf b/EE203/Noah Woodlee/LAB1/Part 4/part4.qsf new file mode 100644 index 0000000..708b4d9 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/part4.qsf @@ -0,0 +1,85 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:21:59 April 08, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part4_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part4 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:21:59 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part4.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 4/part4.qsf.bak b/EE203/Noah Woodlee/LAB1/Part 4/part4.qsf.bak new file mode 100644 index 0000000..50aed6b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/part4.qsf.bak @@ -0,0 +1,49 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 18:21:59 April 08, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part4_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part4 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:21:59 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 4/part4.qws b/EE203/Noah Woodlee/LAB1/Part 4/part4.qws new file mode 100644 index 0000000..3a7f336 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 4/part4.qws differ diff --git a/EE203/Noah Woodlee/LAB1/Part 4/part4.v b/EE203/Noah Woodlee/LAB1/Part 4/part4.v new file mode 100644 index 0000000..3a87bea --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 4/part4.v @@ -0,0 +1,20 @@ +module part4 (SW, LEDR, HEX0); + input[1:0] SW; + output[9:0] LEDR; + output[0:6] HEX0; + + wire[1:0] C; + + assign LEDR[1:0]=SW; + assign LEDR[9:2]=8'b0; + + assign C=SW; + + assign HEX0[0]=~C[0]; + assign HEX0[1]=~C[1] & C[0]; + assign HEX0[2]=~C[1] & C[0]; + assign HEX0[3]=C[1] & ~C[0]; + assign HEX0[4]=C[1] & ~C[0]; + assign HEX0[5]=~C[0]; + assign HEX0[6]=C[1]; +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 4/part4.v.bak b/EE203/Noah Woodlee/LAB1/Part 4/part4.v.bak new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/atom_netlists/part5.qsf b/EE203/Noah Woodlee/LAB1/Part 5/atom_netlists/part5.qsf new file mode 100644 index 0000000..43eac59 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/atom_netlists/part5.qsf @@ -0,0 +1,71 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part5 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:34:56 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part5.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(0).cnf.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(0).cnf.cdb new file mode 100644 index 0000000..ef1dfa0 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(0).cnf.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(0).cnf.hdb new file mode 100644 index 0000000..1d47305 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(1).cnf.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(1).cnf.cdb new file mode 100644 index 0000000..1fbed96 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(1).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(1).cnf.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(1).cnf.hdb new file mode 100644 index 0000000..35d96eb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(1).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(2).cnf.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(2).cnf.cdb new file mode 100644 index 0000000..97d8f32 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(2).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(2).cnf.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(2).cnf.hdb new file mode 100644 index 0000000..e900611 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.(2).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.analyze_file.qmsg b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.analyze_file.qmsg new file mode 100644 index 0000000..29148b5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.analyze_file.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1618979349968 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analyze Current File Quartus Prime " "Running Quartus Prime Analyze Current File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 23:29:09 2021 " "Processing started: Tue Apr 20 23:29:09 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 --analyze_file=\"/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v\" " "Command: quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 --analyze_file=\"/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v\"" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Design Software" 0 -1 1618979350282 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Design Software" 0 -1 1618979350283 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analyze Current File 0 s 1 Quartus Prime " "Quartus Prime Analyze Current File was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "658 " "Peak virtual memory: 658 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1618979363251 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 23:29:23 2021 " "Processing ended: Tue Apr 20 23:29:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:30 " "Total CPU time (on all processors): 00:00:30" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm.qmsg b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm.qmsg new file mode 100644 index 0000000..d5f27ae --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1618979428878 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618979428879 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 23:30:28 2021 " "Processing started: Tue Apr 20 23:30:28 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618979428879 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618979428879 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618979428879 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1618979429256 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618979432104 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618979432210 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "356 " "Peak virtual memory: 356 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1618979433491 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 23:30:33 2021 " "Processing ended: Tue Apr 20 23:30:33 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1618979433491 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1618979433491 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1618979433491 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618979433491 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm.rdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm.rdb new file mode 100644 index 0000000..e8f51ab Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm_labs.ddb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm_labs.ddb new file mode 100644 index 0000000..61d2a26 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cbx.xml b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cbx.xml new file mode 100644 index 0000000..70328de --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.bpm b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.bpm new file mode 100644 index 0000000..671572d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.cdb new file mode 100644 index 0000000..9515a4e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.hdb new file mode 100644 index 0000000..0158579 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.idb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.idb new file mode 100644 index 0000000..4638698 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.idb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.logdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.logdb new file mode 100644 index 0000000..44314f0 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.logdb @@ -0,0 +1,90 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;48;48;0;0;48;48;0;0;0;0;0;0;38;0;0;0;10;38;0;10;0;0;38;0;48;48;48;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,48;0;0;48;48;0;0;48;48;48;48;48;48;10;48;48;48;38;10;48;38;48;48;10;48;0;0;0;48;48, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,LEDR[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[8],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[9],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX2[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX2[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX2[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX2[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX2[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX2[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX2[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX3[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX3[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX3[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX3[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX3[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX3[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX3[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[8],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[9],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.rdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.rdb new file mode 100644 index 0000000..2820ed9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp_merge.kpt b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp_merge.kpt new file mode 100644 index 0000000..76d26a1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.db_info b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.db_info new file mode 100644 index 0000000..ea9a48e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Tue Apr 20 23:27:08 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.fit.qmsg b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.fit.qmsg new file mode 100644 index 0000000..6ac2052 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.fit.qmsg @@ -0,0 +1,52 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1618979406655 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618979406655 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part5 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part5\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618979406664 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618979406752 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618979406752 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1618979407169 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618979407182 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618979407354 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 158 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 160 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 162 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 164 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 166 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 168 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 170 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 0 { 0 ""} 0 172 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618979407371 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1618979407371 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618979407373 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618979407373 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618979407373 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618979407373 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1618979407378 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part5.sdc " "Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618979408200 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618979408201 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1618979408201 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1618979408202 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1618979408207 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1618979408208 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1618979408210 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1618979408223 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618979408223 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618979408224 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1618979408225 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1618979408227 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1618979408228 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1618979408228 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1618979408228 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1618979408228 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1618979408229 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618979408229 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618979408316 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1618979408333 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618979411673 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618979411823 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618979411882 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618979412495 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618979412496 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618979419494 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X67_Y44 X78_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X67_Y44 to location X78_Y54" { } { { "loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X67_Y44 to location X78_Y54"} { { 12 { 0 ""} 67 44 12 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1618979423251 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618979423251 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1618979423478 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1618979423478 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1618979423478 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618979423481 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.06 " "Total time spent on timing analysis during the Fitter is 0.06 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1618979423799 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1618979423813 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1618979423814 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1618979424402 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1618979424402 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1618979424402 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1618979424960 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618979425804 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.smsg " "Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618979426112 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 7 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1082 " "Peak virtual memory: 1082 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1618979426605 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 23:30:26 2021 " "Processing ended: Tue Apr 20 23:30:26 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1618979426605 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:21 " "Elapsed time: 00:00:21" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1618979426605 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:24 " "Total CPU time (on all processors): 00:00:24" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1618979426605 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618979426605 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.hier_info b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.hier_info new file mode 100644 index 0000000..4e690c5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.hier_info @@ -0,0 +1,179 @@ +|part5 +SW[0] => SW[0].IN4 +SW[1] => SW[1].IN4 +SW[2] => SW[2].IN4 +SW[3] => SW[3].IN4 +SW[4] => SW[4].IN4 +SW[5] => SW[5].IN4 +SW[6] => SW[6].IN4 +SW[7] => SW[7].IN4 +SW[8] => SW[8].IN4 +SW[9] => SW[9].IN4 +LEDR[0] <= SW[0].DB_MAX_OUTPUT_PORT_TYPE +LEDR[1] <= SW[1].DB_MAX_OUTPUT_PORT_TYPE +LEDR[2] <= SW[2].DB_MAX_OUTPUT_PORT_TYPE +LEDR[3] <= SW[3].DB_MAX_OUTPUT_PORT_TYPE +LEDR[4] <= SW[4].DB_MAX_OUTPUT_PORT_TYPE +LEDR[5] <= SW[5].DB_MAX_OUTPUT_PORT_TYPE +LEDR[6] <= SW[6].DB_MAX_OUTPUT_PORT_TYPE +LEDR[7] <= SW[7].DB_MAX_OUTPUT_PORT_TYPE +LEDR[8] <= SW[8].DB_MAX_OUTPUT_PORT_TYPE +LEDR[9] <= SW[9].DB_MAX_OUTPUT_PORT_TYPE +HEX0[0] <= sevenSegment:S0.port1 +HEX0[1] <= sevenSegment:S0.port1 +HEX0[2] <= sevenSegment:S0.port1 +HEX0[3] <= sevenSegment:S0.port1 +HEX0[4] <= sevenSegment:S0.port1 +HEX0[5] <= sevenSegment:S0.port1 +HEX0[6] <= sevenSegment:S0.port1 +HEX1[0] <= sevenSegment:S1.port1 +HEX1[1] <= sevenSegment:S1.port1 +HEX1[2] <= sevenSegment:S1.port1 +HEX1[3] <= sevenSegment:S1.port1 +HEX1[4] <= sevenSegment:S1.port1 +HEX1[5] <= sevenSegment:S1.port1 +HEX1[6] <= sevenSegment:S1.port1 +HEX2[0] <= sevenSegment:S2.port1 +HEX2[1] <= sevenSegment:S2.port1 +HEX2[2] <= sevenSegment:S2.port1 +HEX2[3] <= sevenSegment:S2.port1 +HEX2[4] <= sevenSegment:S2.port1 +HEX2[5] <= sevenSegment:S2.port1 +HEX2[6] <= sevenSegment:S2.port1 +HEX3[0] <= sevenSegment:S3.port1 +HEX3[1] <= sevenSegment:S3.port1 +HEX3[2] <= sevenSegment:S3.port1 +HEX3[3] <= sevenSegment:S3.port1 +HEX3[4] <= sevenSegment:S3.port1 +HEX3[5] <= sevenSegment:S3.port1 +HEX3[6] <= sevenSegment:S3.port1 + + +|part5|Mux_4to1:comb_3 +IN1[0] => Mux1.IN0 +IN1[1] => Mux0.IN0 +IN2[0] => Mux1.IN1 +IN2[1] => Mux0.IN1 +IN3[0] => Mux1.IN2 +IN3[1] => Mux0.IN2 +IN4[0] => Mux1.IN3 +IN4[1] => Mux0.IN3 +SEL[0] => Mux0.IN5 +SEL[0] => Mux1.IN5 +SEL[1] => Mux0.IN4 +SEL[1] => Mux1.IN4 +M[0] <= Mux1.DB_MAX_OUTPUT_PORT_TYPE +M[1] <= Mux0.DB_MAX_OUTPUT_PORT_TYPE + + +|part5|Mux_4to1:comb_4 +IN1[0] => Mux1.IN0 +IN1[1] => Mux0.IN0 +IN2[0] => Mux1.IN1 +IN2[1] => Mux0.IN1 +IN3[0] => Mux1.IN2 +IN3[1] => Mux0.IN2 +IN4[0] => Mux1.IN3 +IN4[1] => Mux0.IN3 +SEL[0] => Mux0.IN5 +SEL[0] => Mux1.IN5 +SEL[1] => Mux0.IN4 +SEL[1] => Mux1.IN4 +M[0] <= Mux1.DB_MAX_OUTPUT_PORT_TYPE +M[1] <= Mux0.DB_MAX_OUTPUT_PORT_TYPE + + +|part5|Mux_4to1:comb_5 +IN1[0] => Mux1.IN0 +IN1[1] => Mux0.IN0 +IN2[0] => Mux1.IN1 +IN2[1] => Mux0.IN1 +IN3[0] => Mux1.IN2 +IN3[1] => Mux0.IN2 +IN4[0] => Mux1.IN3 +IN4[1] => Mux0.IN3 +SEL[0] => Mux0.IN5 +SEL[0] => Mux1.IN5 +SEL[1] => Mux0.IN4 +SEL[1] => Mux1.IN4 +M[0] <= Mux1.DB_MAX_OUTPUT_PORT_TYPE +M[1] <= Mux0.DB_MAX_OUTPUT_PORT_TYPE + + +|part5|Mux_4to1:comb_6 +IN1[0] => Mux1.IN0 +IN1[1] => Mux0.IN0 +IN2[0] => Mux1.IN1 +IN2[1] => Mux0.IN1 +IN3[0] => Mux1.IN2 +IN3[1] => Mux0.IN2 +IN4[0] => Mux1.IN3 +IN4[1] => Mux0.IN3 +SEL[0] => Mux0.IN5 +SEL[0] => Mux1.IN5 +SEL[1] => Mux0.IN4 +SEL[1] => Mux1.IN4 +M[0] <= Mux1.DB_MAX_OUTPUT_PORT_TYPE +M[1] <= Mux0.DB_MAX_OUTPUT_PORT_TYPE + + +|part5|sevenSegment:S0 +IN[0] => Decoder0.IN1 +IN[0] => OUT[5].DATAIN +IN[0] => OUT[0].DATAIN +IN[1] => Decoder0.IN0 +IN[1] => OUT[6].DATAIN +OUT[0] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= IN[1].DB_MAX_OUTPUT_PORT_TYPE + + +|part5|sevenSegment:S1 +IN[0] => Decoder0.IN1 +IN[0] => OUT[5].DATAIN +IN[0] => OUT[0].DATAIN +IN[1] => Decoder0.IN0 +IN[1] => OUT[6].DATAIN +OUT[0] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= IN[1].DB_MAX_OUTPUT_PORT_TYPE + + +|part5|sevenSegment:S2 +IN[0] => Decoder0.IN1 +IN[0] => OUT[5].DATAIN +IN[0] => OUT[0].DATAIN +IN[1] => Decoder0.IN0 +IN[1] => OUT[6].DATAIN +OUT[0] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= IN[1].DB_MAX_OUTPUT_PORT_TYPE + + +|part5|sevenSegment:S3 +IN[0] => Decoder0.IN1 +IN[0] => OUT[5].DATAIN +IN[0] => OUT[0].DATAIN +IN[1] => Decoder0.IN0 +IN[1] => OUT[6].DATAIN +OUT[0] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= Decoder0.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= IN[0].DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= IN[1].DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.hif b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.hif new file mode 100644 index 0000000..d1e57c8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.hif differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.html b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.html new file mode 100644 index 0000000..2ab7c67 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.html @@ -0,0 +1,146 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
S32000700000000
S22000700000000
S12000700000000
S02000700000000
comb_610000200000000
comb_510000200000000
comb_410000200000000
comb_310000200000000
diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.rdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.rdb new file mode 100644 index 0000000..465a460 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.txt b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.txt new file mode 100644 index 0000000..8fe2bb7 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.lpc.txt @@ -0,0 +1,14 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; S3 ; 2 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; S2 ; 2 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; S1 ; 2 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; S0 ; 2 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; comb_6 ; 10 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; comb_5 ; 10 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; comb_4 ; 10 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; comb_3 ; 10 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.ammdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.ammdb new file mode 100644 index 0000000..790b913 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.bpm b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.bpm new file mode 100644 index 0000000..6b55322 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.cdb new file mode 100644 index 0000000..843d37b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.hdb new file mode 100644 index 0000000..3fbd8d8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.kpt b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.kpt new file mode 100644 index 0000000..4e0283a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.logdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.qmsg b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.qmsg new file mode 100644 index 0000000..adfd866 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.qmsg @@ -0,0 +1,19 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1618979388699 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618979388700 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 23:29:48 2021 " "Processing started: Tue Apr 20 23:29:48 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618979388700 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618979388700 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618979388700 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1618979389048 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1618979389048 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part5.v 3 3 " "Found 3 design units, including 3 entities, in source file part5.v" { { "Info" "ISGN_ENTITY_NAME" "1 sevenSegment " "Found entity 1: sevenSegment" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618979402481 ""} { "Info" "ISGN_ENTITY_NAME" "2 Mux_4to1 " "Found entity 2: Mux_4to1" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 16 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618979402481 ""} { "Info" "ISGN_ENTITY_NAME" "3 part5 " "Found entity 3: part5" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 33 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618979402481 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618979402481 ""} +{ "Critical Warning" "WVRFX_VERI_INSTANCE_NEEDS_NAME" "part5.v(41) " "Verilog HDL Instantiation warning at part5.v(41): instance has no name" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 41 0 0 } } } 1 10846 "Verilog HDL Instantiation warning at %1!s!: instance has no name" 0 0 "Analysis & Synthesis" 0 -1 1618979402483 ""} +{ "Critical Warning" "WVRFX_VERI_INSTANCE_NEEDS_NAME" "part5.v(43) " "Verilog HDL Instantiation warning at part5.v(43): instance has no name" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 43 0 0 } } } 1 10846 "Verilog HDL Instantiation warning at %1!s!: instance has no name" 0 0 "Analysis & Synthesis" 0 -1 1618979402483 ""} +{ "Critical Warning" "WVRFX_VERI_INSTANCE_NEEDS_NAME" "part5.v(45) " "Verilog HDL Instantiation warning at part5.v(45): instance has no name" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 45 0 0 } } } 1 10846 "Verilog HDL Instantiation warning at %1!s!: instance has no name" 0 0 "Analysis & Synthesis" 0 -1 1618979402483 ""} +{ "Critical Warning" "WVRFX_VERI_INSTANCE_NEEDS_NAME" "part5.v(47) " "Verilog HDL Instantiation warning at part5.v(47): instance has no name" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 47 0 0 } } } 1 10846 "Verilog HDL Instantiation warning at %1!s!: instance has no name" 0 0 "Analysis & Synthesis" 0 -1 1618979402483 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part5 " "Elaborating entity \"part5\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1618979402554 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "Mux_4to1 Mux_4to1:comb_3 " "Elaborating entity \"Mux_4to1\" for hierarchy \"Mux_4to1:comb_3\"" { } { { "part5.v" "comb_3" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 41 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618979402586 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sevenSegment sevenSegment:S0 " "Elaborating entity \"sevenSegment\" for hierarchy \"sevenSegment:S0\"" { } { { "part5.v" "S0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v" 49 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618979402594 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1618979403477 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404119 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1618979404119 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979404121 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1618979404121 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1618979404282 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618979404282 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "72 " "Implemented 72 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1618979404423 ""} { "Info" "ICUT_CUT_TM_OPINS" "38 " "Implemented 38 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1618979404423 ""} { "Info" "ICUT_CUT_TM_LCELLS" "24 " "Implemented 24 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1618979404423 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1618979404423 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 55 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 55 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "396 " "Peak virtual memory: 396 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1618979404435 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 23:30:04 2021 " "Processing ended: Tue Apr 20 23:30:04 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1618979404435 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:16 " "Elapsed time: 00:00:16" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1618979404435 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:32 " "Total CPU time (on all processors): 00:00:32" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1618979404435 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1618979404435 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.rdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.rdb new file mode 100644 index 0000000..5a56362 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.cdb new file mode 100644 index 0000000..547a16d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.hdb new file mode 100644 index 0000000..ebaf9bc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.logdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.pplq.rdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.pplq.rdb new file mode 100644 index 0000000..0d2f962 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.pplq.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.pre_map.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.pre_map.hdb new file mode 100644 index 0000000..5519978 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.quiproj.27719.rdr.flock b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.quiproj.27719.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..8b78743 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.routing.rdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.routing.rdb new file mode 100644 index 0000000..f1b500c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.routing.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv.hdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv.hdb new file mode 100644 index 0000000..4cbef97 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv_sg.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv_sg.cdb new file mode 100644 index 0000000..152798d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv_sg_swap.cdb new file mode 100644 index 0000000..b9e9bab Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sld_design_entry.sci b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sld_design_entry_dsc.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.smart_action.txt b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta.qmsg b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta.qmsg new file mode 100644 index 0000000..5816923 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta.qmsg @@ -0,0 +1,53 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1618979435300 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618979435301 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 23:30:34 2021 " "Processing started: Tue Apr 20 23:30:34 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618979435301 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1618979435301 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part5 -c part5 " "Command: quartus_sta part5 -c part5" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1618979435301 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1618979435370 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435439 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1618979435439 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618979435440 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1618979435440 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1618979435570 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1618979435571 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1618979435655 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1618979435655 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part5.sdc " "Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1618979436124 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1618979436124 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1618979436125 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1618979436125 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1618979436126 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1618979436126 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1618979436127 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1618979436135 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1618979436136 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979436139 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1618979436140 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979436141 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979436142 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979436143 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979436145 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979436145 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1618979436150 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1618979436192 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Timing Analyzer" 0 -1 1618979436193 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1618979439856 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1618979439910 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1618979439910 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1618979439911 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1618979439911 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979439912 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979439915 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979439916 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979439917 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979439917 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979439918 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1618979439920 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1618979440094 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1618979440094 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1618979440094 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1618979440095 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979440096 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979440097 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979440098 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979440100 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1618979440101 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1618979441635 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1618979441637 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 56 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 56 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "507 " "Peak virtual memory: 507 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1618979441679 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 23:30:41 2021 " "Processing ended: Tue Apr 20 23:30:41 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1618979441679 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1618979441679 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1618979441679 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1618979441679 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta.rdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta.rdb new file mode 100644 index 0000000..5465fea Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..1d3cfa6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tis_db_list.ddb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tis_db_list.ddb new file mode 100644 index 0000000..73e5ec9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..aa554ab Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..e0f5ba2 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..d423978 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tmw_info b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tmw_info new file mode 100644 index 0000000..7a9403d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:55 +start_analysis_synthesis:s:00:00:18-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:22-start_full_compilation +start_assembler:s:00:00:07-start_full_compilation +start_timing_analyzer:s:00:00:08-start_full_compilation diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.vpr.ammdb b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.vpr.ammdb new file mode 100644 index 0000000..9f9daa7 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..0259d60 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..090de61 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..09ac6ef Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/db/part5.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/part5_partition_pins.json b/EE203/Noah Woodlee/LAB1/Part 5/db/part5_partition_pins.json new file mode 100644 index 0000000..cf7c7ef --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/part5_partition_pins.json @@ -0,0 +1,201 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "LEDR[0]", + "strict" : false + }, + { + "name" : "LEDR[1]", + "strict" : false + }, + { + "name" : "LEDR[2]", + "strict" : false + }, + { + "name" : "LEDR[3]", + "strict" : false + }, + { + "name" : "LEDR[4]", + "strict" : false + }, + { + "name" : "LEDR[5]", + "strict" : false + }, + { + "name" : "LEDR[6]", + "strict" : false + }, + { + "name" : "LEDR[7]", + "strict" : false + }, + { + "name" : "LEDR[8]", + "strict" : false + }, + { + "name" : "LEDR[9]", + "strict" : false + }, + { + "name" : "HEX0[0]", + "strict" : false + }, + { + "name" : "HEX0[1]", + "strict" : false + }, + { + "name" : "HEX0[2]", + "strict" : false + }, + { + "name" : "HEX0[3]", + "strict" : false + }, + { + "name" : "HEX0[4]", + "strict" : false + }, + { + "name" : "HEX0[5]", + "strict" : false + }, + { + "name" : "HEX0[6]", + "strict" : false + }, + { + "name" : "HEX1[0]", + "strict" : false + }, + { + "name" : "HEX1[1]", + "strict" : false + }, + { + "name" : "HEX1[2]", + "strict" : false + }, + { + "name" : "HEX1[3]", + "strict" : false + }, + { + "name" : "HEX1[4]", + "strict" : false + }, + { + "name" : "HEX1[5]", + "strict" : false + }, + { + "name" : "HEX1[6]", + "strict" : false + }, + { + "name" : "HEX2[0]", + "strict" : false + }, + { + "name" : "HEX2[1]", + "strict" : false + }, + { + "name" : "HEX2[2]", + "strict" : false + }, + { + "name" : "HEX2[3]", + "strict" : false + }, + { + "name" : "HEX2[4]", + "strict" : false + }, + { + "name" : "HEX2[5]", + "strict" : false + }, + { + "name" : "HEX2[6]", + "strict" : false + }, + { + "name" : "HEX3[0]", + "strict" : false + }, + { + "name" : "HEX3[1]", + "strict" : false + }, + { + "name" : "HEX3[2]", + "strict" : false + }, + { + "name" : "HEX3[3]", + "strict" : false + }, + { + "name" : "HEX3[4]", + "strict" : false + }, + { + "name" : "HEX3[5]", + "strict" : false + }, + { + "name" : "HEX3[6]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + }, + { + "name" : "SW[8]", + "strict" : false + }, + { + "name" : "SW[9]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 5/db/prev_cmp_part5.qmsg b/EE203/Noah Woodlee/LAB1/Part 5/db/prev_cmp_part5.qmsg new file mode 100644 index 0000000..29148b5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/db/prev_cmp_part5.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1618979349968 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analyze Current File Quartus Prime " "Running Quartus Prime Analyze Current File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 23:29:09 2021 " "Processing started: Tue Apr 20 23:29:09 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 --analyze_file=\"/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v\" " "Command: quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 --analyze_file=\"/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v\"" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1618979349970 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Design Software" 0 -1 1618979350282 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Design Software" 0 -1 1618979350283 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analyze Current File 0 s 1 Quartus Prime " "Quartus Prime Analyze Current File was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "658 " "Peak virtual memory: 658 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1618979363251 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 23:29:23 2021 " "Processing ended: Tue Apr 20 23:29:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:30 " "Total CPU time (on all processors): 00:00:30" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1618979363251 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..d89a873 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.0 :| George Totolos :| 08/22/2016:| Initial Revision +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a2885da --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +DE10_LITE_Golden_Top.v +platform_setup.tcl +filelist.txt diff --git a/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..d9cea11 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,435 @@ +proc ::setup_project {} { +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "15.1.0" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name SDC_FILE DE10_LITE_Golden_Top.SDC + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 + +#============================================================ +# SDRAM +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N + +#============================================================ +# SEG7 +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] + +#============================================================ +# VGA +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS + +#============================================================ +# Accelerometer +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO + +#============================================================ +# Arduino +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..1c1dfa3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/home/gtotolos/Desktop/max10_de10_lite/Golden_Top/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 5/devkits/readme.txt b/EE203/Noah Woodlee/LAB1/Part 5/devkits/readme.txt new file mode 100644 index 0000000..f6ba267 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/README b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.db_info b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.db_info new file mode 100644 index 0000000..ea9a48e --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Tue Apr 20 23:27:08 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.ammdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.ammdb new file mode 100644 index 0000000..025663b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.cdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.cdb new file mode 100644 index 0000000..7747d91 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.dfp b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.hdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.hdb new file mode 100644 index 0000000..ff2824e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.logdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.rcfdb new file mode 100644 index 0000000..15487da Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.cdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.cdb new file mode 100644 index 0000000..3810a18 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.dpi b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.dpi new file mode 100644 index 0000000..18e099f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..6e8874b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..ffa4ff2 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hdb new file mode 100644 index 0000000..8ca4645 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.kpt b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.kpt new file mode 100644 index 0000000..c974172 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.rrp.hdb b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.rrp.hdb new file mode 100644 index 0000000..b7ffc1c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/incremental_db/compiled_partitions/part5.rrp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.asm.rpt b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.asm.rpt new file mode 100644 index 0000000..7e479f0 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for part5 +Tue Apr 20 23:30:33 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: part5.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Tue Apr 20 23:30:33 2021 ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++------------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------------------------------------+ +; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/output_files/part5.sof ; ++------------------------------------------------------------------------------------------------+ + + ++-------------------------------------+ +; Assembler Device Options: part5.sof ; ++----------------+--------------------+ +; Option ; Setting ; ++----------------+--------------------+ +; JTAG usercode ; 0x00276AA9 ; +; Checksum ; 0x00276AA9 ; ++----------------+--------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Tue Apr 20 23:30:28 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 356 megabytes + Info: Processing ended: Tue Apr 20 23:30:33 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.done b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.done new file mode 100644 index 0000000..72c7366 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.done @@ -0,0 +1 @@ +Tue Apr 20 23:30:41 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.rpt b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.rpt new file mode 100644 index 0000000..6943aa0 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.rpt @@ -0,0 +1,1440 @@ +Fitter report for part5 +Tue Apr 20 23:30:26 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Tue Apr 20 23:30:26 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 25 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 25 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 48 / 360 ( 13 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.02 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.5% ; ++----------------------------+-------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+--------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+--------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 140 ) ; 0.00 % ( 0 / 140 ) ; 0.00 % ( 0 / 140 ) ; +; -- Achieved ; 0.00 % ( 0 / 140 ) ; 0.00 % ( 0 / 140 ) ; 0.00 % ( 0 / 140 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+--------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 124 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/output_files/part5.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 25 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 25 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 8 ; +; -- 3 input functions ; 16 ; +; -- <=2 input functions ; 1 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 25 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 3 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 48 / 360 ( 13 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.1% / 0.1% / 0.1% ; +; Peak interconnect usage (total/H/V) ; 0.9% / 1.0% / 0.8% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 177 ; +; Average fan-out ; 1.26 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 25 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 25 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 8 ; 0 ; +; -- 3 input functions ; 16 ; 0 ; +; -- <=2 input functions ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 25 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 3 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 48 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 183 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 10 ; 0 ; +; -- Output Ports ; 38 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[2] ; D12 ; 7 ; 51 ; 54 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[3] ; C12 ; 7 ; 54 ; 54 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[4] ; A12 ; 7 ; 54 ; 54 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[5] ; B12 ; 7 ; 49 ; 54 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[6] ; A13 ; 7 ; 54 ; 54 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[7] ; A14 ; 7 ; 58 ; 54 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[8] ; B14 ; 7 ; 56 ; 54 ; 0 ; 17 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[9] ; F15 ; 7 ; 69 ; 54 ; 0 ; 9 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; HEX0[0] ; C14 ; 7 ; 58 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[1] ; E15 ; 7 ; 74 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[2] ; C15 ; 7 ; 60 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[3] ; C16 ; 7 ; 62 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[4] ; E16 ; 7 ; 74 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[5] ; D17 ; 7 ; 74 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[6] ; C17 ; 7 ; 74 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[0] ; C18 ; 7 ; 69 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[1] ; D18 ; 6 ; 78 ; 49 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[2] ; E18 ; 6 ; 78 ; 49 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[3] ; B16 ; 7 ; 60 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[4] ; A17 ; 7 ; 64 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[5] ; A18 ; 7 ; 66 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[6] ; B17 ; 7 ; 69 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX2[0] ; B20 ; 6 ; 78 ; 44 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX2[1] ; A20 ; 7 ; 66 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX2[2] ; B19 ; 7 ; 69 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX2[3] ; A21 ; 6 ; 78 ; 44 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX2[4] ; B21 ; 6 ; 78 ; 43 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX2[5] ; C22 ; 6 ; 78 ; 35 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX2[6] ; B22 ; 6 ; 78 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX3[0] ; F21 ; 6 ; 78 ; 35 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX3[1] ; E22 ; 6 ; 78 ; 33 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX3[2] ; E21 ; 6 ; 78 ; 33 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX3[3] ; C19 ; 7 ; 69 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX3[4] ; C20 ; 6 ; 78 ; 41 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX3[5] ; D19 ; 6 ; 78 ; 41 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX3[6] ; E17 ; 6 ; 78 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[0] ; A8 ; 7 ; 46 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[1] ; A9 ; 7 ; 46 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[2] ; A10 ; 7 ; 51 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[3] ; B10 ; 7 ; 46 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[4] ; D13 ; 7 ; 56 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[5] ; C13 ; 7 ; 58 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[6] ; E14 ; 7 ; 66 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[7] ; D14 ; 7 ; 56 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[8] ; A11 ; 7 ; 51 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[9] ; B11 ; 7 ; 49 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 13 / 60 ( 22 % ) ; 2.5V ; -- ; +; 7 ; 35 / 52 ( 67 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; LEDR[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A9 ; 449 ; 7 ; LEDR[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A10 ; 439 ; 7 ; LEDR[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A11 ; 437 ; 7 ; LEDR[8] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A12 ; 435 ; 7 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A13 ; 433 ; 7 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A14 ; 425 ; 7 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; HEX1[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A18 ; 405 ; 7 ; HEX1[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; HEX2[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A21 ; 371 ; 6 ; HEX2[3] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; LEDR[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B11 ; 443 ; 7 ; LEDR[9] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B12 ; 441 ; 7 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; HEX1[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B17 ; 402 ; 7 ; HEX1[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; HEX2[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B20 ; 369 ; 6 ; HEX2[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; B21 ; 367 ; 6 ; HEX2[4] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; B22 ; 365 ; 6 ; HEX2[6] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C13 ; 426 ; 7 ; LEDR[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C14 ; 424 ; 7 ; HEX0[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C15 ; 418 ; 7 ; HEX0[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C16 ; 416 ; 7 ; HEX0[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C17 ; 391 ; 7 ; HEX0[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C18 ; 400 ; 7 ; HEX1[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C19 ; 397 ; 7 ; HEX3[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C20 ; 357 ; 6 ; HEX3[4] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; HEX2[5] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D13 ; 431 ; 7 ; LEDR[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D14 ; 428 ; 7 ; LEDR[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; HEX0[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D18 ; 385 ; 6 ; HEX1[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; D19 ; 359 ; 6 ; HEX3[5] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; LEDR[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E15 ; 390 ; 7 ; HEX0[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E16 ; 388 ; 7 ; HEX0[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E17 ; 366 ; 6 ; HEX3[6] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; E18 ; 387 ; 6 ; HEX1[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; HEX3[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; E22 ; 333 ; 6 ; HEX3[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; SW[9] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; HEX3[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; LEDR[0] ; Incomplete set of assignments ; +; LEDR[1] ; Incomplete set of assignments ; +; LEDR[2] ; Incomplete set of assignments ; +; LEDR[3] ; Incomplete set of assignments ; +; LEDR[4] ; Incomplete set of assignments ; +; LEDR[5] ; Incomplete set of assignments ; +; LEDR[6] ; Incomplete set of assignments ; +; LEDR[7] ; Incomplete set of assignments ; +; LEDR[8] ; Incomplete set of assignments ; +; LEDR[9] ; Incomplete set of assignments ; +; HEX0[0] ; Incomplete set of assignments ; +; HEX0[1] ; Incomplete set of assignments ; +; HEX0[2] ; Incomplete set of assignments ; +; HEX0[3] ; Incomplete set of assignments ; +; HEX0[4] ; Incomplete set of assignments ; +; HEX0[5] ; Incomplete set of assignments ; +; HEX0[6] ; Incomplete set of assignments ; +; HEX1[0] ; Incomplete set of assignments ; +; HEX1[1] ; Incomplete set of assignments ; +; HEX1[2] ; Incomplete set of assignments ; +; HEX1[3] ; Incomplete set of assignments ; +; HEX1[4] ; Incomplete set of assignments ; +; HEX1[5] ; Incomplete set of assignments ; +; HEX1[6] ; Incomplete set of assignments ; +; HEX2[0] ; Incomplete set of assignments ; +; HEX2[1] ; Incomplete set of assignments ; +; HEX2[2] ; Incomplete set of assignments ; +; HEX2[3] ; Incomplete set of assignments ; +; HEX2[4] ; Incomplete set of assignments ; +; HEX2[5] ; Incomplete set of assignments ; +; HEX2[6] ; Incomplete set of assignments ; +; HEX3[0] ; Incomplete set of assignments ; +; HEX3[1] ; Incomplete set of assignments ; +; HEX3[2] ; Incomplete set of assignments ; +; HEX3[3] ; Incomplete set of assignments ; +; HEX3[4] ; Incomplete set of assignments ; +; HEX3[5] ; Incomplete set of assignments ; +; HEX3[6] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; +; SW[8] ; Incomplete set of assignments ; +; SW[9] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+------------------------+--------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+------------------------+--------------+--------------+ +; |part5 ; 25 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 48 ; 0 ; 25 (1) ; 0 (0) ; 0 (0) ; 0 ; |part5 ; part5 ; work ; +; |Mux_4to1:comb_3| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; |part5|Mux_4to1:comb_3 ; Mux_4to1 ; work ; +; |Mux_4to1:comb_4| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part5|Mux_4to1:comb_4 ; Mux_4to1 ; work ; +; |Mux_4to1:comb_5| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; |part5|Mux_4to1:comb_5 ; Mux_4to1 ; work ; +; |Mux_4to1:comb_6| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; |part5|Mux_4to1:comb_6 ; Mux_4to1 ; work ; +; |sevenSegment:S0| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part5|sevenSegment:S0 ; sevenSegment ; work ; +; |sevenSegment:S1| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part5|sevenSegment:S1 ; sevenSegment ; work ; +; |sevenSegment:S2| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part5|sevenSegment:S2 ; sevenSegment ; work ; +; |sevenSegment:S3| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part5|sevenSegment:S3 ; sevenSegment ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+------------------------+--------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; LEDR[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[8] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[9] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX2[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX2[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX2[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX2[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX2[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX2[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX2[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX3[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX3[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX3[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX3[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX3[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX3[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX3[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[2] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[3] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[4] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[6] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[7] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[8] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[9] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++-----------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------------+-------------------+---------+ +; SW[0] ; ; ; +; - Mux_4to1:comb_5|Mux1~0 ; 0 ; 6 ; +; - Mux_4to1:comb_3|Mux1~0 ; 0 ; 6 ; +; - LEDR[0]~output ; 0 ; 6 ; +; SW[1] ; ; ; +; - Mux_4to1:comb_5|Mux0~0 ; 0 ; 6 ; +; - Mux_4to1:comb_3|Mux0~0 ; 0 ; 6 ; +; - LEDR[1]~output ; 0 ; 6 ; +; SW[2] ; ; ; +; - Mux_4to1:comb_6|Mux1~0 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux1~1 ; 0 ; 6 ; +; - LEDR[2]~output ; 0 ; 6 ; +; SW[3] ; ; ; +; - Mux_4to1:comb_6|Mux0~0 ; 1 ; 6 ; +; - Mux_4to1:comb_5|Mux0~1 ; 1 ; 6 ; +; - LEDR[3]~output ; 1 ; 6 ; +; SW[4] ; ; ; +; - Mux_4to1:comb_5|Mux1~0 ; 1 ; 6 ; +; - Mux_4to1:comb_3|Mux1~0 ; 1 ; 6 ; +; - LEDR[4]~output ; 1 ; 6 ; +; SW[5] ; ; ; +; - Mux_4to1:comb_5|Mux0~0 ; 0 ; 6 ; +; - Mux_4to1:comb_3|Mux0~0 ; 0 ; 6 ; +; - LEDR[5]~output ; 0 ; 6 ; +; SW[6] ; ; ; +; - Mux_4to1:comb_6|Mux1~0 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux1~1 ; 0 ; 6 ; +; - LEDR[6]~output ; 0 ; 6 ; +; SW[7] ; ; ; +; - Mux_4to1:comb_6|Mux0~0 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux0~1 ; 0 ; 6 ; +; - LEDR[7]~output ; 0 ; 6 ; +; SW[8] ; ; ; +; - Mux_4to1:comb_6|Mux1~1 ; 0 ; 6 ; +; - Mux_4to1:comb_6|Mux0~1 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux1~2 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux0~2 ; 0 ; 6 ; +; - Mux_4to1:comb_4|Mux1~0 ; 0 ; 6 ; +; - Mux_4to1:comb_4|Mux0~0 ; 0 ; 6 ; +; - Mux_4to1:comb_3|Mux1~1 ; 0 ; 6 ; +; - Mux_4to1:comb_3|Mux0~1 ; 0 ; 6 ; +; - sevenSegment:S0|Decoder0~8 ; 0 ; 6 ; +; - sevenSegment:S0|Decoder0~9 ; 0 ; 6 ; +; - sevenSegment:S1|Decoder0~8 ; 0 ; 6 ; +; - sevenSegment:S1|Decoder0~9 ; 0 ; 6 ; +; - sevenSegment:S2|Decoder0~8 ; 0 ; 6 ; +; - sevenSegment:S2|Decoder0~9 ; 0 ; 6 ; +; - sevenSegment:S3|Decoder0~8 ; 0 ; 6 ; +; - sevenSegment:S3|Decoder0~9 ; 0 ; 6 ; +; - LEDR[8]~output ; 0 ; 6 ; +; SW[9] ; ; ; +; - Mux_4to1:comb_6|Mux1~0 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux1~0 ; 0 ; 6 ; +; - Mux_4to1:comb_6|Mux0~0 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux0~0 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux1~1 ; 0 ; 6 ; +; - Mux_4to1:comb_5|Mux0~1 ; 0 ; 6 ; +; - Mux_4to1:comb_3|Mux1~0 ; 0 ; 6 ; +; - Mux_4to1:comb_3|Mux0~0 ; 0 ; 6 ; +; - LEDR[9]~output ; 0 ; 6 ; ++-----------------------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 65 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 10 / 5,382 ( < 1 % ) ; +; C4 interconnects ; 66 / 106,704 ( < 1 % ) ; +; Direct links ; 10 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 12 / 49,760 ( < 1 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 10 / 5,406 ( < 1 % ) ; +; R4 interconnects ; 54 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 8.33) ; Number of LABs (Total = 3) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 1 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 8.33) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 8.00) ; Number of LABs (Total = 3) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 1 ; +; 12 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 7.33) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 1 ; +; 11 ; 0 ; +; 12 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 48 ; 48 ; 0 ; 0 ; 48 ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 38 ; 0 ; 0 ; 0 ; 10 ; 38 ; 0 ; 10 ; 0 ; 0 ; 38 ; 0 ; 48 ; 48 ; 48 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 48 ; 0 ; 0 ; 48 ; 48 ; 0 ; 0 ; 48 ; 48 ; 48 ; 48 ; 48 ; 48 ; 10 ; 48 ; 48 ; 48 ; 38 ; 10 ; 48 ; 38 ; 48 ; 48 ; 10 ; 48 ; 0 ; 0 ; 0 ; 48 ; 48 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; LEDR[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[9] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX2[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX2[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX2[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX2[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX2[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX2[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX2[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX3[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX3[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX3[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX3[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX3[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX3[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX3[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[9] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119006): Selected device 10M50DAF484C6GES for design "part5" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X67_Y44 to location X78_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.06 seconds. +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 +Info (144001): Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 7 warnings + Info: Peak virtual memory: 1082 megabytes + Info: Processing ended: Tue Apr 20 23:30:26 2021 + Info: Elapsed time: 00:00:21 + Info: Total CPU time (on all processors): 00:00:24 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.smsg. + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.smsg b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.summary b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.summary new file mode 100644 index 0000000..7a07bb2 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Tue Apr 20 23:30:26 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part5 +Top-level Entity Name : part5 +Family : MAX 10 +Device : 10M50DAF484C6GES +Timing Models : Preliminary +Total logic elements : 25 / 49,760 ( < 1 % ) + Total combinational functions : 25 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 48 / 360 ( 13 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.flow.rpt b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.flow.rpt new file mode 100644 index 0000000..486fc8f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.flow.rpt @@ -0,0 +1,131 @@ +Flow report for part5 +Tue Apr 20 23:30:41 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Tue Apr 20 23:30:33 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 25 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 25 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 48 / 360 ( 13 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/20/2021 23:29:48 ; +; Main task ; Compilation ; +; Revision Name ; part5 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 146063716074696.161897938829626 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:16 ; 1.0 ; 390 MB ; 00:00:32 ; +; Fitter ; 00:00:21 ; 1.0 ; 1082 MB ; 00:00:24 ; +; Assembler ; 00:00:05 ; 1.0 ; 356 MB ; 00:00:05 ; +; Timing Analyzer ; 00:00:07 ; 1.0 ; 507 MB ; 00:00:07 ; +; Total ; 00:00:49 ; -- ; -- ; 00:01:08 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+-------------------+------------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+-------------------+------------------+------------+----------------+ +; Analysis & Synthesis ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Fitter ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Assembler ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Timing Analyzer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; ++----------------------+-------------------+------------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 +quartus_fit --read_settings_files=off --write_settings_files=off part5 -c part5 +quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5 +quartus_sta part5 -c part5 + + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.jdi b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.jdi new file mode 100644 index 0000000..7d5463d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.map.rpt b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.map.rpt new file mode 100644 index 0000000..62e48bb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.map.rpt @@ -0,0 +1,351 @@ +Analysis & Synthesis report for part5 +Tue Apr 20 23:30:04 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Tue Apr 20 23:30:04 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Total logic elements ; 24 ; +; Total combinational functions ; 24 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 48 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Top-level entity name ; part5 ; part5 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------------+---------+ +; part5.v ; yes ; User Verilog HDL File ; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v ; ; ++----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------------+---------+ + + ++-----------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------+ +; Estimated Total logic elements ; 24 ; +; ; ; +; Total combinational functions ; 24 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 8 ; +; -- 3 input functions ; 16 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 24 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 48 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; SW[8]~input ; +; Maximum fan-out ; 17 ; +; Total fan-out ; 166 ; +; Average fan-out ; 1.38 ; ++---------------------------------------------+-------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+------------------------+--------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+------------------------+--------------+--------------+ +; |part5 ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 48 ; 0 ; 0 ; |part5 ; part5 ; work ; +; |Mux_4to1:comb_3| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|Mux_4to1:comb_3 ; Mux_4to1 ; work ; +; |Mux_4to1:comb_4| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|Mux_4to1:comb_4 ; Mux_4to1 ; work ; +; |Mux_4to1:comb_5| ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|Mux_4to1:comb_5 ; Mux_4to1 ; work ; +; |Mux_4to1:comb_6| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|Mux_4to1:comb_6 ; Mux_4to1 ; work ; +; |sevenSegment:S0| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|sevenSegment:S0 ; sevenSegment ; work ; +; |sevenSegment:S1| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|sevenSegment:S1 ; sevenSegment ; work ; +; |sevenSegment:S2| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|sevenSegment:S2 ; sevenSegment ; work ; +; |sevenSegment:S3| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|sevenSegment:S3 ; sevenSegment ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+------------------------+--------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 48 ; +; cycloneiii_lcell_comb ; 28 ; +; normal ; 28 ; +; 1 data inputs ; 4 ; +; 3 data inputs ; 16 ; +; 4 data inputs ; 8 ; +; ; ; +; Max LUT depth ; 3.00 ; +; Average LUT depth ; 2.97 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:01 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Tue Apr 20 23:29:48 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 3 design units, including 3 entities, in source file part5.v + Info (12023): Found entity 1: sevenSegment File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 1 + Info (12023): Found entity 2: Mux_4to1 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 16 + Info (12023): Found entity 3: part5 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 33 +Critical Warning (10846): Verilog HDL Instantiation warning at part5.v(41): instance has no name File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 41 +Critical Warning (10846): Verilog HDL Instantiation warning at part5.v(43): instance has no name File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 43 +Critical Warning (10846): Verilog HDL Instantiation warning at part5.v(45): instance has no name File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 45 +Critical Warning (10846): Verilog HDL Instantiation warning at part5.v(47): instance has no name File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 47 +Info (12127): Elaborating entity "part5" for the top level hierarchy +Info (12128): Elaborating entity "Mux_4to1" for hierarchy "Mux_4to1:comb_3" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 41 +Info (12128): Elaborating entity "sevenSegment" for hierarchy "sevenSegment:S0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/LAB1/Part 5/part5.v Line: 49 +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 72 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 10 input pins + Info (21059): Implemented 38 output pins + Info (21061): Implemented 24 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 55 warnings + Info: Peak virtual memory: 396 megabytes + Info: Processing ended: Tue Apr 20 23:30:04 2021 + Info: Elapsed time: 00:00:16 + Info: Total CPU time (on all processors): 00:00:32 + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.map.summary b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.map.summary new file mode 100644 index 0000000..f903155 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Tue Apr 20 23:30:04 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part5 +Top-level Entity Name : part5 +Family : MAX 10 +Total logic elements : 24 + Total combinational functions : 24 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 48 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.pin b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.pin new file mode 100644 index 0000000..bf8fc4d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "part5" ASSIGNED TO AN: 10M50DAF484C6GES + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +LEDR[0] : A8 : output : 2.5 V : : 7 : Y +LEDR[1] : A9 : output : 2.5 V : : 7 : Y +LEDR[2] : A10 : output : 2.5 V : : 7 : Y +LEDR[8] : A11 : output : 2.5 V : : 7 : Y +SW[4] : A12 : input : 2.5 V : : 7 : Y +SW[6] : A13 : input : 2.5 V : : 7 : Y +SW[7] : A14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +HEX1[4] : A17 : output : 2.5 V : : 7 : Y +HEX1[5] : A18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +HEX2[1] : A20 : output : 2.5 V : : 7 : Y +HEX2[3] : A21 : output : 2.5 V : : 6 : Y +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +LEDR[3] : B10 : output : 2.5 V : : 7 : Y +LEDR[9] : B11 : output : 2.5 V : : 7 : Y +SW[5] : B12 : input : 2.5 V : : 7 : Y +GND : B13 : gnd : : : : +SW[8] : B14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +HEX1[3] : B16 : output : 2.5 V : : 7 : Y +HEX1[6] : B17 : output : 2.5 V : : 7 : Y +GND : B18 : gnd : : : : +HEX2[2] : B19 : output : 2.5 V : : 7 : Y +HEX2[0] : B20 : output : 2.5 V : : 6 : Y +HEX2[4] : B21 : output : 2.5 V : : 6 : Y +HEX2[6] : B22 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +SW[3] : C12 : input : 2.5 V : : 7 : Y +LEDR[5] : C13 : output : 2.5 V : : 7 : Y +HEX0[0] : C14 : output : 2.5 V : : 7 : Y +HEX0[2] : C15 : output : 2.5 V : : 7 : Y +HEX0[3] : C16 : output : 2.5 V : : 7 : Y +HEX0[6] : C17 : output : 2.5 V : : 7 : Y +HEX1[0] : C18 : output : 2.5 V : : 7 : Y +HEX3[3] : C19 : output : 2.5 V : : 7 : Y +HEX3[4] : C20 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +HEX2[5] : C22 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[2] : D12 : input : 2.5 V : : 7 : Y +LEDR[4] : D13 : output : 2.5 V : : 7 : Y +LEDR[7] : D14 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +HEX0[5] : D17 : output : 2.5 V : : 7 : Y +HEX1[1] : D18 : output : 2.5 V : : 6 : Y +HEX3[5] : D19 : output : 2.5 V : : 6 : Y +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +LEDR[6] : E14 : output : 2.5 V : : 7 : Y +HEX0[1] : E15 : output : 2.5 V : : 7 : Y +HEX0[4] : E16 : output : 2.5 V : : 7 : Y +HEX3[6] : E17 : output : 2.5 V : : 6 : Y +HEX1[2] : E18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +HEX3[2] : E21 : output : 2.5 V : : 6 : Y +HEX3[1] : E22 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +SW[9] : F15 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +HEX3[0] : F21 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.pof b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.pof new file mode 100644 index 0000000..a334abc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.pof differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sld b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sof b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sof new file mode 100644 index 0000000..5a5de64 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sof differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sta.rpt b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sta.rpt new file mode 100644 index 0000000..86d5537 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sta.rpt @@ -0,0 +1,722 @@ +Timing Analyzer report for part5 +Tue Apr 20 23:30:41 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; part5 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.02 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.7% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; LEDR[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX2[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX2[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX2[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX2[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX2[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX2[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX2[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX3[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX3[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX3[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX3[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX3[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX3[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX3[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[9] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX2[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX2[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX2[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX2[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX2[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX2[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX2[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX3[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX3[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX3[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX3[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX3[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX3[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX3[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX2[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX2[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX2[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX2[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX2[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX2[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX2[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX3[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX3[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX3[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX3[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX3[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX3[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX3[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX2[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX2[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX2[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX2[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX2[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX2[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX2[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX3[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX3[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX3[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX3[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX3[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX3[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX3[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 10 ; 10 ; +; Unconstrained Input Port Paths ; 242 ; 242 ; +; Unconstrained Output Ports ; 38 ; 38 ; +; Unconstrained Output Port Paths ; 242 ; 242 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX2[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX3[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Tue Apr 20 23:30:34 2021 +Info: Command: quartus_sta part5 -c part5 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 56 warnings + Info: Peak virtual memory: 507 megabytes + Info: Processing ended: Tue Apr 20 23:30:41 2021 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:07 + + diff --git a/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sta.summary b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sta.summary new file mode 100644 index 0000000..aa5b327 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/output_files/part5.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/part5.qpf b/EE203/Noah Woodlee/LAB1/Part 5/part5.qpf new file mode 100644 index 0000000..ba2db1d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/part5.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:34:56 April 08, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "20:34:56 April 08, 2021" + +# Revisions + +PROJECT_REVISION = "part5" diff --git a/EE203/Noah Woodlee/LAB1/Part 5/part5.qsf b/EE203/Noah Woodlee/LAB1/Part 5/part5.qsf new file mode 100644 index 0000000..e08d605 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/part5.qsf @@ -0,0 +1,110 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:34:56 April 08, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part5_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part5 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:34:56 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part5.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 5/part5.qsf.bak b/EE203/Noah Woodlee/LAB1/Part 5/part5.qsf.bak new file mode 100644 index 0000000..5a48b2b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/part5.qsf.bak @@ -0,0 +1,54 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:34:56 April 08, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part5_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part5 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:34:56 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part5.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 5/part5.qws b/EE203/Noah Woodlee/LAB1/Part 5/part5.qws new file mode 100644 index 0000000..07c67da Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part 5/part5.qws differ diff --git a/EE203/Noah Woodlee/LAB1/Part 5/part5.v b/EE203/Noah Woodlee/LAB1/Part 5/part5.v new file mode 100644 index 0000000..f2ee1f1 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/part5.v @@ -0,0 +1,56 @@ +module sevenSegment (IN, OUT); + input [1:0]IN; + output reg [6:0]OUT; + + always @ (*) + begin + case (IN[1:0]) + 2'b00: OUT=7'b010_0001; + 2'b01: OUT=7'b000_0110; + 2'b10: OUT=7'b111_1001; + 2'b11: OUT=7'b100_0000; + endcase + end +endmodule + +module Mux_4to1 (IN1, IN2, IN3, IN4, SEL, M); + input [1:0] IN1, IN2, IN3, IN4; + input [1:0] SEL; + output reg[1:0] M; + + always@(*) + begin + case(SEL[1:0]) + 2'b00: M=IN1; + 2'b01: M=IN2; + 2'b10: M=IN3; + 2'b11: M=IN4; + endcase + end + +endmodule + +module part5 (SW, LEDR, HEX0, HEX1, HEX2, HEX3); + input [9:0]SW; + output [6:0]HEX0, HEX1, HEX2, HEX3; + output [9:0]LEDR; + + wire[1:0] M1, M2, M3, M0; + + // Chooses 'd' when SW[9:8] is 00 + Mux_4to1 (SW[7:6], SW[5:4], SW[3:2], SW[1:0], SW[9:8], M3); + // Chooses 'E' when SW[9:8] is 00 + Mux_4to1 (SW[5:4], SW[3:2], SW[1:0], SW[7:6], SW[9:8], M2); + // Chooses '1' when SW[9:8] is 00 + Mux_4to1 (SW[3:2], SW[1:0], SW[7:6], SW[5:4], SW[9:8], M1); + // Chooses '0' when SW[9:8] is 00 + Mux_4to1 (SW[1:0], SW[7:6], SW[5:4], SW[3:2], SW[9:8], M0); + + sevenSegment S0(M0, HEX0); + sevenSegment S1(M1, HEX1); + sevenSegment S2(M2, HEX2); + sevenSegment S3(M3, HEX3); + + assign LEDR=SW; + +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part 5/part5_assignment_defaults.qdf b/EE203/Noah Woodlee/LAB1/Part 5/part5_assignment_defaults.qdf new file mode 100644 index 0000000..93cd12b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part 5/part5_assignment_defaults.qdf @@ -0,0 +1,808 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 23:27:08 April 20, 2021 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value ENABLE +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/EE203/Noah Woodlee/LAB1/Part3/Part3.qpf b/EE203/Noah Woodlee/LAB1/Part3/Part3.qpf new file mode 100644 index 0000000..ebdd84a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/Part3.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:04:10 March 11, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "20:04:10 March 11, 2021" + +# Revisions + +PROJECT_REVISION = "Part3" diff --git a/EE203/Noah Woodlee/LAB1/Part3/Part3.qsf b/EE203/Noah Woodlee/LAB1/Part3/Part3.qsf new file mode 100644 index 0000000..6cdeed1 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/Part3.qsf @@ -0,0 +1,54 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:04:10 March 11, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# Part3_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY Part3 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:04:10 MARCH 11, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE Part3.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/Part3.qws b/EE203/Noah Woodlee/LAB1/Part3/Part3.qws new file mode 100644 index 0000000..6bc29fe Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/Part3.qws differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/Part3.v b/EE203/Noah Woodlee/LAB1/Part3/Part3.v new file mode 100644 index 0000000..4669482 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/Part3.v @@ -0,0 +1,19 @@ +module Part3 (SW,LEDR); + input [9:0]SW; + output [9:0]LEDR; + wire [1:0] S, U, V, W, X, M; + wire [1:0] U_V, W_X; + assign S[1:0]=SW[9:8]; + assign U=SW[1:0]; + assign V=SW[3:2]; + assign W=SW[5:4]; + assign X=SW[7:6]; + assign U_V[0]=(~S[0] & U[0])|(S[0] & V[0]); + assign U_V[1]=(~S[0] & U[1])|(S[0] & V[1]); + assign W_X[0]=(~S[0] & W[0])|(S[0] & X[0]); + assign W_X[1]=(~S[0] & W[1])|(S[0] & X[1]); + assign M[0]=(~S[0] & U_V[0])|(S[0] & W_X[0]); + assign M[1]=(~S[1] & U_V[1])|(S[1] & W_X[1]); + assign LEDR[1:0]=M; + assign LEDR[9:2]=8`b0; + endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/Part3.v.bak b/EE203/Noah Woodlee/LAB1/Part3/Part3.v.bak new file mode 100644 index 0000000..d6238a5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/Part3.v.bak @@ -0,0 +1,15 @@ +module Part3 (SW,LEDR); + input [9:0]SW; + output [9:0]LEDR; + wire [1:0] S, U, V, W, X, M; + wire S[1:0] = U_v, W_X; + assign U=SW[1:0]; + assign V=SW[3:2]; + assign W=SW[5:4]; + assign X=SW[4:6]; + assign U_V[0]=(~S[0] & U[0])|(~S[0] & V[0]); + assign U_V[1]=(~S[0] & U[1])|(~S[0] & V[1]); + assign W_X[0]=(~S[0] & W[0])|(~S[0] & X[0]); + assign W_X[1]=(~S[0] & W[1])|(~S[0] & X[1]); + assign M[0]=(~S[0] & U_V[0])|(~S[0] & W_X[0]); + assign M[1]=(~S[1] & U_V[1])|(~S[1] & W_X[1]); \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/Waveform.vwf b/EE203/Noah Woodlee/LAB1/Part3/Waveform.vwf new file mode 100644 index 0000000..a015de5 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/Waveform.vwf @@ -0,0 +1,740 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Part3 -c Part3 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Part3 -c Part3 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/" Part3 -c Part3 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/" Part3 -c Part3 +onerror {exit -code 1} +vlib work +vlog -work work Part3.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Part3_vlg_vec_tst +vcd file -direction Part3.msim.vcd +vcd add -internal Part3_vlg_vec_tst/* +vcd add -internal Part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +onerror {exit -code 1} +vlib work +vlog -work work Part3.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Part3_vlg_vec_tst +vcd file -direction Part3.msim.vcd +vcd add -internal Part3_vlg_vec_tst/* +vcd add -internal Part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 470.0; + LEVEL 1 FOR 530.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 230.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 270.0; + LEVEL 1 FOR 260.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 740.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 740.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 470.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + LEVEL X FOR 260.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 470.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + LEVEL X FOR 260.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 230.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL X FOR 530.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 230.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL X FOR 530.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 50.0; + LEVEL X FOR 770.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 50.0; + LEVEL X FOR 770.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.(0).cnf.cdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.(0).cnf.cdb new file mode 100644 index 0000000..3ed5f85 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.(0).cnf.hdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.(0).cnf.hdb new file mode 100644 index 0000000..0c02d17 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm.qmsg b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm.qmsg new file mode 100644 index 0000000..2b8d0db --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615517021969 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517021979 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:43:41 2021 " "Processing started: Thu Mar 11 20:43:41 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615517021979 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1615517021979 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Part3 -c Part3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1615517021979 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1615517022269 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1615517024039 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1615517024189 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4685 " "Peak virtual memory: 4685 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615517025249 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:43:45 2021 " "Processing ended: Thu Mar 11 20:43:45 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615517025249 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615517025249 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615517025249 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1615517025249 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm.rdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm.rdb new file mode 100644 index 0000000..61cedbb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm_labs.ddb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm_labs.ddb new file mode 100644 index 0000000..93131b8 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cbx.xml b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cbx.xml new file mode 100644 index 0000000..aca5124 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.bpm b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.bpm new file mode 100644 index 0000000..fd4e935 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.cdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.cdb new file mode 100644 index 0000000..6f72c6a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.hdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.hdb new file mode 100644 index 0000000..cfcf6bb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.idb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.idb new file mode 100644 index 0000000..90eeba9 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.idb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.logdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.logdb new file mode 100644 index 0000000..9b49f25 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.logdb @@ -0,0 +1,62 @@ +v1 +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;0;0;0;0;20;0;0;20;20;0;10;0;0;10;0;10;10;0;0;0;10;0;0;0;0;0;20;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,20;20;20;20;20;0;20;20;0;0;20;10;20;20;10;20;10;10;20;20;20;10;20;20;20;20;20;0;20;20, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,SW[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,9, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.rdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.rdb new file mode 100644 index 0000000..1be7f73 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp_merge.kpt b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp_merge.kpt new file mode 100644 index 0000000..64511c1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.db_info b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.db_info new file mode 100644 index 0000000..022c677 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Thu Mar 11 20:40:28 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.eda.qmsg b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.eda.qmsg new file mode 100644 index 0000000..04147b9 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.eda.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615517258442 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2016 Intel Corporation. All rights reserved. " "Copyright (C) 2016 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and its AMPP partner logic " "and other software and tools, and its AMPP partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel MegaCore Function License Agreement, or other " "the Intel MegaCore Function License Agreement, or other " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "applicable license agreement, including, without limitation, " "applicable license agreement, including, without limitation, " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "that your use is for the sole purpose of programming logic " "that your use is for the sole purpose of programming logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "devices manufactured by Intel and sold by Intel or its " "devices manufactured by Intel and sold by Intel or its " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "authorized distributors. Please refer to the applicable " "authorized distributors. Please refer to the applicable " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement for further details. " "agreement for further details." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:47:38 2021 " "Processing started: Thu Mar 11 20:47:38 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615517258442 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1615517258442 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/\" Part3 -c Part3 " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/\" Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1615517258442 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1615517258722 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "Part3.vo C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim// simulation " "Generated file Part3.vo in folder \"C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1615517258772 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4641 " "Peak virtual memory: 4641 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615517258822 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:47:38 2021 " "Processing ended: Thu Mar 11 20:47:38 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615517258822 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615517258822 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615517258822 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1615517258822 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.fit.qmsg b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.fit.qmsg new file mode 100644 index 0000000..f319990 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.fit.qmsg @@ -0,0 +1,53 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1615517011405 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1615517011405 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "Part3 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"Part3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1615517011415 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615517011455 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615517011455 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1615517011685 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1615517011685 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615517011795 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1615517011795 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 56 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 58 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 60 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 62 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 64 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 66 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 68 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 70 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615517011795 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1615517011795 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615517011795 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615517011795 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615517011795 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615517011795 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1615517011795 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "20 20 " "No exact pin location assignment(s) for 20 pins of 20 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1615517012035 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Part3.sdc " "Synopsys Design Constraints File file not found: 'Part3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1615517012245 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1615517012245 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1615517012245 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1615517012245 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1615517012245 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1615517012245 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1615517012245 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1615517012255 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1615517012255 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1615517012255 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "20 unused 2.5V 10 10 0 " "Number of I/O pins in group: 20 (unused VREF, 2.5V VCCIO, 10 input, 10 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1615517012255 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1615517012255 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1615517012255 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1A does not use undetermined 0 16 " "I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1B does not use undetermined 4 20 " "I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 36 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 48 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 48 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 40 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 0 60 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 52 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 4 32 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615517012255 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1615517012255 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1615517012255 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615517012285 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1615517012285 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1615517013445 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615517013495 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1615517013525 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1615517013915 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615517013915 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1615517014375 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1615517015785 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1615517015785 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1615517015885 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1615517015885 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1615517015885 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615517015885 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1615517016106 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615517016112 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615517016353 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615517016353 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615517016703 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615517017193 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1615517017473 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5904 " "Peak virtual memory: 5904 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615517017983 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:43:37 2021 " "Processing ended: Thu Mar 11 20:43:37 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615517017983 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615517017983 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:11 " "Total CPU time (on all processors): 00:00:11" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615517017983 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1615517017983 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.hier_info b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.hier_info new file mode 100644 index 0000000..7d5dfe8 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.hier_info @@ -0,0 +1,33 @@ +|Part3 +SW[0] => U_V.IN0 +SW[1] => U_V.IN0 +SW[2] => U_V.IN0 +SW[3] => U_V.IN0 +SW[4] => W_X.IN0 +SW[5] => W_X.IN0 +SW[6] => W_X.IN0 +SW[7] => W_X.IN0 +SW[8] => U_V.IN1 +SW[8] => U_V.IN1 +SW[8] => W_X.IN1 +SW[8] => W_X.IN1 +SW[8] => M.IN1 +SW[8] => W_X.IN1 +SW[8] => U_V.IN1 +SW[8] => W_X.IN1 +SW[8] => U_V.IN1 +SW[8] => M.IN1 +SW[9] => M.IN1 +SW[9] => M.IN1 +LEDR[0] << M.DB_MAX_OUTPUT_PORT_TYPE +LEDR[1] << M.DB_MAX_OUTPUT_PORT_TYPE +LEDR[2] << +LEDR[3] << +LEDR[4] << +LEDR[5] << +LEDR[6] << +LEDR[7] << +LEDR[8] << +LEDR[9] << + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.hif b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.hif new file mode 100644 index 0000000..13afcda Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.hif differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.html b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.html new file mode 100644 index 0000000..7d68592 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.rdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.rdb new file mode 100644 index 0000000..c179f4d Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.txt b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.txt new file mode 100644 index 0000000..dbfe520 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.ammdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.ammdb new file mode 100644 index 0000000..a4afc79 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.bpm b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.bpm new file mode 100644 index 0000000..6dfff06 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.bpm differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.cdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.cdb new file mode 100644 index 0000000..3146154 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.hdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.hdb new file mode 100644 index 0000000..8eb9601 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.kpt b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.kpt new file mode 100644 index 0000000..1e09325 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.logdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.qmsg b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.qmsg new file mode 100644 index 0000000..dcce57b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.qmsg @@ -0,0 +1,14 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615517000565 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517000565 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:43:20 2021 " "Processing started: Thu Mar 11 20:43:20 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615517000565 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615517000565 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Part3 -c Part3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615517000565 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1615517000915 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1615517000915 ""} +{ "Critical Warning" "WVRFX_VERI_UNDEFINED_MACRO" "b0 Part3.v(18) " "Verilog HDL Compiler Directive warning at Part3.v(18): text macro \"b0\" is undefined" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 18 0 0 } } } 1 10191 "Verilog HDL Compiler Directive warning at %2!s!: text macro \"%1!s!\" is undefined" 0 0 "Analysis & Synthesis" 0 -1 1615517009145 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part3.v 1 1 " "Found 1 design units, including 1 entities, in source file part3.v" { { "Info" "ISGN_ENTITY_NAME" "1 Part3 " "Found entity 1: Part3" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1615517009145 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615517009145 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Part3 " "Elaborating entity \"Part3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1615517009175 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[2\] GND " "Pin \"LEDR\[2\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[3\] GND " "Pin \"LEDR\[3\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[4\] GND " "Pin \"LEDR\[4\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[5\] VCC " "Pin \"LEDR\[5\]\" is stuck at VCC" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[6\] GND " "Pin \"LEDR\[6\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[7\] GND " "Pin \"LEDR\[7\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[8\] GND " "Pin \"LEDR\[8\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[9\] GND " "Pin \"LEDR\[9\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615517009505 "|Part3|LEDR[9]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1615517009505 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1615517009555 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1615517009955 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1615517009955 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[2\] " "No output dependent on input pin \"SW\[2\]\"" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 2 0 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1615517010005 "|Part3|SW[2]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[4\] " "No output dependent on input pin \"SW\[4\]\"" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 2 0 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1615517010005 "|Part3|SW[4]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1615517010005 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "23 " "Implemented 23 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1615517010005 ""} { "Info" "ICUT_CUT_TM_OPINS" "10 " "Implemented 10 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1615517010005 ""} { "Info" "ICUT_CUT_TM_LCELLS" "3 " "Implemented 3 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1615517010005 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1615517010005 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4781 " "Peak virtual memory: 4781 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615517010035 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:43:30 2021 " "Processing ended: Thu Mar 11 20:43:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615517010035 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615517010035 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:23 " "Total CPU time (on all processors): 00:00:23" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615517010035 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1615517010035 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.rdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.rdb new file mode 100644 index 0000000..d5f08fe Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.cdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.cdb new file mode 100644 index 0000000..08e0bbf Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.hdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.hdb new file mode 100644 index 0000000..1de4ce1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.logdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.pre_map.hdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.pre_map.hdb new file mode 100644 index 0000000..e7b32c0 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..1490d4e Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.routing.rdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.routing.rdb new file mode 100644 index 0000000..296825f Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.routing.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv.hdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv.hdb new file mode 100644 index 0000000..6b25e5a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv_sg.cdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv_sg.cdb new file mode 100644 index 0000000..ea01cfb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv_sg_swap.cdb new file mode 100644 index 0000000..0be030c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sld_design_entry.sci b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sld_design_entry.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sld_design_entry_dsc.sci new file mode 100644 index 0000000..1bd84ed Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.smart_action.txt b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.smart_action.txt new file mode 100644 index 0000000..f1e1649 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta.qmsg b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta.qmsg new file mode 100644 index 0000000..8e08b13 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta.qmsg @@ -0,0 +1,50 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615517027899 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615517027899 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:43:47 2021 " "Processing started: Thu Mar 11 20:43:47 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615517027899 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517027899 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta Part3 -c Part3 " "Command: quartus_sta Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517027899 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1615517028009 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028159 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028159 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028199 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028199 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Part3.sdc " "Synopsys Design Constraints File file not found: 'Part3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028469 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028469 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028469 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028469 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028469 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028469 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1615517028469 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028469 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615517028479 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028479 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1615517028479 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028479 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028479 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028489 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028499 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028509 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615517028509 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028529 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028899 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028969 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028969 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028969 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028969 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028969 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028979 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028979 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028979 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028979 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517028989 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615517028999 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029179 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029179 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029179 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029179 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029179 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029179 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029189 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029189 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029189 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517029999 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517030009 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4867 " "Peak virtual memory: 4867 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615517030069 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:43:50 2021 " "Processing ended: Thu Mar 11 20:43:50 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615517030069 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615517030069 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615517030069 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615517030069 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta.rdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta.rdb new file mode 100644 index 0000000..af2511c Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta.rdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta_cmp.7_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta_cmp.7_slow_1200mv_85c.tdb new file mode 100644 index 0000000..a0c954b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.sta_cmp.7_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tis_db_list.ddb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tis_db_list.ddb new file mode 100644 index 0000000..eda1a82 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..4046b12 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fastest_slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fastest_slow_1200mv_0c.ddb new file mode 100644 index 0000000..c8c11b5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fastest_slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fastest_slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fastest_slow_1200mv_85c.ddb new file mode 100644 index 0000000..db7b824 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.fastest_slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..5be6931 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..014f918 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tmw_info b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tmw_info new file mode 100644 index 0000000..13b4dfb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:30 +start_analysis_synthesis:s:00:00:10-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:11-start_full_compilation +start_assembler:s:00:00:06-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.vpr.ammdb b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.vpr.ammdb new file mode 100644 index 0000000..7963d6a Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..db68b29 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ii_0c_slow.hsd b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ii_0c_slow.hsd new file mode 100644 index 0000000..d223770 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ii_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ii_85c_slow.hsd b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ii_85c_slow.hsd new file mode 100644 index 0000000..68203ec Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/db/Part3.zippleback_io_sim_cache.ii_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/Part3_partition_pins.json b/EE203/Noah Woodlee/LAB1/Part3/db/Part3_partition_pins.json new file mode 100644 index 0000000..14273a6 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/Part3_partition_pins.json @@ -0,0 +1,49 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "LEDR[0]", + "strict" : false + }, + { + "name" : "LEDR[1]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[8]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[9]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/db/prev_cmp_Part3.qmsg b/EE203/Noah Woodlee/LAB1/Part3/db/prev_cmp_Part3.qmsg new file mode 100644 index 0000000..cc9770d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/db/prev_cmp_Part3.qmsg @@ -0,0 +1,132 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1615516876157 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615516876157 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:41:16 2021 " "Processing started: Thu Mar 11 20:41:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615516876157 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615516876157 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Part3 -c Part3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615516876157 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1615516876509 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1615516876509 ""} +{ "Critical Warning" "WVRFX_VERI_UNDEFINED_MACRO" "b0 Part3.v(18) " "Verilog HDL Compiler Directive warning at Part3.v(18): text macro \"b0\" is undefined" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 18 0 0 } } } 1 10191 "Verilog HDL Compiler Directive warning at %2!s!: text macro \"%1!s!\" is undefined" 0 0 "Analysis & Synthesis" 0 -1 1615516884892 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part3.v 1 1 " "Found 1 design units, including 1 entities, in source file part3.v" { { "Info" "ISGN_ENTITY_NAME" "1 Part3 " "Found entity 1: Part3" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1615516884892 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1615516884892 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Part3 " "Elaborating entity \"Part3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1615516884922 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[2\] GND " "Pin \"LEDR\[2\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[3\] GND " "Pin \"LEDR\[3\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[4\] GND " "Pin \"LEDR\[4\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[5\] VCC " "Pin \"LEDR\[5\]\" is stuck at VCC" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[6\] GND " "Pin \"LEDR\[6\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[7\] GND " "Pin \"LEDR\[7\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[8\] GND " "Pin \"LEDR\[8\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDR\[9\] GND " "Pin \"LEDR\[9\]\" is stuck at GND" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 3 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1615516885252 "|Part3|LEDR[9]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1615516885252 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1615516885312 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1615516885702 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1615516885702 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[2\] " "No output dependent on input pin \"SW\[2\]\"" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 2 0 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1615516885762 "|Part3|SW[2]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[4\] " "No output dependent on input pin \"SW\[4\]\"" { } { { "Part3.v" "" { Text "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v" 2 0 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1615516885762 "|Part3|SW[4]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1615516885762 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "23 " "Implemented 23 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1615516885762 ""} { "Info" "ICUT_CUT_TM_OPINS" "10 " "Implemented 10 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1615516885762 ""} { "Info" "ICUT_CUT_TM_LCELLS" "3 " "Implemented 3 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1615516885762 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1615516885762 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4781 " "Peak virtual memory: 4781 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615516885792 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:41:25 2021 " "Processing ended: Thu Mar 11 20:41:25 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615516885792 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615516885792 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:23 " "Total CPU time (on all processors): 00:00:23" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615516885792 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1615516885792 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1615516889012 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615516889022 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:41:28 2021 " "Processing started: Thu Mar 11 20:41:28 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615516889022 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1615516889022 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off Part3 -c Part3 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1615516889022 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1615516889122 ""} +{ "Info" "0" "" "Project = Part3" { } { } 0 0 "Project = Part3" 0 0 "Fitter" 0 0 1615516889122 ""} +{ "Info" "0" "" "Revision = Part3" { } { } 0 0 "Revision = Part3" 0 0 "Fitter" 0 0 1615516889122 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1615516889212 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1615516889212 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "Part3 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"Part3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1615516889212 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615516889262 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1615516889262 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1615516889482 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1615516889482 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1615516889592 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1615516889592 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 56 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 58 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 60 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 62 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 64 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 66 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 68 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/16.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 0 { 0 ""} 0 70 14176 15139 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1615516889592 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1615516889592 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615516889592 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615516889592 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615516889592 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1615516889592 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1615516889592 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "20 20 " "No exact pin location assignment(s) for 20 pins of 20 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1615516889832 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Part3.sdc " "Synopsys Design Constraints File file not found: 'Part3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1615516890042 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1615516890042 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "20 unused 2.5V 10 10 0 " "Number of I/O pins in group: 20 (unused VREF, 2.5V VCCIO, 10 input, 10 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1615516890052 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1615516890052 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1615516890052 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1A does not use undetermined 0 16 " "I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1B does not use undetermined 4 20 " "I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 36 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 48 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 48 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 40 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 0 60 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 52 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 4 32 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1615516890052 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1615516890052 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1615516890052 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615516890072 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1615516890082 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1615516891202 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615516891252 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1615516891282 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1615516891673 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615516891673 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1615516892133 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1615516893463 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1615516893463 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1615516893563 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1615516893563 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1615516893563 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615516893573 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1615516893773 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615516893783 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615516894033 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1615516894033 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1615516894373 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1615516894843 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg " "Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1615516895123 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5903 " "Peak virtual memory: 5903 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615516895643 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:41:35 2021 " "Processing ended: Thu Mar 11 20:41:35 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615516895643 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615516895643 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615516895643 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1615516895643 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1615516896653 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615516896663 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:41:36 2021 " "Processing started: Thu Mar 11 20:41:36 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615516896663 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1615516896663 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Part3 -c Part3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1615516896663 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1615516896953 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1615516898723 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1615516898863 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4685 " "Peak virtual memory: 4685 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615516899922 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:41:39 2021 " "Processing ended: Thu Mar 11 20:41:39 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615516899922 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615516899922 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615516899922 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1615516899922 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1615516900525 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1615516901085 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus Prime " "Running Quartus Prime TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1615516901085 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 11 20:41:40 2021 " "Processing started: Thu Mar 11 20:41:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1615516901085 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901085 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta Part3 -c Part3 " "Command: quartus_sta Part3 -c Part3" { } { } 0 0 "Command: %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901085 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "TimeQuest Timing Analyzer" 0 0 1615516901195 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901355 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901355 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901385 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901385 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Part3.sdc " "Synopsys Design Constraints File file not found: 'Part3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901655 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901655 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901655 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901655 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901655 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901655 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "TimeQuest Timing Analyzer" 0 0 1615516901655 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901665 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615516901665 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901665 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "TimeQuest Timing Analyzer" 0 0 1615516901665 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901675 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901675 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901685 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901695 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901695 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615516901705 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516901725 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902105 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902165 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902165 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902165 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902165 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902165 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902175 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902175 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902175 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902175 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902185 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "TimeQuest Timing Analyzer" 0 0 1615516902185 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902365 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902365 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902365 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902365 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902375 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902375 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902375 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902375 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516902385 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516903195 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516903195 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4868 " "Peak virtual memory: 4868 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1615516903255 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 11 20:41:43 2021 " "Processing ended: Thu Mar 11 20:41:43 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1615516903255 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1615516903255 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1615516903255 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516903255 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 26 s " "Quartus Prime Full Compilation was successful. 0 errors, 26 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "TimeQuest Timing Analyzer" 0 -1 1615516903895 ""} diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..d89a873 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.0 :| George Totolos :| 08/22/2016:| Initial Revision +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a2885da --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +DE10_LITE_Golden_Top.v +platform_setup.tcl +filelist.txt diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..d9cea11 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,435 @@ +proc ::setup_project {} { +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "15.1.0" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name SDC_FILE DE10_LITE_Golden_Top.SDC + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 + +#============================================================ +# SDRAM +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N + +#============================================================ +# SEG7 +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] + +#============================================================ +# VGA +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS + +#============================================================ +# Accelerometer +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO + +#============================================================ +# Arduino +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..1c1dfa3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/home/gtotolos/Desktop/max10_de10_lite/Golden_Top/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/de0_nano_soc_baseline.v b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/de0_nano_soc_baseline.v new file mode 100644 index 0000000..2c2b670 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/de0_nano_soc_baseline.v @@ -0,0 +1,147 @@ +//--------------------------------------------------------------------------// +// Title: de0_nano_soc_baseline.v // +// Rev: Rev 0.1 // +// Last Revised: 09/14/2015 // +//--------------------------------------------------------------------------// +// Description: Baseline design file contains DE0 Nano SoC // +// Board pins and I/O Standards. // +//--------------------------------------------------------------------------// +//Copyright 2015 Altera Corporation. All rights reserved. Altera products +//are protected under numerous U.S. and foreign patents, maskwork rights, +//copyrights and other intellectual property laws. +// +//This reference design file, and your use thereof, is subject to and +//governed by the terms and conditions of the applicable Altera Reference +//Design License Agreement. By using this reference design file, you +//indicate your acceptance of such terms and conditions between you and +//Altera Corporation. In the event that you do not agree with such terms and +//conditions, you may not use the reference design file. Please promptly +//destroy any copies you have made. +// +//This reference design file being provided on an "as-is" basis and as an +//accommodation and therefore all warranties, representations or guarantees +//of any kind (whether express, implied or statutory) including, without +//limitation, warranties of merchantability, non-infringement, or fitness for +//a particular purpose, are specifically disclaimed. By making this +//reference design file available, Altera expressly does not recommend, +//suggest or require that this reference design file be used in combination +//with any other product not provided by Altera +//---------------------------------------------------------------------------- + +//Group Enable Definitions +//This lists every pinout group +//Users can enable any group by uncommenting the corresponding line below: +//`define enable_ADC +//`define enable_ARDUINO +//`define enable_GPIO0 +//`define enable_GPIO1 +//`define enable_HPS + +module de0_nano_soc_baseline( + + + //////////// CLOCK ////////// + input FPGA_CLK_50, + input FPGA_CLK2_50, + input FPGA_CLK3_50, + +`ifdef enable_ADC + //////////// ADC ////////// + /* 3.3-V LVTTL */ + output ADC_CONVST, + output ADC_SCLK, + output ADC_SDI, + input ADC_SDO, +`endif + +`ifdef enable_ARDUINO + //////////// ARDUINO //////////// + /* 3.3-V LVTTL */ + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + +`ifdef enable_GPIO0 + //////////// GPIO 0 //////////// + /* 3.3-V LVTTL */ + inout [35:0] GPIO_0, +`endif + +`ifdef enable_GPIO1 + //////////// GPIO 1 //////////// + /* 3.3-V LVTTL */ + inout [35:0] GPIO_1, +`endif + +`ifdef enable_HPS + //////////// HPS ////////// + /* 3.3-V LVTTL */ + inout HPS_CONV_USB_N, + + /* SSTL-15 Class I */ + output [14:0] HPS_DDR3_ADDR, + output [2:0] HPS_DDR3_BA, + output HPS_DDR3_CAS_N, + output HPS_DDR3_CKE, + output HPS_DDR3_CS_N, + output [3:0] HPS_DDR3_DM, + inout [31:0] HPS_DDR3_DQ, + output HPS_DDR3_ODT, + output HPS_DDR3_RAS_N, + output HPS_DDR3_RESET_N, + input HPS_DDR3_RZQ, + output HPS_DDR3_WE_N, + /* DIFFERENTIAL 1.5-V SSTL CLASS I */ + output HPS_DDR3_CK_N, + output HPS_DDR3_CK_P, + inout [3:0] HPS_DDR3_DQS_N, + inout [3:0] HPS_DDR3_DQS_P, + + /* 3.3-V LVTTL */ + output HPS_ENET_GTX_CLK, + inout HPS_ENET_INT_N, + output HPS_ENET_MDC, + inout HPS_ENET_MDIO, + input HPS_ENET_RX_CLK, + input [3:0] HPS_ENET_RX_DATA, + input HPS_ENET_RX_DV, + output [3:0] HPS_ENET_TX_DATA, + output HPS_ENET_TX_EN, + inout HPS_GSENSOR_INT, + inout HPS_I2C0_SCLK, + inout HPS_I2C0_SDAT, + inout HPS_I2C1_SCLK, + inout HPS_I2C1_SDAT, + inout HPS_KEY, + inout HPS_LED, + inout HPS_LTC_GPIO, + output HPS_SD_CLK, + inout HPS_SD_CMD, + inout [3:0] HPS_SD_DATA, + output HPS_SPIM_CLK, + input HPS_SPIM_MISO, + output HPS_SPIM_MOSI, + inout HPS_SPIM_SS, + input HPS_UART_RX, + output HPS_UART_TX, + input HPS_USB_CLKOUT, + inout [7:0] HPS_USB_DATA, + input HPS_USB_DIR, + input HPS_USB_NXT, + output HPS_USB_STP, +`endif + + //////////// KEY //////////// + /* 3.3-V LVTTL */ + input [1:0] KEY, + + //////////// LED //////////// + /* 3.3-V LVTTL */ + output [7:0] LED, + + //////////// SW //////////// + /* 3.3-V LVTTL */ + input [3:0] SW + +); +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/filelist.txt b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/filelist.txt new file mode 100644 index 0000000..90052fb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/filelist.txt @@ -0,0 +1,3 @@ +filelist.txt +platform_setup.tcl +de0_nano_soc_baseline.v \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/platform_setup.tcl b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/platform_setup.tcl new file mode 100644 index 0000000..2d0bcc6 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/platform_setup.tcl @@ -0,0 +1,582 @@ +proc ::setup_project {} { +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2015 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, the Altera Quartus II License Agreement, +# the Altera MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Altera and sold by Altera or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 15.0.0 Build 145 04/22/2015 SJ Full Version +# Date created = 11:02:36 September 14, 2015 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# top_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name DEVICE 5CSEMA4U23C6 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:02:36 SEPTEMBER 14, 2015" +set_global_assignment -name LAST_QUARTUS_VERSION 15.0.0 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name VERILOG_FILE de0_nano_soc_baseline.v +set_location_assignment PIN_U9 -to ADC_CONVST +set_location_assignment PIN_V10 -to ADC_SCLK +set_location_assignment PIN_AC4 -to ADC_SDI +set_location_assignment PIN_AD4 -to ADC_SDO +set_location_assignment PIN_AG13 -to ARDUINO_IO[0] +set_location_assignment PIN_AF13 -to ARDUINO_IO[1] +set_location_assignment PIN_AG10 -to ARDUINO_IO[2] +set_location_assignment PIN_AG9 -to ARDUINO_IO[3] +set_location_assignment PIN_U14 -to ARDUINO_IO[4] +set_location_assignment PIN_U13 -to ARDUINO_IO[5] +set_location_assignment PIN_AG8 -to ARDUINO_IO[6] +set_location_assignment PIN_AH8 -to ARDUINO_IO[7] +set_location_assignment PIN_AF17 -to ARDUINO_IO[8] +set_location_assignment PIN_AE15 -to ARDUINO_IO[9] +set_location_assignment PIN_AF15 -to ARDUINO_IO[10] +set_location_assignment PIN_AG16 -to ARDUINO_IO[11] +set_location_assignment PIN_AH11 -to ARDUINO_IO[12] +set_location_assignment PIN_AH12 -to ARDUINO_IO[13] +set_location_assignment PIN_AH9 -to ARDUINO_IO[14] +set_location_assignment PIN_AG11 -to ARDUINO_IO[15] +set_location_assignment PIN_AH7 -to ARDUINO_RESET_N +set_location_assignment PIN_V11 -to CLOCK_50 +set_location_assignment PIN_Y13 -to CLOCK2_50 +set_location_assignment PIN_E11 -to CLOCK3_50 +set_location_assignment PIN_V12 -to GPIO_0[0] +set_location_assignment PIN_AF7 -to GPIO_0[1] +set_location_assignment PIN_W12 -to GPIO_0[2] +set_location_assignment PIN_AF8 -to GPIO_0[3] +set_location_assignment PIN_Y8 -to GPIO_0[4] +set_location_assignment PIN_AB4 -to GPIO_0[5] +set_location_assignment PIN_W8 -to GPIO_0[6] +set_location_assignment PIN_Y4 -to GPIO_0[7] +set_location_assignment PIN_Y5 -to GPIO_0[8] +set_location_assignment PIN_U11 -to GPIO_0[9] +set_location_assignment PIN_T8 -to GPIO_0[10] +set_location_assignment PIN_T12 -to GPIO_0[11] +set_location_assignment PIN_AH5 -to GPIO_0[12] +set_location_assignment PIN_AH6 -to GPIO_0[13] +set_location_assignment PIN_AH4 -to GPIO_0[14] +set_location_assignment PIN_AG5 -to GPIO_0[15] +set_location_assignment PIN_AH3 -to GPIO_0[16] +set_location_assignment PIN_AH2 -to GPIO_0[17] +set_location_assignment PIN_AF4 -to GPIO_0[18] +set_location_assignment PIN_AG6 -to GPIO_0[19] +set_location_assignment PIN_AF5 -to GPIO_0[20] +set_location_assignment PIN_AE4 -to GPIO_0[21] +set_location_assignment PIN_T13 -to GPIO_0[22] +set_location_assignment PIN_T11 -to GPIO_0[23] +set_location_assignment PIN_AE7 -to GPIO_0[24] +set_location_assignment PIN_AF6 -to GPIO_0[25] +set_location_assignment PIN_AF9 -to GPIO_0[26] +set_location_assignment PIN_AE8 -to GPIO_0[27] +set_location_assignment PIN_AD10 -to GPIO_0[28] +set_location_assignment PIN_AE9 -to GPIO_0[29] +set_location_assignment PIN_AD11 -to GPIO_0[30] +set_location_assignment PIN_AF10 -to GPIO_0[31] +set_location_assignment PIN_AD12 -to GPIO_0[32] +set_location_assignment PIN_AE11 -to GPIO_0[33] +set_location_assignment PIN_AF11 -to GPIO_0[34] +set_location_assignment PIN_AE12 -to GPIO_0[35] +set_location_assignment PIN_Y15 -to GPIO_1[0] +set_location_assignment PIN_AG28 -to GPIO_1[1] +set_location_assignment PIN_AA15 -to GPIO_1[2] +set_location_assignment PIN_AH27 -to GPIO_1[3] +set_location_assignment PIN_AG26 -to GPIO_1[4] +set_location_assignment PIN_AH24 -to GPIO_1[5] +set_location_assignment PIN_AF23 -to GPIO_1[6] +set_location_assignment PIN_AE22 -to GPIO_1[7] +set_location_assignment PIN_AF21 -to GPIO_1[8] +set_location_assignment PIN_AG20 -to GPIO_1[9] +set_location_assignment PIN_AG19 -to GPIO_1[10] +set_location_assignment PIN_AF20 -to GPIO_1[11] +set_location_assignment PIN_AC23 -to GPIO_1[12] +set_location_assignment PIN_AG18 -to GPIO_1[13] +set_location_assignment PIN_AH26 -to GPIO_1[14] +set_location_assignment PIN_AA19 -to GPIO_1[15] +set_location_assignment PIN_AG24 -to GPIO_1[16] +set_location_assignment PIN_AF25 -to GPIO_1[17] +set_location_assignment PIN_AH23 -to GPIO_1[18] +set_location_assignment PIN_AG23 -to GPIO_1[19] +set_location_assignment PIN_AE19 -to GPIO_1[20] +set_location_assignment PIN_AF18 -to GPIO_1[21] +set_location_assignment PIN_AD19 -to GPIO_1[22] +set_location_assignment PIN_AE20 -to GPIO_1[23] +set_location_assignment PIN_AE24 -to GPIO_1[24] +set_location_assignment PIN_AD20 -to GPIO_1[25] +set_location_assignment PIN_AF22 -to GPIO_1[26] +set_location_assignment PIN_AH22 -to GPIO_1[27] +set_location_assignment PIN_AH19 -to GPIO_1[28] +set_location_assignment PIN_AH21 -to GPIO_1[29] +set_location_assignment PIN_AG21 -to GPIO_1[30] +set_location_assignment PIN_AH18 -to GPIO_1[31] +set_location_assignment PIN_AD23 -to GPIO_1[32] +set_location_assignment PIN_AE23 -to GPIO_1[33] +set_location_assignment PIN_AA18 -to GPIO_1[34] +set_location_assignment PIN_AC22 -to GPIO_1[35] +set_location_assignment PIN_AH17 -to KEY[0] +set_location_assignment PIN_AH16 -to KEY[1] +set_location_assignment PIN_W15 -to LED[0] +set_location_assignment PIN_AA24 -to LED[1] +set_location_assignment PIN_V16 -to LED[2] +set_location_assignment PIN_V15 -to LED[3] +set_location_assignment PIN_AF26 -to LED[4] +set_location_assignment PIN_AE26 -to LED[5] +set_location_assignment PIN_Y16 -to LED[6] +set_location_assignment PIN_AA23 -to LED[7] +set_location_assignment PIN_L10 -to SW[0] +set_location_assignment PIN_L9 -to SW[1] +set_location_assignment PIN_H6 -to SW[2] +set_location_assignment PIN_H5 -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_CONV_USB_N +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[0] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[1] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[2] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[3] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[4] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[5] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[6] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[7] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[8] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[9] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[10] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[11] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[12] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[13] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ADDR[14] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[0] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[1] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_BA[2] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CAS_N +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CKE +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_N +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_CK_P +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_CS_N +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[0] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[1] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[2] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DM[3] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[0] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[1] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[2] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[3] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[4] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[5] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[6] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[7] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[8] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[9] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[10] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[11] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[12] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[13] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[14] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[15] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[16] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[17] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[18] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[19] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[20] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[21] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[22] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[23] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[24] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[25] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[26] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[27] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[28] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[29] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[30] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_DQ[31] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[2] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_N[3] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[0] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[1] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[2] +set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.5-V SSTL CLASS I" -to HPS_DDR3_DQS_P[3] +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_ODT +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RAS_N +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RESET_N +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_RZQ +set_instance_assignment -name IO_STANDARD "SSTL-15 CLASS I" -to HPS_DDR3_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_GTX_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_INT_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDC +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_MDIO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_RX_DV +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_ENET_TX_EN +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_GSENSOR_INT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C0_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_I2C1_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_KEY +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LED +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_LTC_GPIO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_CMD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SD_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MISO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_MOSI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_SPIM_SS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_RX +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_UART_TX +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_CLKOUT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_DIR +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_NXT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HPS_USB_STP +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[0] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[0] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[1] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[1] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[2] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[2] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[3] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[3] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[4] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[4] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[5] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[5] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[6] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[6] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[7] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[7] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[8] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[8] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[9] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[9] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[10] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[10] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[11] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[11] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[12] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[12] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[13] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[13] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[14] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[14] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[15] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[15] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[16] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[16] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[17] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[17] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[18] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[18] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[19] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[19] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[20] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[20] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[21] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[21] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[22] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[22] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[23] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[23] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[24] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[24] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[25] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[25] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[26] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[26] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[27] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[27] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[28] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[28] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[29] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[29] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[30] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[30] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[31] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQ[31] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[0] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[0] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[1] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[1] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[2] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[2] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[3] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_P[3] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[0] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[0] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[1] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[1] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[2] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[2] +set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[3] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DQS_N[3] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITHOUT CALIBRATION" -to HPS_DDR3_CK_P +set_instance_assignment -name D5_DELAY 2 -to HPS_DDR3_CK_P +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITHOUT CALIBRATION" -to HPS_DDR3_CK_N +set_instance_assignment -name D5_DELAY 2 -to HPS_DDR3_CK_N +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[10] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[11] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[12] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[13] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[14] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[8] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ADDR[9] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_BA[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_BA[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_BA[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_CAS_N +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_CKE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_CS_N +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_ODT +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_RAS_N +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_WE_N +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to HPS_DDR3_RESET_N +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DM[0] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DM[1] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DM[2] +set_instance_assignment -name OUTPUT_TERMINATION "SERIES 50 OHM WITH CALIBRATION" -to HPS_DDR3_DM[3] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[0] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[1] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[2] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[3] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[4] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[5] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[6] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[7] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[8] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[9] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[10] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[11] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[12] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[13] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[14] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[15] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[16] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[17] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[18] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[19] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[20] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[21] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[22] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[23] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[24] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[25] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[26] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[27] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[28] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[29] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[30] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQ[31] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DM[0] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DM[1] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DM[2] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DM[3] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_P[0] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_P[1] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_P[2] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_P[3] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_N[0] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_N[1] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_N[2] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_DQS_N[3] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[0] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[10] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[11] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[12] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[13] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[14] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[1] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[2] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[3] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[4] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[5] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[6] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[7] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[8] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ADDR[9] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_BA[0] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_BA[1] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_BA[2] +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_CAS_N +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_CKE +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_CS_N +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_ODT +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_RAS_N +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_WE_N +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_RESET_N +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_CK_P +set_instance_assignment -name PACKAGE_SKEW_COMPENSATION OFF -to HPS_DDR3_CK_N +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CONVST +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[35] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[35] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/qar_info.json b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/qar_info.json new file mode 100644 index 0000000..6524796 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/de0_nano_soc_baseline/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/data/smeer/16.0/atlas_soc_pinout/atlas_soc_pinout_baseline/de0_nano_soc_baseline/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/devkits/readme.txt b/EE203/Noah Woodlee/LAB1/Part3/devkits/readme.txt new file mode 100644 index 0000000..f6ba267 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/README b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.db_info b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.db_info new file mode 100644 index 0000000..937f61d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Version_Index = 419480576 +Creation_Time = Thu Mar 11 20:26:27 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.ammdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.ammdb new file mode 100644 index 0000000..befd0be Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.cdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.cdb new file mode 100644 index 0000000..cff8c63 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.dfp b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.hdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.hdb new file mode 100644 index 0000000..1f29269 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.logdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.rcfdb new file mode 100644 index 0000000..57fd5f1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.cdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.cdb new file mode 100644 index 0000000..45fe584 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.dpi b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.dpi new file mode 100644 index 0000000..25f04bb Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..5b43df5 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..4467283 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hdb new file mode 100644 index 0000000..d36aa91 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.kpt b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.kpt new file mode 100644 index 0000000..a9bea23 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.rrp.hdb b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.rrp.hdb new file mode 100644 index 0000000..936834b Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/incremental_db/compiled_partitions/Part3.rrp.hdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.asm.rpt b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.asm.rpt new file mode 100644 index 0000000..5e04db6 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.asm.rpt @@ -0,0 +1,93 @@ +Assembler report for Part3 +Thu Mar 11 20:43:45 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Mar 11 20:43:45 2021 ; +; Revision Name ; Part3 ; +; Top-level Entity Name ; Part3 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++-------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-------------------------------------------------------------------------+ +; File Name ; ++-------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.sof ; ++-------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.sof ; ++----------------+----------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+----------------------------------------------------------------------------------+ +; Device ; 10M50DAF484C7G ; +; JTAG usercode ; 0x00270861 ; +; Checksum ; 0x00270861 ; ++----------------+----------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Mar 11 20:43:41 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off Part3 -c Part3 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4685 megabytes + Info: Processing ended: Thu Mar 11 20:43:45 2021 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.done b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.done new file mode 100644 index 0000000..03aa28d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.done @@ -0,0 +1 @@ +Thu Mar 11 20:43:50 2021 diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.eda.rpt b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.eda.rpt new file mode 100644 index 0000000..76fd44f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.eda.rpt @@ -0,0 +1,108 @@ +EDA Netlist Writer report for Part3 +Thu Mar 11 20:47:38 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Mar 11 20:47:38 2021 ; +; Revision Name ; Part3 ; +; Top-level Entity Name ; Part3 ; +; Family ; MAX 10 ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++----------------------------------------------------------------------------+ +; Simulation Generated Files ; ++----------------------------------------------------------------------------+ +; Generated Files ; ++----------------------------------------------------------------------------+ +; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim//Part3.vo ; ++----------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Mar 11 20:47:38 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/" Part3 -c Part3 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file Part3.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Mar 11 20:47:38 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.rpt b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.rpt new file mode 100644 index 0000000..34c7685 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.rpt @@ -0,0 +1,1294 @@ +Fitter report for Part3 +Thu Mar 11 20:43:37 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Thu Mar 11 20:43:37 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Part3 ; +; Top-level Entity Name ; Part3 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 4 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 4 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 20 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C7G ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.2% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 63 ) ; 0.00 % ( 0 / 63 ) ; 0.00 % ( 0 / 63 ) ; +; -- Achieved ; 0.00 % ( 0 / 63 ) ; 0.00 % ( 0 / 63 ) ; 0.00 % ( 0 / 63 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 47 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 4 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 4 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 2 ; +; -- 3 input functions ; 1 ; +; -- <=2 input functions ; 1 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 4 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 3 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 20 / 360 ( 6 % ) ; +; -- Clock pins ; 2 / 8 ( 25 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.1% / 0.0% / 0.3% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 44 ; +; Average fan-out ; 0.70 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 4 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 4 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 2 ; 0 ; +; -- 3 input functions ; 1 ; 0 ; +; -- <=2 input functions ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 4 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 3 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 20 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 50 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 10 ; 0 ; +; -- Output Ports ; 10 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; D12 ; 7 ; 51 ; 54 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[2] ; W8 ; 3 ; 24 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[3] ; A7 ; 7 ; 49 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[4] ; V5 ; 3 ; 14 ; 0 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[5] ; A11 ; 7 ; 51 ; 54 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[6] ; C10 ; 7 ; 51 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[7] ; A10 ; 7 ; 51 ; 54 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[8] ; H12 ; 7 ; 49 ; 54 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; +; SW[9] ; J11 ; 7 ; 49 ; 54 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; LEDR[0] ; B11 ; 7 ; 49 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[1] ; B12 ; 7 ; 49 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[2] ; H21 ; 6 ; 78 ; 29 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[3] ; L8 ; 1B ; 0 ; 27 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[4] ; N14 ; 6 ; 78 ; 29 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[5] ; E11 ; 8 ; 36 ; 39 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[6] ; Y17 ; 4 ; 58 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[7] ; AA17 ; 4 ; 58 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[8] ; W6 ; 3 ; 16 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; LEDR[9] ; E18 ; 6 ; 78 ; 49 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 5 / 24 ( 21 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 3 / 48 ( 6 % ) ; 2.5V ; -- ; +; 4 ; 2 / 48 ( 4 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 3 / 60 ( 5 % ) ; 2.5V ; -- ; +; 7 ; 10 / 52 ( 19 % ) ; 2.5V ; -- ; +; 8 ; 5 / 36 ( 14 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; A8 ; 447 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; A11 ; 437 ; 7 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; A12 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A14 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; LEDR[7] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; LEDR[0] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; B12 ; 441 ; 7 ; LEDR[1] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; C12 ; 436 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 391 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; LEDR[5] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; 388 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; LEDR[9] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; LEDR[2] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; SW[9] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; LEDR[3] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; LEDR[4] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; LEDR[8] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; LEDR[6] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; SW[2] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; LEDR[0] ; Incomplete set of assignments ; +; LEDR[1] ; Incomplete set of assignments ; +; LEDR[2] ; Incomplete set of assignments ; +; LEDR[3] ; Incomplete set of assignments ; +; LEDR[4] ; Incomplete set of assignments ; +; LEDR[5] ; Incomplete set of assignments ; +; LEDR[6] ; Incomplete set of assignments ; +; LEDR[7] ; Incomplete set of assignments ; +; LEDR[8] ; Incomplete set of assignments ; +; LEDR[9] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[8] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[9] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; +; SW[2] ; Missing location assignment ; +; SW[4] ; Missing location assignment ; +; LEDR[0] ; Missing location assignment ; +; LEDR[1] ; Missing location assignment ; +; LEDR[2] ; Missing location assignment ; +; LEDR[3] ; Missing location assignment ; +; LEDR[4] ; Missing location assignment ; +; LEDR[5] ; Missing location assignment ; +; LEDR[6] ; Missing location assignment ; +; LEDR[7] ; Missing location assignment ; +; LEDR[8] ; Missing location assignment ; +; LEDR[9] ; Missing location assignment ; +; SW[6] ; Missing location assignment ; +; SW[0] ; Missing location assignment ; +; SW[8] ; Missing location assignment ; +; SW[5] ; Missing location assignment ; +; SW[9] ; Missing location assignment ; +; SW[3] ; Missing location assignment ; +; SW[1] ; Missing location assignment ; +; SW[7] ; Missing location assignment ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |Part3 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 20 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; |Part3 ; Part3 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; SW[2] ; Input ; -- ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; -- ; -- ; -- ; -- ; -- ; +; LEDR[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[8] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[9] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[6] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[0] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[8] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[9] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[3] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[7] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; SW[2] ; ; ; +; SW[4] ; ; ; +; SW[6] ; ; ; +; - M~0 ; 1 ; 6 ; +; SW[0] ; ; ; +; - M~0 ; 1 ; 6 ; +; SW[8] ; ; ; +; - M~0 ; 0 ; 6 ; +; - M~1 ; 0 ; 6 ; +; SW[5] ; ; ; +; - M~2 ; 0 ; 6 ; +; SW[9] ; ; ; +; - M~1 ; 0 ; 6 ; +; - M~2 ; 0 ; 6 ; +; SW[3] ; ; ; +; - M~1 ; 1 ; 6 ; +; SW[1] ; ; ; +; - M~1 ; 0 ; 6 ; +; SW[7] ; ; ; +; - M~2 ; 0 ; 6 ; ++---------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 15 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 0 / 5,382 ( 0 % ) ; +; C4 interconnects ; 15 / 106,704 ( < 1 % ) ; +; Direct links ; 1 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 1 / 49,760 ( < 1 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 0 / 5,406 ( 0 % ) ; +; R4 interconnects ; 0 / 147,764 ( 0 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 1.33) ; Number of LABs (Total = 3) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 2 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 1.33) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 1.00) ; Number of LABs (Total = 3) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 3.00) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 9 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 21 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; 20 ; 20 ; 0 ; 10 ; 0 ; 0 ; 10 ; 0 ; 10 ; 10 ; 0 ; 0 ; 0 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 20 ; 20 ; 20 ; 20 ; 20 ; 0 ; 20 ; 20 ; 0 ; 0 ; 20 ; 10 ; 20 ; 20 ; 10 ; 20 ; 10 ; 10 ; 20 ; 20 ; 20 ; 10 ; 20 ; 20 ; 20 ; 20 ; 20 ; 0 ; 20 ; 20 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; SW[2] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[0] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[1] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[2] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[3] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[4] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[5] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[6] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[7] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[8] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[9] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[8] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[9] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (119006): Selected device 10M50DAF484C7G for design "Part3" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device 10M08DAF484I7G is compatible + Info (176445): Device 10M16DAF484C7G is compatible + Info (176445): Device 10M16DAF484I7G is compatible + Info (176445): Device 10M25DAF484C7G is compatible + Info (176445): Device 10M25DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7P is compatible + Info (176445): Device 10M40DAF484C7G is compatible + Info (176445): Device 10M40DAF484I7G is compatible +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (169085): No exact pin location assignment(s) for 20 pins of 20 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. +Critical Warning (332012): Synopsys Design Constraints File file not found: 'Part3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 20 (unused VREF, 2.5V VCCIO, 10 input, 10 output, 0 bidirectional) + Info (176212): I/O standards used: 2.5 V. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 16 pins available + Info (176213): I/O bank number 1B does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 20 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 36 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 60 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 52 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 32 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (144001): Generated suppressed messages file C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 5904 megabytes + Info: Processing ended: Thu Mar 11 20:43:37 2021 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:11 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg. + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg new file mode 100644 index 0000000..558ea95 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.summary b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.summary new file mode 100644 index 0000000..e4c9581 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Thu Mar 11 20:43:37 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : Part3 +Top-level Entity Name : Part3 +Family : MAX 10 +Device : 10M50DAF484C7G +Timing Models : Final +Total logic elements : 4 / 49,760 ( < 1 % ) + Total combinational functions : 4 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 20 / 360 ( 6 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.flow.rpt b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.flow.rpt new file mode 100644 index 0000000..a4da2fa --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.flow.rpt @@ -0,0 +1,134 @@ +Flow report for Part3 +Thu Mar 11 20:47:38 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Thu Mar 11 20:47:38 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Part3 ; +; Top-level Entity Name ; Part3 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 4 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 4 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 20 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/11/2021 20:43:20 ; +; Main task ; Compilation ; +; Revision Name ; Part3 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 189559947077153.161551700008156 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 4781 MB ; 00:00:22 ; +; Fitter ; 00:00:07 ; 1.0 ; 5904 MB ; 00:00:10 ; +; Assembler ; 00:00:04 ; 1.0 ; 4684 MB ; 00:00:03 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4641 MB ; 00:00:01 ; +; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 4867 MB ; 00:00:02 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4632 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4641 MB ; 00:00:01 ; +; Total ; 00:00:23 ; -- ; -- ; 00:00:40 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; TimeQuest Timing Analyzer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ENG227-02 ; Windows 10 ; 10.0 ; x86_64 ; ++---------------------------+------------------+------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off Part3 -c Part3 +quartus_fit --read_settings_files=off --write_settings_files=off Part3 -c Part3 +quartus_asm --read_settings_files=off --write_settings_files=off Part3 -c Part3 +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/" Part3 -c Part3 +quartus_sta Part3 -c Part3 +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Part3 -c Part3 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/" Part3 -c Part3 + + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.jdi b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.jdi new file mode 100644 index 0000000..85579ba --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.map.rpt b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.map.rpt new file mode 100644 index 0000000..63f5412 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.map.rpt @@ -0,0 +1,298 @@ +Analysis & Synthesis report for Part3 +Thu Mar 11 20:43:30 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Mar 11 20:43:29 2021 ; +; Quartus Prime Version ; 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Revision Name ; Part3 ; +; Top-level Entity Name ; Part3 ; +; Family ; MAX 10 ; +; Total logic elements ; 3 ; +; Total combinational functions ; 3 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 20 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C7G ; ; +; Top-level entity name ; Part3 ; Part3 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; OpenCore Plus hardware evaluation ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------------+---------+ +; Part3.v ; yes ; User Verilog HDL File ; C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v ; ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------------+---------+ + + ++-----------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------+ +; Estimated Total logic elements ; 3 ; +; ; ; +; Total combinational functions ; 3 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 2 ; +; -- 3 input functions ; 1 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 3 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 20 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; SW[8]~input ; +; Maximum fan-out ; 2 ; +; Total fan-out ; 33 ; +; Average fan-out ; 0.77 ; ++---------------------------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |Part3 ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; |Part3 ; Part3 ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 20 ; +; cycloneiii_lcell_comb ; 5 ; +; normal ; 5 ; +; 0 data inputs ; 2 ; +; 3 data inputs ; 1 ; +; 4 data inputs ; 2 ; +; ; ; +; Max LUT depth ; 2.00 ; +; Average LUT depth ; 1.31 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Mar 11 20:43:20 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Part3 -c Part3 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Critical Warning (10191): Verilog HDL Compiler Directive warning at Part3.v(18): text macro "b0" is undefined File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 18 +Info (12021): Found 1 design units, including 1 entities, in source file part3.v + Info (12023): Found entity 1: Part3 File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 1 +Info (12127): Elaborating entity "Part3" for the top level hierarchy +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "LEDR[2]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 + Warning (13410): Pin "LEDR[3]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 + Warning (13410): Pin "LEDR[4]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 + Warning (13410): Pin "LEDR[5]" is stuck at VCC File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 + Warning (13410): Pin "LEDR[6]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 + Warning (13410): Pin "LEDR[7]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 + Warning (13410): Pin "LEDR[8]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 + Warning (13410): Pin "LEDR[9]" is stuck at GND File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 3 +Info (286030): Timing-Driven Synthesis is running +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Warning (21074): Design contains 2 input pin(s) that do not drive logic + Warning (15610): No output dependent on input pin "SW[2]" File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 2 + Warning (15610): No output dependent on input pin "SW[4]" File: C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v Line: 2 +Info (21057): Implemented 23 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 10 input pins + Info (21059): Implemented 10 output pins + Info (21061): Implemented 3 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings + Info: Peak virtual memory: 4781 megabytes + Info: Processing ended: Thu Mar 11 20:43:30 2021 + Info: Elapsed time: 00:00:10 + Info: Total CPU time (on all processors): 00:00:23 + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.map.summary b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.map.summary new file mode 100644 index 0000000..8b5c15b --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Thu Mar 11 20:43:29 2021 +Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition +Revision Name : Part3 +Top-level Entity Name : Part3 +Family : MAX 10 +Total logic elements : 3 + Total combinational functions : 3 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 20 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.pin b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.pin new file mode 100644 index 0000000..45f1c5d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2016 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel MegaCore Function License Agreement, or other + -- applicable license agreement, including, without limitation, + -- that your use is for the sole purpose of programming logic + -- devices manufactured by Intel and sold by Intel or its + -- authorized distributors. Please refer to the applicable + -- agreement for further details. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +CHIP "Part3" ASSIGNED TO AN: 10M50DAF484C7G + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +SW[3] : A7 : input : 2.5 V : : 7 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +SW[7] : A10 : input : 2.5 V : : 7 : N +SW[5] : A11 : input : 2.5 V : : 7 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +LEDR[7] : AA17 : output : 2.5 V : : 4 : N +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +LEDR[0] : B11 : output : 2.5 V : : 7 : N +LEDR[1] : B12 : output : 2.5 V : : 7 : N +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[6] : C10 : input : 2.5 V : : 7 : N +SW[1] : C11 : input : 2.5 V : : 7 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : C12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[0] : D12 : input : 2.5 V : : 7 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +LEDR[5] : E11 : output : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +LEDR[9] : E18 : output : 2.5 V : : 6 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +SW[8] : H12 : input : 2.5 V : : 7 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +LEDR[2] : H21 : output : 2.5 V : : 6 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +SW[9] : J11 : input : 2.5 V : : 7 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +LEDR[3] : L8 : output : 2.5 V : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +LEDR[4] : N14 : output : 2.5 V : : 6 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +SW[4] : V5 : input : 2.5 V : : 3 : N +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +LEDR[8] : W6 : output : 2.5 V : : 3 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +SW[2] : W8 : input : 2.5 V : : 3 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +LEDR[6] : Y17 : output : 2.5 V : : 4 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.pof b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.pof new file mode 100644 index 0000000..36cc633 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.pof differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sld b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sld new file mode 100644 index 0000000..41a6030 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sof b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sof new file mode 100644 index 0000000..e6c8fcc Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sof differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sta.rpt b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sta.rpt new file mode 100644 index 0000000..028d15d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sta.rpt @@ -0,0 +1,483 @@ +TimeQuest Timing Analyzer report for Part3 +Thu Mar 11 20:43:50 2021 +Quartus Prime Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition ; +; Timing Analyzer ; TimeQuest ; +; Revision Name ; Part3 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 8 ; +; ; ; +; Average used ; 1.02 ; +; Maximum used ; 8 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-8 ; 0.3% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; LEDR[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[9] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0783 V ; 0.157 V ; 0.167 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0783 V ; 0.157 V ; 0.167 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; 2.32 V ; 8.26e-09 V ; 2.4 V ; -0.0773 V ; 0.156 V ; 0.166 V ; 4.55e-10 s ; 4.33e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0409 V ; 0.21 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0459 V ; 0.201 V ; 0.093 V ; 4.9e-10 s ; 5.83e-10 s ; Yes ; No ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0459 V ; 0.201 V ; 0.093 V ; 4.9e-10 s ; 5.83e-10 s ; Yes ; No ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0449 V ; 0.201 V ; 0.093 V ; 4.89e-10 s ; 5.81e-10 s ; Yes ; No ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0173 V ; 0.144 V ; 0.094 V ; 6.44e-10 s ; 7.2e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; +; LEDR[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.77 V ; -0.0485 V ; 0.289 V ; 0.058 V ; 2.81e-10 s ; 3.04e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.77 V ; -0.0485 V ; 0.289 V ; 0.058 V ; 2.81e-10 s ; 3.04e-10 s ; No ; Yes ; +; LEDR[8] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.77 V ; -0.0486 V ; 0.285 V ; 0.06 V ; 2.8e-10 s ; 3.04e-10 s ; No ; Yes ; +; LEDR[9] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0395 V ; 0.361 V ; 0.109 V ; 3.1e-10 s ; 4.41e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 8 ; 8 ; +; Unconstrained Input Port Paths ; 9 ; 9 ; +; Unconstrained Output Ports ; 2 ; 2 ; +; Unconstrained Output Port Paths ; 9 ; 9 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime TimeQuest Timing Analyzer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Processing started: Thu Mar 11 20:43:47 2021 +Info: Command: quartus_sta Part3 -c Part3 +Info: qsta_default_script.tcl version: #1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 8 of the 8 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'Part3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 4867 megabytes + Info: Processing ended: Thu Mar 11 20:43:50 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sta.summary b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sta.summary new file mode 100644 index 0000000..6640100 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/output_files/Part3.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.do b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.do new file mode 100644 index 0000000..8e66582 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.do @@ -0,0 +1,18 @@ +onerror {exit -code 1} +vlib work +vlog -work work Part3.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Part3_vlg_vec_tst +vcd file -direction Part3.msim.vcd +vcd add -internal Part3_vlg_vec_tst/* +vcd add -internal Part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.msim.vcd b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.msim.vcd new file mode 100644 index 0000000..2b09a5f --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.msim.vcd @@ -0,0 +1,259 @@ +$comment + File created using the following command: + vcd file Part3.msim.vcd -direction +$end +$date + Thu Mar 11 20:47:39 2021 +$end +$version + ModelSim Version 10.5b +$end +$timescale + 1ps +$end + +$scope module Part3_vlg_vec_tst $end +$var reg 10 ! SW [9:0] $end +$var wire 1 " LEDR [9] $end +$var wire 1 # LEDR [8] $end +$var wire 1 $ LEDR [7] $end +$var wire 1 % LEDR [6] $end +$var wire 1 & LEDR [5] $end +$var wire 1 ' LEDR [4] $end +$var wire 1 ( LEDR [3] $end +$var wire 1 ) LEDR [2] $end +$var wire 1 * LEDR [1] $end +$var wire 1 + LEDR [0] $end + +$scope module i1 $end +$var wire 1 , gnd $end +$var wire 1 - vcc $end +$var wire 1 . unknown $end +$var tri1 1 / devclrn $end +$var tri1 1 0 devpor $end +$var tri1 1 1 devoe $end +$var wire 1 2 SW[2]~input_o $end +$var wire 1 3 SW[4]~input_o $end +$var wire 1 4 ~QUARTUS_CREATED_GND~I_combout $end +$var wire 1 5 ~QUARTUS_CREATED_UNVM~~busy $end +$var wire 1 6 ~QUARTUS_CREATED_ADC1~~eoc $end +$var wire 1 7 ~QUARTUS_CREATED_ADC2~~eoc $end +$var wire 1 8 LEDR[0]~output_o $end +$var wire 1 9 LEDR[1]~output_o $end +$var wire 1 : LEDR[2]~output_o $end +$var wire 1 ; LEDR[3]~output_o $end +$var wire 1 < LEDR[4]~output_o $end +$var wire 1 = LEDR[5]~output_o $end +$var wire 1 > LEDR[6]~output_o $end +$var wire 1 ? LEDR[7]~output_o $end +$var wire 1 @ LEDR[8]~output_o $end +$var wire 1 A LEDR[9]~output_o $end +$var wire 1 B SW[0]~input_o $end +$var wire 1 C SW[8]~input_o $end +$var wire 1 D SW[6]~input_o $end +$var wire 1 E M~0_combout $end +$var wire 1 F SW[5]~input_o $end +$var wire 1 G SW[9]~input_o $end +$var wire 1 H SW[3]~input_o $end +$var wire 1 I SW[1]~input_o $end +$var wire 1 J M~1_combout $end +$var wire 1 K SW[7]~input_o $end +$var wire 1 L M~2_combout $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +b0xxxxxx00 ! +0+ +0* +0) +0( +0' +1& +0% +0$ +0# +0" +0, +1- +x. +1/ +10 +11 +x2 +x3 +04 +z5 +z6 +z7 +08 +09 +0: +0; +0< +1= +0> +0? +0@ +0A +0B +0C +xD +0E +xF +0G +xH +0I +0J +xK +0L +$end +#40000 +b0xxxxxx10 ! +b0xxxxxx11 ! +1I +1B +1J +1E +18 +1+ +1L +19 +1* +#100000 +b0xxxxxx01 ! +b0xxxxxx00 ! +0I +0B +0J +0E +08 +0+ +0L +09 +0* +#180000 +b0xxxxxx10 ! +b0xxxxxx11 ! +1I +1B +1J +1E +18 +1+ +1L +19 +1* +#230000 +b1xxxxxx11 ! +b1xxxx0x11 ! +b1xxxx0011 ! +b1xxxx00x1 ! +b1xxxx00xx ! +1C +0H +02 +xI +xB +0J +xE +x8 +x+ +0L +09 +0* +#310000 +b1xxxx10xx ! +b1xxxx11xx ! +1H +12 +1J +1L +19 +1* +#390000 +b1xxxx01xx ! +b1xxxx00xx ! +0H +02 +0J +0L +09 +0* +#470000 +b11xxxx00xx ! +b11xx1x00xx ! +b11xx1100xx ! +b10xx1100xx ! +b10xx11x0xx ! +b10xx11xxxx ! +1G +0C +1F +13 +xH +x2 +1L +19 +1* +#550000 +b10xx01xxxx ! +b10xx00xxxx ! +0F +03 +0L +09 +0* +#640000 +b10xx10xxxx ! +b10xx11xxxx ! +1F +13 +1L +19 +1* +#740000 +b101x11xxxx ! +b101111xxxx ! +b111111xxxx ! +b1111x1xxxx ! +b1111xxxxxx ! +1C +1K +1D +xF +x3 +1J +1E +xL +x9 +18 +1+ +x* +1L +19 +1* +#810000 +b1101xxxxxx ! +b1100xxxxxx ! +0K +0D +0L +0E +08 +09 +0* +0+ +#900000 +b1110xxxxxx ! +b1111xxxxxx ! +1K +1D +1L +1E +18 +19 +1* +1+ +#1000000 diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.sft b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.sft new file mode 100644 index 0000000..f324fea --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.vo b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.vo new file mode 100644 index 0000000..6e30d8d --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.vo @@ -0,0 +1,571 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" + +// DATE "03/11/2021 20:47:38" + +// +// Device: Altera 10M50DAF484C7G Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module Part3 ( + SW, + LEDR); +input [9:0] SW; +output [9:0] LEDR; + +// Design Ports Information +// SW[2] => Location: PIN_W8, I/O Standard: 2.5 V, Current Strength: Default +// SW[4] => Location: PIN_V5, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[0] => Location: PIN_B11, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[1] => Location: PIN_B12, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[2] => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[3] => Location: PIN_L8, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[4] => Location: PIN_N14, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[5] => Location: PIN_E11, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[6] => Location: PIN_Y17, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[7] => Location: PIN_AA17, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[8] => Location: PIN_W6, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[9] => Location: PIN_E18, I/O Standard: 2.5 V, Current Strength: Default +// SW[6] => Location: PIN_C10, I/O Standard: 2.5 V, Current Strength: Default +// SW[0] => Location: PIN_D12, I/O Standard: 2.5 V, Current Strength: Default +// SW[8] => Location: PIN_H12, I/O Standard: 2.5 V, Current Strength: Default +// SW[5] => Location: PIN_A11, I/O Standard: 2.5 V, Current Strength: Default +// SW[9] => Location: PIN_J11, I/O Standard: 2.5 V, Current Strength: Default +// SW[3] => Location: PIN_A7, I/O Standard: 2.5 V, Current Strength: Default +// SW[1] => Location: PIN_C11, I/O Standard: 2.5 V, Current Strength: Default +// SW[7] => Location: PIN_A10, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \SW[2]~input_o ; +wire \SW[4]~input_o ; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \~QUARTUS_CREATED_UNVM~~busy ; +wire \~QUARTUS_CREATED_ADC1~~eoc ; +wire \~QUARTUS_CREATED_ADC2~~eoc ; +wire \LEDR[0]~output_o ; +wire \LEDR[1]~output_o ; +wire \LEDR[2]~output_o ; +wire \LEDR[3]~output_o ; +wire \LEDR[4]~output_o ; +wire \LEDR[5]~output_o ; +wire \LEDR[6]~output_o ; +wire \LEDR[7]~output_o ; +wire \LEDR[8]~output_o ; +wire \LEDR[9]~output_o ; +wire \SW[0]~input_o ; +wire \SW[8]~input_o ; +wire \SW[6]~input_o ; +wire \M~0_combout ; +wire \SW[5]~input_o ; +wire \SW[9]~input_o ; +wire \SW[3]~input_o ; +wire \SW[1]~input_o ; +wire \M~1_combout ; +wire \SW[7]~input_o ; +wire \M~2_combout ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: LCCOMB_X44_Y41_N8 +fiftyfivenm_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .cout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 16'h0000; +defparam \~QUARTUS_CREATED_GND~I .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X49_Y54_N9 +fiftyfivenm_io_obuf \LEDR[0]~output ( + .i(\M~0_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[0]~output .bus_hold = "false"; +defparam \LEDR[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X49_Y54_N2 +fiftyfivenm_io_obuf \LEDR[1]~output ( + .i(\M~2_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[1]~output .bus_hold = "false"; +defparam \LEDR[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y29_N2 +fiftyfivenm_io_obuf \LEDR[2]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[2]~output .bus_hold = "false"; +defparam \LEDR[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y27_N16 +fiftyfivenm_io_obuf \LEDR[3]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[3]~output .bus_hold = "false"; +defparam \LEDR[3]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y29_N23 +fiftyfivenm_io_obuf \LEDR[4]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[4]~output .bus_hold = "false"; +defparam \LEDR[4]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X36_Y39_N16 +fiftyfivenm_io_obuf \LEDR[5]~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[5]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[5]~output .bus_hold = "false"; +defparam \LEDR[5]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X58_Y0_N23 +fiftyfivenm_io_obuf \LEDR[6]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[6]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[6]~output .bus_hold = "false"; +defparam \LEDR[6]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X58_Y0_N30 +fiftyfivenm_io_obuf \LEDR[7]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[7]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[7]~output .bus_hold = "false"; +defparam \LEDR[7]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X16_Y0_N30 +fiftyfivenm_io_obuf \LEDR[8]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[8]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[8]~output .bus_hold = "false"; +defparam \LEDR[8]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y49_N2 +fiftyfivenm_io_obuf \LEDR[9]~output ( + .i(gnd), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[9]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[9]~output .bus_hold = "false"; +defparam \LEDR[9]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N1 +fiftyfivenm_io_ibuf \SW[0]~input ( + .i(SW[0]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[0]~input_o )); +// synopsys translate_off +defparam \SW[0]~input .bus_hold = "false"; +defparam \SW[0]~input .listen_to_nsleep_signal = "false"; +defparam \SW[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X49_Y54_N15 +fiftyfivenm_io_ibuf \SW[8]~input ( + .i(SW[8]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[8]~input_o )); +// synopsys translate_off +defparam \SW[8]~input .bus_hold = "false"; +defparam \SW[8]~input .listen_to_nsleep_signal = "false"; +defparam \SW[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N29 +fiftyfivenm_io_ibuf \SW[6]~input ( + .i(SW[6]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[6]~input_o )); +// synopsys translate_off +defparam \SW[6]~input .bus_hold = "false"; +defparam \SW[6]~input .listen_to_nsleep_signal = "false"; +defparam \SW[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X50_Y53_N24 +fiftyfivenm_lcell_comb \M~0 ( +// Equation(s): +// \M~0_combout = (\SW[8]~input_o & ((\SW[6]~input_o ))) # (!\SW[8]~input_o & (\SW[0]~input_o )) + + .dataa(\SW[0]~input_o ), + .datab(gnd), + .datac(\SW[8]~input_o ), + .datad(\SW[6]~input_o ), + .cin(gnd), + .combout(\M~0_combout ), + .cout()); +// synopsys translate_off +defparam \M~0 .lut_mask = 16'hFA0A; +defparam \M~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N8 +fiftyfivenm_io_ibuf \SW[5]~input ( + .i(SW[5]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[5]~input_o )); +// synopsys translate_off +defparam \SW[5]~input .bus_hold = "false"; +defparam \SW[5]~input .listen_to_nsleep_signal = "false"; +defparam \SW[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X49_Y54_N22 +fiftyfivenm_io_ibuf \SW[9]~input ( + .i(SW[9]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[9]~input_o )); +// synopsys translate_off +defparam \SW[9]~input .bus_hold = "false"; +defparam \SW[9]~input .listen_to_nsleep_signal = "false"; +defparam \SW[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X49_Y54_N29 +fiftyfivenm_io_ibuf \SW[3]~input ( + .i(SW[3]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[3]~input_o )); +// synopsys translate_off +defparam \SW[3]~input .bus_hold = "false"; +defparam \SW[3]~input .listen_to_nsleep_signal = "false"; +defparam \SW[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N22 +fiftyfivenm_io_ibuf \SW[1]~input ( + .i(SW[1]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[1]~input_o )); +// synopsys translate_off +defparam \SW[1]~input .bus_hold = "false"; +defparam \SW[1]~input .listen_to_nsleep_signal = "false"; +defparam \SW[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X50_Y52_N24 +fiftyfivenm_lcell_comb \M~1 ( +// Equation(s): +// \M~1_combout = (\SW[8]~input_o & ((\SW[9]~input_o ) # ((\SW[3]~input_o )))) # (!\SW[8]~input_o & (!\SW[9]~input_o & ((\SW[1]~input_o )))) + + .dataa(\SW[8]~input_o ), + .datab(\SW[9]~input_o ), + .datac(\SW[3]~input_o ), + .datad(\SW[1]~input_o ), + .cin(gnd), + .combout(\M~1_combout ), + .cout()); +// synopsys translate_off +defparam \M~1 .lut_mask = 16'hB9A8; +defparam \M~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N15 +fiftyfivenm_io_ibuf \SW[7]~input ( + .i(SW[7]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[7]~input_o )); +// synopsys translate_off +defparam \SW[7]~input .bus_hold = "false"; +defparam \SW[7]~input .listen_to_nsleep_signal = "false"; +defparam \SW[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X50_Y52_N2 +fiftyfivenm_lcell_comb \M~2 ( +// Equation(s): +// \M~2_combout = (\M~1_combout & (((\SW[7]~input_o ) # (!\SW[9]~input_o )))) # (!\M~1_combout & (\SW[5]~input_o & (\SW[9]~input_o ))) + + .dataa(\SW[5]~input_o ), + .datab(\M~1_combout ), + .datac(\SW[9]~input_o ), + .datad(\SW[7]~input_o ), + .cin(gnd), + .combout(\M~2_combout ), + .cout()); +// synopsys translate_off +defparam \M~2 .lut_mask = 16'hEC2C; +defparam \M~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X24_Y0_N1 +fiftyfivenm_io_ibuf \SW[2]~input ( + .i(SW[2]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[2]~input_o )); +// synopsys translate_off +defparam \SW[2]~input .bus_hold = "false"; +defparam \SW[2]~input .listen_to_nsleep_signal = "false"; +defparam \SW[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X14_Y0_N8 +fiftyfivenm_io_ibuf \SW[4]~input ( + .i(SW[4]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[4]~input_o )); +// synopsys translate_off +defparam \SW[4]~input .bus_hold = "false"; +defparam \SW[4]~input .listen_to_nsleep_signal = "false"; +defparam \SW[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: UNVM_X0_Y40_N40 +fiftyfivenm_unvm \~QUARTUS_CREATED_UNVM~ ( + .arclk(vcc), + .arshft(vcc), + .drclk(vcc), + .drshft(vcc), + .drdin(vcc), + .nprogram(vcc), + .nerase(vcc), + .nosc_ena(\~QUARTUS_CREATED_GND~I_combout ), + .par_en(vcc), + .xe_ye(\~QUARTUS_CREATED_GND~I_combout ), + .se(\~QUARTUS_CREATED_GND~I_combout ), + .ardin(23'b11111111111111111111111), + .busy(\~QUARTUS_CREATED_UNVM~~busy ), + .osc(), + .bgpbusy(), + .sp_pass(), + .se_pass(), + .drdout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .is_compressed_image = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_dual_boot = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_eram_skip = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .max_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .max_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .part_name = "quartus_created_unvm"; +defparam \~QUARTUS_CREATED_UNVM~ .reserve_block = "true"; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y52_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC1~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC1~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC1~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC1~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC1~ .is_this_first_or_second_adc = 1; +defparam \~QUARTUS_CREATED_ADC1~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC1~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC1~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC1~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC1~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC1~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .tsclksel = 0; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y51_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC2~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC2~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC2~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC2~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC2~ .is_this_first_or_second_adc = 2; +defparam \~QUARTUS_CREATED_ADC2~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC2~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC2~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC2~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC2~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC2~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .tsclksel = 0; +// synopsys translate_on + +assign LEDR[0] = \LEDR[0]~output_o ; + +assign LEDR[1] = \LEDR[1]~output_o ; + +assign LEDR[2] = \LEDR[2]~output_o ; + +assign LEDR[3] = \LEDR[3]~output_o ; + +assign LEDR[4] = \LEDR[4]~output_o ; + +assign LEDR[5] = \LEDR[5]~output_o ; + +assign LEDR[6] = \LEDR[6]~output_o ; + +assign LEDR[7] = \LEDR[7]~output_o ; + +assign LEDR[8] = \LEDR[8]~output_o ; + +assign LEDR[9] = \LEDR[9]~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_TMS~ => Location: PIN_H2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TCK~ => Location: PIN_G2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDI~ => Location: PIN_L4, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDO~ => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_CONFIG_SEL~ => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCONFIG~ => Location: PIN_H9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_nSTATUS~ => Location: PIN_G9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_CONF_DONE~ => Location: PIN_F8, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_TMS~~padout ; +wire \~ALTERA_TCK~~padout ; +wire \~ALTERA_TDI~~padout ; +wire \~ALTERA_CONFIG_SEL~~padout ; +wire \~ALTERA_nCONFIG~~padout ; +wire \~ALTERA_nSTATUS~~padout ; +wire \~ALTERA_CONF_DONE~~padout ; +wire \~ALTERA_TMS~~ibuf_o ; +wire \~ALTERA_TCK~~ibuf_o ; +wire \~ALTERA_TDI~~ibuf_o ; +wire \~ALTERA_CONFIG_SEL~~ibuf_o ; +wire \~ALTERA_nCONFIG~~ibuf_o ; +wire \~ALTERA_nSTATUS~~ibuf_o ; +wire \~ALTERA_CONF_DONE~~ibuf_o ; + + +endmodule diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311202943.sim.vwf b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311202943.sim.vwf new file mode 100644 index 0000000..4dec002 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311202943.sim.vwf @@ -0,0 +1,793 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 70.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 590.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 460.0; + LEVEL 0 FOR 180.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 340.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 370.0; + LEVEL 0 FOR 280.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 310.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 340.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 290.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 260.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 500.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 220.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 180.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 140.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 210.0; + LEVEL 0 FOR 230.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 550.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 70.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 590.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 40.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311203557.sim.vwf b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311203557.sim.vwf new file mode 100644 index 0000000..69b5c90 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311203557.sim.vwf @@ -0,0 +1,789 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 380.0; + LEVEL X FOR 400.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL X FOR 180.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 10.0; + LEVEL X FOR 400.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 250.0; + LEVEL 1 FOR 350.0; + LEVEL 0 FOR 400.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 170.0; + LEVEL 0 FOR 400.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 70.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 750.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 410.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL X FOR 750.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL X FOR 750.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311204347.sim.vwf b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311204347.sim.vwf new file mode 100644 index 0000000..69b5c90 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311204347.sim.vwf @@ -0,0 +1,789 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 380.0; + LEVEL X FOR 400.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL X FOR 180.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 10.0; + LEVEL X FOR 400.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 250.0; + LEVEL 1 FOR 350.0; + LEVEL 0 FOR 400.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 170.0; + LEVEL 0 FOR 400.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 70.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 750.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 430.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 410.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 250.0; + LEVEL 0 FOR 180.0; + LEVEL X FOR 570.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL X FOR 750.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL X FOR 750.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311204740.sim.vwf b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311204740.sim.vwf new file mode 100644 index 0000000..aa6da8c --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311204740.sim.vwf @@ -0,0 +1,793 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 10; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 170.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 50.0; + LEVEL X FOR 510.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 470.0; + LEVEL 1 FOR 530.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 230.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 270.0; + LEVEL 1 FOR 260.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 740.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 740.0; + LEVEL 1 FOR 70.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 470.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + LEVEL X FOR 260.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 470.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 100.0; + LEVEL X FOR 260.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 230.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL X FOR 530.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL X FOR 230.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL X FOR 530.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 50.0; + LEVEL X FOR 770.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 50.0; + LEVEL X FOR 770.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19, 20, 21; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_modelsim.xrf b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_modelsim.xrf new file mode 100644 index 0000000..61c0bcb --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_modelsim.xrf @@ -0,0 +1,40 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Part3.v +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Waveform.vwf +source_file = 1, C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/db/Part3.cbx.xml +design_name = Part3 +instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, Part3, 1 +instance = comp, \LEDR[0]~output , LEDR[0]~output, Part3, 1 +instance = comp, \LEDR[1]~output , LEDR[1]~output, Part3, 1 +instance = comp, \LEDR[2]~output , LEDR[2]~output, Part3, 1 +instance = comp, \LEDR[3]~output , LEDR[3]~output, Part3, 1 +instance = comp, \LEDR[4]~output , LEDR[4]~output, Part3, 1 +instance = comp, \LEDR[5]~output , LEDR[5]~output, Part3, 1 +instance = comp, \LEDR[6]~output , LEDR[6]~output, Part3, 1 +instance = comp, \LEDR[7]~output , LEDR[7]~output, Part3, 1 +instance = comp, \LEDR[8]~output , LEDR[8]~output, Part3, 1 +instance = comp, \LEDR[9]~output , LEDR[9]~output, Part3, 1 +instance = comp, \SW[0]~input , SW[0]~input, Part3, 1 +instance = comp, \SW[8]~input , SW[8]~input, Part3, 1 +instance = comp, \SW[6]~input , SW[6]~input, Part3, 1 +instance = comp, \M~0 , M~0, Part3, 1 +instance = comp, \SW[5]~input , SW[5]~input, Part3, 1 +instance = comp, \SW[9]~input , SW[9]~input, Part3, 1 +instance = comp, \SW[3]~input , SW[3]~input, Part3, 1 +instance = comp, \SW[1]~input , SW[1]~input, Part3, 1 +instance = comp, \M~1 , M~1, Part3, 1 +instance = comp, \SW[7]~input , SW[7]~input, Part3, 1 +instance = comp, \M~2 , M~2, Part3, 1 +instance = comp, \SW[2]~input , SW[2]~input, Part3, 1 +instance = comp, \SW[4]~input , SW[4]~input, Part3, 1 +instance = comp, \~QUARTUS_CREATED_UNVM~ , ~QUARTUS_CREATED_UNVM~, Part3, 1 +instance = comp, \~QUARTUS_CREATED_ADC1~ , ~QUARTUS_CREATED_ADC1~, Part3, 1 +instance = comp, \~QUARTUS_CREATED_ADC2~ , ~QUARTUS_CREATED_ADC2~, Part3, 1 +design_name = hard_block +instance = comp, \~ALTERA_TMS~~ibuf , ~ALTERA_TMS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TCK~~ibuf , ~ALTERA_TCK~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TDI~~ibuf , ~ALTERA_TDI~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONFIG_SEL~~ibuf , ~ALTERA_CONFIG_SEL~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nCONFIG~~ibuf , ~ALTERA_nCONFIG~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nSTATUS~~ibuf , ~ALTERA_nSTATUS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONF_DONE~~ibuf , ~ALTERA_CONF_DONE~~ibuf, hard_block, 1 diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..964e5a7 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,132 @@ +// Copyright (C) 2016 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of programming logic +// devices manufactured by Intel and sold by Intel or its +// authorized distributors. Please refer to the applicable +// agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "03/11/2021 20:47:37" + +// Verilog Test Bench (with test vectors) for design : Part3 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module Part3_vlg_vec_tst(); +// constants +// general purpose registers +reg [9:0] SW; +// wires +wire [9:0] LEDR; + +// assign statements (if any) +Part3 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 9 ] +initial +begin + SW[9] = 1'b0; + SW[9] = #470000 1'b1; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b0; + SW[8] = #230000 1'b1; + SW[8] = #240000 1'b0; + SW[8] = #270000 1'b1; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'bX; + SW[7] = #740000 1'b1; + SW[7] = #70000 1'b0; + SW[7] = #90000 1'b1; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'bX; + SW[6] = #740000 1'b1; + SW[6] = #70000 1'b0; + SW[6] = #90000 1'b1; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'bX; + SW[5] = #470000 1'b1; + SW[5] = #80000 1'b0; + SW[5] = #90000 1'b1; + SW[5] = #100000 1'bX; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'bX; + SW[4] = #470000 1'b1; + SW[4] = #80000 1'b0; + SW[4] = #90000 1'b1; + SW[4] = #100000 1'bX; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'bX; + SW[3] = #230000 1'b0; + SW[3] = #80000 1'b1; + SW[3] = #80000 1'b0; + SW[3] = #80000 1'bX; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'bX; + SW[2] = #230000 1'b0; + SW[2] = #80000 1'b1; + SW[2] = #80000 1'b0; + SW[2] = #80000 1'bX; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; + SW[1] = #40000 1'b1; + SW[1] = #60000 1'b0; + SW[1] = #80000 1'b1; + SW[1] = #50000 1'bX; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; + SW[0] = #40000 1'b1; + SW[0] = #60000 1'b0; + SW[0] = #80000 1'b1; + SW[0] = #50000 1'bX; +end +endmodule + diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/transcript b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/transcript new file mode 100644 index 0000000..07c8547 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/transcript @@ -0,0 +1,37 @@ +# do Part3.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:47:39 on Mar 11,2021 +# vlog -work work Part3.vo +# -- Compiling module Part3 +# -- Compiling module hard_block +# +# Top level modules: +# Part3 +# End time: 20:47:39 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:47:39 on Mar 11,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module Part3_vlg_vec_tst +# +# Top level modules: +# Part3_vlg_vec_tst +# End time: 20:47:39 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Part3_vlg_vec_tst +# Start time: 20:47:39 on Mar 11,2021 +# Loading work.Part3_vlg_vec_tst +# Loading work.Part3 +# Loading work.hard_block +# ** Warning: (vsim-3017) Part3.vo(460): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) Part3.vo(460): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) Part3.vo(483): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) Part3.vo(483): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /Part3_vlg_vec_tst +# End time: 20:47:39 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/vwf_sim_transcript b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..f7be827 --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,141 @@ +Determining the location of the ModelSim executable... + +Using: c:/intelfpga_lite/16.1/modelsim_ase/win32aloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Part3 -c Part3 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt" + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Mar 11 20:47:37 2021 +Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off Part3 -c Part3 --vector_source="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Waveform.vwf" --testbench_file="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Waveform.vwf.vt" +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. + +Completed successfully. + +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/" Part3 -c Part3 + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition + Info: Copyright (C) 2016 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel MegaCore Function License Agreement, or other + Info: applicable license agreement, including, without limitation, + Info: that your use is for the sole purpose of programming logic + Info: devices manufactured by Intel and sold by Intel or its + Info: authorized distributors. Please refer to the applicable + Info: agreement for further details. + Info: Processing started: Thu Mar 11 20:47:38 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/" Part3 -c Part3 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file Part3.vo in folder "C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4641 megabytes + Info: Processing ended: Thu Mar 11 20:47:38 2021 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + +Completed successfully. + +**** Generating the ModelSim .do script **** + +C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +c:/intelfpga_lite/16.1/modelsim_ase/win32aloem//vsim -c -do Part3.do + +Reading C:/intelFPGA_lite/16.1/modelsim_ase/tcl/vsim/pref.tcl + +# 10.5b + +# do Part3.do + +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:47:39 on Mar 11,2021 +# vlog -work work Part3.vo +# -- Compiling module Part3 +# -- Compiling module hard_block +# +# Top level modules: +# Part3 +# End time: 20:47:39 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016 +# Start time: 20:47:39 on Mar 11,2021 +# vlog -work work Waveform.vwf.vt +# -- Compiling module Part3_vlg_vec_tst +# +# Top level modules: +# Part3_vlg_vec_tst +# End time: 20:47:39 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Part3_vlg_vec_tst +# Start time: 20:47:39 on Mar 11,2021 +# Loading work.Part3_vlg_vec_tst +# Loading work.Part3 +# Loading work.hard_block +# ** Warning: (vsim-3017) Part3.vo(460): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: nofile +# ** Warning: (vsim-3722) Part3.vo(460): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-3017) Part3.vo(483): [TFMPC] - Too few port connections. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /Part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: nofile +# ** Warning: (vsim-3722) Part3.vo(483): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /Part3_vlg_vec_tst +# End time: 20:47:39 on Mar 11,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 4 + +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/Waveform.vwf... + +Reading C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to C:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim/Part3_20210311204740.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_info b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_info new file mode 100644 index 0000000..50aa9fd --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_info @@ -0,0 +1,75 @@ +m255 +K4 +z2 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 dC:/Users/anw0044/Desktop/Noah Woodlee/LAB1/Part3/simulation/qsim +vhard_block +Z1 !s110 1615517259 +!i10b 1 +!s100 e7=3kHekOD8I3N7oj>GK72 +I?42glZN^bC433Wj_<7@?Y2 +Z2 VDg1SIo80bB@j0V0VzS_@n1 +R0 +Z3 w1615517258 +Z4 8Part3.vo +Z5 FPart3.vo +L0 527 +Z6 OV;L;10.5b;63 +r1 +!s85 0 +31 +Z7 !s108 1615517259.000000 +Z8 !s107 Part3.vo| +Z9 !s90 -work|work|Part3.vo| +!i113 1 +Z10 o-work work +Z11 tCvgOpt 0 +vPart3 +R1 +!i10b 1 +!s100 ^_@B^R5k@b0 +I8OFPdcW`A[FXoVII54SD72 +R2 +R0 +w1615517257 +8Waveform.vwf.vt +FWaveform.vwf.vt +L0 30 +R6 +r1 +!s85 0 +31 +R7 +!s107 Waveform.vwf.vt| +!s90 -work|work|Waveform.vwf.vt| +!i113 1 +R10 +R11 +n@part3_vlg_vec_tst diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib.qdb b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000..db374e1 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qdb b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000..4c9c786 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qdb differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qpg b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000..7d51996 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qpg differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qtl b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000..6be9ec2 Binary files /dev/null and b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_lib1_0.qtl differ diff --git a/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_vmake b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/EE203/Noah Woodlee/LAB1/Part3/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology diff --git a/EE203/Noah Woodlee/Lab2/part1/atom_netlists/part1.qsf b/EE203/Noah Woodlee/Lab2/part1/atom_netlists/part1.qsf new file mode 100644 index 0000000..d8b7603 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/atom_netlists/part1.qsf @@ -0,0 +1,65 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:13:22 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top +set_global_assignment -name VERILOG_FILE part1.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.(0).cnf.cdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.(0).cnf.cdb new file mode 100644 index 0000000..9cf37c2 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.(0).cnf.hdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.(0).cnf.hdb new file mode 100644 index 0000000..f236aa3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.asm.qmsg b/EE203/Noah Woodlee/Lab2/part1/db/part1.asm.qmsg new file mode 100644 index 0000000..c0a29be --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619301714813 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619301714813 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 24 17:01:54 2021 " "Processing started: Sat Apr 24 17:01:54 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619301714813 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619301714813 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part1 -c part1 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part1 -c part1" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619301714814 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1619301715159 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619301717941 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619301718038 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "355 " "Peak virtual memory: 355 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619301719290 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 17:01:59 2021 " "Processing ended: Sat Apr 24 17:01:59 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619301719290 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619301719290 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619301719290 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619301719290 ""} diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.asm.rdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.asm.rdb new file mode 100644 index 0000000..e5f78af Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.asm.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.asm_labs.ddb b/EE203/Noah Woodlee/Lab2/part1/db/part1.asm_labs.ddb new file mode 100644 index 0000000..40d0f64 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cbx.xml b/EE203/Noah Woodlee/Lab2/part1/db/part1.cbx.xml new file mode 100644 index 0000000..09ec8a8 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.bpm b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.bpm new file mode 100644 index 0000000..d8ce2c7 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.cdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.cdb new file mode 100644 index 0000000..e5168cf Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.hdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.hdb new file mode 100644 index 0000000..22b5d51 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.idb b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.idb new file mode 100644 index 0000000..cb28b37 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.idb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.logdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.logdb new file mode 100644 index 0000000..f82715d --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.logdb @@ -0,0 +1,64 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;22;22;0;0;22;22;0;0;0;0;0;0;14;0;0;0;8;14;0;8;0;0;14;0;22;22;22;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,22;0;0;22;22;0;0;22;22;22;22;22;22;8;22;22;22;14;8;22;14;22;22;8;22;0;0;0;22;22, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,HEX0[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.rdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.rdb new file mode 100644 index 0000000..bfd8aff Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp_merge.kpt b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp_merge.kpt new file mode 100644 index 0000000..e0a9f98 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.db_info b/EE203/Noah Woodlee/Lab2/part1/db/part1.db_info new file mode 100644 index 0000000..94e84ee --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sat Apr 24 16:59:18 2021 diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.fit.qmsg b/EE203/Noah Woodlee/Lab2/part1/db/part1.fit.qmsg new file mode 100644 index 0000000..6282198 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.fit.qmsg @@ -0,0 +1,54 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1619301694676 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619301694677 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part1 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part1\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619301694689 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619301694769 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619301694769 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1619301695169 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619301695181 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619301695352 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 84 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 86 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 88 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 90 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 92 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 94 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 96 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 0 { 0 ""} 0 98 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619301695367 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1619301695367 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619301695368 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619301695368 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619301695369 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619301695369 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1619301695372 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part1.sdc " "Synopsys Design Constraints File file not found: 'part1.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1619301696038 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1619301696039 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1619301696040 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1619301696040 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1619301696043 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1619301696044 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1619301696045 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1619301696053 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619301696053 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619301696053 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619301696055 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619301696056 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1619301696056 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1619301696057 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1619301696057 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1619301696057 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1619301696057 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619301696057 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[0\] " "Node \"LEDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[1\] " "Node \"LEDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[2\] " "Node \"LEDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[3\] " "Node \"LEDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[4\] " "Node \"LEDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[5\] " "Node \"LEDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[6\] " "Node \"LEDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[7\] " "Node \"LEDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[8\] " "Node \"SW\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619301696102 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1619301696102 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619301696103 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1619301696117 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619301698910 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619301699036 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619301699093 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619301699586 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619301699587 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619301706277 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X56_Y44 X66_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54" { } { { "loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54"} { { 12 { 0 ""} 56 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1619301709800 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619301709800 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1619301709986 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1619301709986 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1619301709986 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619301709988 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.04 " "Total time spent on timing analysis during the Fitter is 0.04 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1619301710317 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619301710328 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619301710328 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619301710778 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619301710778 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619301710778 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619301711217 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619301711852 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1619301712046 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/output_files/part1.fit.smsg " "Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/output_files/part1.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619301712105 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 21 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 21 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1087 " "Peak virtual memory: 1087 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619301712562 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 17:01:52 2021 " "Processing ended: Sat Apr 24 17:01:52 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619301712562 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619301712562 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619301712562 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619301712562 ""} diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.hier_info b/EE203/Noah Woodlee/Lab2/part1/db/part1.hier_info new file mode 100644 index 0000000..773bb20 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.hier_info @@ -0,0 +1,87 @@ +|part1 +SW[0] => HEX0.DATAB +SW[0] => HEX0.DATAB +SW[0] => HEX0.DATAB +SW[0] => HEX0.DATAB +SW[0] => HEX0.DATAA +SW[0] => HEX0.DATAA +SW[0] => HEX0.DATAA +SW[0] => HEX0.DATAA +SW[0] => HEX0.DATAA +SW[0] => HEX0.DATAB +SW[0] => HEX0.DATAB +SW[0] => HEX0.DATAA +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.OUTPUTSELECT +SW[1] => HEX0.DATAA +SW[2] => HEX0.OUTPUTSELECT +SW[2] => HEX0.OUTPUTSELECT +SW[2] => HEX0.OUTPUTSELECT +SW[2] => HEX0.OUTPUTSELECT +SW[2] => HEX0.OUTPUTSELECT +SW[2] => HEX0.OUTPUTSELECT +SW[2] => HEX0.OUTPUTSELECT +SW[3] => HEX0.OUTPUTSELECT +SW[3] => HEX0.OUTPUTSELECT +SW[3] => HEX0.OUTPUTSELECT +SW[3] => HEX0.OUTPUTSELECT +SW[3] => HEX0.OUTPUTSELECT +SW[3] => HEX0.OUTPUTSELECT +SW[3] => HEX0.OUTPUTSELECT +SW[4] => HEX1.DATAB +SW[4] => HEX1.DATAB +SW[4] => HEX1.DATAB +SW[4] => HEX1.DATAB +SW[4] => HEX1.DATAA +SW[4] => HEX1.DATAA +SW[4] => HEX1.DATAA +SW[4] => HEX1.DATAA +SW[4] => HEX1.DATAA +SW[4] => HEX1.DATAB +SW[4] => HEX1.DATAB +SW[4] => HEX1.DATAA +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.OUTPUTSELECT +SW[5] => HEX1.DATAA +SW[6] => HEX1.OUTPUTSELECT +SW[6] => HEX1.OUTPUTSELECT +SW[6] => HEX1.OUTPUTSELECT +SW[6] => HEX1.OUTPUTSELECT +SW[6] => HEX1.OUTPUTSELECT +SW[6] => HEX1.OUTPUTSELECT +SW[6] => HEX1.OUTPUTSELECT +SW[7] => HEX1.OUTPUTSELECT +SW[7] => HEX1.OUTPUTSELECT +SW[7] => HEX1.OUTPUTSELECT +SW[7] => HEX1.OUTPUTSELECT +SW[7] => HEX1.OUTPUTSELECT +SW[7] => HEX1.OUTPUTSELECT +SW[7] => HEX1.OUTPUTSELECT +HEX0[0] <= HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[1] <= HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[2] <= HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[3] <= HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[4] <= HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[5] <= HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX0[6] <= HEX0.DB_MAX_OUTPUT_PORT_TYPE +HEX1[0] <= HEX1.DB_MAX_OUTPUT_PORT_TYPE +HEX1[1] <= HEX1.DB_MAX_OUTPUT_PORT_TYPE +HEX1[2] <= HEX1.DB_MAX_OUTPUT_PORT_TYPE +HEX1[3] <= HEX1.DB_MAX_OUTPUT_PORT_TYPE +HEX1[4] <= HEX1.DB_MAX_OUTPUT_PORT_TYPE +HEX1[5] <= HEX1.DB_MAX_OUTPUT_PORT_TYPE +HEX1[6] <= HEX1.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.hif b/EE203/Noah Woodlee/Lab2/part1/db/part1.hif new file mode 100644 index 0000000..0390611 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.hif differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.html b/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.html new file mode 100644 index 0000000..fbc5ab5 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.rdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.rdb new file mode 100644 index 0000000..b1e0351 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.txt b/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.txt new file mode 100644 index 0000000..a463804 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.ammdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.ammdb new file mode 100644 index 0000000..790b913 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.bpm b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.bpm new file mode 100644 index 0000000..8bddd10 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.cdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.cdb new file mode 100644 index 0000000..52eaae3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.hdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.hdb new file mode 100644 index 0000000..25a52f7 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.kpt b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.kpt new file mode 100644 index 0000000..c918867 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.logdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.qmsg b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.qmsg new file mode 100644 index 0000000..fb344da --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.qmsg @@ -0,0 +1,15 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619301678340 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619301678341 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 24 17:01:18 2021 " "Processing started: Sat Apr 24 17:01:18 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619301678341 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619301678341 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part1 -c part1 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part1 -c part1" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619301678341 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1619301678687 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1619301678688 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part1.v 1 1 " "Found 1 design units, including 1 entities, in source file part1.v" { { "Info" "ISGN_ENTITY_NAME" "1 part1 " "Found entity 1: part1" { } { { "part1.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/part1.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619301690612 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619301690612 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part1 " "Elaborating entity \"part1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1619301690685 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1619301691517 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692100 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619301692100 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692102 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619301692102 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692103 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619301692103 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301692105 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619301692105 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1619301692256 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619301692256 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "36 " "Implemented 36 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "8 " "Implemented 8 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1619301692406 ""} { "Info" "ICUT_CUT_TM_OPINS" "14 " "Implemented 14 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1619301692406 ""} { "Info" "ICUT_CUT_TM_LCELLS" "14 " "Implemented 14 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1619301692406 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1619301692406 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 101 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 101 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "394 " "Peak virtual memory: 394 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619301692418 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 17:01:32 2021 " "Processing ended: Sat Apr 24 17:01:32 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619301692418 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619301692418 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:32 " "Total CPU time (on all processors): 00:00:32" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619301692418 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1619301692418 ""} diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map.rdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.rdb new file mode 100644 index 0000000..d961e27 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.cdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.cdb new file mode 100644 index 0000000..b20ba36 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.hdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.hdb new file mode 100644 index 0000000..cbe6909 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.logdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.pre_map.hdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.pre_map.hdb new file mode 100644 index 0000000..8517052 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.quiproj.5042.rdr.flock b/EE203/Noah Woodlee/Lab2/part1/db/part1.quiproj.5042.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..8e8bc8b Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.routing.rdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.routing.rdb new file mode 100644 index 0000000..31a2ed2 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.routing.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv.hdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv.hdb new file mode 100644 index 0000000..a174c84 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv_sg.cdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv_sg.cdb new file mode 100644 index 0000000..02def0e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv_sg_swap.cdb new file mode 100644 index 0000000..4a490f8 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.sld_design_entry.sci b/EE203/Noah Woodlee/Lab2/part1/db/part1.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/Lab2/part1/db/part1.sld_design_entry_dsc.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.smart_action.txt b/EE203/Noah Woodlee/Lab2/part1/db/part1.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.sta.qmsg b/EE203/Noah Woodlee/Lab2/part1/db/part1.sta.qmsg new file mode 100644 index 0000000..1fa50f2 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.sta.qmsg @@ -0,0 +1,55 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619301721038 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619301721039 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 24 17:02:00 2021 " "Processing started: Sat Apr 24 17:02:00 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619301721039 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1619301721039 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part1 -c part1 " "Command: quartus_sta part1 -c part1" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1619301721039 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1619301721105 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721172 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619301721172 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721173 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619301721173 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721174 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619301721174 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619301721175 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619301721175 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1619301721300 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1619301721301 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619301721381 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619301721381 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part1.sdc " "Synopsys Design Constraints File file not found: 'part1.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1619301721828 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619301721829 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619301721829 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619301721830 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1619301721830 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619301721830 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1619301721831 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1619301721838 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1619301721839 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301721841 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1619301721842 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301721843 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301721844 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301721845 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301721846 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301721847 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619301721852 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1619301721891 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Timing Analyzer" 0 -1 1619301721892 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1619301725418 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619301725461 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619301725461 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619301725461 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619301725462 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725463 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725464 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725465 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725466 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725467 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725468 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619301725470 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619301725643 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619301725643 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619301725643 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619301725643 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725645 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725646 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725647 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725648 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619301725648 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619301726934 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619301726935 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 106 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 106 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "509 " "Peak virtual memory: 509 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619301726966 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 17:02:06 2021 " "Processing ended: Sat Apr 24 17:02:06 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619301726966 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619301726966 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619301726966 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1619301726966 ""} diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.sta.rdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.sta.rdb new file mode 100644 index 0000000..d3172a1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.sta.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..c7d1fcf Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.tis_db_list.ddb b/EE203/Noah Woodlee/Lab2/part1/db/part1.tis_db_list.ddb new file mode 100644 index 0000000..73e5ec9 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..cebed4e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..3b76680 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..5f10dc4 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.tmw_info b/EE203/Noah Woodlee/Lab2/part1/db/part1.tmw_info new file mode 100644 index 0000000..0847ca4 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:52 +start_analysis_synthesis:s:00:00:17-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:20-start_full_compilation +start_assembler:s:00:00:07-start_full_compilation +start_timing_analyzer:s:00:00:08-start_full_compilation diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.vpr.ammdb b/EE203/Noah Woodlee/Lab2/part1/db/part1.vpr.ammdb new file mode 100644 index 0000000..5b49efb Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..0259d60 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..090de61 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..09ac6ef Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/db/part1.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part1/db/part1_partition_pins.json b/EE203/Noah Woodlee/Lab2/part1/db/part1_partition_pins.json new file mode 100644 index 0000000..e5c0077 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/db/part1_partition_pins.json @@ -0,0 +1,97 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "HEX0[0]", + "strict" : false + }, + { + "name" : "HEX0[1]", + "strict" : false + }, + { + "name" : "HEX0[2]", + "strict" : false + }, + { + "name" : "HEX0[3]", + "strict" : false + }, + { + "name" : "HEX0[4]", + "strict" : false + }, + { + "name" : "HEX0[5]", + "strict" : false + }, + { + "name" : "HEX0[6]", + "strict" : false + }, + { + "name" : "HEX1[0]", + "strict" : false + }, + { + "name" : "HEX1[1]", + "strict" : false + }, + { + "name" : "HEX1[2]", + "strict" : false + }, + { + "name" : "HEX1[3]", + "strict" : false + }, + { + "name" : "HEX1[4]", + "strict" : false + }, + { + "name" : "HEX1[5]", + "strict" : false + }, + { + "name" : "HEX1[6]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..d89a873 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.0 :| George Totolos :| 08/22/2016:| Initial Revision +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a2885da --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +DE10_LITE_Golden_Top.v +platform_setup.tcl +filelist.txt diff --git a/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..d9cea11 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,435 @@ +proc ::setup_project {} { +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "15.1.0" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name SDC_FILE DE10_LITE_Golden_Top.SDC + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 + +#============================================================ +# SDRAM +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N + +#============================================================ +# SEG7 +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] + +#============================================================ +# VGA +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS + +#============================================================ +# Accelerometer +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO + +#============================================================ +# Arduino +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..1c1dfa3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/home/gtotolos/Desktop/max10_de10_lite/Golden_Top/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part1/devkits/readme.txt b/EE203/Noah Woodlee/Lab2/part1/devkits/readme.txt new file mode 100644 index 0000000..f6ba267 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/README b/EE203/Noah Woodlee/Lab2/part1/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.db_info b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.db_info new file mode 100644 index 0000000..94e84ee --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sat Apr 24 16:59:18 2021 diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.ammdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.ammdb new file mode 100644 index 0000000..d476d63 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.cdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.cdb new file mode 100644 index 0000000..08f1cfc Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.dfp b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.hdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.hdb new file mode 100644 index 0000000..454b78d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.logdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.rcfdb new file mode 100644 index 0000000..7820268 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.cdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.cdb new file mode 100644 index 0000000..785eee6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.dpi b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.dpi new file mode 100644 index 0000000..a60125d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..c4de05d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..fe40073 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hdb new file mode 100644 index 0000000..9e36796 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.kpt b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.kpt new file mode 100644 index 0000000..1a82a52 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.rrp.hdb b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.rrp.hdb new file mode 100644 index 0000000..ff0a6e5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/incremental_db/compiled_partitions/part1.rrp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.asm.rpt b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.asm.rpt new file mode 100644 index 0000000..b55f468 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for part1 +Sat Apr 24 17:01:59 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: part1.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sat Apr 24 17:01:59 2021 ; +; Revision Name ; part1 ; +; Top-level Entity Name ; part1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++-----------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------------------------------------------------------+ +; File Name ; ++-----------------------------------------------------------------------------------------------+ +; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/output_files/part1.sof ; ++-----------------------------------------------------------------------------------------------+ + + ++-------------------------------------+ +; Assembler Device Options: part1.sof ; ++----------------+--------------------+ +; Option ; Setting ; ++----------------+--------------------+ +; JTAG usercode ; 0x002731F7 ; +; Checksum ; 0x002731F7 ; ++----------------+--------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sat Apr 24 17:01:54 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part1 -c part1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 355 megabytes + Info: Processing ended: Sat Apr 24 17:01:59 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.done b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.done new file mode 100644 index 0000000..96e92d2 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.done @@ -0,0 +1 @@ +Sat Apr 24 17:02:07 2021 diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.rpt b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.rpt new file mode 100644 index 0000000..e3a2d67 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.rpt @@ -0,0 +1,1348 @@ +Fitter report for part1 +Sat Apr 24 17:01:52 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Ignored Assignments + 6. Incremental Compilation Preservation Summary + 7. Incremental Compilation Partition Settings + 8. Incremental Compilation Placement Preservation + 9. Pin-Out File + 10. Fitter Resource Usage Summary + 11. Fitter Partition Statistics + 12. Input Pins + 13. Output Pins + 14. Dual Purpose and Dedicated Pins + 15. I/O Bank Usage + 16. All Package Pins + 17. I/O Assignment Warnings + 18. Fitter Resource Utilization by Entity + 19. Delay Chain Summary + 20. Pad To Core Delay Chain Fanout + 21. Routing Usage Summary + 22. LAB Logic Elements + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. I/O Rules Summary + 27. I/O Rules Details + 28. I/O Rules Matrix + 29. Fitter Device Options + 30. Operating Settings and Conditions + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Sat Apr 24 17:01:52 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part1 ; +; Top-level Entity Name ; part1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 15 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 15 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 22 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.3% ; ++----------------------------+-------------+ + + ++----------------------------------------------------------------------------------------+ +; Ignored Assignments ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Location ; ; ; LEDR[0] ; PIN_A8 ; QSF Assignment ; +; Location ; ; ; LEDR[1] ; PIN_A9 ; QSF Assignment ; +; Location ; ; ; LEDR[2] ; PIN_A10 ; QSF Assignment ; +; Location ; ; ; LEDR[3] ; PIN_B10 ; QSF Assignment ; +; Location ; ; ; LEDR[4] ; PIN_D13 ; QSF Assignment ; +; Location ; ; ; LEDR[5] ; PIN_C13 ; QSF Assignment ; +; Location ; ; ; LEDR[6] ; PIN_E14 ; QSF Assignment ; +; Location ; ; ; LEDR[7] ; PIN_D14 ; QSF Assignment ; +; Location ; ; ; LEDR[8] ; PIN_A11 ; QSF Assignment ; +; Location ; ; ; LEDR[9] ; PIN_B11 ; QSF Assignment ; +; Location ; ; ; SW[8] ; PIN_B14 ; QSF Assignment ; +; Location ; ; ; SW[9] ; PIN_F15 ; QSF Assignment ; ++----------+----------------+--------------+------------+---------------+----------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 78 ) ; 0.00 % ( 0 / 78 ) ; 0.00 % ( 0 / 78 ) ; +; -- Achieved ; 0.00 % ( 0 / 78 ) ; 0.00 % ( 0 / 78 ) ; 0.00 % ( 0 / 78 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 62 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/output_files/part1.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 15 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 15 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 14 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 1 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 15 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 3 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 22 / 360 ( 6 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.4% / 0.6% / 0.2% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 103 ; +; Average fan-out ; 1.32 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 15 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 15 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 14 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 15 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 3 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 22 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 109 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 8 ; 0 ; +; -- Output Ports ; 14 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[2] ; D12 ; 7 ; 51 ; 54 ; 0 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[3] ; C12 ; 7 ; 54 ; 54 ; 28 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[4] ; A12 ; 7 ; 54 ; 54 ; 21 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[5] ; B12 ; 7 ; 49 ; 54 ; 0 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[6] ; A13 ; 7 ; 54 ; 54 ; 14 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[7] ; A14 ; 7 ; 58 ; 54 ; 28 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; HEX0[0] ; C14 ; 7 ; 58 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[1] ; E15 ; 7 ; 74 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[2] ; C15 ; 7 ; 60 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[3] ; C16 ; 7 ; 62 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[4] ; E16 ; 7 ; 74 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[5] ; D17 ; 7 ; 74 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[6] ; C17 ; 7 ; 74 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[0] ; C18 ; 7 ; 69 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[1] ; D18 ; 6 ; 78 ; 49 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[2] ; E18 ; 6 ; 78 ; 49 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[3] ; B16 ; 7 ; 60 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[4] ; A17 ; 7 ; 64 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[5] ; A18 ; 7 ; 66 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[6] ; B17 ; 7 ; 69 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 2 / 60 ( 3 % ) ; 2.5V ; -- ; +; 7 ; 20 / 52 ( 38 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A13 ; 433 ; 7 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A14 ; 425 ; 7 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; HEX1[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A18 ; 405 ; 7 ; HEX1[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; HEX1[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B17 ; 402 ; 7 ; HEX1[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; HEX0[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C15 ; 418 ; 7 ; HEX0[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C16 ; 416 ; 7 ; HEX0[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C17 ; 391 ; 7 ; HEX0[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C18 ; 400 ; 7 ; HEX1[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; HEX0[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D18 ; 385 ; 6 ; HEX1[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; HEX0[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E16 ; 388 ; 7 ; HEX0[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; HEX1[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; HEX0[0] ; Incomplete set of assignments ; +; HEX0[1] ; Incomplete set of assignments ; +; HEX0[2] ; Incomplete set of assignments ; +; HEX0[3] ; Incomplete set of assignments ; +; HEX0[4] ; Incomplete set of assignments ; +; HEX0[5] ; Incomplete set of assignments ; +; HEX0[6] ; Incomplete set of assignments ; +; HEX1[0] ; Incomplete set of assignments ; +; HEX1[1] ; Incomplete set of assignments ; +; HEX1[2] ; Incomplete set of assignments ; +; HEX1[3] ; Incomplete set of assignments ; +; HEX1[4] ; Incomplete set of assignments ; +; HEX1[5] ; Incomplete set of assignments ; +; HEX1[6] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |part1 ; 15 (15) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 22 ; 0 ; 15 (15) ; 0 (0) ; 0 (0) ; 0 ; |part1 ; part1 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; HEX0[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[2] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[3] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[6] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[7] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; SW[0] ; ; ; +; - HEX0~0 ; 0 ; 6 ; +; - HEX0~1 ; 0 ; 6 ; +; - HEX0~2 ; 0 ; 6 ; +; - HEX0~3 ; 0 ; 6 ; +; - HEX0~4 ; 0 ; 6 ; +; - HEX0~5 ; 0 ; 6 ; +; - HEX0~6 ; 0 ; 6 ; +; SW[2] ; ; ; +; - HEX0~0 ; 0 ; 6 ; +; - HEX0~1 ; 0 ; 6 ; +; - HEX0~2 ; 0 ; 6 ; +; - HEX0~3 ; 0 ; 6 ; +; - HEX0~4 ; 0 ; 6 ; +; - HEX0~5 ; 0 ; 6 ; +; - HEX0~6 ; 0 ; 6 ; +; SW[1] ; ; ; +; - HEX0~0 ; 0 ; 6 ; +; - HEX0~1 ; 0 ; 6 ; +; - HEX0~2 ; 0 ; 6 ; +; - HEX0~3 ; 0 ; 6 ; +; - HEX0~4 ; 0 ; 6 ; +; - HEX0~5 ; 0 ; 6 ; +; - HEX0~6 ; 0 ; 6 ; +; SW[3] ; ; ; +; - HEX0~0 ; 0 ; 6 ; +; - HEX0~1 ; 0 ; 6 ; +; - HEX0~2 ; 0 ; 6 ; +; - HEX0~3 ; 0 ; 6 ; +; - HEX0~4 ; 0 ; 6 ; +; - HEX0~5 ; 0 ; 6 ; +; - HEX0~6 ; 0 ; 6 ; +; SW[4] ; ; ; +; - HEX1~0 ; 0 ; 6 ; +; - HEX1~1 ; 0 ; 6 ; +; - HEX1~2 ; 0 ; 6 ; +; - HEX1~3 ; 0 ; 6 ; +; - HEX1~4 ; 0 ; 6 ; +; - HEX1~5 ; 0 ; 6 ; +; - HEX1~6 ; 0 ; 6 ; +; SW[6] ; ; ; +; - HEX1~0 ; 0 ; 6 ; +; - HEX1~1 ; 0 ; 6 ; +; - HEX1~2 ; 0 ; 6 ; +; - HEX1~3 ; 0 ; 6 ; +; - HEX1~4 ; 0 ; 6 ; +; - HEX1~5 ; 0 ; 6 ; +; - HEX1~6 ; 0 ; 6 ; +; SW[5] ; ; ; +; - HEX1~0 ; 0 ; 6 ; +; - HEX1~1 ; 0 ; 6 ; +; - HEX1~2 ; 0 ; 6 ; +; - HEX1~3 ; 0 ; 6 ; +; - HEX1~4 ; 0 ; 6 ; +; - HEX1~5 ; 0 ; 6 ; +; - HEX1~6 ; 0 ; 6 ; +; SW[7] ; ; ; +; - HEX1~0 ; 0 ; 6 ; +; - HEX1~1 ; 0 ; 6 ; +; - HEX1~2 ; 0 ; 6 ; +; - HEX1~3 ; 0 ; 6 ; +; - HEX1~4 ; 0 ; 6 ; +; - HEX1~5 ; 0 ; 6 ; +; - HEX1~6 ; 0 ; 6 ; ++---------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 26 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 1 / 5,382 ( < 1 % ) ; +; C4 interconnects ; 25 / 106,704 ( < 1 % ) ; +; Direct links ; 1 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 5 / 5,406 ( < 1 % ) ; +; R4 interconnects ; 39 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 5.00) ; Number of LABs (Total = 3) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 2 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 5.00) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 2 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 5.00) ; Number of LABs (Total = 3) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 2 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 2.67) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 2 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 22 ; 22 ; 0 ; 0 ; 22 ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 8 ; 14 ; 0 ; 8 ; 0 ; 0 ; 14 ; 0 ; 22 ; 22 ; 22 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 22 ; 0 ; 0 ; 22 ; 22 ; 0 ; 0 ; 22 ; 22 ; 22 ; 22 ; 22 ; 22 ; 8 ; 22 ; 22 ; 22 ; 14 ; 8 ; 22 ; 14 ; 22 ; 22 ; 8 ; 22 ; 0 ; 0 ; 0 ; 22 ; 22 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; HEX0[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119006): Selected device 10M50DAF484C6GES for design "part1" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part1.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Warning (15705): Ignored locations or region assignments to the following nodes + Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.04 seconds. +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (144001): Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/output_files/part1.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 21 warnings + Info: Peak virtual memory: 1087 megabytes + Info: Processing ended: Sat Apr 24 17:01:52 2021 + Info: Elapsed time: 00:00:19 + Info: Total CPU time (on all processors): 00:00:22 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/output_files/part1.fit.smsg. + + diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.smsg b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.summary b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.summary new file mode 100644 index 0000000..3c0919e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Sat Apr 24 17:01:52 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part1 +Top-level Entity Name : part1 +Family : MAX 10 +Device : 10M50DAF484C6GES +Timing Models : Preliminary +Total logic elements : 15 / 49,760 ( < 1 % ) + Total combinational functions : 15 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 22 / 360 ( 6 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.flow.rpt b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.flow.rpt new file mode 100644 index 0000000..f3939d5 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.flow.rpt @@ -0,0 +1,137 @@ +Flow report for part1 +Sat Apr 24 17:02:06 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Sat Apr 24 17:01:59 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part1 ; +; Top-level Entity Name ; part1 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 15 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 15 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 22 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/24/2021 17:01:18 ; +; Main task ; Compilation ; +; Revision Name ; part1 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 164639278517.161930167805732 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:14 ; 1.0 ; 393 MB ; 00:00:32 ; +; Fitter ; 00:00:19 ; 1.0 ; 1087 MB ; 00:00:22 ; +; Assembler ; 00:00:05 ; 1.0 ; 355 MB ; 00:00:05 ; +; Timing Analyzer ; 00:00:06 ; 1.0 ; 509 MB ; 00:00:06 ; +; Total ; 00:00:44 ; -- ; -- ; 00:01:05 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+-------------------+------------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+-------------------+------------------+------------+----------------+ +; Analysis & Synthesis ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Fitter ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Assembler ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Timing Analyzer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; ++----------------------+-------------------+------------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off part1 -c part1 +quartus_fit --read_settings_files=off --write_settings_files=off part1 -c part1 +quartus_asm --read_settings_files=off --write_settings_files=off part1 -c part1 +quartus_sta part1 -c part1 + + + diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.jdi b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.jdi new file mode 100644 index 0000000..351273c --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.map.rpt b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.map.rpt new file mode 100644 index 0000000..1830eaa --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.map.rpt @@ -0,0 +1,384 @@ +Analysis & Synthesis report for part1 +Sat Apr 24 17:01:32 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sat Apr 24 17:01:32 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part1 ; +; Top-level Entity Name ; part1 ; +; Family ; MAX 10 ; +; Total logic elements ; 14 ; +; Total combinational functions ; 14 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 22 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Top-level entity name ; part1 ; part1 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; part1.v ; yes ; User Verilog HDL File ; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/part1.v ; ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ + + ++-----------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------+ +; Estimated Total logic elements ; 14 ; +; ; ; +; Total combinational functions ; 14 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 14 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 14 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 22 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; SW[0]~input ; +; Maximum fan-out ; 7 ; +; Total fan-out ; 92 ; +; Average fan-out ; 1.59 ; ++---------------------------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |part1 ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 ; 0 ; 0 ; |part1 ; part1 ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 22 ; +; cycloneiii_lcell_comb ; 16 ; +; normal ; 16 ; +; 1 data inputs ; 2 ; +; 4 data inputs ; 14 ; +; ; ; +; Max LUT depth ; 2.00 ; +; Average LUT depth ; 1.50 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:01 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sat Apr 24 17:01:18 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off part1 -c part1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 1 design units, including 1 entities, in source file part1.v + Info (12023): Found entity 1: part1 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part1/part1.v Line: 1 +Info (12127): Elaborating entity "part1" for the top level hierarchy +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 36 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 8 input pins + Info (21059): Implemented 14 output pins + Info (21061): Implemented 14 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 101 warnings + Info: Peak virtual memory: 394 megabytes + Info: Processing ended: Sat Apr 24 17:01:32 2021 + Info: Elapsed time: 00:00:14 + Info: Total CPU time (on all processors): 00:00:32 + + diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.map.summary b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.map.summary new file mode 100644 index 0000000..7190490 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Sat Apr 24 17:01:32 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part1 +Top-level Entity Name : part1 +Family : MAX 10 +Total logic elements : 14 + Total combinational functions : 14 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 22 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.pin b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.pin new file mode 100644 index 0000000..58269e0 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "part1" ASSIGNED TO AN: 10M50DAF484C6GES + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +SW[4] : A12 : input : 2.5 V : : 7 : Y +SW[6] : A13 : input : 2.5 V : : 7 : Y +SW[7] : A14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +HEX1[4] : A17 : output : 2.5 V : : 7 : Y +HEX1[5] : A18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +SW[5] : B12 : input : 2.5 V : : 7 : Y +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +HEX1[3] : B16 : output : 2.5 V : : 7 : Y +HEX1[6] : B17 : output : 2.5 V : : 7 : Y +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +SW[3] : C12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +HEX0[0] : C14 : output : 2.5 V : : 7 : Y +HEX0[2] : C15 : output : 2.5 V : : 7 : Y +HEX0[3] : C16 : output : 2.5 V : : 7 : Y +HEX0[6] : C17 : output : 2.5 V : : 7 : Y +HEX1[0] : C18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[2] : D12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +HEX0[5] : D17 : output : 2.5 V : : 7 : Y +HEX1[1] : D18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +HEX0[1] : E15 : output : 2.5 V : : 7 : Y +HEX0[4] : E16 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +HEX1[2] : E18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.pof b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.pof new file mode 100644 index 0000000..3d77bcb Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.pof differ diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sld b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sof b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sof new file mode 100644 index 0000000..979febe Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sof differ diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sta.rpt b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sta.rpt new file mode 100644 index 0000000..d5c9202 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sta.rpt @@ -0,0 +1,622 @@ +Timing Analyzer report for part1 +Sat Apr 24 17:02:06 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; part1 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.5% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; HEX0[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 8 ; 8 ; +; Unconstrained Input Port Paths ; 56 ; 56 ; +; Unconstrained Output Ports ; 14 ; 14 ; +; Unconstrained Output Port Paths ; 56 ; 56 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sat Apr 24 17:02:00 2021 +Info: Command: quartus_sta part1 -c part1 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part1.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 106 warnings + Info: Peak virtual memory: 509 megabytes + Info: Processing ended: Sat Apr 24 17:02:06 2021 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:06 + + diff --git a/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sta.summary b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sta.summary new file mode 100644 index 0000000..aa5b327 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/output_files/part1.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/Lab2/part1/part1.qpf b/EE203/Noah Woodlee/Lab2/part1/part1.qpf new file mode 100644 index 0000000..d078438 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/part1.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:13:22 April 16, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "20:13:22 April 16, 2021" + +# Revisions + +PROJECT_REVISION = "part1" diff --git a/EE203/Noah Woodlee/Lab2/part1/part1.qsf b/EE203/Noah Woodlee/Lab2/part1/part1.qsf new file mode 100644 index 0000000..6c53ec1 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/part1.qsf @@ -0,0 +1,104 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:13:23 April 16, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part1_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:13:22 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top +set_global_assignment -name VERILOG_FILE part1.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part1/part1.qsf.bak b/EE203/Noah Woodlee/Lab2/part1/part1.qsf.bak new file mode 100644 index 0000000..09b9aea --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/part1.qsf.bak @@ -0,0 +1,49 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:13:23 April 16, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part1_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:13:22 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part1/part1.qws b/EE203/Noah Woodlee/Lab2/part1/part1.qws new file mode 100644 index 0000000..f0f5014 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part1/part1.qws differ diff --git a/EE203/Noah Woodlee/Lab2/part1/part1.v b/EE203/Noah Woodlee/Lab2/part1/part1.v new file mode 100644 index 0000000..93f5fdc --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/part1.v @@ -0,0 +1,17 @@ +module part1(SW, HEX0, HEX1); + input [7:0] SW; + output [6:0] HEX0, HEX1; + + assign HEX0=SW[3]? (SW[0]? 7'b0010_000:7'b0000_000): + (SW[2]? (SW[1]? (SW[0]? 7'b1111_000:7'b0000_010): + (SW[0]? 7'b0010_010:7'b0011_001)): + (SW[1]? (SW[0]? 7'b0110_000:7'b0100_100): + (SW[0]? 7'b1111_001:7'b1000_000))); + + assign HEX1=SW[7]? (SW[4]? 7'b0010_000:7'b0000_000): + (SW[6]? (SW[5]? (SW[4]? 7'b1111_000:7'b0000_010): + (SW[4]? 7'b0010_010:7'b0011_001)): + (SW[5]? (SW[4]? 7'b0110_000:7'b0100_100): + (SW[4]? 7'b1111_001:7'b1000_000))); + +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part1/part1.v.bak b/EE203/Noah Woodlee/Lab2/part1/part1.v.bak new file mode 100644 index 0000000..be9ca57 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/part1.v.bak @@ -0,0 +1,17 @@ +module part1_bcd(SW, HEX0, HEX1); + input [7:0] SW; + output [6:0] HEX0, HEX1; + + assign HEX0=SW[3]? (SW[0]? 7'b0010_000:7'b0000_000): + (SW[2]? (SW[1]? (SW[0]? 7'b1111_000:7'b0000_010): + (SW[0]? 7'b0010_010:7'b0011_001)): + (SW[1]? (SW[0]? 7'b0110_000:7'b0100_100): + (SW[0]? 7'b1111_001:7'b1000_000))); + + assign HEX1=SW[7]? (SW[4]? 7'b0010_000:7'b0000_000): + (SW[6]? (SW[5]? (SW[4]? 7'b1111_000:7'b0000_010): + (SW[4]? 7'b0010_010:7'b0011_001)): + (SW[5]? (SW[4]? 7'b0110_000:7'b0100_100): + (SW[4]? 7'b1111_001:7'b1000_000))); + +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part1/part1_assignment_defaults.qdf b/EE203/Noah Woodlee/Lab2/part1/part1_assignment_defaults.qdf new file mode 100644 index 0000000..a7817df --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part1/part1_assignment_defaults.qdf @@ -0,0 +1,808 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 16:59:18 April 24, 2021 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value ENABLE +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/EE203/Noah Woodlee/Lab2/part2/atom_netlists/part2.qsf b/EE203/Noah Woodlee/Lab2/part2/atom_netlists/part2.qsf new file mode 100644 index 0000000..b37df22 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/atom_netlists/part2.qsf @@ -0,0 +1,69 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part2 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:26:21 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part2.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.(0).cnf.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.(0).cnf.cdb new file mode 100644 index 0000000..02358ec Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.(0).cnf.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.(0).cnf.hdb new file mode 100644 index 0000000..2347ea8 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.(1).cnf.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.(1).cnf.cdb new file mode 100644 index 0000000..88b18e6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.(1).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.(1).cnf.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.(1).cnf.hdb new file mode 100644 index 0000000..7df1723 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.(1).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.(2).cnf.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.(2).cnf.cdb new file mode 100644 index 0000000..e8e0999 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.(2).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.(2).cnf.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.(2).cnf.hdb new file mode 100644 index 0000000..a0ae420 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.(2).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.asm.qmsg b/EE203/Noah Woodlee/Lab2/part2/db/part2.asm.qmsg new file mode 100644 index 0000000..a9e8c6e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619309990762 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619309990763 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 24 19:19:50 2021 " "Processing started: Sat Apr 24 19:19:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619309990763 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619309990763 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part2 -c part2 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part2 -c part2" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619309990763 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1619309991112 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619309993884 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619309993983 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "358 " "Peak virtual memory: 358 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619309995221 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 19:19:55 2021 " "Processing ended: Sat Apr 24 19:19:55 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619309995221 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619309995221 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619309995221 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619309995221 ""} diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.asm.rdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.asm.rdb new file mode 100644 index 0000000..79e8c78 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.asm.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.asm_labs.ddb b/EE203/Noah Woodlee/Lab2/part2/db/part2.asm_labs.ddb new file mode 100644 index 0000000..11bf451 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cbx.xml b/EE203/Noah Woodlee/Lab2/part2/db/part2.cbx.xml new file mode 100644 index 0000000..3442f78 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.bpm b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.bpm new file mode 100644 index 0000000..ecba5d2 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.cdb new file mode 100644 index 0000000..7aee5e5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.hdb new file mode 100644 index 0000000..0e87462 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.idb b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.idb new file mode 100644 index 0000000..9f9176f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.idb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.logdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.logdb new file mode 100644 index 0000000..f6c1f2d --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.logdb @@ -0,0 +1,60 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;18;18;0;0;18;18;0;0;0;0;0;0;14;0;0;0;4;14;0;4;0;0;14;0;18;18;18;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,18;0;0;18;18;0;0;18;18;18;18;18;18;4;18;18;18;14;4;18;14;18;18;4;18;0;0;0;18;18, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,HEX0[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.rdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.rdb new file mode 100644 index 0000000..89ff261 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp_merge.kpt b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp_merge.kpt new file mode 100644 index 0000000..a62466c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.db_info b/EE203/Noah Woodlee/Lab2/part2/db/part2.db_info new file mode 100644 index 0000000..4201d3a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sat Apr 24 19:15:51 2021 diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.fit.qmsg b/EE203/Noah Woodlee/Lab2/part2/db/part2.fit.qmsg new file mode 100644 index 0000000..9b043f2 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.fit.qmsg @@ -0,0 +1,54 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1619309970781 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619309970781 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part2 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part2\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619309970792 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619309970856 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619309970856 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1619309971244 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619309971259 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619309971431 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 85 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 87 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 89 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 91 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 93 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 95 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 97 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 0 { 0 ""} 0 99 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619309971445 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1619309971445 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619309971447 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619309971447 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619309971447 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619309971447 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1619309971451 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part2.sdc " "Synopsys Design Constraints File file not found: 'part2.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1619309972116 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1619309972117 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1619309972118 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1619309972118 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1619309972121 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1619309972121 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1619309972122 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1619309972129 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619309972130 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619309972130 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619309972131 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619309972132 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1619309972133 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1619309972133 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1619309972133 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1619309972133 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1619309972134 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619309972134 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[0\] " "Node \"LEDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[1\] " "Node \"LEDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[2\] " "Node \"LEDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[3\] " "Node \"LEDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[4\] " "Node \"LEDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[5\] " "Node \"LEDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[6\] " "Node \"LEDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[7\] " "Node \"LEDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[4\] " "Node \"SW\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[5\] " "Node \"SW\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[6\] " "Node \"SW\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[7\] " "Node \"SW\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[8\] " "Node \"SW\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619309972175 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1619309972175 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619309972176 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1619309972190 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619309975039 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619309975159 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619309975212 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619309975662 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619309975662 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619309982331 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X56_Y44 X66_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54" { } { { "loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54"} { { 12 { 0 ""} 56 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1619309985861 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619309985861 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1619309986044 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1619309986044 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1619309986044 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619309986048 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.04 " "Total time spent on timing analysis during the Fitter is 0.04 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1619309986368 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619309986378 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619309986378 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619309986823 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619309986823 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619309986823 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619309987250 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619309987885 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1619309988077 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/output_files/part2.fit.smsg " "Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/output_files/part2.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619309988141 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 25 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 25 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1085 " "Peak virtual memory: 1085 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619309988603 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 19:19:48 2021 " "Processing ended: Sat Apr 24 19:19:48 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619309988603 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619309988603 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619309988603 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619309988603 ""} diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.hier_info b/EE203/Noah Woodlee/Lab2/part2/db/part2.hier_info new file mode 100644 index 0000000..3eca858 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.hier_info @@ -0,0 +1,85 @@ +|part2 +SW[0] => V[0].IN2 +SW[1] => V[1].IN1 +SW[2] => V[2].IN1 +SW[3] => V[3].IN1 +HEX0[0] <= bcd:B0.port1 +HEX0[1] <= bcd:B0.port1 +HEX0[2] <= bcd:B0.port1 +HEX0[3] <= bcd:B0.port1 +HEX0[4] <= bcd:B0.port1 +HEX0[5] <= bcd:B0.port1 +HEX0[6] <= bcd:B0.port1 +HEX1[0] <= z.DB_MAX_OUTPUT_PORT_TYPE +HEX1[1] <= +HEX1[2] <= +HEX1[3] <= z.DB_MAX_OUTPUT_PORT_TYPE +HEX1[4] <= z.DB_MAX_OUTPUT_PORT_TYPE +HEX1[5] <= z.DB_MAX_OUTPUT_PORT_TYPE +HEX1[6] <= + + +|part2|mux_2to1:M0 +IN1[0] => OUT.DATAA +IN1[1] => OUT.DATAA +IN1[2] => OUT.DATAA +IN1[3] => OUT.DATAA +IN2[0] => OUT.DATAB +IN2[1] => OUT.DATAB +IN2[2] => OUT.DATAB +IN2[3] => OUT.DATAB +S => OUT.OUTPUTSELECT +S => OUT.OUTPUTSELECT +S => OUT.OUTPUTSELECT +S => OUT.OUTPUTSELECT +OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE + + +|part2|bcd:B0 +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.DATAA +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= OUT.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.hif b/EE203/Noah Woodlee/Lab2/part2/db/part2.hif new file mode 100644 index 0000000..9d280b1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.hif differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.html b/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.html new file mode 100644 index 0000000..5b0d3d6 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.html @@ -0,0 +1,50 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
B04000700000000
M09000400000000
diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.rdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.rdb new file mode 100644 index 0000000..7724fb2 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.txt b/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.txt new file mode 100644 index 0000000..743d23b --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.lpc.txt @@ -0,0 +1,8 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; B0 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; M0 ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.ammdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.ammdb new file mode 100644 index 0000000..790b913 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.bpm b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.bpm new file mode 100644 index 0000000..de5fe5a Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.cdb new file mode 100644 index 0000000..4ec8d80 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.hdb new file mode 100644 index 0000000..361e863 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.kpt b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.kpt new file mode 100644 index 0000000..59afe45 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.logdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.qmsg b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.qmsg new file mode 100644 index 0000000..5024096 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.qmsg @@ -0,0 +1,20 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619309955053 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619309955054 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 24 19:19:14 2021 " "Processing started: Sat Apr 24 19:19:14 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619309955054 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619309955054 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part2 -c part2 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part2 -c part2" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619309955054 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1619309955374 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1619309955374 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part2.v 3 3 " "Found 3 design units, including 3 entities, in source file part2.v" { { "Info" "ISGN_ENTITY_NAME" "1 bcd " "Found entity 1: bcd" { } { { "part2.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619309966923 ""} { "Info" "ISGN_ENTITY_NAME" "2 mux_2to1 " "Found entity 2: mux_2to1" { } { { "part2.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 13 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619309966923 ""} { "Info" "ISGN_ENTITY_NAME" "3 part2 " "Found entity 3: part2" { } { { "part2.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 22 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619309966923 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619309966923 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part2 " "Elaborating entity \"part2\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1619309966990 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 part2.v(31) " "Verilog HDL assignment warning at part2.v(31): truncated value with size 32 to match size of target (1)" { } { { "part2.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1619309966992 "|part2"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_2to1 mux_2to1:M0 " "Elaborating entity \"mux_2to1\" for hierarchy \"mux_2to1:M0\"" { } { { "part2.v" "M0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 35 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619309967023 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "bcd bcd:B0 " "Elaborating entity \"bcd\" for hierarchy \"bcd:B0\"" { } { { "part2.v" "B0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 36 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619309967027 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[1\] GND " "Pin \"HEX1\[1\]\" is stuck at GND" { } { { "part2.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619309967683 "|part2|HEX1[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[2\] GND " "Pin \"HEX1\[2\]\" is stuck at GND" { } { { "part2.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619309967683 "|part2|HEX1[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[6\] VCC " "Pin \"HEX1\[6\]\" is stuck at VCC" { } { { "part2.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619309967683 "|part2|HEX1[6]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1619309967683 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1619309967789 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968315 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619309968315 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1 24 " "Ignored 24 assignments for entity \"part1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968317 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619309968317 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968318 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619309968318 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968319 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619309968319 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309968321 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619309968321 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1619309968460 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619309968460 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "26 " "Implemented 26 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1619309968578 ""} { "Info" "ICUT_CUT_TM_OPINS" "14 " "Implemented 14 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1619309968578 ""} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Implemented 8 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1619309968578 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1619309968578 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 131 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 131 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "396 " "Peak virtual memory: 396 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619309968592 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 19:19:28 2021 " "Processing ended: Sat Apr 24 19:19:28 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619309968592 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619309968592 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:31 " "Total CPU time (on all processors): 00:00:31" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619309968592 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1619309968592 ""} diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map.rdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.rdb new file mode 100644 index 0000000..3856f84 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.cdb new file mode 100644 index 0000000..fc953ca Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.hdb new file mode 100644 index 0000000..2c6a5ed Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.logdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.pre_map.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.pre_map.hdb new file mode 100644 index 0000000..c234ce0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.quiproj.8245.rdr.flock b/EE203/Noah Woodlee/Lab2/part2/db/part2.quiproj.8245.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..f036abe Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.routing.rdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.routing.rdb new file mode 100644 index 0000000..52f84c1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.routing.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv.hdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv.hdb new file mode 100644 index 0000000..2f98df5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv_sg.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv_sg.cdb new file mode 100644 index 0000000..45b5877 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv_sg_swap.cdb new file mode 100644 index 0000000..9c3c22b Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.sld_design_entry.sci b/EE203/Noah Woodlee/Lab2/part2/db/part2.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/Lab2/part2/db/part2.sld_design_entry_dsc.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.smart_action.txt b/EE203/Noah Woodlee/Lab2/part2/db/part2.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.sta.qmsg b/EE203/Noah Woodlee/Lab2/part2/db/part2.sta.qmsg new file mode 100644 index 0000000..bac272f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.sta.qmsg @@ -0,0 +1,56 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619309996954 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619309996954 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 24 19:19:56 2021 " "Processing started: Sat Apr 24 19:19:56 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619309996954 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1619309996954 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part2 -c part2 " "Command: quartus_sta part2 -c part2" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1619309996955 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1619309997021 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997090 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619309997090 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1 24 " "Ignored 24 assignments for entity \"part1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997091 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619309997091 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997092 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619309997092 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997094 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619309997094 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619309997095 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619309997095 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1619309997222 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1619309997222 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619309997293 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619309997293 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part2.sdc " "Synopsys Design Constraints File file not found: 'part2.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1619309997729 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619309997730 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619309997731 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619309997731 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1619309997731 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619309997732 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1619309997733 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1619309997739 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1619309997740 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619309997742 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1619309997743 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619309997745 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619309997746 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619309997751 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619309997752 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619309997753 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619309997759 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1619309997800 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Timing Analyzer" 0 -1 1619309997800 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1619310001370 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619310001415 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619310001416 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619310001416 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619310001416 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001417 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001419 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001420 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001421 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001421 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001422 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619310001424 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619310001591 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619310001591 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619310001591 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619310001592 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001593 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001594 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001595 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001596 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619310001597 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619310002881 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619310002881 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 131 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 131 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "509 " "Peak virtual memory: 509 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619310002914 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 24 19:20:02 2021 " "Processing ended: Sat Apr 24 19:20:02 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619310002914 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619310002914 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619310002914 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1619310002914 ""} diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.sta.rdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.sta.rdb new file mode 100644 index 0000000..9863d10 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.sta.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..bcc5130 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.tis_db_list.ddb b/EE203/Noah Woodlee/Lab2/part2/db/part2.tis_db_list.ddb new file mode 100644 index 0000000..73e5ec9 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..23d6d27 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..128644f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..2d56c3d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.tmw_info b/EE203/Noah Woodlee/Lab2/part2/db/part2.tmw_info new file mode 100644 index 0000000..340cca6 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:51 +start_analysis_synthesis:s:00:00:16-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:20-start_full_compilation +start_assembler:s:00:00:07-start_full_compilation +start_timing_analyzer:s:00:00:08-start_full_compilation diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.vpr.ammdb b/EE203/Noah Woodlee/Lab2/part2/db/part2.vpr.ammdb new file mode 100644 index 0000000..540a066 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..0259d60 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..090de61 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..09ac6ef Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/db/part2.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part2/db/part2_partition_pins.json b/EE203/Noah Woodlee/Lab2/part2/db/part2_partition_pins.json new file mode 100644 index 0000000..9ceac2a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/part2_partition_pins.json @@ -0,0 +1,69 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "HEX0[0]", + "strict" : false + }, + { + "name" : "HEX0[1]", + "strict" : false + }, + { + "name" : "HEX0[2]", + "strict" : false + }, + { + "name" : "HEX0[3]", + "strict" : false + }, + { + "name" : "HEX0[4]", + "strict" : false + }, + { + "name" : "HEX0[5]", + "strict" : false + }, + { + "name" : "HEX0[6]", + "strict" : false + }, + { + "name" : "HEX1[0]", + "strict" : false + }, + { + "name" : "HEX1[3]", + "strict" : false + }, + { + "name" : "HEX1[4]", + "strict" : false + }, + { + "name" : "HEX1[5]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part2/db/prev_cmp_part2.qmsg b/EE203/Noah Woodlee/Lab2/part2/db/prev_cmp_part2.qmsg new file mode 100644 index 0000000..7a0bd35 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/db/prev_cmp_part2.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1618622830609 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition " "Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618622830609 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 16 20:27:10 2021 " "Processing started: Fri Apr 16 20:27:10 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618622830609 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618622830609 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part2 -c part2 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part2 -c part2" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618622830609 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1618622830929 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1618622830929 ""} diff --git a/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..d89a873 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.0 :| George Totolos :| 08/22/2016:| Initial Revision +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a2885da --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +DE10_LITE_Golden_Top.v +platform_setup.tcl +filelist.txt diff --git a/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..d9cea11 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,435 @@ +proc ::setup_project {} { +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "15.1.0" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name SDC_FILE DE10_LITE_Golden_Top.SDC + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 + +#============================================================ +# SDRAM +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N + +#============================================================ +# SEG7 +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] + +#============================================================ +# VGA +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS + +#============================================================ +# Accelerometer +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO + +#============================================================ +# Arduino +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..1c1dfa3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/home/gtotolos/Desktop/max10_de10_lite/Golden_Top/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part2/devkits/readme.txt b/EE203/Noah Woodlee/Lab2/part2/devkits/readme.txt new file mode 100644 index 0000000..f6ba267 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/README b/EE203/Noah Woodlee/Lab2/part2/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.db_info b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.db_info new file mode 100644 index 0000000..4201d3a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sat Apr 24 19:15:51 2021 diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.ammdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.ammdb new file mode 100644 index 0000000..fa50f87 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.cdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.cdb new file mode 100644 index 0000000..d608ec0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.dfp b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.hdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.hdb new file mode 100644 index 0000000..120a31e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.logdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.rcfdb new file mode 100644 index 0000000..e31c252 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.cdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.cdb new file mode 100644 index 0000000..1ed1d4c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.dpi b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.dpi new file mode 100644 index 0000000..57df5f8 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..fffbad0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..8529c86 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hdb new file mode 100644 index 0000000..c642e65 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.kpt b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.kpt new file mode 100644 index 0000000..1b8b924 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.rrp.hdb b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.rrp.hdb new file mode 100644 index 0000000..87cff24 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/incremental_db/compiled_partitions/part2.rrp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.asm.rpt b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.asm.rpt new file mode 100644 index 0000000..f5605fd --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for part2 +Sat Apr 24 19:19:55 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: part2.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sat Apr 24 19:19:55 2021 ; +; Revision Name ; part2 ; +; Top-level Entity Name ; part2 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++-----------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------------------------------------------------------+ +; File Name ; ++-----------------------------------------------------------------------------------------------+ +; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/output_files/part2.sof ; ++-----------------------------------------------------------------------------------------------+ + + ++-------------------------------------+ +; Assembler Device Options: part2.sof ; ++----------------+--------------------+ +; Option ; Setting ; ++----------------+--------------------+ +; JTAG usercode ; 0x00271D02 ; +; Checksum ; 0x00271D02 ; ++----------------+--------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sat Apr 24 19:19:50 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part2 -c part2 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 358 megabytes + Info: Processing ended: Sat Apr 24 19:19:55 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.done b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.done new file mode 100644 index 0000000..7103d50 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.done @@ -0,0 +1 @@ +Sat Apr 24 19:20:03 2021 diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.rpt b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.rpt new file mode 100644 index 0000000..36f6b1f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.rpt @@ -0,0 +1,1314 @@ +Fitter report for part2 +Sat Apr 24 19:19:48 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Ignored Assignments + 6. Incremental Compilation Preservation Summary + 7. Incremental Compilation Partition Settings + 8. Incremental Compilation Placement Preservation + 9. Pin-Out File + 10. Fitter Resource Usage Summary + 11. Fitter Partition Statistics + 12. Input Pins + 13. Output Pins + 14. Dual Purpose and Dedicated Pins + 15. I/O Bank Usage + 16. All Package Pins + 17. I/O Assignment Warnings + 18. Fitter Resource Utilization by Entity + 19. Delay Chain Summary + 20. Pad To Core Delay Chain Fanout + 21. Routing Usage Summary + 22. LAB Logic Elements + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. I/O Rules Summary + 27. I/O Rules Details + 28. I/O Rules Matrix + 29. Fitter Device Options + 30. Operating Settings and Conditions + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Sat Apr 24 19:19:48 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part2 ; +; Top-level Entity Name ; part2 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 9 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 9 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 18 / 360 ( 5 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.3% ; ++----------------------------+-------------+ + + ++----------------------------------------------------------------------------------------+ +; Ignored Assignments ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Location ; ; ; LEDR[0] ; PIN_A8 ; QSF Assignment ; +; Location ; ; ; LEDR[1] ; PIN_A9 ; QSF Assignment ; +; Location ; ; ; LEDR[2] ; PIN_A10 ; QSF Assignment ; +; Location ; ; ; LEDR[3] ; PIN_B10 ; QSF Assignment ; +; Location ; ; ; LEDR[4] ; PIN_D13 ; QSF Assignment ; +; Location ; ; ; LEDR[5] ; PIN_C13 ; QSF Assignment ; +; Location ; ; ; LEDR[6] ; PIN_E14 ; QSF Assignment ; +; Location ; ; ; LEDR[7] ; PIN_D14 ; QSF Assignment ; +; Location ; ; ; LEDR[8] ; PIN_A11 ; QSF Assignment ; +; Location ; ; ; LEDR[9] ; PIN_B11 ; QSF Assignment ; +; Location ; ; ; SW[4] ; PIN_A12 ; QSF Assignment ; +; Location ; ; ; SW[5] ; PIN_B12 ; QSF Assignment ; +; Location ; ; ; SW[6] ; PIN_A13 ; QSF Assignment ; +; Location ; ; ; SW[7] ; PIN_A14 ; QSF Assignment ; +; Location ; ; ; SW[8] ; PIN_B14 ; QSF Assignment ; +; Location ; ; ; SW[9] ; PIN_F15 ; QSF Assignment ; ++----------+----------------+--------------+------------+---------------+----------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; +; -- Achieved ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; 0.00 % ( 0 / 64 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 48 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/output_files/part2.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 9 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 9 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 7 ; +; -- 3 input functions ; 1 ; +; -- <=2 input functions ; 1 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 9 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 18 / 360 ( 5 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.2% / 0.3% / 0.1% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 71 ; +; Average fan-out ; 1.11 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 9 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 9 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 7 ; 0 ; +; -- 3 input functions ; 1 ; 0 ; +; -- <=2 input functions ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 9 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 18 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 77 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 4 ; 0 ; +; -- Output Ports ; 14 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[2] ; D12 ; 7 ; 51 ; 54 ; 0 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[3] ; C12 ; 7 ; 54 ; 54 ; 28 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; HEX0[0] ; C14 ; 7 ; 58 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[1] ; E15 ; 7 ; 74 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[2] ; C15 ; 7 ; 60 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[3] ; C16 ; 7 ; 62 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[4] ; E16 ; 7 ; 74 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[5] ; D17 ; 7 ; 74 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[6] ; C17 ; 7 ; 74 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[0] ; C18 ; 7 ; 69 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[1] ; D18 ; 6 ; 78 ; 49 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[2] ; E18 ; 6 ; 78 ; 49 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[3] ; B16 ; 7 ; 60 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[4] ; A17 ; 7 ; 64 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[5] ; A18 ; 7 ; 66 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[6] ; B17 ; 7 ; 69 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 2 / 60 ( 3 % ) ; 2.5V ; -- ; +; 7 ; 16 / 52 ( 31 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A14 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; HEX1[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A18 ; 405 ; 7 ; HEX1[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; HEX1[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B17 ; 402 ; 7 ; HEX1[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; HEX0[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C15 ; 418 ; 7 ; HEX0[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C16 ; 416 ; 7 ; HEX0[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C17 ; 391 ; 7 ; HEX0[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C18 ; 400 ; 7 ; HEX1[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; HEX0[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D18 ; 385 ; 6 ; HEX1[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; HEX0[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E16 ; 388 ; 7 ; HEX0[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; HEX1[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; HEX0[0] ; Incomplete set of assignments ; +; HEX0[1] ; Incomplete set of assignments ; +; HEX0[2] ; Incomplete set of assignments ; +; HEX0[3] ; Incomplete set of assignments ; +; HEX0[4] ; Incomplete set of assignments ; +; HEX0[5] ; Incomplete set of assignments ; +; HEX0[6] ; Incomplete set of assignments ; +; HEX1[0] ; Incomplete set of assignments ; +; HEX1[1] ; Incomplete set of assignments ; +; HEX1[2] ; Incomplete set of assignments ; +; HEX1[3] ; Incomplete set of assignments ; +; HEX1[4] ; Incomplete set of assignments ; +; HEX1[5] ; Incomplete set of assignments ; +; HEX1[6] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |part2 ; 9 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 18 ; 0 ; 9 (2) ; 0 (0) ; 0 (0) ; 0 ; |part2 ; part2 ; work ; +; |bcd:B0| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; |part2|bcd:B0 ; bcd ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; HEX0[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[3] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[2] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++------------------------+-------------------+---------+ +; SW[1] ; ; ; +; - bcd:B0|OUT[0]~0 ; 0 ; 6 ; +; - bcd:B0|OUT~1 ; 0 ; 6 ; +; - bcd:B0|OUT[2]~2 ; 0 ; 6 ; +; - bcd:B0|OUT[3]~3 ; 0 ; 6 ; +; - bcd:B0|OUT[4]~4 ; 0 ; 6 ; +; - bcd:B0|OUT[5]~5 ; 0 ; 6 ; +; - bcd:B0|OUT[6]~6 ; 0 ; 6 ; +; - LessThan0~0 ; 0 ; 6 ; +; SW[3] ; ; ; +; - bcd:B0|OUT[0]~0 ; 0 ; 6 ; +; - bcd:B0|OUT~1 ; 0 ; 6 ; +; - bcd:B0|OUT[2]~2 ; 0 ; 6 ; +; - bcd:B0|OUT[3]~3 ; 0 ; 6 ; +; - bcd:B0|OUT[4]~4 ; 0 ; 6 ; +; - bcd:B0|OUT[5]~5 ; 0 ; 6 ; +; - bcd:B0|OUT[6]~6 ; 0 ; 6 ; +; - LessThan0~0 ; 0 ; 6 ; +; SW[0] ; ; ; +; - bcd:B0|OUT[0]~0 ; 0 ; 6 ; +; - bcd:B0|OUT~1 ; 0 ; 6 ; +; - bcd:B0|OUT[2]~2 ; 0 ; 6 ; +; - bcd:B0|OUT[3]~3 ; 0 ; 6 ; +; - bcd:B0|OUT[4]~4 ; 0 ; 6 ; +; - bcd:B0|OUT[5]~5 ; 0 ; 6 ; +; - bcd:B0|OUT[6]~6 ; 0 ; 6 ; +; SW[2] ; ; ; +; - bcd:B0|OUT[0]~0 ; 0 ; 6 ; +; - bcd:B0|OUT~1 ; 0 ; 6 ; +; - bcd:B0|OUT[2]~2 ; 0 ; 6 ; +; - bcd:B0|OUT[3]~3 ; 0 ; 6 ; +; - bcd:B0|OUT[4]~4 ; 0 ; 6 ; +; - bcd:B0|OUT[5]~5 ; 0 ; 6 ; +; - bcd:B0|OUT[6]~6 ; 0 ; 6 ; +; - LessThan0~0 ; 0 ; 6 ; ++------------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 19 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 1 / 5,382 ( < 1 % ) ; +; C4 interconnects ; 19 / 106,704 ( < 1 % ) ; +; Direct links ; 0 / 148,641 ( 0 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 49,760 ( 0 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 0 / 5,406 ( 0 % ) ; +; R4 interconnects ; 29 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 4.50) ; Number of LABs (Total = 2) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 4.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 4.50) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 2.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 18 ; 18 ; 0 ; 0 ; 18 ; 18 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 4 ; 14 ; 0 ; 4 ; 0 ; 0 ; 14 ; 0 ; 18 ; 18 ; 18 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 18 ; 0 ; 0 ; 18 ; 18 ; 0 ; 0 ; 18 ; 18 ; 18 ; 18 ; 18 ; 18 ; 4 ; 18 ; 18 ; 18 ; 14 ; 4 ; 18 ; 14 ; 18 ; 18 ; 4 ; 18 ; 0 ; 0 ; 0 ; 18 ; 18 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; HEX0[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119006): Selected device 10M50DAF484C6GES for design "part2" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part2.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Warning (15705): Ignored locations or region assignments to the following nodes + Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.04 seconds. +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (144001): Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/output_files/part2.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 25 warnings + Info: Peak virtual memory: 1085 megabytes + Info: Processing ended: Sat Apr 24 19:19:48 2021 + Info: Elapsed time: 00:00:19 + Info: Total CPU time (on all processors): 00:00:22 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/output_files/part2.fit.smsg. + + diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.smsg b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.summary b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.summary new file mode 100644 index 0000000..ea48545 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Sat Apr 24 19:19:48 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part2 +Top-level Entity Name : part2 +Family : MAX 10 +Device : 10M50DAF484C6GES +Timing Models : Preliminary +Total logic elements : 9 / 49,760 ( < 1 % ) + Total combinational functions : 9 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 18 / 360 ( 5 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.flow.rpt b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.flow.rpt new file mode 100644 index 0000000..9993459 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.flow.rpt @@ -0,0 +1,140 @@ +Flow report for part2 +Sat Apr 24 19:20:02 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Sat Apr 24 19:19:55 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part2 ; +; Top-level Entity Name ; part2 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 9 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 9 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 18 / 360 ( 5 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/24/2021 19:19:15 ; +; Main task ; Compilation ; +; Revision Name ; part2 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 164639278517.161930995509115 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:14 ; 1.0 ; 390 MB ; 00:00:31 ; +; Fitter ; 00:00:19 ; 1.0 ; 1085 MB ; 00:00:22 ; +; Assembler ; 00:00:05 ; 1.0 ; 358 MB ; 00:00:05 ; +; Timing Analyzer ; 00:00:06 ; 1.0 ; 509 MB ; 00:00:06 ; +; Total ; 00:00:44 ; -- ; -- ; 00:01:04 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+-------------------+------------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+-------------------+------------------+------------+----------------+ +; Analysis & Synthesis ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Fitter ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Assembler ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Timing Analyzer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; ++----------------------+-------------------+------------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off part2 -c part2 +quartus_fit --read_settings_files=off --write_settings_files=off part2 -c part2 +quartus_asm --read_settings_files=off --write_settings_files=off part2 -c part2 +quartus_sta part2 -c part2 + + + diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.jdi b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.jdi new file mode 100644 index 0000000..692af55 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.map.rpt b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.map.rpt new file mode 100644 index 0000000..02f444b --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.map.rpt @@ -0,0 +1,421 @@ +Analysis & Synthesis report for part2 +Sat Apr 24 19:19:28 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sat Apr 24 19:19:28 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part2 ; +; Top-level Entity Name ; part2 ; +; Family ; MAX 10 ; +; Total logic elements ; 8 ; +; Total combinational functions ; 8 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 18 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Top-level entity name ; part2 ; part2 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; part2.v ; yes ; User Verilog HDL File ; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v ; ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ + + ++-----------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------+ +; Estimated Total logic elements ; 8 ; +; ; ; +; Total combinational functions ; 8 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 7 ; +; -- 3 input functions ; 1 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 8 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 18 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; SW[1]~input ; +; Maximum fan-out ; 8 ; +; Total fan-out ; 60 ; +; Average fan-out ; 1.36 ; ++---------------------------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |part2 ; 8 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 ; 0 ; 0 ; |part2 ; part2 ; work ; +; |bcd:B0| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part2|bcd:B0 ; bcd ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 18 ; +; cycloneiii_lcell_comb ; 11 ; +; normal ; 11 ; +; 0 data inputs ; 2 ; +; 1 data inputs ; 1 ; +; 3 data inputs ; 1 ; +; 4 data inputs ; 7 ; +; ; ; +; Max LUT depth ; 2.00 ; +; Average LUT depth ; 1.27 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:01 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sat Apr 24 19:19:14 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off part2 -c part2 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 3 design units, including 3 entities, in source file part2.v + Info (12023): Found entity 1: bcd File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 1 + Info (12023): Found entity 2: mux_2to1 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 13 + Info (12023): Found entity 3: part2 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 22 +Info (12127): Elaborating entity "part2" for the top level hierarchy +Warning (10230): Verilog HDL assignment warning at part2.v(31): truncated value with size 32 to match size of target (1) File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 31 +Info (12128): Elaborating entity "mux_2to1" for hierarchy "mux_2to1:M0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 35 +Info (12128): Elaborating entity "bcd" for hierarchy "bcd:B0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 36 +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "HEX1[1]" is stuck at GND File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 24 + Warning (13410): Pin "HEX1[2]" is stuck at GND File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 24 + Warning (13410): Pin "HEX1[6]" is stuck at VCC File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part2/part2.v Line: 24 +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 26 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 4 input pins + Info (21059): Implemented 14 output pins + Info (21061): Implemented 8 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 131 warnings + Info: Peak virtual memory: 396 megabytes + Info: Processing ended: Sat Apr 24 19:19:28 2021 + Info: Elapsed time: 00:00:14 + Info: Total CPU time (on all processors): 00:00:31 + + diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.map.summary b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.map.summary new file mode 100644 index 0000000..2c30067 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Sat Apr 24 19:19:28 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part2 +Top-level Entity Name : part2 +Family : MAX 10 +Total logic elements : 8 + Total combinational functions : 8 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 18 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.pin b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.pin new file mode 100644 index 0000000..d52d08c --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "part2" ASSIGNED TO AN: 10M50DAF484C6GES + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +HEX1[4] : A17 : output : 2.5 V : : 7 : Y +HEX1[5] : A18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7 : +GND : B13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +HEX1[3] : B16 : output : 2.5 V : : 7 : Y +HEX1[6] : B17 : output : 2.5 V : : 7 : Y +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +SW[3] : C12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +HEX0[0] : C14 : output : 2.5 V : : 7 : Y +HEX0[2] : C15 : output : 2.5 V : : 7 : Y +HEX0[3] : C16 : output : 2.5 V : : 7 : Y +HEX0[6] : C17 : output : 2.5 V : : 7 : Y +HEX1[0] : C18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[2] : D12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +HEX0[5] : D17 : output : 2.5 V : : 7 : Y +HEX1[1] : D18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +HEX0[1] : E15 : output : 2.5 V : : 7 : Y +HEX0[4] : E16 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +HEX1[2] : E18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.pof b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.pof new file mode 100644 index 0000000..717446f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.pof differ diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sld b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sof b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sof new file mode 100644 index 0000000..9fc6a7e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sof differ diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sta.rpt b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sta.rpt new file mode 100644 index 0000000..dd9573e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sta.rpt @@ -0,0 +1,629 @@ +Timing Analyzer report for part2 +Sat Apr 24 19:20:02 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; part2 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.4% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; HEX0[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 4 ; 4 ; +; Unconstrained Input Port Paths ; 40 ; 40 ; +; Unconstrained Output Ports ; 11 ; 11 ; +; Unconstrained Output Port Paths ; 40 ; 40 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sat Apr 24 19:19:56 2021 +Info: Command: quartus_sta part2 -c part2 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part2.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 131 warnings + Info: Peak virtual memory: 509 megabytes + Info: Processing ended: Sat Apr 24 19:20:02 2021 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:06 + + diff --git a/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sta.summary b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sta.summary new file mode 100644 index 0000000..aa5b327 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/output_files/part2.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/Lab2/part2/part2.qpf b/EE203/Noah Woodlee/Lab2/part2/part2.qpf new file mode 100644 index 0000000..f143c98 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/part2.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:26:21 April 16, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "20:26:21 April 16, 2021" + +# Revisions + +PROJECT_REVISION = "part2" diff --git a/EE203/Noah Woodlee/Lab2/part2/part2.qsf b/EE203/Noah Woodlee/Lab2/part2/part2.qsf new file mode 100644 index 0000000..59f80d7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/part2.qsf @@ -0,0 +1,108 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:26:21 April 16, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part2_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part2 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:26:21 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part2.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part2/part2.qsf.bak b/EE203/Noah Woodlee/Lab2/part2/part2.qsf.bak new file mode 100644 index 0000000..f626b9f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/part2.qsf.bak @@ -0,0 +1,54 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:26:21 April 16, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part2_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part2 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:26:21 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part2.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part2/part2.qws b/EE203/Noah Woodlee/Lab2/part2/part2.qws new file mode 100644 index 0000000..40ba43c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part2/part2.qws differ diff --git a/EE203/Noah Woodlee/Lab2/part2/part2.v b/EE203/Noah Woodlee/Lab2/part2/part2.v new file mode 100644 index 0000000..874ee40 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/part2.v @@ -0,0 +1,37 @@ +module bcd(IN,OUT); + input [3:0] IN; + output [6:0] OUT; + + assign OUT=IN[3]? (IN[0]? 7'b0010_000:7'b0000_000): + (IN[2]? (IN[1]? (IN[0]? 7'b1111_000:7'b0000_010): + (IN[0]? 7'b0010_010:7'b0011_001)): + (IN[1]? (IN[0]? 7'b0110_000:7'b0100_100): + (IN[0]? 7'b1111_001:7'b1000_000))); + +endmodule + +module mux_2to1 (IN1, IN2, S, OUT); + input[3:0]IN1, IN2; + input S; + output [3:0]OUT; + + assign OUT=S? IN2:IN1; + +endmodule + +module part2(SW, HEX0, HEX1); + input [3:0] SW; + output [6:0] HEX0, HEX1; + + wire z; + wire [3:0] A, V; + wire [3:0] W; + + assign V=SW[3:0]; + assign z = V[3:0] > 9? 1:0; + assign HEX1 =z? 7'b1111_001:7'b1000_000; + + assign A=V[3:0]-4'b1010; + mux_2to1 M0 (V, A, z, W); + bcd B0 (W, HEX0); +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part2/part2_assignment_defaults.qdf b/EE203/Noah Woodlee/Lab2/part2/part2_assignment_defaults.qdf new file mode 100644 index 0000000..4a1e1fe --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part2/part2_assignment_defaults.qdf @@ -0,0 +1,808 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 19:15:51 April 24, 2021 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value ENABLE +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/EE203/Noah Woodlee/Lab2/part3/Waveform.vwf b/EE203/Noah Woodlee/Lab2/part3/Waveform.vwf new file mode 100644 index 0000000..1d7037c --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/Waveform.vwf @@ -0,0 +1,534 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform.vwf" --testbench_file="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform.vwf" --testbench_file="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 5; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 9; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 720.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 720.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 720.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 720.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; + CHILDREN = 7, 8, 9, 10, 11, 12, 13, 14, 15; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 6; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Lab2/part3/Waveform1.vwf b/EE203/Noah Woodlee/Lab2/part3/Waveform1.vwf new file mode 100644 index 0000000..b21c133 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/Waveform1.vwf @@ -0,0 +1,534 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform1.vwf.vt +vsim -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform1.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 5; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 9; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; + CHILDREN = 7, 8, 9, 10, 11, 12, 13, 14, 15; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 6; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Lab2/part3/Waveform2.vwf b/EE203/Noah Woodlee/Lab2/part3/Waveform2.vwf new file mode 100644 index 0000000..16c8e65 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/Waveform2.vwf @@ -0,0 +1,534 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="/home/andrew/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform2.vwf" --testbench_file="/home/andrew/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform2.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="/home/andrew/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform2.vwf" --testbench_file="/home/andrew/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform2.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="/home/andrew/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="/home/andrew/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform2.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform2.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 5; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 9; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 290.0; + LEVEL 0 FOR 710.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 290.0; + LEVEL 0 FOR 710.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 290.0; + LEVEL 0 FOR 710.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 290.0; + LEVEL 0 FOR 710.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; + CHILDREN = 7, 8, 9, 10, 11, 12, 13, 14, 15; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 6; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Lab2/part3/Waveform3.vwf b/EE203/Noah Woodlee/Lab2/part3/Waveform3.vwf new file mode 100644 index 0000000..29b1b67 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/Waveform3.vwf @@ -0,0 +1,534 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform3.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform3.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform3.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform3.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform3.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform3.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 5; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 9; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 440.0; + LEVEL 0 FOR 560.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 440.0; + LEVEL 0 FOR 560.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 440.0; + LEVEL 0 FOR 560.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 440.0; + LEVEL 0 FOR 560.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; + CHILDREN = 7, 8, 9, 10, 11, 12, 13, 14, 15; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 6; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Lab2/part3/Waveform4.vwf b/EE203/Noah Woodlee/Lab2/part3/Waveform4.vwf new file mode 100644 index 0000000..8dbe671 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/Waveform4.vwf @@ -0,0 +1,534 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform4.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform4.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform4.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform4.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform4.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform4.vwf.vt +vsim -novopt -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 5; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 9; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 640.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 640.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 640.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 640.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; + CHILDREN = 7, 8, 9, 10, 11, 12, 13, 14, 15; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 6; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.(0).cnf.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.(0).cnf.cdb new file mode 100644 index 0000000..3a671ca Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.(0).cnf.hdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.(0).cnf.hdb new file mode 100644 index 0000000..4934c25 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.(1).cnf.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.(1).cnf.cdb new file mode 100644 index 0000000..2b8753f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.(1).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.(1).cnf.hdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.(1).cnf.hdb new file mode 100644 index 0000000..7bfb314 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.(1).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.asm.qmsg b/EE203/Noah Woodlee/Lab2/part3/db/part3.asm.qmsg new file mode 100644 index 0000000..c880367 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619328329240 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619328329241 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:25:29 2021 " "Processing started: Sun Apr 25 00:25:29 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619328329241 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619328329241 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part3 -c part3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619328329241 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1619328329636 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619328332493 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619328332594 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "358 " "Peak virtual memory: 358 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328333803 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:25:33 2021 " "Processing ended: Sun Apr 25 00:25:33 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328333803 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328333803 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328333803 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619328333803 ""} diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.asm.rdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.asm.rdb new file mode 100644 index 0000000..fcbd912 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.asm.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.asm_labs.ddb b/EE203/Noah Woodlee/Lab2/part3/db/part3.asm_labs.ddb new file mode 100644 index 0000000..7636302 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cbx.xml b/EE203/Noah Woodlee/Lab2/part3/db/part3.cbx.xml new file mode 100644 index 0000000..8bb0038 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.bpm b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.bpm new file mode 100644 index 0000000..2c5f79f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.cdb new file mode 100644 index 0000000..a313327 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.hdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.hdb new file mode 100644 index 0000000..58bb5a1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.idb b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.idb new file mode 100644 index 0000000..8075749 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.idb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.logdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.logdb new file mode 100644 index 0000000..d71b976 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.logdb @@ -0,0 +1,56 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;14;14;0;0;14;14;0;0;0;0;0;0;5;0;0;0;9;5;0;9;0;0;5;0;14;14;14;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,14;0;0;14;14;0;0;14;14;14;14;14;14;9;14;14;14;5;9;14;5;14;14;9;14;0;0;0;14;14, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,LEDR[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[8],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.rdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.rdb new file mode 100644 index 0000000..14000cc Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp_merge.kpt b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp_merge.kpt new file mode 100644 index 0000000..bfde9a7 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.db_info b/EE203/Noah Woodlee/Lab2/part3/db/part3.db_info new file mode 100644 index 0000000..8f3f50b --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sun Apr 25 11:51:28 2021 diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.eda.qmsg b/EE203/Noah Woodlee/Lab2/part3/db/part3.eda.qmsg new file mode 100644 index 0000000..09d88a0 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.eda.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619329921119 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2020 Intel Corporation. All rights reserved. " "Copyright (C) 2020 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and any partner logic " "and other software and tools, and any partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel FPGA IP License Agreement, or other applicable license " "the Intel FPGA IP License Agreement, or other applicable license" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement, including, without limitation, that your use is for " "agreement, including, without limitation, that your use is for" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the sole purpose of programming logic devices manufactured by " "the sole purpose of programming logic devices manufactured by" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Intel and sold by Intel or its authorized distributors. Please " "Intel and sold by Intel or its authorized distributors. Please" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "refer to the applicable agreement for further details, at " "refer to the applicable agreement for further details, at" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "https://fpgasoftware.intel.com/eula. " "https://fpgasoftware.intel.com/eula." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:52:00 2021 " "Processing started: Sun Apr 25 00:52:00 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619329921119 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1619329921119 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/\" part3 -c part3 " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory=\"C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/\" part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1619329921120 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921459 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "EDA Netlist Writer" 0 -1 1619329921459 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1 24 " "Ignored 24 assignments for entity \"part1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921460 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "EDA Netlist Writer" 0 -1 1619329921460 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921462 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "EDA Netlist Writer" 0 -1 1619329921462 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921463 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "EDA Netlist Writer" 0 -1 1619329921463 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619329921464 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "EDA Netlist Writer" 0 -1 1619329921464 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1619329921708 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "part3.vo C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim// simulation " "Generated file part3.vo in folder \"C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1619329921781 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 126 s Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 126 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4660 " "Peak virtual memory: 4660 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619329921825 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:52:01 2021 " "Processing ended: Sun Apr 25 00:52:01 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619329921825 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619329921825 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619329921825 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1619329921825 ""} diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.fit.qmsg b/EE203/Noah Woodlee/Lab2/part3/db/part3.fit.qmsg new file mode 100644 index 0000000..d596068 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.fit.qmsg @@ -0,0 +1,52 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1619328315362 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619328315362 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part3 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619328315373 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619328315451 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619328315451 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1619328315828 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619328315837 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619328315925 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 54 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 56 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 58 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 60 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 62 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 64 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 66 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 68 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328315932 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1619328315932 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328315933 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328315933 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328315933 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328315933 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1619328315935 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part3.sdc " "Synopsys Design Constraints File file not found: 'part3.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1619328316494 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1619328316495 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1619328316496 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1619328316496 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1619328316498 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1619328316498 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1619328316499 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1619328316503 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619328316504 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619328316504 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619328316506 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619328316506 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1619328316506 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1619328316507 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1619328316507 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1619328316507 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1619328316507 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619328316507 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328316537 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1619328316543 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619328319411 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328319533 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619328319582 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619328320077 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328320077 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619328320784 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1619328324317 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619328324317 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1619328324547 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1619328324547 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1619328324547 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328324549 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.04 " "Total time spent on timing analysis during the Fitter is 0.04 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1619328324863 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619328324874 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619328324875 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619328325294 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619328325294 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619328325294 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619328325714 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328326298 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg " "Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619328326541 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 7 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1079 " "Peak virtual memory: 1079 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328326988 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:25:26 2021 " "Processing ended: Sun Apr 25 00:25:26 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328326988 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328326988 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328326988 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619328326988 ""} diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.hier_info b/EE203/Noah Woodlee/Lab2/part3/db/part3.hier_info new file mode 100644 index 0000000..42b2cc0 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.hier_info @@ -0,0 +1,57 @@ +|part3 +SW[0] => SW[0].IN1 +SW[1] => SW[1].IN1 +SW[2] => SW[2].IN1 +SW[3] => SW[3].IN1 +SW[4] => SW[4].IN1 +SW[5] => SW[5].IN1 +SW[6] => SW[6].IN1 +SW[7] => SW[7].IN1 +SW[8] => SW[8].IN1 +LEDR[0] << adder:A0.port0 +LEDR[1] << adder:A1.port0 +LEDR[2] << adder:A2.port0 +LEDR[3] << adder:A3.port0 +LEDR[4] << adder:A3.port1 + + +|part3|adder:A0 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + +|part3|adder:A1 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + +|part3|adder:A2 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + +|part3|adder:A3 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.hif b/EE203/Noah Woodlee/Lab2/part3/db/part3.hif new file mode 100644 index 0000000..6cfe71b Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.hif differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.html b/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.html new file mode 100644 index 0000000..9cef06b --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.html @@ -0,0 +1,82 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
A33000200000000
A23000200000000
A13000200000000
A03000200000000
diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.rdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.rdb new file mode 100644 index 0000000..7751fab Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.txt b/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.txt new file mode 100644 index 0000000..22bde28 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.lpc.txt @@ -0,0 +1,10 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; A3 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; A2 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; A1 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; A0 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.ammdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.ammdb new file mode 100644 index 0000000..790b913 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.bpm b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.bpm new file mode 100644 index 0000000..c77a87d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.cdb new file mode 100644 index 0000000..6fd7731 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.hdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.hdb new file mode 100644 index 0000000..fb68930 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.kpt b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.kpt new file mode 100644 index 0000000..3da288e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.logdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.qmsg b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.qmsg new file mode 100644 index 0000000..6e411fe --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.qmsg @@ -0,0 +1,17 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619328299154 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619328299155 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:24:58 2021 " "Processing started: Sun Apr 25 00:24:58 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619328299155 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328299155 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part3 -c part3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328299155 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1619328299516 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1619328299517 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part3.v 2 2 " "Found 2 design units, including 2 entities, in source file part3.v" { { "Info" "ISGN_ENTITY_NAME" "1 adder " "Found entity 1: adder" { } { { "part3.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619328311705 ""} { "Info" "ISGN_ENTITY_NAME" "2 part3 " "Found entity 2: part3" { } { { "part3.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619328311705 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328311705 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part3 " "Elaborating entity \"part3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1619328311775 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "adder adder:A0 " "Elaborating entity \"adder\" for hierarchy \"adder:A0\"" { } { { "part3.v" "A0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v" 23 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619328311778 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1619328312555 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313154 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328313154 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1 24 " "Ignored 24 assignments for entity \"part1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313156 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328313156 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313157 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328313157 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313158 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328313158 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328313160 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328313160 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1619328313303 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619328313303 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "23 " "Implemented 23 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "9 " "Implemented 9 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1619328313359 ""} { "Info" "ICUT_CUT_TM_OPINS" "5 " "Implemented 5 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1619328313359 ""} { "Info" "ICUT_CUT_TM_LCELLS" "9 " "Implemented 9 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1619328313359 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1619328313359 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 126 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 126 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "395 " "Peak virtual memory: 395 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328313372 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:25:13 2021 " "Processing ended: Sun Apr 25 00:25:13 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328313372 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:15 " "Elapsed time: 00:00:15" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328313372 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:31 " "Total CPU time (on all processors): 00:00:31" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328313372 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328313372 ""} diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map.rdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.rdb new file mode 100644 index 0000000..bc5b465 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.cdb new file mode 100644 index 0000000..f7e82fa Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.hdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.hdb new file mode 100644 index 0000000..4f933fa Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.logdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.pplq.rdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.pplq.rdb new file mode 100644 index 0000000..219c385 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.pplq.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.pre_map.hdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.pre_map.hdb new file mode 100644 index 0000000..d64321c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.23018.rdr.flock b/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.23018.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.30902.rdr.flock b/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.30902.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.31257.rdr.flock b/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.31257.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.7615.rdr.flock b/EE203/Noah Woodlee/Lab2/part3/db/part3.quiproj.7615.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..e524171 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.routing.rdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.routing.rdb new file mode 100644 index 0000000..3623774 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.routing.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv.hdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv.hdb new file mode 100644 index 0000000..e80e28a Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv_sg.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv_sg.cdb new file mode 100644 index 0000000..30da65d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv_sg_swap.cdb new file mode 100644 index 0000000..91c9747 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.sld_design_entry.sci b/EE203/Noah Woodlee/Lab2/part3/db/part3.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/Lab2/part3/db/part3.sld_design_entry_dsc.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.smart_action.txt b/EE203/Noah Woodlee/Lab2/part3/db/part3.smart_action.txt new file mode 100644 index 0000000..f1e1649 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.sta.qmsg b/EE203/Noah Woodlee/Lab2/part3/db/part3.sta.qmsg new file mode 100644 index 0000000..a2ddb78 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.sta.qmsg @@ -0,0 +1,56 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619328335542 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619328335543 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:25:35 2021 " "Processing started: Sun Apr 25 00:25:35 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619328335543 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1619328335543 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part3 -c part3 " "Command: quartus_sta part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1619328335543 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1619328335648 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335716 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328335716 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1 24 " "Ignored 24 assignments for entity \"part1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335718 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328335718 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335719 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328335719 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335720 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328335720 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328335721 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328335721 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1619328335846 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1619328335846 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328335930 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328335930 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part3.sdc " "Synopsys Design Constraints File file not found: 'part3.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1619328336384 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328336384 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619328336385 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619328336385 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1619328336386 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619328336386 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1619328336387 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1619328336393 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1619328336394 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336395 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1619328336397 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336398 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336399 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336400 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336401 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336402 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619328336405 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1619328336448 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Timing Analyzer" 0 -1 1619328336448 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1619328336900 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328336946 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619328336946 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619328336946 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619328336947 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336947 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336949 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336951 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336952 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336953 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328336954 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619328336957 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328337127 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619328337127 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619328337128 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619328337128 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328337129 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328337130 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328337131 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328337132 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328337133 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619328338348 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619328338349 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 131 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 131 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "508 " "Peak virtual memory: 508 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328338379 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:25:38 2021 " "Processing ended: Sun Apr 25 00:25:38 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328338379 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328338379 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328338379 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1619328338379 ""} diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.sta.rdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.sta.rdb new file mode 100644 index 0000000..8ad40a3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.sta.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..ce804cd Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.tis_db_list.ddb b/EE203/Noah Woodlee/Lab2/part3/db/part3.tis_db_list.ddb new file mode 100644 index 0000000..73e5ec9 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..b7baa4c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..e927179 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..51c8dd5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.tmw_info b/EE203/Noah Woodlee/Lab2/part3/db/part3.tmw_info new file mode 100644 index 0000000..8d51757 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3.tmw_info @@ -0,0 +1,3 @@ +start_full_compilation:s +start_assembler:s-start_full_compilation +start_timing_analyzer:s-start_full_compilation diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.vpr.ammdb b/EE203/Noah Woodlee/Lab2/part3/db/part3.vpr.ammdb new file mode 100644 index 0000000..8f55ac1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..c96a6fd Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..090de61 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..2d8ff11 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/db/part3.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part3/db/part3_partition_pins.json b/EE203/Noah Woodlee/Lab2/part3/db/part3_partition_pins.json new file mode 100644 index 0000000..a4308fc --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/part3_partition_pins.json @@ -0,0 +1,65 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "LEDR[0]", + "strict" : false + }, + { + "name" : "LEDR[1]", + "strict" : false + }, + { + "name" : "LEDR[2]", + "strict" : false + }, + { + "name" : "LEDR[3]", + "strict" : false + }, + { + "name" : "LEDR[4]", + "strict" : false + }, + { + "name" : "SW[8]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part3/db/prev_cmp_part3.qmsg b/EE203/Noah Woodlee/Lab2/part3/db/prev_cmp_part3.qmsg new file mode 100644 index 0000000..d29f8f7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/db/prev_cmp_part3.qmsg @@ -0,0 +1,140 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619328093927 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619328093928 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:21:33 2021 " "Processing started: Sun Apr 25 00:21:33 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619328093928 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328093928 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part3 -c part3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328093929 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1619328094386 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1619328094387 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part3.v 2 2 " "Found 2 design units, including 2 entities, in source file part3.v" { { "Info" "ISGN_ENTITY_NAME" "1 adder " "Found entity 1: adder" { } { { "part3.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619328107011 ""} { "Info" "ISGN_ENTITY_NAME" "2 part3 " "Found entity 2: part3" { } { { "part3.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619328107011 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328107011 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part3 " "Elaborating entity \"part3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1619328107086 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "adder adder:A0 " "Elaborating entity \"adder\" for hierarchy \"adder:A0\"" { } { { "part3.v" "A0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v" 23 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619328107115 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1619328107965 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108529 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328108529 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1 24 " "Ignored 24 assignments for entity \"part1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108531 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328108531 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108533 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328108533 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108534 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328108534 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328108536 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619328108536 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1619328108700 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619328108700 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "23 " "Implemented 23 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "9 " "Implemented 9 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1619328108835 ""} { "Info" "ICUT_CUT_TM_OPINS" "5 " "Implemented 5 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1619328108835 ""} { "Info" "ICUT_CUT_TM_LCELLS" "9 " "Implemented 9 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1619328108835 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1619328108835 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 126 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 126 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "395 " "Peak virtual memory: 395 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328108851 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:21:48 2021 " "Processing ended: Sun Apr 25 00:21:48 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328108851 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:15 " "Elapsed time: 00:00:15" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328108851 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:32 " "Total CPU time (on all processors): 00:00:32" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328108851 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1619328108851 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1619328111087 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619328111087 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:21:50 2021 " "Processing started: Sun Apr 25 00:21:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619328111087 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1619328111087 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off part3 -c part3 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1619328111088 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1619328111257 ""} +{ "Info" "0" "" "Project = part3" { } { } 0 0 "Project = part3" 0 0 "Fitter" 0 0 1619328111260 ""} +{ "Info" "0" "" "Revision = part3" { } { } 0 0 "Revision = part3" 0 0 "Fitter" 0 0 1619328111260 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1619328111409 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619328111409 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part3 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619328111416 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619328111495 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619328111495 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1619328111906 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619328111921 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619328112095 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 54 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 56 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 58 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 60 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 62 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 64 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 66 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 0 { 0 ""} 0 68 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619328112109 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1619328112109 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328112110 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328112110 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328112110 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619328112111 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1619328112114 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part3.sdc " "Synopsys Design Constraints File file not found: 'part3.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1619328112755 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1619328112756 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1619328112757 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1619328112758 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1619328112762 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1619328112763 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1619328112764 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1619328112773 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619328112774 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619328112774 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619328112776 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619328112777 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1619328112777 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1619328112777 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1619328112777 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1619328112778 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1619328112778 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619328112778 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328112814 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1619328112828 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619328115626 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328115757 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619328115816 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619328116311 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328116311 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619328117001 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X45_Y44 X55_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54" { } { { "loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54"} { { 12 { 0 ""} 45 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1619328120589 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619328120589 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1619328120812 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1619328120812 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1619328120812 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328120815 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.04 " "Total time spent on timing analysis during the Fitter is 0.04 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1619328121119 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619328121130 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619328121130 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619328121548 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619328121548 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619328121549 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619328121959 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619328122552 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg " "Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619328122806 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 7 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1085 " "Peak virtual memory: 1085 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328123247 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:22:03 2021 " "Processing ended: Sun Apr 25 00:22:03 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328123247 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:13 " "Elapsed time: 00:00:13" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328123247 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328123247 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619328123247 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1619328125514 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619328125515 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:22:05 2021 " "Processing started: Sun Apr 25 00:22:05 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619328125515 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619328125515 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part3 -c part3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619328125515 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1619328125907 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619328128739 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619328128842 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "355 " "Peak virtual memory: 355 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328130098 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:22:10 2021 " "Processing ended: Sun Apr 25 00:22:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328130098 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328130098 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328130098 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619328130098 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1619328130345 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1619328131861 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619328131862 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 00:22:11 2021 " "Processing started: Sun Apr 25 00:22:11 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619328131862 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1619328131862 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part3 -c part3 " "Command: quartus_sta part3 -c part3" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1619328131862 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1619328131933 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132001 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328132001 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1 24 " "Ignored 24 assignments for entity \"part1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132003 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328132003 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part1_bcd 24 " "Ignored 24 assignments for entity \"part1_bcd\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132004 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328132004 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132005 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328132005 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619328132006 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619328132006 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1619328132130 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1619328132131 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328132217 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328132217 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part3.sdc " "Synopsys Design Constraints File file not found: 'part3.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1619328132671 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328132672 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619328132672 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619328132673 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1619328132673 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619328132673 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1619328132675 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1619328132683 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1619328132684 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328132687 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1619328132688 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328132689 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328132690 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328132691 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328132692 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328132693 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619328132697 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1619328132739 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Timing Analyzer" 0 -1 1619328132740 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1619328133190 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328133235 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619328133236 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619328133236 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619328133236 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133237 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133239 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133240 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133241 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133242 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133243 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619328133245 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619328133406 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619328133406 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619328133406 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619328133406 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133409 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133410 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133410 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133411 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619328133412 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619328134604 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619328134605 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 131 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 131 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "508 " "Peak virtual memory: 508 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619328134635 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 00:22:14 2021 " "Processing ended: Sun Apr 25 00:22:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619328134635 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619328134635 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619328134635 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1619328134635 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 265 s " "Quartus Prime Full Compilation was successful. 0 errors, 265 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1619328134849 ""} diff --git a/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..d89a873 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.0 :| George Totolos :| 08/22/2016:| Initial Revision +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a2885da --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +DE10_LITE_Golden_Top.v +platform_setup.tcl +filelist.txt diff --git a/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..d9cea11 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,435 @@ +proc ::setup_project {} { +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "15.1.0" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name SDC_FILE DE10_LITE_Golden_Top.SDC + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 + +#============================================================ +# SDRAM +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N + +#============================================================ +# SEG7 +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] + +#============================================================ +# VGA +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS + +#============================================================ +# Accelerometer +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO + +#============================================================ +# Arduino +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..1c1dfa3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/home/gtotolos/Desktop/max10_de10_lite/Golden_Top/", + "acds_version" : "Version 16.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part3/devkits/readme.txt b/EE203/Noah Woodlee/Lab2/part3/devkits/readme.txt new file mode 100644 index 0000000..f6ba267 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/README b/EE203/Noah Woodlee/Lab2/part3/incremental_db/README new file mode 100644 index 0000000..6191fbe --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.db_info b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.db_info new file mode 100644 index 0000000..e24ce12 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sat Apr 24 20:06:27 2021 diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.ammdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.ammdb new file mode 100644 index 0000000..90637ea Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.cdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.cdb new file mode 100644 index 0000000..9926de6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.dfp b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.hdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.hdb new file mode 100644 index 0000000..cd91f29 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.logdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.rcfdb new file mode 100644 index 0000000..ed5d15c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.cdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.cdb new file mode 100644 index 0000000..da62fc0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.dpi b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.dpi new file mode 100644 index 0000000..c482fa0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..565f811 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..dbac8ef Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hdb new file mode 100644 index 0000000..337ea2f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.kpt b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.kpt new file mode 100644 index 0000000..113f4ca Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.rrp.hdb b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.rrp.hdb new file mode 100644 index 0000000..6e3e731 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/incremental_db/compiled_partitions/part3.rrp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.asm.rpt b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.asm.rpt new file mode 100644 index 0000000..d1b0ebf --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for part3 +Sun Apr 25 00:25:33 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: part3.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sun Apr 25 00:25:33 2021 ; +; Revision Name ; part3 ; +; Top-level Entity Name ; part3 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++-----------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------------------------------------------------------+ +; File Name ; ++-----------------------------------------------------------------------------------------------+ +; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.sof ; ++-----------------------------------------------------------------------------------------------+ + + ++-------------------------------------+ +; Assembler Device Options: part3.sof ; ++----------------+--------------------+ +; Option ; Setting ; ++----------------+--------------------+ +; JTAG usercode ; 0x00271568 ; +; Checksum ; 0x00271568 ; ++----------------+--------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 00:25:29 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part3 -c part3 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 358 megabytes + Info: Processing ended: Sun Apr 25 00:25:33 2021 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.done b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.done new file mode 100644 index 0000000..5fee194 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.done @@ -0,0 +1 @@ +Sun Apr 25 00:25:38 2021 diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.eda.rpt b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.eda.rpt new file mode 100644 index 0000000..f3906a6 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.eda.rpt @@ -0,0 +1,233 @@ +EDA Netlist Writer report for part3 +Sun Apr 25 00:52:01 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Sun Apr 25 00:52:01 2021 ; +; Revision Name ; part3 ; +; Top-level Entity Name ; part3 ; +; Family ; MAX 10 ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++---------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------------------------------+ +; C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim//part3.vo ; ++---------------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Copyright (C) 2020 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and any partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details, at + Info: https://fpgasoftware.intel.com/eula. + Info: Processing started: Sun Apr 25 00:52:00 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file part3.vo in folder "C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 126 warnings + Info: Peak virtual memory: 4660 megabytes + Info: Processing ended: Sun Apr 25 00:52:01 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.rpt b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.rpt new file mode 100644 index 0000000..eedb366 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.rpt @@ -0,0 +1,1255 @@ +Fitter report for part3 +Sun Apr 25 00:25:26 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Sun Apr 25 00:25:26 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part3 ; +; Top-level Entity Name ; part3 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 10 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 10 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 14 / 360 ( 4 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.4% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 57 ) ; 0.00 % ( 0 / 57 ) ; 0.00 % ( 0 / 57 ) ; +; -- Achieved ; 0.00 % ( 0 / 57 ) ; 0.00 % ( 0 / 57 ) ; 0.00 % ( 0 / 57 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 41 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 10 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 10 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 2 ; +; -- 3 input functions ; 6 ; +; -- <=2 input functions ; 2 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 10 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 14 / 360 ( 4 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.3% / 0.2% / 0.3% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 58 ; +; Average fan-out ; 1.02 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 10 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 10 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 2 ; 0 ; +; -- 3 input functions ; 6 ; 0 ; +; -- <=2 input functions ; 2 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 10 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 14 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 64 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 9 ; 0 ; +; -- Output Ports ; 5 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[2] ; D12 ; 7 ; 51 ; 54 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[3] ; C12 ; 7 ; 54 ; 54 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[4] ; A12 ; 7 ; 54 ; 54 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[5] ; B12 ; 7 ; 49 ; 54 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[6] ; A13 ; 7 ; 54 ; 54 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[7] ; A14 ; 7 ; 58 ; 54 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[8] ; B14 ; 7 ; 56 ; 54 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; LEDR[0] ; A8 ; 7 ; 46 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[1] ; A9 ; 7 ; 46 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[2] ; A10 ; 7 ; 51 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[3] ; B10 ; 7 ; 46 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[4] ; D13 ; 7 ; 56 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 0 / 60 ( 0 % ) ; 2.5V ; -- ; +; 7 ; 14 / 52 ( 27 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; LEDR[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A9 ; 449 ; 7 ; LEDR[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A10 ; 439 ; 7 ; LEDR[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A13 ; 433 ; 7 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A14 ; 425 ; 7 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; LEDR[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B17 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 391 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D13 ; 431 ; 7 ; LEDR[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; 388 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; LEDR[0] ; Incomplete set of assignments ; +; LEDR[1] ; Incomplete set of assignments ; +; LEDR[2] ; Incomplete set of assignments ; +; LEDR[3] ; Incomplete set of assignments ; +; LEDR[4] ; Incomplete set of assignments ; +; SW[8] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |part3 ; 10 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 14 ; 0 ; 10 (1) ; 0 (0) ; 0 (0) ; 0 ; |part3 ; part3 ; work ; +; |adder:A0| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part3|adder:A0 ; adder ; work ; +; |adder:A1| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; |part3|adder:A1 ; adder ; work ; +; |adder:A2| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; |part3|adder:A2 ; adder ; work ; +; |adder:A3| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part3|adder:A3 ; adder ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; LEDR[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[8] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[2] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[6] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[3] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[7] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++------------------------+-------------------+---------+ +; SW[8] ; ; ; +; - adder:A0|x1 ; 1 ; 6 ; +; - adder:A0|COUT~0 ; 1 ; 6 ; +; SW[0] ; ; ; +; - adder:A0|x1 ; 0 ; 6 ; +; - adder:A0|COUT~0 ; 0 ; 6 ; +; SW[4] ; ; ; +; - adder:A0|x1 ; 0 ; 6 ; +; - adder:A0|COUT~0 ; 0 ; 6 ; +; SW[1] ; ; ; +; - adder:A1|x1 ; 0 ; 6 ; +; - adder:A2|x1 ; 0 ; 6 ; +; - adder:A2|COUT~2 ; 0 ; 6 ; +; SW[5] ; ; ; +; - adder:A1|x1 ; 0 ; 6 ; +; - adder:A2|x1 ; 0 ; 6 ; +; - adder:A2|COUT~2 ; 0 ; 6 ; +; SW[2] ; ; ; +; - adder:A2|x0 ; 0 ; 6 ; +; - adder:A2|COUT~3 ; 0 ; 6 ; +; SW[6] ; ; ; +; - adder:A2|x0 ; 0 ; 6 ; +; - adder:A2|COUT~3 ; 0 ; 6 ; +; SW[3] ; ; ; +; - adder:A3|x1 ; 1 ; 6 ; +; - adder:A3|COUT~0 ; 1 ; 6 ; +; SW[7] ; ; ; +; - adder:A3|x1 ; 0 ; 6 ; +; - adder:A3|COUT~0 ; 0 ; 6 ; ++------------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 19 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 0 / 5,382 ( 0 % ) ; +; C4 interconnects ; 19 / 106,704 ( < 1 % ) ; +; Direct links ; 1 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 4 / 49,760 ( < 1 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 0 / 5,406 ( 0 % ) ; +; R4 interconnects ; 13 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 5.00) ; Number of LABs (Total = 2) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 5.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 3.00) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 4.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 14 ; 14 ; 0 ; 0 ; 14 ; 14 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 ; 0 ; 0 ; 0 ; 9 ; 5 ; 0 ; 9 ; 0 ; 0 ; 5 ; 0 ; 14 ; 14 ; 14 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 14 ; 0 ; 0 ; 14 ; 14 ; 0 ; 0 ; 14 ; 14 ; 14 ; 14 ; 14 ; 14 ; 9 ; 14 ; 14 ; 14 ; 5 ; 9 ; 14 ; 5 ; 14 ; 14 ; 9 ; 14 ; 0 ; 0 ; 0 ; 14 ; 14 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; LEDR[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119006): Selected device 10M50DAF484C6GES for design "part3" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part3.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X45_Y44 to location X55_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.04 seconds. +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 +Info (144001): Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 7 warnings + Info: Peak virtual memory: 1079 megabytes + Info: Processing ended: Sun Apr 25 00:25:26 2021 + Info: Elapsed time: 00:00:12 + Info: Total CPU time (on all processors): 00:00:16 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg. + + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.summary b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.summary new file mode 100644 index 0000000..63b9675 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Sun Apr 25 00:25:26 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part3 +Top-level Entity Name : part3 +Family : MAX 10 +Device : 10M50DAF484C6GES +Timing Models : Preliminary +Total logic elements : 10 / 49,760 ( < 1 % ) + Total combinational functions : 10 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 14 / 360 ( 4 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.flow.rpt b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.flow.rpt new file mode 100644 index 0000000..db38f22 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.flow.rpt @@ -0,0 +1,158 @@ +Flow report for part3 +Sun Apr 25 00:52:01 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Sun Apr 25 00:52:01 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part3 ; +; Top-level Entity Name ; part3 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 10 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 10 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 14 / 360 ( 4 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/25/2021 00:24:59 ; +; Main task ; Compilation ; +; Revision Name ; part3 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 164639278517.161932829928305 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part1_bcd ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:15 ; 1.0 ; 390 MB ; 00:00:31 ; +; Fitter ; 00:00:12 ; 1.0 ; 1079 MB ; 00:00:16 ; +; Assembler ; 00:00:04 ; 1.0 ; 358 MB ; 00:00:05 ; +; Timing Analyzer ; 00:00:03 ; 1.0 ; 508 MB ; 00:00:03 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 593 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 597 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4656 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4660 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4652 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4660 MB ; 00:00:01 ; +; Total ; 00:00:40 ; -- ; -- ; 00:01:01 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+-------------------+------------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+-------------------+------------------+------------+----------------+ +; Analysis & Synthesis ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Fitter ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Assembler ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Timing Analyzer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; EDA Netlist Writer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; EDA Netlist Writer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; EDA Netlist Writer ; GENERAL-AN ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; GENERAL-AN ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; GENERAL-AN ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; GENERAL-AN ; Windows 10 ; 10.0 ; x86_64 ; ++----------------------+-------------------+------------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off part3 -c part3 +quartus_fit --read_settings_files=off --write_settings_files=off part3 -c part3 +quartus_asm --read_settings_files=off --write_settings_files=off part3 -c part3 +quartus_sta part3 -c part3 +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform.vwf" --testbench_file="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt" +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 + + + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.jdi b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.jdi new file mode 100644 index 0000000..a54ada2 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.map.rpt b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.map.rpt new file mode 100644 index 0000000..0905d57 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.map.rpt @@ -0,0 +1,416 @@ +Analysis & Synthesis report for part3 +Sun Apr 25 00:25:13 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sun Apr 25 00:25:13 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part3 ; +; Top-level Entity Name ; part3 ; +; Family ; MAX 10 ; +; Total logic elements ; 9 ; +; Total combinational functions ; 9 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 14 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Top-level entity name ; part3 ; part3 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; part3.v ; yes ; User Verilog HDL File ; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v ; ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ + + ++---------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-----------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------+ +; Estimated Total logic elements ; 9 ; +; ; ; +; Total combinational functions ; 9 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 2 ; +; -- 3 input functions ; 6 ; +; -- <=2 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 9 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 14 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; adder:A0|COUT~0 ; +; Maximum fan-out ; 3 ; +; Total fan-out ; 47 ; +; Average fan-out ; 1.27 ; ++---------------------------------------------+-----------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |part3 ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; |part3 ; part3 ; work ; +; |adder:A0| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part3|adder:A0 ; adder ; work ; +; |adder:A1| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part3|adder:A1 ; adder ; work ; +; |adder:A2| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part3|adder:A2 ; adder ; work ; +; |adder:A3| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part3|adder:A3 ; adder ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 14 ; +; cycloneiii_lcell_comb ; 9 ; +; normal ; 9 ; +; 2 data inputs ; 1 ; +; 3 data inputs ; 6 ; +; 4 data inputs ; 2 ; +; ; ; +; Max LUT depth ; 4.00 ; +; Average LUT depth ; 3.17 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:01 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 00:24:58 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off part3 -c part3 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 2 design units, including 2 entities, in source file part3.v + Info (12023): Found entity 1: adder File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v Line: 1 + Info (12023): Found entity 2: part3 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v Line: 15 +Info (12127): Elaborating entity "part3" for the top level hierarchy +Info (12128): Elaborating entity "adder" for hierarchy "adder:A0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v Line: 23 +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 23 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 9 input pins + Info (21059): Implemented 5 output pins + Info (21061): Implemented 9 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 126 warnings + Info: Peak virtual memory: 395 megabytes + Info: Processing ended: Sun Apr 25 00:25:13 2021 + Info: Elapsed time: 00:00:15 + Info: Total CPU time (on all processors): 00:00:31 + + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.map.summary b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.map.summary new file mode 100644 index 0000000..22fb4e4 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Sun Apr 25 00:25:13 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part3 +Top-level Entity Name : part3 +Family : MAX 10 +Total logic elements : 9 + Total combinational functions : 9 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 14 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.pin b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.pin new file mode 100644 index 0000000..45271e7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "part3" ASSIGNED TO AN: 10M50DAF484C6GES + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +LEDR[0] : A8 : output : 2.5 V : : 7 : Y +LEDR[1] : A9 : output : 2.5 V : : 7 : Y +LEDR[2] : A10 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +SW[4] : A12 : input : 2.5 V : : 7 : Y +SW[6] : A13 : input : 2.5 V : : 7 : Y +SW[7] : A14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +LEDR[3] : B10 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +SW[5] : B12 : input : 2.5 V : : 7 : Y +GND : B13 : gnd : : : : +SW[8] : B14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +SW[3] : C12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[2] : D12 : input : 2.5 V : : 7 : Y +LEDR[4] : D13 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.pof b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.pof new file mode 100644 index 0000000..b363427 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.pof differ diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sld b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sof b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sof new file mode 100644 index 0000000..a1afe70 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sof differ diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sta.rpt b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sta.rpt new file mode 100644 index 0000000..bb9b060 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sta.rpt @@ -0,0 +1,596 @@ +Timing Analyzer report for part3 +Sun Apr 25 00:25:38 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; part3 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.03 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.8% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; LEDR[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; LEDR[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; LEDR[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; LEDR[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 9 ; 9 ; +; Unconstrained Input Port Paths ; 33 ; 33 ; +; Unconstrained Output Ports ; 5 ; 5 ; +; Unconstrained Output Port Paths ; 33 ; 33 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; LEDR[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; LEDR[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 00:25:35 2021 +Info: Command: quartus_sta part3 -c part3 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part3.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 131 warnings + Info: Peak virtual memory: 508 megabytes + Info: Processing ended: Sun Apr 25 00:25:38 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sta.summary b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sta.summary new file mode 100644 index 0000000..aa5b327 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/output_files/part3.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/Lab2/part3/part3.qpf b/EE203/Noah Woodlee/Lab2/part3/part3.qpf new file mode 100644 index 0000000..f1afc11 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/part3.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:34:51 April 16, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "16.1" +DATE = "20:34:51 April 16, 2021" + +# Revisions + +PROJECT_REVISION = "part3" diff --git a/EE203/Noah Woodlee/Lab2/part3/part3.qsf b/EE203/Noah Woodlee/Lab2/part3/part3.qsf new file mode 100644 index 0000000..7d95555 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/part3.qsf @@ -0,0 +1,93 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:34:51 April 16, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part3_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part3 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:34:51 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part3.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform1.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform2.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform3.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform4.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part3/part3.qsf.bak b/EE203/Noah Woodlee/Lab2/part3/part3.qsf.bak new file mode 100644 index 0000000..942b783 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/part3.qsf.bak @@ -0,0 +1,49 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 20:34:51 April 16, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part3_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part3 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:34:51 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part3/part3.qws b/EE203/Noah Woodlee/Lab2/part3/part3.qws new file mode 100644 index 0000000..10a78d6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/part3.qws differ diff --git a/EE203/Noah Woodlee/Lab2/part3/part3.v b/EE203/Noah Woodlee/Lab2/part3/part3.v new file mode 100644 index 0000000..a38254a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/part3.v @@ -0,0 +1,30 @@ +module adder(SUM, COUT, A, B, CIN); + input A, B, CIN; + output SUM, COUT; + + wire x; + + + xor x0(x, A, B); + xor x1(SUM, x, CIN); + + assign COUT=x? CIN: B; + +endmodule + +module part3(SW, LEDR); + input [8:0] SW; + output [4:0] LEDR; + + + wire C0,C1,C2,C3; + wire [3:0] S; + + adder A0(S[0], C0, SW[0], SW[4], SW[8]); + adder A1(S[1], C1, SW[1], SW[5], C0); + adder A2(S[2], C2, SW[2], SW[6], C1); + adder A3(S[3], C3, SW[3], SW[7], C2); + + assign LEDR = {C3, S}; + +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part3/part3.v.bak b/EE203/Noah Woodlee/Lab2/part3/part3.v.bak new file mode 100644 index 0000000..88ffabc --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/part3.v.bak @@ -0,0 +1,15 @@ +module adder(SUM, COUT, A, B, CIN); + input A, B, CIN; + output SUM, COUT; + + wire x; + + + xor x0(x, A, B); + xor x1(SUM, x, CIN); + + assign COUT=x? CIN: B; + +endmodule + +module \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part3/part3_assignment_defaults.qdf b/EE203/Noah Woodlee/Lab2/part3/part3_assignment_defaults.qdf new file mode 100644 index 0000000..698018e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/part3_assignment_defaults.qdf @@ -0,0 +1,808 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 20:06:27 April 24, 2021 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value ENABLE +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform.vwf.vt b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..bd3b1a0 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,97 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "04/25/2021 00:26:26" + +// Verilog Test Bench (with test vectors) for design : part3 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module part3_vlg_vec_tst(); +// constants +// general purpose registers +reg [8:0] SW; +// wires +wire [4:0] LEDR; + +// assign statements (if any) +part3 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b1; + SW[8] = #280000 1'b0; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'b1; + SW[7] = #280000 1'b0; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'b1; + SW[6] = #280000 1'b0; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'b0; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'b0; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'b0; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'b1; + SW[2] = #280000 1'b0; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt new file mode 100644 index 0000000..4a24e9b --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt @@ -0,0 +1,97 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "04/25/2021 00:51:59" + +// Verilog Test Bench (with test vectors) for design : part3 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module part3_vlg_vec_tst(); +// constants +// general purpose registers +reg [8:0] SW; +// wires +wire [4:0] LEDR; + +// assign statements (if any) +part3 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b1; + SW[8] = #400000 1'b0; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'b1; + SW[7] = #400000 1'b0; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'b1; + SW[6] = #400000 1'b0; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'b0; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'b0; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'b0; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'b1; + SW[2] = #400000 1'b0; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform2.vwf.vt b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform2.vwf.vt new file mode 100644 index 0000000..1a8f88d --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform2.vwf.vt @@ -0,0 +1,97 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "04/25/2021 00:09:09" + +// Verilog Test Bench (with test vectors) for design : part3 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module part3_vlg_vec_tst(); +// constants +// general purpose registers +reg [8:0] SW; +// wires +wire [4:0] LEDR; + +// assign statements (if any) +part3 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b1; + SW[8] = #290000 1'b0; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'b1; + SW[7] = #290000 1'b0; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'b1; + SW[6] = #290000 1'b0; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'b0; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'b0; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'b0; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'b1; + SW[2] = #290000 1'b0; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform3.vwf.vt b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform3.vwf.vt new file mode 100644 index 0000000..46cfc71 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform3.vwf.vt @@ -0,0 +1,97 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "04/25/2021 00:16:46" + +// Verilog Test Bench (with test vectors) for design : part3 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module part3_vlg_vec_tst(); +// constants +// general purpose registers +reg [8:0] SW; +// wires +wire [4:0] LEDR; + +// assign statements (if any) +part3 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b1; + SW[8] = #440000 1'b0; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'b1; + SW[7] = #440000 1'b0; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'b1; + SW[6] = #440000 1'b0; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'b0; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'b0; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'b0; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'b1; + SW[2] = #440000 1'b0; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform4.vwf.vt b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform4.vwf.vt new file mode 100644 index 0000000..9992b96 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform4.vwf.vt @@ -0,0 +1,97 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "04/25/2021 00:19:15" + +// Verilog Test Bench (with test vectors) for design : part3 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module part3_vlg_vec_tst(); +// constants +// general purpose registers +reg [8:0] SW; +// wires +wire [4:0] LEDR; + +// assign statements (if any) +part3 i1 ( +// port map - connection between master ports and signals/registers + .LEDR(LEDR), + .SW(SW) +); +initial +begin +#1000000 $finish; +end +// SW[ 8 ] +initial +begin + SW[8] = 1'b1; + SW[8] = #360000 1'b0; +end +// SW[ 7 ] +initial +begin + SW[7] = 1'b1; + SW[7] = #360000 1'b0; +end +// SW[ 6 ] +initial +begin + SW[6] = 1'b1; + SW[6] = #360000 1'b0; +end +// SW[ 5 ] +initial +begin + SW[5] = 1'b0; +end +// SW[ 4 ] +initial +begin + SW[4] = 1'b0; +end +// SW[ 3 ] +initial +begin + SW[3] = 1'b0; +end +// SW[ 2 ] +initial +begin + SW[2] = 1'b1; + SW[2] = #360000 1'b0; +end +// SW[ 1 ] +initial +begin + SW[1] = 1'b0; +end +// SW[ 0 ] +initial +begin + SW[0] = 1'b0; +end +endmodule + diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.do b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.do new file mode 100644 index 0000000..be3ede1 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vlog -work work part3.vo +vlog -work work Waveform1.vwf.vt +vsim -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +vcd file -direction part3.msim.vcd +vcd add -internal part3_vlg_vec_tst/* +vcd add -internal part3_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.msim.vcd b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.msim.vcd new file mode 100644 index 0000000..7e80132 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.msim.vcd @@ -0,0 +1,124 @@ +$comment + File created using the following command: + vcd file part3.msim.vcd -direction +$end +$date + Sun Apr 25 00:52:04 2021 +$end +$version + ModelSim Version 2020.1 +$end +$timescale + 1ps +$end + +$scope module part3_vlg_vec_tst $end +$var reg 9 ! SW [8:0] $end +$var wire 1 " LEDR [4] $end +$var wire 1 # LEDR [3] $end +$var wire 1 $ LEDR [2] $end +$var wire 1 % LEDR [1] $end +$var wire 1 & LEDR [0] $end + +$scope module i1 $end +$var wire 1 ' gnd $end +$var wire 1 ( vcc $end +$var wire 1 ) unknown $end +$var tri1 1 * devclrn $end +$var tri1 1 + devpor $end +$var tri1 1 , devoe $end +$var wire 1 - ~QUARTUS_CREATED_GND~I_combout $end +$var wire 1 . ~QUARTUS_CREATED_UNVM~~busy $end +$var wire 1 / ~QUARTUS_CREATED_ADC1~~eoc $end +$var wire 1 0 ~QUARTUS_CREATED_ADC2~~eoc $end +$var wire 1 1 LEDR[0]~output_o $end +$var wire 1 2 LEDR[1]~output_o $end +$var wire 1 3 LEDR[2]~output_o $end +$var wire 1 4 LEDR[3]~output_o $end +$var wire 1 5 LEDR[4]~output_o $end +$var wire 1 6 SW[4]~input_o $end +$var wire 1 7 SW[0]~input_o $end +$var wire 1 8 SW[8]~input_o $end +$var wire 1 9 A0|x1~combout $end +$var wire 1 : SW[5]~input_o $end +$var wire 1 ; SW[1]~input_o $end +$var wire 1 < A0|COUT~0_combout $end +$var wire 1 = A1|x1~combout $end +$var wire 1 > SW[2]~input_o $end +$var wire 1 ? SW[6]~input_o $end +$var wire 1 @ A2|x0~combout $end +$var wire 1 A A2|x1~combout $end +$var wire 1 B A2|COUT~2_combout $end +$var wire 1 C A2|COUT~3_combout $end +$var wire 1 D SW[3]~input_o $end +$var wire 1 E SW[7]~input_o $end +$var wire 1 F A3|x1~combout $end +$var wire 1 G A3|COUT~0_combout $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +b111000100 ! +1& +0% +0$ +0# +1" +0' +1( +x) +1* +1+ +1, +0- +z. +z/ +z0 +11 +02 +03 +04 +15 +06 +07 +18 +19 +0: +0; +0< +0= +1> +1? +0@ +0A +0B +1C +0D +1E +0F +1G +$end +#400000 +b11000100 ! +b1000100 ! +b100 ! +b0 ! +08 +0E +0? +0> +0C +09 +0G +1F +14 +05 +01 +0& +0" +1# +0F +04 +0# +#1000000 diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.sft b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.sft new file mode 100644 index 0000000..f324fea --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.vo b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.vo new file mode 100644 index 0000000..80eafe7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3.vo @@ -0,0 +1,582 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +// DATE "04/25/2021 00:52:01" + +// +// Device: Altera 10M50DAF484C6GES Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module part3 ( + SW, + LEDR); +input [8:0] SW; +output [4:0] LEDR; + +// Design Ports Information +// LEDR[0] => Location: PIN_A8, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[1] => Location: PIN_A9, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[2] => Location: PIN_A10, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[3] => Location: PIN_B10, I/O Standard: 2.5 V, Current Strength: Default +// LEDR[4] => Location: PIN_D13, I/O Standard: 2.5 V, Current Strength: Default +// SW[8] => Location: PIN_B14, I/O Standard: 2.5 V, Current Strength: Default +// SW[0] => Location: PIN_C10, I/O Standard: 2.5 V, Current Strength: Default +// SW[4] => Location: PIN_A12, I/O Standard: 2.5 V, Current Strength: Default +// SW[1] => Location: PIN_C11, I/O Standard: 2.5 V, Current Strength: Default +// SW[5] => Location: PIN_B12, I/O Standard: 2.5 V, Current Strength: Default +// SW[2] => Location: PIN_D12, I/O Standard: 2.5 V, Current Strength: Default +// SW[6] => Location: PIN_A13, I/O Standard: 2.5 V, Current Strength: Default +// SW[3] => Location: PIN_C12, I/O Standard: 2.5 V, Current Strength: Default +// SW[7] => Location: PIN_A14, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \~QUARTUS_CREATED_UNVM~~busy ; +wire \~QUARTUS_CREATED_ADC1~~eoc ; +wire \~QUARTUS_CREATED_ADC2~~eoc ; +wire \LEDR[0]~output_o ; +wire \LEDR[1]~output_o ; +wire \LEDR[2]~output_o ; +wire \LEDR[3]~output_o ; +wire \LEDR[4]~output_o ; +wire \SW[4]~input_o ; +wire \SW[0]~input_o ; +wire \SW[8]~input_o ; +wire \A0|x1~combout ; +wire \SW[5]~input_o ; +wire \SW[1]~input_o ; +wire \A0|COUT~0_combout ; +wire \A1|x1~combout ; +wire \SW[2]~input_o ; +wire \SW[6]~input_o ; +wire \A2|x0~combout ; +wire \A2|x1~combout ; +wire \A2|COUT~2_combout ; +wire \A2|COUT~3_combout ; +wire \SW[3]~input_o ; +wire \SW[7]~input_o ; +wire \A3|x1~combout ; +wire \A3|COUT~0_combout ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: LCCOMB_X44_Y41_N16 +fiftyfivenm_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .cin(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .cout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 16'h0000; +defparam \~QUARTUS_CREATED_GND~I .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOOBUF_X46_Y54_N2 +fiftyfivenm_io_obuf \LEDR[0]~output ( + .i(\A0|x1~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[0]~output .bus_hold = "false"; +defparam \LEDR[0]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X46_Y54_N23 +fiftyfivenm_io_obuf \LEDR[1]~output ( + .i(\A1|x1~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[1]~output .bus_hold = "false"; +defparam \LEDR[1]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X51_Y54_N16 +fiftyfivenm_io_obuf \LEDR[2]~output ( + .i(\A2|x1~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[2]~output .bus_hold = "false"; +defparam \LEDR[2]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X46_Y54_N9 +fiftyfivenm_io_obuf \LEDR[3]~output ( + .i(\A3|x1~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[3]~output .bus_hold = "false"; +defparam \LEDR[3]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X56_Y54_N30 +fiftyfivenm_io_obuf \LEDR[4]~output ( + .i(\A3|COUT~0_combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\LEDR[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \LEDR[4]~output .bus_hold = "false"; +defparam \LEDR[4]~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y54_N22 +fiftyfivenm_io_ibuf \SW[4]~input ( + .i(SW[4]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[4]~input_o )); +// synopsys translate_off +defparam \SW[4]~input .bus_hold = "false"; +defparam \SW[4]~input .listen_to_nsleep_signal = "false"; +defparam \SW[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N29 +fiftyfivenm_io_ibuf \SW[0]~input ( + .i(SW[0]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[0]~input_o )); +// synopsys translate_off +defparam \SW[0]~input .bus_hold = "false"; +defparam \SW[0]~input .listen_to_nsleep_signal = "false"; +defparam \SW[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X56_Y54_N1 +fiftyfivenm_io_ibuf \SW[8]~input ( + .i(SW[8]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[8]~input_o )); +// synopsys translate_off +defparam \SW[8]~input .bus_hold = "false"; +defparam \SW[8]~input .listen_to_nsleep_signal = "false"; +defparam \SW[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N24 +fiftyfivenm_lcell_comb \A0|x1 ( +// Equation(s): +// \A0|x1~combout = \SW[4]~input_o $ (\SW[0]~input_o $ (\SW[8]~input_o )) + + .dataa(\SW[4]~input_o ), + .datab(\SW[0]~input_o ), + .datac(gnd), + .datad(\SW[8]~input_o ), + .cin(gnd), + .combout(\A0|x1~combout ), + .cout()); +// synopsys translate_off +defparam \A0|x1 .lut_mask = 16'h9966; +defparam \A0|x1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X49_Y54_N1 +fiftyfivenm_io_ibuf \SW[5]~input ( + .i(SW[5]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[5]~input_o )); +// synopsys translate_off +defparam \SW[5]~input .bus_hold = "false"; +defparam \SW[5]~input .listen_to_nsleep_signal = "false"; +defparam \SW[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N22 +fiftyfivenm_io_ibuf \SW[1]~input ( + .i(SW[1]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[1]~input_o )); +// synopsys translate_off +defparam \SW[1]~input .bus_hold = "false"; +defparam \SW[1]~input .listen_to_nsleep_signal = "false"; +defparam \SW[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N26 +fiftyfivenm_lcell_comb \A0|COUT~0 ( +// Equation(s): +// \A0|COUT~0_combout = (\SW[4]~input_o & ((\SW[0]~input_o ) # (\SW[8]~input_o ))) # (!\SW[4]~input_o & (\SW[0]~input_o & \SW[8]~input_o )) + + .dataa(\SW[4]~input_o ), + .datab(\SW[0]~input_o ), + .datac(gnd), + .datad(\SW[8]~input_o ), + .cin(gnd), + .combout(\A0|COUT~0_combout ), + .cout()); +// synopsys translate_off +defparam \A0|COUT~0 .lut_mask = 16'hEE88; +defparam \A0|COUT~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N12 +fiftyfivenm_lcell_comb \A1|x1 ( +// Equation(s): +// \A1|x1~combout = \SW[5]~input_o $ (\SW[1]~input_o $ (\A0|COUT~0_combout )) + + .dataa(\SW[5]~input_o ), + .datab(\SW[1]~input_o ), + .datac(\A0|COUT~0_combout ), + .datad(gnd), + .cin(gnd), + .combout(\A1|x1~combout ), + .cout()); +// synopsys translate_off +defparam \A1|x1 .lut_mask = 16'h9696; +defparam \A1|x1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X51_Y54_N1 +fiftyfivenm_io_ibuf \SW[2]~input ( + .i(SW[2]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[2]~input_o )); +// synopsys translate_off +defparam \SW[2]~input .bus_hold = "false"; +defparam \SW[2]~input .listen_to_nsleep_signal = "false"; +defparam \SW[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y54_N15 +fiftyfivenm_io_ibuf \SW[6]~input ( + .i(SW[6]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[6]~input_o )); +// synopsys translate_off +defparam \SW[6]~input .bus_hold = "false"; +defparam \SW[6]~input .listen_to_nsleep_signal = "false"; +defparam \SW[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N22 +fiftyfivenm_lcell_comb \A2|x0 ( +// Equation(s): +// \A2|x0~combout = \SW[2]~input_o $ (\SW[6]~input_o ) + + .dataa(gnd), + .datab(gnd), + .datac(\SW[2]~input_o ), + .datad(\SW[6]~input_o ), + .cin(gnd), + .combout(\A2|x0~combout ), + .cout()); +// synopsys translate_off +defparam \A2|x0 .lut_mask = 16'h0FF0; +defparam \A2|x0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N16 +fiftyfivenm_lcell_comb \A2|x1 ( +// Equation(s): +// \A2|x1~combout = \A2|x0~combout $ (((\SW[1]~input_o & ((\A0|COUT~0_combout ) # (\SW[5]~input_o ))) # (!\SW[1]~input_o & (\A0|COUT~0_combout & \SW[5]~input_o )))) + + .dataa(\A2|x0~combout ), + .datab(\SW[1]~input_o ), + .datac(\A0|COUT~0_combout ), + .datad(\SW[5]~input_o ), + .cin(gnd), + .combout(\A2|x1~combout ), + .cout()); +// synopsys translate_off +defparam \A2|x1 .lut_mask = 16'h566A; +defparam \A2|x1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N10 +fiftyfivenm_lcell_comb \A2|COUT~2 ( +// Equation(s): +// \A2|COUT~2_combout = (\A2|x0~combout & ((\SW[1]~input_o & ((\A0|COUT~0_combout ) # (\SW[5]~input_o ))) # (!\SW[1]~input_o & (\A0|COUT~0_combout & \SW[5]~input_o )))) + + .dataa(\A2|x0~combout ), + .datab(\SW[1]~input_o ), + .datac(\A0|COUT~0_combout ), + .datad(\SW[5]~input_o ), + .cin(gnd), + .combout(\A2|COUT~2_combout ), + .cout()); +// synopsys translate_off +defparam \A2|COUT~2 .lut_mask = 16'hA880; +defparam \A2|COUT~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N0 +fiftyfivenm_lcell_comb \A2|COUT~3 ( +// Equation(s): +// \A2|COUT~3_combout = (\A2|COUT~2_combout ) # ((\SW[2]~input_o & \SW[6]~input_o )) + + .dataa(\A2|COUT~2_combout ), + .datab(gnd), + .datac(\SW[2]~input_o ), + .datad(\SW[6]~input_o ), + .cin(gnd), + .combout(\A2|COUT~3_combout ), + .cout()); +// synopsys translate_off +defparam \A2|COUT~3 .lut_mask = 16'hFAAA; +defparam \A2|COUT~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y54_N29 +fiftyfivenm_io_ibuf \SW[3]~input ( + .i(SW[3]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[3]~input_o )); +// synopsys translate_off +defparam \SW[3]~input .bus_hold = "false"; +defparam \SW[3]~input .listen_to_nsleep_signal = "false"; +defparam \SW[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X58_Y54_N29 +fiftyfivenm_io_ibuf \SW[7]~input ( + .i(SW[7]), + .ibar(gnd), + .nsleep(vcc), + .o(\SW[7]~input_o )); +// synopsys translate_off +defparam \SW[7]~input .bus_hold = "false"; +defparam \SW[7]~input .listen_to_nsleep_signal = "false"; +defparam \SW[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N20 +fiftyfivenm_lcell_comb \A3|x1 ( +// Equation(s): +// \A3|x1~combout = \A2|COUT~3_combout $ (\SW[3]~input_o $ (\SW[7]~input_o )) + + .dataa(gnd), + .datab(\A2|COUT~3_combout ), + .datac(\SW[3]~input_o ), + .datad(\SW[7]~input_o ), + .cin(gnd), + .combout(\A3|x1~combout ), + .cout()); +// synopsys translate_off +defparam \A3|x1 .lut_mask = 16'hC33C; +defparam \A3|x1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X51_Y53_N6 +fiftyfivenm_lcell_comb \A3|COUT~0 ( +// Equation(s): +// \A3|COUT~0_combout = (\A2|COUT~3_combout & ((\SW[3]~input_o ) # (\SW[7]~input_o ))) # (!\A2|COUT~3_combout & (\SW[3]~input_o & \SW[7]~input_o )) + + .dataa(gnd), + .datab(\A2|COUT~3_combout ), + .datac(\SW[3]~input_o ), + .datad(\SW[7]~input_o ), + .cin(gnd), + .combout(\A3|COUT~0_combout ), + .cout()); +// synopsys translate_off +defparam \A3|COUT~0 .lut_mask = 16'hFCC0; +defparam \A3|COUT~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: UNVM_X0_Y40_N40 +fiftyfivenm_unvm \~QUARTUS_CREATED_UNVM~ ( + .arclk(vcc), + .arshft(vcc), + .drclk(vcc), + .drshft(vcc), + .drdin(vcc), + .nprogram(vcc), + .nerase(vcc), + .nosc_ena(\~QUARTUS_CREATED_GND~I_combout ), + .par_en(vcc), + .xe_ye(\~QUARTUS_CREATED_GND~I_combout ), + .se(\~QUARTUS_CREATED_GND~I_combout ), + .ardin(23'b11111111111111111111111), + .busy(\~QUARTUS_CREATED_UNVM~~busy ), + .osc(), + .bgpbusy(), + .sp_pass(), + .se_pass(), + .drdout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range1_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_end_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range2_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .addr_range3_offset = -1; +defparam \~QUARTUS_CREATED_UNVM~ .is_compressed_image = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_dual_boot = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .is_eram_skip = "false"; +defparam \~QUARTUS_CREATED_UNVM~ .max_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .max_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_ufm_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .min_valid_addr = -1; +defparam \~QUARTUS_CREATED_UNVM~ .part_name = "quartus_created_unvm"; +defparam \~QUARTUS_CREATED_UNVM~ .reserve_block = "true"; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y52_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC1~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC1~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC1~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC1~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC1~ .is_this_first_or_second_adc = 1; +defparam \~QUARTUS_CREATED_ADC1~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC1~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC1~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC1~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC1~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC1~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC1~ .tsclksel = 0; +// synopsys translate_on + +// Location: ADCBLOCK_X43_Y51_N0 +fiftyfivenm_adcblock \~QUARTUS_CREATED_ADC2~ ( + .soc(\~QUARTUS_CREATED_GND~I_combout ), + .usr_pwd(vcc), + .tsen(\~QUARTUS_CREATED_GND~I_combout ), + .clkin_from_pll_c0(gnd), + .chsel({\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout ,\~QUARTUS_CREATED_GND~I_combout }), + .eoc(\~QUARTUS_CREATED_ADC2~~eoc ), + .dout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_ADC2~ .analog_input_pin_mask = 0; +defparam \~QUARTUS_CREATED_ADC2~ .clkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .device_partname_fivechar_prefix = "none"; +defparam \~QUARTUS_CREATED_ADC2~ .is_this_first_or_second_adc = 2; +defparam \~QUARTUS_CREATED_ADC2~ .prescalar = 0; +defparam \~QUARTUS_CREATED_ADC2~ .pwd = 1; +defparam \~QUARTUS_CREATED_ADC2~ .refsel = 0; +defparam \~QUARTUS_CREATED_ADC2~ .reserve_block = "true"; +defparam \~QUARTUS_CREATED_ADC2~ .testbits = 66; +defparam \~QUARTUS_CREATED_ADC2~ .tsclkdiv = 1; +defparam \~QUARTUS_CREATED_ADC2~ .tsclksel = 0; +// synopsys translate_on + +assign LEDR[0] = \LEDR[0]~output_o ; + +assign LEDR[1] = \LEDR[1]~output_o ; + +assign LEDR[2] = \LEDR[2]~output_o ; + +assign LEDR[3] = \LEDR[3]~output_o ; + +assign LEDR[4] = \LEDR[4]~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_TMS~ => Location: PIN_H2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TCK~ => Location: PIN_G2, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDI~ => Location: PIN_L4, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_TDO~ => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_CONFIG_SEL~ => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCONFIG~ => Location: PIN_H9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_nSTATUS~ => Location: PIN_G9, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default +// ~ALTERA_CONF_DONE~ => Location: PIN_F8, I/O Standard: 2.5 V Schmitt Trigger, Current Strength: Default + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_TMS~~padout ; +wire \~ALTERA_TCK~~padout ; +wire \~ALTERA_TDI~~padout ; +wire \~ALTERA_CONFIG_SEL~~padout ; +wire \~ALTERA_nCONFIG~~padout ; +wire \~ALTERA_nSTATUS~~padout ; +wire \~ALTERA_CONF_DONE~~padout ; +wire \~ALTERA_TMS~~ibuf_o ; +wire \~ALTERA_TCK~~ibuf_o ; +wire \~ALTERA_TDI~~ibuf_o ; +wire \~ALTERA_CONFIG_SEL~~ibuf_o ; +wire \~ALTERA_nCONFIG~~ibuf_o ; +wire \~ALTERA_nSTATUS~~ibuf_o ; +wire \~ALTERA_CONF_DONE~~ibuf_o ; + + +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_20210416205858.sim.vwf b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_20210416205858.sim.vwf new file mode 100644 index 0000000..c751815 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_20210416205858.sim.vwf @@ -0,0 +1,545 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2016 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel MegaCore Function License Agreement, or other +applicable license agreement, including, without limitation, +that your use is for the sole purpose of programming logic +devices manufactured by Intel and sold by Intel or its +authorized distributors. Please refer to the applicable +agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 5; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 9; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 520.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 520.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; + CHILDREN = 7, 8, 9, 10, 11, 12, 13, 14, 15; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 6; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_20210425005204.sim.vwf b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_20210425005204.sim.vwf new file mode 100644 index 0000000..cd5c905 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_20210425005204.sim.vwf @@ -0,0 +1,549 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 5; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 9; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 600.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; + CHILDREN = 7, 8, 9, 10, 11, 12, 13, 14, 15; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 6; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 6; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_modelsim.xrf b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_modelsim.xrf new file mode 100644 index 0000000..0f2e53e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/part3_modelsim.xrf @@ -0,0 +1,44 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/part3.v +source_file = 1, C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform.vwf +source_file = 1, C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf +source_file = 1, Waveform2.vwf +source_file = 1, Waveform3.vwf +source_file = 1, Waveform4.vwf +source_file = 1, C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/db/part3.cbx.xml +design_name = part3 +instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, part3, 1 +instance = comp, \LEDR[0]~output , LEDR[0]~output, part3, 1 +instance = comp, \LEDR[1]~output , LEDR[1]~output, part3, 1 +instance = comp, \LEDR[2]~output , LEDR[2]~output, part3, 1 +instance = comp, \LEDR[3]~output , LEDR[3]~output, part3, 1 +instance = comp, \LEDR[4]~output , LEDR[4]~output, part3, 1 +instance = comp, \SW[4]~input , SW[4]~input, part3, 1 +instance = comp, \SW[0]~input , SW[0]~input, part3, 1 +instance = comp, \SW[8]~input , SW[8]~input, part3, 1 +instance = comp, \A0|x1 , A0|x1, part3, 1 +instance = comp, \SW[5]~input , SW[5]~input, part3, 1 +instance = comp, \SW[1]~input , SW[1]~input, part3, 1 +instance = comp, \A0|COUT~0 , A0|COUT~0, part3, 1 +instance = comp, \A1|x1 , A1|x1, part3, 1 +instance = comp, \SW[2]~input , SW[2]~input, part3, 1 +instance = comp, \SW[6]~input , SW[6]~input, part3, 1 +instance = comp, \A2|x0 , A2|x0, part3, 1 +instance = comp, \A2|x1 , A2|x1, part3, 1 +instance = comp, \A2|COUT~2 , A2|COUT~2, part3, 1 +instance = comp, \A2|COUT~3 , A2|COUT~3, part3, 1 +instance = comp, \SW[3]~input , SW[3]~input, part3, 1 +instance = comp, \SW[7]~input , SW[7]~input, part3, 1 +instance = comp, \A3|x1 , A3|x1, part3, 1 +instance = comp, \A3|COUT~0 , A3|COUT~0, part3, 1 +instance = comp, \~QUARTUS_CREATED_UNVM~ , ~QUARTUS_CREATED_UNVM~, part3, 1 +instance = comp, \~QUARTUS_CREATED_ADC1~ , ~QUARTUS_CREATED_ADC1~, part3, 1 +instance = comp, \~QUARTUS_CREATED_ADC2~ , ~QUARTUS_CREATED_ADC2~, part3, 1 +design_name = hard_block +instance = comp, \~ALTERA_TMS~~ibuf , ~ALTERA_TMS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TCK~~ibuf , ~ALTERA_TCK~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_TDI~~ibuf , ~ALTERA_TDI~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONFIG_SEL~~ibuf , ~ALTERA_CONFIG_SEL~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nCONFIG~~ibuf , ~ALTERA_nCONFIG~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_nSTATUS~~ibuf , ~ALTERA_nSTATUS~~ibuf, hard_block, 1 +instance = comp, \~ALTERA_CONF_DONE~~ibuf , ~ALTERA_CONF_DONE~~ibuf, hard_block, 1 diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/transcript b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/transcript new file mode 100644 index 0000000..d6d543d --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/transcript @@ -0,0 +1,42 @@ +# do part3.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 00:52:03 on Apr 25,2021 +# vlog -work work part3.vo +# -- Compiling module part3 +# -- Compiling module hard_block +# +# Top level modules: +# part3 +# End time: 00:52:03 on Apr 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 00:52:03 on Apr 25,2021 +# vlog -work work Waveform1.vwf.vt +# -- Compiling module part3_vlg_vec_tst +# +# Top level modules: +# part3_vlg_vec_tst +# End time: 00:52:03 on Apr 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +# Start time: 00:52:03 on Apr 25,2021 +# Loading work.part3_vlg_vec_tst +# Loading work.part3 +# Loading work.hard_block +# Loading fiftyfivenm_ver.fiftyfivenm_lcell_comb +# Loading fiftyfivenm_ver.fiftyfivenm_io_obuf +# Loading fiftyfivenm_ver.fiftyfivenm_io_ibuf +# Loading fiftyfivenm_ver.fiftyfivenm_unvm +# Loading fiftyfivenm_ver.fiftyfivenm_adcblock +# ** Warning: (vsim-2685) [TFMPC] - Too few port connections for '\~QUARTUS_CREATED_ADC1~ '. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: part3.vo Line: 481 +# ** Warning: (vsim-3722) part3.vo(481): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-2685) [TFMPC] - Too few port connections for '\~QUARTUS_CREATED_ADC2~ '. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: part3.vo Line: 504 +# ** Warning: (vsim-3722) part3.vo(504): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform1.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /part3_vlg_vec_tst +# End time: 00:52:04 on Apr 25,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 4 diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/vwf_sim_transcript b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..f831c16 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,403 @@ +Determining the location of the ModelSim executable... + +Using: c:/intelfpga_lite/20.1/modelsim_ase/win32aloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt" + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Copyright (C) 2020 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and any partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details, at + Info: https://fpgasoftware.intel.com/eula. + Info: Processing started: Sun Apr 25 00:51:58 2021 +Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off part3 -c part3 --vector_source="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf" --testbench_file="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/Waveform1.vwf.vt" +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning + (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. + +utput pin "LEDR" in vector source file when writing test bench files + +Completed successfully. + +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 + +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Copyright (C) 2020 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and any partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details, at + Info: https://fpgasoftware.intel.com/eula. + Info: Processing started: Sun Apr 25 00:52:00 2021 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/" part3 -c part3 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part1_bcd" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part1_bcd -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part1_bcd -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE +_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file part3.vo in folder "C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee +/Lab2/part3/simulation/qsim//" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 126 warnings + Info: Peak virtual memory: 4660 megabytes + Info: Processing ended: Sun Apr 25 00:52:01 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + +Completed successfully. + +**** Generating the ModelSim .do script **** + +C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/part3.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +c:/intelfpga_lite/20.1/modelsim_ase/win32aloem//vsim -c -do part3.do + +Reading pref.tcl + +# 2020.1 + + +# do part3.do + +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 00:52:03 on Apr 25,2021 +# vlog -work work part3.vo + +# -- Compiling module part3 +# -- Compiling module hard_block +# +# Top level modules: +# part3 +# End time: 00:52:03 on Apr 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 00:52:03 on Apr 25,2021 +# vlog -work work Waveform1.vwf.vt +# -- Compiling module part3_vlg_vec_tst +# +# Top level modules: +# part3_vlg_vec_tst +# End time: 00:52:03 on Apr 25,2021, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 + +# vsim -c -t 1ps -L fiftyfivenm_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.part3_vlg_vec_tst +# Start time: 00:52:03 on Apr 25,2021 +# Loading work.part3_vlg_vec_tst +# Loading work.part3 +# Loading work.hard_block +# Loading fiftyfivenm_ver.fiftyfivenm_lcell_comb +# Loading fiftyfivenm_ver.fiftyfivenm_io_obuf +# Loading fiftyfivenm_ver.fiftyfivenm_io_ibuf +# Loading fiftyfivenm_ver.fiftyfivenm_unvm +# Loading fiftyfivenm_ver.fiftyfivenm_adcblock +# ** Warning: (vsim-2685) [TFMPC] - Too few port connections for '\~QUARTUS_CREATED_ADC1~ '. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC1~ File: part3.vo Line: 481 +# ** Warning: (vsim-3722) part3.vo(481): [TFMPC] - Missing connection for port 'clk_dft'. +# ** Warning: (vsim-2685) [TFMPC] - Too few port connections for '\~QUARTUS_CREATED_ADC2~ '. Expected 8, found 7. +# Time: 0 ps Iteration: 0 Instance: /part3_vlg_vec_tst/i1/\~QUARTUS_CREATED_ADC2~ File: part3.vo Line: 504 +# ** Warning: (vsim-3722) part3.vo(504): [TFMPC] - Missing connection for port 'clk_dft'. +# after#26 +# ** Note: $finish : Waveform1.vwf.vt(45) +# Time: 1 us Iteration: 0 Instance: /part3_vlg_vec_tst +# End time: 00:52:04 on Apr 25,2021, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 4 + +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/Waveform1.vwf... + +Reading C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/part3.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to C:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim/part3_20210425005204.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_info b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_info new file mode 100644 index 0000000..82963ee --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_info @@ -0,0 +1,80 @@ +m255 +K4 +z2 +!s11f vlog 2020.1 2020.02, Feb 28 2020 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 dC:/Users/ANDREW/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part3/simulation/qsim +vhard_block +Z1 !s110 1619329923 +!i10b 1 +!s100 hk;iOES1OEEkmIH`g28P^2 +Z2 !s11b Dg1SIo80bB@j0V0VzS_@n1 +I:0VO>2Okd0fffWJm?T;9Q0 +Z3 VDg1SIo80bB@j0V0VzS_@n1 +R0 +Z4 w1619329921 +Z5 8part3.vo +Z6 Fpart3.vo +!i122 2 +L0 538 45 +Z7 OV;L;2020.1;71 +r1 +!s85 0 +31 +Z8 !s108 1619329923.000000 +Z9 !s107 part3.vo| +Z10 !s90 -work|work|part3.vo| +!i113 1 +Z11 o-work work +Z12 tCvgOpt 0 +vpart3 +R1 +!i10b 1 +!s100 @Dk8V0jGN0cVf@O6]8BlI1 +R2 +IN0IA31^WL4mZlO5c]]QzO1 +R3 +R0 +R4 +R5 +R6 +!i122 2 +L0 32 505 +R7 +r1 +!s85 0 +31 +R8 +R9 +R10 +!i113 1 +R11 +R12 +vpart3_vlg_vec_tst +R1 +!i10b 1 +!s100 fT[cU72ZYER_eYz1VlYE[2 +R2 +IK`ig?Wo_giD0?]E<@Be_53 +R3 +R0 +w1619329920 +8Waveform1.vwf.vt +FWaveform1.vwf.vt +!i122 3 +L0 30 67 +R7 +r1 +!s85 0 +31 +R8 +!s107 Waveform1.vwf.vt| +!s90 -work|work|Waveform1.vwf.vt| +!i113 1 +R11 +R12 diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib.qdb b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000..b8a52fb Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib.qdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qdb b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000..d5775e0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qdb differ diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qpg b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000..bb1b8a8 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qpg differ diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qtl b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000..256eadd Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_lib1_0.qtl differ diff --git a/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_vmake b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part3/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology diff --git a/EE203/Noah Woodlee/Lab2/part4.v b/EE203/Noah Woodlee/Lab2/part4.v new file mode 100644 index 0000000..2364296 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4.v @@ -0,0 +1,81 @@ +module bcd(IN,OUT); + input [3:0] IN; + output [6:0] OUT; + + assign OUT=IN[3]? (IN[0]? 7'b0010_000:7'b0000_000): + (IN[2]? (IN[1]? (IN[0]? 7'b1111_000:7'b0000_010): + (IN[0]? 7'b0010_010:7'b0011_001)): + (IN[1]? (IN[0]? 7'b0110_000:7'b0100_100): + (IN[0]? 7'b1111_001:7'b1000_000))); + +endmodule + +module mux_2to1 (IN1, IN2, S, OUT); + input[3:0]IN1, IN2; + input S; + output [3:0]OUT; + + assign OUT=S? IN2:IN1; + +endmodule + +module BCD_ex(SW, D0, D1); + input [3:0] SW; + output [6:0] D0, D1; + + wire z; + wire [4:0] A, V; + wire [4:0] W; + + assign V=SW[3:0]; + assign z = V[4:0] > 9? 1:0; + assign D1 =z? 7'b1111_001:7'b1000_000; + + assign A=V[3:0]-4'b1010; + mux_2to1 M0 (V, A, z, W); + bcd B0 (W, D0); +endmodule + +module adder(SUM, COUT, A, B, CIN); + input A, B, CIN; + output SUM, COUT; + + wire x; + + xor x0(x, A, B); + xor x1(SUM, x, CIN); + + assign COUT = x? CIN: B; + +endmodule + +module RippleCarryAdder(SUM, COUT, IN1, IN2, CIN); + input [4:0] IN1, IN2; + input CIN; + output COUT; + output [3:0] SUM; + + wire C0, C1, C2, C3; + + adder A0(SUM[0], C0, IN1[0], IN2[0], CIN); + adder A1(SUM[1], C1, IN1[1], IN2[1], C0); + adder A2(SUM[2], C2, IN1[2], IN2[2], C1); + adder A3(SUM[3], C3, IN1[3], IN2[3], C2); + + assign COUT = C3; + +endmodule + +// if the inputs excede decimal value 9, result is unknown +module part4(SW, HEX0, HEX1); + input [8:0] SW; + output [6:0] HEX0, HEX1; + + wire COUT; + wire [3:0] U; + + // Add the decimal number's 0-9 + RippleCarryAdder R0(U, COUT, SW[3:0], SW[7:4], SW[8]); + // send the output along with carry to 7-segment display + BCD_ex({COUT, U}, HEX0, HEX1); +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.cdb new file mode 100644 index 0000000..ea4bdc5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.hdb new file mode 100644 index 0000000..24bf6d9 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.cdb new file mode 100644 index 0000000..a8538d0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.hdb new file mode 100644 index 0000000..7fa8490 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.cdb new file mode 100644 index 0000000..7ba2e49 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.hdb new file mode 100644 index 0000000..131940d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.cdb new file mode 100644 index 0000000..786b3bc Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.hdb new file mode 100644 index 0000000..a7413fe Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.cdb new file mode 100644 index 0000000..032afae Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.hdb new file mode 100644 index 0000000..52de931 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.cdb new file mode 100644 index 0000000..d092373 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.hdb new file mode 100644 index 0000000..407cd8f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.asm.qmsg b/EE203/Noah Woodlee/Lab2/part4/db/part4.asm.qmsg new file mode 100644 index 0000000..fd5b23e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619378546180 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619378546181 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 14:22:25 2021 " "Processing started: Sun Apr 25 14:22:25 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619378546181 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619378546181 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619378546182 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1619378546573 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619378549402 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619378549503 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "356 " "Peak virtual memory: 356 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619378550733 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 14:22:30 2021 " "Processing ended: Sun Apr 25 14:22:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619378550733 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619378550733 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619378550733 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619378550733 ""} diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.asm.rdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.asm.rdb new file mode 100644 index 0000000..e6a9b31 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.asm.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.asm_labs.ddb b/EE203/Noah Woodlee/Lab2/part4/db/part4.asm_labs.ddb new file mode 100644 index 0000000..041f333 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cbx.xml b/EE203/Noah Woodlee/Lab2/part4/db/part4.cbx.xml new file mode 100644 index 0000000..b1c43dd --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.bpm b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.bpm new file mode 100644 index 0000000..5e735c6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.cdb new file mode 100644 index 0000000..0ffcce2 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.hdb new file mode 100644 index 0000000..dffe1e1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.idb b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.idb new file mode 100644 index 0000000..e2b2740 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.idb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.logdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.logdb new file mode 100644 index 0000000..5d92093 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.logdb @@ -0,0 +1,65 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;23;23;0;0;23;23;0;0;0;0;0;0;14;0;0;0;9;14;0;9;0;0;14;0;23;23;23;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,23;0;0;23;23;0;0;23;23;23;23;23;23;9;23;23;23;14;9;23;14;23;23;9;23;0;0;0;23;23, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,HEX0[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[8],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.rdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.rdb new file mode 100644 index 0000000..2adb0cf Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp_merge.kpt b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp_merge.kpt new file mode 100644 index 0000000..2e5db87 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.db_info b/EE203/Noah Woodlee/Lab2/part4/db/part4.db_info new file mode 100644 index 0000000..73c2788 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sun Apr 25 14:16:58 2021 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.fit.qmsg b/EE203/Noah Woodlee/Lab2/part4/db/part4.fit.qmsg new file mode 100644 index 0000000..46ab81a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.fit.qmsg @@ -0,0 +1,54 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1619378531547 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619378531548 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part4 10M50DAF484C6GES " "Selected device 10M50DAF484C6GES for design \"part4\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619378531559 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1619378531652 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1619378531652 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1619378532066 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619378532080 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619378532245 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 131 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 133 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 135 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 137 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 139 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 141 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 143 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 145 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619378532259 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1619378532259 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619378532261 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619378532261 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619378532261 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619378532261 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1619378532265 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part4.sdc " "Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1619378532995 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1619378532995 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1619378532996 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1619378532997 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1619378533000 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1619378533000 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1619378533001 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1619378533010 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619378533010 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619378533011 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619378533013 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619378533014 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1619378533014 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1619378533014 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1619378533015 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1619378533015 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1619378533015 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619378533015 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[0\] " "Node \"HEX2\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[1\] " "Node \"HEX2\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[2\] " "Node \"HEX2\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[3\] " "Node \"HEX2\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[4\] " "Node \"HEX2\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[5\] " "Node \"HEX2\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[6\] " "Node \"HEX2\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[0\] " "Node \"HEX3\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[1\] " "Node \"HEX3\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[2\] " "Node \"HEX3\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[3\] " "Node \"HEX3\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[4\] " "Node \"HEX3\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[5\] " "Node \"HEX3\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[6\] " "Node \"HEX3\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[0\] " "Node \"LEDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[1\] " "Node \"LEDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[2\] " "Node \"LEDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[3\] " "Node \"LEDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[4\] " "Node \"LEDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[5\] " "Node \"LEDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[6\] " "Node \"LEDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[7\] " "Node \"LEDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619378533061 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1619378533061 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619378533062 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1619378533076 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619378536018 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619378536153 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619378536208 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619378536716 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619378536717 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619378537494 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X56_Y44 X66_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54" { } { { "loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54"} { { 12 { 0 ""} 56 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1619378541070 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619378541070 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1619378541324 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1619378541324 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1619378541324 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619378541327 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.04 " "Total time spent on timing analysis during the Fitter is 0.04 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1619378541642 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619378541652 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619378541653 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619378542106 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619378542106 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Fitter" 0 -1 1619378542106 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619378542547 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619378543187 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1619378543382 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/output_files/part4.fit.smsg " "Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/output_files/part4.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619378543444 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 34 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 34 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1083 " "Peak virtual memory: 1083 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619378543906 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 14:22:23 2021 " "Processing ended: Sun Apr 25 14:22:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619378543906 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:13 " "Elapsed time: 00:00:13" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619378543906 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:17 " "Total CPU time (on all processors): 00:00:17" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619378543906 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619378543906 ""} diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.hier_info b/EE203/Noah Woodlee/Lab2/part4/db/part4.hier_info new file mode 100644 index 0000000..2201f55 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.hier_info @@ -0,0 +1,170 @@ +|part4 +SW[0] => SW[0].IN1 +SW[1] => SW[1].IN1 +SW[2] => SW[2].IN1 +SW[3] => SW[3].IN1 +SW[4] => SW[4].IN1 +SW[5] => SW[5].IN1 +SW[6] => SW[6].IN1 +SW[7] => SW[7].IN1 +SW[8] => SW[8].IN1 +HEX0[0] << BCD_ex:comb_3.port1 +HEX0[1] << BCD_ex:comb_3.port1 +HEX0[2] << BCD_ex:comb_3.port1 +HEX0[3] << BCD_ex:comb_3.port1 +HEX0[4] << BCD_ex:comb_3.port1 +HEX0[5] << BCD_ex:comb_3.port1 +HEX0[6] << BCD_ex:comb_3.port1 +HEX1[0] << BCD_ex:comb_3.port2 +HEX1[1] << BCD_ex:comb_3.port2 +HEX1[2] << BCD_ex:comb_3.port2 +HEX1[3] << BCD_ex:comb_3.port2 +HEX1[4] << BCD_ex:comb_3.port2 +HEX1[5] << BCD_ex:comb_3.port2 +HEX1[6] << BCD_ex:comb_3.port2 + + +|part4|RippleCarryAdder:R0 +SUM[0] <= adder:A0.port0 +SUM[1] <= adder:A1.port0 +SUM[2] <= adder:A2.port0 +SUM[3] <= adder:A3.port0 +COUT <= adder:A3.port1 +IN1[0] => IN1[0].IN1 +IN1[1] => IN1[1].IN1 +IN1[2] => IN1[2].IN1 +IN1[3] => IN1[3].IN1 +IN1[4] => ~NO_FANOUT~ +IN2[0] => IN2[0].IN1 +IN2[1] => IN2[1].IN1 +IN2[2] => IN2[2].IN1 +IN2[3] => IN2[3].IN1 +IN2[4] => ~NO_FANOUT~ +CIN => CIN.IN1 + + +|part4|RippleCarryAdder:R0|adder:A0 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + +|part4|RippleCarryAdder:R0|adder:A1 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + +|part4|RippleCarryAdder:R0|adder:A2 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + +|part4|RippleCarryAdder:R0|adder:A3 +SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE +COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE +A => x0.IN0 +B => x0.IN1 +B => COUT.DATAA +CIN => x1.IN1 +CIN => COUT.DATAB + + +|part4|BCD_ex:comb_3 +SW[0] => V[0].IN2 +SW[1] => V[1].IN1 +SW[2] => V[2].IN1 +SW[3] => V[3].IN1 +D0[0] <= bcd:B0.port1 +D0[1] <= bcd:B0.port1 +D0[2] <= bcd:B0.port1 +D0[3] <= bcd:B0.port1 +D0[4] <= bcd:B0.port1 +D0[5] <= bcd:B0.port1 +D0[6] <= bcd:B0.port1 +D1[0] <= z.DB_MAX_OUTPUT_PORT_TYPE +D1[1] <= +D1[2] <= +D1[3] <= z.DB_MAX_OUTPUT_PORT_TYPE +D1[4] <= z.DB_MAX_OUTPUT_PORT_TYPE +D1[5] <= z.DB_MAX_OUTPUT_PORT_TYPE +D1[6] <= + + +|part4|BCD_ex:comb_3|mux_2to1:M0 +IN1[0] => OUT.DATAA +IN1[1] => OUT.DATAA +IN1[2] => OUT.DATAA +IN1[3] => OUT.DATAA +IN2[0] => OUT.DATAB +IN2[1] => OUT.DATAB +IN2[2] => OUT.DATAB +IN2[3] => OUT.DATAB +S => OUT.OUTPUTSELECT +S => OUT.OUTPUTSELECT +S => OUT.OUTPUTSELECT +S => OUT.OUTPUTSELECT +OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE + + +|part4|BCD_ex:comb_3|bcd:B0 +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.DATAA +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= OUT.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.hif b/EE203/Noah Woodlee/Lab2/part4/db/part4.hif new file mode 100644 index 0000000..8561666 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.hif differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.html b/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.html new file mode 100644 index 0000000..33aeca3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.html @@ -0,0 +1,146 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
comb_3|B04000700000000
comb_3|M09000400000000
comb_343031433300000
R0|A33000200000000
R0|A23000200000000
R0|A13000200000000
R0|A03000200000000
R011202522200000
diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.rdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.rdb new file mode 100644 index 0000000..f12493e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.txt b/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.txt new file mode 100644 index 0000000..6167a31 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.txt @@ -0,0 +1,14 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; comb_3|B0 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; comb_3|M0 ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; comb_3 ; 4 ; 3 ; 0 ; 3 ; 14 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; R0|A3 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; R0|A2 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; R0|A1 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; R0|A0 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; R0 ; 11 ; 2 ; 0 ; 2 ; 5 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.ammdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.ammdb new file mode 100644 index 0000000..790b913 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.bpm b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.bpm new file mode 100644 index 0000000..d9d9cae Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.cdb new file mode 100644 index 0000000..9d7ed02 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.hdb new file mode 100644 index 0000000..6e6a4e5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.kpt b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.kpt new file mode 100644 index 0000000..f518496 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.logdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.qmsg b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.qmsg new file mode 100644 index 0000000..7cec0ef --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.qmsg @@ -0,0 +1,23 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619378514251 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619378514252 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 14:21:54 2021 " "Processing started: Sun Apr 25 14:21:54 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619378514252 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619378514252 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619378514253 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1619378514714 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1619378514714 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part4.v 6 6 " "Found 6 design units, including 6 entities, in source file part4.v" { { "Info" "ISGN_ENTITY_NAME" "1 bcd " "Found entity 1: bcd" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619378526984 ""} { "Info" "ISGN_ENTITY_NAME" "2 mux_2to1 " "Found entity 2: mux_2to1" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 13 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619378526984 ""} { "Info" "ISGN_ENTITY_NAME" "3 BCD_ex " "Found entity 3: BCD_ex" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 22 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619378526984 ""} { "Info" "ISGN_ENTITY_NAME" "4 adder " "Found entity 4: adder" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619378526984 ""} { "Info" "ISGN_ENTITY_NAME" "5 RippleCarryAdder " "Found entity 5: RippleCarryAdder" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619378526984 ""} { "Info" "ISGN_ENTITY_NAME" "6 part4 " "Found entity 6: part4" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 70 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619378526984 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619378526984 ""} +{ "Critical Warning" "WVRFX_VERI_INSTANCE_NEEDS_NAME" "part4.v(80) " "Verilog HDL Instantiation warning at part4.v(80): instance has no name" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 80 0 0 } } } 1 10846 "Verilog HDL Instantiation warning at %1!s!: instance has no name" 0 0 "Analysis & Synthesis" 0 -1 1619378526985 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part4 " "Elaborating entity \"part4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1619378527140 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "RippleCarryAdder RippleCarryAdder:R0 " "Elaborating entity \"RippleCarryAdder\" for hierarchy \"RippleCarryAdder:R0\"" { } { { "part4.v" "R0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619378527159 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "adder RippleCarryAdder:R0\|adder:A0 " "Elaborating entity \"adder\" for hierarchy \"RippleCarryAdder:R0\|adder:A0\"" { } { { "part4.v" "A0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 60 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619378527161 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BCD_ex BCD_ex:comb_3 " "Elaborating entity \"BCD_ex\" for hierarchy \"BCD_ex:comb_3\"" { } { { "part4.v" "comb_3" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 80 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619378527166 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 part4.v(31) " "Verilog HDL assignment warning at part4.v(31): truncated value with size 32 to match size of target (1)" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1619378527167 "|part4|BCD_ex:comb_3"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_2to1 BCD_ex:comb_3\|mux_2to1:M0 " "Elaborating entity \"mux_2to1\" for hierarchy \"BCD_ex:comb_3\|mux_2to1:M0\"" { } { { "part4.v" "M0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 35 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619378527168 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "bcd BCD_ex:comb_3\|bcd:B0 " "Elaborating entity \"bcd\" for hierarchy \"BCD_ex:comb_3\|bcd:B0\"" { } { { "part4.v" "B0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 36 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619378527169 ""} +{ "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_TOP" "" "Net is missing source, defaulting to GND" { { "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_SUB" "BCD_ex:comb_3\|W\[4\] " "Net \"BCD_ex:comb_3\|W\[4\]\" is missing source, defaulting to GND" { } { { "part4.v" "W\[4\]" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 28 -1 0 } } } 0 12110 "Net \"%1!s!\" is missing source, defaulting to GND" 0 0 "Design Software" 0 -1 1619378527190 ""} } { } 0 12011 "Net is missing source, defaulting to GND" 0 0 "Analysis & Synthesis" 0 -1 1619378527190 ""} +{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "4 " "4 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1619378528107 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[1\] GND " "Pin \"HEX1\[1\]\" is stuck at GND" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 72 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619378528206 "|part4|HEX1[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[2\] GND " "Pin \"HEX1\[2\]\" is stuck at GND" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 72 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619378528206 "|part4|HEX1[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[6\] VCC " "Pin \"HEX1\[6\]\" is stuck at VCC" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 72 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619378528206 "|part4|HEX1[6]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1619378528206 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1619378528325 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528959 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619378528959 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378528961 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619378528961 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1619378529117 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619378529117 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "42 " "Implemented 42 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "9 " "Implemented 9 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1619378529250 ""} { "Info" "ICUT_CUT_TM_OPINS" "14 " "Implemented 14 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1619378529250 ""} { "Info" "ICUT_CUT_TM_LCELLS" "19 " "Implemented 19 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1619378529250 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1619378529250 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 60 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 60 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "395 " "Peak virtual memory: 395 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619378529262 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 14:22:09 2021 " "Processing ended: Sun Apr 25 14:22:09 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619378529262 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:15 " "Elapsed time: 00:00:15" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619378529262 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:32 " "Total CPU time (on all processors): 00:00:32" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619378529262 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1619378529262 ""} diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map.rdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.rdb new file mode 100644 index 0000000..9b81107 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.cdb new file mode 100644 index 0000000..1799cc6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.hdb new file mode 100644 index 0000000..a3a50d4 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.logdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.pre_map.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.pre_map.hdb new file mode 100644 index 0000000..99d58d4 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.12865.rdr.flock b/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.12865.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.20122.rdr.flock b/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.20122.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.26786.rdr.flock b/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.26786.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.28286.rdr.flock b/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.28286.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.2847.rdr.flock b/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.2847.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.31172.rdr.flock b/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.31172.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.5940.rdr.flock b/EE203/Noah Woodlee/Lab2/part4/db/part4.quiproj.5940.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..49e8a99 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.routing.rdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.routing.rdb new file mode 100644 index 0000000..92a3f0a Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.routing.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv.hdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv.hdb new file mode 100644 index 0000000..a5948b3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg.cdb new file mode 100644 index 0000000..01c5ea8 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg_swap.cdb new file mode 100644 index 0000000..b21e308 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry.sci b/EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry_dsc.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.smart_action.txt b/EE203/Noah Woodlee/Lab2/part4/db/part4.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.sta.qmsg b/EE203/Noah Woodlee/Lab2/part4/db/part4.sta.qmsg new file mode 100644 index 0000000..a6e0287 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.sta.qmsg @@ -0,0 +1,53 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619378552695 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619378552695 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 14:22:32 2021 " "Processing started: Sun Apr 25 14:22:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619378552695 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1619378552695 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part4 -c part4 " "Command: quartus_sta part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1619378552696 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1619378552771 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552836 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619378552836 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619378552838 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619378552838 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1619378552965 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1619378552965 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1619378553054 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1619378553055 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part4.sdc " "Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1619378553515 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619378553516 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619378553516 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619378553517 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1619378553517 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619378553517 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1619378553519 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1619378553525 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1619378553526 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378553529 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1619378553530 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378553533 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378553541 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378553542 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378553543 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378553543 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619378553547 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1619378553588 ""} +{ "Warning" "WTAPI_PRELIMINARY_TIMING" "10M50DAF484C6GES " "Timing characteristics of device 10M50DAF484C6GES are preliminary" { } { } 0 334000 "Timing characteristics of device %1!s! are preliminary" 0 0 "Timing Analyzer" 0 -1 1619378553588 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1619378554116 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619378554162 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619378554163 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619378554163 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619378554163 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554167 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554177 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554178 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554180 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554181 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554182 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619378554185 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619378554359 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619378554359 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619378554359 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619378554360 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554361 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554362 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554363 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554364 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619378554364 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619378555654 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619378555655 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 56 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 56 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "509 " "Peak virtual memory: 509 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619378555685 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 14:22:35 2021 " "Processing ended: Sun Apr 25 14:22:35 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619378555685 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619378555685 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619378555685 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1619378555685 ""} diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.sta.rdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.sta.rdb new file mode 100644 index 0000000..a21849f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.sta.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..a9019c9 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.tis_db_list.ddb b/EE203/Noah Woodlee/Lab2/part4/db/part4.tis_db_list.ddb new file mode 100644 index 0000000..73e5ec9 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..c0ca91b Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..835faa5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..26509af Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.tmw_info b/EE203/Noah Woodlee/Lab2/part4/db/part4.tmw_info new file mode 100644 index 0000000..9e8732a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:44 +start_analysis_synthesis:s:00:00:18-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:15-start_full_compilation +start_assembler:s:00:00:06-start_full_compilation +start_timing_analyzer:s:00:00:05-start_full_compilation diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.vpr.ammdb b/EE203/Noah Woodlee/Lab2/part4/db/part4.vpr.ammdb new file mode 100644 index 0000000..e397645 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..c96a6fd Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.ii_85c_slow.hsd b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.ii_85c_slow.hsd new file mode 100644 index 0000000..ba3fa5d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.ii_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..090de61 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..2d8ff11 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/db/part4.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part4/db/part4_partition_pins.json b/EE203/Noah Woodlee/Lab2/part4/db/part4_partition_pins.json new file mode 100644 index 0000000..76cce97 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/part4_partition_pins.json @@ -0,0 +1,89 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "HEX0[0]", + "strict" : false + }, + { + "name" : "HEX0[1]", + "strict" : false + }, + { + "name" : "HEX0[2]", + "strict" : false + }, + { + "name" : "HEX0[3]", + "strict" : false + }, + { + "name" : "HEX0[4]", + "strict" : false + }, + { + "name" : "HEX0[5]", + "strict" : false + }, + { + "name" : "HEX0[6]", + "strict" : false + }, + { + "name" : "HEX1[0]", + "strict" : false + }, + { + "name" : "HEX1[3]", + "strict" : false + }, + { + "name" : "HEX1[4]", + "strict" : false + }, + { + "name" : "HEX1[5]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[8]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part4/db/prev_cmp_part4.qmsg b/EE203/Noah Woodlee/Lab2/part4/db/prev_cmp_part4.qmsg new file mode 100644 index 0000000..f3d0bc2 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/db/prev_cmp_part4.qmsg @@ -0,0 +1,68 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1618984937463 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618984937463 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 21 01:02:17 2021 " "Processing started: Wed Apr 21 01:02:17 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618984937463 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618984937463 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618984937464 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1618984937920 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1618984937920 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part4.v 6 6 " "Found 6 design units, including 6 entities, in source file part4.v" { { "Info" "ISGN_ENTITY_NAME" "1 bcd " "Found entity 1: bcd" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618984951340 ""} { "Info" "ISGN_ENTITY_NAME" "2 mux_2to1 " "Found entity 2: mux_2to1" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 13 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618984951340 ""} { "Info" "ISGN_ENTITY_NAME" "3 BCD_ex " "Found entity 3: BCD_ex" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 22 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618984951340 ""} { "Info" "ISGN_ENTITY_NAME" "4 adder " "Found entity 4: adder" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618984951340 ""} { "Info" "ISGN_ENTITY_NAME" "5 RippleCarryAdder " "Found entity 5: RippleCarryAdder" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618984951340 ""} { "Info" "ISGN_ENTITY_NAME" "6 part4 " "Found entity 6: part4" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 70 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1618984951340 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1618984951340 ""} +{ "Critical Warning" "WVRFX_VERI_INSTANCE_NEEDS_NAME" "part4.v(80) " "Verilog HDL Instantiation warning at part4.v(80): instance has no name" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 80 0 0 } } } 1 10846 "Verilog HDL Instantiation warning at %1!s!: instance has no name" 0 0 "Analysis & Synthesis" 0 -1 1618984951341 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part4 " "Elaborating entity \"part4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1618984951435 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "RippleCarryAdder RippleCarryAdder:R0 " "Elaborating entity \"RippleCarryAdder\" for hierarchy \"RippleCarryAdder:R0\"" { } { { "part4.v" "R0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618984951468 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "adder RippleCarryAdder:R0\|adder:A0 " "Elaborating entity \"adder\" for hierarchy \"RippleCarryAdder:R0\|adder:A0\"" { } { { "part4.v" "A0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 60 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618984951474 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BCD_ex BCD_ex:comb_3 " "Elaborating entity \"BCD_ex\" for hierarchy \"BCD_ex:comb_3\"" { } { { "part4.v" "comb_3" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 80 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618984951478 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 part4.v(31) " "Verilog HDL assignment warning at part4.v(31): truncated value with size 32 to match size of target (1)" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1618984951479 "|part4|BCD_ex:comb_3"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_2to1 BCD_ex:comb_3\|mux_2to1:M0 " "Elaborating entity \"mux_2to1\" for hierarchy \"BCD_ex:comb_3\|mux_2to1:M0\"" { } { { "part4.v" "M0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 35 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618984951486 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "bcd BCD_ex:comb_3\|bcd:B0 " "Elaborating entity \"bcd\" for hierarchy \"BCD_ex:comb_3\|bcd:B0\"" { } { { "part4.v" "B0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 36 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618984951489 ""} +{ "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_TOP" "" "Net is missing source, defaulting to GND" { { "Warning" "WSGN_TRI_BUS_MISSING_SOURCE_SUB" "BCD_ex:comb_3\|W\[4\] " "Net \"BCD_ex:comb_3\|W\[4\]\" is missing source, defaulting to GND" { } { { "part4.v" "W\[4\]" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 28 -1 0 } } } 0 12110 "Net \"%1!s!\" is missing source, defaulting to GND" 0 0 "Design Software" 0 -1 1618984951505 ""} } { } 0 12011 "Net is missing source, defaulting to GND" 0 0 "Analysis & Synthesis" 0 -1 1618984951505 ""} +{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "4 " "4 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1618984952414 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[1\] GND " "Pin \"HEX1\[1\]\" is stuck at GND" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 72 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1618984952526 "|part4|HEX1[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[2\] GND " "Pin \"HEX1\[2\]\" is stuck at GND" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 72 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1618984952526 "|part4|HEX1[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[6\] VCC " "Pin \"HEX1\[6\]\" is stuck at VCC" { } { { "part4.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v" 72 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1618984952526 "|part4|HEX1[6]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1618984952526 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1618984952642 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953271 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1618984953271 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part5 24 " "Ignored 24 assignments for entity \"part5\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1618984953273 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1618984953273 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1618984953435 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1618984953435 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "42 " "Implemented 42 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "9 " "Implemented 9 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1618984953577 ""} { "Info" "ICUT_CUT_TM_OPINS" "14 " "Implemented 14 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1618984953577 ""} { "Info" "ICUT_CUT_TM_LCELLS" "19 " "Implemented 19 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1618984953577 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1618984953577 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 60 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 60 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "393 " "Peak virtual memory: 393 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1618984953592 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 21 01:02:33 2021 " "Processing ended: Wed Apr 21 01:02:33 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1618984953592 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:16 " "Elapsed time: 00:00:16" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1618984953592 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:33 " "Total CPU time (on all processors): 00:00:33" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1618984953592 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1618984953592 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1618984985926 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1618984985926 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 21 01:03:05 2021 " "Processing started: Wed Apr 21 01:03:05 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1618984985926 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618984985926 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off part4 -c part4 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618984985927 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618984986105 ""} +{ "Info" "0" "" "Project = part4" { } { } 0 0 "Project = part4" 0 0 "Fitter" 0 0 1618984986107 ""} +{ "Info" "0" "" "Revision = part4" { } { } 0 0 "Revision = part4" 0 0 "Fitter" 0 0 1618984986108 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1618984986260 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618984986260 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part4 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"part4\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618984986271 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618984986350 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618984986350 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1618984986758 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618984986772 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7P " "Device 10M08DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484A7G " "Device 10M16DAF484A7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7P " "Device 10M16DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484A7G " "Device 10M25DAF484A7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1618984986945 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618984986945 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 131 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 133 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 135 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 137 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 139 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 141 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 143 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/" { { 0 { 0 ""} 0 145 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1618984986961 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1618984986961 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618984986963 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618984986963 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618984986963 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1618984986963 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1618984986967 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part4.sdc " "Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618984987670 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618984987671 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1618984987672 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1618984987673 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1618984987678 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1618984987678 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1618984987680 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1618984987688 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618984987688 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618984987689 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1618984987690 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1618984987691 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1618984987691 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1618984987691 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1618984987691 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1618984987692 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1618984987692 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618984987692 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[0\] " "Node \"HEX2\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[1\] " "Node \"HEX2\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[2\] " "Node \"HEX2\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[3\] " "Node \"HEX2\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[4\] " "Node \"HEX2\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[5\] " "Node \"HEX2\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[6\] " "Node \"HEX2\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[0\] " "Node \"HEX3\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[1\] " "Node \"HEX3\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[2\] " "Node \"HEX3\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[3\] " "Node \"HEX3\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[4\] " "Node \"HEX3\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[5\] " "Node \"HEX3\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[6\] " "Node \"HEX3\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[0\] " "Node \"LEDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[1\] " "Node \"LEDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[2\] " "Node \"LEDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[3\] " "Node \"LEDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[4\] " "Node \"LEDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[5\] " "Node \"LEDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[6\] " "Node \"LEDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[7\] " "Node \"LEDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1618984987741 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1618984987741 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618984987742 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1618984987758 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618984990835 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618984990976 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618984991030 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618984991516 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618984991516 ""} diff --git a/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..402472e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.1 :| Alexandra Du :| 06/01/2016:| Added Verilog file +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a837f14 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +platform_setup.tcl +filelist.txt +DE10_LITE_Golden_Top.v diff --git a/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..3b32d96 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,429 @@ +proc ::setup_project {} { +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 09:47:48 June 12, 2017 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# top_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VERILOG_FILE DE10_LITE_Golden_Top.v +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..536fab3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/data/adu/17.0/Lite/Max10/Max_10_DE10_LITE/DE10_LITE_Golden_Top_project/", + "acds_version" : "Version 17.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part4/devkits/readme.txt b/EE203/Noah Woodlee/Lab2/part4/devkits/readme.txt new file mode 100644 index 0000000..15cc67e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/README b/EE203/Noah Woodlee/Lab2/part4/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.db_info b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.db_info new file mode 100644 index 0000000..857b901 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Wed Apr 21 01:16:27 2021 diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.ammdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.ammdb new file mode 100644 index 0000000..6248b34 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.cdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.cdb new file mode 100644 index 0000000..6d9f7c2 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.dfp b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.hdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.hdb new file mode 100644 index 0000000..78e5ab1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.logdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.rcfdb new file mode 100644 index 0000000..94f95f8 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.cdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.cdb new file mode 100644 index 0000000..52bc31e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.dpi b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.dpi new file mode 100644 index 0000000..3d6817f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..8debd50 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..bf230ae Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hdb new file mode 100644 index 0000000..7b5eeb2 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.kpt b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.kpt new file mode 100644 index 0000000..3014be3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.rrp.hdb b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.rrp.hdb new file mode 100644 index 0000000..68d38c1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/incremental_db/compiled_partitions/part4.rrp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.asm.rpt b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.asm.rpt new file mode 100644 index 0000000..0aa674e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for part4 +Sun Apr 25 14:22:30 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: part4.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sun Apr 25 14:22:30 2021 ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++-----------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------------------------------------------------------+ +; File Name ; ++-----------------------------------------------------------------------------------------------+ +; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/output_files/part4.sof ; ++-----------------------------------------------------------------------------------------------+ + + ++-------------------------------------+ +; Assembler Device Options: part4.sof ; ++----------------+--------------------+ +; Option ; Setting ; ++----------------+--------------------+ +; JTAG usercode ; 0x00273611 ; +; Checksum ; 0x00273611 ; ++----------------+--------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 14:22:25 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 356 megabytes + Info: Processing ended: Sun Apr 25 14:22:30 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.done b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.done new file mode 100644 index 0000000..7f8c72f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.done @@ -0,0 +1 @@ +Sun Apr 25 14:22:35 2021 diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.rpt b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.rpt new file mode 100644 index 0000000..54ec560 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.rpt @@ -0,0 +1,1361 @@ +Fitter report for part4 +Sun Apr 25 14:22:23 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Ignored Assignments + 6. Incremental Compilation Preservation Summary + 7. Incremental Compilation Partition Settings + 8. Incremental Compilation Placement Preservation + 9. Pin-Out File + 10. Fitter Resource Usage Summary + 11. Fitter Partition Statistics + 12. Input Pins + 13. Output Pins + 14. Dual Purpose and Dedicated Pins + 15. I/O Bank Usage + 16. All Package Pins + 17. I/O Assignment Warnings + 18. Fitter Resource Utilization by Entity + 19. Delay Chain Summary + 20. Pad To Core Delay Chain Fanout + 21. Routing Usage Summary + 22. LAB Logic Elements + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. I/O Rules Summary + 27. I/O Rules Details + 28. I/O Rules Matrix + 29. Fitter Device Options + 30. Operating Settings and Conditions + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Sun Apr 25 14:22:23 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 20 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 20 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 23 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.4% ; ++----------------------------+-------------+ + + ++----------------------------------------------------------------------------------------+ +; Ignored Assignments ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Location ; ; ; HEX2[0] ; PIN_B20 ; QSF Assignment ; +; Location ; ; ; HEX2[1] ; PIN_A20 ; QSF Assignment ; +; Location ; ; ; HEX2[2] ; PIN_B19 ; QSF Assignment ; +; Location ; ; ; HEX2[3] ; PIN_A21 ; QSF Assignment ; +; Location ; ; ; HEX2[4] ; PIN_B21 ; QSF Assignment ; +; Location ; ; ; HEX2[5] ; PIN_C22 ; QSF Assignment ; +; Location ; ; ; HEX2[6] ; PIN_B22 ; QSF Assignment ; +; Location ; ; ; HEX3[0] ; PIN_F21 ; QSF Assignment ; +; Location ; ; ; HEX3[1] ; PIN_E22 ; QSF Assignment ; +; Location ; ; ; HEX3[2] ; PIN_E21 ; QSF Assignment ; +; Location ; ; ; HEX3[3] ; PIN_C19 ; QSF Assignment ; +; Location ; ; ; HEX3[4] ; PIN_C20 ; QSF Assignment ; +; Location ; ; ; HEX3[5] ; PIN_D19 ; QSF Assignment ; +; Location ; ; ; HEX3[6] ; PIN_E17 ; QSF Assignment ; +; Location ; ; ; LEDR[0] ; PIN_A8 ; QSF Assignment ; +; Location ; ; ; LEDR[1] ; PIN_A9 ; QSF Assignment ; +; Location ; ; ; LEDR[2] ; PIN_A10 ; QSF Assignment ; +; Location ; ; ; LEDR[3] ; PIN_B10 ; QSF Assignment ; +; Location ; ; ; LEDR[4] ; PIN_D13 ; QSF Assignment ; +; Location ; ; ; LEDR[5] ; PIN_C13 ; QSF Assignment ; +; Location ; ; ; LEDR[6] ; PIN_E14 ; QSF Assignment ; +; Location ; ; ; LEDR[7] ; PIN_D14 ; QSF Assignment ; +; Location ; ; ; LEDR[8] ; PIN_A11 ; QSF Assignment ; +; Location ; ; ; LEDR[9] ; PIN_B11 ; QSF Assignment ; +; Location ; ; ; SW[9] ; PIN_F15 ; QSF Assignment ; ++----------+----------------+--------------+------------+---------------+----------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; +; -- Achieved ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 69 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/output_files/part4.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 20 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 20 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 8 ; +; -- 3 input functions ; 7 ; +; -- <=2 input functions ; 5 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 20 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 3 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 23 / 360 ( 6 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.3% / 0.5% / 0.2% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 106 ; +; Average fan-out ; 1.25 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 20 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 20 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 8 ; 0 ; +; -- 3 input functions ; 7 ; 0 ; +; -- <=2 input functions ; 5 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 20 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 3 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 23 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 112 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 9 ; 0 ; +; -- Output Ports ; 14 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[2] ; D12 ; 7 ; 51 ; 54 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[3] ; C12 ; 7 ; 54 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[4] ; A12 ; 7 ; 54 ; 54 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[5] ; B12 ; 7 ; 49 ; 54 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[6] ; A13 ; 7 ; 54 ; 54 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[7] ; A14 ; 7 ; 58 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[8] ; B14 ; 7 ; 56 ; 54 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; HEX0[0] ; C14 ; 7 ; 58 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[1] ; E15 ; 7 ; 74 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[2] ; C15 ; 7 ; 60 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[3] ; C16 ; 7 ; 62 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[4] ; E16 ; 7 ; 74 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[5] ; D17 ; 7 ; 74 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[6] ; C17 ; 7 ; 74 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[0] ; C18 ; 7 ; 69 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[1] ; D18 ; 6 ; 78 ; 49 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[2] ; E18 ; 6 ; 78 ; 49 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[3] ; B16 ; 7 ; 60 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[4] ; A17 ; 7 ; 64 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[5] ; A18 ; 7 ; 66 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[6] ; B17 ; 7 ; 69 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 2 / 60 ( 3 % ) ; 2.5V ; -- ; +; 7 ; 21 / 52 ( 40 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A13 ; 433 ; 7 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A14 ; 425 ; 7 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; HEX1[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A18 ; 405 ; 7 ; HEX1[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; HEX1[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B17 ; 402 ; 7 ; HEX1[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; HEX0[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C15 ; 418 ; 7 ; HEX0[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C16 ; 416 ; 7 ; HEX0[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C17 ; 391 ; 7 ; HEX0[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C18 ; 400 ; 7 ; HEX1[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; HEX0[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D18 ; 385 ; 6 ; HEX1[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; HEX0[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E16 ; 388 ; 7 ; HEX0[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; HEX1[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; HEX0[0] ; Incomplete set of assignments ; +; HEX0[1] ; Incomplete set of assignments ; +; HEX0[2] ; Incomplete set of assignments ; +; HEX0[3] ; Incomplete set of assignments ; +; HEX0[4] ; Incomplete set of assignments ; +; HEX0[5] ; Incomplete set of assignments ; +; HEX0[6] ; Incomplete set of assignments ; +; HEX1[0] ; Incomplete set of assignments ; +; HEX1[1] ; Incomplete set of assignments ; +; HEX1[2] ; Incomplete set of assignments ; +; HEX1[3] ; Incomplete set of assignments ; +; HEX1[4] ; Incomplete set of assignments ; +; HEX1[5] ; Incomplete set of assignments ; +; HEX1[6] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[8] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+-------------------------------------+------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+-------------------------------------+------------------+--------------+ +; |part4 ; 20 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 23 ; 0 ; 20 (1) ; 0 (0) ; 0 (0) ; 0 ; |part4 ; part4 ; work ; +; |BCD_ex:comb_3| ; 12 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (1) ; 0 (0) ; 0 (0) ; 0 ; |part4|BCD_ex:comb_3 ; BCD_ex ; work ; +; |bcd:B0| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; |part4|BCD_ex:comb_3|bcd:B0 ; bcd ; work ; +; |mux_2to1:M0| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; |part4|BCD_ex:comb_3|mux_2to1:M0 ; mux_2to1 ; work ; +; |RippleCarryAdder:R0| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; |part4|RippleCarryAdder:R0 ; RippleCarryAdder ; work ; +; |adder:A0| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part4|RippleCarryAdder:R0|adder:A0 ; adder ; work ; +; |adder:A1| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part4|RippleCarryAdder:R0|adder:A1 ; adder ; work ; +; |adder:A2| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; |part4|RippleCarryAdder:R0|adder:A2 ; adder ; work ; +; |adder:A3| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; |part4|RippleCarryAdder:R0|adder:A3 ; adder ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+-------------------------------------+------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; HEX0[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[8] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[2] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[6] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[3] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[7] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++--------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++--------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++--------------------------------------------+-------------------+---------+ +; SW[1] ; ; ; +; - RippleCarryAdder:R0|adder:A1|x1 ; 0 ; 6 ; +; - RippleCarryAdder:R0|adder:A1|COUT~0 ; 0 ; 6 ; +; - BCD_ex:comb_3|mux_2to1:M0|OUT[3]~1 ; 0 ; 6 ; +; SW[5] ; ; ; +; - RippleCarryAdder:R0|adder:A1|x1 ; 0 ; 6 ; +; - RippleCarryAdder:R0|adder:A1|COUT~0 ; 0 ; 6 ; +; - BCD_ex:comb_3|mux_2to1:M0|OUT[3]~1 ; 0 ; 6 ; +; SW[8] ; ; ; +; - RippleCarryAdder:R0|adder:A0|COUT~0 ; 1 ; 6 ; +; - RippleCarryAdder:R0|adder:A0|x1~0 ; 1 ; 6 ; +; SW[0] ; ; ; +; - RippleCarryAdder:R0|adder:A0|COUT~0 ; 0 ; 6 ; +; - RippleCarryAdder:R0|adder:A0|x1~0 ; 0 ; 6 ; +; SW[4] ; ; ; +; - RippleCarryAdder:R0|adder:A0|COUT~0 ; 0 ; 6 ; +; - RippleCarryAdder:R0|adder:A0|x1~0 ; 0 ; 6 ; +; SW[2] ; ; ; +; - RippleCarryAdder:R0|adder:A2|x0 ; 0 ; 6 ; +; - RippleCarryAdder:R0|adder:A3|x1 ; 0 ; 6 ; +; SW[6] ; ; ; +; - RippleCarryAdder:R0|adder:A2|x0 ; 0 ; 6 ; +; - RippleCarryAdder:R0|adder:A3|x1 ; 0 ; 6 ; +; SW[3] ; ; ; +; - RippleCarryAdder:R0|adder:A3|x1~2 ; 0 ; 6 ; +; SW[7] ; ; ; +; - RippleCarryAdder:R0|adder:A3|x1~2 ; 1 ; 6 ; ++--------------------------------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 28 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 0 / 5,382 ( 0 % ) ; +; C4 interconnects ; 23 / 106,704 ( < 1 % ) ; +; Direct links ; 4 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 9 / 49,760 ( < 1 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 2 / 5,406 ( < 1 % ) ; +; R4 interconnects ; 31 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 6.67) ; Number of LABs (Total = 3) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 6.67) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 4.00) ; Number of LABs (Total = 3) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 3) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 23 ; 23 ; 0 ; 0 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 9 ; 14 ; 0 ; 9 ; 0 ; 0 ; 14 ; 0 ; 23 ; 23 ; 23 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 23 ; 0 ; 0 ; 23 ; 23 ; 0 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 23 ; 9 ; 23 ; 23 ; 23 ; 14 ; 9 ; 23 ; 14 ; 23 ; 23 ; 9 ; 23 ; 0 ; 0 ; 0 ; 23 ; 23 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; HEX0[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119006): Selected device 10M50DAF484C6GES for design "part4" +Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. +Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Warning (15705): Ignored locations or region assignments to the following nodes + Warning (15706): Node "HEX2[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.04 seconds. +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (144001): Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/output_files/part4.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 34 warnings + Info: Peak virtual memory: 1083 megabytes + Info: Processing ended: Sun Apr 25 14:22:23 2021 + Info: Elapsed time: 00:00:13 + Info: Total CPU time (on all processors): 00:00:17 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/output_files/part4.fit.smsg. + + diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.smsg b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.summary b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.summary new file mode 100644 index 0000000..f2f57e3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Sun Apr 25 14:22:23 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part4 +Top-level Entity Name : part4 +Family : MAX 10 +Device : 10M50DAF484C6GES +Timing Models : Preliminary +Total logic elements : 20 / 49,760 ( < 1 % ) + Total combinational functions : 20 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 23 / 360 ( 6 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.flow.rpt b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.flow.rpt new file mode 100644 index 0000000..2576a85 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.flow.rpt @@ -0,0 +1,129 @@ +Flow report for part4 +Sun Apr 25 14:22:35 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Sun Apr 25 14:22:30 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Total logic elements ; 20 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 20 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 23 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/25/2021 14:21:54 ; +; Main task ; Compilation ; +; Revision Name ; part4 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 164639278517.161937851407219 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part5 ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:14 ; 1.0 ; 388 MB ; 00:00:31 ; +; Fitter ; 00:00:13 ; 1.0 ; 1083 MB ; 00:00:16 ; +; Assembler ; 00:00:05 ; 1.0 ; 356 MB ; 00:00:05 ; +; Timing Analyzer ; 00:00:03 ; 1.0 ; 509 MB ; 00:00:03 ; +; Total ; 00:00:35 ; -- ; -- ; 00:00:55 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+-------------------+------------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+-------------------+------------------+------------+----------------+ +; Analysis & Synthesis ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Fitter ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Assembler ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Timing Analyzer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; ++----------------------+-------------------+------------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 +quartus_fit --read_settings_files=off --write_settings_files=off part4 -c part4 +quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 +quartus_sta part4 -c part4 + + + diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.jdi b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.jdi new file mode 100644 index 0000000..178f1db --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.map.rpt b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.map.rpt new file mode 100644 index 0000000..bd152ae --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.map.rpt @@ -0,0 +1,418 @@ +Analysis & Synthesis report for part4 +Sun Apr 25 14:22:09 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Multiplexer Restructuring Statistics (Restructuring Performed) + 10. Port Connectivity Checks: "BCD_ex:comb_3|bcd:B0" + 11. Port Connectivity Checks: "BCD_ex:comb_3|mux_2to1:M0" + 12. Port Connectivity Checks: "BCD_ex:comb_3" + 13. Port Connectivity Checks: "RippleCarryAdder:R0" + 14. Post-Synthesis Netlist Statistics for Top Partition + 15. Elapsed Time Per Partition + 16. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sun Apr 25 14:22:09 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part4 ; +; Top-level Entity Name ; part4 ; +; Family ; MAX 10 ; +; Total logic elements ; 19 ; +; Total combinational functions ; 19 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 23 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C6GES ; ; +; Top-level entity name ; part4 ; part4 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; part4.v ; yes ; User Verilog HDL File ; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v ; ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+------------------------------------+ +; Estimated Total logic elements ; 19 ; +; ; ; +; Total combinational functions ; 19 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 8 ; +; -- 3 input functions ; 7 ; +; -- <=2 input functions ; 4 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 19 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 23 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; BCD_ex:comb_3|mux_2to1:M0|OUT[2]~0 ; +; Maximum fan-out ; 7 ; +; Total fan-out ; 95 ; +; Average fan-out ; 1.46 ; ++---------------------------------------------+------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+-------------------------------------+------------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+-------------------------------------+------------------+--------------+ +; |part4 ; 19 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 ; 0 ; 0 ; |part4 ; part4 ; work ; +; |BCD_ex:comb_3| ; 12 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|BCD_ex:comb_3 ; BCD_ex ; work ; +; |bcd:B0| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|BCD_ex:comb_3|bcd:B0 ; bcd ; work ; +; |mux_2to1:M0| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|BCD_ex:comb_3|mux_2to1:M0 ; mux_2to1 ; work ; +; |RippleCarryAdder:R0| ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|RippleCarryAdder:R0 ; RippleCarryAdder ; work ; +; |adder:A0| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|RippleCarryAdder:R0|adder:A0 ; adder ; work ; +; |adder:A1| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|RippleCarryAdder:R0|adder:A1 ; adder ; work ; +; |adder:A2| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|RippleCarryAdder:R0|adder:A2 ; adder ; work ; +; |adder:A3| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part4|RippleCarryAdder:R0|adder:A3 ; adder ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+-------------------------------------+------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Multiplexer Restructuring Statistics (Restructuring Performed) ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------+ +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------+ +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |part4|BCD_ex:comb_3|bcd:B0|OUT[3] ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "BCD_ex:comb_3|bcd:B0" ; ++------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; IN ; Input ; Warning ; Input port expression (5 bits) is wider than the input port (4 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; ++------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "BCD_ex:comb_3|mux_2to1:M0" ; ++------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; IN1 ; Input ; Warning ; Input port expression (5 bits) is wider than the input port (4 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; +; IN2 ; Input ; Warning ; Input port expression (5 bits) is wider than the input port (4 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; +; OUT ; Output ; Warning ; Output or bidir port (4 bits) is smaller than the port expression (5 bits) it drives. The 1 most-significant bit(s) in the port expression will be connected to GND. ; ++------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "BCD_ex:comb_3" ; ++------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; SW ; Input ; Warning ; Input port expression (5 bits) is wider than the input port (4 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; ++------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "RippleCarryAdder:R0" ; ++------+--------+----------+---------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++------+--------+----------+---------------------------------------------------------------------------------------------------------------------------------------------+ +; COUT ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; IN1 ; Input ; Warning ; Input port expression (4 bits) is smaller than the input port (5 bits) it drives. Extra input bit(s) "IN1[4..4]" will be connected to GND. ; +; IN2 ; Input ; Warning ; Input port expression (4 bits) is smaller than the input port (5 bits) it drives. Extra input bit(s) "IN2[4..4]" will be connected to GND. ; ++------+--------+----------+---------------------------------------------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 23 ; +; cycloneiii_lcell_comb ; 22 ; +; normal ; 22 ; +; 0 data inputs ; 2 ; +; 1 data inputs ; 1 ; +; 2 data inputs ; 4 ; +; 3 data inputs ; 7 ; +; 4 data inputs ; 8 ; +; ; ; +; Max LUT depth ; 6.00 ; +; Average LUT depth ; 4.94 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:01 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 14:21:54 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 6 design units, including 6 entities, in source file part4.v + Info (12023): Found entity 1: bcd File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 1 + Info (12023): Found entity 2: mux_2to1 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 13 + Info (12023): Found entity 3: BCD_ex File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 22 + Info (12023): Found entity 4: adder File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 39 + Info (12023): Found entity 5: RippleCarryAdder File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 52 + Info (12023): Found entity 6: part4 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 70 +Critical Warning (10846): Verilog HDL Instantiation warning at part4.v(80): instance has no name File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 80 +Info (12127): Elaborating entity "part4" for the top level hierarchy +Info (12128): Elaborating entity "RippleCarryAdder" for hierarchy "RippleCarryAdder:R0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 78 +Info (12128): Elaborating entity "adder" for hierarchy "RippleCarryAdder:R0|adder:A0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 60 +Info (12128): Elaborating entity "BCD_ex" for hierarchy "BCD_ex:comb_3" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 80 +Warning (10230): Verilog HDL assignment warning at part4.v(31): truncated value with size 32 to match size of target (1) File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 31 +Info (12128): Elaborating entity "mux_2to1" for hierarchy "BCD_ex:comb_3|mux_2to1:M0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 35 +Info (12128): Elaborating entity "bcd" for hierarchy "BCD_ex:comb_3|bcd:B0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 36 +Warning (12011): Net is missing source, defaulting to GND + Warning (12110): Net "BCD_ex:comb_3|W[4]" is missing source, defaulting to GND File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 28 +Warning (12241): 4 hierarchies have connectivity warnings - see the Connectivity Checks report folder +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "HEX1[1]" is stuck at GND File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 72 + Warning (13410): Pin "HEX1[2]" is stuck at GND File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 72 + Warning (13410): Pin "HEX1[6]" is stuck at VCC File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/part4.v Line: 72 +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 42 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 9 input pins + Info (21059): Implemented 14 output pins + Info (21061): Implemented 19 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 60 warnings + Info: Peak virtual memory: 395 megabytes + Info: Processing ended: Sun Apr 25 14:22:09 2021 + Info: Elapsed time: 00:00:15 + Info: Total CPU time (on all processors): 00:00:32 + + diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.map.summary b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.map.summary new file mode 100644 index 0000000..833508a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Sun Apr 25 14:22:09 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part4 +Top-level Entity Name : part4 +Family : MAX 10 +Total logic elements : 19 + Total combinational functions : 19 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 23 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.pin b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.pin new file mode 100644 index 0000000..2c96e56 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "part4" ASSIGNED TO AN: 10M50DAF484C6GES + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +SW[4] : A12 : input : 2.5 V : : 7 : Y +SW[6] : A13 : input : 2.5 V : : 7 : Y +SW[7] : A14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +HEX1[4] : A17 : output : 2.5 V : : 7 : Y +HEX1[5] : A18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +SW[5] : B12 : input : 2.5 V : : 7 : Y +GND : B13 : gnd : : : : +SW[8] : B14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +HEX1[3] : B16 : output : 2.5 V : : 7 : Y +HEX1[6] : B17 : output : 2.5 V : : 7 : Y +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +SW[3] : C12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +HEX0[0] : C14 : output : 2.5 V : : 7 : Y +HEX0[2] : C15 : output : 2.5 V : : 7 : Y +HEX0[3] : C16 : output : 2.5 V : : 7 : Y +HEX0[6] : C17 : output : 2.5 V : : 7 : Y +HEX1[0] : C18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[2] : D12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +HEX0[5] : D17 : output : 2.5 V : : 7 : Y +HEX1[1] : D18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +HEX0[1] : E15 : output : 2.5 V : : 7 : Y +HEX0[4] : E16 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +HEX1[2] : E18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.pof b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.pof new file mode 100644 index 0000000..f49628f Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.pof differ diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sld b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sof b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sof new file mode 100644 index 0000000..f3e2153 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sof differ diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sta.rpt b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sta.rpt new file mode 100644 index 0000000..e23b4c9 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sta.rpt @@ -0,0 +1,569 @@ +Timing Analyzer report for part4 +Sun Apr 25 14:22:35 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; part4 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C6GES ; +; Timing Models ; Preliminary ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.03 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 1.2% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; HEX0[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0607 V ; 0.271 V ; 0.095 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; 2.32 V ; 1.17e-08 V ; 2.42 V ; -0.0619 V ; 0.272 V ; 0.096 V ; 2.93e-10 s ; 3.92e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; 2.32 V ; 1.97e-08 V ; 2.4 V ; -0.023 V ; 0.201 V ; 0.081 V ; 4.59e-10 s ; 5.51e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.041 V ; 0.145 V ; 0.14 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; 2.32 V ; 1.79e-06 V ; 2.38 V ; -0.0389 V ; 0.145 V ; 0.139 V ; 4.54e-10 s ; 4.3e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; 2.32 V ; 3.04e-06 V ; 2.37 V ; -0.0115 V ; 0.13 V ; 0.065 V ; 6.31e-10 s ; 6.45e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 9 ; 9 ; +; Unconstrained Input Port Paths ; 99 ; 99 ; +; Unconstrained Output Ports ; 11 ; 11 ; +; Unconstrained Output Port Paths ; 99 ; 99 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 14:22:32 2021 +Info: Command: quartus_sta part4 -c part4 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part5" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part5 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part5 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. +Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part4.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Warning (334000): Timing characteristics of device 10M50DAF484C6GES are preliminary +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 56 warnings + Info: Peak virtual memory: 509 megabytes + Info: Processing ended: Sun Apr 25 14:22:35 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sta.summary b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sta.summary new file mode 100644 index 0000000..aa5b327 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/output_files/part4.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/Lab2/part4/part4.qpf b/EE203/Noah Woodlee/Lab2/part4/part4.qpf new file mode 100644 index 0000000..fa7f1d9 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/part4.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 01:15:20 April 21, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "20.1" +DATE = "01:15:20 April 21, 2021" + +# Revisions + +PROJECT_REVISION = "part4" diff --git a/EE203/Noah Woodlee/Lab2/part4/part4.qsf b/EE203/Noah Woodlee/Lab2/part4/part4.qsf new file mode 100644 index 0000000..4bd94dd --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/part4.qsf @@ -0,0 +1,108 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 01:15:20 April 21, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part4_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part4 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:15:20 APRIL 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name VERILOG_FILE part4.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part4/part4.qsf.bak b/EE203/Noah Woodlee/Lab2/part4/part4.qsf.bak new file mode 100644 index 0000000..cf40f32 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/part4.qsf.bak @@ -0,0 +1,47 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 01:15:20 April 21, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part4_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part4 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:15:20 APRIL 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part4/part4.qws b/EE203/Noah Woodlee/Lab2/part4/part4.qws new file mode 100644 index 0000000..ffd6e07 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part4/part4.qws differ diff --git a/EE203/Noah Woodlee/Lab2/part4/part4.v b/EE203/Noah Woodlee/Lab2/part4/part4.v new file mode 100644 index 0000000..fa2e6a2 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/part4.v @@ -0,0 +1,81 @@ +module bcd(IN,OUT); + input [3:0] IN; + output [6:0] OUT; + + assign OUT=IN[3]? (IN[0]? 7'b0010_000:7'b0000_000): + (IN[2]? (IN[1]? (IN[0]? 7'b1111_000:7'b0000_010): + (IN[0]? 7'b0010_010:7'b0011_001)): + (IN[1]? (IN[0]? 7'b0110_000:7'b0100_100): + (IN[0]? 7'b1111_001:7'b1000_000))); + +endmodule + +module mux_2to1 (IN1, IN2, S, OUT); + input[3:0]IN1, IN2; + input S; + output [3:0]OUT; + + assign OUT=S? IN2:IN1; + +endmodule + +module BCD_ex(SW, D0, D1); + input [3:0] SW; + output [6:0] D0, D1; + + wire z; + wire [4:0] A, V; + wire [4:0] W; + + assign V=SW[3:0]; + assign z = V[4:0] > 9? 1:0; + assign D1 =z? 7'b1111_001:7'b1000_000; + + assign A=V[3:0]-4'b1010; + mux_2to1 M0 (V, A, z, W); + bcd B0 (W, D0); +endmodule + +module adder(SUM, COUT, A, B, CIN); + input A, B, CIN; + output SUM, COUT; + + wire x; + + xor x0(x, A, B); + xor x1(SUM, x, CIN); + + assign COUT = x? CIN: B; + +endmodule + +module RippleCarryAdder(SUM, COUT, IN1, IN2, CIN); + input [4:0] IN1, IN2; + input CIN; + output COUT; + output [3:0] SUM; + + wire C0, C1, C2, C3; + + adder A0(SUM[0], C0, IN1[0], IN2[0], CIN); + adder A1(SUM[1], C1, IN1[1], IN2[1], C0); + adder A2(SUM[2], C2, IN1[2], IN2[2], C1); + adder A3(SUM[3], C3, IN1[3], IN2[3], C2); + + assign COUT = C3; + +endmodule + +// if the inputs excede decimal value 9, result is unknown +module part4(SW, HEX0, HEX1); + input [8:0] SW; + output [6:0] HEX0, HEX1; + + wire COUT; + wire [3:0] U; + + // Add the decimal number's 0-9 + RippleCarryAdder R0(U, COUT, SW[3:0], SW[7:4], SW[8]); + // send the output along with carry to 7-segment display + BCD_ex({COUT, U}, HEX0, HEX1); +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part4/part4.v.bak b/EE203/Noah Woodlee/Lab2/part4/part4.v.bak new file mode 100644 index 0000000..634ee85 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part4/part4.v.bak @@ -0,0 +1,37 @@ +module bcd(IN,OUT); + input [3:0] IN; + output [6:0] OUT; + + assign OUT=IN[3]? (IN[0]? 7'b0010_000:7'b0000_000): + (IN[2]? (IN[1]? (IN[0]? 7'b1111_000:7'b0000_010): + (IN[0]? 7'b0010_010:7'b0011_001)): + (IN[1]? (IN[0]? 7'b0110_000:7'b0100_100): + (IN[0]? 7'b1111_001:7'b1000_000))); + +endmodule + +module mux_2to1 (IN1, IN2, S, OUT); + input[3:0]IN1, IN2; + input S; + output [3:0]OUT; + + assign OUT=S? IN2:IN1; + +endmodule + +module part2(SW, HEX0, HEX1); + input [3:0] SW; + output [6:0] HEX0, HEX1; + + wire z; + wire [3:0] A, V; + wire [3:0] W; + + assign V=SW[3:0]; + assign z = V[3:0] > 9? 1:0; + assign HEX1 =z? 7'b1111_001:7'b1000_000; + + assign A=V[3:0]-4'b1010; + mux_2to1 M0 (V, A, z, W); + bcd B0 (W, HEX0); +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5.v b/EE203/Noah Woodlee/Lab2/part5.v new file mode 100644 index 0000000..b27e0e6 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5.v @@ -0,0 +1,37 @@ +module bcd(IN,OUT); + input [3:0] IN; + output [6:0] OUT; + + assign OUT=IN[3]? (IN[0]? 7'b0010_000:7'b0000_000): + (IN[2]? (IN[1]? (IN[0]? 7'b1111_000:7'b0000_010): + (IN[0]? 7'b0010_010:7'b0011_001)): + (IN[1]? (IN[0]? 7'b0110_000:7'b0100_100): + (IN[0]? 7'b1111_001:7'b1000_000))); + +endmodule + +module part5(SW, HEX0, HEX1); + input [8:0] SW; + output [6:0] HEX0, HEX1; + + reg [4:0] U; + + always@(*) + + begin + U = SW[3:0] + SW[7:4] + SW[8]; + if (U > 9) begin + U[3:0] = U[3:0]-10; + U[4] = 1; + end + + else begin + U[4] = 0; + end + + end + + bcd b0(U[3:0], HEX0); + bcd b1(U[4], HEX1); + +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.(0).cnf.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.(0).cnf.cdb new file mode 100644 index 0000000..8c7e324 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.(0).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.(0).cnf.hdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.(0).cnf.hdb new file mode 100644 index 0000000..dbecc1c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.(0).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.(1).cnf.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.(1).cnf.cdb new file mode 100644 index 0000000..0745dfd Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.(1).cnf.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.(1).cnf.hdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.(1).cnf.hdb new file mode 100644 index 0000000..a37eac4 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.(1).cnf.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.asm.qmsg b/EE203/Noah Woodlee/Lab2/part5/db/part5.asm.qmsg new file mode 100644 index 0000000..7038d10 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619383456627 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619383456628 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 15:44:16 2021 " "Processing started: Sun Apr 25 15:44:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619383456628 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619383456628 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619383456629 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1619383457148 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619383460110 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619383460211 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "360 " "Peak virtual memory: 360 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619383461571 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 15:44:21 2021 " "Processing ended: Sun Apr 25 15:44:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619383461571 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619383461571 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619383461571 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619383461571 ""} diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.asm.rdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.asm.rdb new file mode 100644 index 0000000..38dab9d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.asm.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.asm_labs.ddb b/EE203/Noah Woodlee/Lab2/part5/db/part5.asm_labs.ddb new file mode 100644 index 0000000..c6cc4df Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.asm_labs.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cbx.xml b/EE203/Noah Woodlee/Lab2/part5/db/part5.cbx.xml new file mode 100644 index 0000000..70328de --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.bpm b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.bpm new file mode 100644 index 0000000..c0e906c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.cdb new file mode 100644 index 0000000..f8eabc3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.hdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.hdb new file mode 100644 index 0000000..b24839a Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.idb b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.idb new file mode 100644 index 0000000..47abffc Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.idb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.logdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.logdb new file mode 100644 index 0000000..bccc510 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.logdb @@ -0,0 +1,65 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;23;23;0;0;23;23;0;0;0;0;0;0;14;0;0;0;9;14;0;9;0;0;14;0;23;23;23;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,23;0;0;23;23;0;0;23;23;23;23;23;23;9;23;23;23;14;9;23;14;23;23;9;23;0;0;0;23;23, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,HEX0[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX0[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,HEX1[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[8],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.rdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.rdb new file mode 100644 index 0000000..df6b971 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp_merge.kpt b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp_merge.kpt new file mode 100644 index 0000000..76d26a1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.cmp_merge.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.db_info b/EE203/Noah Woodlee/Lab2/part5/db/part5.db_info new file mode 100644 index 0000000..56edd16 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Sun Apr 25 15:43:08 2021 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.fit.qmsg b/EE203/Noah Woodlee/Lab2/part5/db/part5.fit.qmsg new file mode 100644 index 0000000..2f5c767 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.fit.qmsg @@ -0,0 +1,52 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1619383438863 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619383438864 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "part5 10M50DAF484C7G " "Selected device 10M50DAF484C7G for design \"part5\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619383438876 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619383438980 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619383438980 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1619383439421 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619383439446 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7G " "Device 10M08DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M08DAF484I7P " "Device 10M08DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484A7G " "Device 10M16DAF484A7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484C7G " "Device 10M16DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7G " "Device 10M16DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M16DAF484I7P " "Device 10M16DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484A7G " "Device 10M25DAF484A7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484C7G " "Device 10M25DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M25DAF484I7G " "Device 10M25DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7G " "Device 10M50DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M50DAF484I7P " "Device 10M50DAF484I7P is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484C7G " "Device 10M40DAF484C7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "10M40DAF484I7G " "Device 10M40DAF484I7G is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1619383439669 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619383439669 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "8 " "Fitter converted 8 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TMS~ H2 " "Pin ~ALTERA_TMS~ is reserved at location H2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TMS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 129 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TCK~ G2 " "Pin ~ALTERA_TCK~ is reserved at location G2" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TCK~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 131 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDI~ L4 " "Pin ~ALTERA_TDI~ is reserved at location L4" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDI~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 133 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_TDO~ M5 " "Pin ~ALTERA_TDO~ is reserved at location M5" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_TDO~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 135 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONFIG_SEL~ H10 " "Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONFIG_SEL~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 137 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCONFIG~ H9 " "Pin ~ALTERA_nCONFIG~ is reserved at location H9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCONFIG~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 139 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nSTATUS~ G9 " "Pin ~ALTERA_nSTATUS~ is reserved at location G9" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nSTATUS~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 141 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_CONF_DONE~ F8 " "Pin ~ALTERA_CONF_DONE~ is reserved at location F8" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_CONF_DONE~ } } } { "temporary_test_loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 0 { 0 ""} 0 143 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1619383439690 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1619383439690 ""} +{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619383439692 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619383439692 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619383439692 ""} +{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1619383439692 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1619383439698 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part5.sdc " "Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1619383440494 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1619383440497 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1619383440512 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1619383440516 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1619383440530 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1619383440530 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1619383440534 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1619383440547 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619383440547 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619383440548 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619383440549 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1619383440550 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1619383440551 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1619383440551 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1619383440551 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1619383440551 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1619383440552 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619383440552 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[0\] " "Node \"HEX2\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[1\] " "Node \"HEX2\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[2\] " "Node \"HEX2\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[3\] " "Node \"HEX2\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[4\] " "Node \"HEX2\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[5\] " "Node \"HEX2\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[6\] " "Node \"HEX2\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[0\] " "Node \"HEX3\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[1\] " "Node \"HEX3\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[2\] " "Node \"HEX3\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[3\] " "Node \"HEX3\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[4\] " "Node \"HEX3\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[5\] " "Node \"HEX3\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[6\] " "Node \"HEX3\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[0\] " "Node \"LEDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[1\] " "Node \"LEDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[2\] " "Node \"LEDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[3\] " "Node \"LEDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[4\] " "Node \"LEDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[5\] " "Node \"LEDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[6\] " "Node \"LEDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[7\] " "Node \"LEDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/andrew/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1619383440599 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1619383440599 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619383440601 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1619383440625 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619383443979 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619383444137 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619383444207 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619383444767 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619383444767 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619383445585 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X56_Y44 X66_Y54 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54" { } { { "loc" "" { Generic "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54"} { { 12 { 0 ""} 56 44 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1619383449375 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619383449375 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1619383449642 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1619383449642 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1619383449642 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619383449645 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.08 " "Total time spent on timing analysis during the Fitter is 0.08 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1619383449973 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619383449993 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619383450512 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1619383450512 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1619383451060 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619383451765 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1619383451973 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/output_files/part5.fit.smsg " "Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/output_files/part5.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619383452183 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 32 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 32 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1082 " "Peak virtual memory: 1082 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619383452839 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 15:44:12 2021 " "Processing ended: Sun Apr 25 15:44:12 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619383452839 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:15 " "Elapsed time: 00:00:15" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619383452839 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Total CPU time (on all processors): 00:00:18" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619383452839 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619383452839 ""} diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.hier_info b/EE203/Noah Woodlee/Lab2/part5/db/part5.hier_info new file mode 100644 index 0000000..17ea7c8 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.hier_info @@ -0,0 +1,116 @@ +|part5 +SW[0] => Add0.IN8 +SW[1] => Add0.IN7 +SW[2] => Add0.IN6 +SW[3] => Add0.IN5 +SW[4] => Add0.IN4 +SW[5] => Add0.IN3 +SW[6] => Add0.IN2 +SW[7] => Add0.IN1 +SW[8] => Add1.IN10 +HEX0[0] << bcd:b0.port1 +HEX0[1] << bcd:b0.port1 +HEX0[2] << bcd:b0.port1 +HEX0[3] << bcd:b0.port1 +HEX0[4] << bcd:b0.port1 +HEX0[5] << bcd:b0.port1 +HEX0[6] << bcd:b0.port1 +HEX1[0] << bcd:b1.port1 +HEX1[1] << bcd:b1.port1 +HEX1[2] << bcd:b1.port1 +HEX1[3] << bcd:b1.port1 +HEX1[4] << bcd:b1.port1 +HEX1[5] << bcd:b1.port1 +HEX1[6] << bcd:b1.port1 + + +|part5|bcd:b0 +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.DATAA +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= OUT.DB_MAX_OUTPUT_PORT_TYPE + + +|part5|bcd:b1 +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAA +IN[0] => OUT.DATAB +IN[0] => OUT.DATAB +IN[0] => OUT.DATAA +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.OUTPUTSELECT +IN[1] => OUT.DATAA +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[2] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +IN[3] => OUT.OUTPUTSELECT +OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[4] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[5] <= OUT.DB_MAX_OUTPUT_PORT_TYPE +OUT[6] <= OUT.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.hif b/EE203/Noah Woodlee/Lab2/part5/db/part5.hif new file mode 100644 index 0000000..214cac6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.hif differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.html b/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.html new file mode 100644 index 0000000..046fbe0 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.html @@ -0,0 +1,50 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
b14303733300000
b04000700000000
diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.rdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.rdb new file mode 100644 index 0000000..6919aa3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.txt b/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.txt new file mode 100644 index 0000000..09234aa --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.lpc.txt @@ -0,0 +1,8 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; b1 ; 4 ; 3 ; 0 ; 3 ; 7 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; b0 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.ammdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.ammdb new file mode 100644 index 0000000..790b913 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.bpm b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.bpm new file mode 100644 index 0000000..2a693fb Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.bpm differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.cdb new file mode 100644 index 0000000..c796bfa Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.hdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.hdb new file mode 100644 index 0000000..bcfecd3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.kpt b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.kpt new file mode 100644 index 0000000..4e0283a Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.logdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.qmsg b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.qmsg new file mode 100644 index 0000000..e7b50cc --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.qmsg @@ -0,0 +1,17 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619383418085 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619383418087 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 15:43:37 2021 " "Processing started: Sun Apr 25 15:43:37 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619383418087 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619383418087 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 " "Command: quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619383418088 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1619383418598 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1619383418598 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "part5.v 2 2 " "Found 2 design units, including 2 entities, in source file part5.v" { { "Info" "ISGN_ENTITY_NAME" "1 bcd " "Found entity 1: bcd" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619383433499 ""} { "Info" "ISGN_ENTITY_NAME" "2 part5 " "Found entity 2: part5" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v" 13 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1619383433499 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1619383433499 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "part5 " "Elaborating entity \"part5\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1619383433601 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 part5.v(24) " "Verilog HDL assignment warning at part5.v(24): truncated value with size 32 to match size of target (4)" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v" 24 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1619383433619 "|part5"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "bcd bcd:b0 " "Elaborating entity \"bcd\" for hierarchy \"bcd:b0\"" { } { { "part5.v" "b0" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v" 34 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619383433626 ""} +{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "1 " "1 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1619383434433 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[1\] GND " "Pin \"HEX1\[1\]\" is stuck at GND" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619383434474 "|part5|HEX1[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[2\] GND " "Pin \"HEX1\[2\]\" is stuck at GND" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619383434474 "|part5|HEX1[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX1\[6\] VCC " "Pin \"HEX1\[6\]\" is stuck at VCC" { } { { "part5.v" "" { Text "/home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1619383434474 "|part5|HEX1[6]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1619383434474 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1619383434601 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435294 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619383435294 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383435295 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1619383435295 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1619383435459 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1619383435459 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "42 " "Implemented 42 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "9 " "Implemented 9 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1619383435611 ""} { "Info" "ICUT_CUT_TM_OPINS" "14 " "Implemented 14 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1619383435611 ""} { "Info" "ICUT_CUT_TM_LCELLS" "19 " "Implemented 19 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1619383435611 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1619383435611 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 57 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 57 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "397 " "Peak virtual memory: 397 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619383435624 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 15:43:55 2021 " "Processing ended: Sun Apr 25 15:43:55 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619383435624 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619383435624 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:33 " "Total CPU time (on all processors): 00:00:33" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619383435624 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1619383435624 ""} diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map.rdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.rdb new file mode 100644 index 0000000..7a5aec0 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.cdb new file mode 100644 index 0000000..63850db Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.hdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.hdb new file mode 100644 index 0000000..1b024f1 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.logdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.pre_map.hdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.pre_map.hdb new file mode 100644 index 0000000..a6f0e6e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.pre_map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.18509.rdr.flock b/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.18509.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.30372.rdr.flock b/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.30372.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.30390.rdr.flock b/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.30390.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.31548.rdr.flock b/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.31548.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.3386.rdr.flock b/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.3386.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.6551.rdr.flock b/EE203/Noah Woodlee/Lab2/part5/db/part5.quiproj.6551.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.root_partition.map.reg_db.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..8b78743 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.root_partition.map.reg_db.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.routing.rdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.routing.rdb new file mode 100644 index 0000000..d41c45e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.routing.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv.hdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv.hdb new file mode 100644 index 0000000..1bfa615 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv_sg.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv_sg.cdb new file mode 100644 index 0000000..a67de08 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv_sg.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv_sg_swap.cdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv_sg_swap.cdb new file mode 100644 index 0000000..6266530 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.rtlv_sg_swap.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.sld_design_entry.sci b/EE203/Noah Woodlee/Lab2/part5/db/part5.sld_design_entry.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.sld_design_entry.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.sld_design_entry_dsc.sci b/EE203/Noah Woodlee/Lab2/part5/db/part5.sld_design_entry_dsc.sci new file mode 100644 index 0000000..7d39add Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.sld_design_entry_dsc.sci differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.smart_action.txt b/EE203/Noah Woodlee/Lab2/part5/db/part5.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.sta.qmsg b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta.qmsg new file mode 100644 index 0000000..4fd87b8 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta.qmsg @@ -0,0 +1,52 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619383464157 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619383464158 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 15:44:23 2021 " "Processing started: Sun Apr 25 15:44:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619383464158 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1619383464158 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta part5 -c part5 " "Command: quartus_sta part5 -c part5" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1619383464158 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1619383464360 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "Lab1Pt1 24 " "Ignored 24 assignments for entity \"Lab1Pt1\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464449 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619383464449 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "part4 24 " "Ignored 24 assignments for entity \"part4\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1619383464450 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1619383464450 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1619383464581 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1619383464582 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619383464675 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1619383464675 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "part5.sdc " "Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1619383465153 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619383465154 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619383465157 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619383465157 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1619383465159 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619383465159 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1619383465161 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1619383465171 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1619383465174 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465176 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1619383465177 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465179 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465180 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465181 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465182 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465183 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619383465187 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1619383465228 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1619383465883 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619383465973 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619383465973 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619383465974 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619383465974 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465975 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465976 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465977 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465978 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465979 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383465980 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1619383465982 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1619383466225 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1619383466226 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1619383466226 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1619383466226 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383466227 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383466228 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383466229 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383466230 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1619383466231 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619383467526 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1619383467528 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 55 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 55 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "510 " "Peak virtual memory: 510 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619383467561 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 15:44:27 2021 " "Processing ended: Sun Apr 25 15:44:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619383467561 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619383467561 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619383467561 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1619383467561 ""} diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.sta.rdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta.rdb new file mode 100644 index 0000000..2293790 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta.rdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.sta_cmp.6_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000..7cb4f9e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta_cmp.6_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.sta_cmp.7_slow_1200mv_85c.tdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta_cmp.7_slow_1200mv_85c.tdb new file mode 100644 index 0000000..99893dc Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.sta_cmp.7_slow_1200mv_85c.tdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.tis_db_list.ddb b/EE203/Noah Woodlee/Lab2/part5/db/part5.tis_db_list.ddb new file mode 100644 index 0000000..abcfeea Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.tis_db_list.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fast_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000..8620b7c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fast_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fastest_slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fastest_slow_1200mv_0c.ddb new file mode 100644 index 0000000..c3b7d11 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fastest_slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fastest_slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fastest_slow_1200mv_85c.ddb new file mode 100644 index 0000000..72571a5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.fastest_slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.slow_1200mv_0c.ddb b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000..588340d Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.slow_1200mv_0c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.slow_1200mv_85c.ddb b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.slow_1200mv_85c.ddb new file mode 100644 index 0000000..3b0f73e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.tiscmp.slow_1200mv_85c.ddb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.tmw_info b/EE203/Noah Woodlee/Lab2/part5/db/part5.tmw_info new file mode 100644 index 0000000..ae8e34e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:53 +start_analysis_synthesis:s:00:00:21-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:18-start_full_compilation +start_assembler:s:00:00:08-start_full_compilation +start_timing_analyzer:s:00:00:06-start_full_compilation diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.vpr.ammdb b/EE203/Noah Woodlee/Lab2/part5/db/part5.vpr.ammdb new file mode 100644 index 0000000..06be7e3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.vpr.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ff_0c_fast.hsd b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ff_0c_fast.hsd new file mode 100644 index 0000000..c96a6fd Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ff_0c_fast.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ii_0c_slow.hsd b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ii_0c_slow.hsd new file mode 100644 index 0000000..cd343a8 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ii_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ii_85c_slow.hsd b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ii_85c_slow.hsd new file mode 100644 index 0000000..2a0d0d5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.ii_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.tt_0c_slow.hsd b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.tt_0c_slow.hsd new file mode 100644 index 0000000..090de61 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.tt_0c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.tt_85c_slow.hsd b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.tt_85c_slow.hsd new file mode 100644 index 0000000..09ac6ef Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/db/part5.zippleback_io_sim_cache.tt_85c_slow.hsd differ diff --git a/EE203/Noah Woodlee/Lab2/part5/db/part5_partition_pins.json b/EE203/Noah Woodlee/Lab2/part5/db/part5_partition_pins.json new file mode 100644 index 0000000..7d2f41a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/db/part5_partition_pins.json @@ -0,0 +1,89 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "HEX0[0]", + "strict" : false + }, + { + "name" : "HEX0[1]", + "strict" : false + }, + { + "name" : "HEX0[2]", + "strict" : false + }, + { + "name" : "HEX0[3]", + "strict" : false + }, + { + "name" : "HEX0[4]", + "strict" : false + }, + { + "name" : "HEX0[5]", + "strict" : false + }, + { + "name" : "HEX0[6]", + "strict" : false + }, + { + "name" : "HEX1[0]", + "strict" : false + }, + { + "name" : "HEX1[3]", + "strict" : false + }, + { + "name" : "HEX1[4]", + "strict" : false + }, + { + "name" : "HEX1[5]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + }, + { + "name" : "SW[8]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v new file mode 100644 index 0000000..402472e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/DE10_LITE_Golden_Top.v @@ -0,0 +1,139 @@ +// ============================================================================ +// Ver :| Author :| Mod. Date :| Changes Made: +// V1.1 :| Alexandra Du :| 06/01/2016:| Added Verilog file +// ============================================================================ + + +//======================================================= +// This code is generated by Terasic System Builder +//======================================================= + +`define ENABLE_ADC_CLOCK +`define ENABLE_CLOCK1 +`define ENABLE_CLOCK2 +`define ENABLE_SDRAM +`define ENABLE_HEX0 +`define ENABLE_HEX1 +`define ENABLE_HEX2 +`define ENABLE_HEX3 +`define ENABLE_HEX4 +`define ENABLE_HEX5 +`define ENABLE_KEY +`define ENABLE_LED +`define ENABLE_SW +`define ENABLE_VGA +`define ENABLE_ACCELEROMETER +`define ENABLE_ARDUINO +`define ENABLE_GPIO + +module DE10_LITE_Golden_Top( + + //////////// ADC CLOCK: 3.3-V LVTTL ////////// +`ifdef ENABLE_ADC_CLOCK + input ADC_CLK_10, +`endif + //////////// CLOCK 1: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK1 + input MAX10_CLK1_50, +`endif + //////////// CLOCK 2: 3.3-V LVTTL ////////// +`ifdef ENABLE_CLOCK2 + input MAX10_CLK2_50, +`endif + + //////////// SDRAM: 3.3-V LVTTL ////////// +`ifdef ENABLE_SDRAM + output [12:0] DRAM_ADDR, + output [1:0] DRAM_BA, + output DRAM_CAS_N, + output DRAM_CKE, + output DRAM_CLK, + output DRAM_CS_N, + inout [15:0] DRAM_DQ, + output DRAM_LDQM, + output DRAM_RAS_N, + output DRAM_UDQM, + output DRAM_WE_N, +`endif + + //////////// SEG7: 3.3-V LVTTL ////////// +`ifdef ENABLE_HEX0 + output [7:0] HEX0, +`endif +`ifdef ENABLE_HEX1 + output [7:0] HEX1, +`endif +`ifdef ENABLE_HEX2 + output [7:0] HEX2, +`endif +`ifdef ENABLE_HEX3 + output [7:0] HEX3, +`endif +`ifdef ENABLE_HEX4 + output [7:0] HEX4, +`endif +`ifdef ENABLE_HEX5 + output [7:0] HEX5, +`endif + + //////////// KEY: 3.3 V SCHMITT TRIGGER ////////// +`ifdef ENABLE_KEY + input [1:0] KEY, +`endif + + //////////// LED: 3.3-V LVTTL ////////// +`ifdef ENABLE_LED + output [9:0] LEDR, +`endif + + //////////// SW: 3.3-V LVTTL ////////// +`ifdef ENABLE_SW + input [9:0] SW, +`endif + + //////////// VGA: 3.3-V LVTTL ////////// +`ifdef ENABLE_VGA + output [3:0] VGA_B, + output [3:0] VGA_G, + output VGA_HS, + output [3:0] VGA_R, + output VGA_VS, +`endif + + //////////// Accelerometer: 3.3-V LVTTL ////////// +`ifdef ENABLE_ACCELEROMETER + output GSENSOR_CS_N, + input [2:1] GSENSOR_INT, + output GSENSOR_SCLK, + inout GSENSOR_SDI, + inout GSENSOR_SDO, +`endif + + //////////// Arduino: 3.3-V LVTTL ////////// +`ifdef ENABLE_ARDUINO + inout [15:0] ARDUINO_IO, + inout ARDUINO_RESET_N, +`endif + + //////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL ////////// +`ifdef ENABLE_GPIO + inout [35:0] GPIO +`endif +); + + + +//======================================================= +// REG/WIRE declarations +//======================================================= + + + + +//======================================================= +// Structural coding +//======================================================= + + + +endmodule diff --git a/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/filelist.txt b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/filelist.txt new file mode 100644 index 0000000..a837f14 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/filelist.txt @@ -0,0 +1,3 @@ +platform_setup.tcl +filelist.txt +DE10_LITE_Golden_Top.v diff --git a/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/platform_setup.tcl b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/platform_setup.tcl new file mode 100644 index 0000000..3b32d96 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/platform_setup.tcl @@ -0,0 +1,429 @@ +proc ::setup_project {} { +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 09:47:48 June 12, 2017 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# top_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10 FPGA" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_V10 -to GPIO[0] +set_location_assignment PIN_W10 -to GPIO[1] +set_location_assignment PIN_V9 -to GPIO[2] +set_location_assignment PIN_W9 -to GPIO[3] +set_location_assignment PIN_V8 -to GPIO[4] +set_location_assignment PIN_W8 -to GPIO[5] +set_location_assignment PIN_V7 -to GPIO[6] +set_location_assignment PIN_W7 -to GPIO[7] +set_location_assignment PIN_W6 -to GPIO[8] +set_location_assignment PIN_V5 -to GPIO[9] +set_location_assignment PIN_W5 -to GPIO[10] +set_location_assignment PIN_AA15 -to GPIO[11] +set_location_assignment PIN_AA14 -to GPIO[12] +set_location_assignment PIN_W13 -to GPIO[13] +set_location_assignment PIN_W12 -to GPIO[14] +set_location_assignment PIN_AB13 -to GPIO[15] +set_location_assignment PIN_AB12 -to GPIO[16] +set_location_assignment PIN_Y11 -to GPIO[17] +set_location_assignment PIN_AB11 -to GPIO[18] +set_location_assignment PIN_W11 -to GPIO[19] +set_location_assignment PIN_AB10 -to GPIO[20] +set_location_assignment PIN_AA10 -to GPIO[21] +set_location_assignment PIN_AA9 -to GPIO[22] +set_location_assignment PIN_Y8 -to GPIO[23] +set_location_assignment PIN_AA8 -to GPIO[24] +set_location_assignment PIN_Y7 -to GPIO[25] +set_location_assignment PIN_AA7 -to GPIO[26] +set_location_assignment PIN_Y6 -to GPIO[27] +set_location_assignment PIN_AA6 -to GPIO[28] +set_location_assignment PIN_Y5 -to GPIO[29] +set_location_assignment PIN_AA5 -to GPIO[30] +set_location_assignment PIN_Y4 -to GPIO[31] +set_location_assignment PIN_AB3 -to GPIO[32] +set_location_assignment PIN_Y3 -to GPIO[33] +set_location_assignment PIN_AB2 -to GPIO[34] +set_location_assignment PIN_AA2 -to GPIO[35] +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VERILOG_FILE DE10_LITE_Golden_Top.v +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +} diff --git a/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/qar_info.json b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/qar_info.json new file mode 100644 index 0000000..536fab3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/devkits/DE10_LITE_Golden_Top/qar_info.json @@ -0,0 +1,6 @@ +{ + "common_dir" : "/data/adu/17.0/Lite/Max10/Max_10_DE10_LITE/DE10_LITE_Golden_Top_project/", + "acds_version" : "Version 17.0.0", + "platform" : "linux", + "os" : "Red Hat" +} \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5/devkits/readme.txt b/EE203/Noah Woodlee/Lab2/part5/devkits/readme.txt new file mode 100644 index 0000000..15cc67e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/devkits/readme.txt @@ -0,0 +1,8 @@ +This devkits directory contains development kit baseline example designs. + +HOW TO SETUP PIN ASSIGNMENTS +1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows. +2) Type command 'source platform_setup.tcl' in the Tcl console. +3) Type command 'setup_project' in the Tcl console. + - Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file. + diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/README b/EE203/Noah Woodlee/Lab2/part5/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.db_info b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.db_info new file mode 100644 index 0000000..4cccac7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Wed Apr 21 16:27:42 2021 diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.ammdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.ammdb new file mode 100644 index 0000000..71883e7 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.ammdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.cdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.cdb new file mode 100644 index 0000000..43dcf4c Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.dfp b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.dfp new file mode 100644 index 0000000..b1c67d6 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.dfp differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.hdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.hdb new file mode 100644 index 0000000..d8857cd Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.logdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.rcfdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.rcfdb new file mode 100644 index 0000000..dcebf49 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.cmp.rcfdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.cdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.cdb new file mode 100644 index 0000000..5d6c85e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.dpi b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.dpi new file mode 100644 index 0000000..fd8514b Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.dpi differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.cdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..592ccb3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.cdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hb_info b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hb_info differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..ce4d62e Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.sig b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.sig new file mode 100644 index 0000000..2b953e3 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +fa8634a97a99232bb4bb1c2e0a376209 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hdb new file mode 100644 index 0000000..146f2e3 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.kpt b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.kpt new file mode 100644 index 0000000..c974172 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.root_partition.map.kpt differ diff --git a/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.rrp.hdb b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.rrp.hdb new file mode 100644 index 0000000..3ba63d7 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/incremental_db/compiled_partitions/part5.rrp.hdb differ diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.asm.rpt b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.asm.rpt new file mode 100644 index 0000000..214be2e --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for part5 +Sun Apr 25 15:44:21 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: part5.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sun Apr 25 15:44:21 2021 ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++-----------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------------------------------------------------------+ +; File Name ; ++-----------------------------------------------------------------------------------------------+ +; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/output_files/part5.sof ; ++-----------------------------------------------------------------------------------------------+ + + ++-------------------------------------+ +; Assembler Device Options: part5.sof ; ++----------------+--------------------+ +; Option ; Setting ; ++----------------+--------------------+ +; JTAG usercode ; 0x0027305F ; +; Checksum ; 0x0027305F ; ++----------------+--------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 15:44:16 2021 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 360 megabytes + Info: Processing ended: Sun Apr 25 15:44:21 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.done b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.done new file mode 100644 index 0000000..ce4c3ac --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.done @@ -0,0 +1 @@ +Sun Apr 25 15:44:27 2021 diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.rpt b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.rpt new file mode 100644 index 0000000..3b9da08 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.rpt @@ -0,0 +1,1349 @@ +Fitter report for part5 +Sun Apr 25 15:44:12 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Ignored Assignments + 6. Incremental Compilation Preservation Summary + 7. Incremental Compilation Partition Settings + 8. Incremental Compilation Placement Preservation + 9. Pin-Out File + 10. Fitter Resource Usage Summary + 11. Fitter Partition Statistics + 12. Input Pins + 13. Output Pins + 14. Dual Purpose and Dedicated Pins + 15. I/O Bank Usage + 16. All Package Pins + 17. I/O Assignment Warnings + 18. Fitter Resource Utilization by Entity + 19. Delay Chain Summary + 20. Pad To Core Delay Chain Fanout + 21. Routing Usage Summary + 22. LAB Logic Elements + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. I/O Rules Summary + 27. I/O Rules Details + 28. I/O Rules Matrix + 29. Fitter Device Options + 30. Operating Settings and Conditions + 31. Fitter Messages + 32. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Sun Apr 25 15:44:12 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 20 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 20 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 23 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; 10M50DAF484C7G ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.01 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.4% ; ++----------------------------+-------------+ + + ++----------------------------------------------------------------------------------------+ +; Ignored Assignments ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; ++----------+----------------+--------------+------------+---------------+----------------+ +; Location ; ; ; HEX2[0] ; PIN_B20 ; QSF Assignment ; +; Location ; ; ; HEX2[1] ; PIN_A20 ; QSF Assignment ; +; Location ; ; ; HEX2[2] ; PIN_B19 ; QSF Assignment ; +; Location ; ; ; HEX2[3] ; PIN_A21 ; QSF Assignment ; +; Location ; ; ; HEX2[4] ; PIN_B21 ; QSF Assignment ; +; Location ; ; ; HEX2[5] ; PIN_C22 ; QSF Assignment ; +; Location ; ; ; HEX2[6] ; PIN_B22 ; QSF Assignment ; +; Location ; ; ; HEX3[0] ; PIN_F21 ; QSF Assignment ; +; Location ; ; ; HEX3[1] ; PIN_E22 ; QSF Assignment ; +; Location ; ; ; HEX3[2] ; PIN_E21 ; QSF Assignment ; +; Location ; ; ; HEX3[3] ; PIN_C19 ; QSF Assignment ; +; Location ; ; ; HEX3[4] ; PIN_C20 ; QSF Assignment ; +; Location ; ; ; HEX3[5] ; PIN_D19 ; QSF Assignment ; +; Location ; ; ; HEX3[6] ; PIN_E17 ; QSF Assignment ; +; Location ; ; ; LEDR[0] ; PIN_A8 ; QSF Assignment ; +; Location ; ; ; LEDR[1] ; PIN_A9 ; QSF Assignment ; +; Location ; ; ; LEDR[2] ; PIN_A10 ; QSF Assignment ; +; Location ; ; ; LEDR[3] ; PIN_B10 ; QSF Assignment ; +; Location ; ; ; LEDR[4] ; PIN_D13 ; QSF Assignment ; +; Location ; ; ; LEDR[5] ; PIN_C13 ; QSF Assignment ; +; Location ; ; ; LEDR[6] ; PIN_E14 ; QSF Assignment ; +; Location ; ; ; LEDR[7] ; PIN_D14 ; QSF Assignment ; +; Location ; ; ; LEDR[8] ; PIN_A11 ; QSF Assignment ; +; Location ; ; ; LEDR[9] ; PIN_B11 ; QSF Assignment ; +; Location ; ; ; SW[9] ; PIN_F15 ; QSF Assignment ; ++----------+----------------+--------------+------------+---------------+----------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; +; -- Achieved ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; 0.00 % ( 0 / 85 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 69 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 16 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/output_files/part5.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 20 / 49,760 ( < 1 % ) ; +; -- Combinational with no register ; 20 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 10 ; +; -- 3 input functions ; 6 ; +; -- <=2 input functions ; 4 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 15 ; +; -- arithmetic mode ; 5 ; +; ; ; +; Total registers* ; 0 / 51,509 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; -- I/O registers ; 0 / 1,749 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 4 / 3,110 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 23 / 360 ( 6 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; -- Dedicated input pins ; 1 / 1 ( 100 % ) ; +; ; ; +; M9Ks ; 0 / 182 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; +; Total block memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.3% / 0.4% / 0.2% ; +; Maximum fan-out ; 17 ; +; Highest non-global fan-out ; 17 ; +; Total fan-out ; 107 ; +; Average fan-out ; 1.26 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 20 / 49760 ( < 1 % ) ; 0 / 49760 ( 0 % ) ; +; -- Combinational with no register ; 20 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 10 ; 0 ; +; -- 3 input functions ; 6 ; 0 ; +; -- <=2 input functions ; 4 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 15 ; 0 ; +; -- arithmetic mode ; 5 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 49760 ( 0 % ) ; 0 / 49760 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 4 / 3110 ( < 1 % ) ; 0 / 3110 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 23 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; 0 / 288 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; User Flash Memory ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; +; Analog-to-Digital Converter ; 2 / 2 ( 100 % ) ; 0 / 2 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 113 ; 8 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 9 ; 0 ; +; -- Output Ports ; 14 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; SW[0] ; C10 ; 7 ; 51 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[1] ; C11 ; 7 ; 51 ; 54 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[2] ; D12 ; 7 ; 51 ; 54 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[3] ; C12 ; 7 ; 54 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[4] ; A12 ; 7 ; 54 ; 54 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[5] ; B12 ; 7 ; 49 ; 54 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[6] ; A13 ; 7 ; 54 ; 54 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[7] ; A14 ; 7 ; 58 ; 54 ; 28 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; +; SW[8] ; B14 ; 7 ; 56 ; 54 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; 0 ; ++-------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; HEX0[0] ; C14 ; 7 ; 58 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[1] ; E15 ; 7 ; 74 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[2] ; C15 ; 7 ; 60 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[3] ; C16 ; 7 ; 62 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[4] ; E16 ; 7 ; 74 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[5] ; D17 ; 7 ; 74 ; 54 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX0[6] ; C17 ; 7 ; 74 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[0] ; C18 ; 7 ; 69 ; 54 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[1] ; D18 ; 6 ; 78 ; 49 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[2] ; E18 ; 6 ; 78 ; 49 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[3] ; B16 ; 7 ; 60 ; 54 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[4] ; A17 ; 7 ; 64 ; 54 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[5] ; A18 ; 7 ; 66 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; HEX1[6] ; B17 ; 7 ; 69 ; 54 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ +; H2 ; DIFFIO_RX_L17n, DIFFOUT_L17n, TMS, Low_Speed ; Reserved as secondary function ; ~ALTERA_TMS~ ; Dual Purpose Pin ; +; G2 ; DIFFIO_RX_L17p, DIFFOUT_L17p, TCK, Low_Speed ; Reserved as secondary function ; ~ALTERA_TCK~ ; Dual Purpose Pin ; +; L4 ; DIFFIO_RX_L18n, DIFFOUT_L18n, TDI, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDI~ ; Dual Purpose Pin ; +; M5 ; DIFFIO_RX_L18p, DIFFOUT_L18p, TDO, Low_Speed ; Reserved as secondary function ; ~ALTERA_TDO~ ; Dual Purpose Pin ; +; H10 ; CONFIG_SEL, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONFIG_SEL~ ; Dual Purpose Pin ; +; H9 ; nCONFIG, Low_Speed ; Reserved as secondary function ; ~ALTERA_nCONFIG~ ; Dual Purpose Pin ; +; G9 ; DIFFIO_RX_T50p, DIFFOUT_T50p, nSTATUS, Low_Speed ; Reserved as secondary function ; ~ALTERA_nSTATUS~ ; Dual Purpose Pin ; +; F8 ; DIFFIO_RX_T50n, DIFFOUT_T50n, CONF_DONE, Low_Speed ; Reserved as secondary function ; ~ALTERA_CONF_DONE~ ; Dual Purpose Pin ; ++----------+----------------------------------------------------+--------------------------------+---------------------+------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1A ; 0 / 16 ( 0 % ) ; 2.5V ; -- ; +; 1B ; 4 / 24 ( 17 % ) ; 2.5V ; -- ; +; 2 ; 0 / 36 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 40 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 2 / 60 ( 3 % ) ; 2.5V ; -- ; +; 7 ; 21 / 52 ( 40 % ) ; 2.5V ; -- ; +; 8 ; 4 / 36 ( 11 % ) ; 2.5V ; -- ; ++----------+------------------+---------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A3 ; 483 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; 473 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A6 ; 471 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 447 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A10 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 435 ; 7 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A13 ; 433 ; 7 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A14 ; 425 ; 7 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A15 ; 421 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A16 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A17 ; 407 ; 7 ; HEX1[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A18 ; 405 ; 7 ; HEX1[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; A19 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A21 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA2 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA3 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA5 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA6 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA8 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA10 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; 180 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA12 ; 182 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 197 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA14 ; 201 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA19 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA21 ; 245 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA22 ; 247 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB3 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB4 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB5 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB6 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB7 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 177 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 179 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB12 ; 181 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 183 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB14 ; 199 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB21 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B2 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B3 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B7 ; 469 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 451 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; 441 ; 7 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 427 ; 7 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B15 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; 417 ; 7 ; HEX1[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B17 ; 402 ; 7 ; HEX1[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; B18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B19 ; 399 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B21 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; B22 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C1 ; 33 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; C2 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C3 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 467 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 465 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 442 ; 7 ; SW[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C11 ; 440 ; 7 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C12 ; 436 ; 7 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C13 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 424 ; 7 ; HEX0[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C15 ; 418 ; 7 ; HEX0[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C16 ; 416 ; 7 ; HEX0[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C17 ; 391 ; 7 ; HEX0[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C18 ; 400 ; 7 ; HEX1[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; C19 ; 397 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C21 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C22 ; 343 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D1 ; 35 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 31 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; 29 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D5 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; D8 ; 472 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D12 ; 438 ; 7 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D13 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 428 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D17 ; 389 ; 7 ; HEX0[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; D18 ; 385 ; 6 ; HEX1[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; D19 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D21 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; D22 ; 341 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 41 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E3 ; 3 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 1 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; E6 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E8 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E10 ; 466 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 464 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E14 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 390 ; 7 ; HEX0[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E16 ; 388 ; 7 ; HEX0[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E17 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E18 ; 387 ; 6 ; HEX1[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; E19 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E20 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E21 ; 335 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E22 ; 333 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 47 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 43 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 7 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 2 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F5 ; 0 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; F7 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 494 ; 8 ; ~ALTERA_CONF_DONE~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; F9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F15 ; 398 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; 396 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F17 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F18 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F19 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F20 ; 342 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F21 ; 340 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F22 ; 331 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 45 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 34 ; 1B ; ~ALTERA_TCK~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; Off ; +; G3 ; 11 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 5 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; ; ; ANAIN1 ; ; ; ; -- ; ; -- ; -- ; +; G6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G7 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G9 ; 492 ; 8 ; ~ALTERA_nSTATUS~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; G10 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G13 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G16 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G17 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G19 ; 330 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G20 ; 328 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G22 ; 329 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; 44 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H2 ; 32 ; 1B ; ~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; H3 ; 10 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 9 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; ; ; REFGND ; ; ; ; -- ; ; -- ; -- ; +; H6 ; ; ; ADC_VREF ; ; ; ; -- ; ; -- ; -- ; +; H7 ; ; -- ; VCCA_ADC ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H9 ; 482 ; 8 ; ~ALTERA_nCONFIG~ / RESERVED_INPUT ; input ; 2.5 V Schmitt Trigger ; ; Column I/O ; N ; no ; Off ; +; H10 ; 480 ; 8 ; ~ALTERA_CONFIG_SEL~ / RESERVED_INPUT ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; +; H11 ; 470 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H12 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 420 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H17 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H18 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H19 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H20 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H21 ; 323 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H22 ; 321 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J1 ; 46 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 15 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 8 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; ; ; ANAIN2 ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J7 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J8 ; 4 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; 6 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J10 ; 468 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; 446 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J12 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J15 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J18 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J21 ; 327 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J22 ; 325 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K1 ; 49 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 37 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 13 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; 12 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K6 ; 14 ; 1A ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K7 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K8 ; 28 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; 30 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K15 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K18 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K19 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K20 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K21 ; 326 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 324 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 51 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 39 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; ; ; DNU ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 36 ; 1B ; ~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V Schmitt Trigger ; ; Row I/O ; N ; no ; On ; +; L5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L6 ; ; 1A ; VCCIO1A ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L7 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L8 ; 40 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; 42 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; 344 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L15 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L16 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L19 ; 349 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L20 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L22 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; 50 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 48 ; 1B ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 38 ; 1B ; ~ALTERA_TDO~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; M6 ; ; 1B ; VCCIO1B ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M8 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M13 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; 334 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M15 ; 332 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; M18 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M20 ; 337 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M21 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M22 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N8 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N10 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; -- ; VCC ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; 320 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N15 ; 322 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N17 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N18 ; 336 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 338 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N20 ; 339 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N21 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N22 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P1 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P5 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P7 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P8 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P9 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P10 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P11 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P12 ; 178 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P13 ; 198 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; P14 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P15 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P18 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P19 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P20 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P21 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; R1 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R7 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R9 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R10 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R12 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R13 ; 196 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R14 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R15 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R16 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; R18 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R22 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T3 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T5 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T6 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T7 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T10 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T13 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T18 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T19 ; 296 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T20 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T21 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 295 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U1 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U7 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U11 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U15 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U16 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; 246 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U18 ; 244 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U19 ; 282 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U20 ; 290 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U21 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V5 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V8 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V10 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V11 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V12 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V13 ; 192 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V14 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V15 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V18 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V20 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V21 ; 289 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 291 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W5 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W6 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W7 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W8 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W9 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W10 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W11 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W12 ; 193 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W13 ; 195 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W14 ; 194 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W16 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W17 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; W19 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W20 ; 286 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W22 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y2 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y3 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y4 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y5 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y7 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y11 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 200 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 202 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y19 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y21 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y22 ; 281 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ++----------+------------+----------+------------------------------------------------+--------+-----------------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; HEX0[0] ; Incomplete set of assignments ; +; HEX0[1] ; Incomplete set of assignments ; +; HEX0[2] ; Incomplete set of assignments ; +; HEX0[3] ; Incomplete set of assignments ; +; HEX0[4] ; Incomplete set of assignments ; +; HEX0[5] ; Incomplete set of assignments ; +; HEX0[6] ; Incomplete set of assignments ; +; HEX1[0] ; Incomplete set of assignments ; +; HEX1[1] ; Incomplete set of assignments ; +; HEX1[2] ; Incomplete set of assignments ; +; HEX1[3] ; Incomplete set of assignments ; +; HEX1[4] ; Incomplete set of assignments ; +; HEX1[5] ; Incomplete set of assignments ; +; HEX1[6] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; +; SW[8] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +; |part5 ; 20 (11) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 23 ; 0 ; 20 (11) ; 0 (0) ; 0 (0) ; 0 ; |part5 ; part5 ; work ; +; |bcd:b0| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; |part5|bcd:b0 ; bcd ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+------------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; HEX0[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX0[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; HEX1[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[8] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; +; SW[6] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[2] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[7] ; Input ; (6) 868 ps ; -- ; -- ; -- ; -- ; +; SW[3] ; Input ; -- ; (6) 868 ps ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; SW[4] ; ; ; +; - Add0~2 ; 0 ; 6 ; +; SW[0] ; ; ; +; - Add0~2 ; 1 ; 6 ; +; SW[8] ; ; ; +; - Add0~1 ; 1 ; 6 ; +; SW[6] ; ; ; +; - Add0~6 ; 0 ; 6 ; +; SW[2] ; ; ; +; - Add0~6 ; 0 ; 6 ; +; SW[5] ; ; ; +; - Add0~4 ; 0 ; 6 ; +; SW[1] ; ; ; +; - Add0~4 ; 0 ; 6 ; +; SW[7] ; ; ; +; - Add0~8 ; 0 ; 6 ; +; SW[3] ; ; ; +; - Add0~8 ; 1 ; 6 ; ++---------------------+-------------------+---------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 33 / 148,641 ( < 1 % ) ; +; C16 interconnects ; 0 / 5,382 ( 0 % ) ; +; C4 interconnects ; 24 / 106,704 ( < 1 % ) ; +; Direct links ; 4 / 148,641 ( < 1 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 2 / 49,760 ( < 1 % ) ; +; NSLEEPs ; 0 / 500 ( 0 % ) ; +; R24 interconnects ; 3 / 5,406 ( < 1 % ) ; +; R4 interconnects ; 24 / 147,764 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 5.00) ; Number of LABs (Total = 4) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 4.75) ; Number of LABs (Total = 4) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 4.25) ; Number of LABs (Total = 4) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 4.50) ; Number of LABs (Total = 4) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 23 ; 23 ; 0 ; 0 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 9 ; 14 ; 0 ; 9 ; 0 ; 0 ; 14 ; 0 ; 23 ; 23 ; 23 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 23 ; 0 ; 0 ; 23 ; 23 ; 0 ; 0 ; 23 ; 23 ; 23 ; 23 ; 23 ; 23 ; 9 ; 23 ; 23 ; 23 ; 14 ; 9 ; 23 ; 14 ; 23 ; 23 ; 9 ; 23 ; 0 ; 0 ; 0 ; 23 ; 23 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; HEX0[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX0[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; HEX1[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++-------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Internal Configuration ; +; Enable Error Detection CRC_ERROR pin ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable nCONFIG, nSTATUS, and CONF_DONE pins ; On ; +; Enable JTAG pin sharing ; Off ; +; Enable nCE pin ; Off ; +; Enable CONFIG_SEL pin ; On ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; Data[0] ; Unreserved ; +; Data[1]/ASDO ; Unreserved ; +; FLASH_nCE/nCSO ; Unreserved ; +; DCLK ; Unreserved ; ++------------------------------------------------------------------+------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119006): Selected device 10M50DAF484C7G for design "part5" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device 10M08DAF484I7G is compatible + Info (176445): Device 10M08DAF484I7P is compatible + Info (176445): Device 10M16DAF484A7G is compatible + Info (176445): Device 10M16DAF484C7G is compatible + Info (176445): Device 10M16DAF484I7G is compatible + Info (176445): Device 10M16DAF484I7P is compatible + Info (176445): Device 10M25DAF484A7G is compatible + Info (176445): Device 10M25DAF484C7G is compatible + Info (176445): Device 10M25DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7G is compatible + Info (176445): Device 10M50DAF484I7P is compatible + Info (176445): Device 10M40DAF484C7G is compatible + Info (176445): Device 10M40DAF484I7G is compatible +Info (169124): Fitter converted 8 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_TMS~ is reserved at location H2 + Info (169125): Pin ~ALTERA_TCK~ is reserved at location G2 + Info (169125): Pin ~ALTERA_TDI~ is reserved at location L4 + Info (169125): Pin ~ALTERA_TDO~ is reserved at location M5 + Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10 + Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9 + Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9 + Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8 +Info (169141): DATA[0] dual-purpose pin not reserved +Info (12825): Data[1]/ASDO dual-purpose pin not reserved +Info (12825): nCSO dual-purpose pin not reserved +Info (12825): DCLK dual-purpose pin not reserved +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Warning (15705): Ignored locations or region assignments to the following nodes + Warning (15706): Node "HEX2[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X56_Y44 to location X66_Y54 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 +Info (11888): Total time spent on timing analysis during the Fitter is 0.08 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (144001): Generated suppressed messages file /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/output_files/part5.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 32 warnings + Info: Peak virtual memory: 1082 megabytes + Info: Processing ended: Sun Apr 25 15:44:12 2021 + Info: Elapsed time: 00:00:15 + Info: Total CPU time (on all processors): 00:00:18 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/output_files/part5.fit.smsg. + + diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.smsg b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.summary b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.summary new file mode 100644 index 0000000..403d2c2 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.fit.summary @@ -0,0 +1,18 @@ +Fitter Status : Successful - Sun Apr 25 15:44:12 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part5 +Top-level Entity Name : part5 +Family : MAX 10 +Device : 10M50DAF484C7G +Timing Models : Final +Total logic elements : 20 / 49,760 ( < 1 % ) + Total combinational functions : 20 / 49,760 ( < 1 % ) + Dedicated logic registers : 0 / 49,760 ( 0 % ) +Total registers : 0 +Total pins : 23 / 360 ( 6 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,677,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +UFM blocks : 0 / 1 ( 0 % ) +ADC blocks : 0 / 2 ( 0 % ) diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.flow.rpt b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.flow.rpt new file mode 100644 index 0000000..2445e6b --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.flow.rpt @@ -0,0 +1,131 @@ +Flow report for part5 +Sun Apr 25 15:44:27 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Sun Apr 25 15:44:21 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Device ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Total logic elements ; 20 / 49,760 ( < 1 % ) ; +; Total combinational functions ; 20 / 49,760 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 23 / 360 ( 6 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,677,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ADC blocks ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/25/2021 15:43:38 ; +; Main task ; Compilation ; +; Revision Name ; part5 ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 164639278517.161938341830598 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part4 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:18 ; 1.0 ; 391 MB ; 00:00:33 ; +; Fitter ; 00:00:15 ; 1.0 ; 1082 MB ; 00:00:17 ; +; Assembler ; 00:00:05 ; 1.0 ; 360 MB ; 00:00:05 ; +; Timing Analyzer ; 00:00:04 ; 1.0 ; 510 MB ; 00:00:04 ; +; Total ; 00:00:42 ; -- ; -- ; 00:00:59 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++-------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+-------------------+------------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+-------------------+------------------+------------+----------------+ +; Analysis & Synthesis ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Fitter ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Assembler ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; +; Timing Analyzer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ; ++----------------------+-------------------+------------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 +quartus_fit --read_settings_files=off --write_settings_files=off part5 -c part5 +quartus_asm --read_settings_files=off --write_settings_files=off part5 -c part5 +quartus_sta part5 -c part5 + + + diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.jdi b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.jdi new file mode 100644 index 0000000..21af662 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.map.rpt b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.map.rpt new file mode 100644 index 0000000..ef6eec9 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.map.rpt @@ -0,0 +1,359 @@ +Analysis & Synthesis report for part5 +Sun Apr 25 15:43:55 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Port Connectivity Checks: "bcd:b1" + 10. Post-Synthesis Netlist Statistics for Top Partition + 11. Elapsed Time Per Partition + 12. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sun Apr 25 15:43:55 2021 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; part5 ; +; Top-level Entity Name ; part5 ; +; Family ; MAX 10 ; +; Total logic elements ; 19 ; +; Total combinational functions ; 19 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 23 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; UFM blocks ; 0 ; +; ADC blocks ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; 10M50DAF484C7G ; ; +; Top-level entity name ; part5 ; part5 ; +; Family name ; MAX 10 ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ +; part5.v ; yes ; User Verilog HDL File ; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v ; ; ++----------------------------------+-----------------+------------------------+--------------------------------------------------------------------------------+---------+ + + ++------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+--------+ +; Resource ; Usage ; ++---------------------------------------------+--------+ +; Estimated Total logic elements ; 19 ; +; ; ; +; Total combinational functions ; 19 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 10 ; +; -- 3 input functions ; 6 ; +; -- <=2 input functions ; 3 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 14 ; +; -- arithmetic mode ; 5 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 23 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; Add0~2 ; +; Maximum fan-out ; 8 ; +; Total fan-out ; 96 ; +; Average fan-out ; 1.48 ; ++---------------------------------------------+--------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; UFM Blocks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; ADC blocks ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +; |part5 ; 19 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 ; 0 ; 0 ; |part5 ; part5 ; work ; +; |bcd:b0| ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |part5|bcd:b0 ; bcd ; work ; ++----------------------------+---------------------+---------------------------+-------------+------------+--------------+---------+-----------+------+--------------+------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "bcd:b1" ; ++------+-------+----------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++------+-------+----------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; IN ; Input ; Warning ; Input port expression (1 bits) is smaller than the input port (4 bits) it drives. Extra input bit(s) "IN[3..1]" will be connected to GND. ; ++------+-------+----------+--------------------------------------------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 23 ; +; cycloneiii_lcell_comb ; 22 ; +; arith ; 5 ; +; 2 data inputs ; 1 ; +; 3 data inputs ; 4 ; +; normal ; 17 ; +; 0 data inputs ; 3 ; +; 1 data inputs ; 1 ; +; 2 data inputs ; 1 ; +; 3 data inputs ; 2 ; +; 4 data inputs ; 10 ; +; ; ; +; Max LUT depth ; 4.50 ; +; Average LUT depth ; 3.79 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:01 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 15:43:37 2021 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off part5 -c part5 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 2 design units, including 2 entities, in source file part5.v + Info (12023): Found entity 1: bcd File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v Line: 1 + Info (12023): Found entity 2: part5 File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v Line: 13 +Info (12127): Elaborating entity "part5" for the top level hierarchy +Warning (10230): Verilog HDL assignment warning at part5.v(24): truncated value with size 32 to match size of target (4) File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v Line: 24 +Info (12128): Elaborating entity "bcd" for hierarchy "bcd:b0" File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v Line: 34 +Warning (12241): 1 hierarchies have connectivity warnings - see the Connectivity Checks report folder +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "HEX1[1]" is stuck at GND File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v Line: 15 + Warning (13410): Pin "HEX1[2]" is stuck at GND File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v Line: 15 + Warning (13410): Pin "HEX1[6]" is stuck at VCC File: /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part5/part5.v Line: 15 +Info (286030): Timing-Driven Synthesis is running +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 42 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 9 input pins + Info (21059): Implemented 14 output pins + Info (21061): Implemented 19 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 57 warnings + Info: Peak virtual memory: 397 megabytes + Info: Processing ended: Sun Apr 25 15:43:55 2021 + Info: Elapsed time: 00:00:18 + Info: Total CPU time (on all processors): 00:00:33 + + diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.map.summary b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.map.summary new file mode 100644 index 0000000..4b67c7a --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.map.summary @@ -0,0 +1,16 @@ +Analysis & Synthesis Status : Successful - Sun Apr 25 15:43:55 2021 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : part5 +Top-level Entity Name : part5 +Family : MAX 10 +Total logic elements : 19 + Total combinational functions : 19 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 23 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 +UFM blocks : 0 +ADC blocks : 0 diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.pin b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.pin new file mode 100644 index 0000000..e3d6b05 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.pin @@ -0,0 +1,556 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1A: 2.5V + -- Bank 1B: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "part5" ASSIGNED TO AN: 10M50DAF484C7G + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 7 : +SW[4] : A12 : input : 2.5 V : : 7 : Y +SW[6] : A13 : input : 2.5 V : : 7 : Y +SW[7] : A14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : +HEX1[4] : A17 : output : 2.5 V : : 7 : Y +HEX1[5] : A18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 6 : +GND : A22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : +GND : AA4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +GND : AA18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +GND : AB1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +GND : AB22 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : +GND : B6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 7 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : +SW[5] : B12 : input : 2.5 V : : 7 : Y +GND : B13 : gnd : : : : +SW[8] : B14 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : +HEX1[3] : B16 : output : 2.5 V : : 7 : Y +HEX1[6] : B17 : output : 2.5 V : : 7 : Y +GND : B18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : +SW[0] : C10 : input : 2.5 V : : 7 : Y +SW[1] : C11 : input : 2.5 V : : 7 : Y +SW[3] : C12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : +HEX0[0] : C14 : output : 2.5 V : : 7 : Y +HEX0[2] : C15 : output : 2.5 V : : 7 : Y +HEX0[3] : C16 : output : 2.5 V : : 7 : Y +HEX0[6] : C17 : output : 2.5 V : : 7 : Y +HEX1[0] : C18 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 1B : +GND : D4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +GND : D11 : gnd : : : : +SW[2] : D12 : input : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +GND : D16 : gnd : : : : +HEX0[5] : D17 : output : 2.5 V : : 7 : Y +HEX1[1] : D18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 6 : +GND : D20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1B : +GND : E2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1A : +NC : E5 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : +GND : E7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : +HEX0[1] : E15 : output : 2.5 V : : 7 : Y +HEX0[4] : E16 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 6 : +HEX1[2] : E18 : output : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1A : +NC : F6 : : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +~ALTERA_CONF_DONE~ / RESERVED_INPUT : F8 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : F9 : power : : 2.5V : 8 : +GND : F10 : gnd : : : : +VCCIO8 : F11 : power : : 2.5V : 8 : +VCCIO7 : F12 : power : : 2.5V : 7 : +GND : F13 : gnd : : : : +VCCIO7 : F14 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1B : +~ALTERA_TCK~ / RESERVED_INPUT : G2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1A : +ANAIN1 : G5 : : : : : +GND : G6 : gnd : : : : +VCCD_PLL3 : G7 : power : : 1.2V : : +GND : G8 : gnd : : : : +~ALTERA_nSTATUS~ / RESERVED_INPUT : G9 : input : 2.5 V Schmitt Trigger : : 8 : N +VCCIO8 : G10 : power : : 2.5V : 8 : +VCCIO8 : G11 : power : : 2.5V : 8 : +VCCIO7 : G12 : power : : 2.5V : 7 : +VCCIO7 : G13 : power : : 2.5V : 7 : +VCCIO7 : G14 : power : : 2.5V : 7 : +GND : G15 : gnd : : : : +VCCD_PLL2 : G16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : +GND : G18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 6 : +GND : G21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1B : +~ALTERA_TMS~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 2.5 V Schmitt Trigger : : 1B : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1A : +REFGND : H5 : : : : : +ADC_VREF : H6 : : : : : +VCCA_ADC : H7 : power : : 2.5V : : +VCCA3 : H8 : power : : 2.5V : : +~ALTERA_nCONFIG~ / RESERVED_INPUT : H9 : input : 2.5 V Schmitt Trigger : : 8 : N +~ALTERA_CONFIG_SEL~ / RESERVED_INPUT : H10 : input : 2.5 V : : 8 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : +VCCA2 : H15 : power : : 2.5V : : +VCCIO6 : H16 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1B : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1A : +ANAIN2 : J5 : : : : : +GND : J6 : gnd : : : : +VCCINT : J7 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : +GND : J16 : gnd : : : : +VCCIO6 : J17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : +GND : J19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1B : +GND : K3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K6 : : : : 1A : +VCCIO1A : K7 : power : : 2.5V : 1A : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 1B : +GND : K10 : gnd : : : : +VCC : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCC : K13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : +VCCIO6 : K16 : power : : 2.5V : 6 : +VCCIO6 : K17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1B : +DNU : L3 : : : : : +~ALTERA_TDI~ / RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : input : 2.5 V Schmitt Trigger : : 1B : N +GND : L5 : gnd : : : : +VCCIO1A : L6 : power : : 2.5V : 1A : +VCCIO1B : L7 : power : : 2.5V : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : L9 : : : : 1B : +VCC : L10 : power : : 1.2V : : +VCC : L11 : power : : 1.2V : : +VCC : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : +VCCIO6 : L16 : power : : 2.5V : 6 : +GND : L17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L20 : : : : 6 : +GND : L21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1B : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1B : +~ALTERA_TDO~ : M5 : output : 2.5 V : : 1B : N +VCCIO1B : M6 : power : : 2.5V : 1B : +GND : M7 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 2 : +GND : M10 : gnd : : : : +VCC : M11 : power : : 1.2V : : +VCC : M12 : power : : 1.2V : : +VCC : M13 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 6 : +GND : M16 : gnd : : : : +VCCIO6 : M17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 6 : +GND : M19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : +VCCIO2 : N6 : power : : 2.5V : 2 : +VCCIO2 : N7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N9 : : : : 2 : +VCC : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCC : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 6 : +VCCIO5 : N16 : power : : 2.5V : 5 : +VCCIO6 : N17 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : +GND : P2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : +GND : P6 : gnd : : : : +VCCIO2 : P7 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +VCCIO5 : P16 : power : : 2.5V : 5 : +GND : P17 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +VCCIO2 : R6 : power : : 2.5V : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +VCCA1 : R8 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5 : +VCCIO5 : R16 : power : : 2.5V : 5 : +VCCIO5 : R17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : +GND : R19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : +GND : R21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +GND : T4 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 2 : +VCCD_PLL1 : T7 : power : : 1.2V : : +GND : T8 : gnd : : : : +VCCIO3 : T9 : power : : 2.5V : 3 : +VCCIO3 : T10 : power : : 2.5V : 3 : +VCCIO3 : T11 : power : : 2.5V : 3 : +VCCIO4 : T12 : power : : 2.5V : 4 : +VCCIO4 : T13 : power : : 2.5V : 4 : +GND : T14 : gnd : : : : +VCCA4 : T15 : power : : 2.5V : : +GND : T16 : gnd : : : : +VCCIO5 : T17 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +VCCIO3 : U8 : power : : 2.5V : 3 : +VCCIO3 : U9 : power : : 2.5V : 3 : +GND : U10 : gnd : : : : +VCCIO4 : U11 : power : : 2.5V : 4 : +VCCIO4 : U12 : power : : 2.5V : 4 : +GND : U13 : gnd : : : : +VCCIO4 : U14 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : +VCCD_PLL4 : U16 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U18 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +GND : V2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +GND : V6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V18 : : : : 5 : +GND : V19 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W11 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W12 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : +GND : W21 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : +GND : Y9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 4 : +GND : Y12 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 4 : +GND : Y15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y20 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.pof b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.pof new file mode 100644 index 0000000..eafda2a Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.pof differ diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sld b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sld @@ -0,0 +1 @@ + diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sof b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sof new file mode 100644 index 0000000..dbb3ae5 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sof differ diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sta.rpt b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sta.rpt new file mode 100644 index 0000000..174fc75 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sta.rpt @@ -0,0 +1,568 @@ +Timing Analyzer report for part5 +Sun Apr 25 15:44:27 2021 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Slow 1200mV 85C Model Setup Summary + 7. Slow 1200mV 85C Model Hold Summary + 8. Slow 1200mV 85C Model Recovery Summary + 9. Slow 1200mV 85C Model Removal Summary + 10. Slow 1200mV 85C Model Minimum Pulse Width Summary + 11. Slow 1200mV 85C Model Metastability Summary + 12. Slow 1200mV 0C Model Fmax Summary + 13. Slow 1200mV 0C Model Setup Summary + 14. Slow 1200mV 0C Model Hold Summary + 15. Slow 1200mV 0C Model Recovery Summary + 16. Slow 1200mV 0C Model Removal Summary + 17. Slow 1200mV 0C Model Minimum Pulse Width Summary + 18. Slow 1200mV 0C Model Metastability Summary + 19. Fast 1200mV 0C Model Setup Summary + 20. Fast 1200mV 0C Model Hold Summary + 21. Fast 1200mV 0C Model Recovery Summary + 22. Fast 1200mV 0C Model Removal Summary + 23. Fast 1200mV 0C Model Minimum Pulse Width Summary + 24. Fast 1200mV 0C Model Metastability Summary + 25. Multicorner Timing Analysis Summary + 26. Board Trace Model Assignments + 27. Input Transition Times + 28. Signal Integrity Metrics (Slow 1200mv 0c Model) + 29. Signal Integrity Metrics (Slow 1200mv 85c Model) + 30. Signal Integrity Metrics (Fast 1200mv 0c Model) + 31. Clock Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths Summary + 35. Unconstrained Input Ports + 36. Unconstrained Output Ports + 37. Unconstrained Input Ports + 38. Unconstrained Output Ports + 39. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; part5 ; +; Device Family ; MAX 10 ; +; Device Name ; 10M50DAF484C7G ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.03 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.9% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; HEX0[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX0[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; HEX1[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++--------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++---------------------------------------------------------------------------------+ +; Input Transition Times ; ++---------------------+-----------------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++---------------------+-----------------------+-----------------+-----------------+ +; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; +; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_TMS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TCK~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_TDI~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONFIG_SEL~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_nCONFIG~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_nSTATUS~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; +; ~ALTERA_CONF_DONE~ ; 2.5 V Schmitt Trigger ; 2000 ps ; 2000 ps ; ++---------------------+-----------------------+-----------------+-----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0871 V ; 0.291 V ; 0.138 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; 2.32 V ; 8.25e-09 V ; 2.41 V ; -0.0859 V ; 0.289 V ; 0.136 V ; 3.15e-10 s ; 4.16e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0407 V ; 0.211 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; 2.32 V ; 1.39e-08 V ; 2.39 V ; -0.0407 V ; 0.211 V ; 0.121 V ; 4.7e-10 s ; 5.93e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.0508 V ; 0.153 V ; 0.187 V ; 4.63e-10 s ; 4.49e-10 s ; Yes ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; 2.32 V ; 1.16e-06 V ; 2.37 V ; -0.049 V ; 0.154 V ; 0.186 V ; 4.63e-10 s ; 4.5e-10 s ; Yes ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0174 V ; 0.144 V ; 0.094 V ; 6.45e-10 s ; 7.22e-10 s ; No ; Yes ; 2.32 V ; 1.97e-06 V ; 2.36 V ; -0.0174 V ; 0.144 V ; 0.094 V ; 6.45e-10 s ; 7.22e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; HEX0[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX0[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.94e-08 V ; 2.78 V ; -0.0444 V ; 0.25 V ; 0.107 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; HEX1[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; 2.62 V ; 9.95e-08 V ; 2.78 V ; -0.0452 V ; 0.248 V ; 0.108 V ; 2.67e-10 s ; 2.75e-10 s ; No ; Yes ; +; ~ALTERA_TDO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; 2.62 V ; 1.68e-07 V ; 2.73 V ; -0.0396 V ; 0.362 V ; 0.108 V ; 3.11e-10 s ; 4.42e-10 s ; No ; Yes ; ++--------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 9 ; 9 ; +; Unconstrained Input Port Paths ; 99 ; 99 ; +; Unconstrained Output Ports ; 11 ; 11 ; +; Unconstrained Output Port Paths ; 99 ; 99 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; SW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SW[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; HEX0[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX0[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; HEX1[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Sun Apr 25 15:44:23 2021 +Info: Command: quartus_sta part5 -c part5 +Info: qsta_default_script.tcl version: #1 +Warning (20013): Ignored 24 assignments for entity "Lab1Pt1" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity Lab1Pt1 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity Lab1Pt1 -section_id Top was ignored +Warning (20013): Ignored 24 assignments for entity "part4" -- entity does not exist in design + Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity part4 -section_id Top was ignored + Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity part4 -section_id Top was ignored +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'part5.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 55 warnings + Info: Peak virtual memory: 510 megabytes + Info: Processing ended: Sun Apr 25 15:44:27 2021 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:04 + + diff --git a/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sta.summary b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sta.summary new file mode 100644 index 0000000..aa5b327 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/output_files/part5.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/EE203/Noah Woodlee/Lab2/part5/part5.qpf b/EE203/Noah Woodlee/Lab2/part5/part5.qpf new file mode 100644 index 0000000..71dc6df --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/part5.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 16:26:05 April 21, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "20.1" +DATE = "16:26:05 April 21, 2021" + +# Revisions + +PROJECT_REVISION = "part5" diff --git a/EE203/Noah Woodlee/Lab2/part5/part5.qsf b/EE203/Noah Woodlee/Lab2/part5/part5.qsf new file mode 100644 index 0000000..42aaaaf --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/part5.qsf @@ -0,0 +1,110 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 16:26:05 April 21, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part5_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY part5 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:26:05 APRIL 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name VERILOG_FILE part5.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5/part5.qsf.bak b/EE203/Noah Woodlee/Lab2/part5/part5.qsf.bak new file mode 100644 index 0000000..603912d --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/part5.qsf.bak @@ -0,0 +1,49 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 16:26:05 April 21, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# part5_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY part5 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:26:05 APRIL 21, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5/part5.qws b/EE203/Noah Woodlee/Lab2/part5/part5.qws new file mode 100644 index 0000000..ee0e469 Binary files /dev/null and b/EE203/Noah Woodlee/Lab2/part5/part5.qws differ diff --git a/EE203/Noah Woodlee/Lab2/part5/part5.v b/EE203/Noah Woodlee/Lab2/part5/part5.v new file mode 100644 index 0000000..b27e0e6 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/part5.v @@ -0,0 +1,37 @@ +module bcd(IN,OUT); + input [3:0] IN; + output [6:0] OUT; + + assign OUT=IN[3]? (IN[0]? 7'b0010_000:7'b0000_000): + (IN[2]? (IN[1]? (IN[0]? 7'b1111_000:7'b0000_010): + (IN[0]? 7'b0010_010:7'b0011_001)): + (IN[1]? (IN[0]? 7'b0110_000:7'b0100_100): + (IN[0]? 7'b1111_001:7'b1000_000))); + +endmodule + +module part5(SW, HEX0, HEX1); + input [8:0] SW; + output [6:0] HEX0, HEX1; + + reg [4:0] U; + + always@(*) + + begin + U = SW[3:0] + SW[7:4] + SW[8]; + if (U > 9) begin + U[3:0] = U[3:0]-10; + U[4] = 1; + end + + else begin + U[4] = 0; + end + + end + + bcd b0(U[3:0], HEX0); + bcd b1(U[4], HEX1); + +endmodule \ No newline at end of file diff --git a/EE203/Noah Woodlee/Lab2/part5/part5.v.bak b/EE203/Noah Woodlee/Lab2/part5/part5.v.bak new file mode 100644 index 0000000..6a19f97 --- /dev/null +++ b/EE203/Noah Woodlee/Lab2/part5/part5.v.bak @@ -0,0 +1,12 @@ +module bcd(IN,OUT); + input [3:0] IN; + output [6:0] OUT; + + assign OUT=IN[3]? (IN[0]? 7'b0010_000:7'b0000_000): + (IN[2]? (IN[1]? (IN[0]? 7'b1111_000:7'b0000_010): + (IN[0]? 7'b0010_010:7'b0011_001)): + (IN[1]? (IN[0]? 7'b0110_000:7'b0100_100): + (IN[0]? 7'b1111_001:7'b1000_000))); + +endmodule + diff --git a/EE203/Noah Woodlee/Pin Assignments/Lab1Pt1.qsf b/EE203/Noah Woodlee/Pin Assignments/Lab1Pt1.qsf new file mode 100644 index 0000000..c6b079b --- /dev/null +++ b/EE203/Noah Woodlee/Pin Assignments/Lab1Pt1.qsf @@ -0,0 +1,36 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY Lab1Pt1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:34:44 FEBRUARY 25, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name VERILOG_FILE Lab1Pt1.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf diff --git a/EE203/Noah Woodlee/Pin Assignments/part1.qsf b/EE203/Noah Woodlee/Pin Assignments/part1.qsf new file mode 100644 index 0000000..d8b7603 --- /dev/null +++ b/EE203/Noah Woodlee/Pin Assignments/part1.qsf @@ -0,0 +1,65 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part1_bcd -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:13:22 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part1_bcd -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part1_bcd -section_id Top +set_global_assignment -name VERILOG_FILE part1.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top diff --git a/EE203/Noah Woodlee/Pin Assignments/part1_bcd.qsf b/EE203/Noah Woodlee/Pin Assignments/part1_bcd.qsf new file mode 100644 index 0000000..818b55d --- /dev/null +++ b/EE203/Noah Woodlee/Pin Assignments/part1_bcd.qsf @@ -0,0 +1,61 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part5 -section_id Top +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part1_bcd +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:29:06 APRIL 16, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part1_bcd.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part5 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part5 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part5 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top diff --git a/EE203/Noah Woodlee/Pin Assignments/part4.qsf b/EE203/Noah Woodlee/Pin Assignments/part4.qsf new file mode 100644 index 0000000..1c6d220 --- /dev/null +++ b/EE203/Noah Woodlee/Pin Assignments/part4.qsf @@ -0,0 +1,46 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part4 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:21:59 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part4.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top diff --git a/EE203/Noah Woodlee/Pin Assignments/part5.qsf b/EE203/Noah Woodlee/Pin Assignments/part5.qsf new file mode 100644 index 0000000..43eac59 --- /dev/null +++ b/EE203/Noah Woodlee/Pin Assignments/part5.qsf @@ -0,0 +1,71 @@ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C6GES +set_global_assignment -name TOP_LEVEL_ENTITY part5 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:34:56 APRIL 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name BOARD "MAX 10 DE10 - Lite" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name VERILOG_FILE part5.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top